>AMDW01038524.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038524.1:2:784:1 gene:AMDW01038524.1_FG001 transcript:AMDW01038524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APFLVESNSDRNIALGAGGRVLHVDAIEEHGKHWRRADILVFDSYVWWMTGYRIKSVWGSFGDDGYEELDAWVAYRLGLKTWANWVDSNVDPATTRVFFMSEDWGREGGIRCYNETWPITQRGYRGSGSDRRMMEVMSGVLGRMRTPVTLLNITQLTEHRVDAHVSVYTETGGLLVTDEQKADPQRYTDCIH >AMDW01010610.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010610.1:61:231:-1 gene:AMDW01010610.1_FG001 transcript:AMDW01010610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLISGCPRLADLTLEACYAMAPLSVAGLALRCCHGLDTVVLDDMSSPSELQAAAL >AMDW01029876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029876.1:2:232:-1 gene:AMDW01029876.1_FG001 transcript:AMDW01029876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPGWIAASDTTKLEIPAKIPYDFLISLVKDVGRDWDIDYQLDVGLTIDLPIVGNFTIPLSTSGEMKLPTLKDMF >KN542900.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542900.1:7195:9262:1 gene:KN542900.1_FG001 transcript:KN542900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQVKGKENKGGKDAAGDKAKPAEKEAAGGGKADKKDAAGGDKAEKKDGC >AMDW01047133.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047133.1:2477:3745:-1 gene:AMDW01047133.1_FG001 transcript:AMDW01047133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DCTDDSPHEGPFKTDSPAWCYAPFEPEGLLSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLILGLTLHFSHAIPLNKQLYTFSYICVTAGAAGIVFCMFYFLVDILTLHYPFAPLKWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGLLHRARLYWRL >AMDW01020289.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020289.1:12:149:-1 gene:AMDW01020289.1_FG001 transcript:AMDW01020289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDGEVEAGATPPRTSALGTANLGTATLVGVFAGLLYGGSKEASASV >AMDW01039706.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039706.1:283:684:-1 gene:AMDW01039706.1_FG001 transcript:AMDW01039706.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLMELGLEPHLLSDSLLDSLLNFTVQYKDRVDTAQIMPSVSWKKMGAKPKTVSV >AMDW01038584.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038584.1:1:639:1 gene:AMDW01038584.1_FG001 transcript:AMDW01038584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVLIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDK >KN542024.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542024.1:4109:4743:-1 gene:KN542024.1_FG001 transcript:KN542024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAPVSAWHGAMSSLPAMFRSVMEPGVEHGDACALPEEVRTMIGRLRDDMKSLNDAALLHGPSDQVEHPEISTGEEIISQLSQDVHDFLDELIISDKTPLCCCCSSPSCFPGKNNGLLHHRCLEDRQIRRRRIIADISGLRARAREATGRYKRDNPDESSACGSSFRHFFNDKT >KN542024.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542024.1:16715:18046:-1 gene:KN542024.1_FG002 transcript:KN542024.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHHSWRDSGHPIRMLSKVSHCFGVFGLKICHFEFQDSGGGANMSFEQGSNINDDMRQSAAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADERPDAIEKVVRISGTPEQADKAQSLLQGFILSSEFLSIGFVPFQRY >AMDW01026899.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026899.1:27:86:-1 gene:AMDW01026899.1_FG001 transcript:AMDW01026899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFGKAFRCARAARRQVQ >AMDW01036780.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036780.1:325:495:1 gene:AMDW01036780.1_FG001 transcript:AMDW01036780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVSSKLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASAESIGVTAPPDIVVE >KN541230.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541230.1:18452:20669:1 gene:KN541230.1_FG001 transcript:KN541230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDIDDDMFFCLYPDHPALLEVQQPFQILLSSSSGIAGELNSAPMEDSAALMMQGSGNGRGRKGSKHGGDELEAEVGRASKLMATPEEEDDDDGVGEMLEKMMLNGDEDEGFHGETNAPRVPAEKNGGKASRRRRRQGKGELGQRTCTTHISVVLLFGKYLQQGFCRSALSEAKWAKDIKPLTSQHKILLTEITPTHAHTNN >KN540335.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540335.1:45505:48587:-1 gene:KN540335.1_FG001 transcript:KN540335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVILGEWSVNKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGIPTLHFLFDF >KN541230.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541230.1:1240:3095:1 gene:KN541230.1_FG002 transcript:KN541230.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKEHQEAANPTTTRTLVESVTNWIRVYSDGSVDRLGPPEAAAFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTVVKEESKTTTTTTPTRRRPVLVHFHGGGFCLSHAAWSLYHRFYARLAVELDGGGQQPAPQVSEPPCDKKKRDDVAEEAPAAA >KN541230.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541230.1:12637:16706:1 gene:KN541230.1_FG003 transcript:KN541230.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEEFVSETRLYMRIYQYFPISQIAESEDALYRQFTSVSHMEYEISDLSLDDFLLSIRTTCTHTHTCNPPGPSETAHTHTCYHTHTRVFSSDDDSCGGDKAKPKKDRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRAINQQLVKRLQGQAALEAEVARLRTSLVDVRSRINGALGSYPLQAQCGVDNVLGCDGMAQCFAGKPELGERRSCTPSVVNCHISPDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >KN540335.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540335.1:34045:35291:1 gene:KN540335.1_FG002 transcript:KN540335.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGLPAHTRPSPSEVKAAYRRMVMESHPDRVPTHQKSQAESRFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGFGRSNKTLVKAPFLLIIFAAVSLGGFSASRAYQRQKAMCSSQNPFLP >KN541230.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541230.1:7708:8988:1 gene:KN541230.1_FG004 transcript:KN541230.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEKRTSLQQPPTLIPTNCSTHFLYARAPTTAGVTPRKPHQSFLSYLAQMGGAGDDGAKPAAAAAAMDVVSSSSPAPAPSVLKSVLLSYTYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGARLLPEKDAGEQKN >KN541230.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541230.1:28162:30602:-1 gene:KN541230.1_FG005 transcript:KN541230.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSTSPSPPSLQSQDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFADEGDGGSADFDVTAACYHPFAGDAGEDKYEGPEFRDLDPRLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSKDH >KN540335.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540335.1:25048:29293:1 gene:KN540335.1_FG003 transcript:KN540335.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKYEMGRALGEGHFGKVKLARHADTGAAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGKLSEKEGRKLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEFSAYGGCSSDRGNLPFDDQNTVVLYQKILKGDARIPKWLSPGAQDILRKILDPNPITRLDITGIRAHEWFRQDYTPAMPFDDDDDNNISDGNLHMTENQDIETSPAISQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFVSNYSPTSLFEKIESTVTEKGFQVQKNSGKLKVIQVCKEPANPRGHGNLLISAEVFEINESLYVVELKRSSGDCSLYRQLCASLSEDLGICKRQQLLKKDSMRQDLCRYNSSF >KN541230.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541230.1:3333:5802:-1 gene:KN541230.1_FG006 transcript:KN541230.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVIIFPRPRIPLRPSPLSGKISTFGAVYFQVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGLLVKGKFIHTTLATGNHVRLDTSIWKMELKSAGPKDTPIEHVLEKTYDAKAGIGMPDL >KN541230.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541230.1:17584:17787:-1 gene:KN541230.1_FG007 transcript:KN541230.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKLVIALVLLVVCVVSCFEAATAQYGGSSSNGAAATGPMAAGGSCSLAVAAAVLAVAAFVWN >KN540335.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540335.1:640:5480:-1 gene:KN540335.1_FG004 transcript:KN540335.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTGRVPVVESRWEMRLFFPPGCNGCEKRRGRSREARSGERIESGFQREGQNFEAKHEITVLPFGWLVPGGVSAGTARYRMLDSRPIILDRRTKFGTALLRWTVKNPPPVNVLGPLPELVADVRAEAEACAKSAGLMASLSPSSRSPSPMTRSSVELGRLGDGRLYSSVLMASPRIVPISAGAPDFQPPPLPADGIKILKSGRRLVLSSQDLPHSSQNPPIASSDAARLQSSKESWVEVRKKHWWRKSPTFISSNNQESIQSRREKFLKHMNGKCFRCLSTNHQVSVPFASPPKSIPTSNTMDDLTARPLLDSVVVSATGEIERRRERFSARSLVAWQVGALGDKVELNVFADDVRSAFNIRRADIQFTKFHPEDFFITCSNQSDRDAILRQPRLAASSGRVYLFRPWDESLHGVQARYRYRARLCIEGIPMHGRTDESTAKVIGRKCAIHYLEEYSRCGNYNRTFDLWVWTDEPRAIPRGGSFSITAADKEGLPTDIPLLDLEPHRNPPPSEPKNGWTYNILVHVDTLEDLHSRRARAYKWDYEMLMLCVPTSVLPPKK >KN540335.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540335.1:13323:13925:-1 gene:KN540335.1_FG005 transcript:KN540335.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSKPSLIAAAIFLVSLLSSVTFAHGGRSGRRFVRSYDEPCKKMRLYLHDIIYDYSNSTSNSTSAAATKPTALSTAVSKSGYFFGRVVVFNDPVTEGRPLPPAMEETAVRAQGLYLYDGKVVFDAWFAFTVVFNSTAHRGTLNLMGADPNTETRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >KN540335.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540335.1:37093:40148:-1 gene:KN540335.1_FG006 transcript:KN540335.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALAAAGYTGPVSISAYGNIGSIGNAVTHALSSTGISLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLAESPYVSSTASGNMVELDKSKNINSDSSDTTTDTNPQNGLQSDHQKGGNGKTDKQSKVKQPRRNQSDNVSKPASNEENSVEVADNSKEYTTDHPTQSSMPSSSSSSSSESQDGAKVNQSSKPKVQPFSLPKKPAKPAHCHQKTAPHDFFNSKKSGASAESAAKNGTPDSGNGGGYNPKHHKPHTSQSPRPQNSDTHPHSGSGIFHHTLSSQRTNSSPPSAGHNGTPTTPLQSWPSAPPYHSPPANYPDMSRINISGYPRGIHDNQGVNMNYHPNHSGAPHNVQPAYNSYRPPTPPSMPSNMQNAGQWGVNPGYPQPSSDPQGLIRNILGALEVLKTEKIPPIEQHISDCIRYGEANLPNFDVKKALELAIQHQAIVLKMLGPMSFYLGKNQNLWKCVNIMDINAKYPKDTFDAVHRFISSTTGSSAIKNSRSKYQAAIVLKNQCLKHLALGEVLQILYIIINTKKWFVPHSSGWQPLSFNIIVVDATTGAGGKA >KN540335.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540335.1:10809:11437:-1 gene:KN540335.1_FG007 transcript:KN540335.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLHDIIYDYNNSTSNSMSAVATKSTVLSAAVSNPSYFFSEMVVFNDPVMEGRALRPSLEETAVRAQGLYFYDKKEEPNCVCVF >KN540335.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540335.1:29385:30936:-1 gene:KN540335.1_FG008 transcript:KN540335.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEVGLAREIFDGTEDRDAFSWSSMISAYAKSRRSKEALELWREMRAASIIPDCITLVSVVSACSDLGALAIGAEVHRFVESNRIELDLKLGTALIDMYAKCGDIESSQRVFDRMPEKDVQTWSSMIIGLANHGLGHESLSLFSKMISEGMKPNGVTFVGVLIACTHVGLVSEGKRYFRSMSEVHGIEPTVEHYGCMVDLLGRSGHVEEARQLIRSMTFEPDAIIWRALLGACRIHKNVEIAEEAMAKLRVLDPIV >KN540890.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540890.1:2173:4902:1 gene:KN540890.1_FG001 transcript:KN540890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAASLDRSLGRESRFRHGWRSLTSSSEEKGALKDVPAAAMLKNRNDNEKKRSRRRKPGITILKNSGHRDGSIFKGNRGWKIDFRIANPDETQFEAMMLSDPGDFELYGYIAVRDLMDPLRNYVVLRSRDETIAVKQTMEYWQHLSLSIFKAGYNFATLY >AMDW01034304.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034304.1:26:478:-1 gene:AMDW01034304.1_FG001 transcript:AMDW01034304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVFKAELFGPMREAGAQVLPIKDMQPDVFKALLHFIYTDSLSMIDDLVGDDRGEMIRHLLVAADRYAMERLKLICESDLCENLNVQTVAATLALADQHHCASLRDACIEFMSSSSMDDIVATQGFVDLKTNCPSVLVDAFVNMSMLNK >KN540890.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540890.1:27452:32443:1 gene:KN540890.1_FG002 transcript:KN540890.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQLQTMQFADPASRSPRPVGGGVHGQPLPTPLSSPFSSRKPRMQEGHPTCVNLTPIPHTDGHLWRKYGEKKIKNSSFPRLYYRCSYRDDRNCMATKVVQQENDADPPLYRMRSSAPPTPSSSTVVDDGWDTFSSFDLDTCEFSVDDELLCGDHMYFPDSMQQ >KN540890.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540890.1:7745:10724:1 gene:KN540890.1_FG003 transcript:KN540890.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVKPTNNTTTDGLWNMADGSGMSETTPKLGLAMAPSLPLATPTEATFFPAAAGTAALSPPLPLTEMEEKTTIFVAVAAQHEVDFRFLGASIQHGWRANLLDLLSHPRGKGGEGIERRGERKRRSAANGTGEGSLIEMTGPKRGIKFCSSALIEYDMRIKTGEQEEDDIQLIDGVLGIFDDLSKPSCKPFRSRIDGVGGAVDITVGLLPSAVEATFEVAISEVQSCFDLTVCSYAGGLSQEFKIFQGTIAESCGLRRSVVAVMLDGMLHLRFIARRKGSKRDHEIACSIRGKKHGSSTHQLNTELASFLVKVNWSTLPM >KN540208.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540208.1:43590:48115:-1 gene:KN540208.1_FG001 transcript:KN540208.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSFKVTRISEGAVKPAAATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRDALARALVFFYPLAGRIVEPEAGSPAIRCTADGVYFAEAAADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPRVKPVWDREKFPNPNIKPGPLPELPVLALDYIVLDFPTGYIDGLKAQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEPGATVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPSETVLSSSVMEVVDMIRQAKERMAVEFFQFAKEETEQDPFQMTFNYESIYVSDWSKLGFAEVDYGFGPPKFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFVRGMKEDLP >KN540208.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540208.1:2621:7310:1 gene:KN540208.1_FG002 transcript:KN540208.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIIYASDAFLSLTGYSREEILGCNCRFLNGPGTSVEVLEQINQHICSEQACTVHLLNYRKGGNSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLTPEVWQLGAVGAFDDRSGERWRQECLVKTDTRRGASSTFPPNVQCPDVSVLRALHGQGDDLVDCYRQCTGQEIIRPQVLIRVKLPMRRLLIRLAQILMPFFLVIHLSINISIAPLGEQRTDMTDEQKLPRRANLTSRLTFTSSSRHPLMPREGKMMGK >KN540208.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540208.1:29597:32933:-1 gene:KN540208.1_FG003 transcript:KN540208.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLERIDVRCRAKKHVHACLVFDAIPACLLHGGRLDRVLILLAKLAACVRPSYITDSISAADVSLTRNMSYFRRVNSNGIPKITYLHLYECEAFSIGIFCLPPRGVIPLHNHPNMTVFSKLLFGELRVKSYDWADASQDSTGVSLFLFVLSIDAQLQGLRLAKVKVDGTLNAPCATSVLYPEDGGNLHCFTAHTACAVLDVLGPPYDDGSGRHCQHYNVSSSAPSAGDSKPLPGDDGYAWLEECEPPDNFHLVGSTYMGPRIVDN >KN540208.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540208.1:17535:20198:-1 gene:KN540208.1_FG004 transcript:KN540208.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVESPPVRDEKKVDVAAIGGAPPIVFESFAPSTQRDSTIIKKEEKMEAAKAEMGEVREENERLKTMLTRIVSDYKSLHTHFLDVVKMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCADDMSILITTYEGTHSHPLPPAAAAMASTTSAAAAMLTYQQ >KN539716.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539716.1:72088:73040:1 gene:KN539716.1_FG001 transcript:KN539716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGAAANRPPSALQFSSSGIDRKSEYYWKAVADEFNTNAPTNGHKRSIKQLKTHWGDVKREITKFCGVYGRLKTTWGSGQSDDMVMNSAHVVFKKENKDKPFTLEYMWREVKDLPKWRRIVQEESGNKRTKVSSSGAYTSSSNQDTEEESISKEKRPEGQKKAKARLKGKGKDAAPSPLGSQPSQNMILYHEAMSLKATAMIKSAKEKKYQTYLKLLEKDTSNYSEAQHKRHEGVLDQLAKELAEE >KN540208.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540208.1:53918:59163:1 gene:KN540208.1_FG005 transcript:KN540208.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGEGDKSAALPLEALLALGLDQRTAENALVNSKVTANLTAVIAELALAYSAQAVACYVLLSTNGLANEQAGISGCDKTVGNLLYTVATKYPTNALVHRPVLIDYIVSTKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVRKRHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKTAKVEEKKAAVVTSAPPSEEEMNPYSIFPQPEENFKVHTEIFYSDGNIWRAHNSKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRAMVVLNPLKVVITNLEDEKVIDLDGKMWPDAPADDASSYYKVPFSRIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRVEYDPSKTTKPKGVLHWVAQPAPGVEPLKVEVRLFEKLFLSE >KN540208.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540208.1:12264:14372:1 gene:KN540208.1_FG006 transcript:KN540208.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHETSLRYESEEKMRGRVNGRIGFRTRSEVEVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAAGVKLIRCAIFLIRAQEQGKPLQKLKIGFVKARFLKIPNYIFSQPRMIII >KN540208.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540208.1:36894:40155:-1 gene:KN540208.1_FG007 transcript:KN540208.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVDPISAFYYPNPTEVTPSHLMLDRNYIWLSQGLMVRRLRVDCPEIELVETYKSHGARITCMRLFPLIDIPLFRGDSQTNEKALVTSSADRTVRLCWKGHSRCFRGHSGPVTALSDKLLGDGEFKLLASGGEDCTVRLWSMSTRGKNHPLISTFHGHEKALSLLSVARHKPSLLVSCSKDSKVKLWDTMAPPSSGSSSCVGSTHLSTNSAPIAVKCHESLCYIAAGSEVTTIDLRTMKKASVLALRDHRILSCEMLPSEWALLWDIRKSQELPNIVAELQSESDEPVTFLHLDPYKVITGAPSDGQVHVWETQTGHFVNTLSCGEPAKSADRSRLSAMAVDGCRIAMAGSSAEVDMVHYRDFLMSSVPVSLPGNSKEVSRFWGPQEYSDDEDEDEDDSDDEDDSDEEDHDHAWLVD >AMDW01034505.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034505.1:111:404:1 gene:AMDW01034505.1_FG001 transcript:AMDW01034505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPTPVVYPEMAASASSPYVAPLISSRITGRLRLASVRRDPLGLSAATVRVRSWRRFAGARCEVVLLPAWRISAQPRRRNALREKASPPPPADPQ >KN539716.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539716.1:15541:25054:1 gene:KN539716.1_FG002 transcript:KN539716.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGAEFFSNRCVHGLQDFMVRMRHPGGQAITMKIMPLDWLSMCIEQRKKEGTNGKICAHLPPRLLRDAATAATIAFDYQYSLWGLDPGTQAWLSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYIVPEEYVQTMRASMLKRCPVSSYEQVCRVFGKDMGESPETVFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVIDIATVGLIVNTLHYIFPTFDYRWLVDEIRESAPKELDFLNEAKNSEKCVQNFRRLSPHIAGSIYVPKVYWTLSSSRILTMEFMDAKEVTDAKGIKELGIRPVDVSNLVSKAFSEMIFKHGFVHCDPHAANMMIRPLPQDSRKTFGWRRPQLILLDHGLYKELDYATRINYASLWKALVFSDEKSIKENSVKLGAGEDLHALFAGVLTMRPWKSVIDPAVDHLVLDGSSTDRSELQMYASLYFPQISELLRRLPRVILLMLKTNDCLRSVNHALLKKLLAC >KN539716.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539716.1:39504:41833:-1 gene:KN539716.1_FG003 transcript:KN539716.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQVERELDLVYGGGSGGLMGLVSKTVHDGGRHVLGVIPSALLPEEVSGETLGEAKVVRDMHERKSEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDAAARNIFVLADNAGELLTKLTEAAAAAAVEGGDGDQVDGEATAAAAGLKRKRS >KN539716.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539716.1:28137:35331:-1 gene:KN539716.1_FG004 transcript:KN539716.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKFDRVIGFMAAATAAHHPDRDALVNFYLSAFFGPLPLKACGSFDVQLLSLMLSQEPSTPSHCSFETVPGPEYDLHVICGLNPYVIKSGVSPLHYGDRSCKIRYKSKYSHVNFLASPRGSHSSGTVIPTLFFAECCNDDDITDEPLCWPIMGHPGRCFHCEYEGVKVVHPESQKYHGHDIDFEEMACKSHSNVIVNEDLVSSGESATYSVGISQEDCIYFDFRRDVKRCRRCSRASSRPASASASWTPSPTSSSTPSPTRSASRWSPAAIPTARLRRRRRKALSRIVADTSNVGGCGPTPRLLRDMGVAARSLQALVAFLTNYFAYLRTCEALEYLRLADADLSVAVRLIEQDRSSDACSFASLTTETALTCAAIAGWHPNPKSLVERVYSIASQIGEVSSLLSMEGCLSCRAVKNISRLVKHQQQEPVDLVGATFLPRSLEIKEKQPPFVRMKSLKSILLDKIYGLYLDVIACLPMDGLRMRYHRGLLKAGHCYGPFEDPVHNIVLNTVWYETMFPPQEEVSVQMICSRSLVRVVCRSLNVLVAYLRACFCTISEQQAMRYLFLTGANLWGAVEMARQECHAERNMLGLDLACMVAATAAHHPDPNALVKFFMSTFSMKPLPLQTDPFMFQTGGILDVQLLVHNLMQFCPSSCGSVQIVPVLSKGASMTLSCIQEEFKAEQSFICGKVNDALKKYNQRTRLIILCLADIMDLDIAGIDPNLSILTSTFWQVQEICILLRQFPYFFFAECCNKENVIDELTCCPVMGHPGRCYHCECEGAKIVHPDLEKYNGRTDFLEMARDKSSGTTTEDVISRCEYLHDAVDICEEDCVCFDASRDVECAEFLNSRAANKIRLE >KN539716.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539716.1:86899:87233:1 gene:KN539716.1_FG005 transcript:KN539716.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAWTRLPTADGAGAEGPGPVPASPSSAGYELFAGGVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGV >KN539716.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539716.1:25978:26580:-1 gene:KN539716.1_FG006 transcript:KN539716.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARDATTTAVTEEAVAPASQRQSFFAADINGQDERGCDGAEGSRYDEEKSPVVVDDVAACHDADVDESRGHGKAETASAIDAGGDAGNNKVAHGDGEENDKIAGVVVASSLHDGDSDVGKMKVVQHKCEECGKVCLTGQALGGHMSKHRRTRPAANGGEGPATATVADGGALRLIGDDVCLQRAVAIAAFAGENSAQ >KN539716.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539716.1:49415:67055:-1 gene:KN539716.1_FG007 transcript:KN539716.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSCAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPVASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKARKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGYGLNRYGFDFKPEMMVNRRIIEKVALVYECDLCVEKYSDNLRCASKHLQDISGMDCEGWSLLKIATALKLLFRKSEYLRLVHDAPKYEGKLSERVKLTKELHEAERHKWYNRRVDNGGWPYELLDGHVDAFHRSYLATTQVQKGQQQVEVCTLRQRTPHMVWSLDPRWIPRRMRMATLVNSISTPWQVRMEPWIAQWKGALDDVHEEQMPHDPATFEDYIRWYLARTRIRVKQPIAKPHTPVHVSPPPPPPPPPVLVAPPPPPDEADYQSHMDYAIHAAADLLHQADSILRRLESGIQLTVEEHMSNFDRFQRMARMILRSLSFCAPSDVYPPSHWPPAVEPGVRQSSSTAPSGLHLRSSTQAASGQHSVSTRGLYTSPSDMFAGVGTSTQGFRTSPPDVFAGVGTSAHGLHPPSGPFIEGDLEDDDFEVTAEFTPALQRCLKLGDLLISPGTPSLIIQGYKPFHNRCEVVNINAD >KN539359.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539359.1:42713:45343:-1 gene:KN539359.1_FG001 transcript:KN539359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-6-A [Source:Projected from Arabidopsis thaliana (AT5G35590) UniProtKB/Swiss-Prot;Acc:O81146] MSEAEAAAVVTGGGSRAAGPADYERFITLFSPEGRLCQLGECERRRRRRHAPFTPTNSLLVSALSLTICCFRRPPTRACSLLADYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGVCVTILSKP >KN539359.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539359.1:76324:76479:1 gene:KN539359.1_FG002 transcript:KN539359.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDEDDDAEDTRPLSYVDSWACYVTQDAKIILRTDSGVYAKAGEEYKRV >KN539359.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539359.1:24502:30998:1 gene:KN539359.1_FG003 transcript:KN539359.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYKKGGGKKVRPRGGGGGLRRLSFTDLTGAADQELSVSLVGSNLHVFTVAELRDATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLRPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPNREQSLVERKMELPLRCFHGAKPYSSSIRCFV >KN539359.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539359.1:50437:57568:1 gene:KN539359.1_FG004 transcript:KN539359.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLSVDCALGEAAVTVRARWWLHCITRSRDCDVRVVVPIGDQGSILGAEVTVGRRSYNTQVIEVEDHTTENAAKADSGGLLKPQLFYLTISQIEGGADISATIRWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKAIGISAFTTFNLQKSFPYYFRKKVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGGGNRKVFRKAVVFVIDTSGSMQGHPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEKVNEKSIASALDWINLNFVAGGGTDIMHPLNEAMALLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGLYCNHYFLRMLASIGRGHYDAAFETGSIESQVLRWFRKASSTIVANISIDAIAHLDEFEIDSEYIPDISAKSPLCISGKYQGKFPDMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKQLERKVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGPDEPRRIPLQGLKLGFGDRAATRENLGTGFGDVRPPETFEILNKAAGCCSRLADCLCCMCCIKACNKMNDQCAIVMTQESRRLWDDGGDKIDQVVLFLLLGQNKSIESSGQLTFKLVDERPLANGSVFHPGQLLLSFG >KN539359.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539359.1:65693:67001:-1 gene:KN539359.1_FG005 transcript:KN539359.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFTNVSSEAGLKKLDEYLLTRSYISGYQASKDDLAVYSAFSTTPSSSYTNVARWFTHIDALLRLSGVTADGQGVKVESTAVPSASTPDVADAKETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEYIQSCDIVAFNKICKLFHISIRACRFIC >KN539359.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539359.1:79470:82078:-1 gene:KN539359.1_FG006 transcript:KN539359.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKLLKRQIEQSLPEWRDKFVSYKELKRIVASISGSPSDEAAFVAAPAADIDKIDSFFLEQEEEFVIRHRARTPIRFNSFELQEAIKKTSSSAAAAEAEVAGIRREIVDFHGEMVLLLSYSSINYIGVGKILKKHDKRTGGALAAPVAEAVRERRHFFKTETVSRMVRECEAMMAEAAAVLPAEAAPEALAAAAEQGIFRNTIMQRVNLNCSQRSSPAN >KN539359.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539359.1:20439:23261:-1 gene:KN539359.1_FG007 transcript:KN539359.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRGVCKSPNREKRCQYSRRFGGFLVDLTEYFDHYSSISQSLSIGRRCHHWNPGIQLRPATASACTIQMRTPCWESEFTTSTLFAKDYLLPRNIILETIKPSAYVLCKWMLISVSQNDSEKQPGYVPRDQLIETLIFR >KN539359.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539359.1:108931:113403:1 gene:KN539359.1_FG008 transcript:KN539359.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLHHHHHPLLAAAPPQQQIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGKQIPEVTDSALFAFFSGYSSCSFIWILNCTTFWYLVMPELCGIKRLEDLEVMALFPSGINRYGQWLGSRQIRCNWATKGASNGEQQTSDSKNVADLTNNLTEDGKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHTLGAGAIEEVRIQLGKGFGFVRYSNHAEAALAIQMGNGRILGGKPIKAQAQQHLKQAMGMGAGVSQAMYDASFPNVGPSQQQLMYY >AMDW01037781.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037781.1:80:328:-1 gene:AMDW01037781.1_FG001 transcript:AMDW01037781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CTVKNPSQSAMTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPK >KN539359.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539359.1:100432:102036:1 gene:KN539359.1_FG009 transcript:KN539359.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPVGGGGERATSFAMACSLLSRYVRQNGAAAAELGLGIRGEGEAPRAAPVPATMSLLPGEAERKKETMELFPQSAGFGQQDAIAADSAAAADAREQEPEKRQLTIFYGGKVLVFNDFPADKAKGLMQLASKGSPVATQNAAAPAPAVVTDNTKVPMAVPAPVSSLPTAQADAQKPARANASDMPIARKASLHRFLEKRKDRLNAKTPYQASPSDATPVKKEPESQPWLGLGPNAVVKPIERGQ >KN539359.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539359.1:60936:62927:1 gene:KN539359.1_FG010 transcript:KN539359.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQNRLSTAKQDKRRTEATAAAAVLFRTMLHKRMNARPSNAAASSSRKYYLEDKPREKMQREHLHDDEDDDENGEDIFKWDKTDSDCNHCSGDVDRDARFNAIIIVCTMISDTVGVRFTI >KN539359.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539359.1:33765:36434:-1 gene:KN539359.1_FG011 transcript:KN539359.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVGEETEEVGVEVACECCGLTEECTAPYIAGVRARSLLTCRRNGHVLLNLQTSPCPYCFFTWSHGDQMDISYSTDVMYESLYADQII >KN539359.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539359.1:9528:17017:1 gene:KN539359.1_FG012 transcript:KN539359.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] TIHDALLLRRRLALPDDLALLRSSLLSLAVSSNAASPPFLRNKLAQLLALLVRFEYPHVYPSYFLDLIPPSPPQPGPTDMFARVLVSLDDDLLSQDYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEAAVSLRAADPAVAAVALDAARRCISWIDVSLVANDKGFGSPDSGLKMAHLVTAYAVEALECYRKLGSSDADGAAALEMLEEVLPAVFAAAESGDDDEVDSGSVLEFLSGYVSTMKAPTEKQLGHLGQILEVVRMQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPGATQLFIRGLLVTALSSAEVSVEDVEVALTLFYRLGEIVGEEEIRTGAGLIRELVPMLLSARFSCHTHRLVALVYLDTISRYIKFMQENDQYVPHLLTVFLDERGIHHQNAHVSCHAGYLLMRAIRLLKAKLVPYLDTILQSLQDALVQFTATDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIESLVMDAKAQGLEESSPRAIGLQQIIVALTMISKGFNERLVMGSRPTLGVMFKKTLDVVLQVLISFPNVKPLRSKIISFLHRMVEILGISVLPCIPIALRQLLVDNEAKDMSEFLYLINQIICKFKSSANALLEDVFPAIASHLSVILSQDAFSNGFASNTEEMRELQELEKRFYAFLLHIATHDLSTVLLTPSCRHYLENIMQLLLITSCSHKEISHRKTCVQTFVNLIKDWCSSSEVEDKLPGFRVFMIEKFATGCCLQSVLDKSFNFRDGISIALFGEIMMAQKVMYERFGENFVVNFVSKLREAHCPPDLAEQYYQKLQGSCIIYVYKALPFLRSEDVYCGNIFTEPGYRGEVP >KN539359.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539359.1:74785:75349:1 gene:KN539359.1_FG013 transcript:KN539359.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTVHVVHFKTTDENNERWRVAWVIPAVWELISFFLLCTICILWAPSQNSMRFAYSREECEDDTEHDDEDDDVEDTRPLIRAGPLSYVDNWACYVTQDAKIILRTDSGVYAKAGEEYKRV >KN539359.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539359.1:114629:115160:-1 gene:KN539359.1_FG014 transcript:KN539359.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTHKQISSGGASGGYCTVPWCSICAGNNPFAIAEFLLCCNLCGVPLAGRPSFIYIGEKAFCKEECRSRYVVEEALREAREEKRRATAAAASPEKKKEAAAARKGGEECREGSIFFICADDL >KN539359.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539359.1:85977:90982:1 gene:KN539359.1_FG015 transcript:KN539359.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLCPPTSFPSHPARARRLREWTDASSVSDDKALEGIGRPLSMHRAVPGFLRRALVTRSRPQASRFTSLACCLTNLDHQEPTQSTISGDYRRQCLLPLITLAVRTSNWDVARKISFRECERLYGLSQSIGLFALLIQSFLPRRVIEVRCLIQSIVDYCGNASPELFELALMLVNSLGGSITLLQVYASFIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRNQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWNFLQVLRQRGYPCNSYCYNAVIHGFCNKNQVHKAMEVFDEMKKGGVVPDVHSYSILVDAFCKQEALSLVSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHDFFPDVYNYTSLIYAFCRHRYLKEALGLFELMLDNKINPNIITCTILVDGFMKEGLIGEAFVFLDEVRRFDIVPNLYTYKVIINGLFKGNESDDVWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDRLPEMTPLLKNMILEGLTPDRILYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEEMETKGLTPTVVTYTDLIIGYLKTGDERSAYRTYHNMIQREIRNGQDMTVLSMNNTWEAQLSSFRDFRRIRSGLPVLSGTCSFMCLRLLLGDQGPPVTHEEEDDLGGTYLLFPWHCSSFIGDDFLINTIGPAGKEKVIILTSLLLFFYLIIQLDVHRSYPTEMADEERRRRSGKPPKIVKHRHPRLINVME >KN539359.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539359.1:39686:41486:1 gene:KN539359.1_FG016 transcript:KN539359.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNEAWERWGLVGGTGLLGVAPSADGAMLVCDADKGLLKVEENGRVTLLASTAEGSTIRFADAAIEASDGTVYFSDASTRFNFDNWFLDFFEYRFTGRLLKYDPGTGEASVVLDGLGFANGVALPPDEAFVVVCESMRFRCLRVWLKGEKAGEAEIFVDNLPGNPDNIRLGSDGHFWIALLQVRSPWLDLISRWSLTRRVIASFPALVERTKATLKGAVVAQVSLNGEIMRVLGDSEGKVINWVTSVTEFNGDLFLGSLATNFIGKLSLAKNFHAVKDVKDW >KN541757.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541757.1:2558:4858:-1 gene:KN541757.1_FG001 transcript:KN541757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGCRPSLRSCNRLLNKLVQSGDPGMVAMVYEQMRIAGVLPDEFTVAIMAKAYCRDGRVAQAVEFVEEMEGMGLEVNLVAYHAVMDCYCGMGRTEDARRILESLQGKGLSPNVVTYTLLVKGYCKDGRMEKAERVVKEMKETGDIVVDEVAYGMMINGYCQRGRMDDATRVRNEMRDAGIHVNLFVYNTMINGLCKLGRMEEVQKVLQEMEDVGMRPDKYSYNTLIDGYCREGSMRKAFEMCRMMVRNGLAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITFNTVINGLCKIGRMAEAEELLDRMKELRCPLDSLTYRTLFDGYCKLGQLGTATHLMNKMEHLGFAPSVEMFNSFITGHFIAKQWHKVNDIRSEMSARGLSPNLVTYGALIAGWCKEGNLHEACNLYFEMVNNGMNPNVFICSALMSCFYKEGKVDEANLVLQKLVNIDMIPGCSISTIEIDKISHVVDTIADGNPHSANVMWNVIIFGLCKSGRIADAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDAMLSAGLTPNIITYNSLIYGLCKSGKLSRAVNLFNKLQSKGISPNGITYNTLIEEYCKEGKTTEAFKLKQKMVEEGIQPTVITYSTLIHGLCTQGYMEEAIKLLDQMIENNVDPNYTTYCTLIHGYIKSGNMEEISKLYDEMHIRGLLPTNWIGNGKRSDPVVVNNWNRKGGHMKTDSQC >AMDW01040536.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040536.1:886:1562:-1 gene:AMDW01040536.1_FG001 transcript:AMDW01040536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STIVHSTPIPAKEQPRIGPSRDKPSDESYSNPREYDRFSGNAPRTSQAQQRVPTARPGRVVGPVLPYENGATKDSYDARRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPSQAERYTLHQQAYTCANSATVTDVALDMRAPPFHLSGGPKGDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >KN541757.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541757.1:18125:21822:-1 gene:KN541757.1_FG002 transcript:KN541757.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MADPETLPSPSASTDSSSPPPDAWAAEYRRLLPQWESMRDSSKIAIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEVRTGLEGPGLSVSQKVLYCISTVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRASSFFNLLLFLYGARYKTIVERILKARLVYESPNMNRAVSFEYMNRQLVWNEFS >KN541757.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541757.1:9536:14349:1 gene:KN541757.1_FG003 transcript:KN541757.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKVNEEIEENIQKTREIESEIVKHSETEKEYIMKESELMKGVSIAEFELNGIIQVADSRIANIYSLLLLLPKRAEQEIMPQIVYIIFIREKFINESKGFQANMLGDLNKDLVLLLKEKGSLGDESENLKMKINAIESSSRDYIADILEELNMENSVRDLV >KN543239.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543239.1:509:3096:-1 gene:KN543239.1_FG001 transcript:KN543239.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPNPSKHIHPKSTAHQFVLTGISAHLLARKRSELPSYRAQRQCATAHGPTIMGGNDPVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLTHQVKVCDFGSAKMLFCYCSNVSKTIDPILIGHW >KN538849.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538849.1:37282:40491:-1 gene:KN538849.1_FG001 transcript:KN538849.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALVHKASSLSSSASALRSAAVLHGNQSSLARSLVSRLHGSSDSCVGVFRERLCWQQRQIVPEARGEAEDFDVPAALHGTALLQDRRKEVASFSQDAQNLKKMKRNSKIGKEIVAAIKKGGPSPSSNTTLAAILEKARELDIPKEILERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKVLVSKLLELDDVDAVYTDQSGLAESWWMELPMEPVYLQPVRFCQNGVDNTAFFV >KN538849.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538849.1:69870:71166:1 gene:KN538849.1_FG002 transcript:KN538849.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAAVAAGNEMSLSNMVLGFYEEAELQSSPPGDCAAAAGDDDDGSDDEGSGGAAKCRAFWKEQQSQLYIHFKFHLSSEEKHITKLPVGPLSCNKNLCP >KN538849.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538849.1:22351:24323:1 gene:KN538849.1_FG003 transcript:KN538849.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRRTPNPERGSRRKGEDPWLAASLRPGNFLPGLAIGFLLGLLVDFTSSWRPQSSPPLAPAAPAPRGSKRAGGSSSAGGGIGGEELKMVLVVRKDLKMRAGKIASQCAHAATGLYAELMASNRGLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFVVADAGRTQVQAGSKTVLAVGPGWFLTQNVTFVSLSCKLSQICPFEV >KN538849.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538849.1:41474:44550:1 gene:KN538849.1_FG004 transcript:KN538849.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGHAQLTPLHLAAALAADKGGILRQAISQASGGDAGAPDSRSRPTAATSSSRPEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDVAIAKLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTVVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPHKDELAYRVDNRGGLVNAETGQKSDILIQVPSGAATGSDAAQAVKKMRIMEDEEDGMDEE >KN538849.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538849.1:55160:59096:1 gene:KN538849.1_FG005 transcript:KN538849.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNVTRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRIAFKSAPSAVRFSPDGNLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSSFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVTGAYTISKDGAIFTWNLVEGSDDSPPPSPGTPEQEAVQDGEAELDGDELELDGGSRKRKSFGESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFKTFTTASPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHQGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCIFSVDPSNVRAICSSTPLKYLQRLIEAFSDLLESCPHLEFILLWSQELCKIHGNYIQQNSRALLPALKSLQKSITRIHQDLADTCSSNEYMLKYLCSSGTRN >KN538849.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538849.1:15185:16015:-1 gene:KN538849.1_FG006 transcript:KN538849.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAADYAGGVRVKKEAGGCGGGGDMFLVDDLLDLPCDDEEEETGLCGAYGGAGVGAGVVVGGGGDDRAAGNASADSSTVTAVDSCSNSFSGLADGDFSGGLCEPYEQLAELEWVSTYMGEETLPTEDLRKLQLISGIPAAPRASFRIPIRTP >KN538849.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538849.1:77989:80906:-1 gene:KN538849.1_FG007 transcript:KN538849.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDHKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSAKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTLGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAPLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >KN538849.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538849.1:155132:157008:1 gene:KN538849.1_FG008 transcript:KN538849.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWQRWPVVVAAAVVLAVAAGAAPARAAPQVPCYFVFGDSLVDNGNNNDIVSLARANYPPYGIDFAGGAATGRFSNGLTTVDVISKLLGFEDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGARISFSGQVQNYQSAVQQLVSILGDEDTAAAHLGQCIFTVGMGSNDYLNNYFMPAFYNTGSQYTPEQYADDLAARYAQLLRAMYGNGARKVALVGVGQVGCSPNELAQQSANGVTCVERINSAIRIFNQKLVGLVDQFNTLPGAHFTYINIYGIFDDILGAPGSHGLKVTNQGCCGVGRNNGQVTCLPFQTPCANRHEYAFWDAFHPTEAANVLVGQRTYSARLSSDVHPVDLRTLASL >KN538849.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538849.1:158502:159464:-1 gene:KN538849.1_FG009 transcript:KN538849.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding VQNKLYVNPVSRHNEAEPWQQQLHVENHILGASEPQLLGTMHLRQSKTAATVPSYSPKHNFSNLVEIRPARSQFAYFPRQNVTQRTPISSFLSGYAVQSSPGLTTPTKFTSLRPLPPSVTSSHVYSSEDAQPHGSVPPFYPSIALSNQASKNCAPGDLKDNRSMQQTPITSNHDSSEQLNRGFKRPAVEDDVFLKPRKSFIAVGKDLNLLPLQEERLGLCGSRPDAQLPVCLSKDSEVDVQLLNNDTQIAWSDSVNRVRTILPVKLRSGAKHILEPGASPSATLGQEESWPLHSIKTFVVEDDAHAVGTSKKRDEEICRV >KN538849.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538849.1:46126:50350:-1 gene:KN538849.1_FG010 transcript:KN538849.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog C [Source:Projected from Arabidopsis thaliana (AT4G34020) UniProtKB/Swiss-Prot;Acc:Q8VY09] MARAAPSLSAAASTAASSSTTPAKKKVLLPVAMGTEEMEVVILAGVLRRAGADVTLASVEDGLEVEASRGSRIVADKRIAACADQVFDLVALPGGMPGSVRLRDSAILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKVSGELTTSRGPGTAFQFALSFVEQLFGPCTAEDMDNTLLTKVDDNLERSIEVNEIEWSSDHNPHVLIPIANGSEEMEIIMLTDVLRRANVNVVLASVEKSTSIVGSQRMRIVADKCISDASASEYDLIILPGGPAGAERLHKSSVLKKLLKEQKQTGRMYEAGFTPGDILGLKTLGILYSLAWKITAIEIVDQDKTVTAHPSIVNQLTCEVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFEYPKS >KN538849.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538849.1:26684:28178:1 gene:KN538849.1_FG011 transcript:KN538849.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVEPPRKEVLALPPPPSPGTPAPPPQASEAQSPVTPAPASTGMEQEMNGGGEVDREEWITVFGFSLKDTNLVIREFEKCGVILRHHSGPRDDVLMHYFIEFHIVDMTKLTIFSPGLGRILTIMFVYLALKHSYDARKALQKNGVQLSSGVIIGVKPIDPMHRQQLDERFAGNKQGGFMVSLPPKSLVLKGTGASNQLGALPRPYDPKANTNVIRDANRRATGSVAAPAKSLVTNVMDLIFGI >KN538849.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538849.1:2312:2713:-1 gene:KN538849.1_FG012 transcript:KN538849.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADQEARSVRCECCGMAEECTPRYIGRVRERFHGKWVCGLCSEAVKERQKREPALTVDGAVDAHAALCERFNSTVRLNPKLSLASSMRDIARKSCQHRATATGADVIPSACSGAGAATMARSTSCALPYV >KN538849.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538849.1:59974:66309:1 gene:KN538849.1_FG013 transcript:KN538849.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-fusing ATPase [Source:Projected from Arabidopsis thaliana (AT4G04910) UniProtKB/Swiss-Prot;Acc:Q9M0Y8] MAGRNYHGYGGGGGGGMSMVVASTPGQELALTNCAYVSSADIRRFPNALALVGDAFVFTLRYPFADLVTESRRQTKVSVGDSITVSSFAPPDDFKLALLTLELEYTKARANRNEECNLICMSLVSQLDAVVLAQQLRRRFLDQVMTSGQRVPFEFCGTNYIFTVNQALLDGQENSTPLDRGFLSSDTYIIFEAAPNSGIKVVNQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGMVDCGKAHRHLYERGMLLVEQVKVSKGSPLVTCLLEGPAGSGKSALAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKNYIEAVCFVIAIQVFEDAYKSQFGIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDATKVLRHLNVFDEADIDAAAEALDDMPIKKLYTLVEMAAQGPSGGSAEAVYGGEEKIDINHFFSILSDIIRY >KN538849.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538849.1:114546:121406:-1 gene:KN538849.1_FG014 transcript:KN538849.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MHASAILHCIFISKIFTDAAGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYKEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHITELTQRFDGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQLRTRQVPPDLPSQAAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDEHTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKNVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMITPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDSGSVPLDRRPNGRPSNGRSNSRNSQSRTPKAQAAPERSSSSSSSSQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMADATAAHNGFQSITADYMFLDRQ >KN538849.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538849.1:29663:36643:1 gene:KN538849.1_FG015 transcript:KN538849.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3A [Source:Projected from Arabidopsis thaliana (AT5G19690) UniProtKB/Swiss-Prot;Acc:Q93ZY3] MRARVCTPAIKANVQDAMDKILVLDAAYLAVVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYTKGLLTPRLFKVAMTLVITVGLTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIIVRLMLVLAPAACILSGIALSEAFDVLTRSVKHQLSKLFDDSPAASGDSSAESSSASTASTNSAKNETRSEKTETAPKEKPSKKNRKKEKEVAESVPVKPKKEKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNATGRKKNPWQ >AMDW01071895.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071895.1:669:932:1 gene:AMDW01071895.1_FG001 transcript:AMDW01071895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAKKSRNPHKAPADYRSDRKSASGMSGEPKKGGRGGKFTWEGADGYADEDLDLISPKNSTTHTKSSAASAAAATDSSNKKSGDD >AMDW01040374.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040374.1:84:1414:1 gene:AMDW01040374.1_FG001 transcript:AMDW01040374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKGRMEKSMVADNDSGKSIMSQVRTSSGTFLSKHEDDIVSGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGRHLQLKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDPASLHGSCPVIEGEKWSATKWIHVRSFDNPPDVSLDLPCTDENERCTRWAAVGECYRNPKYMVGTKDSLGFCRKSCGVCDA >AMDW01040523.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040523.1:294:1604:1 gene:AMDW01040523.1_FG001 transcript:AMDW01040523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YRLCLIGTDGTDSAEFVLFGRVAQQVVGRPVMNLIKFQGRSDNIPKEIAAVVSQKFTFAVSVTERSLMHRNISFQVNGIETFFGRQGSIPQPREQGPSAGPSTPLALAASGMDKDDASQSHESTPVDSSTSTADPVDELPGDSKKRKSIVRHPLAAQKKLTFETAPSSKENTSPVDPPASSSRTDDQAADKQHAVPHE >KN542834.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542834.1:3135:3878:-1 gene:KN542834.1_FG001 transcript:KN542834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADKFHFPIGDPEGRTIKNTYASLINSIVSRITTRAVATVEGIPVCVPASGCFEIILFPKPGSRFAEKHQHGRVRLLFNYENLYLVAFKAQGKWYKFKDLNPGIPDNAESEDLHFESNYGVRGLAANISKLKNWDDCCQALRGGRHAFKAKPHLDIHTFDQLLSAIGTVLPL >KN542834.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542834.1:10313:12375:-1 gene:KN542834.1_FG002 transcript:KN542834.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPNSFLTSLAAMVTIYLSKWTAQYGPMRFTDSIIREDHMLTGSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFKCIFIFRRNRNNCQVIQSENENIILTGPTRGIVFHDIFFEINLKIKENEECNDKGFSKGLLEMKFHTRRSKIVSETLESRLSEVELVSACVKKALEGTVEITILSGPKVFHGKITACTTEIPNYVVLYDRNIGAATAVGDDRVMQLLRRVVAVSVNEMLILNIHAHNIHQNDNVSSRTLRFTPFTRGADEEVIRCGLYKMQVKVVWSVLTD >AMDW01039744.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039744.1:116:847:-1 gene:AMDW01039744.1_FG001 transcript:AMDW01039744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSEGLVMVIVRNLWGYAYSNEEEVADYIAKMMPILAVSILFDAIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKE >KN541631.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541631.1:782:4149:-1 gene:KN541631.1_FG001 transcript:KN541631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPGDDKKKNSHLKDLEALGPLEVFRADMDEEGSFDDAVAGCDYAFLVAAPVNFQSENPEKEMIEAGVQGTMNVMRSCVRAGTVKRVILTSSAAAVSGRPLQGDGHVLDEDSWSDVEYLTREKPPAWSYSVSKVLMEKAACEFAEENNISLVTVFPVFTLGAAPTPTAATSVSAMLSLLSGDETQLKTLKGLAATGPIPTVHVDDLCRAEVFVAEEESASGSFQGFPEKPRVWYSSEKLVREGFEFKWTDLDEVFDDLIEYGKVLGILPQ >KN541631.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541631.1:20406:21994:-1 gene:KN541631.1_FG002 transcript:KN541631.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVERKTVCVTGGNGYVASLLVKMLLEKGYAVQTTVRDPNNPEKVSHFKDMEKLGPLKVFRANLEDQGSFDEAVAGCHYAFLVAAPVYDKSHKSDDLEKEIVQGGVEGTLNVMRSCVRAGTVKRVILTSSTAAVSSLRPLEGAGHVLDESSWSDIEYLRSMEKLSPTQAYSISKVLSEREATKFAEENGLCLVTLCPVVAVGASPAVRVDTSVPACLSLITGDEEMMNILKGIEKASGWSMPMVHIEDVCRAEIFVAEEESASGRYICGSLNTTVTEIAGFLAAKYPQYNVRCDCIEEHHPEKPTISLSSAKLIGEGFEFKYKNLDEMYDDLVAYGKALGLIPN >KN541631.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541631.1:13148:18176:-1 gene:KN541631.1_FG003 transcript:KN541631.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNGYIASALIKMLLEKGYAVNTTVRNPDDMAKNSHLKDLQALGPLKSFSFISEDSTNCCGLYSDDMAKNSHLKDLQALGPLKVFRADMDEEGSFDDAIAGCDYAFLVAAPMNFNSENPEKDLVEAAVNGTLNAMRSCAKVGTVKRVIITSSDAAISRRPLQGDGHVLDEESWSDVDYLRTEKPPAWAYSVSKVLLEKAACKFAEENNMSLVTVFPVFTLGAAPAPVARTSVPGILSLLSGDETHLEVLKPLQWVTGSVSIVHVDDLCRAEIFLAEKESSSLSSAESSARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKPRVCCSSEKLIREGFEFKYTNMGDILDDLVEYGRALGILPH >KN541631.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541631.1:7692:10029:-1 gene:KN541631.1_FG004 transcript:KN541631.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPDDMRKNSHLKGLEALGTLKVFRADLDEDGSFDEAVNGCDYAFLVAAPVNLQSENPEAYGVSKVLLEKAACKFAEENDISLITVLPVFTLGAAPTPLTTTSIPTTLSLLSGDEAQLKTLKGLAATGSIPVVHVDDVCRAEIFLAEKESASGRYICSSLSTTVMALARFAAAKYPQYNVQTDCFEGFPEKPRVCYSSEKLTREGFEFKWTDLDEIFGDLVEYGKALGILPH >KN541631.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541631.1:24571:25403:-1 gene:KN541631.1_FG005 transcript:KN541631.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding AYAIAKVLSEKEASKLAEENGISLAAVCPVATVGASPAPVANESVANVLSLLSGNEEISTLRMIDKYSGGLKLVHVDDLCRAEIFLAEKASSSSPAPSGRYICCALNTTMRQIARSLAAKYPQYNIDIDALGGDLPEKPTILLSSEKLTGEGFEFMYKTVDEMYDDAFVEYGRALGILP >KN545765.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545765.1:214:1050:-1 gene:KN545765.1_FG001 transcript:KN545765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVVCAAAMAAAVGEEVYEFGSGASFIFGDSLVDAGNNNYIPSLIRLNTYCSLICPNSGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKKAIFSITVGSNDFLNNYLMPVLSAGTRVAESPDGFINDLIIHLREQLT >KN546416.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546416.1:86:631:1 gene:KN546416.1_FG001 transcript:KN546416.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVTNRFGMPIQAEGVPRKVADPFDFGGGHIDPDKSVDPGLVYDIDPKEYTKFFNCTLGPKEDCESYVGQLYQLNLPSIAVPDLKDSITVWRTVTNVGGSEATYKASIEAPAGVSMSVQPSVITFTKGGSRSATFKVTFTARQRVQGVYTFGSLTWVDGVTHSVRIPVVVRTIIQDFVSDTS >KN539345.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539345.1:102255:103694:-1 gene:KN539345.1_FG001 transcript:KN539345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYARGLFAGVMVSQLGQKVRKELTHGIRGCEKYVKAKIIELELSMMYDILYTKAMILQTWIGCILRCISHIAMVVAFVLFLVTPKHGHRMADVAITYTLFAGALLMEACAIGVVAASPLTWARWRRHNCVNLLAIGKIIGAKEDRSVVPISLGQFSLATCALHDGSTPRIMSKALYAFGLEKIYRDVRHVKHVETTEIVGCFVDCFNNRPSNNDQDEVIMGVSKEGNVDVRLNLLSISQRFEVGIIQLHLFTDICTTSVLLFGFLEPGIWEEKTGIVWECVMLSNYMMYLLLAHPSMLPVSSDYAVMEHLNYMAKLVDMYKYAGGKLDPHARMDLLLKALEQMTSNTKSDLIVVARELIDITRVWAMDSHIEEINRLGRGPLRVNGTLLKLVKETWILFLIYAASKSRGELHARRLDDGSELLTFIWLLLVHHGLGNVAEFGLTLGRYVTSEAPPSLSEMIDRPVSIELERCDFYDF >KN539345.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539345.1:4469:7561:1 gene:KN539345.1_FG002 transcript:KN539345.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKPLFMSLLLLLLHTQLVVPCSSAAYTNHTGALPPVFPCMPDQAAALLRLKRSFSITNKSVIAFRSWNAGEDCCRWGGVRCGGHDGRVTWLDLGDRGFKSGHLDQVIFKLNSLEYLNLGGNDFNLSEIPSTGLERLSKLTHLNLSSSNFAGQVPARSIALVANLSNLEELHLGFLDLSHQEADWCNALGMYTQNLRVLSLPFCWLSSPICGSLSNLRSLSVIDMQFSGLTGRFPDFFANLSSLTVLQLSFNHLGGWLPPYIFQNKRLVAIDLHRNVGLSGTLPDFPVGSSLEILFVGHTNFSGTIPSSISNLKSLKKLGLDASGFSGELPSTIGAIPHWAWENWKDAHLFFLNLSHNEFTSVGYTIFPFDIEMLDLSFNMFEGPIPLPQSSGIVLDYSNNRFSSIPPNISTQLRGTAYFKASRNNISGDIPPSFCCNKLQFLDLSFNFFSGSIPPCLIEVVSALQVLNLKQNQLHGELPHYINESCTLEALDFSDNRIEGNLPRSLASCRKLEVLDIQNNHIADSFPCWMSALPRLQVLVLKSNRFFGQVTPSVGEDSSYKFPSLRILDLASNKFSGTLSEEWLTGFKSMMIDSANGTSVMEYEGDDKRVYQVTTVLTYKGFTMRIDKILRTFVFMDVSNNAFHGSIPEAIGEIVLLNTLNMSHNSLTGPIPTQLSRLNQMEALDLSSNELSGVIPQELASLHFLTTLNVSYNKLVGRIPESTQFSTFTNSSFLGNDGLCGPPLPKGCNNMTLNVTLSDRKSADIVLFLFSGLGFGLGFAIAIVIAWGIPIRKWLPVRQRAP >KN539345.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539345.1:79190:80531:1 gene:KN539345.1_FG003 transcript:KN539345.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIEFSSEDKANLVETLKNKLQALAEQHVDVLESLAPTVRKRVDVFMEIQGEFFGSGENLGIDPVVDGDDGIVVPPSEVVDGEDDPPSSFRKARHDVDYGGVKRWRLALNTDSQYACSSASL >KN539345.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539345.1:49112:75309:1 gene:KN539345.1_FG004 transcript:KN539345.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGIEEGINPITSFDDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQICSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFANFLRTVFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSVRPGAAVGRGSRSSSLQQFVDSGGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRSNRMGGAKRRHLVEEIVEKLLMAAVADADVGVRSSEYHVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILHDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQVLHLVEQLCLALNDEFRMYILHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPTVQVGTHVSVLVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEDFTIFVSSIHKLLVKHHMRYRKWDEIENRLLRREPLISENLSVQKYTQCPPEVISDPLDDFGGVPSEEADETQRQSRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPMESPIADSRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRRDAFCRLQDLSVQLATATNSYSGTLASQVATSNAGVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLNAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVNIEMWLVVLPQIIARIHSNNKIVRELIQSLLVRIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRCMLSFAPDYDHLPLIAKVEVFQHALENSEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNAHSHTVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTQHGNEHLASGDTREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >KN539345.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539345.1:110219:111320:1 gene:KN539345.1_FG005 transcript:KN539345.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKTIEARTWGVAVNQIDARGSTKAAATAYVMMTAVTVANELLVLPPVHKSLPTDEDLHAREVNKLKLIFHLKLSALQRSTAIMVCTLSSSMVVDRLATIMGKLSLHVPGSEAWSGATIPVHKVFKRIKTDLEGPPSLWEEKRHRPLGTTPSSCCYSSSPRHRWRELQCNRWTPGPEQMAPEQPALRNAEDDDDNGEALCALFKPVALAILPMPRVPYPKHAIGDDAIEDNGGNSLIKPLRSTRQCSTLLCRLRSSKADAPTAYVGPSDADGLLEVFAKANV >KN539345.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539345.1:18279:18680:1 gene:KN539345.1_FG006 transcript:KN539345.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKIESCPWREIEFVSDSREDSCKATLGKDLEGLDRFRFVLGGDSSSRSYKTREEKRIDLQQASTRVVIPESGDLSRSNLLVSVRVQSMASGVGGGDKGVTVEEATRPKPNDGVRIVANKGQESGKQIRP >KN539345.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539345.1:93886:99967:1 gene:KN539345.1_FG007 transcript:KN539345.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLRRFKEADAAEAFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNVPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVIMHVESSEVDLSDFQDADDGTNVPIDNDAGQPTEMDAAPQQDGPENEQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVTKDGDGLSGMKQGDLIIWYVEQQNAQGAYSSTAEVKLEVKCIKAIIERLIQREGHLIVIDEGAAPAADDGAARRTSESRILAVNPNYVID >KN539018.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539018.1:48131:49924:-1 gene:KN539018.1_FG001 transcript:KN539018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMTNTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGESQSLEHNRTGNWASEAPEFHQRYLWCNDHKLVTPSEVSSELYRAVRRAYSNSATLVL >KN539018.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539018.1:114505:128029:1 gene:KN539018.1_FG002 transcript:KN539018.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGVSIEGGLVGGCQLTEERVAARPPRAAERDAEPVRPLSTLPESSIGLYDPSRERDSCGVGFVAELSGDYKRATVNDALEMLERMAHRGACGCEKNTGDGAGILVTKDAGFELPHPGEYAVGMVFLPIDEKRRERSKAEFQKVAESLGHVILGWRRVPTDNSDLGESALQTEPVIEQVFLTKSSSSEADFEQQLYILRRLSILSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLECEKLGLTKDQFSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKKDLYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDVPSKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIYLKDIVESVPETERVAPGISGSLTQKNEKKEHAGVNGIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICTEARGAIKKGYTVLVLSDRGFSSDRVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQNDGKIPPNGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIRKCFDGTPSRIEGATFEMLARDALCLHELAFPSRAPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDTADKISVDEVEPASEIVKRFVTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAILQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPAGTIHVKLTGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRDSTFIPEDNIVIGNVALYGATIGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVRCNHELVDLYHVEEEDDITTLKMMIEQHRLNTGSVVARDILSNFDTLLPKFVKVFPRDYKRVLENMKAEKAAAKLAKEPKISNGVSVTTKKVQPEQSTNRPTRVSNAKKYRGFISYERESISYRDPNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSNETIEADLVLLSMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRNEQDAAEDITPSGAGFVQPVAA >KN539018.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539018.1:11042:13816:-1 gene:KN539018.1_FG003 transcript:KN539018.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIWHLKLVSAHKVKILGVSATGRGRSGTTQIPHKHRFRNNREEDEVGFLHPTQFQSLEHSQTGYWTSEAPDCPRRYLWCKDHKLAISMEILPKLYRAARYAYSNSAAAKHGPLMETDLMRHSKALLILCPDMLTAWNSRKMVLSVNYDFTKLKGELQLCALILSYSPKNESTWSHRLNDIQQSYKWLLVFMVLGRRWVIKKVSEHNQDVSELIERESVLVKEIAEKSKMNYRAWRHRCWLIPYMTRKQVLNELKKSTKWNELHVADNCCFHYRRSLLLALLDSCHVEDTEDSLDRKSVHLLWKEELTWNEMLIRRYQGRESLWIHRRFLSQWWVKFLLSSEETECAAGTSLVDLFLAQEIYLLSDCLNAPADEFGEACVQTELAALYILWISKVTP >KN539018.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539018.1:54595:60128:1 gene:KN539018.1_FG004 transcript:KN539018.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPPSSTAAQPSPDGGNVNPDDACPSSRQQRPPSLPQHSAPIHHRRRVIKTPLMPAVKVMEYGSSNDQRMQDKEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLMIPSVRFLQELSERKALWDVENGLVSVSSLELNRVYNRWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQNYQRDHMDLTAGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIILDIMICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKKSGCLVCSLVSLIPEFLSNWFILRKLVKFTLSSLVNHFRCGRNPLVVYRLILWWLIAIPAIREYNTYLQDRSS >KN539018.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539018.1:83631:94616:-1 gene:KN539018.1_FG005 transcript:KN539018.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRIKKGIAGAAQVTIPFAIFTDRLVPFFAPAFLSCPDHGQAAAGAAPPRSAPASSPALISSVQDLYDFICSGPLVDRIGYTKEKIAGSIDRWLRCGVQVARLFRLNELHLSEAEKARIYHFYIPVFLWCEDQVTEHRAKYKDGDEIPPLVIGDQVTEHRAKYKDGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNAATLSIDDFYLTAAEQGKLRERNPGNALLELRGNAGSHDLPFSVETLESLLKLTKEGSKMKLPRYDKSAFGGRGDRADPSTWPEVEGPLELEVVNKNLEAYYYAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPDHLLAKPPHLAAAVPTPPSPVDAPRRNPTPRISAAAAEMVSALAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALIRTKWLNCKKAICDEVEIVKQLDAQLKAFKEAPGARRSSSPPIRSNNKSFVFQPLDEYPTSSPAPFDDPDVWAPPRDTPNRRPARGQSSTRKSSQDGAWARGPSKTGTPSRGAKPNGSKGNSGARSSTASSTGGRKGKSSSSKAESVSSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARFYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALININLKTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQKSVSPADIEKHEKWQAEFGSA >KN539018.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539018.1:8276:10174:1 gene:KN539018.1_FG006 transcript:KN539018.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKQYHTLGVHTYRAILTLLVVCIPLSLLWVFMGKILVLIGQDPLISHGAGRYIIWLIPGLFANALIQPITKFLQSQSLIMPMLVASVATLVFHIPLCWLMVFKTGLGYTGAALSISISYWLNVAMLVAYILLSSSCKETRTPPTIEAFKGLDGFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSICLTSITLLFTIPYGLGAGGSTRVANELGAGNPEGARSAVYVVLSVAVTEALIVCGTLLASRRLLGRAYSSEEEVISFVAMMVPLVCITVVTDGLQGVMSGIARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGAKGLWMGIVCGSISQITLLSAITFFTNWQKMAENARERVFSEKPTEPSRYPLVE >KN539018.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539018.1:137969:141131:-1 gene:KN539018.1_FG007 transcript:KN539018.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRIGQGAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKEAGRGGVKAAEVDKYLEKYRKDAESCVNAVEESVRASLGKRKTVPKPRGGEDAKKLKIWLGKKKVQEDESDSDSDSEMDDDEGADTKSIILDDGNSSHGSKSEDEKVDLGSITESPSEGETSGEKSGRSESEENGNGVQESREPTIRSEGECGDFISDGAAEHEIGVVDEHTPENGVSALSEEALKPDVKAEDNTASASATSHLNDLEAPPVEEPANGNRSPLSQEPLDLTIYSSAAELEVVGMERLKLELQNRGLKCGGTLQERAARLFLLKTTPLEKLPKKLFAKPSGGGK >KN539018.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539018.1:149887:154417:1 gene:KN539018.1_FG008 transcript:KN539018.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTVERCPDNKMLGRREIVDGKAGKYTWTTYKEAYDTVIKVGASIRSLGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFIEEKKIGEVLKTFPNATKYLKTIVSFGKVNPEQKEKVEQNGVSIYSWEEFLQLLREDDVYISYLPLAHIFDRVLEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQDKVSTGGFLKKTLFNVAYKYKQGNMVKGSKHEEAAAMFDKLVFTKVKRGLGGRVRLILSGAAPLSNHVEEYLRVVTCSLVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVHLESVPEMGYDALSKESPRGEICIRGDTLFSGYHKREDLTKEVLVDGWFHTGDIGEWQSDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPGVDSIWVYGNSFESCLVAVVNPNKQALERWAESNGVTGDFATMCEDPKAKEFILGELTKTGKEKKLKGFELIRAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQSVIDSMYKNMK >KN539018.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539018.1:156385:161565:-1 gene:KN539018.1_FG009 transcript:KN539018.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRINGDREYAEFMHNPTVKYTDFALVRKEISDETERATGHKKQISPVPIYLSIYSPNDTIFSVVDVTVSLTNDLLRCSIEGQSDSIVQDIETMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTNAVDMLEGRSYRLQYPWIGVVNRSQQDINKSVDMIAARHREREYFANTTEYKHLVHRMGSEHLAKMLSKHLESVIKSRIPGIQSLISKAIAELEAELHRLGKPIAADAGGKLYTIMEICRMFDGIYKEHLDGIRPGGEKIYYVFDNQFPVALKRLQFDKNLSMENVRKLITQADGYQPHLIAPEQGYRRLIESCLVSISGPAEAAVDAYGKTIKIKSIESPAYRTLAFVSSFKHELNQFPTLRVEISNAAFESLDRMRNESKKSTLKLVDMECSYLTVDFFRKLPQDVEKGGNPTNSIFDRYNDSYLRRISTNVLAYVNMVCSSLRNSIPKSIVYCQVREAKRTLLDRFFTELGAREIKHLSKLLDEDPAVMERRANLAKRLELYRSAQAEIDAVVWAR >KN539018.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539018.1:61878:65682:-1 gene:KN539018.1_FG010 transcript:KN539018.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVVDMVLDDLKKEHPDAISVIRLNGMLHSDDNCATKEIARQLCLEHQLAFSKMASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARSIFNDKKFKGILSSLTDADATTSHILGFLLEDKEKSSYNFITIMKEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNKGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >KN539018.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539018.1:25908:26743:1 gene:KN539018.1_FG011 transcript:KN539018.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVAACIFPLLVGAAAEVVGGAAQESTSQQCNFSAPTKYCADNKNFVIVSLTKSHPTVIYCQDTVICLEPIPLCF >KN539018.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539018.1:1125:2644:1 gene:KN539018.1_FG012 transcript:KN539018.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding VKGLSKEEPAIRGDLVTALPDRIQPIPDGSATSSKKTGLWRSSGSHAGTGIKFDSTYDLEWKLIYVGSAEDENYDQLLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLWEEPPAKLLIDRVQRNIMADKPRVTKFPINFHPETSAGAGQEQQQQQQSGLPENHPNQGSKPNPDE >KN539018.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539018.1:97311:101556:1 gene:KN539018.1_FG013 transcript:KN539018.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANEEAKPKPRLIVRLGVFLASHHLLFSAVCCTAGIIALLFLPSLAKNTYLSENALIPGSANTLFSTEDVQEANRFAKGIEAAIGESRGGRTEIPKFIAQQTKNLGAEVYYHEFLPDSKCFHPLKFFTSMTNNMAAKPNGTYTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQKVTPNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYSAVSAWLNQYHNPMFLSHPVNLDTKIYGANQILYKPDGTAEKAELMAFKRAGTMATALIFKVGETRKYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNVETFNSLLSSSWLRVIAEVFQNLGSVLRKINPDWKLDVTVPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFAPAFHLKNENAKSSFLLRGGRLTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGGSKTKGKLEDECKTKGNADDLQMEGGSWKWLKSARVLLIIQFWAVLVSLLPYYISQIPGAMPIQYAVIWAVLSITILIILYAMFGSPSRAGVEWKLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLRAQIEMNFLPRTVLLASNILLTILGFPPAAFLIMKGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCYQPESKMKQE >KN539018.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539018.1:142004:146003:1 gene:KN539018.1_FG014 transcript:KN539018.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYVVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHNVLSDAGFQKEKLKIEEEEKKLNVAIEEAEKQYSEISSEMKGLEIKSKEFEELEERYWHEFNSFQFQLTSHQEERDAILAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMSQYRIKIHPMGSYPRVTDIHKNTYELYIMLTRFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >KN539018.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539018.1:30424:31159:-1 gene:KN539018.1_FG015 transcript:KN539018.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKIAVHAARISSMILATVVLFLGAKRPYPDVFFRASSFSFMLVAMWFEIVWGVLELLLHAAHLIFYGVGSLSTTLLTVMVMVNLALMCCTWGMSSAALSSAMFAAQHHLCVSITGCRWYVIDAMLSIFVGALSAFKALGMFRLLVSQISRAED >KN538683.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538683.1:222942:229166:-1 gene:KN538683.1_FG001 transcript:KN538683.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPLVMFCGDLKRCCESMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNVGASFDSDYILSVASGITNGKPSSNGASTSVEERFTLDKFGQGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIVI >KN538683.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538683.1:299676:302892:-1 gene:KN538683.1_FG002 transcript:KN538683.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGPIIAGLASKVLPEFVSWLRKEGKKFEGLQRDVDSIRDELELIDAAIPDHRSNSGSNSQKVWISQVKRLANDIEDWIDQFRVAETKEARQELAGQILGLKQRSEKIGKEPPATSNNPPTTGQKMVAMDGALDHKLPLPESRHKMVGMKGALEELRELVVRQSDRQSERKLRVICIVGFGGIGKTFLADKLYTSVSKEFFSRHAWVNASGKRADEVLKEILEELGKQVDKGKGKGIDGASSSSNIPTIDGNICQYIRESKAAAYY >KN538683.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538683.1:166659:170196:1 gene:KN538683.1_FG003 transcript:KN538683.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYCGGGPCIWSLDLGKATVHGTNAHNLFGEMSSQGEVSQEDLKISEAVPINSTMNKEGKRMDEAIYRILEKLEQMEAKPTDSTTGDTHINTPDSTKVMPANCSTVGLGVKGGADFARVTCQTIMGVPEGVLVPDAPSEVFSPWLIAEMDPMTLMVTKCSMKCPECDNKVTLSTNTYELGLGNWDSRPTHGLEFSYCWVEEFKLPPWPPPIEMTQAINLFDDGRLASCIQEFQTPYDPSGSVLGSKFLGLRFDSNLIFFKFMEKMDGLIIEINHVSCYDMIEQFCEYIGKQLNSLQKPR >KN538683.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538683.1:231010:233622:1 gene:KN538683.1_FG004 transcript:KN538683.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTMKDDNTKSFLNKVKDFWTEITTRESQVVKNNNADEIEKNNKKRSGLYRDLIVMFALGSVFWSGAKKALSQLKGWVFCVASVYINFALISMMVGAAAGTLPDVFRCHMGLSGNGVLQGLLFNIIAFNYELFTTLLPGPLVKLSQRVMYWVTGATSAIAVTVIWTLATEDPLCALVVLRIIGRFLRWICVAICSCFPDFRHPKKMSKSAGHKGTTNQDLAAALVGTNHSQV >KN538683.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538683.1:279217:294528:1 gene:KN538683.1_FG005 transcript:KN538683.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQNKLISVRLAGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQNYHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNQGRKEVLSGRSGVASAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRKLQRLKNACYDAGFPRADGHPCPTLFANWFPVYFSTVPDDSLSDELEVAFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDVLYLSAIQEAVSLGKIEVVNLPVEIGTAPSAEQVQRFAEIVSDSAKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNGNGKHVRNDQTEQLTNSPGFSSEGSENGTPLESDRAMEGETCDIDIETARHNLEITNSLPSEQSTEQGELHGTRTELQSNFRLESNPLKAQFPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRRSNNLLVSRRKQSLSAEQNGAIDYEAAKFKVLKSSNGASFDNDYILSVASGITNGKPSNNGASTSVEDREMETSVVTVDPRTSDTSNSNGNAPLGSQKSAERNGSLYVEREKSDHVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKIFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVQISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >KN538683.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538683.1:159394:162058:-1 gene:KN538683.1_FG006 transcript:KN538683.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNTTKSPAIGIDLGTTYSCVAVWRHDRSEAITNDQGNRITPSCVALTAADRFVGDAAENQAALNPTNTIFGIGVNNDHTMSVVIPRNTFIPTKNVRRYTTQFDNQTGVSIDVFEGESASTLDNNLLGKFVLSGVLPAPTGVPQIDVTFEFDTNGVLHVSAEDMGTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQMNSGNLVILE >KN538683.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538683.1:307556:308269:-1 gene:KN538683.1_FG007 transcript:KN538683.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFNPASCRSLLLPLRLRLRLLLLLLAAAVSGATGSYDPKAFCSKTTDVASCLRVYPTLPDDVAKSQDNEQLYTRLYDYCGVKIYEASSLAESMIATTTAADPVIIATFFPQWKGDEAITTKTHPGKCLLSCNKTIGDVDAIQTCGNTYMEDRPPMIHQNLTVLFHGGHPLPLCKSGCPERSSSEGEAILATKFKYIWTLLDLLEAVLPEYLSETATGAKHKTTTPSPAAASTAP >KN538683.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538683.1:260269:262921:1 gene:KN538683.1_FG008 transcript:KN538683.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPRIRLSWVFLFLLWVYLLNWVRRFTLTYTDGSIWLTTFAVMVSAIPLTELFYIHAMRIEEITEDDDDASYEEASYKHKQKMGRCILRGLLVLAWLFVIDFGRRVWGEVDELLPAIFIVSWLVITATQFLVMAGLYIEGLPPVVSET >KN538683.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538683.1:190627:198445:1 gene:KN538683.1_FG009 transcript:KN538683.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGGSPWLRSVNNLLGRQVWEFDPDLGTPEERADVEKARREFADHRFHRKHSSDLLMRMQFAKENCQRLDLLAVKRGEHEDVMGEAVWSSLKRVNYQRAMTGGKRNEDGGWGLHIEGHSTMLGSSLNYVALRLLGEGPNGGDGCIENGRNWILDHGGATFTASWGKFWLSVLGVFDWSGNNPVPPELLLLPYQLPFHPGRMSCYIRMVYIPMSYVYGKRFVGPITPVVLELRSELYNDPYDEIDWNKARTQCAKEDMCYPRSSNLDRFWSFLHKFIEPVLLHWPGRKLREKALATSMRNVHYEDECTRYICLGGVPKLLF >KN538683.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538683.1:148130:151247:-1 gene:KN538683.1_FG010 transcript:KN538683.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSGNGKQGGGGGGGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFAADDDESFVGDAAFNQAALNPTNTIFVFPEKGWILRSVSLLVYLGKTVKNAVITVPVYFNNAQRQATIDAGAIAGLNVMRIINEPTAAALAYGLEKMPVSNKGRMVLVFDLGGGTFDISLLNIDPGVDIDMGLFEVKATAGDTHLGGADFDNELLKHSLREFNRKHGSMDIESNQKALRRLRTACERAKRMLSSTTQTTIEVDSLHQGIDFRVTLTRSRFEELNKDLFSKCMEALENCLHDAKVDKGSVDDVVLVGGSTRIPKVQKMLSEFFGGKELCRSINPDEAVAYGAAIQASILCGGTDDKRLVDMLLREVTPLSLGVETEDNCTMSVVIPRNTAIPTKKVKNFTTLYDNQINVSFPVYEGESANTKDNNLLGKFRLSGIPPAPKGVPCIDVTFDIDANGVLNVSAEHKVTGQKNSITITNRSGRLNKEEIDRMALEAERHKMKRISQTEVA >KN538683.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538683.1:298634:299188:1 gene:KN538683.1_FG011 transcript:KN538683.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLLLVVVGSMAAQEAGDAPASITGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLRAIANHTTEAKAMATKLLATMKGKGVPPVCLQQCTASVDTLSNALAAFFSASADVNKKYRDLDGFLVGFLKQPPICMSACPIRSCDMEEVSIADKFHQAWKMLGVAHDLITQILGTKS >KN538683.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538683.1:317410:318114:-1 gene:KN538683.1_FG012 transcript:KN538683.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLASPHSLLLPLLLLFLLVAAAIGSYDPKAFCSKTTDIASCLRVFPTLPDIVTKAQDNKELYKRLVRYCSFKTYEARSLAESMIATTTAANPANIATFFEQWKGDEAITAKTPPGKCLLSCNKTIGDPNLRTHLHGRQAPHHPPEPHQGEALLATKFNYIWSLLDLMEAVLPEYLSETGTATTTVPSPYVAAAAAPAP >KN538683.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538683.1:211231:220941:1 gene:KN538683.1_FG013 transcript:KN538683.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQIYDGSQVWDAGLTVEALVATDLVKELGPTLKRAHSFLKNLQLLDNCPRDFNRWYRHISKGGWTFTTADDGWQVSDCTATALKVQVQTSQACLLLSRISPEIVGEPLEIDAQYNAINCLMSFMNDNGGFSAFELVRSNTWLECLAFFRKLHPGHRKEEVENCISKGANFIEKSQRSDGSWYGSWGVCFTYATWFAVTGLVAAGRTLGNSATVRKACDFLLSKQLPSGGWGESYLSSHDEVYTNLKGNRPHGTHTAWAMIALIDAGQAERDPVPLHRAAKALLNLQLEDGEFPQQEIVGVFLQTAMASYSQYRNIFPIMALTRYRRRVLLEGNI >KN545140.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545140.1:67:2306:-1 gene:KN545140.1_FG001 transcript:KN545140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DITLFEKIAPSAYRLRVDPRIKGKEDSGSDTEDSGSVDDHSDASSGADESDDSHEMSFSEHEHRILRRKRKNGHENVNRCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGADSAPRLEEPPRVVPSIPRAQPHVSGGKIKKSTRNICQSSDQCFNASGSMHGLDSSMHEQSRSLRSRDYVAYSGRNDTSTGVAHQPQVVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQRQTCLFEAMKKHYENRDAVQPAMPSDTSLSETSSGDGASPKLSSGDGASPTSDIDNASVPTNPAENMINASSAIAIEVGRRGDEKILKWERSQTFDKWIWTSFYSCLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVSFDLEERYAIHVATCRDPEDAYDVPNHKVLPSQLQALKAAIHAIEAHMPEAAFAGLWMKSSHKLWVKRLRRTSSLAELLQ >AMDW01033697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033697.1:2:502:1 gene:AMDW01033697.1_FG001 transcript:AMDW01033697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PANLLDLLRSSQIFRMPTEELSVRLVGSSLLSSSAVELAQIGVNLTASTAEWFGDMSVKEGPVYGELSLSPMFLNDVSAGWLVNMAALEASGGATTADQSSSSSSVMCSFLSVVAMLMDREEDVHQLRAKQVLYSTLSNAQTLDFFKRISQHLGFGHRYFYILQQIN >AMDW01016393.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016393.1:27:212:-1 gene:AMDW01016393.1_FG001 transcript:AMDW01016393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGKFAENGVDENGQPTYEVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGGRCQ >KN541383.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541383.1:5201:10100:1 gene:KN541383.1_FG001 transcript:KN541383.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMVITRAREVGMWRGSCDAATTMAIGLVLKKKKINQMADNSGYPSKQMKVSLARRQAQNKSLQQQNEQLKLENEKLKKENYAIKLQEFNSICGTCHMRAENACLGTEIQRLYARATNQETEAQPEEVELPFPPTTGSQEGAPLNQDEPAPPSK >AMDW01012036.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012036.1:20:208:-1 gene:AMDW01012036.1_FG001 transcript:AMDW01012036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKLCRNVAGIYRKIQEDIEANLKEADVERRENGEVFETKVALQLGRSTSELKQFRAIASPA >KN541005.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541005.1:7168:8321:-1 gene:KN541005.1_FG001 transcript:KN541005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSQRKLALVILCGLLLLLLPLVSSVPVSRSVSLRNNQASVSALEAPVQVVAAAAEERDLDEVAARMVIEVNDYPGSGANNRHDPKSPGRA >KN541005.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541005.1:23036:24580:1 gene:KN541005.1_FG002 transcript:KN541005.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLARAIPRSSAAAAATTRGFTDPRSFPLLRREGSRLSTSQGGIGNGGDGEEDDPFSFADLQKLPPDVARDVDAVVGAAEGFHADTARARGLLERCGAAASEQVVPGYAPGRHACHSMLAILAMHRHFDDARALLDQMRRSSLASPAAVMLLIRRYCAARDVAGAVAAFRALPSLGFRPGVAEFHGLLTALCRYKNVQDAEHLLLSSEKEFPFETKSFNIVLNGWCNMVRSVREAKRFWNAMEIKGIKRDVVSYGSMISCFSKAGSLDTVMKLFNRMKEAGVIPDRKIYNAVVYALAKGRCVDEAKALVRSMEEKGVSPDTATFNSLIRPLCKARQVQEARKMLDDMLGRGLSPSVRTFHALLDVARSPIEVFDLLDKMKELQCDPEMDTFIMLIRKFCRWRQHDSVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEESAKYYEEMKAKGFPPEKKTEEMIQAWLSGRELAKASASVGSRGGSVSLRSHPRK >KN541005.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541005.1:19038:22644:-1 gene:KN541005.1_FG003 transcript:KN541005.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPRFLGRRSPPVDAIAARRLVALLLEHQDRRRQLLQIHSQLIAHQVFDRRPTPWHALLKAYSHGPHPQDALQLFRHARWHAADDTYAFTFALKACAGLGWPRACAQLHGLVVRKGFEFHTYVHTALVNVYILCGCLADARMAFEEMPVKNAVSWNVVITGFAGWGEVEYARLLFERMPCRNVISWSGMIDGYTRACRPVEAVALFRRMMAEGISPSEITVLAVVPALSNVGKILIGEALHGYCEKEGLVWDVRVGNSLIDLYAKIGSIQNSLRVFDEMLDRRNLVSWTSIISGFAMHGLSVKAVELFADMRRAGIRPNRITFLSVLHACSHGGLVEQGVAFFKSMIYECNINPDVKHFGCIIDMLGRAGCLREAEQIIRDFPVEVNATVWRTLLGCCSKYGEVEMGERTMKKILALEREFGGDFVVLSNMPTELGRFSDAEIVRKLVDQRNSVKSFFNIVRMEAIKRLHAYYIVSGLYNCHYAMSKVLRSYAILQPDLVFAHKVFDQIEAPTTFLWNILIRGLAQSDAPADAIAFYKKAQGGGMVPDNLTFPFILKACARINALNEGEQMHNHITKLGLLSDIFVSNSLIHLYAACGNICYARSVFDEMVVKDVVSWNSLICGYSQCNRFKDILALFKLMQNEGVKADKVTMVKVVSACTRLGDYSMADCMVRYIEDYCIEVDIYLGNTLIDYFGRREQLQSAEKVFFNMKDRNIVTMNAMIAAYAKGQDIVSARKIFNQIPKKDLISWSSMISGYSQANHFSDALEIFRQMQRAKVKPDAIVIASVVSSCAHLGALDLGKWVHDYVRRNNIKADTIMENSLIDMYMKCGSAKEALQVFKEMKEKDTLSWNSMIIGLANNGFEKESLNLFQAMLTEGFRPNGVTFLGVLIACANAKLVEEGLDHFQSMKRLCSLEPQMKHYGCVVDLLGRAGQLEKALRFITEMPIDPDPVVWRILLGACNTHGDVAIAEIVTKKLNELEPSNSGNYTLLSNAYASARRWSDAMNVRQCMADTDVRKSPGCSAVEAA >KN541005.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541005.1:10411:18096:1 gene:KN541005.1_FG004 transcript:KN541005.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLSSLLLTSSPLRLRPSAGAFALFLSPPASRRHLLLSSPAPLRTLSTASASAAAGGASSDSYSSGSCHSPFPEWSLPRKDVEVLVANAAPALFKDGEASELRLRQYLAGEGSDVTQSERAETIDIVRYLLSYAYGSPVSYLKDKELTDSAVRNILAEFVSFSGFPQTSSYAESTARQNTLGSRPPGQNIEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCVYYNYGRNMSCLRCSCKRPGTIPPNPAGAGLDGVAQFLNTSIVGKSEIERKLAENDQKAERWLNKVSQLDDSADLSSLAADEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYSSNNSPQDGSSDSKISKTLDRILGRSTSTSVQNNQSGDGDVNTSSNKTTSNLGGIDPVPFVPLSADQFAKPQNSFGDGQSDTQISTEADSMAKSQMDSMERRDDKRSFDTTEEWSKKVAELSNVKDFPSAISDQDFPEIMPMRKGENRFVISKKKDRSLTSPQYKRRSVLEHADNSNFIPFVPFPPDYFAKKDKPVENSSDAGIVPEGPPSAEKLPETKYSSGNLGNIQNSSQVMGSQAANNMNNENRNGNYPHQNLSTSGYGYGESITYQHQPQSQGMVGRSGGASETGTGNANNNQGSFSESRDRSTYNRGSHSAQPPYKSGYSSYGESANRGYTGKSLEGSAVKGPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRHLVTMLAEVVWWPNLIAVQDGHGCTVCSSDFFVSLLLVVTQQSISLWLEGTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQSKGGATQRGAKGPKIGGGGGKR >KN541005.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541005.1:26180:27319:-1 gene:KN541005.1_FG005 transcript:KN541005.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAVGGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVAPKGSARTVGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPGGKKGSQKLDEWVLCRLYNKKNNWEKHMQMVNPAAAGHDGGYLQSISSPQMKMWQTILPPF >KN542187.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542187.1:6349:6895:-1 gene:KN542187.1_FG001 transcript:KN542187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCEIYIAEGKLLGGTTTRTMATDEWGKETDKKFQAWPRTAGPPVVMNPVRRQNFIVKSSE >KN542187.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542187.1:15759:18379:1 gene:KN542187.1_FG002 transcript:KN542187.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYGIAKSDFLDGILTAQYSENDINLRYCYKDNELTLIPSVSLPSNAVSLGFKRRFGPSDKLSYRYDFTTDDWNAVYKRTVGKDFKVKAGYDSEVRVGWASVWVGQEDGKAKTAPMKTKLQLMLQVPQDNFRNPTFLFRVKKRWDL >KN543318.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543318.1:3256:8918:1 gene:KN543318.1_FG001 transcript:KN543318.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSSSSLRRLLLLLLLPLIPLLAATTTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYVPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGDMEQAYGAGAKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSLQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKCIKLGEHTLLYGNSSFVSLGPQQEADVYTDQSGGCVAFLSNVDSEKDKVVTFQSQSYDLPAWSVSILPDCKNVAFNTAKVRSQTLMMDMVPANLQSSKVDGWSIFREKYGIWGNIDLVRNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNHVLHIESKGHAVQAFLNNELIGSAYGNGSKSNFSVEMPVNLRAGKNGLSLLSMTVGLQNGGPMYEWAGAGITSVKISGMENRIIDLSSNKWAYKIGLEGEYYSLFKPDKGKDIRWMPQSEAPKNQPMTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCDYRGAFSPNKCRRGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVATIEQLELYYVFIVPYQGELGWAHRR >AMDW01036600.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036600.1:182:555:-1 gene:AMDW01036600.1_FG001 transcript:AMDW01036600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEVRLREGRAVNIHMFCARRPGLLLSAMRAVEGLGLDVQQAVISCFNGFTLDIFKAEQCKDGPGLLPEEIKAVLMQSAGFHTMI >KN539625.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539625.1:45446:53545:1 gene:KN539625.1_FG001 transcript:KN539625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGASDNLTVRAMRRRGCAVISNPSSDTTRCGCSQGSKATRCGLEASSLHNGGDGGHPSSTTGAQRGTKEDRRQQRVRSHAREGWDPDSPSTKPHLKDYKVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTISPLPHMFVIKDLVVDMTNFYNQYKSVEPWLKRKDAPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKHIDTIKKLQLEA >KN539625.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539625.1:88390:88791:1 gene:KN539625.1_FG002 transcript:KN539625.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATYATTTTTMVLVVVLSISTIVPAVAMADAGFIATTCSKTHNAKCVAVLTANPDSADKVETLVLL >KN539625.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539625.1:26594:28362:1 gene:KN539625.1_FG003 transcript:KN539625.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIRNAAYIGTPGKGILAADESTGTIGKRLASINVENQSTLKAWAGKAENVGKAQAALLARCVANSQATLGAYAGDAAAGEGVSESLHVKDYKY >KN539625.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539625.1:58375:59706:1 gene:KN539625.1_FG004 transcript:KN539625.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHVTPDAATSAGDHGKAERSPSLSLQIAWLAASLLPRFFCSEVPQVAWYLRWLEGAMASGGARRRKGRRGGRGKVKRRTKYLSLSRFLIKKEEEEEVVVVAVRSPELVPKEEAPSSPEDGGDGGITEY >KN539625.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539625.1:69858:83296:-1 gene:KN539625.1_FG005 transcript:KN539625.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding METVETLVAHIQGLSGSAEELAHLHNLLRQADGEPLRAHSAALLPFLAQLHPSAHSLGFLYLLEAFASSASNLRAQGGGDFLVTMADFLVSCSAEQIRLAPDKFLSVCRVFKNEVMQLNAPIRGIAPLRAAIRKIQTSSEELTSIHADYLLLCLLAKQYKAGLSVLEDDILEVDQPKDLFLYCYYGGMIYIGLKKFTIALDFLHNCLQCYAILQAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSSTAQRNLKNHAQVYVDLSTCYSKGNYSELEEYIQLNAEKFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLDDIASSVQLKTPKEAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSCEMVEHIDSSIQRLMALSKKLSSIDENISCDPAYLMKVAFRGLTKIIQRDLNGIARKVDTSNRSWDKFMLTETICSLNRHKDICISSSLSADLQKRLLFWGDCWEKHFDKISIEERSKFDEETLYNVQGIKMKKIYLKPGLGKENEYLVLTILLAAEGKLEFPIVRSASDLTTVLGILNSIHANKIEKHFTNWFNLFVNENEQVALQALAKTMQKDLNTIAAKVDTTKQRRYKFMLTVDEIDSWEEHFDKISIEERSKTDEETLFNVEGIKISKKYSKNPESCRKEYIVLTIILAAEGKLKFPQIRSADDLRLVLGMLNGIHASEIKGVQILWTPQEEDDALSEERLLKDYPYLRPLKQILLGESKQLLLGESKFKQILFGESEEKQLLLGEPKEPSVQEDPNNTKG >AMDW01065464.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065464.1:348:890:1 gene:AMDW01065464.1_FG001 transcript:AMDW01065464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FISIDCGLEADSSYLGDLTGLTYVPDGPYIDGGENQKVTTVYRNRWWGPDTRTLHTVRSFPSAKGQRNCYSLPTHIGSKYLVRLDFLYGNYDGMDNPSLKFNLTLGVKHWDTVSIDTTDGNDGYNVHEAMFVAWVSWAPVCLINIGQGTPFVSTVELRPLGILPYPAVMGNVSLSLYVRSN >KN539625.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539625.1:20305:24490:1 gene:KN539625.1_FG006 transcript:KN539625.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSAAEVAVTVTPVTLSVAASSGRHVESTETALGAEVHLICINKIMIHRYPAILQNLKMDYYRYFVPSVVAIGPYHHGERHLQEAEKIKWSAVCNFCTNTGHSADEVYWKILPIASGARSCYEGDPVVGIRKAEFAAMMIRDAVDPLLQTWFGSKQPSILRDMFMLENQIPWVVLEALMTFMPVPVPVDNFISNAGASFNVRLDDNTNRVRNPFNLNKMRCKPHLLGRLRYYQSGLSKLGESSWVLKRPEGVTTALRQSSSAIELAEIGIDVVATEASWFADMKISKGLLFGKLSLPPLVMDDLNACWLLNMVALESYLAFTGKNDVQTVSSYICLLAMLMNRKEEVHELRLKGILHGKFSDKCTLSFLKNLAGLISLPPQHVCLLAHLEAYQRKRWMWIPIHKFLYNNYRIIVTVFSVIGVLVGIFKTLVSIEQQMQL >KN539625.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539625.1:63689:68168:-1 gene:KN539625.1_FG007 transcript:KN539625.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSDTSSSYSSSSSSSSSDSSSSWSSSSDSWRSSSSSSSSSPPKKKKVVMVESADLETHESVGTAASPPPPPPVALTPWEKFWISVAVVLGVGGLVFGLIFLIKRSIPPPRTISVVKLQVALGGVAAAKSFQKDLNSIAERVQGSSRRWYKFILTDLFKKYQVIAFAEINLFVHRTQFSIKVMAKCSYNKVDVKDSDSWNCHFKKISLEERGKFDEETLSNLEGVKRKKEYSTKMDGSKNEYIVLTILVATDGTMEFPKLITNAADLKVALTKLYSTPETGLEEVAALRLVGVRDAVGIDLAPAPPLVVRGDFHAQPFANDTFDFEFSNVFDHALYPGRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFRRSDVVRISKVDAFGLDTEVILRKKRSSP >KN539625.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539625.1:30070:34077:1 gene:KN539625.1_FG008 transcript:KN539625.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQEFVECHGLSREVFHFEFLVSNQIFDGAGGKGTWGKLIDTDTDACLDRNDPNYDSDEEPYELVEAPVSTPVEDYKKSVAPIIEEYFSTGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGDVISSTQIRLGFVMLLEAVDDLAVDILDAVDVLALFIARAVVDDILPPAFLSREKASLSESSKGMQVVQIAEKSYLSAPHHAELLERRWGGSTRTTVDAVKLRITDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPTAEALIVKLLKEASEELLISSSQMMKGFSRVVDSLDDLSLDIPSAKSQFQTLVSKAVSEGWLDSSFVHVGANGDVQDDEHEKLRRYKKEAVSMILEYFLSDDVPEIIRSLKELGSPEYNPIFIKKLITIAMDRKNREKEMASILLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISGKLPPNCSGAETLNMARSLATARHAGERLLRCWGGGTGWVVEDTKDKIAKLLEEYESGGDVGEACNCIRELHMPFFNHEVVKKALVMAMEKKNERILGLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEHAKKSGWLLPSFGVATSA >KN539625.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539625.1:2118:2435:-1 gene:KN539625.1_FG009 transcript:KN539625.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEAVAIYIDVPGEAQATLKDMKARMAKTGLLEDSHGAAIAQGKIHRFLRGLRGIGGSDERYIVPTVVAIGPYHHGEPHLQDMEEVKLAAANHFFEGSVVDDV >KN539625.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539625.1:84826:87226:-1 gene:KN539625.1_FG010 transcript:KN539625.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASSLYKKASHFALLLYLRLAGSPVAFPEQLVVQIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKRRFGGDICPHCGQLFDFSKAASSDRNPSLGSCTWPSQVQHAAVLGLEDSRMEKMRAYAEQTKLLEEYYRKQRKLMELKTSARPGETWQGLVAALHLQHLDASPTPHKLTM >KN539625.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539625.1:36423:39751:-1 gene:KN539625.1_FG011 transcript:KN539625.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSSGGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWKPLVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQQTQDPVAAGCRTVASSRKECPSPLAAQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >AMDW01039712.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039712.1:7:450:1 gene:AMDW01039712.1_FG001 transcript:AMDW01039712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRSFIQPSQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLGKLHSIYGAEAEGYCDACFSRNYPVLPTLPEPVVELEE >AMDW01030874.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030874.1:2:430:-1 gene:AMDW01030874.1_FG001 transcript:AMDW01030874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESTSGKDDEEEEKRRREELERLVGGPEDATFSGADLAALIRSKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVAHVRSSLAKLKDRPRIGKAVSIFIDMPTDDSGARSNEWIYK >AMDW01015182.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015182.1:25:240:-1 gene:AMDW01015182.1_FG001 transcript:AMDW01015182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >KN540101.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540101.1:21522:23831:1 gene:KN540101.1_FG001 transcript:KN540101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLEREAFEYAAKTGMDIVTICPALIMGPLMQPTVPTSIEVFFHIIKVYENSGGSERDICSSTPRKLSDIINTSKSLYPAFNYPQKFVEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >KN540101.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540101.1:55280:55865:1 gene:KN540101.1_FG002 transcript:KN540101.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVGEALRGKNLTAGLREVDRVAREIGAPLLDKKFFADGYSFARRTAAPPFAGLDKARAAHAELVAIDVLAGCAKKVPAAADAAADEF >KN540101.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540101.1:51945:52347:1 gene:KN540101.1_FG003 transcript:KN540101.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHTIAGGVPFDKAQSVVTAAGAAQVTIDVDFVAEAKEAKTAVSSRGSNLASAVVGIVTASSAVTMVAAGDVSPAVAFGLFVLMIAGLSLAVAGVRRV >KN540101.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540101.1:44440:45163:1 gene:KN540101.1_FG004 transcript:KN540101.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGLTVGDTNADDVFARERGVSESDLLPRETGEPLLLLLLDKHRDVFARERGMSFGDALLGNNHPAGLREIDAPLLDEKFFSDDYSFARKTAAPPFAGLVAADEQGARSDKALRPAPSAPHSEQVAIDVLVGAKEVPVAAGADSGGGHGGNLSTVVGIFAASTAVTMVAAGAVSPPVAFGAFLLLLGGLLVSVSRVLENQLVDDI >KN539211.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539211.1:52256:53788:1 gene:KN539211.1_FG001 transcript:KN539211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSRPQQQQRPPWADEITTVSEGRRDAGDGDPLLHRIKSLTIAPPLLSGQSAASSEAESSLTDILVRKPSSSSSATSGNLNPNVMFELFSLYREWQEEKAKKISETQEEIENKIETADALSVKLLQRFNYSVTSMRSTSHNLAEGNDISFS >KN539211.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539211.1:126058:132793:1 gene:KN539211.1_FG002 transcript:KN539211.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPCARQVAQVRRSVDWVYCQFNKMQTTSWRNASNSSNRGSFSEDARRRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRQTYTPAGPRVYSMSHHYTVLGLDRYILLPYSVLSDLWFMIYQFVLTSHVLLCRSRTTPYTDAEVKLHWSSLGRLKGSMKTVSAGLAIKWMICLQLTLLILHSRSQYQTEISLAKTGHVAVAEQLVEELQPRLPLSLRHYTALLYGWCRMGKLDEAKHVLARMKAAEVAPDVVVFNTLLAGFVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGMVDEAMRVFVEMRRKGCAPDSVTYGTLVTAFCKAGRISQGYEFLDAMAREGLRVDAGVYLGFFVAHEKKDQLEECLELMERMRECRCPPDLSIYNVVIRLACKLGETKQAVALWNEMENSELSPGVDTFAIMVNGLVGQGALVEACGYFKDMVGRGLFVAPQYGVLKDLLNSLVRDQKLELAKDVWGCIMTKGCELNVGAWTIWIHALYGKKHVKEACMYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRKKGQKRSRLRQAGQDQSNRHADKTDLFDDFDDE >KN539211.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539211.1:22314:22869:1 gene:KN539211.1_FG003 transcript:KN539211.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSFLPAGSSESESSEESVMDLILITALTGFICRSSGSFCHPVCGCKPEAQMGSEEWVMAVCRTIWLPQQFIEGMIHVSQTPLP >KN539211.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539211.1:54081:55088:-1 gene:KN539211.1_FG004 transcript:KN539211.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMDAAEDEDLGIIDPRSDDKALKRHLLRKYSGYLGGLRKELSKKRKKGKLPKEARQKLLTWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPTEEMQFAVMEAYHHQSADAAAAAASVHMNSLALQKMDGSCNRRAETKDMDVQRVHTTQGAWCSYPLELGNVSVVPSDAFDGIPIGVSDRLCAIQDCVFGSSVSLSLGSTLLVVKG >KN539211.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539211.1:107460:109360:1 gene:KN539211.1_FG005 transcript:KN539211.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPHALHAWMGSPPLNNEGPICHVRAGVGLGLDHGALSVSPACGTLLVDCRGRRLLLRELGAGSARELKLLVCDPLRKTSVSLPSRFVAGHKVACCALLPGAGAAFRVAVVLFGAAPAHFDILVYSSAASAWEAATGALKKSMNPHQGPTVVIGDVVYKLQSEEHKYVMAIDATKMTLSAVPLPNTGVLLYAGNHWIGKTQDGRLCFFALREQLVLAKWVLESPGKWVEQPAVDLRALMNPATVGDLSRIKLSAKISDQLRGCKLVSFGGFCEGTGALFFVMADWVVSLDLATWRFERMWRNTDESRPLGDIFPVEMMVWPPARRGDLGEKE >KN539211.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539211.1:16086:20904:-1 gene:KN539211.1_FG006 transcript:KN539211.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGEGEGGEKKKKNWRYAAKHFIKKHPEDVVVHCSGCNGAARTFDGVDVMGTRLAEEVLSLVQRRPELQKISFVAHSLGGLIARYAIALLYKSATEIDSHEEHEKQVTDVSSNQLIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYRISWIAGRSGKHLFLKDIEDGKPPLLLQMPKVQDTDFSDSMIYQAKNTSEMEELMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >KN539211.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539211.1:83785:85887:-1 gene:KN539211.1_FG007 transcript:KN539211.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVAEEKPQLLSKKAGCNSHGQDSSYFLGWQEYEKNPFDPVSNPSGIIQMGLAENQLSFDLLEEWLEKNPHALGLRREGGGASVFRELALFQDYHGLPAFKNALARFMSEQRGHKVVFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGLSKDLGLPGFRVGAIYSANAAVVSAATKMSSFGLVSSQTQYLLAALLGDRDFTRSYVAENKRRIKERHDQLVDGLREIGIGCLPSNAGLFCWVDMSHLMRSRSFAGEMELWKKFSPDTEFLPVVVTYGKYYCSSIKASDHHL >KN539211.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539211.1:24753:26239:-1 gene:KN539211.1_FG008 transcript:KN539211.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATSSRDSVCAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVAVYPARLAMLLSHDVPYLPELQWSKGSRLKDVVCQFQEVMIAIFYYMLMRESPDHYQSKFNFITDSQYFKHIIVDGQFFSGFASWEQMGNWTD >KN539211.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539211.1:8426:14601:-1 gene:KN539211.1_FG009 transcript:KN539211.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCSSSLLLLLLFSHGLQSTEGGELHHRHQIKEPPPEVEQTGGKGWAAMSEALIGSRPPRCEGKCAPCGRCEAVQINLCLPPWVQENDSAESPLNTYPWEGIHGPRCKGVHSATQNIADRKRESNPADN >KN539211.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539211.1:114295:121950:-1 gene:KN539211.1_FG010 transcript:KN539211.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDPRLRPGSLLDSKLAEALNLANSLEEPRDGFYQEGLAAKGAPPHLVVQNPSSRGRSHVDKFFGPGTVDNVKCYLRASESEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGSSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQERRVRLLAQIEDVRRTRAIQRSNRKRHGNSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPNIEEHRSTVLQVLQQIGVSQDKINSMIEVWNKIDIVDNNDNDVTDDIEDEIFLTEGEEEELFSENDVPAEESSFESLDDGTVSEYLSEENLEDNNGEISSSLEPSEMRAMNSVSSSSKDCFGELCGPEAISTDGCSSTQPMSTCHVKTSAVTGTGLQELLELIDKKLTERQTIVERSYGPFDRKWRPSSSVIGEKAAEQ >KN539211.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539211.1:28121:37154:-1 gene:KN539211.1_FG011 transcript:KN539211.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHHFGVVGASGGGGHGGHGHHQHQHQHHHHPWGSSLSAIVAPPPPPPQQQQQTQAGGMAHTPLTLNTAAAVGNPVLQLANGSLLDACGKAKEASASYAADVGAPPEVAARLTAVAQDLELRQRTALGGLGAATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQLNTLSISGRSLRNILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSAYSTANTCDVCTVDSVIRIKESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPTNAAAFYMDGHFINDGGLYRLG >KN539003.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539003.1:67439:73944:1 gene:KN539003.1_FG001 transcript:KN539003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPPAAPAAEPVPPWLRSLPVAPEFRPTSAEFVDPVAYILKIEPAAAPYGICKVVPPLPPPPKKATLSNLSRSFAALHPDDRSPSFPTRHQQFESKAGATRKSLLAGLNVPASRQLTPLDHEVLFWRASADRPIVVEYGSDMSGSGFSPCAAQPQPPQQQQPTARAAAHVGETAWNMRGVARSPGSLLRFMPEDVPGVTTPMLYVGMTFSWFAWHVEDHDLHSLNYMHLGAAKTWYGMPRDAALAFEDVVREHGYGGEIGAECRGICGHFSRILSLRFQSWEPSNGEMETRSSRIKEKKKCEGEQLVKKMFIQNVIEDNELLSHLLNDGSSCIILPANAHDGPGLSTLRSTDQSNMNSRISHNLCSREEAPEASGCLSLNRNGDTRNCISSDTHNMEGDKGDIMSATGLLDQGLLSCVTCGILSFSCVAVLKPRDSTARYLMSADSNSINNQLSISGGSILADAPTNERNGVISRPYSEHCCNEIMSDDAEIDKNSALDLLAFAHGGQSDPEEDPLEKILKIAHGINKSQPNSSNNVGCVGTKLSSSSTERQERPSSQNAHCNGSSVISNGPKGVRTRNKYQLKMVLSEGFQAKDIYSVKEKKVQSEPSSSKGDVKETVDVSGTENDVGCKSTTISVSEHRGSTKNLYPVKEKKVQSKPSSLKGTVKETVDVSGTENDARCKSTTISVSEHRGSTPMTNSLAASIVKPDKDSSRMHVFCLEHAIEVEKQLHAIGGSNIMLICRPEYPKIEAEARSLGEEMGLVYDWKGIHFKEANMEDRQKIQEVLRDEEAIPTSSDWAVKLGINLYYSANLAKSPLYNKQMPYNRVIYRAFGCDSPNDSPVMFNTCERKQSHQKKIVVAGRWCGKVWMSKQVHPYLAHRVESQEAEEADRICSYHFDEKHKAEPVGNSSRVEASKRKSSSLTDVTESSNRRGEIPGEETNTKRPKHSQENNLRALETAVEVVVPSPAGTGLRVSSRIANRANKLKSKMEKEDVPSSRPKSNIKEKSSHASGQKSNVQEANANSSSHLRAMPPKQKAEAEAKKQIRTPKPPKQAVEYSCDIEGCSMSFRTKRDLSLHKSDICPVKGCGKKFFSHKYLLQHRKVHTDDRPLTCPWEGCNMAFKWPWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRKTGHSVKKKKQAKS >KN539003.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539003.1:148304:149101:-1 gene:KN539003.1_FG002 transcript:KN539003.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTWQAEKTPVVVLAGERPARTITIPKTTVRTGGAPADADGAARPPSEPRSLTPIPHYQNKMKRERVVFCRIVFCIHVLLLIIAVCLATVAVSLAYHPKSPRLSVTSTTLNAAHIDKLRDGGVRRAISANLTVLAAISNPNTKIGIVPRYVRFDLYFEDSVITGAGAGGARRQRAPESAPGGQQCVRDAARRDRVAERDGQGRRAGGAAARRPAPHAAQLRPVVLQVQILGQAAVHALARPTAERRTATLAVLTLLLLIDCISRVY >KN539003.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539003.1:46329:47493:-1 gene:KN539003.1_FG003 transcript:KN539003.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKLVLKLIENEKKRKATFKNRRDGLKQKVSQFATLCGVEALLICVPGQHPPCIAYQMPPPCLAYQMPPPPPPSLAAAPFDQCMSGTGFMDSSNPYAAHIMHGGSTAAGLLDDHGQIFSAGAGYDDDDILGHGFAFAAGTGYDLEPRMTTADVWPMNTLNNIPNDGSIGFQLQNDLKWMFPGGSNGSNLQGGFQI >KN539003.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539003.1:7245:9044:-1 gene:KN539003.1_FG004 transcript:KN539003.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIKVPKMEQEEGNADSHGKEKADVVHEEKTEKVKRRRKRVSDPQRKKACVDCTKRCIRLHGMASSSSEKARPIPTLPSFFKIMVGYFSENMDIPLPFARTITDLTGSNVYLEDAYGLRWRVRLYLHDGVLSFGHGWKNFVLDHDISVGEFLVFRQIARSVFTVQIFAISACERIHLCERNKRQSRKRKPGRKTGYPADNQMVKVSSKDVVKRRKKLRTGEQRYDLDPRQHDMPVHVCIDSGSELRCSESSVKELDAAADKSHAVVQVPATECNADPSYNAAGMKTIENLEAIGASSNTKDVTWDANKSEDYPSFSYPESSNVMTADKESERSHQDRAMQLYCELGLEDGNAETENCENSNVLENAELRTPLAMMDLNEVGIDDIFLSADIYEFDSDFCSPEAFSVDVNTEGLVANGRTPGDCFGMPETSRCLENKQMTDVPRTSTDDGSIAVHGIDINALPSNTYPDIGQGNTYPDIDAAPDDSKKDKNVLHSECNKVAQKG >KN539003.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539003.1:120684:123406:-1 gene:KN539003.1_FG005 transcript:KN539003.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMAAEFTDMEGESSAVTSPFPALTSTTPNELEMTNKNSNVVGVMTHSNSMPTLTAAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQEISETSSRVEVDNPSQLEVDRDEDYRSEADVSNGTFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYANPTNEEEKRASNMKFWETTLGTIAGCTVMYIVAMGWGKRSGLLQ >KN539003.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539003.1:150130:150741:-1 gene:KN539003.1_FG006 transcript:KN539003.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRNDKKRRPVAFCFTACCILFWLLVIAVGAAVLVIFLIYHPQSPRMRVTSATLNAGYVDELGIVGGARALNADLTVLAAIYNPNTKLHVVLRYMQLDLYFQGSMIGTQAVWPAPLHEGPRGSVLRSVHLVVSEVTMTQEDVYEWQNATSKGGPVVLHLAGRFRARLIFGRWFKFNYWVSPQCTLWLDPPPSGALRRARCS >KN539003.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539003.1:1967:4012:1 gene:KN539003.1_FG007 transcript:KN539003.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCHETTRWAEAYWTTCASDPVHFPPDPANKLLHPAPLVHAFMGAAAGKAAEDVAAASTMTRAEYRLAVAEQVILGWHCARSSGDDLAGIWDSDATCTNESLLSAVDEVLLLAEIHAFPMASAARRSMQDALDVAVSCLMEEFLRLKVWDASQMEVRLGVRFAVGKFSMPAADSGVSLSLAFPTTGSISTASTIDELYASGGSQSSRVGAVTMLLDGEFFDELDLISPASLSVLHQIALRVIRAGYTKELLHAFTNAPCDVLDRFLTILGMECALETDHVSFEDAEWWTAEDMIKRWILATKLVAKALAVMQRQLQAQSCGAFDRFKNYYFMAIAKNSIFVLLRFANGFTSTEAPDKLVYVLEMYEALSNAAPGLLLLFTEQRVELVSRQVEVVLAKLARALRAMIGGLIARIRTADCPQTTGSAARGVGVHPLTRYAMTCVELLSPHRAALDLILANGAGESVNSLGSLVAVLVTSLERHLEEINPELSNDDDDAAAAAAASRHLFLATNASYVARRAVDAGVEPLLGDGWAARRESLIARCVASYVEACWAPVVACLETAGRKPVKVAAKFSSAFDEAYESQVHREIPDPALRDALRKAASEMVVPAYSAYLQNHPKLQKNVRHTAGELDRLLWELFEGEAAERNKLLL >KN539003.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539003.1:130950:133297:1 gene:KN539003.1_FG008 transcript:KN539003.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPGQLSGPSWATVLINATAFLQSIVSQHLFTVPIHEALDTQMQRLDEGMFSRYNLGRRLLARGLVFGANAFVTALFPFMGDFVNLFGSFVLFPLTFMFPSMVVLKIKGKDEAGRWNKIWHWSIIVASSVLSVVTTAAAVRLIVHNASVYHFFADM >KN539003.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539003.1:93265:96136:1 gene:KN539003.1_FG009 transcript:KN539003.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFELREIFQAYGDVKDVRESALRPSNKFVEFFDTRDADRALHELNGKELFGRRLVVEYTRPSLPGPRSQKLLLNMLDNHCILSNQQIEASCEDEAQPFSSYDFLYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVFNSRKICQVTYARVQGLDALKEHFKNSKFPCDSDEYLPVELMAAPSSSGDGASSASSSNAHADEDDVHGETGGDRGDDAGLDLELQRLGYTD >KN539003.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539003.1:118113:119385:-1 gene:KN539003.1_FG010 transcript:KN539003.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTFGAFLFWQSMDRVHVWIALHQDEKKERMEREQEIKRMQAELMAQAKESES >KN539003.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539003.1:152798:155515:1 gene:KN539003.1_FG011 transcript:KN539003.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGVAVRPGETVMCDPPGEFYYHISRIALEPGELKENVQVFVEVDGDESDEEVPLAIPLFPNSDEADDDDSDEESDASGEDEYDDDEDMIDKQDSSDDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKSGYVHVATPYPAKQAKKTPVNNDMAKQSSGYVHVATPYPAKQAKKRTANNDMSEHSAGYACKSCNK >KN539003.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539003.1:36562:44957:-1 gene:KN539003.1_FG012 transcript:KN539003.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSESMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFTSLGTGKETEDATLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLIDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGATTTRNKESASDDENEDTGRNKIVSDIRKKYEKKLAVPNDEIGHFNFHGIISSCFEPYMSVYIELEEKSLVDQLDKLIQEEKWETEEGSQTNILSSSMQVFLVIRRSLNRCSALTKNETLFNLFQVFQRVLKAYASKLYARLPKGGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTNAILLSDSNMNEFNNAKLLMHMSGELAENVAKMINPQFADKVDISEVQDSLFHARYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPSLGKQSTAAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHSPAIKHPAVAPTVAPPVATSSAHHIAPVTTPTVSTTPSMATLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >KN539003.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539003.1:16833:20355:1 gene:KN539003.1_FG013 transcript:KN539003.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKENESGPHRRTGGGSQWAAPLLLAQVCVFVADFSIRVKQSIESGFGFLTEVMFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAVIYPFLQQLEGNLMEKDCNEKGWCKEAASGGGGRKLYADDKDDEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >KN539003.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539003.1:57818:58440:1 gene:KN539003.1_FG014 transcript:KN539003.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSKSKSIGGAGGVVGADICAMVAEHEKIEWEVRPGGMLVQKRRAPEEQDDGSSSMSAHSGADAIVVRVSTGWQWHDVSIDSTATFGDLKVMLSLVTGLWPRDQRLLYKGKERDDGDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIEV >KN539003.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539003.1:75683:76510:1 gene:KN539003.1_FG015 transcript:KN539003.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEFRATFAGSHANDLRRDGLAAPPLPGFMYEGVRDLPRAVDWRRKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGRLVSLSEQELIDCDTADNSGCQGGLMENAFEYIKHSGGITTESAYPYRAANGTCDAVRARRAPLVVIDGHQNVPANSEAALAKAVANQPVSVAIDAGDQSFQFYSDGVFTGDCGTDLDHGVAVVGYGETNDGTEYWIVKNSWGTAWGEGGYIRMQRDSGYDGGLCGIAMEASYPVKFSPNRVTPRRALGAKETQ >KN539003.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539003.1:114631:116726:1 gene:KN539003.1_FG016 transcript:KN539003.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGDEEEIDEKSAAQMLVLAHAYRVPWLKRRCEEAIGSRLTAESVVDTMQLASLCDAPQLHLRCTRLLAKEFKAVEKTEAWRFLQENDPWLELDILQRLHDADLRQRKWRRKRAEQGVYVELSEAMDCLSHICTEGCTEVGPVGRAPAAAPCPAQFRRKEEEKAAAKAKVKAGDDDDKQFRRKEEGKAAAKAKVKAGDDDDKWGLLVKKVRVARAMSSLGKRRQMSCSQC >KN539003.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539003.1:145656:146522:1 gene:KN539003.1_FG017 transcript:KN539003.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTHRIHPDVYTFNILINSSCRAEGVDAAMRWFQEMQRWSCSPTGVSFNTLMRGFFREGRYKEGIKVAREMLQLRFGLSVASMEIMIHGLCRGGEPLKAAEVFIEFLVDGVVPEGFDCLDLVESLCRVRNVEKAVEVVELILERNWVSCLGVPAGVTVLECLMKQGKLDKACQIMGRMVSAEIVPDTISCNYIFEALCEAGRTLDANRLRLQAKEKGFQADGFTYSIIVQGFGRQGIRKEGKAVLDEMLDAGYVPNIATYNRLLDGLHVGRSMQLQQKCSRHRNAAN >KN539003.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539003.1:106719:109453:-1 gene:KN539003.1_FG018 transcript:KN539003.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPGAPPPPEFEISRQSRLLAAVSFDGSLWVGELAQLSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYLPSDRALWEQELAKKRSQYAAFKEEFLSNPYSEIIEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLFFVFRNDPDDKNAVWSTLSKLSQLVAKYDGELQHHLEITTEINPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >KN539003.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539003.1:49125:55519:-1 gene:KN539003.1_FG019 transcript:KN539003.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MADCVHRSSNDEGSLAKSVITTKEPDTVECEDADQHCQGASVAREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLAQLAAEIFQATAAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDTFDEELESGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSSLTVVAPDYLDSLANLGVAYIQSGNLELATKCFQELAIKDQNHPAALVNYAALLLCKYGSFAAGSGGNVSAGSCLHQKEGLAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWASNEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEQINLSDVEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSELSLAHFVGLMSVWSPSPKCIALQLSDDSSCETVYKRALILSSSQQSYAILSNLGILYRQHGRYELARRMLLRSLELCPGYAPANNNLGLVSIAEGRYEDAISCFEKSLQSDPLLDAAKSNLAKVLALSKKQ >KN538837.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538837.1:146938:151720:1 gene:KN538837.1_FG001 transcript:KN538837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQPQRQRVYEAWKGNNRFFFGGRLIFGPDAKSLLFSVALIFVPVAVFCAFVARHLRHQFPAYNAGYAILAVAIVLAIYVLSLLFITAAQDPGIVPRASHPPEEEFHYDNLSLADTPGRLVFPRVKDVMRNYRYFFLFVSSASILCIYVFAMSALYIKILMDGDYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRPNAYDRGCMNNFLEVFYTKVPPSKHKFREPIQEEVRAPPANRAVEREEEPVGARTKVEDDLDIGGDLLKISQRHNYDGIDIEMGGGDRNSRNEAVSNSKLISKTDAQAPTVEDELSFLMHTLTLTSSGGCRFHLECNPILYPYKQYNFLDRAIITSFHFETTLVGQFCSHTVLFLQYAVLLNSDCVSNRSFYLYNLTFQAHLVVNAEDIGIITDMLPSQPDSELPFECWSCRCSTGIL >KN539003.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539003.1:22217:24093:-1 gene:KN539003.1_FG020 transcript:KN539003.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFTTPGALQHLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTVEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLSIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYVTEKVLAACYKALNEHHVLLEGSLLKPNMVTPGSESKKVSPQLIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLSTKKPWALSFSFGRALQQSTLKAWGGKTENVVKAQKAFITRCKANSEATLGTYQGDAVLGEGASESLHVKDYKY >KN539003.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539003.1:157233:170128:-1 gene:KN539003.1_FG021 transcript:KN539003.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding YQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCKVIEIHTDFEVMVMTPQVFLQALRNAFLILDMEFYHKSEHKPSVFGVSSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKATKICIERGQEKGWLKEVVDATYQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGINMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRTIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESENMLPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKTKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASAYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKYGIVLRHPTQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYADEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCVEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPCNNLHVDAMDTQTPKPTKEKNAADSRNISDPLLGKPLHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPGSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGIEVKPGKAVSFNVDDECVIHISQVALGETKKAGSENVVVSVKVDGKKTVIGNLSAKNHPQFMCDLFIGNDFELSHSSKTTSVFLCDEDENMSDICDIMGKHLGRPVAEEGKKDNSDDGMDLSSSDDDDDSLDSSSSDDDDDESGDEDDGSDELPAEMESPSSMESSEEEDGSDGQDESSSSMVSTDEEDESDEEDEIPEKPESSKLTAAGSTLSSGKKSKTATHFGQKTGDKNTHPAKEDGKTPAISKPNKETPESSGTHACKSCSKAFSSDKSLRSHQKARHPAK >KN539003.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539003.1:59867:65513:1 gene:KN539003.1_FG022 transcript:KN539003.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVRWWCRLIGSVRCGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEAPFANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGEGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVASIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRILTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSLGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHTQSMDGVTKFITSPKGFAQWELDLLYRHHACSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGISDSSAGTLIFCLH >KN538837.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538837.1:211784:215726:-1 gene:KN538837.1_FG002 transcript:KN538837.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRSLRKAAVPPSLLSDPSPGSLQPTRLAVHVNAAGSSCSAYLASGCRVYKIEVGAGWREMCEEFKDIALLFVLVLLVVIAMEGEMLSKGKESLLIPINAEVAVARELCKCIDIYDQDIHVRSLRTLWYPSSFSFAQCMPQVNESGSMLAIAEGSQLSIWDLRTSNNGGCVHRISGPIGGIIYSVCSSPSGPIAVGGTDRTVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDESFIYVQGVDYEITCGLWKGNERAFSFRGDSNWLGFSKCANTDVVAGWCESGSVFVADVRQDCLSVIG >KN538837.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538837.1:123942:125776:-1 gene:KN538837.1_FG003 transcript:KN538837.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSHAHALAFLVLVVLMPQMASPGKLPRFTMGPRTNKQLRDFFKNHGSDMADLVPSGQGGHEQGGGSSSNGQSQQGGGDSGGGGDNQAPATNAGMYVFSYGIGTPPQQVSGALDIASDLVWTACGATAPFNPAFTFGDTRIDGVVFGCGLKNVGDFSGVSGVIGLGRGNLSLVSQLQVDRFSYHFAPDDSVDTQSFILFGDDATPQTSHTLSTRLLASDANPSLYYVELAGIQVDGKDLAIPSGTFDLRKDGSGGVFLSITDLVTVLEEAAYKPLRQAVASKIGLPAVNGSALGLDLCYTGESLAKAKISPR >KN539003.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539003.1:133939:138613:-1 gene:KN539003.1_FG023 transcript:KN539003.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAGGASKPRLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWLGKFSFHGRSLHHISNAPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDATTHDQDVFCFYPDLRPCNGFSEALSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMNKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPERVPLPPPGGSHDAYSYGSKSFSKPSTYPQSSTSSSSYPHYETAQSASPAAPSSTYDNQVCPICLVNPKDMAFGCGHQCNPCKAFERLADKPVKYWVCIDKDRKASNPLLQDCGAGDVSSIETH >KN538837.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538837.1:182085:184806:1 gene:KN538837.1_FG004 transcript:KN538837.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQNIRLNRIMT >KN539003.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN539003.1:27377:31142:-1 gene:KN539003.1_FG024 transcript:KN539003.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIVDGNSFAFLCDDVNELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQDKLEAKVVVAGLSTTCSQKIHNQLIGIEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVLVALRAPYSEIVKDASNSSCELVSMWNLTKVEIQLCNMLSPEGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIERENKLKYTDLGGKHLYGKRTYPSKTPLLGYLSRTLAQWHQPKKYDV >KN538837.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538837.1:190858:203166:-1 gene:KN538837.1_FG005 transcript:KN538837.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3-beta-glucosyltransferase UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/Swiss-Prot;Acc:Q9XIG1] MGEFVLRSMDARFSGSADADGFPSSRHPGFGHSKSTTATSDCSKGQEHVFVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDVKRSALIASELSEIDAFGSLSRDIVETAPGLSKSVPKLKIVILVVSPFSCQSIMDAELILTPHYSNKSLITIRCRSRFTCSCNQFHADSTVPEQMAVMGAVSKARDGKIGSIQDDEFGHYVRLATHANFRTFVKSAGIEFYPLGGDPRILAQYMTKNKGFCLAGPSEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALDWGPLVDVVGYCFLNLGTKYQPPQELSQWLQQGPKPIYIGFGSMPLGDEKKVTSVILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTIVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPPTDAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >KN538837.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538837.1:118582:121640:-1 gene:KN538837.1_FG006 transcript:KN538837.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSASHARAPLLLLLLMCSCLLLSPVAADRAAYIVHMDKSAMPAHHSDHREWYSATVATLLTLGAPRGGGPRIVYTYDEALHGFAATLSASELGALRSAPGFVSAYPDRRADVLHDTTHSTEFLRLSPFGGLWPAARFGEGVIIGVIDTGVWPESASFDDGGMPPVPSRWRGECEAGQDFTPDMCNRKLIGARYFNRGPVAANPNVTVSMNSTRDTLGHGTHTSPAPCASFFGYGRGTARGVAPRAHVAMYKAIWPEGRYASDVLAAMDAAIADGVDVISISSGFDGVPLYEDPVAIAAFAAIERGILVSASAGNDGPRLGSLHNGIPWLLTVAAGTVDRQMFAGSVSFGDDTRSTITGITRYPENAWIKDMNLVYNDTISACNSSTSLATLAQSIVVCYDTGILLDQMGTAAEAGVSAAIFISNTTLITQSEMTFPAIVVNPSDAASLLSYINSSARPTATIKFQQTIIGTRPAPVVAAYSSRGPSRSYEGVLKPDIMAPGDSILAAWAPVAPLAQVGSTALGSDFAVESGTSMACPHAAGVAALLRAAHPDWSPAMIKSAMMTTATAVDNTFRPIGDAGHGDAAASPLAIGAGQVDPNAAMDPGLVYNAGPEDFVELLCSTNFTAAQIMAITRSRAYNCSFSTNDMNYPSFIAVFGANDTSGDMRFSRTVTNVGAGAATYRAFSVSPSNVEVTVSPETLVFTEVGQTASFLVDLNLTAPTGGEPAFGAVIWADVSGKYLLTATLGADALLAAAYIVHVLGPNRKPRYGVRRRGWPPSALLPMDCKDAADS >KN538837.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538837.1:216899:224155:-1 gene:KN538837.1_FG007 transcript:KN538837.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MIARAFGLVVKAWKRTPASTPPRRRSDLPALLRHLHSSQAQPEIFREEGPLLQNNSGDTVEGSFDQRFATLKSIGEDRVKDSELELLLKKKSAPVCYVWCDPTPLCAYPRYMNEYIFRGSIFKFCILKLSCYTTELYIFSRTLFGKFGPGIITTLSVNKMVKSGCKVKILMADWIAQMNRNIGGNLSEMRTIGLYNIEMWKTAGMALDRVEIVWLSDEISRLADEYWPLAIDVARKTTIMHVFSFTKLLLCRESLVFVIDSFTNESVQYTFSVLQVDISRKIKHAFCPPKLAEGNPCLEYIKYIILPWYGKFEVVRETEDGGNKTFLSMEELTADYVSGVLHPGDMKLALANSLIKILQPVYDHFKSNAEAKKALQGIEPTDIMLSRTDLKRVGSKKMQSLEYRILQGGLMIRCPYFGRREILDLKIIGHLEPCTN >KN538837.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538837.1:185595:189267:-1 gene:KN538837.1_FG008 transcript:KN538837.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISEALVQMEDLASAVNVIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFKPSYNISHVKVQYKLMVLYILSELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLLVSNLNTDKIDEDKLFNLFSMYGNIVRIKILKNKPDHALIQMADGLQAELAVLYLKGAMLFGKKLEVNYSKYPTVTADPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDTIHSLVGEHGTIANSRLFETNGKTQALVLFESVEEATEALVEKHASKLDRTNIRISFSQMQNI >KN538837.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538837.1:129573:131841:-1 gene:KN538837.1_FG009 transcript:KN538837.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADRITVLAIALLVLILSPQMAVQGKPAAGNTASPRPKQQQLGNFFKKHGSDMAGLFPRHRNGGSSGSYSGQAVPGGGGGGNGGGGQSQDPATNTGMYVLSFSVGTPPQVVTGVLDVTSDFVWMQCSACATCGVDAPAATSAPPFYAFLSSTIREVRCANRGCQQLVPQTCSADDSPCGYSYVYGGGAANTTAGLLAVDALAFATVRADGVVFGCAVATEGDIGGVIGLGRGELSLVSQLQIGRFSYYLAPDDAVDVGSFILFLNDAKPRTSHAVSTPLLANRASPSLYYVELAGIRVDGEDLAIPRGTFDLQEDGSGGVVLSITIPVTFLDAGAYKIVRQAMASKIGLRAVDGSELGLDLCYTSESLATAKVPSMALVFAGGAVMELEMGNYFYMDSTTGLECLTILPSPAGDGSLLGSLIQSV >KN538837.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538837.1:114157:116841:-1 gene:KN538837.1_FG010 transcript:KN538837.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAIVAVVVALCVAAAASAETATYIVHMDKSAMPSGGNGSTSVESWYAATLRAAAPGASMIYVYRNAMSGFAARLSAEQHARLSRSPGFLSSYLDAPVTRRDTTHTPEFLGVLFDEGGYTTDIVAAIDQAIADGVDVLSISLGLNNRPLHTDPVAIGSFAAMQHGIFVSTSAGNDGPDLSVLHNGAPWALTVAAGTVDREFSGIVELGDGTTVIGESLYAGSPPITQSTPLVYLDSCDNFTAIRRNRDKIVLCDAQASSFALQVAVQFVQDANAAGGLFLTNDPFRLLFEQFTFPGALLSPHDGPAILRYIQRSGAPTAKIAFRATLLNTKPAPEAAAYSSRGPAASCPTVLKPDIMAPGSLVLASWAESVAVVGNMTSPFNIISGTSMATPHAAGVAALLRAVHPEWSPAAIRSAMMTTAATLDNTGRSINDMARAGHAATPLAMGSGHIDPNRAADPGLVYDAVPGDYVELMCAMGYNLSDIRAVTQWPTYAVNCSGASSPDLNYPSFIAYFDRRSAAAAAETKTFVRVVTNVGAGAASYRAKVKGNLGGLAVSVTPSRLVFGKKGETQKYTLVLRGKIKGADKVLHGSLTWVDDAGKYTELLEALNMSSIELNFWNYVKNHVSNITSSPDALVSLDLSTLRLAAPHFDVNVRRFILMSTST >KN538837.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538837.1:208613:210808:1 gene:KN538837.1_FG011 transcript:KN538837.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFLAREGAVKEDEAVVTDPSASVAEGQMVMGFLNGAEVAGGVTGGRSRKRHLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAKMFKEQEEQHQKRLKEPSYRNIQYRTQYWLKEMVVPVIIRKTSARDLRRTNSMEW >KN538837.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538837.1:133722:142961:1 gene:KN538837.1_FG012 transcript:KN538837.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MAFRSDNVFEAHEVSDHGNDMDMELKPDVEMEPKLDTPPPGSSAELANNSNSLEEPKQEANGEVKIEKVHRLNAKIKMENSRNGDMASATAGWMKICGDGDNAGGEGAVAANSNTGVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVVVKNMQRCIYAIPSSSIFPRDTISRLEKNSTTSDSSPSLRASLHELASGLKSEIADKLSDFNVSNFAMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPTDLRGQHFHALLGTNNSALELFLIKRKIKGPSWLSISKFVACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIIDEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKRGMLSHFTVMRKLEGSIFPIGLSKESSDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSNMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKERKEVSPHDIPPMFQSSGALLKLVEYGETDACLALELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHARKFIVPDKFARSKEFNSTKRKMNPDTEAARPDEADPSIDDEGHHVDQGKTKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSADGNVPNLPASKTTGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGSCDDVIESIHSSLVQVQEQMRGGQTELEKYIITKSLTKAPEDYPDAKNQPHVALRLKQNGYSGCSAGDTVPYIICSQQDSESTHSGGIAQRARHPEELKRNPDKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRFTESDNQDTSSTLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLIIGYSSGNVSNPNEGNDATINFWRRMRCPRCPDDTDESRVSPAVLANQMKRQADSFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLEKLDQKARLPFEKEFAALSQTINLALMEVQKIRDRCAFGWVQLKDLAISI >KN543666.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543666.1:2081:3623:-1 gene:KN543666.1_FG001 transcript:KN543666.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPRGGTFRVLRTARVAPLSRDGVPSLGERAVPLTFLDAIWLQTPPVDRATVLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAAVLPKPPVIDRTFIREREDLYDFMVSRTKEESDKFSSPDVADSKLLATFTLSGEILQNIKDRVAGVAACRGGASPTPRCTSIVATFAVIWQCHIRATLGDAEADNPRNHGRAHFVFPTDHRARMEPRVPDKYLGNCVGPCFASAPKKEIAAADAEDGLFTTCAAIAAAIDEGTRYDPGYWERCREHVGGMSASDGPPLAVAGSPRFRVYEVDFGFGRPAKVDVVSVAKTGAISVAEGRGGGIEVGVGLPPERMERFRRCFADAVAWLSSASPSSSDR >KN543762.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543762.1:2660:3641:1 gene:KN543762.1_FG001 transcript:KN543762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHQVVGENYANPKTCFFHVLFKAGALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTVSDILHYDSAFSVSSIRI >AMDW01027310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027310.1:37:354:1 gene:AMDW01027310.1_FG001 transcript:AMDW01027310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMH >KN543055.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543055.1:29:820:-1 gene:KN543055.1_FG001 transcript:KN543055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRMLNMELIRLCLNQQRSAKGVVEHVLALEDDVKRAAALLLWNWWNNRNKINAGEQGCVPEEVERRVRSMALEIVALKGDVSTRQRKVSTEKKGWAPPEEEELKLNLDGAFYEESKSGGWGFVLRDHVGRGLMAGAGGLQTVHSAICAEATACLYALQVISERGVSRVKVETDSQILVTAIQTNDHDHAPGGILFKEIKDFIHKNFVRVVFSYVHRSCNRCAHELAHLCTGRDPDWSDVWTDPLPAFVNRLVTRDIDESPV >KN539797.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539797.1:22644:23347:1 gene:KN539797.1_FG001 transcript:KN539797.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLFLLLLAASLALANAATPPNDEGATTIVLKDGTTCKLCASCDNPCNPSYYPPPPPPV >KN543055.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543055.1:7228:7698:-1 gene:KN543055.1_FG002 transcript:KN543055.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVPLQVLERIMDAEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDALNANMKPNPHCPGIRRVILEQCIYMMECNSCYANCFNEFRMMDAVSMVEETPSRAEKYMFFLGDMGFMECNTPLSALVERAKELVSRKWLHGINSAN >KN540463.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540463.1:2965:3228:-1 gene:KN540463.1_FG001 transcript:KN540463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIEEVMAEVMHSMNTKVTEQAVTEAGVEAEVNTEVGLAAEVLETVTEAEALEAAAEGDVVTIISRPSDPEATTPEEASPEQHYM >KN539797.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539797.1:60922:70833:-1 gene:KN539797.1_FG002 transcript:KN539797.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYTVEVQYKQFFYQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGDHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNLEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSALAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDSVLDIGEVGPRATCCCQVVRSVGPWSAGTAQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHDRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRYWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRYGTNNLFSRLLAFQLQYHVLWSQFRQGFAHRKEKIGHTTIDLGVAVETTETHKEGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >KN540463.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540463.1:26631:27644:-1 gene:KN540463.1_FG002 transcript:KN540463.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAMAPSPPKPVSPRQLSLGDLRAVSMLSRSVKGVVFHVVPTMAGEVEGVVSSSMALKVISRETEQHNKMGSGGEDRQRRIWFEWDVLMSLSHPLLPSLRVVLATDVVVGMEAGKKRAVVEVLHRRIDRERDDPRRLRSEVALEQMVLVRHQAARALVSDVDVDVDAAHHNVVAVPTRRPEELRGGVEGEERTAEFHVEEIVAAIRLAEAHARKQEAAEQWHGRDLEVVMFCNKICILIFVAKSCSI >KN540463.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540463.1:17663:20465:1 gene:KN540463.1_FG003 transcript:KN540463.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDLTGPLPACLAGLQALETLNLASNNFSGELPAAYGGGFPSLAVLNLIQNLVSGAFPGFLANVTALQELLLAYNSFSPSPLPDNLGDLAALRVLFLANCSLTGSIPPSVGKLTNLVDLDLSSNNLTGEIPPSIVNLSSLVQIELFSNQLSGRIPAGLGGLKKLQQLDISMNHISGEIPEDMFAAPSLESVHMYQNNLTGRLPATLAAAARLTELMIFANQIEGPFPPEFGKNCPLQSLDVSDNRMSGRIPATLCAGGKLSQLLLLNNMFDGAIPDELGKCRSLMRVRLPCNRLSGPVPPEFWGLPHVYLLELRGNAFSGNVGAAIGRAANLSNLIIDNNRFTGVLPAELGNLTQLVVLSASDNSFTGTVPPSLASLSVLFLLDLSNNSLSGEIPRGIGELKNLTLLNLSDNHLSGSIPEELGGMDKMSTLDLSNNELSGQVPAQLQDLKLLGVLNLSYNKLTGHLPILFDTDQFRPSFLGNPGLCYGLCSRNGDPDSNRRARIQMAVAILTAAAGILLTSAAWFIYKYRSYNKRAIEVDSENSEWVLTSFHKVEFNERDIVNSLTENNLIGKGSSGMVYKAVVRPRSDTLAVKKLWASSAAASKKIDSFEAEVETLSKVRHKNIVKLFCCLTNEACRLLVYEFMPNGSLGDFLHSAKAGILDWPASPMPSDIGDKDLVAWAATNVEQNGAESVLDEKIAEHFKDEMCRVLRIALLCVKNLPNNRPSMRLVVKFLLDIKGENKPKAMKIVEAPPAT >KN539797.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539797.1:46726:59046:1 gene:KN539797.1_FG003 transcript:KN539797.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESETPRITELHVRMDCNGCEHKIRKTLRAIDGVSEVYVDAASQKVTVVGIADPERIVKAIRKTKRGNLHVASVYAGSNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFLELAGFSPEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLCQAVSQCPDYQIRVVGHSLGGGTAALLTYILREHQELSSTTCVAFAPASCMTWELAESGKHFVRTIVNGADLVPTVSTSSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARDRVAGAGALLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVAASAKEEITVETHVTSTTNSESYVVEQRGTKTMEELQYTADSVSVHEETEEEALLSEHESSREHAEEEITEGEMWFEYEKDLDRQAEVEAQTRQEEAAAAKEIMEEESAVLKNVEDRQSFSSDGLERQQFYPPGRIMHMVAMPPADSCPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKTMEILIEKFANNDENFCTDSIVE >KN539797.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539797.1:24940:25431:-1 gene:KN539797.1_FG004 transcript:KN539797.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPYAWTQVRAREMVVVTADMGDDAGEGGGCNGQGRRSSVHKLWRQPRRTRTTNVGERQQLRERRVGWAQEVAMAAVDKVNSRDDVSEGHETDNVEDGNVGMTDANDGGSRGGRGRRDGRGRWQQPQKTGMARGTQATVVAAAEAGEDGGMDAGDGAGRGR >KN541623.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541623.1:960:5755:1 gene:KN541623.1_FG001 transcript:KN541623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRHPTIAAAATSSFLLLMIAADGQAATPPPPAAIGTYCEPRERDALLAFKEGVTDDPAGLLASWRRGGGQLQEDCCQWRGVRCSNLTGHVVKLRLRNDHAGTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQLGNLSNLRYLDLSRIRLSGMVPFLYIIDGSWLAHLSNLQYLNLDGVNLSTVVDWPHVLNMIPSLKIVSLSSCSLQSANQSLPELSFKELEKLDLSNNDFNHPAESSWIWNLTSLKYLNLSSTSLYGDIPRALGNMLSLQVLDFSFDDHKDSMGMSVSKNGNMGTMKANLKNLCNLEVLDLDCRLEYGNITDIFQSLPQCSPSKLKEVHLAGNSLTGMQPNWIGRLTSLVTLDLFNNSITGQVPSEIGMLTNLRNLYLHFNNMNGTITEKHFAHLTSLKSIYLCYNHLNIVMDPQWLPPFKLEKAYFASITMGPSFPRWLQSQVDIVALAMNDAGINDTFPGWFSTTFSNAKLLEFPGNQISGGLPTNMENMSLEKLYLKSNQIAGLIPRMPRNLTILDLSNNSLSGPLPLNIGSPKLAELNLLSNRITGKVPQSICELQNLHGLDLSNNLLDGEFPQCSGMSMMSFFRLSNNSFSGNFPSFLQGWTELSFLDLSWNKFSGNLPTWIGNFSKLEILRLKHNMFSGNIPASITKLGNLSHLDLASNSISGPLPQYLANLTGMVPKQYYTNEHEERLSGCDYKSLVTMKGLELEYDEENVTVVTIDLSSNLLTGVIPEDITYLHRLINLNLSSNYLSGKIPYSIGDMQSLESLDLSKNMLYGEIPQSLSDLSSLSFLNLSYNNLVGGIPSGTQLGTLYDQNHHLYDGNDGLCGPPLPKSCYKSDVSEQGHLMRSKQGFDIGPFSIGVAMGFMAGLWIVFYALLFRESWRVAYFCLLDKVYDEFLQNLPSKFGAPNLKVLIMCHNHITGQVPGSVCKLKNIKIFDLAYNFLEGELTNCFRMPNLYFLQLSHSRFSGEFPLRLPEKYLTMEILSLVTKHQELMYGAAGSSRVVSIDLSLNHLTGEIPYEITSLDGLMNLNLSRNHLSGKIPDDIGAMKSLQSLDLSMNRISGEIPMSLSDLTFLSSLDLSCHNLVGRIPQGHQLDTLYPNNPSMYDGNGGLCGPPLQRNCSAPKLGSQNRSVNDSEPTMFFCFGIVTGFLIGLWVVFCAVMFIRSGRVAYFRQFDKFYDKTYVFAVVTWARLKRQATAN >KN541623.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541623.1:24594:25172:1 gene:KN541623.1_FG002 transcript:KN541623.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSNNHLSGKIPQELSRLSRLQQLVLNFNSLSGEIPAALGNLTSLSVLELTNNTLSGAVPSSLGKLTGLTDLALAENMLSGSIPSSFGQLRKLSFLSLAFNNLTGAIPDPIWNISSLTIFEVISNKLNGTLPTNAFSNLPSLKEVYMYYNQFHGRIPASIGNASNISIFTIGLNSFSGVVPPEIGRLRNL >KN541623.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541623.1:10736:13546:1 gene:KN541623.1_FG003 transcript:KN541623.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVAVVATSSFLLMAVATADDGQVTNGCKPRERDALLAFKEGITDDPAGLLASWRRRRRGGHELQDCCQWRGVQCSNQLTGGHVIKLDLRNAFQDDHHHDATLVGEIGQSLISLEHLEYLDLSMNNLEGPTGRLPEFLGSFKSLRYLNLSGIRFSGMVPPHIGNLSNLQILDLSISTVHQDDIYYLPFLYSGDASWLARLSSLQYLNLNGVNLSAALDWPNALNMVPSLKVLSLSSCSLQSASQSLPLLNVTQLEALDLSENEFNHPTESSWIWNLTSLKYLNLSSTGLYGEIPNALGKMQSLQVLDFSFDEGYSMGMSITKKGNMCTMKADLKNLCNLQVLFLDYRLASGDIAEIFDSLPQCSPNQQLKEVHLAGNHITGMIPNGIGRLTSLVTLDLFNNNITGKVYIVELIMNDAGIDDTFPDWFSTTFSKATFLEISNNQIGGELPTDMENMSVKRLNLDSNQIAGQIPRMPRNLTLLDISNNHITGHVPQSFCELRNIEGIDLSDNLLKGDFPQCSGMRKMSILRISNNSFSGNFPSFLQGWTNLSFLDLSWNKFSGSLPTWIGNFSNLEFLRLKHNMFSGNIPVSITKLGRLSHLDLACNCLSGTIPQYLSNLTSMMRKHYTRKNEERLSGCDYKSSVSMKGQELLYNEKIVPVVTIDLSSNFLIGAIPEDLVSLVGLINLNLSRNYLSGKIPYRIGDMQSLESLDISKNKLYGEIPVSLSDLTYLSYLNLSYNNLTGRVPSGSQLDTLNDQHPYDGNDGLCGPPLENSCSSSSASKQRHLIRSKQSLGMGPFSLGVVLGFIAGLWVVFCTLLFKKSWRVAYFCLLDNMYNNVCVIVVVQWGRLPGRT >KN540836.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540836.1:521:6996:1 gene:KN540836.1_FG001 transcript:KN540836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPLNRMVRMAARAFYDDVSLARDPKSARGDNCGLAVVVLDALGRRRQWVREEDLAKALKISSKQLRRILQFFEEEKLVRRCHRKEQSPKGVNIYNDVSATAGDVHPFTKGGEKAKMHTHSYCCLDYAQVYDVVRYRIHRMRKKLKDGLDDRDTVQHYVCPNCKRRYSAFDALQLVSDMDDYFHCDNCKGELLPESEKLTLDEIVCGGGNAIKHKHDKLKDMQQRMEEQLKPLIAVLDRNSEGRYSSKPMPFLGETEVEVNFLGSTGAQEGVESGMESIKPQPSWMNRKRTVLVGEHKEENSNTANLDQSSEAKSDKKQLSEEDEMKSIQEAYAKAYYEAIQKRQEDEGKRAIQEESLACISDQPFASDAQFERRLGAKSKRDDGGESGDDGIELKVRQPTGNIEEVYKFADLNVETQELVEKNCIPPAE >KN540836.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540836.1:37562:38963:-1 gene:KN540836.1_FG002 transcript:KN540836.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTLVVPPPQTCETEEVPLTVFDLVAPTQGQGAAAAGAFRVVDPSEVANLLLHYPSEFVAELKRRAQGKYTTFETVSAHVWKKITAVRGLDAGVRTSVNVSVNGRARLGTATVPNGFFGNLIITASSGATARELTTGTLADAAALVRAGIRAVDRRYFQSFVDFGALHCDEDEPVEPVNVDEPGVLSPDVDSDSWLHLELHRLDLGLGGRLAGILPAKVPEDGVVVVMPSLRKGGGVEVFVALWEKHAKELTSIAYTMD >KN540836.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540836.1:26359:33260:1 gene:KN540836.1_FG003 transcript:KN540836.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPLVLHDKELLLACDSLGVHRGGEIPDLADAITDVLDNHPGPVSYFRVDSTTWTDDNQLVKWFETLSNKSVVEITLLNIGCPVSTILPISELLSPNLTTLRVGFMKILDSDLLLFEYSSLSVLQLIGCSYRGAGLNYLVSTSNTLIELNIGYSMEDLTVNSQSLQELHIWNSRGGFLSVEYAPNLKLLTTGLRPKKGKSFISITLKGAPSLREIDYLLLPYHRVTLNDTMISKRMDGIVYDEGSDVLFDSSFVGLGSVSCVKTRIQYFELEGFRGGPAEMDIARGILRHASRLAKFVLSHHKNYSEEDLVEQLEEIKACIRASEDCIIEVHPLKDSPY >KN540836.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540836.1:9362:14179:1 gene:KN540836.1_FG004 transcript:KN540836.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEQLNRLVRMVARGFYEDVSLEGDQSKPNGSGSCGIVVVVLDALTRQQWVREEDLARSLMIPFNRLRQITHFLEQQKLVRRYYRKEAIHDASISTASPSHVSHDAHLVPTNVAGKLKMIMQPYCCLHYGQVYDVTLYRIHEMKKKLKDELDGNYMIQNYVCPNCERRYSSLDALDLVSHIDNNFHCEHCNEELSQDFGDLAWGGRGGDGDNARRDRHAKLKDFLQRMEHQMERLISQLNKVKDLDFPEFLALETWERNMREPAGGDDVSRPMLFLGEVMSHEHQKGSASCIDADEEIFEFRVQDARPIPSFVIRKDINHTEGNKLERCAIYANKQTRILRPKRDQMVMKTL >KN539796.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539796.1:58401:62755:1 gene:KN539796.1_FG001 transcript:KN539796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMARAAVDRAGGCLEHFAAERFVTDELLFYVAKRTSCLKSLRLRDCIKISEKGLVAVGKTSPCLEELELTTCTISILLKAVGEAFPNLKCLRLNHRWFDVQFDEFRDNFHALGIACSMPRLRHLQIFANRLRNNALAAILDNCPHLESLDLRQCFNVDVDAEVRAKCARLKDVLMSQRLSLRKWKVGVQK >KN540836.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540836.1:39650:40297:1 gene:KN540836.1_FG005 transcript:KN540836.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAAQLEAPLSDPYAAETFEDLLRELGVDPSIHTIVRSAGRWMDPAAAAARVPVRFHRTLQRLGIDPNSDARSIRDMLQEFYRVVYQGKVYWAGRVIRPRPSPMLGRRRRAADGDAPMQPPSKYARVRAVSRDVLLGLALTKACDAKQEECAVCLRDFEEEDTLRTMPCSHSFHENCLFRWLRDSCLCPLCRYALPKQQQVQSC >KN539796.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539796.1:33373:34650:1 gene:KN539796.1_FG002 transcript:KN539796.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAELPEECVAGILHHLLCLPDYSMFAGSPVLRRRHSFRQAQYHVRRSGMSDWVPPMLKWDSGFKMWQKQLSKNPIEDANYIFFGPLGGGFYVLNNKEDLLVYAPKANDRHGELTMSSVNKYQLRRNPRPTMPGPGEVLGRYLVESRGQLLMVVRFVSTEKATVAFDVFKLELKPPSWKKLTLDTLADRTIFLVRGCSCAVEMRKSCQCPPNIYFLDDSARFNGAGSSTSQAQQVEGPFPCGDTGRCCEQGIVRCLPREPPSDSSPWTWFYLPPYEALSRKWFMEQLIKQGEQLRLQEHQDG >KN539796.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539796.1:63819:69344:-1 gene:KN539796.1_FG003 transcript:KN539796.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKKEGTGDLEEEMGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFVQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >KN539796.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539796.1:80659:82139:-1 gene:KN539796.1_FG004 transcript:KN539796.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding SPRLPDDARGARFCGTFLECWVTAAEIPYDEPLPWNRFPALLNLRTGERVLLPRHLRTNNPGSTAINRIQTLVLSDSPSQTYRYWIAAIVSGKPDLMFWSNNMNEWAPPMLKWDSGFKIWQKMLPKDPIEDVKYFYGGPLGGGFYVLNNKEDLLVYTPKADDEHGELTMSSVKKFEVRRNPRSTMPGPGEATMAFDVFEVELEPLSWKKITLDAFTDRRIFLVRGFSMVVEMRNPCLPSIYFLDDSARIDGAGASTSQAQQLQFS >KN539796.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539796.1:16307:20649:1 gene:KN539796.1_FG005 transcript:KN539796.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSRRCLGIGSRYDMLTEISRRERNAGIKPDPEIDAFMKATAIQGQETNIITDVILKVLGLDICADTIVGDEMIRGISGGQMKRVTTGEMLTGPARALLMDEISTGLDSSSTFHIVKFIRHLVHIMNETVMISLLQPPPETYNLFDDIVLLSEGYIVYHGPRENILEFFEASGFRCPQRKAVADFLQEVTSKKDQQQYWFLDKEPYHYVSVPEFAERFKSFYIGQQMMKEQHIPFEKSKIHPAALTTMKNALSNWESLKAVLCREKLLMKRNSFLYIFKVTQLIILAFLSMTVFLRTKMPHGQFSDGTKFLGALTFNLITVMFNGLSELNLTVKKLPVFYKHRDFLFFPPWTFGVANILIKIPVSLVEATVWVVITYYVMGFAPAAGRFFRQFLAFFVTHLMAMALFRFLGAILQTMVIAISFGMLVLLIVFVFGGFVIRKTKMQYRSTNFWPVGGPFQTMTQPLMQKRWALILQKFALLAIRSASALVIDEHNETELYTETRNEEHRSRTSTTTSSIPTSANREGNRPTQSQFVLPFQPLSLCFNHLNYYVDMPSEMKQQGLMESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGSITLSGYPKKQETFARISGYCEQADIHSPNVTVYESILYSAWLRLPSDVDSNTRKMFVEEVMALVELDVLCNAMVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLLKRGGRVIYAGELGDHSHKLVEYFETISGVPSITEGYNPATWMLEVSSTLAEARMNVDFAEIYANSLLYRKNQELIEELSIPPPGYRDLLFATKYSQSFYIQCVANLWKQYKSYWKNPSYNSLRYLTTFLYGLFFGTVFWQKGTKL >KN539796.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539796.1:75589:77929:1 gene:KN539796.1_FG006 transcript:KN539796.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMKGFPRLEELDITFCSLYGDVCASVGKACPELKCFRLNERYILQMDYAAPDIMDDDTEALGIASNMPKLRELQLIGNKLTNDGLMSILDHCQHLESLDIRQCYSIQMDDALKSKCSRIRDLKLPHDSISDFKYRAYIFSSSAFSELEQFGASLK >KN539796.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539796.1:5183:6418:1 gene:KN539796.1_FG007 transcript:KN539796.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRHHAALVASASGRSPSWGSAISQSFRQVEAEDPFRRAQSMRGHDEEEEDLRWAALEKLPTYDRMRRGVVRSALLRDGDDDHKDDDDAGTGKAVELVDIGRLATGDAARALVERLLQDDSERFLRRLRDRIDMVGIELPKIEIRKSRQ >KN539796.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539796.1:36810:39030:-1 gene:KN539796.1_FG008 transcript:KN539796.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSARRAAGPAASRLFSTASPWDTYEADVAIDLTKHHSPATLGDKVARWTVKSLRWPVDLFFQRRYGCRAMMLETVAAVPGMVAGADIHYQGHALREVAAPLGYH >KN539796.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539796.1:51538:54669:1 gene:KN539796.1_FG009 transcript:KN539796.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAAGPLRRALFVLAMLALLLVAAPAAEAWTGEIRGHVVCDVCGDAAIGPEDHVLEGAEVAVLCITRSGEVINYQAFTNSKGVYTVAETMPESDRWESCLARPISSFHQHCTRRGDTHSGVKFTYSKPSGNSHTVKTFLYKPANAPLYCS >KN539475.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539475.1:35528:37870:-1 gene:KN539475.1_FG001 transcript:KN539475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVVFTAAVALALVNAVNSHDFAAHLAGVDCRMGLAGPVRCPASGFVELLVPALHVVGCVLAILDRLHACLMSPSLQLSIASPCCSREINWGITIMAGKCQELINLNQLTTGNKLFFYSSVAGATTKASAKPDEAMNRVFN >KN539475.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539475.1:83522:84511:1 gene:KN539475.1_FG002 transcript:KN539475.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVRPSKSGSNSNSDSHAHHPPPEPPQESSDGEDLELESSEELDSHGAPTKKAPFVAPPPPPPPQQNGKEVSGSPSLPTNSAVVLAPLPPPLQANKNHQDQESESDSDSDDDEPPLPTNNAIVLAPPNNQDSESESDSDSDDDQESALKANKIVSSSGDDDQESDSSDDETLPALQANKNAAPPDDDEDDDQESDSGDDDVLLDPALQANKNVLAFNGKRKVPPQEVGQSLQQAKKKKMEAPAQGNTDIDTQFKEKIASYFFLGKVVSLLDEEHPDLFKEAFLKLADSKASALDAKIKQLTLAQVRVSLKGRDLEKELIKLLSGFLK >KN539475.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539475.1:15538:19031:1 gene:KN539475.1_FG003 transcript:KN539475.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MAATSASGGAGCFARPPPSGSEEKKQDEEEQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCAASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNRAPKPALGENWKTVPHVRLVISRERGSNICAATVLKHTLLVFA >KN539475.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539475.1:43163:48980:-1 gene:KN539475.1_FG004 transcript:KN539475.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATFWGLELKPGEAYTHHSAPARLRITQAVLGSCDQGWTTLQCDTNDRETVRLCVLNPGLAVACHLELELHEDENVLLSVDGQNSIHLSGYYTCSHSGNHGRNSQKPTSKAVGSTGFNKKHQDISDKAPVIEEILDDQTVQQQQQQGVNISSKDVEPSHKNGHGQNSEWATCGNGTDDDNDNNGAMFYPSSRNKMEVDEPTGSKDNDYDYWLPFLDASVKRKASETDGENVYTEKGELKTPKIEDVLSDQSMDMDQVNEQMCSKNVEPNAIDDVKPTRGHKNTMEVLPLLDSSVKRKAAEIDGEKVQIEKAKLKMPKTEDVPSDQNNANQVNEQICFKTVGSNAIDDSKLSLGHQNTLEDLDKSQELNVSQTKEQNDVADQITNQDTPTITSSDERYIFTGALETDIEQKNRGAENEQVEVHRCPFEVLDNGIKVEHLVEGNAKAKVASKGKQVCVRYCGRLISGEVIDPTNLDDDTHTFRLGMRVGDKRRLTIPPAQGYGDVATSKIPANSWLVYEVELLEVKRAKRAR >KN539475.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539475.1:65578:72014:1 gene:KN539475.1_FG005 transcript:KN539475.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTATVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEQPMDIDEPNGREKHDHYRGRSPTRDRDRERKHERHHSLYQVPAALAVLSAFDSMNWTEITTEIGIMVGDGKETEIENVKEIETGIEIGIGIETVIAYEMRSTVEIGTEQEIGMAGKENAGTETVGGAGAVQGAGAGIDEKETEKMESTVGGVIGVVPVLEVMWRMVAQEMSRRRERKRKRRRVKEMHQIQMTQRL >KN539475.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539475.1:12705:14719:-1 gene:KN539475.1_FG006 transcript:KN539475.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLVPIAPPPKASSGATGKELVVVDGTGKTSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQPHLLMGAYNFHEIVGVKIYTNNFISHIVVSCKGNGHIWVTIFFSISVSKNGSIILGIGVPRSGREQDRLARMDADYQKRKQMAEFELRREERLKEAEERTAKKRLKRQKKKQRKKEKKQAKTSNGGEQPNGGESSGGDEDSDDEDKP >KN539475.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539475.1:89051:92246:-1 gene:KN539475.1_FG007 transcript:KN539475.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCCLPLLFARQPPIRAFSSSECAIVVDEAPLIEEPPTVAIVDVWLRSLIPREDSLIKPIKRPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKFDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRAILCQGSNDEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKIDFTEQRKGAELEAVLNVSSSLRALVYNCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFMLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVGANEVEIRRSSMGLSEICDDAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >KN539475.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539475.1:59657:60394:-1 gene:KN539475.1_FG008 transcript:KN539475.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIDAGIRAASQANAQAKLDEFRKKTSGGKDADEGSDDEDEDDEEDSDDSSDSDIDPELERIIAERERAKSGRKHSRDEGKKTSRHRSSSRGRSKHRRSTKRSDTEDDLEEERSKDKKKKIRRKRHERSDEDSESDSDKKRHRKSRKDRKRRRSHRSSDDTSDEDESGGEDRRRRRHRKRRHHHRKGASDGDSGSGASDSADDRKRSSRRRRHRKSESSGSDGDERHGQGAKRGKEERGKEEC >KN539475.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539475.1:23004:27923:-1 gene:KN539475.1_FG009 transcript:KN539475.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCNATIGNFDHSGWVLVECKVGDQGLVKVAALNPETAPVAPLELEFEENKNVVLSVHGQNSVHLSGYYICSYNGDYGENSKQATKEMVSKDDGAAENNDEKQGDEAKQSKNVQAELQPHIRVLDSDHGENSKQATKEMESNAMDEDASLGLEHTLGGNVVQAASQEENASQTHEDNDAADHIIQQTDPPILVSEDDGTAEDNDEAELQPRIRVLDSGMTIEDLAKGNVGAKSASCGKKVYVKYVCMLSNDDTVDPTGESSTCKFKLGAGEVISGWDLGIEGMRVGGIRRLVIPPHLGYGDVGKGNIPPNAWLNFDIELLKVKSGRKKARKVDFMYVALDRAYIQL >KN539475.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539475.1:8626:11256:1 gene:KN539475.1_FG010 transcript:KN539475.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEEWRFLFPVSSVFAPPSLAVADRNENGNGNGYGPLLFSPLPPPATLHTTIPFPAFQLHPPHSKSSGDALRYFLSSTASFLPTSHLRSLSNSLSDSDSTTFRPPSNLLAVLLLRAPSSLLLFFPSGHNADHLSYATLHSTAAPLSVVQTLTHGFMHPGHRIHHLAATSSCPPPHSHSPAAATPLVHGFLLAATTYSVNWFKVESSALVPAAKQAFDAAVVHACWSKHLHSDCLVLLDNAHLCCFDLHQRRGSVLRVGTATATEGGACLSCDYGPQPWTAVVATTKAILLLDLRYGPDHPGHCKVLARVGMQGLFDPDPPLNNECHYLAFCKAPFDDFLMSVATERLLLVLDVRQPLTPVLAWQHGLHNPSHLAMFRLSQLRPSKEHEWASSSGIAILAGSFWSTEFNLFFCGPKDQGSSSSQNAHHLYAWDVPSRISLIGQHCSCSNGLMREVFTDHEPITRNTVVGYHVLPNTMLQEESSSSFTGFALIRLTSSGKLEMQRFRASGDFDEHVMCDGSHHQSAACTTSSIISPDTTAHGENFSSRYKFLKFHYLSKYLEGNLLSALENHNVVNKGSQQIVISEDVSAFAKENSPQCYRSVSDLLCNASVPMNIFETGCQHILNNGLSSDSLLVAFSKYKDMLACSKGKLIYEYPEVPARSRNNDEHRPFLLAKPSGTGNKLTSEAISGDALVGPLLPIPLLLAIEDRNKGTIESSTCQGETSSVSRRCREALEACVPKTSNANATRFSGWYASRELRKKPYFVYEPQIDDRLTLDETARKEGKRAHMDENLTTFVCGKAGVPHSGPKQAASNLFDCNCSPVRMDFELPFVDVQPAEQKAIQSLKNQFLSWQNNFRPYKDFCNSHHIQLQKPQR >KN539475.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539475.1:74713:80287:-1 gene:KN539475.1_FG011 transcript:KN539475.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLRRRAAAAALAGVAPRHQWLATEARRGALGSGDDGGETGERGKSPWLQLPPFAPLDAAAAARAISRGDGGGGDGEQGATAIKWVRRCCPDLPTSLVQKLFRLRKVKKNVVTAEILSADASAEQHRLRRYVTYFCFKVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEIDFLRGLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLGRNQLSTSMLHAIFREKTADALADGTQHVLQRKYVALVIGTPRHPKGLLSAPLAKILLQDGKSERLTVRASSNAAPVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQKWIPLPLPRTIDEELLRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDVSVALHRLQSSDVNPDFSDLEKLNFVAPLPLHMRLSWEILKSVKI >KN539475.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539475.1:96780:102424:-1 gene:KN539475.1_FG012 transcript:KN539475.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] VETKDGFLLSLQHIPHGKNKAADSTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTFSVHDKLFWDWSWQELAEYDLLAMLGYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLVTMGIHQLNFRRNAKYAQSMSSTMCSIVLNLPIRIFAAVFSFTVGIPSNSILPHTLKVVAWQAYQCQPRVLQSVIRKGTFAKYDYGLLGNLRRYGHLRPPAFDLSSIPESLPIWMGYGGLDALADVTDVQRTIRELGSTPELLYIGDYGHIDFVMSVKAKDDVYVDLIRFLRENGWHNSY >KN539475.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539475.1:33545:34994:1 gene:KN539475.1_FG013 transcript:KN539475.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRDESSSSWPAPGKRACSHLLGGSSSPGWVMLDCHVRRMREEDHHSSAVAQEFTSTGDRISVAFKPSAPPGISRIFFHFEPHPKHHKHYSSDSSDDDDEQDSSSSQGGIMQDFRLYQDTKVMAAHRDSILLCLPPIAFAHSDSDSDSDSFHEELFVYQPAARAVSLTPLRPCGHGIINNADGRSNTGTGINTAPPGPPTGCQSAMPTVKVRTCSGGRPTWSCRVAIPHYAGWTTSEASCSMMFSTQYRSSAMSGCLLTLTRATGSTTTAYIRIEACASQDMVLSGDLPFPSTTPFTITSWTLSSNDRGLTWTKDATLDAHDFFSLARHASLPCIIPEYPLVDMDHPNTIYFTLTEQRVCNGKASLVALDMVRRTLELRVSYTLTSAFICGEYGQDSDTISGNLFCNEPFLPCDFYNYLNLNLGVTTDNS >KN538708.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538708.1:105985:108552:1 gene:KN538708.1_FG001 transcript:KN538708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIANKA >KN538708.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538708.1:146972:148795:1 gene:KN538708.1_FG002 transcript:KN538708.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNMPKSAFWSSIIQVQIIQNDEEDRLCWKHTSSGECNTKSAYKTIYEEMYPNQNQDLIAYLLANGAGMHNLLIMLWQIWKARNDINFKSKKWEPQQVCNAAQALTTTYEKIHHLEEEQCQNTDQEIQMHNYKNNISKIPAGFLSTSTSTFNLSSRQLFGGGGVEQGGLHERFRTLVFKTHIVKDKEYFAGKTISNQMDS >KN538708.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538708.1:63465:69692:1 gene:KN538708.1_FG003 transcript:KN538708.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEFAKHAEYLNTLVLGEIPVEPVRPLAAANECIIDEIALSITLECRISKINKEDVLSKAENDLIVVVNQYIGKLVKELQGTDFWKLRRAYTFGVSINVVPLHQFCSRFCKTGTLLSLAEINDSLLELGDKSVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLVVPLMDDNSEMKKKMETMLQSVVKIENACFSVHIFVHGLGWDATAETLTEAFSAYGEIEDLRVVTDRATGKCKGYGFILFSRRSGARAALREPQKKIGNRTTACQLASVGPVPPGGMATNPAPAVAPAPAQLALPPVSEYTQRKIFVSNVGADIDPQKLLQFFSKYGEIEEGPLGLDKVTGKPKGFALFVYKTLDSAKKALQEPHKQFEGVVLHCQKAIDGPKPNKGGGLGGLYGAGTSGGRKGAGGYGAHSHSLPGAAVGGHVMPSPVSSLTSLPGVAGGPGVNPALGQALTAILASQGGGLGLNNILGVGANGSGLPNPGASAGLGSSGLPGMPGAGGYLGGYGGSGGYGSTPPGGPGRNYMGH >KN538708.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538708.1:112736:114271:-1 gene:KN538708.1_FG004 transcript:KN538708.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYGLEPPPANKRNDVAAWRQALRNAQSQLHHQIIRIENLELMLKYGVEVWKLQNRQMESVLSRMQKMAVEYNEKIETVNRERKFHQNQIDQLKLGAKELYSPSLPTFLSLHIHMTNRFLNRGMPIDDSTGTNQQASSAV >KN538708.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538708.1:136398:138551:-1 gene:KN538708.1_FG005 transcript:KN538708.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEASPPAPPEIPTRCHHCAGPLSKDMETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHTMPVVRRYIKGPMWMHFLVGAPPVIVFSSACAGLAEP >KN538708.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538708.1:155214:155486:1 gene:KN538708.1_FG006 transcript:KN538708.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRAAMRSSDFQTAAVGMGFSLSCGVLLVVMSLLLPLPRVYQAVMWECALLLFFAAGAQAYKRPIIWYPLADLILKPNNKPKPPAA >KN538708.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538708.1:50388:57382:-1 gene:KN538708.1_FG007 transcript:KN538708.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 1A [Source:Projected from Arabidopsis thaliana (AT5G21160) UniProtKB/Swiss-Prot;Acc:Q940X9] MPYHQHPGQPFYYPVIPSPVILHEYPYPPFAVPVPSHDPHAGKSGYENSMPAYVPVDQAGGNEGNRPVPPQPRGDLHAWRPPVGARPQPGLEGRGHFNHNWQNPQMFGTRENTSVPQGAGPRAFMRPMAHLPHTLGYINGPPYPGPMPPMYYYMPAVPMEPMRGPPRFVQNQPPPHPVLSPEAAELRAKILTQVEYYFSDSNLDHDNFLKSLMDEHGWVPISKVADFNRLKKMTTDIQLIVEALANSSLLEVQDGNMRRRSDWSKWVSLSGTSLPSPSSTSMDNTTGECNKDAYSEDEKKPHPRSVDCVGMGASDEPSQDTLSSSVTSLNKGLSNISIDNKPKSISACSLNSQKHEAAFRTGDVKVQKVNTKIKVPDSQRERGFCNDFPSDSPSFSGDQSTFLLDEELELEHAELSHDLYSHKRVDDEEDDFYVDDQDVNRLIIVTQDGPQAFSKEEISRINEGLYYYENHAYNQRSSQAGTIDIDSKPAGGSKGNPINIVNNGIEDSGQPIPRRRHNRGNRKAQSSHKQRFFPGNFANNTNNRSHYGGVSESPPSNSIGYFYGSTPENHRSSRLSSSPHGIPTGSSPVGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCIAERKKLGIGCSEEMNSLYRFWSYYLRDNFNDDMYKHFKKFALDDAAANYRYGLECLFRFYSYGLEKNFQRNVYEDFEQLTLEFHHKGDLYGLEKYWAFHHFRNQDSSPIIKHPELERLLKEEFRTIEDFKARKAAEKETGSSTAAAAGHNKADAK >KN538708.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538708.1:192762:195577:-1 gene:KN538708.1_FG008 transcript:KN538708.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTATTLSASPPPPPPAACAARYDFLNSKPPPNYVAGLGRGAAGFTTRSDIGPAMGRGRGKPPGDDDDDDDGGGDEEEKGYDENQKFEEFEGNDAGLFSNAGYDDDDREADAVWEGIDRRMDSRRKDRREARLKQEIERYRASNPKITEQFSDLKRKLVDLSAQEWESIPEIGDYSLRRNEKRRFESFVPVPDTLLEKARQEQGHVTALDPKIRAAAGGAETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGVTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQMNPKHPSGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWVEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVIKVIERSIKTLQREGLDIDREAWLKEAEAAEHAGSVMTCQAIVKNTIGVGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVSKKSIWLKAAQLEKIHGTEESLYILLSKATTYNRHAEVLWLMYAKEKWLAGDVPAARTILQEAYAYLPNSEEIWLAAFKLEFENNEPERKEEALRGELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDWLGHVAKAKEANENALKHCPSCIPLWLSLANLEEKINGLSKSRAVLTMARKKNSATPELWLAAVRAELRHRNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKFDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTQKDVVQRCVASEPKHGERWQAIAKAVENSHLSIEALLKKAVVALGQDENPNAVDPSLYHF >KN538708.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538708.1:172493:175264:1 gene:KN538708.1_FG009 transcript:KN538708.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIANCDWGYLQDQHKETEESKDDEMKVDVPQEEEDNEMKVDAPQEKKDNEVTADVPEEKGNDEMRVDASESIESIEPVSRSTLDNKKEDQGQMNNVEEKAASDSKDENEKTANDESGQDKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSSSLHYRHLLMAPDCMMGSRVEISKSMNPEAFASAHSPIARNETVLKFGPEVPLCESMASVLNIQEQNGTNAAAVPTGENQEDNSCISSITSHNVLPENAAQVDKNSTPVYCNGVGPVPQYYLGAPYMYPWNIGWNNVPMMVPGTSMPESASQSESCSTSSAPWMNMNSPMMPVASRLSAPPFPYPLVPPALWGCLSSWPATAWNIPWIRTNGGCMSPSSSSNSSCSGNGSPLGKHSRDSSLPLKEDKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGIFKPFQSKGESKGQAASESRPARALQANPAALSRSQSFQETS >KN538708.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538708.1:123622:126011:1 gene:KN538708.1_FG010 transcript:KN538708.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGAATEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDIAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKDFYSSTTLASVLFWYLPNVEIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGDDSDASSEDSFYSGIDSPNHEEGGSIPA >KN538708.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538708.1:75107:76643:1 gene:KN538708.1_FG011 transcript:KN538708.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLSTPEICRGDLANLLKDVQAHEKVKLHLTARIQVLKKAGRPSERLVNHADCRSSNMAQHVCVHVKEITEAAGTEDAEADAEYDGALKEAIQGVQEAVTSINEHMEEVRYEIDALGSEIIGNNLAEVEEAFPDTLLIK >KN538708.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538708.1:10318:24489:1 gene:KN538708.1_FG012 transcript:KN538708.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGAGAHRPSCPSAANCAKWAQTYLKYCLCSTRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDFFNLIGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAEKARATSKPQRHQRADASLREKLLGPKLPSFVCLDKNSEYRFVSSIWSARSLSSSPVPMSGTWSANYHQTNSPPEIDDQKESLVSEFAPAQYAASPLIKNSLSVVPWMSLLLGMSVLHFLVGTTHQEVPNGIVIPVGRRLLLLADDHADSSVSNGSGSGIGSFLGWAMAGLNPLMFTFALVGNVAYVGRELHYSALDVPFWKGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGVDSVICVSVNDPYALNGWAEKLQAKDAIEFYGDFDGSFHKSLDLEVDLSAALLGHRSHRWSAFVDDGKIKAFNVEEAPSDFKVSGAEVNLCGHATLASAHFLFTTVLAKQQHAGAAMVEFVTRSGILTAKKVPAPAPPANDGGVPGEEKLFIELDFPMIDLVEYDSAETLSIPETLNGARVVSVWKSSTAGDLIVELSSGKEVADIIPNINEIKKCDGRGVIVTGPAPAGSDYDFYSRFFCPKFGVNEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENRRVRIQGEAVTVMAGTLLA >KN538708.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538708.1:140670:140973:-1 gene:KN538708.1_FG013 transcript:KN538708.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLSFFLAANLDWNEGAVTVGTRPGQEAAAAAEEEEGDRPPERVEMESINDYGQASANNRHNPHP >KN538708.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538708.1:197460:200127:-1 gene:KN538708.1_FG014 transcript:KN538708.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MAFESFCDLKLLDKRKMKFAGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRMHRKYSNALHSCASLDARTYGIFIIHLSCVYNVQRLALKAFTDQKRRFFPHLDDEGFNHLHDGEPGLAKKPRLPASNGELEEKTLSEILKNLENEVPNMKIFTYRRLDWSKRAASLATLMNDDFVDPSKELNLQNMSKLGSGDTTPIDQVAIIELLAPSIFRAIVSLHPAGSTDPDAVAFFSPTEEHADKALQYFVSVEPTKSLSLLLRWIASYQTLFTKVCSKCGRLLLMDKSLALHLPPVQRPYHHQTSSVGSDPQDAYHIGCSSYDA >KN538708.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538708.1:157149:162071:-1 gene:KN538708.1_FG015 transcript:KN538708.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEGAARLSSPRLRCTIEVRSGLTFLDLAIMQTEIVEKYTNIEIHTFNQGKEYVFIASSENLGATVDIEIESLTSTEILNHLIHNKNEYCMEVTPKTSADVKGGSLICYEGRVKLLEIFQVPDENVDEFQSIENFNMLNTNNLWVNLKAIKRLVKAEALKMEIIPNLKEVDGVKVLQLEKEAGSAIQCFEKAIGVTVPRSRFLAVKNTSDLFLILSDLYIVMDGTVTRNPARDNSTNPLIDLGPEFRKVDSFLDRFKSIPSIVALDSLKISGDVWFGSRITLKGEVTIAAQLGLKLDILDGSVFDNKGADCASIDSALNRLNPGSTLILKKDDFYRYIDPIQAQNRAMFHSESNCIITATLKDNWFAKLVQGKLWLIILVFHQFKLRSRRRLRWGERRRTLRSIHQDIQSYLDNYSDSDMWHLIVHSVARVISNVLEDVNHNYMKNHYLGFLAIHSTVFAIEVIGSYAVELNYDIEHYAEPPEL >KN538708.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538708.1:100297:103074:1 gene:KN538708.1_FG016 transcript:KN538708.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLPSRGFLISSLPLLVVGWPTHSVRVVGESTKKGIGFLEKFENYSGKHIMKKAFVRHGSSFFKKRTSEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLMQENQEKMS >AMDW01027507.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027507.1:3:185:1 gene:AMDW01027507.1_FG001 transcript:AMDW01027507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTPELLLPLGEGTLDDVDDLLTRELRVPFLALGAAAAPYSGNGSDGGFAREHGMDAEQ >AMDW01039459.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039459.1:161:945:1 gene:AMDW01039459.1_FG001 transcript:AMDW01039459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQTVTPYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPKSLAPEKVRGKIVVCLRGTGLRVEKGLEVKQAGGAAIILGNPPAFGGEVPVDAHVLPGTAVSSVDVNAIIGYINSSSSPTAVLDPSRTVVDIKPSPVMAQFSSRGPNVNEPNILKPDVTAPGLNILAAWSEASSPTKLDGDNRVVKYNIMSGTSMSCPHVSATAVLL >AMDW01037610.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037610.1:300:407:-1 gene:AMDW01037610.1_FG001 transcript:AMDW01037610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQAAESYTVEELVAVNPYNPDILNDLEGFVNDQ >AMDW01078844.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078844.1:1:472:1 gene:AMDW01078844.1_FG001 transcript:AMDW01078844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AATSEANIGEYDEYWQKRKLMADAAAEATYKRDPFEVTNSFNRAVHRHADRSFNQSIVRIARSEEESGRRELAMTKRKKFAGPCKATNPIDRCWRCRADWVTDRKRLARCAQGFGRNTTGGLAGKFYLVTDGTDDDVENPRPGTL >AMDW01040021.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040021.1:270:1154:-1 gene:AMDW01040021.1_FG001 transcript:AMDW01040021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTEMYNGNADGSVDYLPVGQAPVPAIYGFFAACYAAFLAAWGYLTLSSRDRRAAAHQIHHLMSGLLAARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVVLFAVIVLVGTGWSFLRPVLQDREKKVLMVVIPLQVMANIASAVIGETGPFLQGWVTWNQILLFVDVACCCAVLFPVVWSMRSLRETSKTDGKAARTLSKLTLFRQFYIVVIGYLYFTRIVVYALKTIASYQFRWVSVLAEEVATLAFYLFMFYTFRPAERSRYFSFDEDEEEAAEMVLREEEFEL >AMDW01030041.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030041.1:35:272:-1 gene:AMDW01030041.1_FG001 transcript:AMDW01030041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLAVQRSAVQRLLASSGLPPMSEEDAATDANLNQIIDEWTAHVGPDT >KN541607.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541607.1:941:3748:1 gene:KN541607.1_FG001 transcript:KN541607.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGGHHHDAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGLEKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGSPMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNVLEVYLKDKEMLGRDDYVGRVVFDLAEVPTRVPPDSPLAPQWYRLEERRADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQARGRAPEVFVKAQVGNQILKTSVVAAPTANPRWNEDLVFVVAEPFEEQLVLTVEDRVTPRKDDLLGRAALPLALFEKRLDHRPFVQSRWFDLEKFGIGGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKNRDGRGTTDAYCVAKYGQKWVRTRTMLGTFSPTWNEQYTWEVFDPCTVITIGVFDNNHLGNGNGNGNNAGGGGGGSPPARDARVGKIRIRLSTLETDRVYTHAYPLIVLQPSGVKKMGELRLAVRFTCLSLMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFADVCHWKNVATTALVHVLLLILVWYPELILPTAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLGWRDPRATCLFVVFCLVAAVVLYVTPFRVVALVAGLYLLRHPRFRSRLPAAILAPPRSIHSLTKLSG >KN541607.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541607.1:23159:23347:1 gene:KN541607.1_FG002 transcript:KN541607.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERILTAREAKRKLRRQAAADGEDDEKDLLDMLFDMHEDEAAEMRLTRDNIKAFMLVILNLR >KN541607.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541607.1:5547:10385:-1 gene:KN541607.1_FG003 transcript:KN541607.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGDCSSSNEEKKTCTRSGKKAFKEESGSLIDYSEGAWSGLSQEVISNLSETVVSVASFNGDQTHFSGTGIVVRNKDEIQVLLPNKQRVIGWMGHFDLNYNIAVVVIKYLPGLRAASFDQEVRFGSQSKVVAIGRSFNSGKLMALSGTVTDGPTDYPEHLMISTCKITKDMAGGPLIDLDGNFVGMNFFSKERTPFLPRNKIYRSLVRSCTLWVEIDDECTSIIERCRSKMIHNNFVGTSRGVAKKRNQEQTVSITSFSEGTSDEENESETQELPEYSTSDSEDFWEELLFPELVKPLPDDEFTQLLKKDLKSHNYPKPIRFWGGMCLKNTFEEEFAEDTWCKLSKKVALNTSQSVVSLASFKGEERFFACTGVFVDFNGSTSRVLTSASLVRMSADENKIADNLKIKVYLPNKRIAIGELQHCNLSYNIAVVSVKGFCCLRTAELDNQMQIEPHTEVVAIGRTFESGELMATSGILSDEESKLDCRELMISTCKITKAGIGGPLIDFDGNFVGMNFYGTKETHYLPRLMIQRLLKDFDGTDGEKTIDNVPKRYS >AMDW01030244.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030244.1:4:366:-1 gene:AMDW01030244.1_FG001 transcript:AMDW01030244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPH >AMDW01064795.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064795.1:214:729:-1 gene:AMDW01064795.1_FG001 transcript:AMDW01064795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESPMQQSGNDNAGWPYTMDSPIYSAAPSTEYDPLSPPYDPTRVIYGMEGLAPLRSSNLEEHNTQIHRCTSISNLMQATYLLPSITHHVYRPKGVASSQQSNPMPYDTPWIYRQKSAKQILDPVSHILFSSFGISFLEEFATSQPSDSMSQRHIRAPLICCLEEQFTTRQP >AMDW01028810.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028810.1:82:393:-1 gene:AMDW01028810.1_FG001 transcript:AMDW01028810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPCNKYNIGGGATAATPLAIPCVTELQEFGVAFREKASPASQFDVTFRGGTMEIPRLALSSGARILLANLLALEQTTGDWEGEGIVTSYLVLMNALVNTGADVA >KN549060.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN549060.1:11:387:1 gene:KN549060.1_FG001 transcript:KN549060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDELRGKNKAELQAQLKDLKAELSLLRVAKQGGAHVDRARADGDLAEAEGGAA >KN538790.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538790.1:217591:217725:-1 gene:KN538790.1_FG001 transcript:KN538790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQQELPRAMAANMEQMQQLDGALAATTTTTTSPYPELALPQ >KN538790.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538790.1:175074:193352:-1 gene:KN538790.1_FG002 transcript:KN538790.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSGSEAEDVVVGQMPTVMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEAQKILQEKERKKRIEARKRRKQEKEIALYGRVLSSDNADGEDVENDGDEMETDDLPEPEVKTYEDGGTKITVTTSEITPEDDDDDLGPKRITPASTGYANKSVSKKSASLGVKKKPSKRTFRNKSKSKKGDKKRGAAKGKRKNKGRNRRSSSSSSEEEEEEEGAAAASAAAMMVVGLVQLLVAFVVAWEAVELVLRHGLLLSLFKFALAAALAVAASFVAALFLARVVAWVLRRTAKLSIGCRSFSFNYLRDITIKSPKGAVDSICVGEIRLGLRRPLTQLGFTILNHGPILQLQISDLDIVLRQPAKSAKKKKPTPRKSTSTSPAKGKGKPKGQGKWRLITNIASLLSLSIVELRFKAPKAALGIKDLKIDLSKTGGLDPILNVEIHILPLFVQALEPDGIDNGTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDIVLLCDLHQRGKGVGVKNLDLVIGPIVVNLEEKLFTKKKLSAPTVAEKTDEPAADVKSDTKSEGSKLSSLNKKIDLLPEKVSFNMSKLDLKFLPKDHGLSINNEIGGISVRFVKSQPHSDFGEATHLQLETDVSDIHLLMDGATSVLEVVKIATVVSANIPIQSTSPIRAEAGIKISGSQCNIIISRIKPLIPLNSAQKKPTVPRESSTQEKTPKEKLALDLVFTLSAPELTIVLYSLDDIPLYHCCLLSTHFAASKTVNQGTELHAVLGELKLIVAGKPQQSIKDRISGTLLQISRSTIDLEQKVPDKDNCIDNPKSSLSLNISGVRMNVCFYYLELLCTTAMSYKVFLKSIRPPKKRPAQGTSQKTTKNAKGAQIVKISVEQCAVLYVGDMILEDMSIQDPKRVNFGSQGGRVVIINDADGSPRMAYVNSTSLPDHKHVNFFTSIEINQIGLCLNKEKQSVQVELGRSRLTHKEDLLDDKPAEEVTLFDVQKVKFVRRSGGSNDSAVCALINVTEVAVWWEPDPYLELLEVATRLKSIMHRIKHQNSANEIKDDTVYTDTLAKKDSLTEHGQQEKPQKKQELVIAVDVESLKISGELADGVEAMIHVGSIFSENAKIGVLIEGLVVSFCGARIFKCSRTQLSRIPVSISDSLPDKKLQSAATCDWVIQCQIEEEPIQGWLDEHIDLMKSVFNESTVRLDLLDELASVKHKDSPKAKLDGSSSVKNNGCPEVDGDAPGVCSFEKLREDIYKQAFQSYYLACQALKVSEGSGACSSGFQSGFKMSTRRSSVMSVCAKDVDVSLSKIDGGDEGMIGFIKTMDPVCAKNDIPFSRLYGSNFTLKAKSLSAYLRDYTFPLFSGTSAKCNGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKSYVDLPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFRLDFTKTTWHLPAKTSPYEKLDQMLITSDYLEICYVDGYVSLYSKYLKVYLTSLESLAKKCSLETPHHEVIPFLETPSFFMDIAIQWGCDSGNPMDHYIFALPAEGKPRDKVLDPFRSTSLSLKWSFSLKPSTTEPVKHQQNIQAVSNNSPTVNVGAHDFVWLMKWVNIFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNMPLQVDDPANGLTLHFTKFRLEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVSIKKTPEPSISKDAQVENKSLHMKATDSPGKNKTSSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLAKSEFDGGDESDHAQSGSDEEGFNVVVADSCQRVFVYGLKILWNLENRAAIVSWVGDLTQAFQPPKPSPSRQYTLRKILEKKQSTKEAEMSNDGTLSSSPLASQSSDPPKQTKSSEPPSSGPSKLESTSTSDTAMKTSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRLLLAAGSGRVLVRSFHSIVHVGQEMFEKALGSSNVAIGETRPEMSWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTKKSNLSYPLDNDDDDDIGEESDAVVPDGVEEVELAKIDVEIKEREWKILLDDIRTLSVGSEISADETQTPKSDDATWIVTGSRASLVKCLKKELVNVRNGRKEASSMLRVAMHKAAQARLMEKEKNKSPSFAMRVSLKINKIVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKKVHANSVAQLDRAYLQYKDGAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKSTSIAETNSPSKQSSKDSMLLQKPELRRTSSFDRTWEETVAESVANELVTQFQSQSNALPESQDAPKEAKLVRSARSTREEKKNIDPNEVKQTRPQKMMDFRNINISQVELQLTYEGLPFAVSDGKKFKAKSTSQKEPTASLIAASDFNLSDSDGDEAGGSDQLPAFLKKPNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGDADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKIKSKVPKGSATSQELGSELPPRGPSGNQTDSSSDDNDSSPTETNPKD >KN538790.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538790.1:138520:140623:1 gene:KN538790.1_FG003 transcript:KN538790.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLEKMYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSDSLCIGVARLGSDNQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFYMIKS >KN538790.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538790.1:251576:255660:-1 gene:KN538790.1_FG004 transcript:KN538790.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear/nucleolar GTPase 2 [Source:Projected from Arabidopsis thaliana (AT1G52980) UniProtKB/Swiss-Prot;Acc:Q9C923] MYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSKDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >KN538790.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538790.1:131392:135074:1 gene:KN538790.1_FG005 transcript:KN538790.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCCHTSGVGAVATPRNNLREEEQPLPHLVAAAPPHTLSLEAITMCFSLTTVIRHRRELPRRGKLEGAMLEATGFGSSRCHLRAHISPPSAMPPSTPPFSTMPCLDIDCADPCRAAGGGIFTEQEHQDKAYKADTRNAAELDPEIFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGTRNLVSAMPRTIKRLVLVSSIGVTKYNELPWSIMNLFGVLKYKKMAEDFVQNSGIPFTIIRPGRLTDGPYTSYDLNTLLQATAGERRAVVMGEGDKLVGEASRLVVAEACIQALDIEFTEGQIYEINSVKGEGPGSDPEKWKELFRAVQ >KN538790.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538790.1:246525:246779:-1 gene:KN538790.1_FG006 transcript:KN538790.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >KN538790.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538790.1:224370:224969:-1 gene:KN538790.1_FG007 transcript:KN538790.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFCGASEEDDDVHQWLPSEILRDIGAVVDPCEGRRAIVEDLAARLVDVLFGSAVQRTTTQHHAIVGPLPAMVDNKYQRYHAPQSMGVRPFMSNSGMTLDRVPIAPPRLAPEMRTPLLLVATSAPALPLPPTKQRDAGGTGFFLPHTEAYNKCTSKAPRETKTSRHVKRQQWLSKQQRRHHEEEEASMMMRNNKRSW >KN538790.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538790.1:170876:171397:1 gene:KN538790.1_FG008 transcript:KN538790.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLQLRRTMSRAVDDSIKFVCISDGGGDRAAMAMWTLTLATGEWLKDAHLTVADLWEVDGFDKARLPRAVPICPVLSPQEEEDGVLSFMLNGDVDEELYMVSLDMRSKRLLSSSTISSSCPDDDIVPPLGLDLCKDLRNLYLRPIAAEAVALPAKAQGRPVAKRRRSWFPY >KN538790.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538790.1:142084:143782:-1 gene:KN538790.1_FG009 transcript:KN538790.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLERIKRLFVSEPKQKPKPDKARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAGGDHAAANSPAPDGMDALLRRGRELYYAAAAAVHEQQQSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSVRHLTSPMTSRPPVTESAGPPRSGCLGPLVGD >KN538790.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538790.1:203243:203479:1 gene:KN538790.1_FG010 transcript:KN538790.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARNLLLRHLRVAVAPSSSSPAASLRPAVALREALCGRRWMSSEEAKGSFLDKAEVTERIVKVVRKSAAHNVDRTD >KN538790.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538790.1:220769:221503:-1 gene:KN538790.1_FG011 transcript:KN538790.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVHRWLPSEVLRDIGITDADEQRSLAVVEGLAERLAGVLGGGEMAAQAQRPPSSSHHHPVHPQVKGGDGVATLRDHHALAGAGHPIVANAPPSYMPLPAPATPWQVQVMMGGPRNTMVLQPRMAPAASNPHLPLPRGGAVAGAAPPATTRRSSGTGFFLPRNAAADPRHTTAAARPLTNVPPRKCRAQRHRRGNEAAAAAAMARRQQELTRAIAANMEQMQQLAGAPVATTSPYPELAMPQE >KN538790.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538790.1:227626:227962:1 gene:KN538790.1_FG012 transcript:KN538790.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCPSAARLSTNADVPKDLRRQPLVDIVDHCFLRFFGGREALSDEN >KN538790.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538790.1:231036:240434:-1 gene:KN538790.1_FG013 transcript:KN538790.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLNFKVPLPEDLDRYRRSSPNGSMSSQGQGSLSDQYNGISDASHGLHRKRKRHLDGASDDDEVDAYSNQITEEHYRTMLSEHVQKYRRSKFKEDVFSSDPPQVIVPQKHKNGSARVTKYRSDTRNVAMLGGVEATAEYNGTKSTNAYGGFNKVVASLDSSYLDMGDNVSYKIPEGYDKLALSLNLPVFSDIRVEETFLNGTLDLRTLAAMLSTDQKFETTNRGGLAEPQPQYESLQERVKVQKFSLQVTEDPFAIPEGEAGRIRRFIISESGSLHVHYVKVLEKGDTYEIIERSLPKKQIIRKDPSEIAREESEKTIKLWHAIAVKGIPRHHRNFMALLKKRQVDAKRFSENCQREVKFKVSRSLKLMRSAAIRTRRLARDMLIFWKRVDKEQYELRKREEKEAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGESAPSDEASVPEEDEEDPEEAELKREALRAAQHAVSQQKRMTNAFDSETGRLRQSSDSGIPTDDLASMEPNKIDLLHPSTMPEKSSVQTPELFKGALKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLAHLAEDKNIWGPFLVVAPASVVNNWAEEILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPAFGELQDVHYAGKRNPIMFEIPKLVYKGIVSNMEMPVRGCGFLYGSFNRMFNIFSPSYIHQSAFPEAISPNNTVLLSGAFGFTRLINLSPVEASFLATCSLFNRLAFSAVRWNKKYTDELVDVFLDSESSDLESTHNDVTTVRAVVRLLLSPTKAESSFLRTKIETGPSDSPYEALVLSHHERLVSNIRLLRSTYAFIPPARAPPINVWCADRNFAYKLTDEMHDPWAKKLVLGFARTSEFNGPREPTSPHPLIEELHTYLPFPEPMLQLPYRIFGSSPPMSNFDPAKMLTDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQISHKLKEISMQAKDRLKKRRTKGIKVDKEGDLMLEDLDDQTAGTAEHDNTSSKKKKSSQKKLPKSQDNGSVDKNAEAEGGEVEDEDNIAAPRPKRSKRLMKNLNEDKEPEQGPTTDGDNPAEAAENNISPDDNDTEEAKDRTPSA >KN538790.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538790.1:197720:198314:-1 gene:KN538790.1_FG014 transcript:KN538790.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPLFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLALHDAAVDDDDLAGLLPDDAFDDDGGDDLFFDQTHNLLVDPPQPPAALKTDAVLKALSLVSPKGGDAPRGLREKPRHSEKPTKYAGSPRSGGAPRVIHQPR >KN538790.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538790.1:153809:159479:1 gene:KN538790.1_FG015 transcript:KN538790.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQVAILIWLFAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVISSIDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLK >KN538790.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538790.1:205124:208988:-1 gene:KN538790.1_FG016 transcript:KN538790.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MMWFFRQNYIRVLLGSKFSDDLRHVKCLAGSSVNLDTHNSVTFQDCQISLSLMNSLVSGDVRDTTLPWMEPELWSGSSSRVMEQFWLADPDSEMMIENGDTGIDGFSADANLPSPRRSDCEVALGVGADDGVISDDDIYGHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLANFTMEGHPELPQGIRDCATSLKGLHVFFFTMEADHIDSLVNLHVLGLYGCPGMILRALRPELGIRVLTIGFSRLVDVLVQTTRLRSLEMQNNVVQGTVLVHDATQLRKLYLLPPTRPSKIFIGEAPSLRSIGYLDLFNTVFVIKGIVIQAGMVLHPPTKRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEKLEIMNYHV >KN538790.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538790.1:163486:167778:-1 gene:KN538790.1_FG017 transcript:KN538790.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDRLRALMVRLEADGHLDRLLRAQEEEQGAAGAEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQADDFVLECSEIGDDRPLTGCSFSRDASMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVAFSPVDDCLATASADKTAKLWKTDGSLLMSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRTKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSRAQDNAMELD >AMDW01038887.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038887.1:21:797:1 gene:AMDW01038887.1_FG001 transcript:AMDW01038887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLDQWDSDDQEDVEESGFDETINTMTQHQQQSHDIQLSGLSEDESEDGVWNWSVAVRQRANVTNLLDDMEGPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNSSRKGAPPAATSFIENLPSVIISASHQINDDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEEISDEQEVRVTRQMAVDAIEETNTSEHNVRVDEQPNSARRRSGWLFIAAAPV >KN546826.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546826.1:103:699:1 gene:KN546826.1_FG001 transcript:KN546826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQMFES >KN544308.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544308.1:1020:2474:1 gene:KN544308.1_FG001 transcript:KN544308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IQLPCSDAFCCLCTTHDPAEFDVTLGKTDSSFVTEQFIHSLTNHEPVDLTRGSSASLFPFEKFDVYMLVCRVTQSMLRKYDSGVKMIQINKMVAEILKDCPSWYCDCRSIDIIHVIPTGNGGTIELLHADLCTNNFGGTTRLLDATTPVDLKMEVLWYYFDL >KN544255.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544255.1:2011:2583:1 gene:KN544255.1_FG001 transcript:KN544255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRSPRVMAKAQAELRQAFQGKSTITEDDLGKLSYFKMVIKESLRLHCPAPFLIPRKCRETSKIMGYDVPMGTSVFVNVWAICRDSKYWENAEEFMPERFENNNIEFKGNNFEFLPFGSGRRICPGINLGLANIELALANLLYHFDWKLPNGMLHKDLDMREAPGLLAAKHTSLNACPVSKIASRCA >AMDW01111286.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01111286.1:401:511:-1 gene:AMDW01111286.1_FG001 transcript:AMDW01111286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAIIVIAPSQCRYIKDQGDLATPELVAAIFDYP >KN541148.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541148.1:229:943:-1 gene:KN541148.1_FG001 transcript:KN541148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKGVVCIAVQGFGWMNVTFWIYPYGGYDDPFPR >KN544417.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544417.1:1274:1666:1 gene:KN544417.1_FG001 transcript:KN544417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >KN541148.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541148.1:24733:25605:-1 gene:KN541148.1_FG002 transcript:KN541148.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAVIVAAWLSRLPEWTTWIMLIALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPASGSSSYASAMGSVEMQPVADSGRSGGNQYDRVEQEDDSSRAVVEMRDVGGSQLSIRERNLEREAPMAVSVSGQSSNQGGSSQHAVIQIEQHEEGETAPLVSAASANNAAPNEEHRESSSSDSAMEFEMFESTRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISILLGVTFYFLTRLLMEPFVVGSSTNLVMF >KN541148.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541148.1:11715:16538:-1 gene:KN541148.1_FG003 transcript:KN541148.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPCPVPYEEIQREAFMSLKPDVFEGLRFDFTKMLNPFFGLSHSGFGCIVTILVRLSVSMGSMELPSQGADVIKVPTSNYEFGANFMDPKMMLIGRVSHDGRVTARVKCDLLENLCLKINAQLTNEPHYSQGMFSFDYKGKDFRSQFQLGNNAFYGGNYIQSVTKNLSLGTEAFWLGQQRKSGVGFVARYDTKKMVATGQIATTGLVSLSYVQKVSEKVSLASDFMYNHMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEERLTPGVNFVLSAELDHWKKDYKFGFGMVLGE >KN541148.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541148.1:5990:9800:1 gene:KN541148.1_FG004 transcript:KN541148.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQGSVLPRMAGRAAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDHI >KN541148.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541148.1:26922:30675:-1 gene:KN541148.1_FG005 transcript:KN541148.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAAAPLPRAPVSSSASAASPGSRAILLLRRRGGATEGHSTHHPEPFYQHVEAILDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALATTISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGSKPNQDRQVVSTLYSLEDTISRRKEEIATEGSGKPSWTKKLILDNRLLCSKISEEAGELNQTLLENEDESRTISEMGDLLYHAMVLLRVKGVRMEQVLEVLRKRFSQSGIEEKASRNKS >KN539546.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539546.1:41936:49889:-1 gene:KN539546.1_FG001 transcript:KN539546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLLPIWSTCILFWTVYSQMTTFSVEQASHMPRRAGGFAVPAGSFSVFLFLSILLFTSANERLLVPLARRLMITRRPQGLTSLQRVGAGLVLATLAMAVSALVEKRRRDASNGVGGGGGGVAMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSLLVSAVDAATRGAWIRDGLDDGRLDLFYWMLAALGVANFAVFLVFASRHQYRPAILPAADSPPDDEGAIKDKKSYLALLETLDSGKPLDEAAGDMEDVAACFEYYADWAEALDGKQWAPISLPMENFESYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGGICAEIGLPPGVLNIITGLGTEAGAPLTSHPHVDKIAFTGSTETGKRIMITASQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGCFANAGQVCSATSRLLLHEKIAKRFLDRLVAWAKSIKISDPLEEGCRLGSVVSEGQYQKIMKFISTARCEGATILSGGARPQHLKKGFFIEPTIITNVSTSMQIWQEEVFGPVICVKEFRTEREAVELANDTHYGLAGAVISNDLERCERISKAIQSGIVWINCSQPCFVQAPWGGNKRSGFGRELGQWGLDNYLSVKQVTKYCSDEPYGWYRPPSKL >AMDW01035899.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035899.1:235:573:-1 gene:AMDW01035899.1_FG001 transcript:AMDW01035899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKEKKARKRSATAGGAESEEAAESDAKSDEAAEPEAQEEEPAAPVTIKKNPRHRSTVTKTKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVVLLGALVYYQYYYLPASTSN >KN539546.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539546.1:21615:23006:-1 gene:KN539546.1_FG002 transcript:KN539546.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDASAAAAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQDCSNSDPPAFWIGIVKDGKEAKASTSPTGTEPNAGSMSVPSPHPPLPRRRVDVRSKGGDPEDREERGAARQELGSSYLDSPVHSPSSDNSGSVGGMHRKHYNNGGGLNLSSSSSVWSSSRSVSEAEDDDTGGPEEENGVLDDWEAVADADALTVDDCNSHQSSGHVAPPAAPNVCTAPANQTGRQDPIQRTKAWAPDDIFRPQSLPSISRQVSFPASIGNGWMGATQQANLSTPLTCPICCEDLDLTDSSFCPCPCKFRLCLFCHNKILEADGRCPGCRKEYVAARLSRSCSMGPRY >KN539546.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539546.1:51288:53080:-1 gene:KN539546.1_FG003 transcript:KN539546.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGVHGGHGNGGDDGVVVDFRGNPVDKARTGGWLGAGLILGTELAERVCVVGISMNLVTYLVGDLHLSNARSANIVTNFLGTLNLLALLGGFLADAVLGRYLTVAVSATIAAIVIKYSHDFYDSSG >KN539546.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539546.1:84043:93673:-1 gene:KN539546.1_FG004 transcript:KN539546.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MTSASAASDEPPRKRARGGAGAEDRLSELPNCLLHDIVALVGSRQAALLDKASQEFPTLPSLTTLLLSKCDVGANCYVLKSVLRNAPNLEHLRLHCCKGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKDDMDESSEHTSSDDEDVDLAIIASEQSGSEEDSDNPAEHGSNHTEESDDLIRRFGVDALEGNLDIGSAEQSINSATKDQQAILHPSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDSESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVVAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHSIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQVILDLNVNSGTLVDKKEEVSEVLPECAESVVEECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVTHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSILVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAIRLECGLHPGESE >KN539546.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539546.1:8218:10827:-1 gene:KN539546.1_FG005 transcript:KN539546.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVRYDEELGKALFNGLPNPFTAARYHSLVIEQETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQRAEEKNYPFTAARYHSLVIEQETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQRAKEKN >KN539546.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539546.1:58790:62488:1 gene:KN539546.1_FG006 transcript:KN539546.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQDQPPPFEDQSSSSRSFTGTGRLLSGETAPAAPPPPGNVLHNIQFWNNGFTVDDGPLRDYDDPANADFIESIKKSQCPQELEPADRRTPVHVNVIKRLEDYQAPLRPPSPFQGVGRTLGGGSSAEESQAPAPATQEPRRSVGIVVDDSLPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGATRPYQLQTGFPPKQLADPAQTVEQAGLANSVIIQKINSTNPRLARIDSSRGDRRVRLAAALSLKLSKYEKAARELTAAADPCSPADDLRRARVAVELSPTPSVSLLERAHEVLDGGSVRCLMLVSARDELAPLLRLAREKGVRSVVVGGESGPARWADVGFSWAEVIAGKARKAAPSVSGKWRDRDVLKRLEWRYDDDDDEEVVFEEDGDEDGIDELTRNSKGKPWWKLESDGENSSACR >KN539546.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539546.1:5741:6061:-1 gene:KN539546.1_FG007 transcript:KN539546.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGKPSGRVQ >KN539546.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539546.1:26819:30007:-1 gene:KN539546.1_FG008 transcript:KN539546.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39952, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39952) UniProtKB/Swiss-Prot;Acc:Q3E9N1] MPPTPPLAALHRFLASPSPPPLPSLLTLHALAVTSGLSPRPDFAAKLVSAYSSAGFPALAALAFAASPCPDAFLWNSLLRSHHRASDFASALSAHRRMRASGARPSRFTAPLVASSAAELGVLPVGAYVHAYSVRFGLLEGDGSVAVASSLVYMYARCGSVRDAVRLFDEMPERDVVAWTAVISGCVCNGQCGEGLSYLVRMVRSAGDGGARPNSRTMESGLEACGVLGELSVGRCLHGFGVKAGVGHCPSVVSSLFSMYTKCDSTEDARILFPELPEKDLVSWTSLIGAYCRTGHAEKAVELFLGMEESALQPDEVVICCLLAGLGNDAKVRGGKTFHAAIVRRNFGDSVLIGNALISMYAKCKQFDIAATVFRMLHQRDIDSWSSMVVAYCKAGLDLKCLELYREMQFRDKDEFEYDTNSLISIISSCSRLGRLRLGQSAHCYSIKHLAGENSSVANALISMYGRCGNFDAARKIFGLVKTKDVVTWSALISSYSHLGHSKDALLQYDQMLTEGVKPNSATLVSVISSCANLAALERGELIHSYVKDMGLECDLSISTALVDMYMKCGQLGIAREMFDSMLERDVVTWNVMISGYGMHGEAIQALKLFSMMERGNVKPNSLTFLAILSACCHAGLVDEGRELFTRMEEYSLEPNLKHYACMVDLLGKSGHLQEAEDVVSAMPIEPDGGIWGTLLGACKMHDNFEMGLRVAKKAFASDPENDGYYILMSNSYGSAEKWNEIEKLRDMMKNHGVEKSIGWSTIDICGFMKNLLTQWQHSLFEQSEFRSSEDMCISFVSGIWSESMVNGLTEWGNEVVNPSEFRNKAQRIRNGRAVVE >KN539546.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539546.1:35950:36309:1 gene:KN539546.1_FG009 transcript:KN539546.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKIVIKVSMPCEKSRSKAMALVARASGVNSMEVTGDGKDRLQVVGDGVDPVCLVACLRRKIGYAEIVQVEEVKDKKPEEEKKPEPPKPVPCYYPAPPCYYPPATVVCSDEPSPCSIM >AMDW01014171.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014171.1:9:251:-1 gene:AMDW01014171.1_FG001 transcript:AMDW01014171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTINEAGQRLVDLRREWTSDARGTVDGDGNFRFRGFHG >KN546120.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546120.1:516:1037:1 gene:KN546120.1_FG001 transcript:KN546120.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRLVRTQTQPGWAPTTRGGGRRRPLALALGRLSSRRPVRCLAAMQTSPPPPSVRTVSIPFSDLKERDRDLSGKIEEGLGPNGLGIISIADVPGFPVLREALLRLAP >KN543381.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543381.1:4173:6679:1 gene:KN543381.1_FG001 transcript:KN543381.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSRGGGGGEVRWVVMRDIVINNKTVCTTPGPMLVATFAVSPDLRTTEKFMVTTTVLMTFLGAALFAVGVLGRFSGRHRGHSSATRIFFRASFALFLPFMSFMFSQAKGRDLPFRAYLILLWMLLVELLRKKVFAMVAPAGDAFSRGVGRYSLFDAVEDAARMIWIGYLVYSYVHGFAVKSLFVILWIFSVAKLCKRAACIHLAKGSFDLAKNATLVSGYMAQLVGEHRQLDLQVVDDGGDVRGNRTMRACNYTVMGESELKINRTPHGFEIDGLEDILAAGGTSGDGDGNGKTEEQLVRVSTIWKLAESDPLFKYNVRRRQKLEDICLGIALYKLLRRRIERCHMAERGTPEARAFVLRGLLALGGGDRGGEAADAERAFDVVEMELRFLVEYYQAIIPLALPKPGIFIANFAFSVVFILLYCIAVLLVTGNGNMFRVLGSLFRGFIGISIDTVVQFRCFRHQVSALVGMVCSSSDLIVTFLLTLTLFSVETYELAQYLLSDWFAASMLCNYARMRINRDHQRRQAAQQRHAQRAIRSGLWVRHRSRPVIKAHQVTMLKLHQLHPRRVWMLASRILSRRLAGLRPAVVTAEAKFTSCVAVLRRHGFFHHGPEWACDSSKGAATVILAWHLATALLEARCDGEPLPRKGEAAVTLSRYCAYLVSYEPGLLPDDPEWTEKAYNDAPSAMARGVKLGKLLEDRASESTEGFEEVWTMLLEFWAALLVVVAQKPSAGPEGHALALANGGEFITHIWAMITHAGVRVHRHHDYQSFPVTHVV >KN540814.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540814.1:18137:18406:-1 gene:KN540814.1_FG001 transcript:KN540814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACRYAPGTRFSFGSLDFIATGSGVLKLAPGEPAPPTTTPTIPLGINNFTASAAQALQAGRIGTSVLANASSSHRSGHHGSSKRRHRAP >KN540814.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540814.1:24705:29107:1 gene:KN540814.1_FG002 transcript:KN540814.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLRLLSHAAAAGRATARTTGSHVGGSNGWIGLKGIGYRMKMETNFLNEQDGHFCGQAKLKPLLSRANLLIARDIEWANIMLAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKTQITQFFVYLLVLLYCLTLDLPPFGFFRNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLTLPERAVALALAVSLDCDYFSRRGGWGLPFLIATE >KN538780.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538780.1:65117:65362:-1 gene:KN538780.1_FG001 transcript:KN538780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNLKLYLENVYIMEENERLRRKAQALNQENKALLAKLNTNHAAASSTSTTTQHRPPTAASAAGAGASSTLKPGKQQPK >KN538780.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538780.1:155616:156398:1 gene:KN538780.1_FG002 transcript:KN538780.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDRELAGYWAKLPLLRRLMLSHPEVEWVWWVDSDALFTDMAFELPLARYDTSNLVIHGYPELLFAKRSWIALNTGSFLLRNCQWSLELLDAWAPMGPKGRVRDEAGKVLTASLTGRPAFEADDQSALIHILLTQKDRWMEKVYVEDKYFLHGFWAGLVDKYEEMMEEHHPGLGDERWPFVTHFVGCKPCGGYGDYPRERCLGGMERAFNFADNQVLRLYGFRHRSLASARVRRVANRTDSPLVNKEAALKMDAKIES >KN538780.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538780.1:39462:40447:1 gene:KN538780.1_FG003 transcript:KN538780.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTQATFQSTSSGVISLHIILRPLSSAKIVYLRSIRQQPLASITTTLIHFQAGGGTFPPEHGLMALHKFVQVYYQLVSSEK >KN538780.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538780.1:15540:16409:-1 gene:KN538780.1_FG004 transcript:KN538780.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGMWKIGLRIVAVPFLATSTIAYIVAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTFLVALGIAENWKDAENIIRERRKIKMNVVHRKTLDDWSKYRASQKKDK >KN538780.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538780.1:112390:126254:1 gene:KN538780.1_FG005 transcript:KN538780.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPWGARCAAAAFFVSSLCVAALGVVLLLARRRDFANLGDWYADLLRRSPTGTVHVHVLGCTVTANPANVEYMLKTRFDNFPKGRPFAALLGDLLGNGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIVAQEVEARLMPVLADAADRGAVLDLQDVFRRFAFDNICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWRAKRFLNVGSERELRKAIKAYREKEKTFAHLLERDGNGASAKYHGIDQSIYGDLIALLNLASGVIWYSTNKIIG >KN538780.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538780.1:182988:183745:1 gene:KN538780.1_FG006 transcript:KN538780.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPDSYHNRHIGVFQIKSEAISASGITIASTTQPYLIKYKSSPVRLIQTALLCVPLTMGIRSESQDANLKLLHYREGHGRHKRTVLIRVMLQPRAMTVHLPQVYKAEIVVQTTLPWTKEL >KN538780.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538780.1:9867:13930:1 gene:KN538780.1_FG007 transcript:KN538780.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFDQIPQQQQKQHKKMAESSTHNDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYGRWLEDGRRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMAGFRPSDLLKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVDEASLGSFMGYMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPRE >KN538780.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538780.1:129192:129644:1 gene:KN538780.1_FG008 transcript:KN538780.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGASLQEID >KN538780.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538780.1:72296:72508:-1 gene:KN538780.1_FG009 transcript:KN538780.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHLPSAAPAPAPPAERWRLPSDHLAPALLTPPLLSLLIPLHFPISDLSSVMGDEAHVRGGDEA >KN538780.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538780.1:84884:86585:1 gene:KN538780.1_FG010 transcript:KN538780.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGKQLMDGGGVAFQTLPRAAGDDNADDDYVRPPPRPLVSAVHDKPATHPQQVHISVVGANRMRICWVTDDDDGRSSPPSVVEYGASPGEYTASATGDHATYSYSEYKSGKIHHVTIGPLEPATTYYYRCGGEVVAGEELSLRTPPAKLPVEFVVMGDTRIYDNEANSQGPMYITIGDGGNVDGHSDKFIEEHELAHLSEFREMSFGHGRLRIVSETKAIWTWHRNDDQHATVRDVVVLESLAGAKTN >KN538780.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538780.1:35593:36476:-1 gene:KN538780.1_FG011 transcript:KN538780.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGVVQKDKAKAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKFCVGNVDWRIPNLGCLQYIPNRLAGAALVVPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASS >KN538780.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538780.1:23438:23899:1 gene:KN538780.1_FG012 transcript:KN538780.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRGADAAELEGRGGGVARARHRHRATPNPRAPPPSSSPHPETRRRMRKGRGRRAGAWEERASPADGREGGERLTGELGEDSGGGKAAAVEIVLAHRPAELLSGAVPSYYRRRRGKEERGAPDRGGEVRRLAARTSRPGGGAEDGQGKRRD >KN538780.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538780.1:52177:53971:-1 gene:KN538780.1_FG013 transcript:KN538780.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILIVLLVVLLGLAFQAILRPPPQKLCGSPGGPPVTSLRIKLRDGRYLAYREDGVQKDKAKFKIISVHAFDSTKDFPLQVSKELVDELGIYIVGFDRAGYGESDPNPKRDVKSEALDIEELADQLELGHKFYVLGLSMGGYSIWGCLQYIPNRLAGAAMVVPIINYWWPSFPAELSRQAFKRLIVPEQRTLWIAHNMPSLLYLWMTQKWLPSSAAAMRHPEIFSKHDLEVLQKMMAMPLIENKSRQQGIYESTHRDLLVAFGKWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYIAQRLPWIQYHEFPEGGHMFMLVDGWTDKIIRALLVGEQL >KN538780.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538780.1:69196:69739:-1 gene:KN538780.1_FG014 transcript:KN538780.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVCDEVSLFGFGKSPGAKHHYHTNQKKELDLHDYEAEYDFYGDLQARPAAVPFLDDAHGFTVPPILLRYENDQ >KN538780.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538780.1:79824:80222:-1 gene:KN538780.1_FG015 transcript:KN538780.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDPATGDFTIMLIHNPYMQLDHQTSNGIIRSPSTTTSASLTASLMTASFYIITYHRVVHLIDINPDSSYVTGVIVQETLPMMYLIVYLARSPNHGDFIQIFRFTSSLETDQTVTRTTEISVLMLDLDDN >KN538780.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538780.1:139241:148317:-1 gene:KN538780.1_FG016 transcript:KN538780.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDHGGDADLAADELQSLSFGSSERSRSGSTVSTATTVSTTTSGPPPPPPPPPPPRAAAAPRLGAVSLSDIRFVRRLGSGDIGSVYLAEVKGARGGGAAVVAAKVCSFEEIRHLLHHTKLVRIFSYLICRRRSLRMLLYMDGTPTYGQQHCHQSTHVVAKNTSKLFYAAEVVAALEYIHMMDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHSSASSSCIIPSCIVPAVSCFQLFPGRGRRRRHRRKKKTASGGVGGISGSSSSSFPAGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGHDNEMTLANIVARALEFPKDPPVSSAAKDLVTSLLAKDPTRRLGATVGAAAIKRHPFFSGVNWALLRFLIVSPYAARRSIMPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGRRKCEALWPIFKINHQRSRYLYDLYYNRKEISQELYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >KN538780.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538780.1:74389:77112:-1 gene:KN538780.1_FG017 transcript:KN538780.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAALRLVVLLAAAVPLLPPPAASLAVTSTYVRPTARATLSVLHDGDGRTPQQVHISAVGSDKMRVTWITDDDAPATVEYGTVSGEYPFSAAGNTTTYSYVLYNSGNIHDVVIGPLQPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVVGDLGQTGWTASTLRHVAADDYDMLLLPGDLSYADFYQPRWDTFGRLVEPLASARPWMVTEGNHEVERIPVIHPRSFTAYDARWRMPHDAGASPSGSNLYYSFDVAGGAVHVVMLGSYTDYAAGSAQHRLEVVNATHALWTWRRNDDDEAVVADEVWITSLASNPACNKKDSISLY >KN538780.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538780.1:49098:50351:1 gene:KN538780.1_FG018 transcript:KN538780.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLELEFPDLFRSAAVCKLWRATARDMRRLGLYSRAQMPCLLYTTAAAGPRAAVLYSLADKTTPYTVHLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDAGNLNNYKLVYYDGGGNSHRSNDDDVHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVMEEGSEHKDVRTTGIGVFKVDYKKQDLDDVDDIGNNALFIGTSYSMCLPVKDYPHLMPNHIYFDDDYGYLVHRKHLRRDVGVYDYTNDTAIDVENPQPLLNWPLAPVWITPSFTKTAKYS >KN538780.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538780.1:26269:28840:1 gene:KN538780.1_FG019 transcript:KN538780.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/Swiss-Prot;Acc:Q9LZ23] MCEVSSVVDMTSDDAIPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVSTESAVTQTKFHIMRSGRKVEDPDTLEKIRLTVINNLLQYHPESSENLAMGEFFGIKAPEKKVDVDVVTHVIVEDDGPKRSMLYIETADRPGLLLEIVKIITDVNVDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >KN538780.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538780.1:59908:60866:1 gene:KN538780.1_FG020 transcript:KN538780.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVIDSFNPCTKMIVTYNSNKLVFNGHEFYPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIISYESPKPSIGIHRFVFVLFKQKRRQAVVVPSSRDHFNTRQFAEENELGLPVAAVYFNAQRETAARRR >KN538780.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538780.1:135283:138538:1 gene:KN538780.1_FG021 transcript:KN538780.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDAAPDRMDAASSWMNPPVEVSSPVAGGGGGEDGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDDPKPETVASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSLAGQPFFYWLDIGEGKDVDLPHCPRALLKKQCIKYLGPQEREFYEYIVTEGKIIHKHSGEPLDTSQGPKGTKWIFVMSTTKKLYAGKKEKGVFQHSSFLAGGATIAAGRFTAENGVIMSIWAYSGHYKPSAENLDNFMNFLEENGVDRKEVEVRSSTNEDYYEDPVPNKQNPLATVMESNPPQLILPQNMIEEDKASEPSSQAEVAESDNAPKVQAKPTYQRTLSGGLKSPRAAEVPREAILERVKSKGESKSYQLGHRLSLKWSTGVGPRIGCVKDYPMELRMQALEMVNLSPRASTPSPSWRLPACLSPTPNLPSSPLGPIQTSLPQPS >KN538780.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538780.1:30663:32255:1 gene:KN538780.1_FG022 transcript:KN538780.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGVPPFIKECNLVLRALRDEARWDDMRSVYAEMLQLGIEPSIVTYNTLLDSFFREGRVDQAAKLLREMEARPGGCLPSDVTYNVVINGLARKGELEKAAQLVDRMRMSKKASAFTFNPLITGYFARGSVEKAGALQLEMENEGIVPTVVTYNTIIHGMFRSGNVEAARMKFVEMRAMGLLPDLITYNSLINGYCKAGNLKEALWLFGDLKRAGLAPSVLTYNILLDGYCRLGDLEEARRFKQEMVEQGCQPDVSTYTILMNGSRKVRNLAMVREFFDEMLSKGLQPDCFAYNTRISAELILGSTSEAFQLTEVMISRGISSDTVTYNIFLDGLCKSGNLKDAYVLWMKMVSDGLQPDCITYTCLIHAHCERGRLREARDIFDGMLVSGLPPSAVTYTVFIHAYCRRGNLYSAYGWFQKMLEEGVRPNEVTYNVLIHALCRMGRTNLAYQHFHEMLERGLSPNKYTYTLLIDGNCKEGNWEEAIRLYSEMHQHGIHPDHCTHNALFKGFDEGQSKHAIQYMENVVLGA >KN541208.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541208.1:15475:16413:-1 gene:KN541208.1_FG001 transcript:KN541208.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAAVWRGHGSSNITRQILKCTRWQLEETTDFITCPYHYYCDSAYPGDYSRAVGALVAAFAAYCLVSAAAFAVLDIGRAGGGGGRNRRKYMVPSGPFLLPVVLLALAKGQRINAVFPLAQLGPALLLTLQASALAFRNEADGDIRYAVLEASTVSGTALAIIFALCSRMVCRIYGEERVSAWMRSALEAAGRVFVAGDAVYLAGWAFAEGAAASVAAYALVAGLVFLCIFGKVYKFLAWMETRQVQWKPSVSCHSNVV >KN541208.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541208.1:21766:23543:-1 gene:KN541208.1_FG002 transcript:KN541208.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRIYCSVLTALVHSCDTIIVELLNYLGPAYLFFLLLYTMFSDRCVPKCIWSNCSWCTHWNQNAPGYFFHGIIHINGPFTGLHICRYWAATTYWSLLMSLFTVVLNVSAIWTAIFGAAMDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALIVAHKRRGRAKAD >KN539871.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539871.1:22245:24161:-1 gene:KN539871.1_FG001 transcript:KN539871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDKRYDSFKTWSGRLERQISHLAGIGPDIPSPAGQVVDAAMDGHHHSRTVSTPEVGRFFAALEGPELDQLRAILWKRIAESPPTTRYLHVAADVNLVLWWLSVALTCAVSAVYACKVVFFFEAVRREYLHPVRVNFFFAPLIACLFLAIGVPRAVASSTAAMPAWLWYALMAPMLCLELKIYGQWMSSGQRRLSMVANPSNHLSVVGNFVGALLGASMGIREGAVFFFAVGVAHYVVLFVTLYQRLPTNEALPRELHPVFFLFVATPSVASVAWAAIAGEFALGARLAYFVAMFLYASLAARAVSLFGGVRFSLAWALCVALAAAATLTVGCLFATTVVHAVVLRSLFPNDVAIAITDHRKVKPKPQPKTTMEVRYKMDGNGDIEGGAPAMTPSPCMPMATAA >KN539871.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539871.1:57466:62741:-1 gene:KN539871.1_FG002 transcript:KN539871.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQPTEKYPKLFPGNSLFGRYPYLLPSLCISVFCFIIFISCMWLPETLHDLKVHKKDDGPIESPIAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGVSVMLYQTFIYPNIEKILGPINTSRVAAVLSMVLLFTYSPMTHLPRPWVQIVLNIVSVTIVTCTYILQNDSVVFMGAKASTCFLLSR >KN541412.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541412.1:1712:2298:-1 gene:KN541412.1_FG001 transcript:KN541412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGAGVGGKMKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >KN539871.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539871.1:51410:55747:1 gene:KN539871.1_FG003 transcript:KN539871.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRKRMTMEVFVGGLHRDAKEDDVRAVFAKAGEITEVRMIMNPLAGKNKGYCFVRYRHAAQAKKAIAEFGNVKICGKLCRAAVPVGNDRIFLGNINKKWKKEDVIKQLKKIGIENIDSVTLKSDSNNPVCNRGFAFLELETSRDARMAYKKLSQKNAFGKGLNIRVAWAEPLNDPDEKDAQVKSIFVDGIPTSWDHAQLKEIFKKHGKIESVVLSRDMPSAKRRDFAFINYITREAAISCLESFDKEEFIRYPVQDYTHIYSGEKRPFSTLGDPYYPLKGHSCRRHEGSTYTTAASSYGVLPPATAESSLPHYHDSNRYPPHLDEAIKFSPTSTVLSKQAWQKIRLTT >KN539871.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539871.1:38130:46423:-1 gene:KN539871.1_FG004 transcript:KN539871.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATSVPSLVLARGHRIETVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFEAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQNCTGLNQWQLEFWMNFLPNKTSTVRLCWQPLFLGLHSVEDGEIWTFSVRSFEAPPPERTIHVNYEGFAEDVRVGDELLVDGGMARFEVVEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSVQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEELELKDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTNTGHMASLLSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >KN541412.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541412.1:18494:27532:-1 gene:KN541412.1_FG002 transcript:KN541412.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKPQDYSDVRGEYAPAVYSALERHLPPSVLDANREIKLQLMREVLGHYWPHGERNKVQRHREYRQRILNHYKSAAGSWYKRAQLVGQEPLHKELYKMRPSSFFLPTFLEAIRTNTEESFRSIMTEPVPGVYSFAMLQPNFCEMLLQEVENFEKWVHTMKFKIMRPNTMNKYGAVLDDFGLEVMLNQFMEQFIAPMSTVLYPEVGGGTLDTHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMFDYSHVPGQAVLHRGRHRHGARPTSSGLRINLLLWCRSSYFYFECSTSSLTLKGHLPRKQANLQLKLIVPVHSSVFREMKKYQKDFSGWCGECKREKKERQIHAVKATKLAFLRGAGGATI >KN539871.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539871.1:75304:77307:1 gene:KN539871.1_FG005 transcript:KN539871.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFMKLYHQREIQLLVLLSFTLQMFLFFTGSLRRRNTNVFLRVSIWTAYLGADFTAVYALGYLSRHDDIQRQMSGGTQPLAFFWASFLLIHLGGQDSITAFSMADNNLWLRHLLNLVVQVVLAAYVFWKSPARHSAELLVSGVLVFIAGVTKYGERTLSLKYGRFESLESSTGDHYKKRFPELNDSDHGYSKVVLDALCSMLNVHNVFAARNPFVNGPESNSPVVIARDTVQGTKKMLKVVELELAIMYDDLYTKALVLRTRIGMILRCTSHACSLLAFALFLTCDKRRYNGVDVAITYSLFIGGFFLDLSSVFIFIMSPWTWAWLKAQKCDRLASLSWFLFSSDIGWPEKRPRWSNSIGQYNLLNWVSGGDQPRSYNQKVVALVRRLSSLVGVGKEDLFWLSKTLDTEYVEADEKTMEFVVKGISSLSNEVSEQQQWQNLGPLLKKIRVCFVADMACAIVVMHIFTQVYLNAVEAAAAGDEGGGDADDMVEVCRKLSNYMMYLFVNHPSMLPLNASSEATVVEFAKSREELSRTQQGSKMTLDELYNIIQQNKDGVSRQGTMEEMYKMILEEDVQPSWGAVEEMAAMWLRFLIFAAGRSNGKVHAAELASGGELITFAWLLMAREGLGESERRRVRLTSTISSISSADSAGAAADLKEAYAFFFN >KN541412.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541412.1:6090:6405:-1 gene:KN541412.1_FG003 transcript:KN541412.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSRGAVAAVAGDGGGRGSNSIRWLGNSGGKGVAAASGCRDGGGKGVAAADGGQQQQQHAPGAARKQQEHHGTELMARLLDNYG >AMDW01133501.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01133501.1:28:255:1 gene:AMDW01133501.1_FG001 transcript:AMDW01133501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCYFEFLPVNSGANDVAAPEPDHRGLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFAFVRRKN >AMDW01039145.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039145.1:157:417:1 gene:AMDW01039145.1_FG001 transcript:AMDW01039145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLETAKSAHNLRVRVPLHILPAPMVVANASVPSNRGTPNPSDLDVVAITQPHYSNRLNRAWSPYIQPCPYRITNSILASAVAPP >KN542303.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542303.1:2332:2962:1 gene:KN542303.1_FG001 transcript:KN542303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGLLAMAVEDLEDMKDMLESMAAVLKDAERRSVTEESVLLWLKRLKNAAYDISDMLDEFQDKSKSATSGKGKSDSEGSGH >KN542303.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542303.1:16794:16988:-1 gene:KN542303.1_FG002 transcript:KN542303.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVVSDRIKDMMALIRLTAAEVNATSMILDDEDGVDLVDPERALVGKVWAPNTLHIQTIS >KN542303.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542303.1:7915:12136:1 gene:KN542303.1_FG003 transcript:KN542303.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMKKMRDQLRKIKEGHEAFRLTPDSTPYNEHHRPDPRETTSKVIQSSIIGRDKERKQVVSLLSASDEEDTVIVPIYGFGGIGKTALAQLVFNDTQFRGYDHRVWVYVSQVFDLKKIGNTIISQVSNEGNKNEYTRENINGRLCDLLEDKNTLIVLDDLWETNDFHLNELKLILNTKGKIKVLVTARNEDVAKKICTHKPYRLNPLDSAMCWNIIKQRSNFEARGDKDQIEQVGWVIAKKCGGVALAAHALGFLLSGMDLVEWRAVSDSDIWTEAFSDNSVLPSLKLTYKNMPPYLRLCFAYCAIFPKGHNIAKASLVHQWIALGFIEPSKTFSSVQLGEKYIRQLVGMSFLQLSILHTVCVYNEPDGTFHFTLFIKLVLQGDEFSFTKFLRVLDLTDCSIRILPSSIVKLKQLRFLIAPNIGDNVFPKSITLLPKLKYLDLHGSFRISALQGSISKHACLIHLDLSGCSNIRVIQPEALCGLTKLQFLNLSWCSILQILPENIASLTELQYLNLSNCFLLSQLPSHIGSLTELQYLNLSGCQGLVKLPMSFRNLKNLVHLDLSGCSRVQDFKHVFGGLTKLQYLNLSKIFGRTRVGDNWDGYPETISTLNDIEYLDLSRNSRIDYLPRSLGNLKKLQTLDLSYCRSLRSLPHSIELIDSLEFLIVVGCSDQLKEYLRKSQFKNIPISLPHFIVHSISGDSRSNLHMLEDINPVRLEISCLENVKSIEEARGIELSEKQNLSTLTFHWTSRADRLLEDKDVLGELMPPRGLWNLSIQGYGSTTFPTWFVGISHHLPSLVKIELKDLHRCRHLPPLGQLPNLNEVHLQQMDSLTKIDGGFCGGDKGAFRKLKVFTLRDMKQLEEWSTTTHSEGGEDSIKFMFPMLVTLSIELCPMLRLKPCPPMFHNWLISESDKVISSWGEIRHQPAASVSHSFSSSSNTNLEIKGVGVAADEWRLLRHLPTLQQLEISWCKNLLHLPDAIRHLPSLWSLSLNSCEAIQELPEWLGEMTSLQSIRIWGCEGIKSLPQCTEKLTNLHTLKIDQNRYLGRWCLSREKGELAHIRNVAVDTGLTEALMKIFAEDFMPTDSSE >KN542884.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542884.1:173:1326:-1 gene:KN542884.1_FG001 transcript:KN542884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTMKGHAIRWKQLNLWRRCPRLNVKALTEKNVRDINAVSSDFASLFGKLKLGAGGDEGWSKGHSTKTEHTIPASRAFWRIKQAAQRPPSIREVDDALRRWEEKGEAALVVPPPLASRGASPTAVGDNLPLTADKGEFSDPASAYLALVNAAAQGDKEHGDMVAAAVHGADAAHDTVAAAHDAAAAHDEAAVVAAAAALADDVERGTAACGTVVHDVERGTAVTHDVTVDAAAAHDVAMEAAAAHDVAVADDDTAGKEQDETAAAATAAAVAHDIAVLHDNITSKAQVEVAAAAAMATAMVQDDEAVADKGEAPVEDAEEDEAASGDMTTMPHNGVAVVTRAAVLLPGDMDHAALDDMAV >KN542884.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542884.1:7842:10820:1 gene:KN542884.1_FG002 transcript:KN542884.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELANYKSLDFGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKGMMNLMMEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADVISRACFGSSFSEGKEIFIKIRQLQTTMAKQSLLIGVPGSRYLPTRSNREIWNLDSSIRTLILNISKKYEHVSSTLVNNDLLHAIIQGSKDGPLHSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHHEWQSRARVEFLDICQGKPLDFDILRKLKTLTMVIQETLRLYPPASFVVREALNDMKLGGIDIPKGTNIWIPIAMAHRDPSVWGPSNDKFDPDRYLPTRSNREIWNLDSSIRTLILNISKKYEHVSSTLVNNDLLHAIIQGSKDGPLHSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHHEWQSRARVEFLDICQGKPLDFDILRKLKTLTMVIQETLRLYPPASFVVREALNDMKLGGIDIPKGTNIWIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKFEFKLSPNYVHCPAFRLTIEPGKGVPLIFREL >AMDW01084761.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084761.1:359:758:1 gene:AMDW01084761.1_FG001 transcript:AMDW01084761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YSSLYSHASSSQMPTLIITVDLQCCRCNIKIQKVLCCMQERGEVEIEKIVYEKDTVVVSGPFDAEKLSCKLWCKAGKIIKDIKIKPPPEEKKKPDEKKKPDDKKQPE >AMDW01035364.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035364.1:168:380:1 gene:AMDW01035364.1_FG001 transcript:AMDW01035364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HTKRNIFTGILTPSDELDKEVAAAYEASGEKFWRLPLEESYWEQMKSSVADMLNTGSPLGGAITAGLFLKQ >KN541960.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541960.1:256:2244:1 gene:KN541960.1_FG001 transcript:KN541960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPATWRPLVAMSPRLEELRMCCIAVDVDCREHGGGDGRRKVRMVSSSLRFLRIDGMGNVEFVGARLPRVLQADFAQASYPSAPNLLSAMATSLETLDYYYYALPLSPTELLKGLPSSYENLKRLKVHLDFNHAPPILSTLNFLRTAPNLTQLVIQDFTDDSYAQSPYPLAAELYGNLCPSLLFLQMSYVTSQNNEMDFIRLILSKARMLQDPR >KN541960.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541960.1:16885:18328:-1 gene:KN541960.1_FG002 transcript:KN541960.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAKLKEEVEKQRDLKETYMARLESTQAYLRFCLEVAQIELHEMIGRGSTADVYRATWRGLDVAVKWMRAEFFTATDQRSRGEAFFAQELDALSRQRHPHKCDVYSFGIILNELVTGEHPYIDTGYGPSKIALEVADGKLRPKLAERDVNSSVLNDLICGMWDAEPSKRPSFATITSALREIKQQLM >KN541960.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541960.1:10425:13173:-1 gene:KN541960.1_FG003 transcript:KN541960.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDHYSFQHTAVQYATCLQQLMAAVRPQ >KN541960.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541960.1:3564:5882:-1 gene:KN541960.1_FG004 transcript:KN541960.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVPLGNVTQVAKQFAASSVGQLARIEGLVTLSCLLVVILVFSNSRRRHQSNGFLGFLVWAAFMFNYPVISYTIGLMQSSSVRNELFLVWACFLLLLLGSADAMTAFSFNDAQQHARSMMNQALHIIYLLFLILYYKAQLRMNLKVPLFILWSLSVARLVLRINAYRTTSRDSGLIRENQIVFEYMKRKLLDGSIVGKYDPDPSSMKECIYLVDGKEEESSSMAIHLRYDAPDTVSVDKVWECKGELLSCSSSAGSRAAARRRDLCLSFALFRLLRLRFGADHVGDLNFHSNNDLSRTLVVDRLLSDDRDLDRAFRVVEAELGFLFDFFYARYPSLKDNLVFDLILYLLTMVTSLFTLFSSVLLHYRPSTTAKVNIIIHSFNLDLFVTRLVVALYVFLESYQLLSLVLSDWHKVKLMCQYVLKVSWHRARVDTPLKVLCHFNVYRYWKNAINQYSLLDNAGYLYRVKLLLSTLTLQLLDPWIMASSIVLPPQVKQAVLCALKDALKPTNGKITDGRRWLHQNGILDRDLDYDLFSHKTYAPYILVWHIATTICCYGQSKFDMTQADADQLRYHYEVATVLSGYCSYLVAFAPDLIPDGTYTSQLLSCRVLKDAHAYLAECRTTSDKYDKLMKLGRDGWKEQEAGCPLLYEGAVLALNLVDRKKDAEERWKVLAHFWANLLLYIAPSDRASAHASKLATGGELLTIVWALLNHAGVVNKLQENNGCQPLDTLPRAPRTPIFHRQGTIVDSSEDDGDGDYPMYEIMQEDYSV >KN540042.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540042.1:8551:10165:1 gene:KN540042.1_FG001 transcript:KN540042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANVLYAALLVPTVLYLVVTRRRSRRLPPGPAGLPLVGSLPFIDPNLHTYFAGLAAKHGPILSIRLGSKVDIVVTSPELAREVLRDQDSVFANRVMPDAGNAVSFGGVQNIVGNPVGPMWRLLRRLCVHEMLSPSGLANVHDLRRREFRSTLRYLHTKSGEPVDLGAQMFLNTMNVITSAMWGGTIGSESERAAVGSEFRGLVAEVTELLGTPNVSDFFPALAPFDLQGIRGKMERFRSRFDLMFAKIIQQRMKAQQDGGETATDFLECMLKMEKEGGDGKAPFTMDNVKALLLDMVVGGTETTSNTVEWAMAEMLQNPQVLKKAQQELDSVVGRDEVVEEWHLPQLHYLRMVIKETLRLHPALPLMVPHSPSAASTVGGYHVPEGSRVFVNVWAIQRNPLVWNEPLEFNPERFAGDEGHKWDFTGSQFDYFPFGSGRRICAGIAMAEKMTAYSVAMLLQAFDWKLPQGVQPDLSEKFGIVMKKAAPLVAIPTPRLSKPELYY >KN542780.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542780.1:192:4719:1 gene:KN542780.1_FG001 transcript:KN542780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVTGITVGTREPEFIPKRENDTLPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKENLACGSEKEKATNERLVEPLMSLLKRNRRSFRGRGIPFEIDLPVVPFGASWGARAGKEFFPSAAVASVIDIGRRLGQAGVEIGASVNKGTRECLGGSATDWQDEQSCFSFIPTSDEKMANSISIREDALSIGEHTVTGFKISKTSIPQIGVVK >KN540042.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540042.1:52466:54214:1 gene:KN540042.1_FG002 transcript:KN540042.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESCIQRASKNLDGQKEITFSDLSLSLATDVIGLAAFGTDFGLSKLPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFLQEPFRQVLKRIPGMGDYKIDRVNRALRTHMDSIVAEREAAMEYDLAASQQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEKLLREIDAFGPRDRVPMADDLQTKFPYLDQVVKESMRFYIMSPLLARETLEQVEIGGYVLPKGTWVWLAPGVLAKDPKNFPEPEIFRLERFNPNGEEERRRHPYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQAIKRHHA >KN540042.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540042.1:37819:44413:1 gene:KN540042.1_FG003 transcript:KN540042.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRILWERTVSQAYLTNNASRSCHTTSTARSDRLIARQREARQGESEISEMDISEVMGVTAEWAVTMVAMAVGLLVVAYLYEPYRKVWHVPGPVPLPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGIKKFKSIPNRSMPSPIANSPIHKKGLFFTRGPRWTSMRNMIISIYQPSHLASLIPTMESCIQRASKNLDGQKEITFSDLSLSLATDVIGLAAFGTDFGLSKLPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFLQEPFRQVLKRIPGMGDYKIDRVNRALRTQMDRIVAERGGAMEHDLAASHQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEKLLREIDAFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMVSPLVARETHEQVEIGGYALPKGTWVWLAPGVLAKDPKNFPEPEVFRPERFDPNSEEERRRHPYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIIYDFKYGVKLQVIKRHNA >KN540042.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540042.1:19610:21289:1 gene:KN540042.1_FG004 transcript:KN540042.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTATTSALTAASASTLLYAALAAAAFLYITIVRHRRGGDLPPGPAGLPLIGCLPFLEPNLHAYFARLAEKHGPVFSIRIGSKLEVVVTSPEVAREVLRDQDHVFANRVIPEAGRAIAFGEEDNIVGNPAGPKLRLLRRICVSEMLGPSGLSKMYGLRRREFRSTLRHLYTQSQCGTPVCVGAQMFLTAMNTITSSLWGGKIGSESERTAVGQEFRALIAEITELLGRPNVSDFFPVLAPLDLQGVQKRMRGIRTQFEHMFARIIQTRAKAEQDGGEASSDFLEYMLKLEKEGGDGKTPFTMINVKALLLDMVVGGTETISNTVEWAMAEMILNPRILEKVQQELDQVVGRDSLVEESHIGQLHYLRLVFKETIRLHTPLPLMVPHCPSSTSTIGGYRVPKGTRVFVNVWAIHRNPRVWKAPQEFIPERFSGDDGQKWDFSGKEFDYFPFGSGRRMCAGIAMAERMTIYSLALLLQAFDWKLPEGTQLDMDEKFGVVMKKAKPLVVIPTPRLTKPELYS >KN542780.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542780.1:12360:12491:1 gene:KN542780.1_FG002 transcript:KN542780.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALISEIRGMKVREVPGYLKPRLSWENVKKSSDQAVDRYID >KN540042.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540042.1:56913:58125:-1 gene:KN540042.1_FG005 transcript:KN540042.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPFLQRRGMDTLKSLITNSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSIGRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGRPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPSGLLDEMNRPINKGKMYISSTSPLAVPVAYLRQFQRDFSLFLKSRAAEVFSGGRMVLAMLGRQADGYIDRRTTFLWELLSESFASLVAQGLVEEDKVDAYNVPFYAPSIGEIEEEVRREGSFRMDYVQTYEINLSSSGDARRDGRTVSMAIRAIQESMLSHHFGPEVVDALFAKYTELVTASMEREEVKSVQIGVVLTRL >KN542780.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542780.1:7262:11116:-1 gene:KN542780.1_FG003 transcript:KN542780.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVALKTLIVVHRLLREGDPTFKEEFLAYSYKGSVLQIANFKDDSSQLAWDCSAWVRAYALFLEERLECFRILKFDIETERLMRSPECSSKAHSRTRTLPCIELLEQLPALQQLLFRLIGCQPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALVVYKRAGQQAEDLSDFYDSCKQLELARTFQLPTLRQPPPSFIATMEEYIREAPRPSINSVENGERKLMTYGQEATEESEKPAEEEKDEPAESEQEQEPKQEPKPPETTGDLLNLDAEVSPLVAELEENNAWALAIVGAGDQTKASTSLDLFSGNTSGWELALAGGFDKLLLDSLYEDETRRRQIAGVTYTGSIGGGAPNPFDTNDPFATSSSFLPPSNAILT >KN542780.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542780.1:6051:6497:1 gene:KN542780.1_FG004 transcript:KN542780.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLSNRFHCPAVNVERLWSMVPADKAAEAGAGAGKAPVIDVTQFGYTKVLGKGMLPPERPIVVKAKLISKVAEKKIKAAGGAVLLTA >KN545078.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545078.1:1270:1797:-1 gene:KN545078.1_FG001 transcript:KN545078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSCGWEFLQYLDALEWLDIFDSNDQTQLPENMRSLTSLLELTINDCPTLGMLPEWLGELCSLRVLIIMGTPMMDSLPQSIGLLTSLTNLTIECHNLEQLPETFQHLISLRILTLVECGALTALPECIGKLSALLVLDVIQCSAIQCLPKSIKHLTNLQQLRIIGCPDLAKAL >AMDW01040519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040519.1:793:1575:-1 gene:AMDW01040519.1_FG001 transcript:AMDW01040519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQSQQQQQQSGPGGAQPPQMLQGGPPDMPGSGLGLGGPQMGAQYGGPGSLSSYGAFGGVGAGLGGPNPYANLPSSMGGGGAGLGSLGNQMPSGMGGAGAGAYGPGGLGGGSFGGSSQFGAGGMGAYGGLGMGGASSLYRMQQGSGGLPSGGYGEGNYPLPGPGFRGQEGGMSPGPGGRAPMYPNVPPYF >KN539944.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539944.1:72978:73366:-1 gene:KN539944.1_FG001 transcript:KN539944.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVTVTDQILQYDILVQIRTVMRVVMQMELADG >KN539944.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539944.1:1976:4499:-1 gene:KN539944.1_FG002 transcript:KN539944.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGAFASWSFSYFLFILIPRTCKHIAKASLVTASIVVLSGAALLVEAANMGDPDAQYELGCHLRIENDYVHSDQQAFYYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHSGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRPIQQDPVKLAKEQFQIAAEAGCDLGLRWLKRLGDYEKQQEQPKQIQQ >KN539944.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539944.1:51783:55260:-1 gene:KN539944.1_FG003 transcript:KN539944.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNVLASATQLVSAMLTAVGALEQAAADFAEAPRRLQVLEDFVSDLGLLMQQSKQKHAHKMHAPQLERQLQSLGKLMDQLHANITKARRVLKKGKGKKGLARVVWSSVTGDPLMKYVQLIRDDLNWWLELQKLTESVGNVIASTAKSTPSLVRVKSEHGYPVSKKCSYVRELLINDGSHRVVLIVGLSGIGKSCLARQIASDPPGNFVDGAIELSFGRWCSRAACNGSRDEYHKRLVRKICKFLVQIGSMTVNEDVGKDLEDVCYLLQTALVGRSMLILLDDVWEQDIVDRFTNLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEIGKDILLYHSLLTVEELPPVAYDLLDRCGHHPLTVAVMGKALRKETRVEKWDRAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAAISWDEPVPEACLESMWSALMQDTLFPLVVSKLVEGSLIIKLEDQSMYHMHDMVSLYLESKTDNAVHTLLFGSFPEYAALVSPWLFIFGKESAKERAEQKIRSLFSLLEFMEIEILLGSTTQALMECKSISEFEASRLRFSKILSPRIAELISVGSTSLIVTVTKSITVIFFQGDYAKLAQSLETAGSVDKLIHVLRGCEDSSTLANVSTVLAKISEHVDATTADEILATIPMDQIAKLLSPENEEWHEIVFTTLASLIKVGKLRAVETMIESGIDKKLLVLLGSGSEISQHHAIIMLKTFCELGAPLQGCMGPGVLTHLPWHARLSLERFVLFDQNVTPSPKPQQSFELILHKILQRDNKDNIEAIQGLLPLAERANDSRVQDLLLGSNMSDGLALLLQRRDIESNQVRSHTAFLVMKLACTGGEPYVHRFLEANIVHELIDMIQCNINDLQDSAYYALHQIIFAKGGSLVLQRFLQAGTIEKLVNLLDRKSSKTKELTMQLLVDIAVVGTKPCIERMLSSQIIEKFVALEKAGGSFSGAVSRYVQGLNMCKNVQSAERSVMKQQILRKVRSAIRGHDLEASLVASVEACISEKGASSSRRKK >KN539944.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539944.1:43319:46515:1 gene:KN539944.1_FG004 transcript:KN539944.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNTVEELELDVLTASFPDLDILPIGPAATSLDGGGAAAPARASHDLYKHDEKGYMEWLDAKPAGSVVYVSFGSMSVLSGSEYVMEVDRSLDDMKLLPYLAGGKLDETNSRVGEWGSVLVARWVLWRRPDSTVEMGRRLAAARSRSGSNRACMWQWRRDAAVGLGGLADGNGAGRGWLPCPDSRGGTSAAVGGGQRHLDLMGLVVFGSSDPATSAEQQ >KN539944.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539944.1:14366:19943:-1 gene:KN539944.1_FG005 transcript:KN539944.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HY5 [Source:Projected from Arabidopsis thaliana (AT5G11260) UniProtKB/Swiss-Prot;Acc:O24646] MLHASSKDERRGQSGEAEAEASGGVHANPSSPARMQEQATSSRPSSSERLLRNRVSAQQARERKKAYLNDLEVKVKDLEKKNSELEERFSTLQNENQMLRQILKNTTKGSIMHNQKVMLLARKIAIKAPNNKEINLQEQQQANGQAMDRRNQAPPVSFTN >KN539944.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539944.1:58989:60301:-1 gene:KN539944.1_FG006 transcript:KN539944.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGTGSDVRPGDWYCNCGAHNFASRSSCFKCAAFKDDAAVNSGGAGGFDGGDMSRSRGYGFGSGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEV >AMDW01020448.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020448.1:6:140:-1 gene:AMDW01020448.1_FG001 transcript:AMDW01020448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCAAGAEATGLVAMDCLVVCCCCPCLVLQITVFLFVRLPKK >KN544452.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544452.1:271:2325:1 gene:KN544452.1_FG001 transcript:KN544452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLSVERNNKQTCVKVFAELSNSAKNTTPVPIASFVTKLLISFPPNQKTIVHPGIFDKPLRHDGFVWAIDSTVTGRFVIEIEFLDLKIADPSQPLPHVHEQYNALTDSNSAFQGGEPASIWASHQIKKSSDNTALSSLARMLQEGILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQMAHLYRLQKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGILTTWSGR >AMDW01016958.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016958.1:18:269:-1 gene:AMDW01016958.1_FG001 transcript:AMDW01016958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVLSCLDGVPAGVDRSKIHTAGSDGLCLVNDGLQIVKGSPVVF >KN541326.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541326.1:2810:3919:1 gene:KN541326.1_FG001 transcript:KN541326.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALGVVVPELLDLFGVVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGLYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPIQNLVEHLSDPLHNNILSSFV >KN541326.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541326.1:27240:28131:1 gene:KN541326.1_FG002 transcript:KN541326.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGAMVGAGGAGRPAYPGGLTMFVSMACLVAATGGLIFGYDIGVSGGVTSMDPFLSRFFPSVYRAQSAAAAAAGGNQYCRFVLFFFFAGWVAAMTAFVALFVPETKGVPIEDMAAVWSDHWYWKRFVDGDGDGARRRGDIEMGHK >KN541326.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541326.1:21050:23674:-1 gene:KN541326.1_FG003 transcript:KN541326.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCSIWLVIRRDADALVGLFVGISDLRFLYHMGSIYLWSWDLSRWPGLLGLRIYLRHVDDGLALAVNLWLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMSCVNHETGAVDSRKFGVLANWQREYTMETILTQLKKEMATPQNRKLVQPPEGTFF >KN541326.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541326.1:6533:19994:1 gene:KN541326.1_FG004 transcript:KN541326.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHRQEDWGKGLNHNPCIGGLPGIVFSCLLQGQPLQLHSVRMGEHLHPHNKQIASIAFHAKGELLAVASGHKLFIWDYNKRDEASDPPMILRTRRSLRAVQFHPNGAPYLLTTEVNNLDSADSELTHATSSGYSNSPSAVFFAIMNSACCPYSESRFSSPCLIWPAYVRDDGSICLLRNDWVSGSSDVQQPSDSETQQAGHMVTPMDLCPGEPGVNNYDDEVSASLSNRIEMHTPSWQNSSRFHNSSAATDLHRIDIRQVSDLSSDTPNPEMPAHSRIDVPNSMPMDLFASSNTIDVQMFLRDVEAGHHHNNYTGGSHSWELPFLQGWLMAQNRTGLRATLPNNEVIGDLPIGGTAGTDNVMNESSNMYSFERVGPSSSIPITTDSLRGLSKHRHMLTSVPGGAGTSLQGAQNGEAHVNVVSLGVGSEFATSLFAGDGAELPCTVKLRIWRHNIDNPCAVLAPEACCLTISHAVLCSEMGTHFSPCGRFLVACVACLLPQTEVGEHFSPTSEHKLLAYGRRHNSLLRGIFMDGKTTIPVYTVLEVYRVSDMELVRVIPSAEDEVNVACFHPSPGAGLVYGTKGGGSMECVRSGSGVLDPRCSPRFLGKKGGSLTSCGKATSTNLAISTKYEQNLHRNVKPSQLAASVSSCSVHRSPVLKQRQSLSARSTSADVYTTFDENARVVSSHAAEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKVALKKKNLNANIYVGMRYWYPFTEEAIDQIKKDQITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEEVMIFFSAHGVPLTYVTDAGDPYRDQMEDCIALIMGELKSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTKKKVDESDPDMDLMHYLSKMFFGSILAFVLLLSPRLISAFRNTLL >KN539968.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539968.1:68092:68328:-1 gene:KN539968.1_FG001 transcript:KN539968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLTEMLDEYWKTDGRALSSVSLAKGLSLLGTEEARFIEGKWRRQLDSEIQTQMRRHDLAKEVYALLMDAIKAVGP >KN539968.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539968.1:51815:54692:-1 gene:KN539968.1_FG002 transcript:KN539968.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRVMNDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRLTLIIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKLKSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGGSGAGGAAGGGFLQGTIFIVVACVCWSGFFVLQSNTLQSYPAELSLTTLICLMGSVLSGAVALVAERHNTHAWLIGFDTRLFTCVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAIMGSILLKEEIRLGNVIGAVIIVIGLYALIWGKGADKAFCD >KN539968.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539968.1:41483:42108:1 gene:KN539968.1_FG003 transcript:KN539968.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAALTVLPRAAGVLRLSQHGRAASRLLSAAAGDGEASPGPRAGRLVLYTKPGCCLCDGLKEKLQAAFLLAGTPYSLASLELQASKLDQVRGSRALSPFGGFILRRWGLCFDQERDITTNPDWEQMYQYEIPVLAKVLPDGSEEKLPRLSPRLSVELVQKKVFSAFDQ >KN539968.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539968.1:1644:5925:-1 gene:KN539968.1_FG004 transcript:KN539968.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGTGLYLLAADDTVLIQLPLPDAKLRIVKLGVDGKLVITSYASANASSPSPTDAGFVAPNSGCDLPLSCGALGFCTPNGNASSCTCPPLFASSHDGGCTPADGSKAMSVASCGGAGGDAAPTSYVSLGDGVAYYANRFSRPDMVGSNGSSCQALCSGNCSCLGYFYDESSLSCFLVKHQIGSLVNANSTRRGDMVGFIKVQSSQSPGSPSGSSSNNSTLIAILLPTIVAFVLERRAGRRASRSRDVQLRRHRSPASDSAHLVYGNDDDGDDIVIPGLPTRFTHEEIEDMTNSFRIKIGAGGFGAVYKGELPDGSAVAVKKIEGVGMQGKREFCTEIAVIGNIRHVNLVRLRGFCVEGQRRLLPLEWKERMEVAVGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGAGGAATGDDSNSSNGTTGSSSRGARSDYFPLMALEGHEAGKYAELADPRLAGKVVAGEVERVVKVGLCCLHEDPQLRPSMAMVAGMLEGTMELWEPREEKARYAMDASSGKMEGYGSKLQKDLEGKKAWADFFFHNVAPPAMVNHDIWPSHPAGYREANEEYCKHMQRLARKLFEHLSTALGLDGGAMWEAFGGDELVFLHKINFYPPCPEPELTLGVAPHTDMSTFTVLVPNDVQGLQVFKDGHWYDVKYVPDALIIHIGDQIEILSNGRYKSVLHRTTVDKDRTRMSWPVFVEPPPEHVVGPHPQLVTDGSPAKYKAKKFKDYRHCKINKLPM >KN539968.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539968.1:17519:22715:-1 gene:KN539968.1_FG005 transcript:KN539968.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAVSSPETANYYGADHDMVAREDDVDYAALADIDAFFQSPKCMDYSMMDPCSTFFSPAPESLAAEWEDEASHPNPRGRDSLAFALLVSFLPSAAAAAAARAMGYTKEQLLARLQELNIEFSCYDHPVVLTVEEQAKHVGHFGGALSKNLLLKDKKHRLYVVSALAGTKVDMKILSQRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALLNESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSSNLDKFLMSIGRQPAYVDLEASPVVGKDNPPDLADLVPSGVPNSAEPIEKVTPTNVPRQNDVPKEKTCLPEVKAKPKVQNKGAEKTQSKIPTNGANVEKFVNDVFDIMSPLFLSEVSKKLNVKQEELSSIFDGFKEQATIDLESVTTSLKNAAYTAGFEAGFETMLNSGLKGRASRK >KN539975.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539975.1:70852:71166:-1 gene:KN539975.1_FG001 transcript:KN539975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSIFRDQDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >KN539968.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539968.1:61508:65625:1 gene:KN539968.1_FG006 transcript:KN539968.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09650) UniProtKB/Swiss-Prot;Acc:Q9LXC9] MSNRHRKGLIMCFPSIIWKSFGMRRVLATTALLRTAELRPKEQGLPETLDYRVFLVDSGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRNIPAGELSLA >KN539968.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539968.1:10888:14387:-1 gene:KN539968.1_FG007 transcript:KN539968.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYTSSAARSFATGASRFPSPVSPASPAPGAVGGGAPISIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPGAAEKALQASA >KN539968.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539968.1:44170:49357:-1 gene:KN539968.1_FG008 transcript:KN539968.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPALTLAVAAAVIAAACLLLCAEAVWLDLPQSGTKCVSEEIQSNVVVLADYALMYESHPSSHPTIAVKVTSPYGNTLHHNENATVGQFAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDVIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTKPSLMMTLGYFLIRRYQLLMLAKLSANMKINCAKLTFILLCISQKKTPIIPPGEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELESELRIILQLTPYEDLIVEITNENGSTINLPVTVHILIAPNDPSNYLETCRLKQLAQIIIKLIPKNLGLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLVPASPSK >KN539975.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539975.1:6650:9062:-1 gene:KN539975.1_FG002 transcript:KN539975.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRRKRGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAQVALLVFSPAGRLYEFASSASSIDTIFGRYWDLLDTTIDLNIEARDSRVDCNIQVWIRRQIVHGGLAPLLVGDATPPFRGVGVAPRLALCIDDDTPPRIKVAKAGGMEGGIMDVNDGLQEFGLHLMIALVWYFMRQGSLGNKGSISGTTISDIKVSK >KN539975.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539975.1:53539:62064:1 gene:KN539975.1_FG003 transcript:KN539975.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVLDADQSAFPYRFQRTDLWKPVYKWLESLELELLVTSKEISEWLTSNPQIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKIPKSLQLSAARATVPASASPVTPQESTPTVRKSLPPVTGVSASRFVGGDAPIRDKKMSLSKKKEAFLKYELLTDLQNQLTSVLLKNRRTVAIKETDFQKPETNVSMQEGAATASTSTPTEVTKIYCNEQPNQGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLQHEQNSSSHSNGARSYDIWKGHVNPSFPQRSIKKNILFCLEGREAGANWSQTCSNGGHAGRNCERWSPFLEGWNSPAVQFEGPAVHVVKQSYLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRATQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIEFPESYLSFSASDSYITSLKTNPAGNTIITGSGDGTIGLFDIRACSAINHLSVGPGCEKKYIRCCSHVDEGDQGVNAMQWLHKEPVLVTVNLVSDIS >KN540451.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540451.1:57:635:1 gene:KN540451.1_FG001 transcript:KN540451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGCPSAGTSISSCHGRLSRGDGDVVPLQPSTIEMLLLCGLLCNLPLFGDVVPPLRFAPQGDGVEVEIQVVARRSIGEGRQHPSQPTIFWLFNLLSPFFLSSDGLLAATAMGNWRQRDELPTMVPCIQRQPRRRRARPSSGSSIHEEADSQRCEQTVFHVDADNKMVGDKAPPYKEVSREGGLHPLGSTL >KN539975.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539975.1:47985:50978:-1 gene:KN539975.1_FG004 transcript:KN539975.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATTAAAASSSRLPFRRAVSSSSSSQLRLPAAPPPRRASLAVVALHKRNPKRLKYASQRQFTRGDAGMLRVQVEPSGEDAWKLEPVVELINRGAVGIIPTDTVYAHRSQSQRVFVWLLCLSRSLPLSSLDQRHWRFKGKICKDPLSILCRSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPDDPICEAILQNLDEPLICTSVKSLSEDEWILDPVIIADIYEQQGLDFIVDGGTRIADPSTVVDMTGSYPTIIRQGKLILDASTAFYAVCINAQRPLCLPFPALGP >KN539975.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539975.1:16373:20735:-1 gene:KN539975.1_FG005 transcript:KN539975.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAHRAGAGRSHVVVNGDGHPPMKRRVVVKKTETDGWTDGWAWAASDGRDPSWFPETRYAAEHLGFPTRWRSIGMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRETNCLDVISLENLRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDQLTELQRKEQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEHHEAMNSACMNTYMPPWLP >KN539975.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539975.1:62944:67207:-1 gene:KN539975.1_FG006 transcript:KN539975.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MGSLKMTGKAPCAALPESSCAYLLQELKMIWDEVGQEENERERILQELEQECQEVYRRKPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTTMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWENKKVQTQLARRHENSFILRPNTSCSRPSSRGFNTSPGSSTIWSSRLPTMVQQPSADNSSAEKDVHIRKVRNRSMQRALGNNRSISISYEDKTPSLSAIKQGVSPI >AMDW01032816.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032816.1:10:159:-1 gene:AMDW01032816.1_FG001 transcript:AMDW01032816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRSSPPAPEIRSPEQDGAEDTDVEEKFDDAFDIPHKNASHDRLRRWR >KN538970.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538970.1:81035:84260:-1 gene:KN538970.1_FG001 transcript:KN538970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALILQGGRSTSADGDTISSALGVGEHLSIRIDTMRMREQEEVAPGVAVGRSAVTDAPAIQVTIRHLGPAVDVGPSALAPGRPGGNRSRLLSGLQNSRGSAIVRSGSDSSSDGAWPDDSREIKKLRAEMQGVFDILSLVMTLVVPLAILVYTQLLDNSPSAQRNLWISLGIVVSLATIGAVIARLAATETAAEVSSSIGVLASIVLIMISGVVLVTLVQHEDWAMFWEIAICLSLLIADICAWTRFAKRPKCLIYCLRECPGCFHSCLLGMMGVCDWLLSCGTSGHRQEYPKMNCNMKTLIPT >KN538970.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538970.1:126682:127792:-1 gene:KN538970.1_FG002 transcript:KN538970.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLPTSIDGGQVLDDMEVVEMKYLFGKVLMPRDVSWATEQLVIPDEHVGKLLDMVVMNRPEGGFFVVVVEDGEVAGKLWLFRYWKRDDVHCFTKGWGCYAREKGLRAGDTVSFFHSTACGRFFICCRRTCTSFLSLPTTSHRVNGVQIL >KN538970.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538970.1:42758:43433:1 gene:KN538970.1_FG003 transcript:KN538970.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARKSAPCIGGVKKPHRYRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQLFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTIMSKDVQLARRIRGERL >KN538970.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538970.1:85822:90004:-1 gene:KN538970.1_FG004 transcript:KN538970.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPILHGGPSTSADAASISSALGVGENLSIRIDPTMREEAAPRVAVDRGAATDAPAIHITIQHLAPAVDVGPSTRAPGGNRSHPSGLRNDHGRNNDSSSSSGGGSGSDAVGREEAVEKKKLKAEMQGVFDILLFIMTAVVPLALLVYTLLPHDNPAAHRDAWISLGIVVSLAAIGAVIARFAVTNTAAEVSSSIGLLASMVLIMISWVVVAEVLPYEIWSWYFETFICICLLLADFCAWIECAQRPKYLASYVTSFKSFMALIGLCDKGQISGQDSGHQRQNLLQK >KN538970.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538970.1:108978:110786:-1 gene:KN538970.1_FG005 transcript:KN538970.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMSTIVPLCLSLLLFFQVSIAQFSFGGGPLYSSRGFRGDSVSQHQCCFEHLAALEVTHRDRSEAGFIEYYNTEVRNEFRCAGVSVRRLVIESRGLALPVYANAHKLLYIIQGHGVFGMALPGCPETFQSVRSAFEQPSTQKLSDEHQQLHKFRQGDVIAVPAGVAHWLYNNGDSPVVAFSVIDFRNNANQLDPIPRVQEEQSQEQQQQPTWSGRGCVQNNGLDEIMCAFRLSKNINNAQSTDIFNPRGGRITRANSQNFPVLNIIQMSATRTVLQSNFAVAVKARQEGFSWVSFKTSHNAIDSQIAGKRSILRALPVDVVAKAYLLSREESRSLKFNRGDEMAVFSPRPHQQLYAEWQTSK >KN538970.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538970.1:34840:38828:1 gene:KN538970.1_FG006 transcript:KN538970.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIDLPQVQCPQVAGADVNQKLFLGYAATAAAREGNIHILEMLLQAGVTREACEDSLSEAALFAEAEAVRLLVCSEMIRPEAAAHALVTASSRGFDDVVVILLQNGVDANSMARVLLRSVKPALHANVNCTPLVAAVMSRQISTVKLLIEEGSYLDCLVQVGSWCWDSATGEELRVGACLGEKYNAIWCAVEYYECSGEILKLLIDKAPWLLETPRKGRNLLCHAILCQNPNAVSVLLHAGANPRFPIMMTKGTHVSYPIHFAARLGHAPVLKQLMLDGANINAQTSTGDTPLMVSARCGHSDCFLELIKSGADLGIVNNAGDTAIMLAKKSSFSSTIIDILSRALSCGGCITSSDITVFSPLHFFAASDSAEALLMTLHYSAADLNRPDGSGLTPVMVAAESGHADIFRLLVMAGADIAATSAEGKSAMSIIRSRAPETRDREARAGPSAAFRRRNRRIIRTGSEEQLILMVETVTGREIHFEATSASSVELWVRGINLILQDCAWSRPDEAEQA >KN538970.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538970.1:130550:131353:-1 gene:KN538970.1_FG007 transcript:KN538970.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLSAAIVGAEESDGVVPGKLWCFRYWKSNGVHGLTKGWRCFVRKKGLKAGDTISFFRGSACGRLFICCKLGTRATFASSSTLHHGFSMPPPPARPLVGLESGMLARDVPSLGQARLHDGNQDGGGAPSRHVPSSGRRVETQLSRVSSRRQRRTTKHSIPEPTIETPPILESMFLIAAPPAVKCLRLFGVNIYVLPVSSSGQPKQESSP >KN538970.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538970.1:95888:107000:1 gene:KN538970.1_FG008 transcript:KN538970.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDADPAPAPAPAIASLQPADDDWAARDDLEEAPAQPHPHSAAPDDDDAREAPRARPAQDNESSNVSYIILSGVNGIKSSLQSLELQSVDLPHDAVQTEAKEEQNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKSTATGCTYREMEPKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDESTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSNCSWWSGPCLFEVLDCIQVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDNMLVMPNKANVKVISVHCDEDRVRSAAPGENVRVKLSGIEEDDITAGFVLSSIKNPVSAISEFRAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRRKEGDIKKKKPKRKPLFVKNGAVVVCRVQVILETSSVNCSDTIWIPRSGIKV >KN538970.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538970.1:50716:51613:1 gene:KN538970.1_FG009 transcript:KN538970.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKPLRAIAAVMPAPSSRGVARKSVPFIGGVKKPRRHRPGTVALREIRKYQKNTELLIRKQPFQRLVREIAQHFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTIMSKDVQLARRIRGERL >KN538970.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538970.1:22519:23877:1 gene:KN538970.1_FG010 transcript:KN538970.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRWDRKAAHDIPEYMKFVYEKVLDAYEYIEDLLADEEKFRMSYLRNYTIDLVRAFNTEVKWRDARYVPATVEEHLQISTRSGGCYLLSCASFVGMDHIATAESFVWVSSAPRIVCALCTILRLSDDLETFEIKGWK >KN538970.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538970.1:117436:121503:1 gene:KN538970.1_FG011 transcript:KN538970.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAATFWTSSDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVSSVANAAGGNEGPDPREVELYLEEILSLTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKSFRNGSFNKMVQDLTGFYVILEEFFMVENVRKAIRIDEPVPDGPTTSMVDDVFFVLQSCCRRAASTASINSIFAVLGGAASLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCSEAFHAPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRVRPVLDTVATVSYELDDAEYGENEVNDPWVQKLLLAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >KN538970.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538970.1:64511:67780:-1 gene:KN538970.1_FG012 transcript:KN538970.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVTRCTASLEDFAGQEACGALGIGDDVRCLLATLRRVQAVVSHEERRGRVLSAKVDAWVAQVKDAMYEADDVLDVSMVEGGKMLAEGDSPPTPKARCSLMFSCFKPASAPKFHHEIGFTFREIDAKLREIEEEMPRLPAGSSHSESRRDWFSRGICSNFSDAIRPLAVGTQVQKSLDGLVPRMIREGKKKVDVLAIVGAVGIGKTTLAREIYNDDRMTETFPIRVWVKMTKDLTDVDFLKKIIIGAGGGVNVGEIESKKELLGILSSTLSKRFLIVLDDLDNPGIWDDLLKDPLGDGVARGRILITTRSEEVATGMKAMVHRVDKMDAENGWALLCRQSLPECSSEELASLKDVGIKIVERCDGHPLAIKMVAGVLRSRGKSKAEWEMVMRSDVWSMRPIIPELPQALYLSYVDLPSELKECFLHCSLYPEELPIQRFGLIRRWIAEGLVGDKDNKLLEDSAEEYYAELVSRNLLQPYAGNLDQCWITHDLLRSLARFLITDESILISGQQRLSTDPLSLSKPRHLTLCNMENRFDDPISVKQQMSLRSLMLFNSPNVRSIDNLVESASCLRVLDLSKTALGALPKSIGNLLHLRYLNLDETQVRDIPSSIGFLINLETLSLQNCQRLQRLPWTVRALLQLRCLSLTGTSLSHVPKGVGDLKNLNYLAGLIIGHDNGGPEGCDLNDLQTLSELRHLHIENLDRATSGASALANKPFLKDLHLCEQAPLIEEQQSEQEQENQDDQKETEEEEKEVLDVTNSQFSREESIKASEKIWNELTPPQSIKKLVIKNYRGGKFPNWLTGPKLGISFPSLVYLDIDNCMSCTALPALGLLNQLQSLQISNADSVVTIGPEFLGAASSSSATASFPKLEILKLRNMKKLEEWSLAVEENQILLPCLKSLHIQFCPKLKALPEGLKNVSLRELHVKGAYSLTEIKDLPRISDDLQLKDNRALQRISSLPVLQSLTIDNCPKLKHVSGLDTLQHLRLAFPPSTETFYFDELIIFWSIAFPRWLELLIHKRDGLHRFELQCSLSLLRSCLDGGKNWSIVQQIPEVRITSTDGKRYIRYNKRRCIYETNAQSED >KN542277.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542277.1:5712:8372:1 gene:KN542277.1_FG001 transcript:KN542277.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGYGIVAVVLVLLPPSATPAGIADCDQGVGNTYVANSTFEANLNVLAAALSTNVSVAPAGFAVATVGADPDKVFAMALCRGDVDASACSACVAAAFVDGKKDCPGNSGVAMYEDACVARFSRYRFMDFLSPDQWQVSQMMYAQSILPFFSRKKSDKLIARDEQLESRASLGERRCAGGWLVQSRRKKISTAGIAAGVASSVVVLLILSVFAFVRFRRRTKVTDAVHPLKKITRAQCMIFDLSALQEATENFSPNNKLGEGGFGAVYKGVLSDGQEVAVKKLLGTGHGLDQLYNEIKLLAELQHKNLVRLQGFCLHQEQTLLVYEYLKNRSLDNFLFDPSRGNALNWDQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILVGDDMEPKIADFGLARLLGEGHTHSKTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIITRRRNWHSDDHDLVSDVWNCWTKGTATKMIYQSLNGYSESQALRCIHIGLLCVQPDPDDRPHMSSIIFMLTKENMKLQPPAEPAFFLGRESASSPPSCGQHGYNCD >KN539894.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539894.1:43917:61122:-1 gene:KN539894.1_FG001 transcript:KN539894.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKEEMNAMDPKSTKEGKAKMTALFQKHGVSPFTPLKGLLIQGPIFMSFFFAIRNMIDKVPSMKGGGSLWFTDLTTPDPLYILPVLTALIFLVTVELNLQEGMEGNPMARKMKNFSRGMAVLTVPFTMSFAKGIFCYWITSNLFTLTYGFVIRRPAVRKFCNLPALEAQSASAKKQMFNLFGGSKALTTAESPVAITGGPQSSLEQPDAAALGYRVKNPEKKAKSRGKSRRRRRKIYFFRYKMCYKNHLEVAEELGVYMVAFDRAGYGESDPNPNRTVKSAALDMAELADALGLGDKFYKKEMATQQGINESYYRDMTEIQVQKTHREQWEPAGGAAGADGGGDGGRVALLEELGVYMVAFDRAGYGESDPDPRRSLRSAAMDIQDLADALQLGPKFHLICSSLGCHAAWASFKYIPHRLAGAAMMAPVINYRWPGLPRGLARQLYRRQPVGDQWSLRVAYYAPWLLHWWMNQTWLPTSTVISGSGSFPNALDEKNRLMALSTGLFQKKARMATQQGVQESFYRDMAVMFGRWPEFEPAGLEEPPFPVHLFQGDEDGVVPKTNPNQLLQFTYSYKEELLVGRADDGNGLQVSGQTSSEETAATRLRLISALLIVAGESTDRAFSMHGLQNVSAELDLSPSVGVLGNLVNDIIPFRQRGHGIDPGGPVNSTRVLLVEQR >KN542277.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542277.1:11013:15503:1 gene:KN542277.1_FG002 transcript:KN542277.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLILSVAVAVALLVPRAAGEPWPVCGQDFGTFTPKSRFFANLQLIAATLPGNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVNNENKVTSEQGRYNRLVAALVNATADYAARKRPVITKAKQTNANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVNFVGVCLDQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGIARIFGQDQTHAVTNRVVGTYCSGYKAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFCNNTHQSEDLLTTIWERWMAGTVDEMVDPAMSRKLQPYPYLRSYPEVAERWADEWSEGPFTRPRVGGAHQGERYGASMMLAFTRLCRDIGGGWEMSSALLWRPILIPGVLPRLRARSHGKSPGALELAPGPPRPFNRLWGLRGP >KN539894.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539894.1:3456:5416:-1 gene:KN539894.1_FG002 transcript:KN539894.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSGLFGLGGDEGEAAAAEFWLEKSKGRVCMALSSKSLVITGIDDRRYWQHIPTAESRFHSVAYLQQIWWFEVVGEIDFSFPVGTYSLYFRIHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGDFVVSKPDQTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMVRSQKCRC >KN539894.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539894.1:31971:36507:1 gene:KN539894.1_FG003 transcript:KN539894.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDSGTKRPKFDQDGAGDIVIEPHLTDDKPMRVDQESSSSHRDAEASTSTSKNPGRTEEAGADILPKEMNEMTISDDKVDGHNDKESEGVIVNANGTETGQIIVTSIGGQNGKPKQKVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYYNRMNQRVPILHVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTCLSNGRSLPPLFDFSAAVLRLTTNAPTVTLAG >KN539894.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539894.1:24317:26216:-1 gene:KN539894.1_FG004 transcript:KN539894.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARYDVEKGGRKREGEEERYGSPAAVAQYPQREGEREWVPWLVPAILVANVVVFAVAMYVNNCPSSHASRGRRGGACVAGFLRRFSFQPLSENPLLGPSSATLQKMGALVWDKVVHEHQGWRLVTCIWLHAGVVHLLANMLSLVLIGLRLEQQFGYMRIGIIYLVSGIGGSVLSSLFIRNSISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLIVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYGWMQRYVLPSSVKYTSKKYLAYQWILLAVASVLAVIGFAVGLLMLFRGVNANERCHWCHYLSCIPTSRWTCGN >KN539894.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539894.1:64900:75524:1 gene:KN539894.1_FG005 transcript:KN539894.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:Projected from Arabidopsis thaliana (AT4G24040) UniProtKB/Swiss-Prot;Acc:Q9SU50] MVAAAAAVLPWGGGGSPAWIEVPEKSKSVFWELKRRKVHRYVIFKIDDRREEIVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSRIRAKTIYAVSRNQFRHELDVFSLLNPHSGLLVSKMYETAKDIVLNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMVLDIYMATGDMAFVRRDEEFASKLSTAAKEKFYHQVASTAETGWDFSSRWMRDSTDMTTLTTSYIIPVDLNTFILKMEQDIAFFAKLIGESTTSEIFLEASKARHNAIEYVLWNADMEQWLDYWLPTDGNRQGVYQWKSISQNRVIFASNFVPLWLNAQHSGLERFVDEAKSVRVMRSLQKSGLLQPAGIATSLSNTGQQWDFPNGWAPLQHLIVEGLLRSGSGEARELAEDIATRWVRTNYDAYKATGAMHEKYNVETCGKSGGGGEYKPQDKAHMNLKVLLLSASFEHTKGTCTELATTATRGVLIDDLCAPSGTEQQAMRWKVRYAYGDIGLQYREYETVTYVASRMLAIYAACHSVLREV >KN539894.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539894.1:21527:22909:1 gene:KN539894.1_FG006 transcript:KN539894.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAGGAPSRRLPFPAGAFDMAHCGRCLVPWHLHGGRFLMEIDRVLRPGGYWVHSGAPANGTHERAAIEAAAASMCWRSVADQNGVTVWQKPVSHVGCDAGENAPRFCTGGNRENNKWCEPLPTPAMSYDLLHADSLFTMYRDRCDMEDILLEMDRIVRPGRAVIIRDDIAILARIKNFFTDRMRWDCQIFDGEDGSDDREKILLAAKTCYNDEDRDQEQ >KN539894.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539894.1:40759:43428:1 gene:KN539894.1_FG007 transcript:KN539894.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELSPALARYSTFGGLNSPLHFAAAKGHLDVRDNPLRTIVTLLLEKGADVNARNYCGQVLHFYSSILSLSELEQFVDVFLRLFGLVDEDCVDARMPAWPLGGGSDASALQMQCMVTRADYLSGRTALHFAAHDGLVRARLRYINKPADGGVTALHMAALNGAGSTPLHYAAGGGNAECCQLLLSKGASKLTLNCNGWLPIDVARMFGRRFLEPLLSPNSNSSIPAYQPSNYLALPLMSILNIARPDYVLCRIAIVSETVKLGREFGLLHTVASVDDSDLCAVCLER >KN548827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548827.1:47:437:1 gene:KN548827.1_FG001 transcript:KN548827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAVLCTHRNSIRLRIRSGGHAYEGLPYRSERPQTFAVLDLINIRAVHVDASSATAWVDSGATLGEMFGMLLRKYGLAADNVIDAVLVDAKGRLLNRNSMGSDVF >AMDW01035601.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035601.1:78:543:1 gene:AMDW01035601.1_FG001 transcript:AMDW01035601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RSPEEVQLPLIQCPLCKENTVVVRTSRTPTNPGRIFYTCPDHEKDGSGCNFWYWEEGYMKYLKRNGFIDGEEATEVKKAAELKNASKFDGDVVLRQDDELKKALTDVVSIGRELVVVMKLMLV >AMDW01053914.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053914.1:98:235:1 gene:AMDW01053914.1_FG001 transcript:AMDW01053914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKWEGGEYEGDDEPRFEALLLAMEMGAEYVDIELKVGFTLSPFSL >AMDW01037164.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037164.1:177:641:-1 gene:AMDW01037164.1_FG001 transcript:AMDW01037164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVDRTITLLGLTDSMSRSWSILL >KN542536.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542536.1:251:6587:-1 gene:KN542536.1_FG001 transcript:KN542536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPRPPPFALGPAPPPPTTPCSSAPLPHSRRPFRPGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICLIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPVGYFLFMSFYYLSYAFLNDASIISIRGELFETFKNRGFCPRPQDTKMMLEFCQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGM >KN543553.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543553.1:484:1518:1 gene:KN543553.1_FG001 transcript:KN543553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNVAFTLYEREQIEAATNNFNKENIIGEGGQGTVYKAVLDGIAVAIKRCKEVDESRKMDFLQELVILCRVNHPNIVKLLGCCLQFEAPMLISEFVQNKTLQELLEHQRSRRCLVTLGTRLRIAAESADALAHLHSLPHPILHGDVAKVSDFGCSTIDEKTQPVPKGTPGYLDPDYLLEYQLTAKNDVYSFGVILLELLTGKRPLSKERKCLTSMFQEAMADGTLLDLMDSDIVFEGSIEVIHQIALLTSQCLAFPGSMRPTMRQVAEELRRLALSDEVQQFQQPPLVLDGLIFTEMGNTTSSRYITSNTSGVYNLDNNAILITEFAR >KN543553.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543553.1:3543:5024:-1 gene:KN543553.1_FG002 transcript:KN543553.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIKILKKITRTSDLNSLSLVSKQLYTIDAEQRAAIRLGCGLATEDFLALCSRFPNLLKVEIDYSGSTPGNGNHIDNHGLFVLSSCCTLLNDITLTFCSKINDAGIVCLSYCKKLISLKLNSLPEVTSSGLLMVFFGCKALSSLFINDCKGIAGSTEWLEYLGTDGSLEELVVNGTDGSLEELVVNNCQGISQYDFLKFGRGWMKLKKFEFENQESLWKHFGPSHDSSHNANCVYRYDLCCQNLEDFRLARVITEPEGPETGLRFLLRKCKALERLCLEYVNGVFDKDMIVLSQSCKNLKSISLWMIPGLYDEPDGIVFRTGLTDESLEALTNNCPLLQDVELAFTGVDHWEPPEIGFTQEGLVKLMHSCPIRALTLNGALFNDEGMKGLSSAPFLETLSLIDCMEITDYGMSFLVQYPRLTDLKLQYCPGLTDVGMAELVHAQKLQSLVVDGCYNISENAVWGTARSVQYFVNSAGSGVHFKRLR >KN540750.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540750.1:13596:16215:-1 gene:KN540750.1_FG001 transcript:KN540750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGARAEELQVQRCRARLNRLASASSGDDAEWEELRLKRILVDYMLRMSYYDTAANLAETSGIQDLVDVDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLSPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDSLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCFDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDICNYTDLVKAYIS >AMDW01031821.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031821.1:148:447:-1 gene:AMDW01031821.1_FG001 transcript:AMDW01031821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RGNPPSRSASSSTIVAETATGYHLLKINGYSLTKATTPTGSFLPSSPFTVGGHRWSIKYYPNGDDVEAADYISFFLVLEEEETNMGLTVQAKFKFSFANQ >KN540750.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540750.1:30238:32149:1 gene:KN540750.1_FG002 transcript:KN540750.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDINPCFFYAVTGYFDYRAENRHRHRESAASSILGEIPKPLRYTHLVGGQQDRDSLKRQTADCSMSLGESSSIPKIARSIIGEIPKPLRYTHQYNRADLAVVYEEAIFFVLQAYNEEVIHLSMRYDMLWTCFAGNHALDDAFRLAHQICAQKNCKCPIILFLKIMILKLGFTGSLTVPNVLVEMQTLPVEKKAGSSCSPAMNSKGEEDSSKLEEPKASKTPEYRSKVLPFPCFQFQ >KN540750.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540750.1:18403:20741:-1 gene:KN540750.1_FG003 transcript:KN540750.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLHSSHMYHEAKASFAHHGVKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVDYVKGFGKFVSPSEVSVDLLDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFASDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVLECDIVLVSAGRVPYTSGLGLDALGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGLVKVVAEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYLKAIHI >KN539271.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539271.1:22619:24065:-1 gene:KN539271.1_FG001 transcript:KN539271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIQSFARKLSLPSPKRTWSSGGGSSKRDGGMSKNGSGVKRAISRSEASSFASASSSSESDDTLMARSTPRSVLPAEISRRELEAVLRRLGHGEPDDEELDAVAAIAAAAEAGGGEDELMEAFKVPMFLSILAYQKCSGKFQDKAHDM >KN539271.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539271.1:99379:101554:-1 gene:KN539271.1_FG002 transcript:KN539271.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQGTGDFSVVVLGSDFAVDAGAALLNPADREEWHDCLPDLSAPADGEDFSDLEELQVVRVQGTDRAGRRIVRVVGRFFPGPYSFLIVNTNITSAFRIPAPVIGGDRLKKYVLHKLRTELPEGPFCLLYMHSTVQSDDNNPGMSILRGVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKILEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWSPEDRWYSRNYM >KN539271.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539271.1:4590:5630:-1 gene:KN539271.1_FG003 transcript:KN539271.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSEPVKLIGAFGSPFVHRVEVALRLKGVPYELILEDMGNKSELLLAHNPVHKMVPVLLHGDRSAICESLVIVEYVDEAFDGPPLLPADPLRRAMARFWAHFLDENCLRPLRPALFGEGEEQKKSMEETREGLTVAEAELRGKRFFGGSSIGLADIAGGGVLAHWVGVLQEVAGVSVLSDGDGEYPALRRWAKDYVANEAVMECLPDRDRLLSYFTGIKQKCISVAKSTLPK >KN539271.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539271.1:32825:38027:1 gene:KN539271.1_FG004 transcript:KN539271.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGAMGMTNKAISFSHAPLFTCPPVSQYCYRNKDKLNKLWNDVVVNPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLWIGSQTPKWAIDALPPAKPSKAPFKQETFLS >KN539271.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539271.1:91751:94962:1 gene:KN539271.1_FG005 transcript:KN539271.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAGVDVEDLLVRVKNGADAELAEVAREERCKRSDMNKILMATAISKMFLSEPMKSSLGEDGAVEPLVEMFKSGNLEAKHSALGALLNLSSSLQNAEILINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILLHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHTNAKRARAKIRQNGGVQLLLPFLTEKNIDIKIAALNFIFNLSKDASQELAEQIRDTHLNIFVKIISSPTSGNEKAAAIGILSNLPVTDKKITELLTEANLLPLLISLLETNITAPLTPLRTSLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSLALRKTKLPRWLCVAPSAETYCLVHNSQCTVKSTFCLVKAGAVSPLIQILEDDNREADGAVLEALATLMQDEIWENGSKVIEKASGVHALLRIAEAGNSTSQEKAIWMLERIFRLEAHRERYGEIAQALLIDLAQKGDPILKPMIGKILAHLELLQTQSSYF >KN539271.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539271.1:3283:4073:-1 gene:KN539271.1_FG006 transcript:KN539271.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVKLIGAFASAYGHRAEVALRLKGVRYELILEDLRNKSNLLLNHNPVHKLVPVLLHGDRSLSESLVILEYIDESFHGPPILPTDPYDRAVARFWAQFIDQKFGRFNFWIPFVQMEGNMQDCFVREAKENLALLEGQLKGRKFFGGDAIGFLDIAACLIAHWLGAFEEVCGVTLATDEEFPALCEWRRRYVNDEAVKPCLPNRDELVAYYRERKEMIKAAGRQHK >KN539271.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539271.1:102075:104468:-1 gene:KN539271.1_FG007 transcript:KN539271.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHLRLTSVHEHKELLTQTAMALTKEAERLDVPFQFNPVVSRLDALDVESLRPKAVAVLLAKTGMLGSDAPFLFLTNGKDEWFFPQKFAFGLSFSRTCLLPSGNRIAAACSHCGFYLFFMLFILNQVVKIAYWSLQKEENVEEENKGYKDPGEACNPASLAGWAFVSF >KN539271.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539271.1:73314:75726:1 gene:KN539271.1_FG008 transcript:KN539271.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSMDYLNLLINGQAFSDVTFSVEGRLFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPESAAMVMSREDAQTAAVNAAPIYGESPGGGGGGGVYNASGTSSSMVNLSLDNRMVYLNLGMDAQFGKMNDGGGDGDDGGSRGPSSLFSPHGFP >KN539271.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539271.1:15466:15783:1 gene:KN539271.1_FG009 transcript:KN539271.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADADGDGFISLDEFAALNATASGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLHGLGEKATVQQCRRMIEGVDQNGDGLISFEEFKVMMAGGGSFAKIA >KN539271.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539271.1:188:415:-1 gene:KN539271.1_FG010 transcript:KN539271.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLDNKSDLLLAKNPVHKKVPVLLHGDRAICESLVIVEYADE >KN539271.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539271.1:96499:98841:1 gene:KN539271.1_FG011 transcript:KN539271.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MAAPPQPVPAPAAATPDVFRLGFIGPGNLAESIARGVAASGVLPATAIRTAPHRRPERAEAFSSIGAHILETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRFIRVMPNTPSAVGQAASVMCLGEMATENDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >KN539271.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539271.1:118360:125367:-1 gene:KN539271.1_FG012 transcript:KN539271.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding FYTSVFVSGVGKWVIRQLKGSFPESSPDLSPPESNAVGEKGKKAGGSKRYVPQKNSAAYAILITLHSPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGKTTTNYRFSDCSASISPRSSEGTFEMQSSSTMLKMLITQRNDGTAITSFQLPGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKFCYLYEIQFVSYYNPISSCFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >KN539271.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539271.1:16858:19784:1 gene:KN539271.1_FG013 transcript:KN539271.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] MARSYVIFCQKHAWMTGGMRWCSGWQEESCFAIGAGTDVANLFISIKRTQLLLATEEWLLAGLACSWGESMSSGGVGGGSLGAGLPYHKFVSFALEETRLRTTLTPHPSQYLSELCRPIVAASFNHVSKPCLRLSIFLSHVNEKFKSIKPNDDNTVFNALSFSAPKIRLLRSLTIEKKNSYQVLDFAAFSEPEYDLPIFCANVFTTPAQSIVVLDLNPLYDTTVHKDYKDKYYRSIMPLVHKYNEVWLELMDQAIKENNKATIARNQEEQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGEFIGDAEAQ >AMDW01024883.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024883.1:196:276:-1 gene:AMDW01024883.1_FG001 transcript:AMDW01024883.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWNRSAGADHVFVASHDFGACFHPM >KN542846.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542846.1:1759:1971:-1 gene:KN542846.1_FG001 transcript:KN542846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSLAIADGAVELVDMILEGLPFGEAVMEAEVGTDLGGGDGVQGEGVGVGEEGVGGRMCFSLIYFSGE >KN542481.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542481.1:1468:2877:1 gene:KN542481.1_FG001 transcript:KN542481.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRRRTFLLNAGEIQSLKQRISESDAGREQLRNRLSTYVAISSLAWTSIVRAKSLDAADKVYFMVSADCRRRLRPPADEGYFGNCVTTCVAKAISGDLSAGSDDGLAGLARAAAAIQRAIREGLEVPFGNSERWLDGATATTPPESRLNYYYPVALRFSGLLPLRPAKIQSSSLIRVMIPASDVFVFLKTPDVPFLHYLL >KN542481.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542481.1:9621:12582:-1 gene:KN542481.1_FG002 transcript:KN542481.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METYGLVKDELLHGIGGVQGRLYCESRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVADYEKELKLMQGVSKEEYLASIRRKSNGFSRGVSKYRGVARSVAVSL >KN542521.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542521.1:8402:9282:-1 gene:KN542521.1_FG001 transcript:KN542521.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELSSPSSSSPRYTVGYALLPEKVSSVVRPSLVAMAADRGVRLVAVDVSRPLAEQGPFDLLVHKMSEEHGDRYFIIDINYFPGYAKMPGYEAALTDFFLEMLRGKRPVHEQLGPGSDLDMEARKLEPGLGIGLRELESFRAQA >KN538787.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538787.1:165001:165933:1 gene:KN538787.1_FG001 transcript:KN538787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRLCSSRILFTVFFLAAFAAVASNAGKVAVYWGQGANNADGTLADTCASGLYDFVNIAFLNVFGNGSTPGLNLAGHCNPDAGTCKSLSSEISSCQQSNVKVLLSLGGESGNYSLSSADDARGVADYLWNNFLGGSSDNDSRPLGDAALDGIDFDIEQGNGTHYDELAMALSSKCNGAGAGGGLLTAAPQCPYPDAHLDAAIKTGVFSHVWVQFYNNPQCQYDGGNASALQAAWVQWTNAVPAPADVFLGLPTAPDAANGGYIDSDTLLSQVLPAVKGAAANYGGVMLWDRWRDVTAGFGAKLKGKV >KN538787.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538787.1:195354:207956:-1 gene:KN538787.1_FG002 transcript:KN538787.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAKAQPHVLLVSSPFQSHSCAVLSVYYHYVYSLAAFPSGDEADSSGAVAIPGLPELDMDELRPLLIYTSGQEMWRQMVVGDLGSMTEKAPWVFVNTFDELEHEAIAGLCKHIPLIPVGPLVEPDDGGVVDDDVHGCTAWLDAQPRRSVVFVAFGSLVDIGHDEVVEIAEGLASTGRPFLWVLRDGSRALLPKDALIDACGGDRGKARLQAYFVMGGPKNWGPCAATWPSMIPYRVMVSCSCGVAVRTFYFVHFLVATSLMIVFRIVIKYVEEGNARDVFASFVVIGQVGIGILTKMIKILARLKAQCWGSKAIGMLLIQKILQIFRNMGMLEKYDVMDTMAVWTKKLCTDIINGGTPSGNDSINCEVDEKQLSNYPVLDVGTGNGLLLQALAKQGFSNLTGTDYSEGAIELAKNLAARDGFTSINFLVDDILETKLDRKFKIVTDKGTLDAIGLHPDGRIKRVMYWESVSNLVEPGGIVVVTSCNHTKDELVQEVEDFSKTKSGKEHLDEGEETYDSFFWQFPIRMDPLQKKSGCNRRKGNVLHDGGLRCTESTLPSHRVFLQGHIPAHRVFLLRPTWVAWCAVSPFLSRSRVATPLLSSSVSTPEAEAPSLSTQTIPSPPLTPYVHHHLLIHLMASAPDLHPWVVLDRYVRDADLVDTQRDPRWAAVRCARKEAYGCGEFGQELVDGLTLYVRRRTDGPSDDLFSALAVRATDEMLRKVAAPTKIQKSLVRPLFRCQAGMNARVTFACELHAAEESLVVLSASFDHAHHIYYLVYDGIDESLTMIPSPSPHRFVAFHPRPVLRRRATGGYDLALIARHLSRKSGEDGDVLLLFTKEKESSCCSDQWTEEKKGMRLPAGPRGLFCPDMVFSFKGNTFWADLSQGFMCCDTNALFSGDTVDFRYLGFPAKYTLRDTIKSLKLGPMEMYRTMGVSGGSIKFVSINTPATDAAAGKPPGRPCHDATALTNTTVAVWTLDQGGLCWKKDVEFRLGNLWSQRDYKESGLPRMVPVWPFLRPHAHGTLYFLVPKPMTGPSDPQMYHICGLDMCTKKIQLSHYSVRSTILRPVAFPTNAFQHLDESPLATR >KN538787.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538787.1:138843:149238:1 gene:KN538787.1_FG003 transcript:KN538787.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAAPYWEGVSVGGLVSTGSHGSSWWGRGGAVHDHVVALRLVVPAGAADGWAKVVALRRGDALFNAALVSLGLLGVISKPRFKRSISYEYRDDSTFQDDFASHAANHEFADITWYPSQHKAVYRIDDRMPLNATGDGVNDFIGFQSTLIAVSSGIRALETALEASRNVKGKCKMAAAEIAAKRLVGNGLRGAGGRLFTGYPVVGFQGRMQTSGSCARSPPTDTLSACPWDPRYKGLFFYESTAMFSPAARFRDFVLDVKRLRDVDPDSMCGVDAYNGLLVRFVKASEAYLGQPEDTVVVDFNYYRASDGSSPRLSQDVWEEVEQLAFVKHGARPHWAKNRLAAFRGVRGKTLTINSVALFVTSINRGFLLSFSKTCLNLVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFSEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRTLFTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYGLEWDSSNTEAELNKKYEDLLDGSGSSARQGQLPIIENSPVASISRDMPSLSISPVEDTGKYQAAPQSPSSPAGSAVTHPATKSNTVSQEHHRSPADEISCASPSSSDVLEKARAAIAAANRASAAARAAADLVKFKQLPSKLFMRVLNHELLSGFSCVLDQRERSSGYALLYWRREYSLHNIAWAGLSSGKVKEKSPFKTPAERVKAKMKLQLSETGFSPLFAYVLVFPLQKIPPLEMPPWGGKDLNSIRMLHLMKITMMLKSKHEDTVRDAHENAIFGVPAYPIVDTETTEAEPETNDESEKAKDVEAEPSSSLISDKVLATQSGSWRERAQKLRQNPNA >KN538787.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538787.1:170581:173491:-1 gene:KN538787.1_FG004 transcript:KN538787.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDIGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMWGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDISVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYIPNSKKSPHRSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREHEIKSVKTTAITNEGDMDTNGHHVDFANLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMASGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPSSAKTEQLVTSSVKGVSSGSKGNEAVNASAEHRDFYPKATCANNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >KN538787.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538787.1:186051:187622:1 gene:KN538787.1_FG005 transcript:KN538787.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMAGRLLTESTLQSAMQEALAVASVKIIHDQPDAPVHEDVQDGKAKSGVMVECRICQEEGDESYMETPCCCKGSLKASTHTTHASKGGAMRRETQYARYAYRRSGERSDDVDTNRSQEHFAQTSDQAAGTSSFDSQNSSPKGVFYCRVVAISLMALLVLRDAISLILGDPEVYSMALFTVRY >KN538787.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538787.1:136257:138208:1 gene:KN538787.1_FG006 transcript:KN538787.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDFGLDGVEAYANKVALMEATPGRRSYMYGEVARDSAQFARALQSMVIRKGHISSSSCSPTSRVYPMIKKQEEDFKAKLVVANEVAFNKVKDAGVLVIGVGDKELADARGDQLGRAPRRGGPHRRRGGADGRGAAIRPGIPSVRHSPRECAGYLFSFSTHLALYICLYIKLKLIEIGNKLSQTVNDNPFQVQKG >KN538787.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538787.1:210180:218924:-1 gene:KN538787.1_FG007 transcript:KN538787.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPGAARGAKVVLPDGARGKGNIWKKKLGGKMINEMKIFEHFTYLCTLIQPYANRPHCHESVTILFEEIKDRGNRPQRRLLPGNLCINEEGGGGVGQGGINNKDQAVSRVASLATHYRPQCHSGQSQSSGGINFLSEKEDGREEKEENDRTRALHPVEEPVLADLMLVAVRLVAGKLDEVHQPRSVKVADGEEQRRDAQPHDAADEVDGVECGDVDAATEQTRHIQDAGPAALEGLIRRQANAGRPVSFIVANAFAPWAAGVARDMGVPRAMLWTQSCAVLSLYYHHLYSLVAFPPAGAETGLPVPVPGLPALTVGELPALVYAPEQNVWRQALVADLVSLHDTLPWVLVNTFDELERVAIEALRAHLPVVPVGPLFDTGSGAGEDDDCVAWLDAQPPRSVVFVAFGSVVVIGRDETAEVAEGLASTGHPFLWVVRDDSRELHPHGEKGKVVAWCEQRRVLAHPAVGCFVTHCGWNSTTEALAAGVPVVAYPAWSDQITNAKLLADVYGVGVRLPVPPTRDALRRCVDEVMSGPEAEAMRLRAREWSDKASAAVADGGSSDMGIRDFADALLSLSVEHGC >KN538787.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538787.1:227260:232226:-1 gene:KN538787.1_FG008 transcript:KN538787.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAPARPRRSNVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGLLSTELDGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQVSFVRPFCHLILAILAMANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAS >KN538787.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538787.1:236192:246946:1 gene:KN538787.1_FG009 transcript:KN538787.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MLASASPWMGGASSLWMLTIAPVPFNSSSAHLDQMRRDTTYCNPKFTFPPQEESLEYVVNAIKRVKEESRASGERVLCLIATYVVGKERILLEVARHCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTMGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQEMEEATLLPASSAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVSNSETPKSEIYLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMAAASKSDTSDKVTVDANQNNVKNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSNTGLLRNSSEATPKMPNLCVQSYVAQADSVSISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEKEKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKMYGDLGDVAQECRQNQMLLAPPRPLSIHDVFSTLRKLSGIAGSGSTGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMIPGTPIPPMLARITNGLTQSLKLFNGRAFTFCRNFDLASISFLPNNCTLFINVASRQMKESTSRFPDLVDMIKELCSIEVSSFILDAEVDICVFVFDIMFCNGQRLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >KN538787.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538787.1:179522:181537:-1 gene:KN538787.1_FG010 transcript:KN538787.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVLAGVMHGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPVQNVGEGAGASEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHDKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCNLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEIPVSKNQMLYCTSLNWSADGSTLYAGYTDGTIRIYKISGFSYAG >KN538787.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538787.1:152106:155586:-1 gene:KN538787.1_FG011 transcript:KN538787.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCCAAKSASAAGGGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVCALDAGPVIASEKFAVDEYIKFKVIEREVNHSLNENIHGPLKCHAIQSHNISKTICNCTFIAPELLAILFNLGSKLLLHELPSILDGSAKEKAKPQDDSKVTHAPKLNSDESWLSFNQEAKLLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKVLELQLPGKKVTTARDFWNGLRGQKLLKSP >KN538787.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538787.1:131780:134321:1 gene:KN538787.1_FG012 transcript:KN538787.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGIEQGALSLNAAVYVVKSCWLSYSMAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVEHVIEQYSRIKGRKEVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPSKRKVAEYNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEDGYHSILEGEPDDRISTAINDIISWLDSHC >KN538787.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538787.1:188143:191011:-1 gene:KN538787.1_FG013 transcript:KN538787.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAMPVALALPSEADLPYEEDEAADHIVSVLNGGSDVGDNDRALLLKLCDLLAKHADEVAGLKVEAVLRGAMRKFPDEAGRLRALLAECYARRGLYDKARDVFDFGVTTAATAAEFALVFEAYAQLEQSLVAAKMEKTADEEGDKLELLNGVLLHQNPHDVAQWHRRVKLFDNDPARQAATYVEAVRTVDPAKATGKPSTLWVAFAKMYEAHGNLDSADEVFAKATQASHRSADDLATVWCEWAEMQLRHKRFDKAIALMRQATAEPSAEVKLRAAGDDEPAQLKLHKSAKLWSFYVDLEESLSTLASTRAAYEGAMAARAATPQMVINYASFLEERGYFKDSFAAYETGAKLFGHPHSKPIWDTYLERFVARHGGSKAERARELFAEAIRRAPPRDRARLFLHHARLKRGKCQENMCIPLQFPSLIYTSSPLNLHFASSWSVKLSGYV >KN538787.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538787.1:221535:225424:-1 gene:KN538787.1_FG014 transcript:KN538787.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLHQMSTTCDSLLLELNVIWDEVGEPDTTRDRMLLELEQECLEVYRRKVDQANRSRAQLRKAIAEGEAELAGICSAMGEPPVHVRQSNQKLHGLREELNAIVPYLEEMKKKKVERWNQFVHVIEQIKKISSEIRPADFVPFKVPVDQSDLSLRKLDELTKDLESLQKEKVLGIDFKQTVYEVHPSLDEAEGSKNLSNTTIERLAAAVNRLREMKIQRMQKLQDFASSMLELWNLMDTPLEEQQMFQNITCNIAASEQEITEPNTLSTDFLNYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLVGEEGYAEEFSIEAIEAGAIDPSLVLEQIEAHIATVKEEAFSRKDILEKVERWQNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARMVDVLRTKIAAWKNERGKEDFTYDGVGFLTLTHYIDRVSLSSMLDEYMFVRQEKEQEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPLSTKKAPRHSMGGANRRLSLGGATMQPPKTDILHSKSVRAAKKTEEIGTLSPSSRGLDIAGLPIKKLSFNASTLRETETPRKPFAQITPGNSVSSTPVRPITNNTEDDENRTPKTFTALNPKTPMTVTAPMQMAMTPSLANKVSATPVSLVYDKPEVTLQEDIEYSFEERRLAIYLARQMV >KN538787.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538787.1:160970:161881:1 gene:KN538787.1_FG015 transcript:KN538787.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLCCSSQFLLAVSFLAAFAAVSNAGKVAVYWGQGASNGDGTLAETCATGLYDFVNIAFLNVYGSGLTPVLNLAAHCNPDAGTCKSLSSEISSCQQSNVKVLLSLGGESGNYSLSSADDARGVADYLWNTFLGGSSDSRPLGDAVLDGIDFDIEKDGDHYDELAMALSSKCNGACVLTAAPQCPYPDAHLDAAIKTGVFSHVWVMFYNNPRAHCQYADGDASALQASWARWTSAVPAPADVFLGLPTAPDAANGGYIDSDTLLSQVLPAVKGAAANYGGVMLWDRFRDRSSGYGAKLQGNV >KN540560.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540560.1:7206:14104:-1 gene:KN540560.1_FG001 transcript:KN540560.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEEEEGDVEDDEEEEPRLKYQRLGGSVPAIVSTDAASAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFNNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTYPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYVEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKVSIQEKDILKADCVNLLVKYYHEARRGVYMASMDEEDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >KN540560.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540560.1:43336:46104:-1 gene:KN540560.1_FG002 transcript:KN540560.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVNKSSPELVGPATTKPVAPTVADVINLSSFDKAIGSYPFTSFHVFDNGIVEPAMTIKRALSQALVYYYPIAGRLITGAANGGDQLCVSCTGEGVAFVSATASCALDDVKLFDPPFAALLKELAVAHPAAGEAEADPLLLMQVTEFACGGYCSVNLI >KN540560.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540560.1:36994:43257:1 gene:KN540560.1_FG003 transcript:KN540560.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTSPETSPTTSPATSASASPTRRRIGTRPSITPRILDSCRTASRRQEGCEPGWACSVGSGPNPQGDIPPRTANSCPLGSYCPRATVNTTTGLCDPYKYQITPNTTGCGGADTWADFGSTEEMFCPAGTIADWVLPLKAVEHLVQGMLFVFLLIIYNCSGQFLTIREKRKAKSRENAIQLARQQLKAHEGWKAAKRLARKHVNDMQSHLSRTFSRRRSFRQHPDSENSGHRLQEAPLFMNQELSDSAAFSAHQSTGEISEVMPSVVVDVSDDGEIVAGKDRSVPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVSEQQKEITRPLLKVEFKDLTLSLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATMAHRHKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGITVPERVNPPDHYIDILEGIVKPESGINAKHFPLHWMLYNGYEVPSDMKDDLKAIGEQRPHLGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIKQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPNFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTIVKPIVYLSMFYYFNNPRSTLTNNYIILLALVYCVTGIGYTFAICFNPGSAQLVSWSLAYNSLRVVVQKSFRHSSLHALYFGFVYVCCKSVLILQMKYREYFPCVS >AMDW01012734.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012734.1:1:225:1 gene:AMDW01012734.1_FG001 transcript:AMDW01012734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRVIVNAWAIGRDAAAWGDSAEEFVPERWLDGGGGVEYAQQLGQDSRFVPFGAGRRGCPGAGFAAASIELALANL >AMDW01040722.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040722.1:145:1845:1 gene:AMDW01040722.1_FG001 transcript:AMDW01040722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKDDYDGCSNKVWGQRGSFREGEEGFGTGFQGSRMGFDPGYGFGQQGSFGQRWQRHGYRPTGARGFGPRRGGFAGRPGRGSARGFGSQRPAFERKMDDMGRKEEEKGGAASSERNGGAGRVKVGEVEVLVPARKEKQPMVEKKEENGDFVEMECDPSLFEDQQLGVEKGKVCSRCFQKGHASNNCSTEIYCDICDCKDHVNHRCPVLKLPKPAVQAVGYSVEGLGFHHIPHNPLPRSKKGTTKMALVSCVGGVLTKEQVVEQLQRIVSNKWKWQPTEKVDNSFVVLFPSKVELQRAIAFGGADVKENGLATGMRLQFEEWFEEEEGYLLPKVWVKVYGLRKKLREYLTLWAVGSLLGATQMVDMKTTRKNTFGRIFVAVLSPKLVPRRVDVVIGDHYFELEFELEKKGYDENGDEVEIEQGGDGGDDKEDGGQEEDELREKETKRAKSDDMVLDDKEEGKMEGKFGGDNGNQGMDGVEEKFFGEMASKIIDGAVVSLLEEVCDKVMHEEGQPAVHEGEIGQQEVEGGDDIEQGDGGEEVGKMIQEKIVRAAMVKEVATTPTRAS >KN539146.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539146.1:112449:115895:-1 gene:KN539146.1_FG001 transcript:KN539146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAALEGSEPVDLAKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQWYVFILLFKYAVCCTNIGTIHEVGMIKWIIATYLSLAILYVSGPWAYTKLQYVASFNIVSGLWL >KN539146.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539146.1:3836:4018:-1 gene:KN539146.1_FG002 transcript:KN539146.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPARLVAGEVDQSDGMLSDDVVAQGYALLCASYPRSDCTIRVIPEDELLKVQLATADD >KN539146.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539146.1:71850:75885:1 gene:KN539146.1_FG003 transcript:KN539146.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGVDSHGGKVGGMDTRGGKMIFSVTAKKLISYDSSASNGKLWKQFDAFLQGLLAFPIYLPGTAFYKCMQGRKNVMRMLRELLDERKKKAAQQLESIDFFDALIDELKQEKPAVSENVALDLLFLLLFASFETTSSGITAILRFLTNNPMALEELTEEHDRILKRRADPNSQITWEEYKSMKFTSHVIHEALRLANIAPVVFRKAIQDVHIKGYTIPKGSKIMLSPSNIHLNPTVYKDPNEFNPWRWKDIAEPAGGGSKDFMAFGGVRLCVGADFAKLQMAIFLHCLVTKYRWEAIKGGSMLLSPGLRFPDGFHIQLRAKA >KN539146.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539146.1:39328:42062:1 gene:KN539146.1_FG004 transcript:KN539146.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQDLIVSLDPEVNSFVFQQEERLFQCWYPDSFMRIIGADNIITTLGSSHKYVRNLILRLFGPENLRRAMLQDVHRTAQASLLSWLDRPSIELKDAVSSMIFSVTAKKLISYDSLASDGKMWKQYDAFIRGLLAFPIGIPGTAFYKCMQGRRNVMKMLKELIDERKEASGRRGSIDFIDVLLEELNEEKPLISENVALDLIFLLLFASFETTASAITAVVRFLTDNPEALQELAEEHDNIQKRRVDLNSEITWEEYKSMKFTSHVIHEALRLANIAPVMFRKATEDVHIKGFFIPKGSKIMICPSTVHLNPMIYKDPNIFNPWRWKDTAEPTGGASKDFMAFGGGLRLCVGADFAKLQTAIFLHCLVTKYRWKAIKGGTMVLGPGLRFPEGFHIQLFPKP >KN539363.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539363.1:74599:79182:1 gene:KN539363.1_FG001 transcript:KN539363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] MDADSSLNEQKAEEFKLKANDAFKANKFSLAIELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDASKSIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKRISPNDPDATRKLKECEKAVQKIRFEEAISVGDEEKRSVADSIDYRIIEVEPQYTGPRVDGDTITLDFVKAMLDEFEKQKCIHKRYAYQIVLQTVQLLRSVPSLVDVNVPDGSHFTVCGDVHGQYFDLLNIFKLNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPTGMYLARGNHESKSMNKIYGFEGEVRSKLGEAFIELFAEAFCCLPLAHVINNKVFVVHGGLFSVDGVKLSDIRAIDRFREPPEEGLMCEVLWSDPQPQLGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAYIRFTAPELKPNISSFSAVPHPDVKPMAYANNFLRMFQ >KN539146.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539146.1:119609:124229:-1 gene:KN539146.1_FG005 transcript:KN539146.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDRRLAVVAFAAAVAAAVLLSAAEGLGVNWGTMASHPLPPRSVVRMLQDNGISKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDYDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTASPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLSGQGRDTALVAARGVAYLPRAMISYAACLEGADVVRLFDRRISARREPGYVFDKACVVNYNHMSFGGGPLEVGTEEEAEKLMSQNEKDSANEAEVLSAPPKLVYNNFVLRLAREILVAVASGWDNHVDIINKITPQNWKCNNLVGAAFASSPC >KN539146.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539146.1:11439:13755:-1 gene:KN539146.1_FG006 transcript:KN539146.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGERPASNWDWSDCDAAFTEEADGAIAALQDTGGDGLATKQLLERYHTSPLAHTILAQWYFARADQQKPTNHLRRAAWMAPRCLHIAFALALVLIEMGSFDEADMVCAHSLLVPDLTDPAHNFISPKEQRS >KN539146.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539146.1:104693:111709:1 gene:KN539146.1_FG007 transcript:KN539146.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGVEMSEEEGAFEAFVCPLTKQVMRDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRDTEICQRSAASKDLVRRRGVLRAVAEMLKSGSRRLRLKSLQVLRVLVEDNDDNKEELGKGDTIRTIIKFLSNEHVQERELAVSLLHELSGHEPTCERIGAVYGAILLLVGMGSSKSESAVAVDKAESTLRNLDRFDANAAVAEQAGPLLVGMLRTGDTPAKEATLKALREISSSEASAKLLLQRAGVLPPLVNDVLFSTGHLPMKLKELAATILANLVASGADFRSIPLDDDEDDDGGGGGRGHRRTLLSEDVVHSQLHLISNTGPAIGCRLLSVLAGLTSSRATVADVVAAVKSSGATISLIQFIEAAHRDIRVESLKLLRNLAPYMGAELADALGGSLSSLLRAISSDGGGVTEEQAAAVGLLGDLPEGDSSLTRQLFDLGAFRALAPKLAELRRGTIRGGNRYVTPLTEGVVKVMYRVTCALEEDAEYVEFAREAGLAPLFVELLHTNGMDTVQLYSAMALEKLSLQSSHLTAIPAPPSPPAGFGSMMLV >KN539363.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539363.1:22846:28841:-1 gene:KN539363.1_FG002 transcript:KN539363.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRKKARSQEDDDGVEKMKKKGIFISSTPNAPKILKASLEESELGISINNICNNSNKWKTFLANGVCIRLCQCHYYRNGLQNRWQVSVNKLHPLSAQTFQVHEGQKHINIENMKMYDFEIWKPENSAKVPKNSILRKHTKRSTFTVSINKEKCSNLKEPEAIELRHKLGKHVTFSGVDDIHIRNKLSSTLPQLQNHCNVYSDKSNEADRLVSANTSSHENKEASGRDIYDRGTSESSGAKDPINLIDLNRTPLPCIPDFNGAFVSGSEVPDLEHTEYATSDLQIPGDVREESVLKHNQDLHSKSPRSQCELNSCNLGRIINLRSIASLLPDEEINISDRGMIGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPHHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNAEKPMLRSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGKCIGETAKVDAPSTSHDYVGYRKHNLKRRFPEADVFSGNGTRCKDRLRDFSLHCGRNAPAGFSPQGGNCNTRSDQNSLSATTFFPTFTPHVKQSSGYRANSTWKHNPYPANLLVHPPDGTNFRKDQNQIIRGVAETPSSVNTMSRDTVWKTGKIDVDNSNISSGVRYISRSGPVKLRPGAKHVLEPRQDTDDGNYPPMYSCVPFFVIRRGGNIVWSD >KN539363.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539363.1:80179:85051:-1 gene:KN539363.1_FG003 transcript:KN539363.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGVNGQHEETRAMEEGSRDHQARCENSEQDGGGSKSSSNHPMFSVQFAQKSLAMEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLVNVLFAGPISGASMNPARTIGPAIILGRYTGIWVYIAGPVFGAVAGAWAYNLIRFTDKPLREITMTASFIRSTRRN >KN539363.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539363.1:102888:103169:1 gene:KN539363.1_FG004 transcript:KN539363.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVIFFTALLLLASSSSCLARARMMMMPGDHAVNGKETSASSKEATSPHDLLQGVAPPLPPSPPATEIIRPDSSGWMPQGSVPSPGIGHRA >KN539363.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539363.1:10233:11051:-1 gene:KN539363.1_FG005 transcript:KN539363.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPAASPGSGGSGGSGLVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNRYCIPLPLFSSRNLN >KN539363.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539363.1:87900:89563:-1 gene:KN539363.1_FG006 transcript:KN539363.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLVVFTEGLPEYASLVLDRLDPRGALFAHRLYRGACRDAGDGRLVKDLAATGRDLRRAVIVDDNPNAYSLQPDNAVPVAPFIDDADDHELERVMGILAIAAEFDDVRDAIKRYKEIVEAS >KN539363.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539363.1:3077:4189:-1 gene:KN539363.1_FG007 transcript:KN539363.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRDLKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMQQPQYYPEQEDRKAFMSDER >KN539363.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539363.1:62866:63123:1 gene:KN539363.1_FG008 transcript:KN539363.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRMTRFFTVYDGHGGSHVADVCRKRMHVVLTEEVRLRKLLPGDSDGIDVENKDRARWKEAMATWFTGVDGEVGGTEEADTGE >KN539363.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539363.1:69359:69595:-1 gene:KN539363.1_FG009 transcript:KN539363.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCDQSGGVLSSATASSCHPGTAGSGWWGAAVKMIHQKGRRNARSDDDCSSSESMAGKCEPRGSATREVCFASDEA >AMDW01045559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01045559.1:30:709:1 gene:AMDW01045559.1_FG001 transcript:AMDW01045559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVAVVHQPCSEVFELFHGLCLLASGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDK >AMDW01020359.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020359.1:27:248:-1 gene:AMDW01020359.1_FG001 transcript:AMDW01020359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLANSSNLVLRLSDGTALWETFEHPGNTFLPGMKIGVTYRTRGGVRLVSWKGATDPSPGNFSFGGDPDRPLQ >KN540932.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540932.1:2530:7773:-1 gene:KN540932.1_FG001 transcript:KN540932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MADFDVADRVSVGLHGRSDEMIFEAAVRDPSSELYGSTVVLRQLMSFQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPGNDVEQDDGSFILVHGYHGSYSLHHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLTRILMRDLLIGVSFKAFKIFTCPQLLVDLDLKMLVDAESSQARKVSLQVTILKAIWQIQHIVRTNTVGILGNAVDFHDNDPRNSTLESNDERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFLKFKSFLTKGDDPACLREFLLPILCQNSPSGNIGLQILDRQWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSVDIIRWGLGSTAVRMAEDYIYGRHQRRRLAYFVELMEVLNPNPRTENWLNILPGRWRLLYCTGRHIGLTLRQPSPRILITDVFLTFAQTADSIDPVFSLTSDIGFKIMAESDWPHDKSGSEGTLSVTSSARIATGRIYIHEQDGNDSRVASSRSSRRYLRGKWRKVSKMKELPASLPTVNITMDELDVSMSCNSTLNVSSAQKVLQEIRTQTPPEMFDLSKIVCGTYVDARLMILRGVNGSALFFTRSTSRADS >KN540932.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540932.1:34537:37175:-1 gene:KN540932.1_FG002 transcript:KN540932.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGCLCILISCMLVLVYASVLVYASDYRDDIFGRDKANQEGAKAINEKNGFNFPFILFGGLLSMLTYVTPFVVHWSTGSNLNALKIVYTNTDFIKTGMATLAWLSFSLCQLHGANGKIVGAPAVAIYSAGLIIYGTSLVFKWSPSGVMSDAVCHTTMLSMLLIVILLLDVGVFGHLKREHKIANTISALATLTQVASSLLPYGDLIANITSVILSCIASYISIFEALKPVVGDNDEEALKTVI >KN540932.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540932.1:20796:28579:-1 gene:KN540932.1_FG003 transcript:KN540932.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX3 [Source:Projected from Arabidopsis thaliana (AT3G61740) UniProtKB/Swiss-Prot;Acc:Q9M364] MGDGHEQQQNLNGNPHEDDEDDDDDFFFHVCIFRAPIASAAPPPSARWGGGGGEANSPIPPSTPRAAKVLPSRFNDSVLIDPWKKDKPAKPPVPAKAVQLAPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYDHEDEDEDEEEEAEEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPARYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILWSKEYCGVCLKSWQHKCGGRWDLRDNSYFCPYCRVKQNSNLSSKKTKSCEHRWGTSLEPLFCGISVLKEFLAIVVLARDKNFCSMNGNGMLAAEVKTGSQVLKSRTHLCRLENGCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDTLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >KN540068.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540068.1:13577:26065:1 gene:KN540068.1_FG001 transcript:KN540068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARFLCTQLLPESMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQIDGSTPNTCWKEIYCRLKEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTGHAFNYINPNFGTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTGHDAISEPIQSVGFLDVAHQEAVGNVSSMVEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGHLVIWEEGMTNLGDLFLIIGLFMEFNLKALMGVIITAFLGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYR >KN540932.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540932.1:12204:17827:1 gene:KN540932.1_FG004 transcript:KN540932.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVVSDRWNSGVCEDHLVEKRSKGEVFGPVDVDKLPEKSRGSGDESKRSSRRMVSMDDRAEEVVSKSDSGKRRSDKDLGRRESSGQYRDDRDRERERDREKERDREKEKEWERQKERERESGRDRERDRDREKDRERERERDKERDRERDRERERERDRERERERQKDREREKKDYDSKHERYDDGGAKKNGSKASRGEEDGYSYKRDTEINASATKEKYNNAEKDLDRHSRRKDVSEDKDKWPAENRDGDDRKTLSRYDHSKVRSSKEQRFDDDKYKEKYKDDYERDKRQQDDKCLDERLTRDHESDRADYKSAKDGHRTSENHYRKDVIQEPDHYDDYGSRYKESRGRKRPPEENDDQYDLKPPSAREQRGSADKSSGSGRLDALVERTRHGSKLTDHAKREIPYDERNIRPRTSSGRERTPASRLRDRDADNWPSERLKQKDDLQSRDMQLEISSSLQYDRTPRKDAHPSPKHLSERSPTEQRFSGRLSGGRSTDNKAERSGLTKYRDRDGDSVERSVHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFLGLHDDDRRPQSGDRRFHQKRNDMSSGRGHGHAWNNPPSWPSPVANGFVPMQHGAPGFHPPVHQFSAPPMFNLRPQMKLNQPGVSYPMHESVDRFSNHMRPFGWPNPLDESCPPHLQVWNGGSGVFASEPYMYGRQEWDQNRQHSVSRGWEATGDALKGQNELHEREPSVTKKEPDCSATPVPETSTGQYNLNSRIEQKEMNQTLEKNGTKDDLKSSFRNTGGPGGASLMTSMPSNSGTAIFSKSYLSKISVSRDLVESELYKKCISLLAEFGIANTPQVVGTGLVQRALALHKNQTAKIVSPTHSILETDRKTYLPEDNHDDTQMTDHTSSKELLVDNSEPHHGADTMEKELPLRQELAGGIGVTSPASIEPGNVGAPPAIIEPDVGMEDVEPPKIVEPDEDAAPPKIVEPDEGMEDAAPPKIVEPDQGMEEVASPTIKEPDEDIEVVPSGTAEPGKDMEEVAPGGISDPEKGMENLVPPGIAEPVKDGMDDVAAPSAGPAAGLGDGAPEVTVELADSAQEKPPVMGELGDGMEVMPPPVTETSLGKEDSPPVPSSPEVQEIVSGMHAGIEKGMEGETDNLIDDNPGDGEVNASSLELDVASGADDCEALVESRVNLSRIPNSPESTH >KN540068.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540068.1:63167:66475:-1 gene:KN540068.1_FG002 transcript:KN540068.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPESPGAVPVLSRAVAGCSNRNSVGESAALETLEQVMAQALTLVNMDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHVKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVVKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADSVVAVVRRLKLCGEDGMDQFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWNHHRKGLKLENGS >KN540068.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540068.1:59000:61543:1 gene:KN540068.1_FG003 transcript:KN540068.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENSSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEVFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSLLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKELNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >KN539330.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539330.1:60086:63243:1 gene:KN539330.1_FG001 transcript:KN539330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDIFVRVWFVGGECLRGSGSGWGRFIRCTQTRLETGVYGGFRELGACGKIDGEGKFVILPFFCRYPKLYGKFASSAVRFQNLLTPTIEHLILTVMFDLNILSGIFKVESHLVEVHGVCALVWLIEAGDMDEGPMMNVVFDTGDGDLVVLAFNARARKCAGMQQSDPFLPIVKWDGKPVGDE >AMDW01040672.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040672.1:1175:1441:-1 gene:AMDW01040672.1_FG001 transcript:AMDW01040672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGGCKLWQWYEPGTTPYLKQVLNDLVSTVREVKTENSEIRASLTNSRAVIDGLVTERNVYEAKSMGQKEECGSFAEMACRIKMLEESR >KN539330.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539330.1:32170:34056:-1 gene:KN539330.1_FG002 transcript:KN539330.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLGFLEFTGTNPRNAEKWTTVSPPVSQPMACSSQLDVLAQDSMHNPLSRGIKRKWVDLSLGLGNSSSSSDSSKQSMGTCCTMSSAKDRDDGSSVDLDMNFQFNLYNEGTSKLDSYDCNGKKVLEKPVDLELSLNFGPCESAVTNVDFSAATKQQAVFLQSCNMSSVPTVDEGSTSARWKSGGKLLPYLYQSRNNTGHFSSKELPGSSNQSQDLAPLPTMIQTPQSPVTSTSGVVSFQQRCNSTKICSQPGCAKGARGSSGRCIAHGGGRRCQREGCKKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSRDGCRKAARGKSGLCIKHGGGKRCQKLNCTKSAEGQSGFCIAHGGGRRCKHDGCTKGAQGSTNFCKAHGGGKRCTHPNCSKGAEGSTALCKAHGGGKRCSAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFTGCSKSAQGSTDFCKAHGGGKRCLWGQPGSGLGDGSGTCERFARGKKGLCVAHNALVEDSRVRGGQTVGAIALPGSTGADSDVSHGTLPGNSFNFGETFAANTKQALHHVQSPVSEGRVHGGNIVAMLANSMDYQKQLNFSTGASTSDRNWL >KN539330.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539330.1:70547:70951:1 gene:KN539330.1_FG003 transcript:KN539330.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLARACSAQGRPLDGLRLLRNGARRGVPPPLDAFADHVAAFSAAGNFGKVSETLHLMIAAGSVPDTVIYQRIIHGLFAHKMGSEALRVFNEIKLRGYDIDAVTYTTAIDGLCKMGCIDEARQIWNEMVDKGM >KN539330.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539330.1:105456:108007:-1 gene:KN539330.1_FG004 transcript:KN539330.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAATARWLLLPLLSPLQSPHKQQQLIFAALLLLFFFSSLQSLHCYAASGYNEQQEADRVAFLPGQPSSPKVSQFSGYITVNRQNGRALFYWFFEAQALPSQKPLLLWLNGGPGCSSVGYGAASELGPLRVSRNGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTKLNDGFVAEDAYNFLVNWLDRFPQYKDHEFYISGESYAGHYVPQLADLVYERNKNKKANRYIKLKGFIVGNPLTDDQYDSKGLVEYAWSHAVVSDGIYERVKKVCNFKISNWTNDCNEAMSSIFRQYQEIDIYSIYAPKCNLAQTSRVAAFDHALEASDQEQFNRRIRMFSGYDACYSSYAEKYFNKPDVQKAFHANANGMLPGKWKVCR >KN539330.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539330.1:18762:19013:1 gene:KN539330.1_FG005 transcript:KN539330.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGQQPATERKETSSAAFGGGCCGFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVDGGVEEKRRFAMGAFLWPDQY >KN539330.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539330.1:90581:95341:1 gene:KN539330.1_FG006 transcript:KN539330.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPRLKSLCHHPLFIILLALSLLQTITAEDEQEADRVAFLPGQPRSPQVSQFSGYITVNSQNGRALFYWFFEAQALPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNNEANLLFLESPVGVGFSYTNTSSDLDSIDDRFVAEDTYNFLVNWFKRFPQYKNHDFYISGESYAGHYVPQLADVVYERNKHVETNQHINLKGFIVGNAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGYIYDQYDMIDIFNVYAPKCNTDDSSLFSTSYSIADMNAKKRLKGTRMYSGYDPCYSSHIEDYMNKMDVQKSLHANTSGLIKDRKWSICSYSIFDNYDITVFSVLPIYSKLIKAGLRIWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRFVEYQGLTMATVRGAGHAVPQDKPEQALVNVTSLMIVQKFRPVCSGKWSKGTGRGNTPVEPNSESCFDELGIGWQDRKH >KN539330.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539330.1:10434:14574:1 gene:KN539330.1_FG007 transcript:KN539330.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTTLSQSNGKYTYDYATIPFLAELFKLSFSSFFLWKECQSSSPPRMTKEWRSIRLYLIPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDAPCDSLFSAPIHGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFERGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFSVRATLQLFLGIVICIISLQMYFMPVNMLVELPQTLPVTSK >KN539330.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539330.1:41420:42721:-1 gene:KN539330.1_FG008 transcript:KN539330.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKQPGDVPMSAAASEADLAQLSIAITAGEDLGPLVRRVFTCRCPEPLLASLWAAARDRETEIEKLCRAHFHDFICAIDNLRSLLADADALKGSLSGSHAVLLSFAALLLASLESFLVARGLAGNLSSALASSRRRVRLLVLANRANAHLQGGNHNLYLALRAVPLTATSPSAPPHPPPHEKTWSNLAHHAGGP >KN539330.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539330.1:58574:58936:-1 gene:KN539330.1_FG009 transcript:KN539330.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANDEAKSDSEAELHLTVVLCTDKKGKLKPRRASSQILEKPEDVDGPENKSNPEGQVSDSSDSDYRQPIEQNSSDNDEEAEQLRKFAKEIKRNIRARKLGVHSSQAVEILDDANLISS >AMDW01022346.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022346.1:62:265:-1 gene:AMDW01022346.1_FG001 transcript:AMDW01022346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIGNELFGPLRENYGSNFYSFMKEKISPLAGDIINENLGLESLEILKLSKEIDIIVNGAATTNFYES >AMDW01025227.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025227.1:146:322:1 gene:AMDW01025227.1_FG001 transcript:AMDW01025227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIPCLHDEVVMEVMWGMKRFMSNFVPAEESKLPKEDSLPMSQGLLMFLSRYGFDVKPEM >KN538759.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538759.1:223256:223828:1 gene:KN538759.1_FG001 transcript:KN538759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLHASASSGDWKGVNFLLNREEAYADSSVQPSKKFLKSLEACILGNCTNGRLPTPPQASNNIEEGANMPLLLSPESLLEGVTIEGDTALHVVATHGDGHNYLKCADTICAKGKHLLFKPNNKGDTPLHCAARAGNHEMVNKLIGLAIGPSRREESVDSIAMSSGDAYGVQEVPAMRGHDAGGTDELD >KN538759.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538759.1:199046:202358:1 gene:KN538759.1_FG002 transcript:KN538759.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLPTAASLRAPAVPMAVAAGDRGESRRFREDAAVEIPVVRGGMHRLLLAAACSGDCEGLSFLLSGDGNSVAHPTTMKPSEKFLKLIPNLEETIHHALVRSGAKHGTIRWDHLQQKHIPPGTAEDDSNESQILSDSTQTLAIGSVLIATVTFGATFALPGGYRADDHINGGSPTLAGRYTFDAFIMATTLAFICSSIATLDLMYSGISMVNLPVRRKHFAVSIFFLTSSGTSLVAAFALGVYMVLAPVDAKTGIAICVLSPFTMLYRNKGRLQKLYALAGPLYIRMGLWALLSLTKDILSGVLKECWTLILIFGWAGYTTYCRHHR >KN538759.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538759.1:225904:228199:1 gene:KN538759.1_FG003 transcript:KN538759.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLPFTVLYLYCLLTLCNHVPYATSLSFDFNFSSNSGQLYSSDLKYRGDAHPDGSSMIELTKNDRTSNITSSTGWVWYGEPVPVWDNVTGELTSFTTVFSFQIKRDEGSVNDGDGMAFFLGHYEPRILSSRGGGNLGLFKDTNDKGTKGDDGDRVVAVEFDTFANGWDRQPNHHVGIDVNSIDSKATTDTDSLNLTSGSTMKATVNYHNVTKLLDVELQINDTLYYHVNFTVDLRVALPVEVAIGFSAATGYNAELHRVLSWSFSSTLPPKKDLEVRPPALVPAGPASQPFQFEHTKSQPSKKLLLTVLVPIIVACAVVGLLIWLWKKPVRKARYGGDSEADESHEDGAELERGVTGPRRYQYRDLADATSDFTEEGKLGRGGFGHVYRGLLRSVDQPDGVPVAIKVFSSESSSQGRKEFEAEVRIISQLRHRNLVRLLGWCDSRKGLLLVYELVPEGSLDKHIYNTDRLLTWSERFKIILGLGSALTYLHQEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLADHGTGPCTTNLIQGTAGYIDPEFVNTRQRSTQSDIYSFGVVILEIISGRQPVVLREGVQPIFLLKWVWGLYCQNTILNAADERLRGDELSERQMERALVVGLWCAHPEIGQRPSIAQATHVLHSDDAKLPALSLEMYISRPSNLAVGGYNYSGGYSSNFSSGFPSSATTGTTHSSNSFVN >KN538759.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538759.1:267872:272650:-1 gene:KN538759.1_FG004 transcript:KN538759.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYAGPDGNNALHAAVQKGRETTKMILGWNKDLIKQADRHRGSTPLHVAASWGHHDVISLLLDADPSAAYQPDHDGAFPIHVAAYDGQVRAVSILLGLDNHRKCAGLCSGEHRRRDLRGCAELRDGRGRSFLHVAVEEQRQSVVAYACKLGNLSPAVMNMQDDDGNTALHLAVKAGNMWIFNPLMERRQVELNLTNNKGETPLDISWIEKPVGVYFGLNQRVKIYKLLKDANAMEGNHRWDLFLKKHNKKVNEEVEGKKLTESTQTIGVGSVLIATVAFAAAFAPPGDYGDDGAPRLADRYAFDVFIIANTLAFICAGLSVISLTYAGVAAVDMRTRMISFVFSASFMACSARSLGVAFAFGMYVVLAPVARTTAIAACVITGLALADVAWFVFVVAAGEVMLLKRLGIARAWWRLPFAIMATLLMQFWPYIVIVVVVLYSKIRGVH >KN538759.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538759.1:172902:174395:-1 gene:KN538759.1_FG005 transcript:KN538759.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHLTSGSHGRLPHVAIFPFMAKGHTIPLIQLANYLRHHRLATVTFFTTPGNAAFVRGGLSSGDDDDEYVTAVVDLDFPVDAPGIPPGVESAEGLASMAAFVAFTDAVSLLRPQFEASVMRELRNRHGLCSVLKPGDVDDDGYPATLAVPEFPHVRVTLEDLMATFGEPSAVRMMMELDGKLGKAIEESHGLIINSFHGLEAPYIKFWNEHVGPRAWPIGPLCLAQPASATADARPSWMEWLDNKAAAGRPVLYIALGTLAAIPEVQLKEVADGLERAEVNFIWAVRPKNIDLGPGFEERIKDRGLVVREWVDQLEILQHESVRGFLSHSGWNSVLESVTAGVPLAVWPMIADQPFNARFLVDELKIAIRVSPIDRTMRGLVSSEEISKVVKELMDGEAGAEATKRVVELSALAKEAMDEGGLSWIAVKEMITELCAMKNDVHEKEEANYCKQDV >KN538759.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538759.1:234883:251020:1 gene:KN538759.1_FG006 transcript:KN538759.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQLLGWNKNLSMQGNKNGSTPLHFASSRTIANNNWIYPHRNIRWFRVPFPRYGVVREVLEANGAPLYQPDNCGMFPIHIAASVGEKRTIKIFVHKYPSSAGLRDKRGRTFLHVAVENRRVNVVGYACRNRSLAWILNMQDNNGNTALHLAVEAANLQMFCSLFGNRQVQLNVVNVKGQTPRDISHDKIPPGLHNNQSTEEMVRFVLKQAGAMNGSCRHDHFRQKYKATHNLESDSESKELEKLKDATQTMAIGSVLIATVTFGATFALPGGYRADDHSNGGTPTLAGRPEETITHTVIATLGATESIARSTPKAKAADKLLTELCSRCMDELKYLKRMENSTIGTPQ >KN538759.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538759.1:161419:162901:-1 gene:KN538759.1_FG007 transcript:KN538759.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLTTSGSNGRLPHLAIFPFMAKGHTIPLIQLANYLRHHRLAAVTFFTTPANAAFVRDGLSTCGGAGEDDDDDLAVVELAFPAADAAPGGAESAEGLTFMASFVAFADSTSLLRPRFEASVAAMDPPASFVVADAFLHWTNDSAAVLGVPKVSFLGTSTFAHVMRELIVRQDPFAVLRPSDAVDGGPPATTDSSAFVAMMELDAKMGKSIEESHSLIINTFHGLEAPYIKFWNEHVGPRAWPIGPLCLAQPASAPAATRPSWMAWLDNKAAAGQSVLYIALGTLAVIPEVQLKEVAKGLERAEVDFIWVLSPKDIDLGPEFEERIKGKGILVRDWVDQSQILQHKSVRGFLSHCGWNSVLESVTAGVPLAVWPMNFDQPLNARFLVDEMKIAVMVWTSDSLRRGLVTHEEISRVVKELMLGEVGVEAAKNVVKLSTLAKKAVDEGGSSWVAVREMIKELCTINVYRK >KN538759.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538759.1:208558:209434:-1 gene:KN538759.1_FG008 transcript:KN538759.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRYQLGNHAVHLVGDALTLAIPAQATGCRHVVEMCRRPHLANCGFSPVMRVVPSPAIVGAATSVTRAVSDPGDGGSRQDAARGAIDMPPCWDALSSRGHRRGRGRRAVCPRRLLFVTSERDSSVGPFVMPSGHPFVTSERHCLVPPVHCRQAEVVMLSIRDVFTSSRQDEMPPVHDALPLRGD >KN538759.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538759.1:279868:282405:-1 gene:KN538759.1_FG009 transcript:KN538759.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPNKSVQSFAGSRTEKSTGSSHHREAEQNDSFTRREYTCADFIEALRLIDQEAFKCSTVLNDFSMRANITEPSYRTVKLDGICSTFVSSVLAGGYTYTGEVATTDGDAKENAARVAIKSILVTRSNHMLESIRSNKPTGTTIQEEQSSQQTSAHPAVIFNPTASNYIPCAPHHHYMLHAPFAPLEQMQWRHPGTPQMVLVFPHEQIQWHHPTPVHMPFHPHEQMQCRQSPAPMPFLPSEQMQWRLPAAPTPTFLPHEQMQWHNPVAQMAYLPPEQMQRNVPIAHTPSEMMQMWQLPQSISSSNPVLQNGLYSNTGHDDDMVVEVGSAEETMTLSGTKRKMDQTEEAEGKQARTSK >KN538759.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538759.1:217745:218122:-1 gene:KN538759.1_FG010 transcript:KN538759.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPPPPPLLPTPRTPSRSRIPPPLLPPLTSRTKKQMGQGDATERSRQSMDGEKSAGSNQSGDCSTRPCCKMPCQVGADGLNLAVTPQAAPQLREVTTEVERQVREIAGSWRPGSEKELGYGR >KN542808.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542808.1:4427:6241:1 gene:KN542808.1_FG001 transcript:KN542808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRLDSFYARLRAAAAASAADASSPLLILPSAADADALCALKVLTHVLSADSIRFSIYPVASAAAAAYLLASFSASHPLCLLLINWGAHRDLRAVLPPAATAFVVDSHRPIHLHNLSAANDRVVVLFTTDDEHTADLSYDFDVSSLADASDLSAQGEADDHLRIAEEDEDSDASDSDSDGEGGRRKRRRLSDDAEADGDPERLFGKLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGAVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCQPQALTKFCFFLMDALRERGARMKPLICACLAREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >KN540858.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540858.1:17:1578:-1 gene:KN540858.1_FG001 transcript:KN540858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMTETLTADPTKKEWDPRSKSESLSRSSSYQVATCPWSSKGSERVTLSVTHRAFAKAKARSGSAATTRTLLCFLRHTAGTALHCTAWEAGIPDSSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDK >KN540858.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540858.1:34583:37975:-1 gene:KN540858.1_FG002 transcript:KN540858.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVLGSKPADCFQFQDPNSWTCMTELVSDVVVEVGDFSFHLHKAPAGADDGEPCSVELHDVPGGAAAFELAARFCYDVRAELDAGNVVALRCAAEHLAMTEDHGGEGNLVEHAEAFLRDVLGSWDDALRALRSCDGDGALLPLAEELLVVPRCIDALASKACADPTLFGWPMVEYYTARGLEETAHSWLTASEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVADNVDQGSPIAAGRYAPERSGELDFGAGPPEEEDGDDDDEEARNNVRSSSSATMSVDDIRQRVVELEEECSSMREEIHRIGKPKGALSTHAAMQHLHYRCNLEGLS >KN540858.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540858.1:26866:34132:1 gene:KN540858.1_FG003 transcript:KN540858.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCVRDLYPLRPLRRIPRPISGEVPSPAFLRPRSKSTKASQQSSTQNTVPGPQGEPSQSGSNVPKVLLGTLMVGAAAMAAYQAGYIDDQFKDRIFPSTMKEKNIRKMYEDLKAPSKQKVDEKQVVSDPNVDIVQNSNNEAHPQKDLPTEGMGPPEIPTTDKQTVSSEEKEKETLAQGTPQIPDEHGADAKPLSQDIPVIDINPSVDDKASGEVLPEQTDKTTTSVSPVQSSPATAGPSHHVHADTDGPKDPSSAGAVEHKSLAETYLLQEPDNSKDMGAKESKHDGVISTGTSDDGKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAVIKELQENAEQKLRDELQQKDEETSQQVEKVRELAKAELAAALAKEKASQIEQIAEANLNGTLALEDALSTGSPIRTEVDQLRKSLEGIDKDSLLELALSSIPEDVLEYGSDTPMDLKQKFNSLKETVRHFSLIPAGGGGMLTHAVAHVASSIKIKEDQSGDGIESLLNRVENLIVHGDLSAAAEALERGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >KN540858.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540858.1:17600:25804:1 gene:KN540858.1_FG004 transcript:KN540858.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPEAEAPGGGALLAAGDDVAAAVATEGPVFDMPDFKMGGKKSDDAAPTDAGDEDGGDDDGDEDGDFGEGEEDVSEGEGYDNPKGIDNNKKRGSLFGARLAASITPYDARVSRFKVFKNSKRNGTEFVEEFWKVLPGALKDVTENGDERGKKVVSRLVEIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHGSSIKIVKRDSRSVKLRLGVGGTAEKIVSALHTVLSEQADEDSDLEKCKTSMRRVGKMQKDVSSACSKGDDPRRETLCTELKEEEDSMTECIEKLKVVEANRATVVSELKEALQEQESELEKVRTQLQLAEAMVEEAANMQRKLKNEPVIPSSKPLSSVEPGKPLSNGQAKDQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRQDKRLKIEHSSQVPSAANAAAFVPMPQMTTTTAQQPQAILVQQTPMQNQPPAPQPQYNIYQAPPHQFVQQPGGVMMGMAYNMSTMAPPPPPPPQMMNLARPSPSTPQPPMGIMPQTQPPPPAPTMLQQQMPMNVGPPMQFALQQSGAPSFRPLQPPPGMQFFHPQSQ >KN540858.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540858.1:39574:40236:-1 gene:KN540858.1_FG005 transcript:KN540858.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VWDREMILTAIAEFYGKDMDAFQKDLAHNFTTGTWSDDVSSWGDCEDLLSCSTKYATESINLACKWAYNDVREGETLSDDYFSSRLPIVTRRIAQGGVRLAMFLNRLFGEHNRDVASPA >AMDW01033425.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033425.1:214:496:1 gene:AMDW01033425.1_FG001 transcript:AMDW01033425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PGCSSIAYGASEEIGPFRIKTNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTSSDLKTSGDERT >KN539896.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539896.1:9765:11056:1 gene:KN539896.1_FG001 transcript:KN539896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCVKAEVKKGPWTPEEDLMLVAYIQEHGPGNWRAVPTNTGEPPPPIPFDFLVAGGGRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAAGDHQKHKAGVDLA >KN539896.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539896.1:68000:70939:-1 gene:KN539896.1_FG002 transcript:KN539896.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQSRRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQLEARESRAYDLVILRSLQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCVTSTGQPDFH >KN539896.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539896.1:61758:63238:-1 gene:KN539896.1_FG003 transcript:KN539896.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALSANRLLTVLLCLLLLSHQQKVYGLKGISLAFGREEDEVPEKKPRVLAQSNAANLNNKGGYSASPSSADPNRMSERRVRRGSDPIHNRKCPLISTTLVKLQLHQVHLPSYSSPDHLNSVTRLRRVLVLEVVCDLLQPPPHRRPFLLGDPPEELQQEAPLDGVGGADEHAEVGVVEDVLEGELDVVADDHGDAVADDGVEEAVGGGGVAGDVEAELGGGHPLGGAPDAVLTDAAVGEVDVLLVLDQLVQPLTNPSTLK >KN539896.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539896.1:31133:31384:-1 gene:KN539896.1_FG004 transcript:KN539896.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEATRYDIGALRDEPPLDLEAGWIPSATIVGVEHKGMTTSTLNGEVKATIDAGVIKEATLASAGDEEGTRWLRFCWRHGV >KN539896.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539896.1:72076:75427:1 gene:KN539896.1_FG005 transcript:KN539896.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNSTTELLDSNFLLKINLIGNRTKIRKDVKCWNFEMIVDSDRTCFMDFVQSVVDKYPPRYLEVAHVQYYDDVLKTFPEVNSDQELLVMFDLHNKKKVVEMFIVYANPSEPFKPITEWEFEEEEQPDNNTEPDGDNYLSNPNPLNEHVGIDDENIGPPKKRKNKTTTNQNSIVPWEDETPASSMSFPPPSQTLESTTSTKRKHHGSCSEVSKSQSLDISSTNKGNHGNSNSGASKRSRSGSNQPHETVEGEKKGKGKSKKKVVTKKITKTKQLQLEASPAMNTRSKLVDQASPAMSTRSKRRLSL >KN545085.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545085.1:130:821:1 gene:KN545085.1_FG001 transcript:KN545085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KERFSVGSAYPFVSEDAEFAGGAATSLGDVNKDDDGSVTGDPWRRGVEGDGYGVEGAEATDPIGGGGCSAHEVSDGGGTRQVRADRRLHCGAARAAAAPDLHIVHPDPDLLRGRGNLGGKRERMRWPLDDRKGISTELGDEDDDSTHSNSRMPSQATNNTINFHFWGKIYVEIDVCNS >AMDW01033868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033868.1:129:418:-1 gene:AMDW01033868.1_FG001 transcript:AMDW01033868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WLIGAGEVSGFKSVTAFYPDQVADSNVSVAITGIGPDFTSLKSFGDVDAFAETL >KN540275.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540275.1:4039:8134:-1 gene:KN540275.1_FG001 transcript:KN540275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MDEGKYMRAIHRILELEGGESLDVNAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSVCLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDSMETDNPNANKTTEDDFLRDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSLAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNIEELEVLDLPTLVAYISYARKHIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRDNLVAATRNLVMEKMQLGGPSVRMIELLEEIRKQSSMEVHLHDLRGALGTLMTEGAVVIHGDSVKRV >KN540275.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540275.1:48074:50470:1 gene:KN540275.1_FG002 transcript:KN540275.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFNVLVAILCVIRFQFIPNVFAQFPSAAAPNIWKISDSPRLALDNINSSMLLVLSNWNDPYRTYFGFYTEDGNAFVLSVLFLHLNTVIWSANPDNPVSYGAILNFTRDGDLLLYDRNGSIVWSTDTKAKQVASMRLDIVGNLVLSDKMNTSVWQSFDHPTDTLMLGQSLCFGKNLSAKPSAEKWESSRIYLSADLGGLLYSFEPAAYRKFFQPTIIGNSTSTCYSFVNGSLGFPNQIIALPPAMSFQLMRLESDGHLRLYEISGPYSNKVIDSMQLVFDVLSTVMDYCDYPLACGDYGICSNGQCSCPSLSYFRFKNERHPEAGCIPLNTISCNRHRDHQLQLLSDVSFRVGTTFQSLATTSQSEKVCKSSCLRDCSCRVALFQHDGYVDSGFCLLLSEKRLILLVEGSQYNFSAFIKVKGDRSEKMKIRAAVGSVTAVVSLVSVLIYAVVWRKKKKVDEENLIFIPGAPKRFSYDELKVATRMFSVKLGSGGFGSVFKGKIGKGTIAVKRLEGVEQGMEEFLAEVKTIGRIHHLNLVSLIGFCSEKSHRLLVYEYMSNGSLDKWIFQTSPVFTLSWKTRRNIIMAIARGLSYLHEECQEKIAHLDNKPQNILLDNKFHAKLSDFGLSKLINRDQSKIMTRMRGTRGYLAPEWLGSKITEKADTYSFGIVMIEIICGRKNLDESQPEECIHLISLLQEKANSGQLFDLVDGGSDDMQFHMEEVMEMMKLAMWCLQVDSTRRPLMSIVAKVLEGAMSMEKMPEYSFVPNYASNHANSTIVGSNSSYKPSESHLSGPR >KN540275.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540275.1:22004:40652:-1 gene:KN540275.1_FG003 transcript:KN540275.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAGLVYQLFQQEMFPWTFSVLALFPFLLLVLHYLATNHRTPTTCKETKNHHPPPPSPPRLPIIGHLHLIGDLVHVSLRELAHRYGPDLMLLHLGQVPNLIVSSPRAAEAVLRTHDLVFASRPYSLIADILLYGPSDVGLSPYGEQWRQSRRIVTTHLLTNKKVRSYRVAREEEVHKVMAKVHELSTKGMAVDMTELFSTFSNDLICRLVSGKNFQGKGRNKLFHQLFKANSDMFQAGPETSSVVLVFAMAELMHKPHLMAKLQAELRTNISKQGQELLTECDLTNMTYLKAVIKETLRLHPPTPLLLPHLAMADCDIDGYTVLSGTHVIVNAWAIGSNSESWEAADEFLPERFVDGGSAANVDFIGTDFQFLPFGAGRRICPGINFASASMEIILANLLYHFDWDVPAEVAVDKDSLSMNQFNVLVAILCIIGFQFVPNVFAQIPSAAPPNIWMISDSPRLALDNINSSMLLVLSNWNDPYRTYFGFYTEDGNAFVLSVLFLHLNTVIWSANPDNPVSYGAILNFTRDGDLLLYDSNGSIVWSTDTKGKQVASMRLDIVGNLVLSDKMNTSVWQSFDHPTGTLMLGQSLCFGKSLSAKPSAEKWESSRIYLSAGLGGLQYSFEPSAYRKLFQPTIIGNSTSTCYSFVNGSLGFPNQIIALPPARSFQLMRLESDGHLRLYDSTRPVFDVLSTVMDYCDYPLAYGDYGICSNGQCSCPSLSYFGFKNERHPEAGCVPLTTISCNHRRDHQLQPLSNVSYPRGTIFQSLATTIQSENVCKSSCLRDCSCRVALFQNDGYVDSGSCLLLSEKKLILLVEGSQEHFSAFIKVQGDRSEKMKIRAAVSSVTAFVSLVSVLIYAVVWRKKKKVDEENLIFIPGAPKRFSYDELKVATRMFSVKLGSGGFGSVFKGKIGKGTIAVKRLEGVEQGMEEFLAEVKTIGRIHHLNLVSLIGFCSEKSHRLLVYEYMSNGSLDKWIFQTSPVFTLSWKTRRNIIMAIARGLSYLHEECQEKIAHLDIKPQNILLDDKFHAKLSDFGLSKLINRDQSKIMTRMRGTRGYLAPEWLGSKITEKADTYSFGIVMIEIICGRKNLDESQPEECIHLISLLQEKANSGQIFDLVDGGSDDMQFHMEEVMEMMKLAMWCLQVDSTRRPLMSIVAKVLEGAMSMENMPEYNFVPNYASNHANSTIVGSNSSSKPSDSHLSGPR >KN539154.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539154.1:29964:36349:1 gene:KN539154.1_FG001 transcript:KN539154.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAPAWGWCGKHLLEFMCRCDLRPQFVDLLLKPLVPPLGDSVGPAIREYVDTSQKVVGFRISREENDDLYTQEVGNFSECHSSEHGNSGFSANNGTSGQATPQRPELMESLKIDQSTTNSSGNGTQVTARKNQSVNANYLLNFHYDPISRPQPRGPRTYPPRRQRKIRPYNKDLFLQANFKFVVLDTGSYEIELMDPDKMLQWEDIVCVRYYSPCEVQCPICLESPLCPQITSCGHIYCFPCILRYLLMGKEDYKGESWKKCPLCFMMISTKELYTIYITQVQHFHVGDNVTFTLLSRSKNSLTPSIKNLTDESTSIDEDPCSAFSKFILTSDVELSVREAKTDLVNWLHMADLGLVDDLEKLPYVSTALEQLEERMKYWSEYRNFSVSPPLKDSFSPVTSSKSRNPNNAQSSRQNSEHKLSPLSDEDMIAGVSELCISPESNKIFNKGMPSKTEERCMAPIDSNENDTYNFYQVSDGQHLILHPLNMKCLINHYGSSDMLPPRIHGKILELETVTQSEATRKRYRYLSHFSLTTTFQFCEIDLGDMLPPSSLAPFMDEIKKREKQRKRTAKKEESDRVKAEVAAAAQASAMLFEHTSFSPSSGPHGDFMFSLDDFEALGNNAGPSTSPPASERKLFSDVARLGFASAQDSPPLRVESGDLTGKSESTGEQGMVTHDAPLDAGTYQCAAVPIFLLVKSWHN >KN539154.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539154.1:119815:126743:-1 gene:KN539154.1_FG002 transcript:KN539154.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVWLVAAVKGERSERMEFIGIYCWLKSICASAFEDRAIGVKGLRSTVIFVMAGCSLATLRGQHRGRWIQSHSKLPTRIMSINLPKSNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGRCCRIETSVKCYFLQSLVDSESMISPNLLLLSDEALLTISIVFAYLAGVVPSGHAFPHARNHSLNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSEVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSASYLPNSNPSIRSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPEERITLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLLRLNLSYNSLSGGLPPELIFSGSIVVLLDVSFNRLGGELQEVDSSSSDWPLQVLNISSKLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSAFRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLDLGGNSFSGKVPESIGELKKLEELRMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDL >KN539154.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539154.1:118734:119330:-1 gene:KN539154.1_FG003 transcript:KN539154.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLRSDKIADHSNPRLFRMPVFVVPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLDLSSNHLTGAIPSSLANLHFLSNFNISYNDLEGPVPIIGQFSTFPTSSFAGNPKLCSPMLLHRCNSAGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSIFFG >KN539154.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539154.1:110992:114963:1 gene:KN539154.1_FG004 transcript:KN539154.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCYDGFISAAAATTNGVYEFAEALEELGSCLLAKAVLNDDDDDSGRVLMMLGKAQYELQKSADRYRTNIIHTITTPSESLLKELQTLEEMKQQCDMKRDAYETMRASYSDKGGSRHSKTESFSTEQLDASFLEYQEDSALFTFRLKSLKQGQFQSLLTQAARHHAAQLSFFRKGLKCLEALEPRVKAISEKHHIDYNFSGLEDDGSDNDGYSTYDSCSDDGELSFDYEINDRDQDFLTSRGSMDFDKSDQTTSPKPIKENKQEQAKQAEAEIVFPQLKPEFATHSAPLFAGNLLDQTDRLRQMRPSSTKHSYRLPTPVGADNPVPSGSHRLHHSAQFFETKPHAPTNLWHSSPLTKDYNGATHTAATKPSSSSSTDDLKKLKRESWSGPIPIKAGSGGKPFSQADHRPSPTMAYPGAMPAAKPHVRHASSSSVSPKVSPKMSPVPPASSLKISELHLLPLPPANVDPVRPSGLVGYSGPLVSKRAPTPARASPKASRTASPLPRPPAALARSYSIPSNSQRTPIITVNKLLEAKHSREGSDASSPPLTPLSLSDLCHQEKAGKAAAGNTRRKETL >KN539154.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539154.1:139451:140894:-1 gene:KN539154.1_FG005 transcript:KN539154.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VTKDGCPVIFHDNFIFTEEDGKILDKRVTDLQLEDFLLYGPQNEQGKGGKPLLRKLKDGRIVNWNVQSDDPLCTLQEAFEKVNPRLGFNIELKFDDNLEYQEEELTRILQAILKVVFEYAKDRPIIFSSFQPDAAQVMRKLQSTYPVYFLTNGGTEIYADVRRNSLEEAIKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVSELITVPEPDLNADNLSNGEAKDAATPHFSQCEISFLLRLIPELVQ >KN538818.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538818.1:64800:65111:-1 gene:KN538818.1_FG001 transcript:KN538818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN538818.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538818.1:146107:154374:1 gene:KN538818.1_FG002 transcript:KN538818.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLVPIDEGSDGEGGSATDVAALSPAPAPAPALMDIDGDDDGRDRGGEGDGAAASVPAADGSSAALSLTLGLHVHDDGGGADRLSDLHEDILAKILGHLRDIRNVATTAVLSRRWLDLWTLVDIIVLQYDEPPDSRIVQEVENACPPNCLCDRPRNWRDKDISMMSLREVEILNFRGRQHELDLVRVLVRVAPALRGIRIICHRSSTAFGVELLRAYVRSFASFRTSVEDPRRGLLCVACHRCHHYLGNSRSCGCGSGTYDVPALQRDGAENPTPAAGAPTEPRSRRRGRPAARLAPLTLMALHDMRWLRRLNAVLPGLKELSVSECFLEHLDGVSIVADEMEQLRWPGFYWPGLVYFSRMPRLRTLCVSVSDFAHGSREAFNQGSQMLLNRYPSIHHLELRVVIKTGVTPLMVGITGLPYTKILTLHLVTEGHSYGASVLHILTMCTRIAKLTLMIPKYFEVEDACAEICICDWLPNWRNENILLECLEEVTILYYRGEDDELDLLKLLVRGATGLRRIRIARYCSVSDWEIEMLRADLRAYAEELTLVFTGNPAGMLCVYIAFLICYGVLQLHTENPW >KN538818.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538818.1:42606:43187:-1 gene:KN538818.1_FG003 transcript:KN538818.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYHIPKNLAAAVEPGGVKNPSSKTPPAETSFWPSARDELARMVSLAEAAREKKKKKKKRKRGANGRKALAAAMAIVTMWRRKAPRTHTAPTKMGEKKILNRTLFYLEYLCKLNTHLLIQWNVAFAIFALSRAHPGTVATEHDGSKKQVDRQITDQEPATGLEAAIGHWLDVLPPFLQFLGTSIWHMKWDFN >KN538818.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538818.1:9745:11210:1 gene:KN538818.1_FG004 transcript:KN538818.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAAILALVIILPPPITLAGDLHGFRATLTRIHELSPGKYSEAVRRDSHRIAFLSRATADGKATTNSSVSFQALLENGVGGYNMNISVGTPLLTFPVVADTGSDLIWTHD >KN538818.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538818.1:100745:106068:-1 gene:KN538818.1_FG005 transcript:KN538818.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSIINNQTNGNMARLSAPCYAKYDDGDLAFFDTVVRGNLSFGALRGVEGLSQEELFVWLPVKGIVVADPGSGVILFDIGYAHKRLSRSLFEEPPDCKPSASAGTDAADAARWKDRQGIKSHAKFPSLNLFPFVTGRCSWPEVHGRGIIRRRKSPGPEVKRGIKTRKWHALNNSVRRCAADAAAMMASDPGPSQPEAAAAAAASP >KN538818.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538818.1:157369:163059:1 gene:KN538818.1_FG006 transcript:KN538818.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVPINGDGDGEGGSGTFVADNPPDPAPAPMDIDDDGDGRDRGGEGDSPATQDLLSKLNGSGGEGDSPVTQDLLSKLNGSGGEDDSAAAARVPGGGGEGDSAPLSLALGLHLHVHGDGGGGDGVDRISNLPNDILAFILGQLGDTRNAAMTSVLSRRWINLWTQVDILILRYDKPPDSRFVQEALAAHAHATEGSETTAIRLLEVISLNRATPEATAAWLRVAAPRLTGELYFRNRSSAPFEALDIEVFSILDEAVVEQEMIWSSSWFQLPCLTEVTKITLSLGFLGLSLPQSGSFGKLRELHLEHVRFNGDYTLDDAMLPLLEYLGIRRSNGLASLTLRLESLGWMGLYDVVGIRRLDAVVPGLKALCSVGCFCYHDVDSVSIVAEELEEIEWEDFYSPQSFNFNDLPLLTMIHTHCVFCSESNEAFIEGYYQLLLNRYPRISHLDLRFVIELIRDEKSVTDSMINNIQLPYIRMLNLALKTEGHVYGASVLHILTKRTTIAELRLVNQEKFKSDDACKLECICDGPPDWRETDISMRYLRKVEILNFRGEEHELDLLRVLVRVAPALRMIRIICHRSCAAWETLSAHIRGFAREATSVEVSLSDATPDATASWLRVAAPLISGELAFCNRASVPFHMLFDEVFSDPIEERGALELPCFTRATKIRLRLGFLCLSLPPSGVFAALRELHLVFVRFNGELALDDTMMPWLGLPDAQAEASHLDESVSCDWPPNWRDNKDISMRSLGKVQILNFRGKEHEVDLLRVLVRVATGLRRIRITCHRSFAAWERLSESGHSLFAATFGQNSELKLSVSIGAKSSPDLLFLMIMQCCTDPANNLSASLKVCPIRICEYDMVYLLPPFGPPED >KN538818.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538818.1:36:4584:1 gene:KN538818.1_FG007 transcript:KN538818.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDVAITNRDGLTAADLAYRHLQPGLHYFLNPRAVVKNLFYCTRAPVTLEGDHARTGIPSAMEDADAPKDSGSVTSTGTVASVLIATVTFAAALTVPGGYVADDHPNAGTAASAGRFAFRAFAVSDTMAFLCSIVGTCLLVVGEAREDNAVASLLNLSKHPAGQMTIVEVGGVGLVVDVINVVAKAVAQHNVAAILFYLSLNNPDASRR >KN538818.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538818.1:122212:125711:-1 gene:KN538818.1_FG008 transcript:KN538818.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDARGSHPHDSSTVRAALAAHAVHAVTNINKLYVVCLRSATPDATASWIRVAAPLISGELAFCNRASVPFHMLFDEVFSDPIEERGALELPCFTRATKIRLRLGFLCLSLPPSGVFAALRELRLSFVRFHGELTLDDTVMPFLEGLEIYSARGLASLTLRLKHLNWMNLSAVRGLLRLNAVVPRLKFLTVSCCFRSSTWLVAMAGVCIVAEELQVLRWLDWYCPRLIKFSQMPRLYVLSVSPFYPYGRHRQHTKFNPSCDRLLKTFSRIRCLEMLVFIEPHLGGVNPLMEGITRLPDIRFLHLQFSAHGHVYGASVLYMLTMCTGISNLKIGGDRYKDQDVCPPNWRDNKDISMRSLREVQMLNFRGKEHELDLLRVLVRGCTEYA >KN538818.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538818.1:75489:79945:-1 gene:KN538818.1_FG009 transcript:KN538818.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARARGSGGGGGGEEVKVEDDFVDSVLNFGGGGGGEEDGDDGEEEQQQQAAAAAAMGKEFKSKNLEAERRRRGRLNGNIFALRAMSKEATLSDAIEHIKNLQNEVLELQRQLGDSPGEAWEKQGSASCSESFVPTENAHYQGQVELISLGSCKYNLKIFWTKRAGLFTKVLEALCSYKVQVLSLNTISFYGYAESFFTIEVKGGLFGHPVMDHAELTTEQVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEDTVEYVLALIDEMLAVNPKRAALFYDNSLSGEDIYDPFLS >KN538818.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538818.1:96257:99797:1 gene:KN538818.1_FG010 transcript:KN538818.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCYAFLYIKEEKSKKKRVLVKCLVISDILAIDVLDLEAQNKGPYNIQINVKDFFSEEQPKNYGNMYKNFAGLIETMNSNALSKLDEKDAGAAKNPEVETSSSIHSLVYPPIAPLGYDDAFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPAPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRPRPPGGTTHPDLEFFQPGPHGPF >KN538818.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538818.1:165088:165234:1 gene:KN538818.1_FG011 transcript:KN538818.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MANYDKSFLGRLTAMASANLKTDRHAAHGSHVISVLTTAAFVVMVQTE >KN538818.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538818.1:40840:41115:-1 gene:KN538818.1_FG012 transcript:KN538818.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDLAWRIPPKMKKEAFWECPQEGSRCQKALSLLARKNCKARLSTFAVHHHTNAPPPTTLGYLKTVHTRAFHSYHHCTSTTPAMIVSTPL >KN538818.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538818.1:13964:16273:-1 gene:KN538818.1_FG013 transcript:KN538818.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQGHGAAMEFGPCKETLDTELLHVLASGDEARMADLLSRDEGRGHGHSQESIVRYICRDDRFGILMNAMDSEGNTPLHLAAEYGHPRMVSLLLETMSIDVAITNRDGLTAADLAYRHLQPGLHYFLNPRAVVKNCFYWTRSPVTLEGDHTRTGIPSTMEDDLKDIGGGMTSTGTIASVLIATVTFAAAFTVPGGYVADDRPNAGTAVMARRFAFRAFVVSDTMAFLCSIVGTCLLVVGGAREVQPSHRRFYQWSAWALVPAGAQFMVAAFAFGLHVVLGAANRWLVVFVYALCLASVLLCFPGIWAPFYVGKAIWRRAGWRGLTNLHRRPSSLEELFWCFVTSFMAKNLLRTFLPVLASVTFVVAIVLNFEMPNY >KN538818.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538818.1:49962:51980:-1 gene:KN538818.1_FG014 transcript:KN538818.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAPAIDSLCITVEKENRTRQLPLSAAIPAHRVIAWLRFASRRRVRRLNLSLPADDDMAARAPRRRVGGEEKTMEALPIDLLAMERATSIVLDLGHSGPFTKLTALTIIAAAVELRELETLVSSHCPCLEKLSMIGVKLLDGAGAGAASSFSIRSDSLTSLYIHLRDSGLEEVVAPRLEKLHASGDTGFHVAAPMLAEVSWQDVHRAYLSNGVRRRPLKIAGAARSLRRLCITSPSSVGYLLQRFDAVDWLDLTLAVPQGVEAYRTFMDDMDNLPKCETLVVSLIAQFHGFVPSMLHLLRRCSHVKKLVVMIIEHRDPPPLSPSSFCSTACPCRSPDIYKTDGIALDCLEEVEIRPIGPAPVGVVAEFVDQIFRLDAAMLKKVVYQQSPFRPDQEGYEKVRSLYLSNPRVEFRQLASTKQGCDSLVQ >KN538818.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538818.1:67628:74753:-1 gene:KN538818.1_FG015 transcript:KN538818.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:Projected from Arabidopsis thaliana (AT3G42050) UniProtKB/Swiss-Prot;Acc:Q9LX65] MQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGLNKRTTSDGLREAFSKFGQVIEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPAQPAASTGYQYSN >KN538818.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538818.1:91842:92207:1 gene:KN538818.1_FG016 transcript:KN538818.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFCLVSSQLAMTAVMGRQFPLFYGGGGGDVGGGGHLLHVYSLLESSFAESPMSSHHRNHSPFDRKFAGGKVILGGLAAAIFAAVFCYIRITRRKKIEPKS >KN538818.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538818.1:134681:135777:1 gene:KN538818.1_FG017 transcript:KN538818.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSDDDGRDRGGEGDGAAASGGGVDRLSDLSNDILAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIEVLAAHARKGSTDTHIRLLEVTSLNSATADATASWLRVAEPRLSGELFFRNRSSVPLEILNEEMVVVEQLVDEFGDLVVDESGAFELPCFMRVTKITLHLGFLGLSLPPSGVFAELRELHLVHVQFNGELTMDDVMLPSLERLDIRHSSGLASLTLRLPPLTQMTLYNVRWLRRLNAELPGLEVLSVIRCFRVHLEGVRILAEELEQLRWLDLYWPGLVYFNRMPRLRTLVPPAVYPYGLQRVLFNRSCQMLLNLCPSIYRVVLMVDIEPVSASSSSVSVK >KN538818.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538818.1:85662:87790:1 gene:KN538818.1_FG018 transcript:KN538818.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRAPLARRSLLLLRYKALPLSSPSSSSSSTHSLLPRPPAFKKLIGKEALFAIQGLKRFKGDEERLGEFVRRHVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDVFMYKDLIVALAKCKKMDEAMVIWGNMTDENLFPDAQTYAEVIRGFLRYGSPSDAMNIYEEMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGLR >KN538818.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538818.1:47184:48740:1 gene:KN538818.1_FG019 transcript:KN538818.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTATNGDDSSRKNTVLAVVLSLSGVVLLAFAAFFVWDKLFRNKVANPVRFQSPQRFTSFDSSIPLNQVQDRKMEDETRHSNELDVTLFDFNTIAFSTDNFANLAKLGEGGFGPVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIHGEERMLVYEYMENKSLDNFIFDKARSAQLNWSKRFNIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLELVSGRKNRGMYSSGEQTSLLSHAWRLWREGNALALLDEAVAGGGGGGGG >KN538818.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538818.1:26761:29225:-1 gene:KN538818.1_FG020 transcript:KN538818.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALSLSAPVEFGPHQLTLDGELLHVLISGNNASLEQLLREEDRGGSDGYLQKEGQVAINFHGQASVAAAPARGGTSRLLGVTSNGSTALHIVASYGHAELAALICERAPSLAATRNRCLDTPLHCAARAGHHEVATCLLRTMQAGTETETETRLQARNKAGATALHEAVRYSRLEALLRPTQNGGSSPASAAGPEGRTALHVAAFNTKEIAEAILGWDPEGPSLLTRVDSSGKTPLHFAVIYGALDIVQLFLDGYASLRLDTISDNEGSYPVHAAAIFGETGILDELVKRCPNYYELVDDKGRNILHIAVEREKEKVVRHICQNGNFAMLLNAADSDGNTPLHLAVKYSYPRIFGLLLGTTSVDMCITNKDGRTARDLAFDALAPRQMRYFLEAFKQQDNMGKNGTIASVLIATVAFAAAFTVPGGFVADDHPRAGTATLASRFSFKAFVVANTMAFVSSIVATGFLIYGSAREIPGSHRRLYSILASKMVPLAAQFLISAFALGFHLMLGANNRGLVIFVYVVSSAAVLFGTPDIWGPFRLGLGRAIWCRAGWRGVINIHKRPSSLLDFVVLLFSSPLIKIRRAVFAVLICATFVVAIALDITMPNY >KN538818.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538818.1:55508:57576:-1 gene:KN538818.1_FG021 transcript:KN538818.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAALMRRFYIVDELVLSFGISPGIRGYKTFLNATSTIAKCEVLEVQVTTRRHAFSSAVLHLLRKSVGVAKLLIRLPRIGNKSCTEGCPCSLPDSCNTDKIQLDSLKEVEILGFQGEFNQMKFINLLLDCHAPILKKVYVRIPKDVKSISKTKSKKIRSIIDGHPEIDVEFKLWS >KN538818.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538818.1:129164:133070:-1 gene:KN538818.1_FG022 transcript:KN538818.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVGGRHSPPWLGGGSALIGLIPSSRLHICISFLPDLASYSHLLASLLNTRDPPDAALLDRLLGDLRESRLEPDAPLFSDLISAFARARLPDAALELLASAQAIGLTPRSNAVTALISSLGSARRVAEAEALFLEFFLAGEIKPRTRAYNALLKGLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGEWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAIELFDEMRESNCPLGTTTYNIMINLLGEEQRWEGVEAMLAEMKEQGLVPNIITYTTLVDVYGRSGRFKEAVDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLINAFGEDRRIAEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFEKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >KN538818.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538818.1:36109:37381:-1 gene:KN538818.1_FG023 transcript:KN538818.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAFTVTRSAPEVAAPSRATPRELRPLSDIDDQDGLRFYRRGLADALVHYYPVAGRIREVEAPARKLVVDCTGDGVVFVEADADVSLSDFGDVLCPPFPCYQELLCEPDGNCAAVVGRPLLFIQVRWLRVRPADLPQHRRRGWHGATPASDRRDVAGHARADRAAGVGEGATHGAVAAGGHAHAPGVRRDGGRRQPRRAWPP >KN538799.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538799.1:59013:62306:1 gene:KN538799.1_FG001 transcript:KN538799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKAKDDLIGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVPNSDSICHDDDDPGSGAEGMNRTSAGEQMPCRLIKFQENYKFREYKGGMAGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLQRTMEDLAVDKIVNNGVGLVDPRRARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFAGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPDGTFAYNSLWMGAFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGCHDFDLLRRLALPDGTILRCERYALPTRDCLFADPLHDGKTMLKIWNVNKFSGVLGAFNCQGGGPRLCKVNGQDAEFKYEDGIVTVDVPWTGSSKKLSRVEYFY >KN538799.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538799.1:152316:153723:1 gene:KN538799.1_FG002 transcript:KN538799.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGKAFRRLMALCVHRPPKYIPDFLVRDLLRKYFADKREEKIRLIKEIVTEEQDSQLNSPLPQEILIIWGEFDQIFPVEKAHKVKEMLGEKATVKIIPNTGHLAHQEDPKMFNDILLKFLLPSPAVANGAK >KN538799.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538799.1:23752:30538:1 gene:KN538799.1_FG003 transcript:KN538799.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHVGVAVQLLVMAWLPFSLVHGEKGMWGGRQELMIYIKTEIADDVFCKRHRVNCLKSRSSREEEDNPLQLQPASIQTELISSSSSSSKPTKFTEIQERYERDRSTSLMMASLGCSSNRLRQTIVVALLLLASSSCLASAKHRGNGTTTTVPFHGKDELRRYRKIMAQSPDGDVIDCVPAHLQPAFDHPKLRGQKPEAEPEERPKVGGAAPAPATAEEEAVFPQAWTDGGESCPEKTVPVRRTRRRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVATAAEFSLSQIWVISGSFGNDLNTIEAGWQLVGEKAMDRASQLMEARLCRGLLQAAAWLVTRVNVANCMASDQAAAVKADRSAGWSGETLLNFLNSLFFIQVSPELYGDNNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQGVV >KN538799.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538799.1:63956:67363:1 gene:KN538799.1_FG004 transcript:KN538799.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASTSSGVGGGPELLDLMSPNPQEEGEDRLRRRHSSSNGSADDVLPSYDFQPIRTTAPSAAASASAAPASWGSLDSGSKAASASYNLKSAGVLEPHVLKKVSHEEDRSNFGTVTMADIDRTVKKYSDNLLHALEGVSSRLSQLEGRTHHLENSVDDLKITIGNYNGSTDGKLRQLENMLREVQAGVQILRDKQEIVETQLHLAKLQPPKSDALASDNVGSSQTDPHQHAVAPQQAAIQPQHQALTSSQPLALPALPSPNAPPPPPTLQSQPPSQYPSHLPHSQVPPVPPSAPVPSVPALPRDPYYAPPAQPTETMHQQYQAPPVPQPQAPPAPPQQYQTPPQFPQYSQPPQSGMVNPSTPLPPAAPQQPEEAMSYAPPQSYPPNVRPPSPYMPPPSGPAPPFYGQNQSMYEPPAGRPNSGPPPSYGAGGYGPQGGSGFSDSYGYSGSPSHRGNAGMKSSPSPFAPSGPSSGGSGNYGRLPTAQILPQAVPINSSPSGSSGNRVPVDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQQPQKGWFGR >KN538799.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538799.1:96529:107794:1 gene:KN538799.1_FG005 transcript:KN538799.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISSLLSSAVSVLVMHPKIGTSAAQSLALLGATDPKLGMPLLVLILFYTKVLYSNNNCDANILLSLLESLPSLAVHGFVLPLSLQLISSMLRKDVKSVLYPIAVRLLCKMWTVTDWAFQNLQGTLDPENFSNSVDDREVFTSIAASVRDVCKQNPDRGVDLILSVSACIESRDSVVQALGLESLSNLCEEDVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDAEAYPGTSKHLIQILWSIGTYREKNADPLWVKARGTAFQSLSHYKSQIVGKSAHHRFPGAALLTLNFTPKDILHEGKSKDLPRVHAAYEQALVEMAESMYISRNMVVALLALHSWKSFVSNWMQAVIACLDTKESSKLNKASKAADDIFKILCKCVPVSSPRVVVSIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSAALSLGLISNCFHPTDKRSKLQVINGLLELAGDLLKKHYRIAPFDEKYEQEASRELVFSELYEAISHIMPFFCIQVISKTESYLVKGACGLGLGYCCQALLARADNAADSELESTTQLTERASVEEILHTLTTSLVQLCPFSCYSLKKLSICGIKSLEGMEEKYVSLEDDPWAVAGLVLGLGNSVVSLYRLGAYEAIIEVKNILISWIPDVDSSSLLFDEEDSASLCMGSCLALPSVLAFCQKVELLNDDLDALFNRYTSLATNLLSLKKSGTIFQNLLMAICIGAGSFLSSILNDGVHAMKFTDVKDLLDTLKHIYTHPYPPLVHLGGMLGTVNAFGAGAGDLSGICWQPTNSQIKHEKESSLVRGPVLTSSVGETLSTSMIQEIFLLAKDAEDDHIKDYAAWAISFLRSRWLSKNQIIFDDDCSQRNSSDSNQSTSFSDESLVWNLSQWLRDLNFEKPDSMVSTSTVATVLRCLSKAPRLPSIDWGVIVRRCMNVEAHIPDMLTNHHGPKLLREECLYISLAHASHISPLLHFIDDLTDLSRFRRLEINLQSILLQYLSTLMKLFSLSRLDKLSEDLTEYLYSPTSSYLDYSSEQRSMLRTSFWKGIRECLVEDVSEESSGFSCIKKCIQSLSPLLSLHKDGQPEFIEEWSAAIKCLTVAQKGLLGDMLQVEISSSFSELEHIDVAKKIIIRARMCSSGCGSVDELGNIKTTILSTRLDGVWWNVLVEVAGALYYADSRMKRQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTARIYTWAEQLTHSVGLARHDHIHGSEAEMAIFLANILRCTCVAVEDHLAVEKKLKLANLEAL >KN538799.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538799.1:14301:19076:-1 gene:KN538799.1_FG006 transcript:KN538799.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGLARDATAAYLRRGVVGGGGGAPARVFSAASRAPGPVVANPGGELRAFGLFRSPMARRADAFEVPSAAGRHGAQGVWSRSSVPAAFRAGAPNSGALPFLVGRVARGFYPRLSGHKLVKGLGMGSTLAAMFCSQKVAYAEEVAEQPSEGLIGPSTKHQISKLWTIIRKYQLPIGLIALIALGWQNPLGLFINVLLILYSSRPSPYSIYLFLQEVRHGEMHQNRAFWKEEAVLTRKVDTKDYKLFSIGTVESADREVLHVIGILGNWWIYRASYGNFMAAAGFLGDKVMLRNQVQAETSLLFLILVREQNLFVMGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLVLIHVNSSYHYEQGAVQLWEQSGSPLIPLAEFSDPHVAKTYAVSPDKETLEILNQMSNQRGVEVLAKILYGDPAKKLYEAVDLVPLNCLVVGNRGLSTLKRALMGSVSSYIVNNATCPVTVVKENI >KN538799.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538799.1:155992:171928:-1 gene:KN538799.1_FG007 transcript:KN538799.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWHIGICISFFSVDRFLQNADKILAAWSWAHGDFFSEQTQLLAFFRVQSSSTCFPCCQGNANARRLRADHLKQVQLSSHFSVTTEAEAANQFYSGFFVTIWIAAVMFKSNDILRKQTALKGERKMSVLVGITILFVVHVFGVYWCYKNGDLVRPLVALAPKEIPPFWHAIFIILVNDTMVRQTAMIIKCMLLMYYKNSKGRSYRRQGQMLTVVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVSATGDMCAICQEKMHTPILLRCKHIFCEDCVSEWLLRGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNERSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRSTSARPYSKSQICMLCDTASSQNCQYMNVSIHVFMSKKLLIFNGMKSNLRTELAENNSIHVDQMDVHDTVVESTTKFPICDMVTIARYLNLTLVVPELDKRSFWADPSEFGDIFDVSHFINSLRDELMIVKELPMKLKLKTKRRLYSMPPVSWSNETYYLKRVLRLARKHKVIHFNKTDARLANNGLPVKLQRLRCRVNFEALRFTPQIEALGRKLISTLKKSGQFVVLHLRYEMDMLSFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEVKRFQGLCPLTPEEITLVLKALGFKKDTLIYIASGEIYGGERRLAALKAAYPRLVRKEKLLSPDELQPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFRKTILLDRVKLVELLDSFQGGAMSWNEFSAAVKKAHQHRMGQPTERKVIPGRPKEEDYFYANPQECLGSREGLRDIL >KN538799.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538799.1:87694:91570:1 gene:KN538799.1_FG008 transcript:KN538799.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTGKPAVTTDSVINLDHGDPTMFEEFWRETGDAAEVVIPGWQTMSYFSDVTNVCWFLEPELDRQVRRLHRVVGNAAVDGYHVLVGTGSTQLFMAALYALAPDAAAGDAGEPISVVSTAPYYSSYPAVTDFLRSGLFRWAGDADAFKGDSYIELVCSPNNPDGAIREAVLDPKTGNGRTVHDLAYYWPQYTPITKRASHDIMLFTVSKSTGHAGTRIGWALVKDRAIARKMTKFVELNTIGVSKDSQMRAAKVLAAVSDGYERRPEQTKETMTTPPLRLFDFGRRKMVERWSMLRAVAAASGIFSLPEETSGFCNFTKETAATNPAFAWLRCDREDVEDCAGFLRGHKILTRSGAQFGADARYVRVSMLDRDDAFDIFINRLSSLK >KN538799.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538799.1:35868:38621:-1 gene:KN538799.1_FG009 transcript:KN538799.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEVLRGGGNKNLQGALPPEIGNCSKLTMLGLAETSISGPLPATLGQLKNLNTLAIYTALLSGPIPPELGRCTSMENIYLYENALSGSIPAQLGGLANLKNLLLWQNNLVGVIPPELGACTGLAVVDLSMNGLTGHIPASLGNLSSLQELQLSVNKVSGPIPAELSRCTNLTDLELDNNQISGAIPAELGKLTALRMLYLWANQLTGTIPPEIGGCAGLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGDIPPEVGKLGSLSFLDLSTNRLSGAIPPELAGCRNLTFVDLHGNAITGVLPPGLFQGTPSLQYLDLSYNAIGGAIPANVGMLGSLTKLVLGGNRLSGQIPPEIGSCSRLQLLDLSGNSLTGAIPASIGKIAGLEIALNLSCNGLSGAIPKGFAGLARLGVLDVSHNQLTGDLQPLSALQNLVALNVSYNNFTGRAPETAFFARLPTSDVEGNPGLCLSRGGEDKDGEMSPPWDVTLYQKLEIGVCDVARSLTPANVIGQGWSGAYGCMTKITTKSDVYSFGVVLLEIITGRRPIEAAFGEGQTVVQWVREHLHRKRDPAEVIDSRLQGRPDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLHGLRHDDSAEARKAGSGSATKWADPRQPSSPTKPMAQAQAQAQAQAQSHTSSLAYSPTGSV >KN538799.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538799.1:7490:12627:-1 gene:KN538799.1_FG010 transcript:KN538799.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAGCQSAAALHRMASAFLDAHGEPLVLLDHRVLCSHGVATVGHSQAFAAAMRQRREEIPPAPFRILLQEENVGWAEVAACANGNKIVDKKSWRASVRNMLDAVYRMICQVLASAVRSVWAIGTYMLPLSAAEFFRRRLPASAVPEKFSWRGVISTFRFQIFPDTCAIVACSVCIEAQHRLEFERLHGQGTFILELPDSTRKLRRFCLERKAWFKGKGAHIGSLLSVIQETGGVPAISTTNTRSTLLLPLHSYDYFSLRGCWTNLTPQQAAQLIFTGGPCIGSLWVDGSYTSKHHYSDDNDDDEEDMLVYRGCDPKKKIHRDKETGLHAVVCYAYRFIGKELHIRVQDSMPICSPHNWILFQAFDMFYTLRVMPLDASRLYDPLCGFKNHLICHLVQLPYKVLSFLQQWKVTVPPKISQKIGKDRAWLHANLRQLHEAMMIQKGKEKCLFLCHFWACLEEISVQLYCTVTCSEGMKKQKLL >KN538799.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538799.1:134047:134434:-1 gene:KN538799.1_FG011 transcript:KN538799.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSFAPRSSSLLLLLITLLLVVVSRPQYSSDASALSDHLAAGDAPPPPPPQHGAGRRLLSTQNQVTPPPPAPVSSPPIGPAPTLLPPPPPAK >KN538799.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538799.1:174107:175486:1 gene:KN538799.1_FG012 transcript:KN538799.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYTRARFTINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQ >KN538799.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538799.1:45932:49883:-1 gene:KN538799.1_FG013 transcript:KN538799.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGEAGEGEASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAKMGCPTILGTNCGKENFYIVGFGEKIMSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDTQINDRDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDLDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPARGGLLRTAPVHEVHLDLVRVSSGKVYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKINAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMADASVDMNFRSSGLNSAKKMMENMGWKEVLWNTSKTNGQGEALGKSTKGMVEPIQPTVNKHGAGLGYMFCGFRYEFFYKRNDVTETVHLRNSHPSWRTLCLVLQAVKVVLPTYVWFATYCI >KN542006.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542006.1:11370:12292:-1 gene:KN542006.1_FG001 transcript:KN542006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSIGSSSVVKLGPWGSTDRKNGSAWDDGGFFTGIRGITIQHSASGVQTIKVDYDLLGLEKLGEVHGGGSQQEELEINKHELSFPGEFLTGISGYHDGNVVRLLMFKSNKNRIFGPQMSETSSLMRFDCSVDGGKGAIVGFSGRSDQYLNSLEIYVAVLCPAGEFFDAMEEQGLVAYRTSPLMAKYALHG >KN543537.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543537.1:2954:3885:1 gene:KN543537.1_FG001 transcript:KN543537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHGLLEALLWSSVAGLAALLAVGVALPPPPDGQARVWNNISRYCYDPISKGVTYDSWRFLYLPDTYRLSEVANKLMVIGCRVVAYIHVGNGDFVRQMTGCFASCGKYGDNLTSLANGACAGEGCCDVTISKGQTYYAVYLDMDYNTTEIYNVSRCNYAVLMESSSFSFRKSYVTSPEFFDANGGRAKVVIDWAIRNSSNCAEAEKDRESYACISSNSTCVDSSSGQGYICNCTGGFEGNPYLPDGCK >AMDW01016907.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016907.1:7:267:-1 gene:AMDW01016907.1_FG001 transcript:AMDW01016907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKW >AMDW01036910.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036910.1:5:538:-1 gene:AMDW01036910.1_FG001 transcript:AMDW01036910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVQVQLLVTAILCVSRRCLASSDTISASSAVSGGRTVVSRGGSFEVGFFRINASSSRNGSCYYVGIWYKKAVSPCTPVWIANRAAPVADRATSRLAIAADGNLVLINEADELVWSTNVSSTAAAGAGSNGTVAVILDTGNLVLRRKNDVDEVVLWQSMDHPTDTWLPGARLGLNK >AMDW01027070.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027070.1:28:366:-1 gene:AMDW01027070.1_FG001 transcript:AMDW01027070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAAAGPLSGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVA >AMDW01040232.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040232.1:1041:1316:-1 gene:AMDW01040232.1_FG001 transcript:AMDW01040232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRVVPPSVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPEPIEVASELTEETTVPDKAKEEEQDISGLA >AMDW01040464.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040464.1:102:1556:-1 gene:AMDW01040464.1_FG001 transcript:AMDW01040464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDGHGEEEEDEGDEGDALEDVGAALGEEGGAKVGVAAEDGGAEEDADEHVGHEAALAEAAEDVVGGGGEGEDDGDLNEEEREGEAQRLVAQEHPLRVHQGILITVIGRILFSFQCQGGGLFHQKGLANMAKQLSFKDSRFCYSKDISSESCRSTGKREMYLHWRCKL >AMDW01039623.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039623.1:143:1038:1 gene:AMDW01039623.1_FG001 transcript:AMDW01039623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPHNLLYYAQRATPGALLIAEASAVSYAALGRSKDDAANSPIHRQRPGPPGFLFGTNLTDYNSATDGVKATESGVMDRNNLSKWWFMSRLNESGNAGGAEESHYTLPSSLDAPGLWNQEQIEAWRPIVDAVHAKGALFFCQIWHNGRVFSTDNPVTPQVSYFGNTDDLAPAAPQRLETGEIVQIVEDFRVAARNAIKAVLRFDEGFNGVEIHAANGHLLHQFMKASVNDRTD >KN538969.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538969.1:62052:66179:-1 gene:KN538969.1_FG001 transcript:KN538969.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEGATARGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPTAPPPPSSPAQQPPERPRNSDPAESQAQAGASRTQNAAPADDRARNDHFERRTEAAGSHAQNVPFTEQNTRSNPSSQPCSAAGVYPAQNVFSEAASGDRTSPEAYRAKHEITIVGNEAPAPFMTFQSTGFPPEILREVQQAGFSAPTPIQAQSWPIALRNRDIVAVAKTGSGKTLGYLIPGFILLQRLQQNSRDGPTVLVLSPTRELATQIQDEAKKFGRSSRISSVCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLSNPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARQYGASAIHGDKSQAERDSVLSEFRSGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLVKILEGANQSVSEQLRDMVSRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGYGSQSGNGSSFHSSFHNSNSGNQFGDTSGFQTSSDNNQPGDGLSFHARFYSSSRGSDQSRTNNAGFRDRSRSPPSNRNHEDPGSKAVGVSNW >KN538969.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538969.1:149748:153304:-1 gene:KN538969.1_FG002 transcript:KN538969.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPAASDANLLAQQPPPEQGGRKMTRLEMLSLKKMTGIYGDWLEGRRAQSELQVKRRRPEEAEEGEEGRMGSGIGAVLVLVAAWLAFAPPGALASSRSNRALSECWMNRFDYRGLMQPFGGICEIPIIKLRPYQLNDYNQEAVEKGQHRWGKWFDLSIAQEKLVNSTGGSTTSSSHLVFDPSKSKRLSWHPRIFLYEGFLSDMECDHLVSMGRGNMESSLAFTDGDRNISYNNIEDIVVSKIEDRISLWSFLPKENGESIQVLKYGVNRSGSIKEEPKSSSGAHRLATILIYLSDVKQGGETVFPRSEMKDAQAKEGAPSQCSGYAVRPAKGNAILLFNLRPDGETDKDSQYEECPVLEGEKWLAIKHIHLRKLDSPKSSLASEDECTDEDDRCVSWAASGLSNLILSAYNYEKIIT >KN538969.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538969.1:43595:47420:-1 gene:KN538969.1_FG003 transcript:KN538969.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDWMVGFFSKRLITAAMPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPPRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQTNAGAVMITDLVFWFILYPFLAYNQYDMNFLLIGTHSINVVFMIGDTAMNSLVFGGSSDALPLLCFIRPGYEAQTISAGKMVSSQLHLRVANKNIYSVTISLLLHPANAKYMELLLTCLLIVSQCSIRMRLQERQKEEVITASMIYISYTYLFETFEKYNSAGKINVGAS >KN538969.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538969.1:10959:15943:-1 gene:KN538969.1_FG004 transcript:KN538969.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWWAAALAAVLAVILLPSSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPASIGRLGMLQTLDMSDNQLTGSIPSSIGDLKNLNYLYDFFLWFLSPHSLPAAIYFESFSVPLVATRKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIAGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFVTIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPR >KN538969.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538969.1:126564:131539:1 gene:KN538969.1_FG005 transcript:KN538969.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPGLDPGDRVAGLLPPFKTNLEALAGDGSGRKVTAFTLTKALVRTVWWHVAVTAFYALVYNVSTYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALAATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTDAVLKLPSGSSDVAIEVRNGCFSWDASPEVPTLKDLNFQARQGMRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKQMDNEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGELASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYDEILGSGEEFMELVGAHKDALTALDAIDVTNGGNEASSSSKTASLTRSVSVEKKDKQNGKEDDANAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQILFQVLQIASNYWMAWAAPVSKDVEPPVSMSTLIYVYVALAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFIPVLAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAFSRPKFYNAAAMEWLCFRLDMLSSLTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPAEPPLSVQDDKLTQDWPSEGEIMLNNVHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTVGQILVDSIDICTIGLHDLRSRLSIIPQEPTMFEGTVRTNLDPIGEYTDSQIWEALDRCQLGDEVRRKELRLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSDATAITIAHRITSVLDSDMVLLLDNGVAVERDTPTRLLEDKSSLFSKLVAEYTMRSTHT >KN538969.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538969.1:158694:160424:-1 gene:KN538969.1_FG006 transcript:KN538969.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASASDSDPRRAGAGVLHAQAVRPEEQEAGPEAEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLLLAKNPKKRLGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPENHFDYF >KN538969.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538969.1:2983:5572:1 gene:KN538969.1_FG007 transcript:KN538969.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding KATFSAGTIDRQATTPQQEATWEALSAAFPAADHVDAVLLSLAKHRHSSSSSSSSSSPELVARNALTFFYWSASSSSSTPHSLRAYCLLVHLLSRAALIRDASVLLESAIAKHSSSSPASAFLDAFFAAYEDSGTAATTRGLHLLVHAYARARLPEEALEACRYLAQRGVVPSLPAFNAVLHAAQRTGRFGVAWEVFELMTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCAPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDDIAYSLIVHAHCQAGDLKSACEQRDDMVRRGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYSHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTAVMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGDLKEAEKFLAILERKLLAPTSEIYDILISGNCKKGNTKKALWFYDRMMTANDKLVPSADTFMMLVRRVIKPKSTCSPNC >KN538969.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538969.1:132550:133429:-1 gene:KN538969.1_FG008 transcript:KN538969.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLKEGGGGVESDEEIRRQARERKKAYMTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRGGGGGGGGGKGGDGGGGGKKHHFTKS >KN538969.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538969.1:73379:75930:-1 gene:KN538969.1_FG009 transcript:KN538969.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLKKSRVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIGTE >KN538969.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538969.1:107267:109073:1 gene:KN538969.1_FG010 transcript:KN538969.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAGVKLFNYGIGIHLLQAEDPESMPPKKKEINPKDNHISFTCESMEAVQRRLKEMGVRCM >KN538969.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538969.1:165226:169865:1 gene:KN538969.1_FG011 transcript:KN538969.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGSHRHGQPPQSPGEADGNPSQHRRDYTRRGAAEAAPARATETPHAPARAEHEPELPKEAETAEAVAVIQTANQRWHEHIRRRALPTLLRPSPAAPPTTVGLRSAAAQGSHGEVGVDRPHERAHFVLVFVSTPDLSDENTVSRVWSVNCSPNLSDENAVSRAVSQPAIQQFRNYSSGLGGDSSASGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVAQDIKENPVLIYMKGFPESPMCGFSALAVKVLKLYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQASELIVPRFYYQKGQLKDILGDIAQKHEQKESS >KN538969.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538969.1:178986:179282:1 gene:KN538969.1_FG012 transcript:KN538969.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVGEKGNTDRERLLPGLHRTVILPGMVAAGSEELLCDKDGFTTEDVVAIESPNIVTLADRPGHRRRRRPPQGHLSELDALLCSASLHFCKLSLLP >KN538969.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538969.1:42418:42864:-1 gene:KN538969.1_FG013 transcript:KN538969.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKAGIHRAVLWFVAFYYPSIPFIGFGGIAYMFCFCAMPDDTFSGCVRRRDLWRLTPLLLCAAYMSLLALVSMHTRLFLPRAPNAVLTDLLDVGTVRVGIPLAWLACVGTGVGFTFAIALDCVFVVLIARVLAIWSRLVRTYLHSGD >KN538969.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538969.1:20079:34785:-1 gene:KN538969.1_FG014 transcript:KN538969.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVALLAAALHADAAPASAAPGSSFPDAYGDRARAAQLPPRKQQPQVAYALRRASATSLVHLHGATSWPFAGVATEGREDICMGRYYDQLGHLPLYPDKGHRVARKQFKTFLKCTLWYGSKFRLSVVSSLLGFIINVVEILLLVIIAIVGRIPLEAEQGIPETEDSIVVPQAPQLDASYLILVRNVLEIYFRPMMNPNAPIRVILLVETITRTMVPIERDLYIPILLQASGIAGDRELAKLLLVRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECLKLLIQAGANVNGVGFNGATPLLLACSRTGSIGFVKCLVESGADPNIPDELDRLPIEIAAIHAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFNNGDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATIAKALRCYENKIYMTENVLEKRGDVLETACPRMEESGLAFVHQKKRDWSPAAEGRSVPDATFQIDKKILPVWVKIWGVPRRPLLGCGWMYLPPVNNVPESSAPEEQQITVLGSE >KN538969.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538969.1:52890:56806:-1 gene:KN538969.1_FG015 transcript:KN538969.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47760) UniProtKB/Swiss-Prot;Acc:O82244] MALARGPRGAEVVGALGSNSSPFSKLGLQPDGPAGRRPMRFSGWADTKIDWDAYMAQVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVLPWWALGLLCLSKRIHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALFGAAALQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKNATSIGQLIFAKPKLSTLNKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTFVRQNQEGVWKSEDAQISGIVEEHMAQWQHFRKTEEMCHMHKEDDKNRSYGVSVITV >KN538969.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538969.1:72475:72858:-1 gene:KN538969.1_FG016 transcript:KN538969.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRMAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >KN538969.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538969.1:87974:91518:-1 gene:KN538969.1_FG017 transcript:KN538969.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTIAGGGRERWRRRWGGRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKSVCNLCNGGVRFVREHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESCEIL >KN538969.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538969.1:83186:87816:1 gene:KN538969.1_FG018 transcript:KN538969.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPPPQRYHHLVLLALAAFSCLASATATPTTSSQSQQPQPADILLPARAADLAAGAARTCWYTVQIKTSCASPRRTSDAVSLAFGDAYRNERIMVQVTGDEEEDDDNGPDQHQDSCLEWQRIWVEAKYTFVLLLVLTRSSEAQKRPLYNVLDFNATGDGKTDDTKAFLAAWEETCNNEGWPILIIPGGRTFLLKQIKFNGSCKSPIKIQMDGNIVAPNYIWTSEADNLITFYSVNNLTLDGNGQIDGKGAIWWTCYTEKKCVYRPVILAFAACNNLSVTNIHLTNSADKHMTVYRCSQVHVHNVTIVAPGDSPNTDGITMAISDHVYISNCSIQSGDDCVSMLSYTTDVNITGITCGPGHGISVGSLGRFETALVERITVSNCNFIGTTNGVRIKSWQGGMGQATVFIFENINMTAVQSPIIIDQFYCPQGNCPLKDGGVAISDARFINIHGTSSEQEAIKILCSQSVHCQGIYLSNINLSWENHTALANATILNANGTVEGPVVPKVVFS >KN538969.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538969.1:143112:148283:-1 gene:KN538969.1_FG019 transcript:KN538969.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MAIERGKMEMQERSGGAVAAALMEEKGEDAATKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSTKLGLDVASCLITRYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGYISKDMALKGLPRPGEDSLILVCGPPGMMNHISGDKAKDRSQGELTGILKELGYTADMVYKF >KN538969.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538969.1:51898:52299:1 gene:KN538969.1_FG020 transcript:KN538969.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVERGPRCDLQTVDLLSLVESVGTPGFLANSARVLGSMHEPRRGVAAAAARGGAAPPPPEPSLVLGLGDGNGDGREKAWSYWNNSSAMARTMERKRRSETATAMATATQASQALPVKTTLGLGEDAMKRRP >KN538969.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538969.1:37373:37870:-1 gene:KN538969.1_FG021 transcript:KN538969.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGACTDADGRREMTAKGGIPRAMLWFVAVYSPSVPFICFGGVVYSFCSTREDVPTTTFSSCVRRDLWRLTPLMLWAAYMSLLMLVSMHMHLFLPRAPYAVLKDLLDVGVKRIGIATVLVFLSCLGLSFGVTWVAIALDCVYLVIIAHVLAIWVRLVRTYAVRD >KN538969.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538969.1:171175:173948:-1 gene:KN538969.1_FG022 transcript:KN538969.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGSSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLTVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >KN538969.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538969.1:59651:61345:1 gene:KN538969.1_FG023 transcript:KN538969.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAWYLLNIYFNIYNKQVFLPPQEPSPSSSSPSLNPISHGKSQVLQPLPFPYTITAFQLAFGSLVIFLMWALKLHPAPRISISQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGEEALDDINLFSILTILSFLLSLPLMLFSEGVKFSPGYLRSTGLNLQELCVRAALAGFCFHGYQKLSYLILARVSPVTHSVANCVKRVVVIVASVLFFRTPISPVNALGTGVALGGVFLYSRLKRTKPKNA >KN538969.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538969.1:141023:141358:-1 gene:KN538969.1_FG024 transcript:KN538969.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMLDGHSERDQEDGALELRHSRSVGSIGLQRRHGDGAGHTRCNNSQVFHTRRVPPAQDPPSPKVPGCIFCGIFRKPVLSEPSKPRRF >KN538969.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538969.1:39735:40235:-1 gene:KN538969.1_FG025 transcript:KN538969.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKVLPWTAAPAPTPTVGETTARTGIHRAVLWFLAVYFPSIPIFTTSGAAYALCTALQYPTFSGCVRRDLWRLTTLTLWAAYMSLLALVSMHMRLFLPRAPDAVLTDLLDVGAARIGIPLAFLACLAASLGVTRVAIALDCVFVVLIAHVLAIWSRLVRTYGSRD >KN538691.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538691.1:69229:71614:1 gene:KN538691.1_FG001 transcript:KN538691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKAVSESDMSVHSTFASRYVRASLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGEDETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLDKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLVKTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLMPPVPPAPLLVVAKKSELETQRSVTEAWKKFVLAKRTNGVC >KN538691.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538691.1:184394:193557:-1 gene:KN538691.1_FG002 transcript:KN538691.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSPAPGAAAAAGREVHNKEEKGMKEAENVVEAKSSQFESPVSHEGEKTPRPQAVAEGSSKVVPTPVEYTVNDIIDGKTVNAVEGLKVYEGLVNENEKNKILSLLNETKASFRRGGLEAGQTVIIGKRPMKGHGREIIQLGIPIVEGPPEDDYPRETKVEAVPGLLHDLFDRLCQKEIIPTKPDYCVIDYYNEGDYSHPHQSPPWYGRPFCTFCLTDCDMVFGRVISGERGDHRGPLKLALSTGSLLVLHGKSADVAKRAIPAARKQRILLSFGKSLSRKQVPSESVSRFTTPLTPPPMPWGPPRPANMARHSSSPKHFGYAPNSGVLPAPAIGAHHIPPSDGMQPLFVAPAPVAAAAMPFPSPVPLPNSTTAWMAEAAPRSAPPRLPVPGTGVFLPPGSGHPLPHQMMTASQSPAEPISSTDSSAYVHNKSTSTVGEMANGDVSPKSSPAKQSDAVEQKPECNGSSNGGSSLVDEKSAVSKEQQNGGMKKVGSNKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADNSNTHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNCEPVHQSSYIPLPASDIMYWFSYDHSGFDIRCARVHILGFSFHTSIDEDNKSVAPAEDDPIELLGKPVRLGRGDDVFDKVFANGLHALQVVQFYYWKAPELAAKRKQTSGGAGTVCCPVSQSRGTKLPLPCNHGLEQTIFGSHKNVEIDFEWSDFELAVSMWENYSEELQRKLLTFLPYWLSSEAIFIVSSFSPQVCTEGN >KN538691.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538691.1:34716:38295:1 gene:KN538691.1_FG003 transcript:KN538691.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKLVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQVFLEEIEKTVALLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTAALEDPAI >KN538691.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538691.1:4714:5703:1 gene:KN538691.1_FG004 transcript:KN538691.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASASNGAVRFTEEQEALVLKSWAIMKDDSANIGHRFFLKIFEVAPSARHLFSFLRNSDVPLEKNPNLKKHAMAVFVMVARFALLETIKDGIPASMWSPEMKNAWGEAYEHLVAAIKEGMKPVALL >KN538691.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538691.1:139635:144764:-1 gene:KN538691.1_FG005 transcript:KN538691.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGYGMDKAVRSSVSFETPCGRLLRELEQIWAEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPAPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTAAPLNFSTVSKEDSMSSFASISGSEPDSPLVLH >KN538691.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538691.1:1591:2465:1 gene:KN538691.1_FG006 transcript:KN538691.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGSNVVSRGAVRFTEEQEALVLKSWAIMKNDSAHIGHRFFLKIFEVAPSARQLFSFLRNSDVPLEKNPKLKIHAMAVFVMTCEAAAQLRKTGKITVRDTTIKRLGSTHFKNGVSDAHFEVAKFALLETIKEAVPASMWSPAMKGAWGEAYDHLVAAIKQGMKPAAA >KN538691.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538691.1:150320:155288:-1 gene:KN538691.1_FG007 transcript:KN538691.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLGEFGDMMVSMLPKNLAFTVFVPSPESFRRVLELRRPNDSAVDGNGADDAATYAVVSRVLGFSAVVAVAPVLESVSGLRISAWRRDVDGALVVNGVPSECVDIVKERDIIVHVMAGVLMDAEFERKMGFCGARGGRAVTSWLLEPLRDSNLELFALVAMWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFTYLEELLVQFREISKIGDLLNMDVCMEILDILYETEDSSWLFNSPCQLAASALVTAYAISVPKQRWEFPILPWDHESQFCRGKHYSHVTLCRWYLEITNGRLLMSISLHGSFRDGVCFNILKPGSPPTRALRQKSV >KN538691.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538691.1:102784:104726:1 gene:KN538691.1_FG008 transcript:KN538691.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAGAKGAGFAMPRVGMGTAVQGPRPEPIRRAVLKAIEAGYRHFDTAAHYETEAPIGEAAADAVRSGAIASRADLFVTSKLWCSDAHRDRVLPALRQTLRNLQMEYVDLYLVHWPVSMKPGRYKAPFTADDFVPFDMRAVWEAMEECHQLGLAKAIGVCNFSCKKLDTLLSFATIPPAVNQVEVNPVWQQRKLRELCREKGVQICAYSPLGASGTHWGSDSVMASAVLRDIAQSKGKTVAQARHVCLRWVYEQGDCLIVKSFDEARMRENLDIVGWELTEEERQRITGIPQRKINRALRFVSDHGPYKSLDDLWDGEI >KN538691.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538691.1:77752:78877:-1 gene:KN538691.1_FG009 transcript:KN538691.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSRSDADDHRSGACAGGEAKRPRPEQKHLYLALDDWEKGYNLYKVDVHAIGSADTEAESQLPEPPVLQLEAAKGARDVLFVAVGTDILALWQPRYETTRTALVNAEHLFREDHPERGATSLVSTGDAEFCIVESVTPKWMDPVWDRDEIDEYVLRVTRFRLKHDRHGQLRASSTCRRASYRVRKHDSVFAPQALWM >KN538691.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538691.1:29028:30817:-1 gene:KN538691.1_FG010 transcript:KN538691.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEYATRGDRTASCLSFLCKIVVLLGLAAAAASGQLTDDYYDYCCPQVYRIVRSRVAAAMKAEMRMGASLLRLHFHDCFVNSGGPDYDVLLGRRDGLVANQTGANSNLPSPFDSISVITARFKDVGLNATDVVVLSADPTLDSSLASSLQQVCRGGADQLAALDVNSADAFDNHYYQNLLANKGLLASDQGLVSSSGDPAVAATKALVQAYSANGQRFSCDFGNSMVKMGNISPLTGSAGQIRKNCRAVN >KN538691.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538691.1:11509:12705:1 gene:KN538691.1_FG011 transcript:KN538691.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASVVVLCALVALAAAGGASAWPVMSPSYYEASCPSVYDIVRRVVQEARCTDPRAPASLLRLHFHDCFVNSGGPSWNVMLGRRDGTAANFEGARDLPGPTDDLDLLRRKFSEFNLDDTDFVALQGAHTIGRAQCRFFHDRLYNISGTEQPDQTLDMAYLNELRQSCPASDPESAALRNLDPPTPDAFDNSFYGNLLRNRGLLQSDQGMLSAPGGAASTTAPIVVRFAGSQDDFFRSFATAMVKMGNISPLTGSMGEIRRNCRVVNRG >KN538691.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538691.1:42244:46226:-1 gene:KN538691.1_FG012 transcript:KN538691.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEDRRLQVREEGGDQEPLLLLPQDKLFIPDANLYTGDGSVDIKGRPALKHATGNWRACFFILVALSSFRNASLVTGDECCERLAYYGIAKNLVTYLKTKLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPAERVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTDVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMLSAALVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILTLVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFVNLLVFTGCAMRYRYKKA >KN538691.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538691.1:72314:73042:1 gene:KN538691.1_FG013 transcript:KN538691.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGAAGGGGVGGGGGPPGQEAGKKVGWSKEEDKLLRELVRKQGGKDWGVIATAFPGRTDKSCRLRWRQHLDPSVDVALPFSAGEDRKIVELHRVHGNRWATIAAFLPGRSDNAIKNRWNTHLRKRHAQDVQQRPSGGLALGLGGGGGAGQAAGGKLTPVCLQLFPPTQAPPIGENLPGPARSAVPELLKLFPLAPGDLKDNASAAAAMDVGTEAVRALPELRLAPAAVVFDAMPLQAIRM >KN538691.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538691.1:180852:183302:1 gene:KN538691.1_FG014 transcript:KN538691.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSRACAAAMATAAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDKAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITEA >KN538691.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538691.1:86051:92943:1 gene:KN538691.1_FG015 transcript:KN538691.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQNCGSICRALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNELGVVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQKAISYYEKALTFQTKSLSVFAGLAYTYHLMMLHWHVVKAMHHVHRA >KN538691.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538691.1:95505:100313:1 gene:KN538691.1_FG016 transcript:KN538691.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVKEKSEALGEVLNGDRLVDAPYKLDFRVDYDSKPVCSKKLTKEEVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKTDPKEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSFFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRQTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE >KN538691.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538691.1:40283:41578:1 gene:KN538691.1_FG017 transcript:KN538691.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGRVDDARRVFDRMPVRDAVSWTAMVERYFDGGRGGEGFRLFLHMLRTRGVRPNEFTYAGVLRACAEFAVESFGRQVHGRMAKSGTGDSCFAESALLRMYSKCGDMGSAVRVFEAMAKPDLVSWTAVISGYAQNGQPEEALRYFDMFLRSGIKPDHVTFVGVLSACAHAGLVDKGLEIFHSIKEQYCIEHTADHYACVIDLLSRSGQFERAEKMIGDMAVKPNKFLWASLLGGCRIHKNVGLARRAAEALFEIEPENPATYVTLANIYASVGLFDEVEDVRRIMESKGISKMPASSWIEVGRRVHVFLVGDKSHPQADEIYALLKKLYVKMVEEGYVADTEFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPEGSPIKVFKNLRICGDCHTAIKFISQIVQREIIVRDSNRFHHFKNGICSCRDYW >KN538691.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538691.1:14476:18777:-1 gene:KN538691.1_FG018 transcript:KN538691.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSPPPRGRWWQHNATSEVIPLPAVTPSPQEINNSKDSERSKEDSTTVVINDRKVNLMDSESGSLYALCRSWVRNGVPHESQFLQPSFGTGAPILPRPLPASVVDSRISEKDNDAEKENSEEEKHFSYANSSYSITLSYILSRQFWIILAFSDFWHNETGEYTASDLLKQHVKRAKKIRAGLQKERLRRIERYKQRLALLLPPPSELGKHDGHS >KN538691.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538691.1:47204:56453:-1 gene:KN538691.1_FG019 transcript:KN538691.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIGYGAQCPCISSFGADQFDDTDENERIKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHSKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFLMMAGLCFLNMLAFVFCAMRYKCLQQPFRAAKGAFMGSSLEAERQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIFFLGMAALTFSALVPSLQPPQCIGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIGSFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYKCKKAAAI >KN538691.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538691.1:160848:164331:-1 gene:KN538691.1_FG020 transcript:KN538691.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQRQAEVLWPRLVANKLFRKPSGSHAFVADFPMAVDDDYDGGAAAAVEFDDDGCSPDADACRSVKRPRPRPQQRASNKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNAAYDIYVLGFQEVVPLSARNVLGADKKRVGMRWNELVRAALNRSSPPAPNSSRGEIKQQAAQQKVHPVRDGVGGGELACRDYRCVVSKQMVGILLTVWVRADLARFVRRASVSCVGCGVMGCLGNKPSDPAWGPQLQDLPAGGEDELRSEVESEGGAFHGWNEGAIAFSPTYKCDRILWRGAGLKQKRYDRLSLTNEWKNNASGEYLNGMKNN >KN538691.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538691.1:25308:27427:-1 gene:KN538691.1_FG021 transcript:KN538691.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAVLVVAVALAAAAAGASAQLCDKYYDGTCPDVHRIVRRVLKRARQDDPRIFASLTRLHFHDCFVQSGGPRWRVPLGRRDGTTANLTGADNNLPSPRDNLTTLQQKFAAVGLDVTDLVALSGAHTFGRVQCQFVTDRLYNFSNTGRPDPTMDAAYRSFLSQRFAISQKAFFKSFARSMVNMGNIQPLTGSQGEVRKSCRFVNGS >KN545071.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545071.1:469:963:1 gene:KN545071.1_FG001 transcript:KN545071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >KN546202.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546202.1:4:619:-1 gene:KN546202.1_FG001 transcript:KN546202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDASAALDPKLAPLLLFAGHGDATFLYSVPKRALLAPMPTPTRVGDGGVDDMMRCHRWWTTAQGCCTVLVIDLADPELWYCRPGDNHWVKLHQQPYQYRNPAHRDAIIRFLRNFTAIDGKFYTELHTGKVGVLEFLPEVAFTKIA >KN542862.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542862.1:2573:5273:1 gene:KN542862.1_FG001 transcript:KN542862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSISVDEGLVYLDRFDENTTSWYFTDYEVDRLFEDMFMDDTDFNFSKVFGVWKWSVSNISCETAKNNSAYACISDHSECVPVTQSNVYFGYRCKCSLGYEGNPYTRPGCTDIDECSRPNFCKGKCQNTEGNYSCIGCPYGTYFDPGETKCIPTQPHERRHNIVIGVVIGLVVGTGVLALALVLTILLQRWKRGIQKKIRRAYFRKNKGLLLEQLISSDESVAHSTKIFSLEELERATDNFNSTRILGRGGHGTVYKGILSDQRVVAIKRSKIVEQGEIDQFVNEVAILSQIIYRNVVKLFGCCLESEVPLLVYEFISNGTLYDILHGDMSTECSLKWDDRVRISLETASALAYLHCAASIPIFHRDVKSANILLNDNFTTKVSDFGASRSISIDETHVVTIVQGTFGYLDPEYYHTGQLTAKSDVYSFGVILVELLTRKKPIFLNCSGEKQNLCHYFLQSLRDKTTTGMLDSQIVEEGNLGEIDEFVSLAEACLRLRGEDRPTMKEVESRLQLLRANITKIIQDESQKNVEAMQLFPSVYDSTSFTQNVDIGMDADSLTQLASTCHTMEQELVSLTDLPR >KN542862.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542862.1:7923:12054:-1 gene:KN542862.1_FG002 transcript:KN542862.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding YNTTAYTSACASVCGSTEALTNDSCAGVGCCQSAIPKGLNRYDVAMYTVYNDSSISQFNPCSYAALVETDSFSFKTEYITTKRFNDTYEGRQPLILEWAIGNVSCQVAEKETSYACKSENSICVDSKSGPGYLCNCTNGYQGNPYLRHGCTDVDECKQNSSSCPKGATCHNTIGGYHCSCPPGRKLAKESNSCNPDINLIIGVCIGSIVIVIIIFFMRIIFERRKLIDVKRKYFQQHGGLLLFEKMKSDQGVAFNVFTQAELEQATNKFEKSQILGHGGHGTVYKGITKDNIPVAIKRCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEFIPNGTLFDLIHGKNRMFHIPFSSLLRIANEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKENKLEEMLDSQIKDHESMELVNGLADIAKKCLDMCSDNRPSMKEVVGRGDREAVEFSMGDGDGRAVAPISVREAAMAIPAAVSEVPTEHFVRDIGAAWRARRPTTMGIS >AMDW01031952.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031952.1:170:374:1 gene:AMDW01031952.1_FG001 transcript:AMDW01031952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNEPADEGDEQAVPDLNEPVADEGDEHDVPDLNEPVAAEVEMHVGQEEDQLGGDVQGGANHNHP >AMDW01040841.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040841.1:724:2661:-1 gene:AMDW01040841.1_FG001 transcript:AMDW01040841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAVLRRLKEENKFHCSAFVSIGQKPDIVGKTLKGILSQIGNVYGGGEDIGRLIGMLRDELKDKRYLIVIDDLWGRTEWSTLKCCFRDDNLGSRIMVTTRNDELAKECSSKSDESVYKTGLLSDADSKDLFSNKAFGKGKDCPSHLKDLYDIIVERCGGLPLAISSVAGALAHRFSKDEWERYESNLLPSSHSDELNLKQILNLSYNDLPSHLKSCMLYLSIFPNKYEIDVERLVRRWIAEGFIADARHASKEETARSYLTDLISRNLIQALHLRHNGTPSCCTLHPVLHDFIVVKSMEENFVTVLDAKKEALSTNNGTVRRLSLQNSVKQDLAGARNDMIKHARSVTVFGHANGVPRLNDMSMLRVLDLEGCNGPVCLDGLCKLILLRYLNLRGTDVSELPAQIGELRCLETLDVRSTKVKELPASIVRLEKLMHLLAGNAKLPGEISKMNGLLTLSCANVWKNTGSVLPELADLANLRELELFCDASEISGDNKTRVSFSSDGFKRLKQLSIQGSLPSVAFVNSSLRKVEVLELKFEKGISDGSNGVSGIEHLPSLKHVLIEFSQKDAGATATIASVRNAAEMVHPNHPDVSVKVDGKAI >AMDW01020874.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020874.1:70:255:-1 gene:AMDW01020874.1_FG001 transcript:AMDW01020874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEANVRSFSVPGEVAMDDGTVLCVPPRMLHGASMEMPLRVRIDKLGAGATNRSAIAAQTKK >AMDW01101385.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01101385.1:137:323:-1 gene:AMDW01101385.1_FG001 transcript:AMDW01101385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSINRSITAFYMAKMQMTRSSKLYPVSSCNSVLQTSASCRFSEHLATKIDARRPPPPWTA >KN540517.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540517.1:19335:21253:1 gene:KN540517.1_FG001 transcript:KN540517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNKKVKKAMETIGTNINDLPNEVLQYILSFLSTREVVQTCVLSQRWCNIWKFVPTVHVTNETIQHCQKLLDHVIMQRGDVSIDTCHLEFVKYFRRENHKANKWIFHALSVCRVKELRVYIQFQDFFLSITNRAVISGYLRKLELDSVKLEANSLDFTSCPLLEELQMGYCIIYARKIVSKSLKRLKMETMFFETEDDDGWPCRLHISVPNIVSLTLLGFDGWTPLFESMPYLVFAIVTFNDECYDTCQYSSFWDCGNEDCEGCYAIGDNLNGSVFLHHLSHTTHMELTNDCRMNMNDSISTIFDRDLKWCPLFRNLKTLLLNEWFLENGLRGVLRILQHSPALEKITLKLYMEPKKIVECEESYGTMEQPFVMNHLKKISVKCQKEVMWVKKIIMTLTQFGIPHQRICVKEIPRSSINTNDRKASL >AMDW01135967.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01135967.1:504:773:1 gene:AMDW01135967.1_FG001 transcript:AMDW01135967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDKIWSLCLQDIVGPEEILGFEESFSWDGLSSHSTEDELKKMLFMELIALLPPEKSSCTYDCIRANCFSLGVPQIFSVALSNYLESQKSL >KN543736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543736.1:7:216:-1 gene:KN543736.1_FG001 transcript:KN543736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHDAGPPPFLTKTYDMVDDAGTDAAVSWSATSNSFVVWDPHAFAAGLLPLHFKHANFSSFLRQLNTY >KN543736.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543736.1:4676:5689:1 gene:KN543736.1_FG002 transcript:KN543736.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLTPDYVGALTYEHLLAGSATTAFTLSSAVYLVAGHPGVEAKLLDEVDRFGPPDAVPTADDLEHKFPYLDQVIKEAMRFYTVSPLIARETSEQVEVGGYTLPKGTWVWLAPGVLSRDEAQFRDAGEFRPE >AMDW01039740.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039740.1:2:1072:1 gene:AMDW01039740.1_FG001 transcript:AMDW01039740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAVRAEDPYHFFDWKVTYGTRTIMDVAQKVMLINDMFPGPTINCSSNNNIVVNVFNQLDHPLLFNWHGIQQRKNSWMDGMPGTNCPIQPGTNWTYKWQPKDQIGTFFYFPSMGMQRAAGGYGIITVHSRLLIPVPFDEPAGDYPVLVGDWYTKDHTVLAKNLDAGKSIGRPVGLVINGKNEKDASNPPMYTMEAGKVYRFRVCNVGIKTSLNVRIQGHSLKLVEMEGSHTVQNSYDSLDVHVAQCVSFLVTADQKPGDYLLVASTRFLKEYSAITAIVRYNGSNTPASPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQ >KN543668.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543668.1:1689:2098:-1 gene:KN543668.1_FG001 transcript:KN543668.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEERIRLKKR >KN543668.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543668.1:3558:5345:1 gene:KN543668.1_FG002 transcript:KN543668.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMITFCMGPLLFAVLLLMVVFAFFFLPYSCGSDVVEENIPHV >AMDW01030591.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030591.1:125:424:1 gene:AMDW01030591.1_FG001 transcript:AMDW01030591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIARYGGYYVLTMTTSPEFEQEVENLARKLSPNARKVYHLSGTQKYELPKQQVRIADVFMAVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >AMDW01040343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040343.1:389:1145:-1 gene:AMDW01040343.1_FG001 transcript:AMDW01040343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSCILMNCEVVELLQEIHEHMAILSEDPKIKIPESFDKAFQYVKEGNQFSTAQSVKQVLDSLTSIRSPLRKYGVSDGEMCLIANIGPETIEEVYALVPSLKATRSLNESPIMEALTALADIKAAK >AMDW01032101.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032101.1:2:427:1 gene:AMDW01032101.1_FG001 transcript:AMDW01032101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HLVGIQIRVYHEETPPVSQVVLDQVLSCARRENLLPAAGNTSSSDQAVLVTSLSSWYYEKIRDELGGAVGGGVHQPSHEGLQRMGDTAHDMRALSEMYLLSTCDALLTTGFSTFGYVAQGLAGERPWLMPRRPVWDKEPATE >KN543586.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543586.1:490:1009:1 gene:KN543586.1_FG001 transcript:KN543586.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGAVSPAFAYTVVYVKDVAKSAAFYSAAFGYTVRRLDQSHKWAELESGTTTIAFTPLHQRETDALTGAVQLPDSAGERGPVEICFDYADVDAAYRRAVDSGAVPVSPPEQKSWGQKVGYVRDIDGFIVRMGSHGRA >KN543586.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543586.1:3656:6252:1 gene:KN543586.1_FG002 transcript:KN543586.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQAFLSTTIKNAVITVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAASTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRRCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQRVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEQVRHKVEARNALENYAYNMRNTVRDDKIASKLPADDKKKIEDAIEDAIKWLDGNQLAEADEFEDKMKELESLCNPIISKMYQGGAGGPAGMDEDAPNGGAGTGGGSGAGPKIEEVD >KN544529.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544529.1:986:2649:-1 gene:KN544529.1_FG001 transcript:KN544529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITHLKCGGFVLGTHICHCIADAFGTFQFLKAIFDIARGEAKPTILPVWKRELFVGTSLPPHIKEEQEKFFDELKSATCDDIMVTMPTENMVSEYFILSQIDMVALRRHVPLNLTKTVTSFELLTAVTWRSRTVALGYKPCHIVRLMINVNARGRWKKFPSRYYGNGLMCSVIQTTVNDLCTNPLGHTIELVRKAKDEMMIEENMQLRVDLLPLWREKPYIKLQRIFETCDIKWIGQDILDIGWAKRIGGGIPTVSLPNMTSYQFMCKNENETELAVAKFSMLNVPKFPKPSISTELKKMNVMR >AMDW01014233.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014233.1:3:221:1 gene:AMDW01014233.1_FG001 transcript:AMDW01014233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTK >KN541463.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541463.1:1723:4382:1 gene:KN541463.1_FG001 transcript:KN541463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVQFLRRSNGLMAASLAAGSCAEEVAKADGAGCRDDAAALRLKGVAMATILVAGVVGVGLPLAGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPAHPWRSFPFPGFVAMSAALATLVLDFLATRFYEGKHRAETERILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTLSAAIMACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSTRQQVMAYIALFLGALSMSSLAIWA >KN540862.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540862.1:3314:11408:1 gene:KN540862.1_FG001 transcript:KN540862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH2 [Source:Projected from Arabidopsis thaliana (AT3G18524) UniProtKB/Swiss-Prot;Acc:O24617] MEGDDFLPEGGKLPELKLDARQAQGFISFFKKLPQDYYTAHGENATFIAKIYYHTMSALRQLGSNSDGLSSVSVSKTMFETIARNLLLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPADCEKFIDLKPLQDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGPVEPVRDLVSQFDYALGALGALVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFVEDPELRQGLRYQLKRMSDIDRLTHALRKRTANLQPVVKLYQSCIRVSYIKNVLQQYDGSFSALIRTKFLNSLDEWLTEDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTAADLDLTIDKQLKLEKGQLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLVINVKFLSQVFENFAAILSELDVLQSFADLATSSPIPYVRPEITASEEGDIILEGSRHPCLEAQDGVNFIPNDCTLVREKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGLAWAICEHLVEVTRAPTLFATHFHELTALGHKSGDEHQHVPNLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNFSDDSKDEVGSKRRRVFSPDDVTRGAARARLLLEELASLPLDEMDGTKAAETVTKLKSDFEKDAVDNPWLQQFL >KN540862.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540862.1:38358:39791:1 gene:KN540862.1_FG002 transcript:KN540862.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAVRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >KN542816.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542816.1:1624:3098:1 gene:KN542816.1_FG001 transcript:KN542816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYDITREVSSRCYKGYNGLNELHKLEWNNRGFSTFHALVAAVVSFYLLVISDLFSKDVHGAIIIDRKSWMSDAMFGVSLGYFLTDLLMILWHFPSLGGKEYLLHHGLSMYAISLALLSGKGHVYILMVLITEATTPFVNLRWYLDLAGRKDSKLYLYNGVALFAGWLVARVILFVYFFAHVYLHFDQGSAL >KN540862.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540862.1:14797:20428:1 gene:KN540862.1_FG003 transcript:KN540862.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDLSPSTVPSSPSSSSEPLPHEFAEYAAVSPAIDGESDGCCVCDDPEVEAFLHGGRLQDRSLREAKELIRRYKPGDLVEGVCGTKSGDYVLPDITTFLLVGPRDAGKSALVNRITRVFDKDDDPDAPDRAQVSCNSKSTGTSFLREYTVPRNSNSICIYDTRSLSNNHENNFKMLQRWMTKGLSHGDIVTWDNDNYSKIQNIKSMGRQYSFLHYKTRKVNFVIFVVNGASVLESIENNNKNYIDMLHKTFMYPFLSFGDDKPAVVVTHGDRLTVQQRMHVRNELVELLGIPLQQIFDISGCDDYETDLSVLDMLRYCIQHAEQNFPIKTNYLLENYNKKLNFQLIMERQHGNRGMHGRETLKQIAVGLMGFDAVIETAIIFLCIVILLLRVSDKLVQW >KN542816.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542816.1:7882:11646:-1 gene:KN542816.1_FG002 transcript:KN542816.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding YLADESAVSVFGGGEGGGGGWEPNTSQENDRLPVNDTNKFPPSIEKSAFAISWILFHMALFCISTAIKALFLSFWHDWSKTNTGNSDAESNGTNNQPSIDKPISNTSIHPGLPIKQIVIFDDISLLNNTDADPKDNFEQGLPGNESIKSCQLEFGSYCVWSVEHKEVMKDSVVKRLKDQLFVARAYYPSIAKLEGMEKLSHEMKQSIQEHEHMLSEAICDADLPAFHGANMAKMEKTIAAAKSCLIECTNFEKKLRQLLDMTEDEAHFHARQGAYLYRLGVQTLPKSLHCLSMRLTVDYFKSFADMEYSNVQKLENPVLRHYVIFSTNLLASSMTVNSTVINSEESANVVFHLVTDAQNFYAFKNWFIRNSYKEATISVLNFEDFQATHLDNRRVEHLSPYEEFRIASHSNARIPNTQMRTEYISVFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSHIWNLDLKGKVIGAVQSCRVRLRHLRPYLVDFPYDASSCVWMSGVSVIDLNKWREHDVTAVRNRVLQKLQHGTEASWRAAVLPAGLLAFQNLVHPIEAHWIQSGLGHDYGVNHGAIKKAGVLHYNGNMKPWLELGIRRYRKYWRRYLPRDDPFMIDCNVNP >KN539683.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539683.1:22626:28323:1 gene:KN539683.1_FG001 transcript:KN539683.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRSRTSRRLKLKLSALYTFALCSKGSGEDHSSRIGTTGFSRVVYVNEPDRHEEEGFRYQPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCVVIAATMAKEGIEDWRRKHQDHELNNRTVKVHRGDGDFEEKKWKDIKVGDVIKVEKDNFFPADLVLLSSNYPDGICYVETMNLDGETNLKIKQALDVTLHLEEDNSFVNLRQTIKCEDPNANLYSFIGTMEWKDKQYNLSPQQLLLRDSKLRNTDYIYGAVIFAGHDTKVMQNATDPPSKRSKIEKRMDKIIYVLMSSLLVIALLGSVLFGIWTKEDLMNGEMKRWYLRPDDSTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGQVDTVLSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGSVLGDGIENIEHTDQKNDGSPHIKGFNFKDPRIMDGNWIHEPNSDMIRDFFRLLAICHTCIPEEDEETHKVSYEAESPDEAAFVIAARELGFEFYHRAQSSIVVRERDLITNIVKDRKYELLNVLEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRILVLAYRFLDENEYMKFSEKFNTARTSVSADRDEKVEAAAESIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPPPSQSNTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHTNRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLIHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFTSFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWRRILGWMANGVINAILIFYFCTTAFGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQVAPALSYWLVTLFAVMATLVPYFCYAAIQIRFFPMFHNKIQWKRHLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQVTKETELQVQG >KN539683.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539683.1:88415:89050:-1 gene:KN539683.1_FG002 transcript:KN539683.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RAQKGSSDLPSQLMHASTTYIKDLRERVQQLKQRRDHCYAKIQQASSNDLETTATPGSCSRSPQIRVQFDGAAEHFDVNLTTSSESRVELYMVIRAIEEDGCVEIIEASSCLVQDGNVGHLIKCRARSSGVALDVSEVETRIKRLFMASHAKQQGLGA >KN539683.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539683.1:73975:85867:1 gene:KN539683.1_FG003 transcript:KN539683.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFRSSFGIDEEAGVDVSRLETSACISDPKTSTDNEGILCEEEDSYAADDGKDNGYSRICKDAHTSRKRNGEFSPTFSMRLRSRKVVEEVVLLDGDTCISDSAEKTSSAWDTPNSVELSYSDMKCLEPESLLSSPILNFYIMYLMGQMPLTSRLGGKYHIFNTYFFSKLEALTSKVDNDAYFLNLRRWWKGVDIFKKAYIIIPVHAEFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTTASNLEQSYSCTVQVPQQDNEYDCGVFVLYFMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLQLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPRNAVGVATS >KN539683.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539683.1:44681:64349:-1 gene:KN539683.1_FG004 transcript:KN539683.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLQVQPGGSGGGSVPLPPTPTLYDITAGFARDKLLGEGGFGCVFKGTLADGKAVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDFVSNDTLHHHLHVYSSLAYAPAALAGRGRPVMDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDEHFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLDGEYDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQSRWHTLGLPSWQRTMSPMSRRMWYLAPEYAWKLPEKLDMFSFGVVLMELITGRKLVDSSRSLGNESLIEWESSNTSAPSDCKYLLGDAQLYRVMLELARETGADSMAPRRDRREGLPWPLHGTKVNIIVGSHVWAEDPENAWVDGEVVKIKGEEAEIQATNGKTITANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDSGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFVNWRKASISVQAIWRGRLACKLFDQMRRVAAAIKVQKNQRMHQARRSYKHLNASVLVVQTALRAMAARNTFRYKKQSKAAVKIQARYRCHTAHVYHKKLKRAAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDETSAKLVKEREVARAIEEAPPVVQQTEVLVQDTEKVDSLTAEVEELKTSLQSEKQRADDLEKKRSEEQQANEEKQKKMEETDVKMRQFQEYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSILQRNAESVHVSSGDSKAAPESNNISSPKKEFDFDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >KN539398.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539398.1:85880:88738:1 gene:KN539398.1_FG001 transcript:KN539398.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQLVVSSMLDRDIIGLLLNGAAIASLFETIMLIKARSLMRFGTLISFSVISLGSLGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAMQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDMEKWKIVGETFSPMKSIALSSTILFLVLVARAAFVFPLSFLANLTKKTEEGKISIKQQGTFRLLMMWVIQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLIPARHLNRESSALSDPPSPKSFLDPLILNGSDVDPEIGVGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSVPLLQGNED >KN539398.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539398.1:57135:59722:1 gene:KN539398.1_FG002 transcript:KN539398.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDARRRRRRRAASANPSPESPPPLESVDRRKPGHAEAGSKTHVRQSVLYSERKRQPRRERGETQPPTLLTTSEHDSTTVEPSRLKEASPSLSDELRELEEYRKTHTFSSFEDAIHYVLSVHPRTFSGLPVIEDDHHDGEVQNGNKWMGEEVMTAFEKYVEERDYLKGIEYKLDELCHQCLSVKNYNHIFHHFNFRVKTKTPGSIDWTSELYFAEVKTMFRHKVYFCWPLEPNENGHCNACKNQGMDDLKHPVIGAFDRGDNDTLFPYMYMGDDTTCPYFWLSESDDEFPNRVLDDSDDDDII >KN539398.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539398.1:90396:95314:-1 gene:KN539398.1_FG003 transcript:KN539398.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAAGAVTTLLGLIRDEAQLLGRVKKDVQFIKEEMESMRSFLAHLTETEPPGGEHDEQVRTWMKQVRELAQDCSNCIDTYLQRGDPAIRHASWGRGAGILQRYICWAPWMVEKLLAQHYAAIRLRELKDRAHDVGQRRLRYGVEVLGKPSSKAAGGAAASSVRSEEASPSTTIGAQAPDEEDDDEEEEEQQQDQEYDAATSTSASSIIVSAERRRRALEPRSLENFCADELTRWVKSVGTMGSSSSSPSLPSIAIVPPPPTPRPAQGGSTEVVVAAGANARDALASVVTTHFDRSVWINLPAVHLHRSWHQISTKAILCYILRECESLNKRGKDHGQVDDRDDQMLQWDVYYYYRWEILYDIHRDFYRQVNPKMEEIEGNITKNSAAEEEQEEKSKGEAVYGNKTEDEIPQTPDGSISKTEPVGILFRALQPNAVSNVAIMGTNQPLDEQNIFPETANKLKKHIESGQTEFSIHLDQAKYESILREVFPLATSKPQKELQQQQQLPEADKSLKEEGVTKPKPAVQDDHASAANEAKENKPLAAKDEAKENKAPVAQDEHAVAANKTKENIGKIKSKISNEATTATPQPPTTISTATTMLGKDQIEEIIQKVKQQVLQGLHHRPLPHPPRADRSFKGEPGIHKPGGDEYANAIKDTKQKIKQLTGKIMEQMTIQIICDKIKTLLGGKKTMIIIEDDKDYASQWREITNALHQLSSSGSAMVVTTPNIQKAKEICCPQQEPITNSIAGLYYDTLLKLTSKRVNKDANQIFRDILDKCINAKKMIKFSYTDLRKEYKSCFLYLAIFPPGYPVRRSTIVGRWVVEGLITKEDWPSAVHHAERCFDTLIDMWLVYPSDIGGAGKVKSGIVGDLVHEFITRIAKKQHIVETRLSRHLARHFSIFNDIRLRGSDRIDKFLRKLPETSQMSMLKVLDLEGCQCFQRNQHYLKDICNNILLLKYLSLRRTDVTQLPTEINNLYELEVLDIWQTEVPEYATRHIMLLKLKRLLAVCIDSNRSSNVKNNISFRNEAPLSSSVQIPRKIKKMSSMEVLSNVKAPWTSRELKDIGKLWQLRKLGVVIDDKDSVLRNLLTAISDLCECLRSLSITIVPSTKGEGTPSNGDLPEYISRCLKYRPKLLESLSLQGTTQKGQLLTLLVECFTKLAKVTLSCTSLKQKNLEGLGDLPNLCYVRFRNKGYTDGKLTFIQQKFKNLKYFLVEGKNMRGIKFQKGAAPRLEKIVLSFTNIESLHGVGDLPKLEELELKRNKFLLSLSEEGETLEKYMLTFKKDEFQHLKYLLAEGFSKNFEANITFEDGATPKLEKIILYSFANIMSHPGVSSLPKFKELELKCNKPLLSSFGNANKISKVTLHSTLMKHDDLQIFAKRPSICCLILLGNSYDDTLLTFNKGEFPKLDLLIVECPTITNISFTEGAAPMLEKIIWSFTKMNSLSGINNLSKLKELELIGDLVPDQVRIDINTHRKHPVLNHKQPQPQDQENGSEQGEEEDLKFPACSWLSLKNKYWSCN >KN539398.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539398.1:109695:110199:-1 gene:KN539398.1_FG004 transcript:KN539398.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLSSAFALAVVVLLLAGGVMPAAEAASAHLHFFMHDTLTGAAPTAGQYVWASSGNPELLVTMEVVLTSGPFAGSSVTVVGRDDIAAPVRELSVVGGTGEFRMASGYVLWKTVSLDHPNAILELDVYVNP >KN539398.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539398.1:42761:45516:-1 gene:KN539398.1_FG005 transcript:KN539398.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRHNLFRDEKNASEVSSELGTTTADIPKFKALMINDSLSRLDSTERSNESTYDAGTPGSNQVTSKFVKDKPKDDSDDVEECLRQLQEYYSKGHVHTSIEDAWNEVVAYEHVALTALALEWGMEPPPDPFQKPKSSLVDQEAQTLRAPQQTSDAAQHNIMSCKEEPSQQILPIHQPSILNTYENDEYNGIKGKSHRGSSFQRGKSLIVN >KN539398.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539398.1:97267:101610:-1 gene:KN539398.1_FG006 transcript:KN539398.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVAAVTSLLGQIRNEALFLGRVKSDVRFIKHEMESMRSFLEHLAETGGDHDPQVRTWMEQVRELARDCRSCVDIYLQRGNPAAVLGPRGGALRRYLCWAPWFVQSMVHQHYAGIELSELKERACDVAQRRKRYGVVVAPKLEAVPPPASSLEAEEDYDATRDNLAGGGSSNSDLGRTSALEGRSLENYCGEELARWVMSTTTTTESLSASTTSIPSIAIVSPPIQEADDTAEMVAIHYALASVAATHFERSLLVYLPAMHHNPSRIRIKLSDILCYILYQCHMEKESWHSRWGREYLSMVEEYRASNPVNAMKCYIYKMKKIADICRGYTFKRVFQNVVQIGRMVTQRLNGELERGVDAMLGLTNKKPLCILLKALDYLEYGADFTDVRKYHELRVDRMPFQFQMLQLDEEKLLVATAQKLKGHIETNIPIHLSHATYESILREVFQTSNKNLQVQEGTAAPSPGVGTSHDVAAAAATPTTTTFDKDQIKQIIYIHMVQQEVLQELQDKQPPQVPEAGKSLVKAEQATPNPANQDDHEFTSAIEETKEKIAQIGVKIEERFLIECIVEEIKELLGGKRTLIIIEDDKNYVSQWYELRNSLKQLSCSGSAMIVTTQDTQRAKEICYPPREPITNSIVGMYHDILLKVTSQRVNGDASQIFRDILNKCCPSEFCMKTFAHALYTNPNRSNEDMCKLLGSLHSQQSSGINAEKMIKFSYDDLRKEYKSCLLYLSIFPHGYSIRRSTLVERWVVEGLITKEDWPSAIHHAERCFDTLIDRWLIYPNDIGAAGKIKSCIVGNLVHEFITKIAKKQHIVEPRLSHHLARHFSIFNELQLRGSDRIDRFFKNLSKSSQLSMLKVLDLEGCCCFKGKEHYLKDICSNILLLKYLNLRGTDITKLPHQINNLYDLEVLDIRQTKVPAFATKHVLLLKLKRLLAGSSISETTMSIRDKEPLSSYVRIPLGIKKMANVEVLFNVKVWTGQELKDIGKLWQLRKLGVVIDDKDNLLKNLLTAISDLCECLRSLSITIVPCSTNREGTPSIGDLPEYISRCLKYRPKLLESLCLQGTTQKGELLTLLAERFTKLVKVTLSWTSLKQKNLEGLGDLPNLCYVRFRNKGYTDGKLTFIQQKFKNLKYFLVEGKNMRGIKFQKGAAPRLEKIVLSFTNIESLDGVGDLPRLEELELKRNRFLLSLSEVGETLEKYMLTFKKDEFQHLKYLLAEGFSKNFEANITFEDGATPKLEKIILYSFANIMSHPGVSSLPKFKELELKCNKPLLSSFGNANKISKVRIDINVHRKHPVLNHKPPEHQDQENGSEQGEKEDDPRFPACSWLSLKNKYWSDN >KN539398.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539398.1:5435:40766:-1 gene:KN539398.1_FG007 transcript:KN539398.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGLILLGTPLVVWLSLFASGVCRRLGSLRTVLDQALEEGDLQLYKFDVDDTEKKFSSQHSFLIDTILSWKMDDILNGNLLKHQIKKIPDSFESIEHYVNIHSNLLIEETRSTLNSAILDITKSQYYHILSMQYCGLKNQYFVDIDLKMAATQCFHIAKDYDVCLLSAISPLDPKFNMSKWCLGIVNGVKKDFFYQKGFQVLISRSSDEDIQLEEMKYAIFLVNITNEMKISEVLSVAADTTKCAAIDTILNLEKRINKLCDDCPNLCDLQGLHPNCQSEEQLQIATSVISHLRCVHHKKTEMVWAPPGSGKAGMILALVEAMVYLKLRVLICMPKISNILELLLDLKESSALSNPFGSVVVLNSFRGTEKYKELVTPARRKKYKELEEAFLENKSEELYCCLTLCKPWMKQMACLLLLDGYYHTHCVQPYDCPKCRKTGLLTFAVDSFIERFDILIVHLRENLKYLVNKLSAVCILDSDSEKIEELLDTMARFEDLLHDERLTEYNIEQALELAPIHDLTSEDNGYTLAKELLESRMSCLRLIETISVSLDLPEFDEKEGYHKLCIMHSPVVITTPDCATQLHGLKMNPFDVFIIDDAGKVKEAELLVPFVAPVRHVVLFGDHCHSQPRVKSKNEWVQKEKSLINTASIFMLLQRLCEGLKNASRRNKIRVVCLTSNEANVVRSKLRFKHEIHDIIDLQVNSIDCLQEELCDMVILSTIAEDNREVKLVQDNNINAALTISRHFYWIVGDSTTLINSEGTWKSLVINAKERNCIQRLDINALNEVELKMNDVDDATDPNGESLSKILSMPGQEFSWAGRPYKTKHILAPIRDQRGDPDTCTFQSSLGATESLMKFQKACLEPPQDFDWILSVDDLKSQYGKKLSENLVSQKKTLGSEEKSEKGCKRLQTALDIMKDTGVSGIDKSEQVQRFKISSYEYVDPKETTRVTSHLEEGSIMVGHFRLSYNYYYLKPGEVYMFDKTKPFIHPKSNLAAAHAVMMIGIGKKQTRWNEETKSPVFLRHMVMQNSEGKRFGMDGIGRIWKNSVRGLYRIQIRRWGCAATADPMVKRHGGSGFSSPSRASTVKGAGGPSHPKPTMPHEKDEDEDEDNSDNGDNDDDDEEDGGHP >KN539398.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539398.1:62614:66235:1 gene:KN539398.1_FG008 transcript:KN539398.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDARRRRRHRAAPANPSPESPPPPLESVDRRRRIGHEVAGNKPRVRQSVLYSDRPKQSQGKRGQVQSQNKSSLNKPTYDAGSKQGSSKIAEDKTRDDSDDVEECLRKLKEYYSKGHIHSNIDDACNEALAYEHAALTALALEWGMEPPEDPFRQQNSSVDDQEAPHQQALSQQNLQIHRPRHEISLEEIADNGKKWMGEEVMVAFRNYIEGKPDLAGHEYRLELQHQCFNVENYYDNFHHYNFNAKMKKYDSDDWNETIYFAEVKMIFRRKYYFCCPLETLENGHCYACRNQGMDELRHPATGGFEMGSPDTAFPYMYTSD >KN539398.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539398.1:74627:77349:1 gene:KN539398.1_FG009 transcript:KN539398.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHVIVPLEKLSLELNNGGIMLNHDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSILTNNFMTKPPVTVENEKIVVRAVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGNNATKSGAVEVEGLTDVAIQDLKKANQWYCRVRRARSTSWTNVNDVSSRSHCLTRITIKRSSGGTTEECSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIESSKELSEDIKKLKQKRIAELDKEICDSEQELKDLNEQIKRAETSLEERKKLSSSVCQALSDEKGSPRSTLVVVGHIDSAESPQATEKTKSRASHGSVPHFMSPTVCSRQRHSSASHSATKTRLTKSVNRYPAAELSGSHSFSYSSCKNAAKARSVAFSSSMPKMKCLPLKSDQINMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >AMDW01051390.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051390.1:170:406:1 gene:AMDW01051390.1_FG001 transcript:AMDW01051390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGNGDSGGPEDESFKESLDELVQVILATVAFILV >KN542865.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542865.1:4485:5003:-1 gene:KN542865.1_FG001 transcript:KN542865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGETSVLLPTPGAQLLVNGIIHSSLVDLMALIPGFMDLEYATRKKEMANKFRVMLLIKCLNWMDCNMLLDNLHLTEAAFRNRSMIRKCTSEGKIMPKKPTQAQKTEV >KN542865.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542865.1:9074:9627:-1 gene:KN542865.1_FG002 transcript:KN542865.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >AMDW01030240.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030240.1:164:361:-1 gene:AMDW01030240.1_FG001 transcript:AMDW01030240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAALLVAFTFGQIGADTPETPNFLTQLTQ >AMDW01031270.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031270.1:20:241:-1 gene:AMDW01031270.1_FG001 transcript:AMDW01031270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAEKGAETDVLVHDYEREVERACSREFLCEENRVEETSTRSLAHFVVPGGRDLRRETFCGGGGGGGAST >KN541302.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541302.1:3081:17255:-1 gene:KN541302.1_FG001 transcript:KN541302.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPGRLEDLVRRHGSKLPKGAEEEIPLIKQDLEKIISILHGHREPKLEGHAMVVRCWMKEVRELSYDIEDCIDQYEHAAGSRGDSGKGSNNVSRRKLFSRRRWSKPPWVPEKLKQRLWMANKIREFSLRAQEALRCHAMFNSLGGITSTTASTTTRACSDPCDASAASSSSSWRHAPSGEHGHVGIDAAMNKLEDWLTDGQKQLKVVSIVGVGGVGKTTLATELYRKLGQQFECRAFVRSSHKPDMRMLLISMLSQVHPQAQQPPDIWKKSNSLMRQMRSIRDQFAIKHPIIPHEPNSPDTCSTSQQDGNSRSRIVTTIEIEDQALQTSCYDNKYVFNMKSLGEDDSRKLFFSTIFGPHPICPPELCEVSYDIIRKCGGLPLAIITTASLLAKLTEREQWNYVNKSLGCSLMTDSTMEGMKQVLNLSYSHLPRHLKACLLYLSIYQEDYIIWKSDLVNQWMAEGFICATEDYSTEEILKSCFDELVSRKMIQPIHIDDDGEVISFMVHHMVLNFITYKSIEENFASTIHNSHMTTELADKVRRLSLHFGNAEDAMTPTNMRLTQVRTLAFFGVYNCMPFIVEFRLLQVLILHIWGDADIMSFDLTRISQLLRLRYLNIRSNLTLDLETQIRGLQHLKTLEIDARVSTVPLDISHLSSLVHLRLPADTILAYGIGNITSLRTLGYFDLSRNTVEIVRSLGNLTNLQNLRVTYSAIQLNNRGNKLRLKRKLRFLGLILHELKNLKSITLLPAVSCANFLGDDVSATSTRISCVGLSTLYTPPEFLERVELLSHICVFSSLPKWIGELSKLCILKIGIRKLVRNDIDVLRDLPTLVALSLYIDTKPAERIVFDEPGFSALKYFNFNCSVACLKFKMGAMPNLQKLKLGYNVHEADQEHVIPIGIENLSGLKEVSVEVGYVDPEKYDRRAEELAFKLGAIGLYVSRPRVTLQCVRQKFGCKEDKRKSNMTREEEHGKLKQPEIPDDSDTSEHDEMFDDIWGQEAKQSTLSEWNILLLLRNKLHCNQHDGVARLIPVVGADYVDPPQLAAIGAGDGKPWLYFRQHEELTGSRSTPSGYWKGAGTTSVIYDLADRLLPVGVKSSMLFYHGREPSGHKTKWKMDEFWSLGNDAAVVAMDGSSFAATVQPQIVQPRKALSLCRLYSTKSSMAGPGPSGAPGSAGVFQIDRLLQSLLGGDIFLPDQAQQYVNSSQQSGQFREMTMVTTNPDADGFSWTMYDQKITKGARQSISTTIGKRSKGEVRGDVERGGGTVAVRHCVYF >AMDW01137297.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01137297.1:46:249:-1 gene:AMDW01137297.1_FG001 transcript:AMDW01137297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNIISIRGAYEDAVAVHVVMELCAGGELFDRI >AMDW01035415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035415.1:60:452:-1 gene:AMDW01035415.1_FG001 transcript:AMDW01035415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKKPRSSAPDPPAHLPWHHPPAPPVSTALLISLAALLVRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSANDLAYWGLDYPPLSAYQSRLHGLLLNASLPDAVALRSSRGFESPE >AMDW01034761.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034761.1:102:530:1 gene:AMDW01034761.1_FG001 transcript:AMDW01034761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECEYRGEAVLVTPSSATPRRSLYLSNLDDQRFLRFSIKYLYVFPPSAAVAADALRAALARALVHYYPLAGRLRHHADDKLVLDCNAEGALFAEAFLPTLTAADFLRAGATAKPHKSWRKLLYRLHAATFVAVPPLVVQ >KN540131.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540131.1:8606:9100:1 gene:KN540131.1_FG001 transcript:KN540131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTMKLSFALACLLFFLAAATTASAQCTFEILVKTDGRRNAGTDARVSLQVRAARGPTLTITNLESWGQMAAGHDYFEKGNLDRFRGTGACMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLATDKPPHMLTAEQKGCSIGAVAP >KN540131.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540131.1:36995:37486:1 gene:KN540131.1_FG002 transcript:KN540131.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSLALASYLILFLAAAATAATARCTFEIVVKTDGRRNAGTDARVSLQVRAARGPTLTVANLESWGQMAAGHDYFEKGNLDRFRGAGDCMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAVDEAPHMLTAERRGCGIGAAAP >KN540131.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540131.1:24647:25123:1 gene:KN540131.1_FG003 transcript:KN540131.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIAFAFFFFLVATTASAQCTFEILVKTGGRWYAGTNARVSLQVRAASGPTLTITNLESWGQMAAGHDYIEKGNLDRFRGTGDCMPSEPCNMVLTSDGSGNMPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLATDKPPHMLTAEQKGCSIGAVAP >KN540131.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540131.1:19969:20442:-1 gene:KN540131.1_FG004 transcript:KN540131.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAKGRLLDRAAMKEDLFWAIRGGGGRNFGIVLSWKLRLVPILATVTVFTVHRSRNQSATNLLIKWQRVVPSLPSDAFLRVVVPLYLGTRAGLVAAMADTFLELNVTASDCTEMTWIQSVLYFAFYSTGKPSEMLLDRGNGIGDPSGSWMRLARSS >KN540131.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540131.1:42786:53145:-1 gene:KN540131.1_FG005 transcript:KN540131.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) UniProtKB/Swiss-Prot;Acc:Q9C5U2] MSKLQALASLLSSPERECICKSGMINDDNPVHSMPDMSNCRLKNKPSGGNQNRLDNVILQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNLCLCDLCIVINGLNVQQNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVIVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLVEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGFEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAASAKNPFGDNNVAKQKSYAVNREQKFDWTAIYVSLDNWMKSISTSRLQPCA >KN539004.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539004.1:46902:47216:-1 gene:KN539004.1_FG001 transcript:KN539004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWTGRRSTWVGGRRGVGKGWTRRCGGSRRIAAICTRWGSARADRGREVALAAKDGDDRVVAAVIGQLVDEKGLTWADCQREVVKTIEVGDERAVAAVLWHC >KN539004.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539004.1:138475:140049:1 gene:KN539004.1_FG002 transcript:KN539004.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETQIFLEAVVADKGMRGDVFTGLSSLVDVGGGHGAAMQVIAREFPHIKCTVLDLPHVVCQAPAAGDGKVHFIAGDMFESIPSADAVLLKLKFTVELYTCPMKKSVVPRGHVNLLGSVDSGRLK >KN539004.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539004.1:19037:25079:1 gene:KN539004.1_FG003 transcript:KN539004.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFGGDAATSRRRCDSWRGAHVSSSGGASSGSGNASSTSPLGLAMVPSPLAPSTAAEKTTTTQRSTSVWFSGAIFRRSSFVSAGGGAHAGDGWSRAGGGAASSGARFGAICWRLACFVGMAYFVRSMGTPGGVEAAQIAPSPSARSFQRVARSSVVSGRKGSPFLVGLVGIHVGPSQKPLEGLHIVVDAGNGAGGFFVQCTIFGLEFMLFLDQDNVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEVFVKLVLCSICLSVAHLFYDIGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENAISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >KN539004.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539004.1:48644:48991:1 gene:KN539004.1_FG004 transcript:KN539004.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIALRASARGYGSFRSNA >KN539004.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539004.1:94939:96324:-1 gene:KN539004.1_FG005 transcript:KN539004.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDEKDAAASLEG >KN539004.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539004.1:13315:18062:1 gene:KN539004.1_FG006 transcript:KN539004.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVTFIAAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRRLRISVGHDSRISAHKLQDAVTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITGRHTQLFLEVDLELLLRIKDGEEEERRSSHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKD >KN539004.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539004.1:146763:148055:-1 gene:KN539004.1_FG007 transcript:KN539004.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATKWVKESDMAMSQSDSHDVEEGAGKVLQPGKSANDLGAMKDDLEKDVQDLAYKGLEIRSDAADEEDDIIDFACDVDLRRVVEGGPWKHKGDALIVVAYDGIMRPSEILIESLALWRRVQNLVSIPMSVPKVAKALNFSGEQKARVLSTASSSKVSARSDRLRSPLRPQTKQEKVEAVVNQASLQPFLAQGFADVLGMGVQQMNVHPNGAPLNLNAMGPCSREEVCMSSNYDLSDDNSDHSKVDIDFGIQGGQTLNGQKKKDQGGSTKDADRF >KN539004.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539004.1:85674:86082:-1 gene:KN539004.1_FG008 transcript:KN539004.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPLISRVAPEGAEGFDGAGPEDVLVVERRDGGADERADPEDPLQPETGKTMTAAPMLLAGLMPVPVIGIVARWTMNTAKPIGSGAKTW >KN539004.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539004.1:30529:31335:1 gene:KN539004.1_FG009 transcript:KN539004.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIFILVYCTAGVSGGHINPAVTLGLFLARKVSLVRALLYMAAQCLGAICGVALVKGFQSSLYDRYGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNNKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRSNA >KN539004.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539004.1:1219:2487:-1 gene:KN539004.1_FG010 transcript:KN539004.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMKQQLGGEMEIDQLRVRDDLEEEEEDEMSWMARKLFLYNVTIGLYGLDWEQQLAVRPRSEEPRRVLL >KN539004.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539004.1:118436:132369:1 gene:KN539004.1_FG011 transcript:KN539004.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVAILTDDSIRSILRHLAPANLLRAALACHSWRYAASSRTLFCILVLDPASRPRALLVWPSAVCRRRTWRLGLAGKPGGSDMQISPQRYNPVGPPPAYDGQETLPGEWGRDPDPLYSTGIRTITLSMEMRVPAAVKGGCGCGVDGGGGCCRGGGKLADWEEGKDDEMKSVVVKGWTRMAQVVPFLMARFNFVDAVTAVDSGKRALEILGSESSELKQIPVVIMSSENVPTRISSCLDRARSWVWVPAAQYRKYAKVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >KN539004.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539004.1:38673:39100:1 gene:KN539004.1_FG012 transcript:KN539004.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRAHCFTSVVKIKVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNNSKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRSNA >AMDW01038209.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038209.1:364:732:1 gene:AMDW01038209.1_FG001 transcript:AMDW01038209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDELLYGICEAIDEEKDPECLKLSFNLVEAVMKLFPDPSGLAARYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALM >KN538972.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538972.1:86336:89379:-1 gene:KN538972.1_FG001 transcript:KN538972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGMFYLQPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQAAQEAYDEDDEMPGGAQRVQCAQQ >KN538972.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538972.1:147182:147529:-1 gene:KN538972.1_FG002 transcript:KN538972.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGCREEFVGWEKCVEDAEKAGDDVVERCYEVTAALHKCMEAHAEYYHPILSAERAMAADLEAAKADQAAEASSDASASSSQQQPPPTEDEAAGEKKQAEEEAVVPEKQDVAA >KN538972.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538972.1:64363:67569:1 gene:KN538972.1_FG003 transcript:KN538972.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVAANRGPKIKPSILGTASLNLADYASAAEENIEIILPLSVPNGSAESAPSLHLTLGMVELRAFQETSDASQRSAMAAPLSPSSGDSVPVGKDEVSVIRAGLRKVKILTDLVSTRRSKKTSQDDESSEEKCYVNSDGAEYPCDIESLDDDLDDRAQQDEVGDSTVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDAGYHVEGKPSSTVEETMLPTVKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDGSVSEGSRGEDGSVNGMVSEFGDDNFVVGNWELKEIVSRDGHLKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQSNQDIMPIQSQFDSLIREGSLEWRNLCENLTYRERFPDKHFDLETVLQAKIRPLTVSSSKSFIGFFQPEGADDMHRFDFLDGAMSFDSIWDEISKAAEYSSSDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCNQAYILKFDNDTMIHKLPEKAPSSPNSSGPLKDSSRSSSVEQDSEDGTEENILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTASSPKEITSAPQILTIEAPFEFSWPEPPPAMEIALAPAVAVV >KN538972.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538972.1:94154:100510:1 gene:KN538972.1_FG004 transcript:KN538972.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWFKSLVGIRKQEKARNAEKQEKAQNAESCETRTPAAQLLHKRKHSLDTERAILVEELAVQSEPLTDDTNTQTVSDSISSDSTLLGVHISQTEEHKTKEDVAATLIQSAFRAFLARRALRALKGIVILQALVRGHIIRNKTSETLQCMQALVRAQARVRARQVRVSLENQVARKKVPEQDDHENHVREIEERWCGSIGSIEELQAKVLKRQEAAAKRERAMAYALTHQRQAGSRQQKPTTPQGLELDDSHWGSNWLERWMAVRPWENRLLDSNTKETMPLCDDKQDMETKSQITPKGKVQVSSALSNGSNKKKGINHKKSYSDVTCASFGRSPNIPSTSLGSCKQKSKLSDEALEEVSSQPTDIASLSTCQPKAKLVQANTPVKKRLSLPTNVGGGAAKGATNSNSICRSTSAKSDPKPRANASNQARKQVELQA >KN538972.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538972.1:133842:134093:-1 gene:KN538972.1_FG005 transcript:KN538972.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLCFFCAKWWLLPLLLLDHTLLFSSPLFSSSYSLGVCGLTWLLPLV >KN538972.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538972.1:43660:45485:-1 gene:KN538972.1_FG006 transcript:KN538972.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGDSGSWGSTGWCSPRASPLAVAVPTAAWCEDAHRFLVRCAEAGNIEISYPLGMVRCALLAYIPFLVACGVEGGAGGGGGMDVVAACEPDTEKLEFIEEMTILARNNGAEYLRRHGMEGRTDWEAFKAHVPVVTYEDLRPEIERIANGDRSNIISSHPIRPSPSSSPGV >KN538972.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538972.1:159943:166957:1 gene:KN538972.1_FG007 transcript:KN538972.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRIGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNVQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEQSPDSASTSKHSSLIKPDSILSNNMTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMTLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQSKVICVCETVAHSHAKSVQANDIGLDIVEATQLILILTRFIEGVHDCYISV >KN538972.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538972.1:108994:118036:1 gene:KN538972.1_FG008 transcript:KN538972.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNALLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRSDVHYTHYYTSHYCHFLGSGDIHTTHGCDVMNKHRVSIYALELLREEQHIPSVLLFRDFPAIECAAGRLCKYATYEYFGDFVILYCGEGSLLVFLSPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >KN538972.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538972.1:69612:73030:-1 gene:KN538972.1_FG009 transcript:KN538972.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPLLSPSSSSSRLLLLRLLLSRRRSPASRSPPPPLRRRLPLLAASMSSSSSAAATRNPGSVVADADGLARKGLELPQVIADFDGTLTRYWYDGSRGQMAFDIFVWGEIERCMCVYVVVGSHGLLRQGNEEYDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKTHALLIEGGLTYEAIRQSVADAKITFRDGVVKLFEFLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVSFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGYTDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIEKSLKDYSEAFDIVYLNDAPMVGVVELVSELCP >KN538972.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538972.1:4433:6162:-1 gene:KN538972.1_FG010 transcript:KN538972.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAVDRSWCSTVVGVRVKCCAGAITMGVMLVRWGADVLLWWASPKVTPKVYLLSCAPHLLHEDDPLDSLQHHEQWGVCLTTGFVLLF >KN538972.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538972.1:142882:145948:1 gene:KN538972.1_FG011 transcript:KN538972.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRVAHSGAGAPLRCRDGTVETEEERRWLREEQEALHAGSLKVPRRPPWTPQMTVEELDANEKRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLMLVIPCSTAALILSLLQVATADYNLSTFYGYLEALAECPFTMKVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMENWNTADLDTKIYGRDELLVRLQGEAEYIVNQKGALRAEEDHESSRSDSVSTRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLVISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRNVLEQIYKITLPKPKAYEQLSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPGDTDSETDPEETTDLEGSDTAVAATTDHCASDEQDEEISQADPNISHVLSDLESFDLASELSKNSTKKKKEPSYKHHKKPQRKKDRSWRVGNDGADGSAVVRVFQKPAVNFATVTG >KN538972.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538972.1:167641:175444:-1 gene:KN538972.1_FG012 transcript:KN538972.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMEMGGHHHPHHGGDGYGADEYGAVGGEEMEDEEADGDAPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPHAAKIFVNGCWVGIHRNADLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKRHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLIGARHNPEEAYTHCITYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDVYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTQDNKTGKDQKKR >KN538972.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538972.1:85198:85743:1 gene:KN538972.1_FG013 transcript:KN538972.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACLRLVVNPQQPTTLLAIFLLLLVAAAVAPPHVLAADHVVGGSIWSIPPRPGLYRAWADNRTFVAGDNLVFRFETGMYNVVQVGRREFDDCTADDPYRDWTDGPAVVTLGSAAVRYFICTVGNYCSLGVKVYVASQNAP >KN541638.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541638.1:801:1070:1 gene:KN541638.1_FG001 transcript:KN541638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSQFRRRAAPKQAIGGRRMQGAVMVGVLGAADVLFLTEEHHACRYFSDAERHPDGCFVGGGMVDGSDSTLQRGGVICGGSQQPGHG >KN541638.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541638.1:15889:16053:1 gene:KN541638.1_FG002 transcript:KN541638.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGDVGAALLGEDVGAGLGDFVGAGLGNMLGEEEGGVIEAVGEGEVVGAGLGE >KN541638.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541638.1:2151:2959:1 gene:KN541638.1_FG003 transcript:KN541638.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNHPTDDGSPTQDSSNLTARANSKELSRLARSKRKRKLDLRRSGSPSTKNYSSADETNALQRKRKLDEKRTGFQMSNNESSADTGNPLQSSNFTAEVNSTELARLSRLNRKRKLDLRRSGSTLNNSSTCSNPANHIER >AMDW01032070.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032070.1:19:462:-1 gene:AMDW01032070.1_FG001 transcript:AMDW01032070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RPPPRHGVALTVAVTASDLPLLSAFLASTPLAAALPIRLPDASLHESSHHALLAVHLRRLRDPLLSWARSRPDDPPTVVVSDFFLGWVQLLADDLRVPRVAFYGVGAFTVAALEHFWNGSLPLEQTSPVVLGPLPGSPSFPYEHVPSV >KN543563.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543563.1:199:928:1 gene:KN543563.1_FG001 transcript:KN543563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPVLLFALMVCLVIVSQGVLAARELVETTKVEVDGMRVEVPEGRKGAAAVSGYGGGWPGTYGHYP >KN543563.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543563.1:3476:4096:-1 gene:KN543563.1_FG002 transcript:KN543563.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCVIFLFVLLVSLAIASQGAHAARELVETTKST >AMDW01030693.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030693.1:274:403:-1 gene:AMDW01030693.1_FG001 transcript:AMDW01030693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSVSGSGVEFEAKITPIVIISCIMAATGGLMFGYDVGIS >AMDW01022521.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022521.1:13:288:-1 gene:AMDW01022521.1_FG001 transcript:AMDW01022521.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGVHHAVNPDPYRGAFGSDADKYARDVREIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEAVRSAGGLCIADEVQAGFARVGSHFW >KN540979.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540979.1:5340:8883:1 gene:KN540979.1_FG001 transcript:KN540979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQQRVVDRILNDFRTGIGSVILSYCLSLRVLTNKAVEANDGKPLPLVQKAGIGLTAGAIGACVGSPADLALIRMQADSTLPAAQSRNYKNAFHALYRIAADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDTLGAGEVTTVLGASAVSGLCASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTWIFLNEIQKLEKRLGL >KN540979.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540979.1:11175:12571:1 gene:KN540979.1_FG002 transcript:KN540979.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFNHKSRPKDFLRAHSGVREGNSIIPVKSVFDRLSSSFLAITVGGKGLNHSAVDGVIHAGGVNAGSSDDPPKRHEGIAIASREPPPPPELYAQFIQMIVDYVQNTLRFHVLDTSRHASEFAYVHLASVVLRDALVLRGAYLVNDQFVLRFSYHDNTSTCRNSPPINESWVMFLDFPLDLQTDRIIDKAVGTFGRLLRWSNDPRFRGRVLAKVIFSMVEEVPSKTVIKKYTSFGGVGRSWTISVFVLNGDFADAQPADEDLPQ >KN542287.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542287.1:5359:5499:1 gene:KN542287.1_FG001 transcript:KN542287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVGAAIWVADDPRGHRAAVGKKIMIASIAPLVVAIGLTGEALLW >KN541075.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541075.1:6020:10493:1 gene:KN541075.1_FG001 transcript:KN541075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLGPNSDAHLASVSKSKKEGHNGIPSVLYSVLANEEFDHECECEHKHTVENSRANAVLHLYTYSCIHKIEAEGLDRASLLLPGRQAELISSVAKASKGPVILVLMSGGPIDIGFAQNDTKIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKLILADGLPALSDLMFYCEQGQFFC >AMDW01039320.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039320.1:209:920:-1 gene:AMDW01039320.1_FG001 transcript:AMDW01039320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLASRATSQNNGCSSVMMTLSPCLDYISGKSPIPEFTCCTTLAGVVQSDPRCLCMVLDGSAASFGISINHTRALELPGVCKVQAPPISQCTAVPTPPPAPDTPTLADEPAETNEDEPSPPPAGSAGSNKTSSATKSKKAASLMASVLIPTCALFYVF >KN541075.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541075.1:32230:34877:1 gene:KN541075.1_FG002 transcript:KN541075.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEREKKRLLDLAADSGFERDLAADCLDRIVRLYGEGGQGFITVENCGDDFLGALADATNNNDDWDDLNAIENEACGNLNGMMKHGVIDDKEVEVRTPLFRQAESSARQTRNNLDSFGFSSDDDFETLESHCDRSVSTQKKVNRGNNRCESSTSTSNRETLSYQQLYSLDDINFANVVIFGNKSFRPLQYEACRAAVSNMDTFVLMPTGGGKSLCYQ >KN541075.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541075.1:14322:15326:1 gene:KN541075.1_FG003 transcript:KN541075.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRNKCTVAYNTLITACFRADDLGAARHLFDEMQRYKRSRRSVVSWNVMIAGCAWCKKDDIAILCFERMVREGEVAPDDGTLAAVLPVCGRLGNVGVGRWAHEHARKSGLLDRKVHVANAVMDMYCKCGDVHSAREVFKGMRQRSVVSWNTMISGFSLNGHGIEGIELYREMRTHGEMPNEVTFLGVLGCCAHAGAVDVGRDIFQSMQLEHGIEPVIEHYGCMVDLLGRSGLLEEAYALIQGMPMRPNAAIWGALLSACHAHSGISIAEVALKELINLEPWNSGNYVLLANLYAETGRWEEAGDARRLMRRMSVQKAPGQSLIEETEFQFTNT >KN541075.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541075.1:11174:13357:1 gene:KN541075.1_FG004 transcript:KN541075.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALLVSDFVKFKRRAYIVFAMLKMRICLFLAVWKRYHLKPEMQHLFWFCSHQVQVVYSKIFLQNKATDIALSMYSLRYLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTTEMMMRRHKIEKDLGIGSEVGFSKNAEVAKTSPTLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLQI >KN544126.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544126.1:318:2627:1 gene:KN544126.1_FG001 transcript:KN544126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ERRSVGLNVLCGVGILTTSYGIKQGGWLSLILLPLLGCCSCYTGLLLKKCIDSSPSIDTYPDIGQAAFGIYGRIFVSVVLYLELYACGVEYITLLGDSLSSVFPSADLTFGGIYLNAHNLFAITMALAILPSVWLKNLKLLSYLSAGGVIATMTVIVCLFWVGIGEGVGFHPGGTALNLTHFPVALGLYGYCYSGHSVFPNIYSSMEERPKFTFVLLFCFIVVTFVYAGVAVAGFLMFGESTMSQFTLNMPQQFIPSKIAIGMTIINPYTKYALTLTPVALSIEEALPRRMQTYQVGMCVRTALVASTVVVALTFPYFALVMALLGSVFTMLVALILPCACYLSIKKGSTPWWEVVLCITIILLGILCACVGSYTSVSQMISR >AMDW01084932.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084932.1:191:478:-1 gene:AMDW01084932.1_FG001 transcript:AMDW01084932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELKVINDSALSPQTLLLVAYNDLFASFA >KN542348.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542348.1:8241:11087:-1 gene:KN542348.1_FG001 transcript:KN542348.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNRRLHIGAVLILCMAISLGRATGSCIAEERDALLSFKESLLDPAGRLLSWRGDDCCGWKGVRCSGRTGHVVRLSLRNTREYNDWYDTYDETTGFSLLRDEMSSSLVALKHLRHLDLSRNGFNLTSIPVFLGSLKNLRYLNISGPAFSGEIPPQLGNLSKLQYLDVGNNGVFSEDLSWLTRLSLLRYLDMSQVNLGLKGKWLHFMNMLPALQVLRLDGCGSDSTVSTLSHSNLTNLEVLDLSNNLFRTSLQHNWFWSLTKLRELSLRDCVWYGSIPDELGNMTSLQIIDLSSNFIDGLIPKSLQNLCSLKVLQLSTTNINGSSEEFMERLPRCSRGILEVLSLEGTTLTGKLPVWIGDMSSLRVLSFYKNKLTGPVPVGIGALGNLTKLDLSYNQLNGVLFKEHFSDMKHLKYLDLSYNSLKLTTETDWVPPFRLTRAGFGSCQLGPQFPKWLKWQTDIDILVLQNANLNDVMPDWFWVTFSRAIYLDVSGNMLRGTVPADLKHMSAHEINLGSNMFTGKIPLLPENVSVFNISSNSLSGSLPSSLKARLLDVLLLSNNQLTGSIPHSICQLSVRRLDLSKNQLTGDLEEMQCWKETDNTSSRPGTNPENQFVSSLVSLVLNNNEFTGEFPQFLQSASQLVFLDLSYNRFFGRLPEWLPGKMPGLQIVRLRSNMFSGHIPKNFTHLDSLRYLDIAHNNISGTIPEDVGNWRIMTVTTPVWEGISFTLEESIDVIMKDQQREYPFRIYNQMVNIDFSCNSLMGHIPEEIHLLIGLTNLNLSRNQFSGAIPNQIGDLKRLESLDLSYNEFSGQIPSSLSALTSLSYLNLSYNNLSGTIPSGPQLQVLDNKIYIYVGNPALCGPPLPKKCSANESQQSAHKNISHMDFLYLGMGIGFVVGLWTVLCTMLMKRTWMIAYFRIIDKIYDKFYVQAAISWARLMRTNQDDAA >KN540454.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540454.1:14814:15809:1 gene:KN540454.1_FG001 transcript:KN540454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAATSSSSSSSSSSPCIITFIAESMILPTRNIRLFAPIFLLIFCHTFIFLGITAIHVNPLAPSLDSINSLATGVLVHVYASKNTTDDGQGQATATDSLIRGHAIVYLAYIVSRLTVQVVAVVAGCTTYSGKRLSFTELLGWEVATTERIRGPLITAMFMGVVDLSTATLLVLAAHMTAFVGGSGMASILGSLLFLAALVLYIHLGAVIPVSIAVSSAEGRWAAPALWLAWRLMKARRKEAGVLTLIACLVPAAICPVYTIAAALSDELLFTFYVWLLGVVFGFFLLPVALQLLSTTAATVFYYHCVEAQVVAHVCDVSVDDRDVVVQV >KN540454.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540454.1:43428:44258:1 gene:KN540454.1_FG002 transcript:KN540454.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTQHHPTAAATAVILLLLAVSPAPSGADPDDERCLSSLQQSLSGLRNWSKASFSAPCEGFISHLQGVTCNNGRVYKLSLPGLSLAGAIPPDLSNCTNLQSLDLSSNALSGAIPPELSGLLNLAVLNLSANRLSGAIPRDLARCAYLNVIDLHANQLTGSIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRSGTTGRFNATSFVGNKDLFGYPLPPMRGHALSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTATMPGEEGKISHLMPDY >KN540454.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540454.1:18258:24022:-1 gene:KN540454.1_FG003 transcript:KN540454.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGVAGENVYNTERLHSYGSGPREQTLLPADSRSSLPHGITDNGTRKEGRRRRRGRKRKETAKDEGECVPSAEEVSIRVNTLYESGDPIGKKELGRCVVQWLKQGMHSMAIKYASTEMQNDGATLLDGGSSEDNLGFVMLAQPYLSAIPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLISDWRTTQSWMLLKELANSAQHRASARKPKAPTTHSTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPVMDGNSKKPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTDKTNLSITVTLKSHRGDPTFSKLFGKNVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDMMMMEQNNLADWGESTIHDDELLKKNKYDFDASQLKAITLGLNNKRPVLIIQGPPGTGKTGLLSYLIACAVRKGERVLVTAPSNAAVDNMVEKLSDTGLDTVRVGNPARISPSVASRSLGELVNRRLQKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADLVLSTNIGAADPLVRRIGCFDLVIIDEAGQAIEPSCWIPIIQGKRCILAGDQRQLAPVVLSREAMQGGLAMSLLERASSLHNELLTTKLTTQYRMHDSIASWASNEMYDGFLKSSPSVASHLLADYPFIKETWITRCAFLLLDTRMPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSQHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNTLGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYTPPALPSIS >KN540658.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540658.1:19229:19742:1 gene:KN540658.1_FG001 transcript:KN540658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLVLASTVLLAPGLGCAFSGMPLPPPILYPHTLKKKGKRKKTDVCSIALDEDQINVYQFGTLLNVDQLGGIVTCTIIPRDLIIN >KN540658.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540658.1:30997:32172:1 gene:KN540658.1_FG002 transcript:KN540658.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSGDFGSGNKLPDDLTLDVLSRLPYKSFCRAKCTCTGWLSFSSNPHYFDKLPKPLTGFLYQKSDSSAIEVASLCPDDGSFDTSLSFLPRYEWLELTDSCNGLVLCKYGRNTSSPSVAHFVVCNPATRQWMELPETLLEPEGHSYATKLAFDPSWSPYFYVFNFEEKRNPVERWACISKVAIFSSRNSTWFMDDKWEPSNQISVDCQPHVLLGGKLFLQTSSCRVLVIDAFDNTEQPSHWIFDLPGYKPTSPMVDCLTGYLGHKSGVLHYVQPDTGGRTLLVWARDGYPHGDWNLKHRLSMSDAFGQNIFLDEHFDGFVSCHYDIVSLDLERGLVFLCHFAAERLLSYSLSTGKLTKIRDGLRRYLYYVPNCSMFPAKQTDKDQDVSEP >KN539807.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539807.1:48528:49331:1 gene:KN539807.1_FG001 transcript:KN539807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRDRTLSSWRASSATSAVPSYYQKTKKASKENGLQLTSEKKDWKRATCSICLEHPHRAVLLLCSSHSKGCRPYMCDTNRQHSNCLEQFKNAYSRGKPACELSGAVAQASKKPQEMELVCPICRGDVKGWTVIEPARRFLNRKRRTCMHEGCSFGGSYRKLRNHVRSNHPSSNPREIDSASLAEWKELEYEKDRQDAISIITALNPGSTIMGDYFIDPNSDSNDSFDYSSDSLTFSDSDPGTQLNGSTSSRRPARIIPNARVRY >AMDW01040887.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040887.1:284:2760:1 gene:AMDW01040887.1_FG001 transcript:AMDW01040887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EARFDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMELARRVFDAMPERNEFSFGILARGYCRAGRSMDALGVLDSMPTMNLVVCNTVVAGFCREGQVDEAERLVERMRDEGLAPNVVTFNARISALCKAGRVLDAYRIFNDMQEKWERGLPRPDQVTFDVMLSGFCEAGMVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGMVGEAQELLREMAHEGVQPNSYTYNIIVDGLCKEGKAFDVRKVEDFVKSGVMTPDVVTYTSLLHAYCSEGNAAAANRILDEMAQKGCAPNSFTYNVLLQSLWKAGRITEAERLLERMSEKGYSLDTASCNIIIDGLCRNNKLDMAMDIVDGMWNEGSLALGRLGYSFLSLLTDSSSSKRCLPDRITYSTLVSALCKEGRFDEAKKKLLEMIGKDISPDSVLYDTFIHGYCKHGKTSLAVKVLRDMEKKGCKPSTRTYNLLIWGFREKHNSDEILKLISEMKGKGICPNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNITSFELLIKAFCKTSDFSAAQRVFDASLSTCGQKEVLYSLMCTQLSTYGRWLEAMNILETVLELRISIHRFPYKQIIEGLCKVDEVDHGHRLLKLLMVKGYSFDPVAFMPVIDALSERGKKQHVDMLSQKMMEMAERDNGLAAPSGEFKPRSQKHGLDKRAGSDWHALLHRDDSARTVMKITKRVKTGWGQRGNVYENKQQQNDDIYVLENTG >KN539807.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539807.1:70996:72885:1 gene:KN539807.1_FG002 transcript:KN539807.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAQTGESMHSSTFASRYVRTALPRFRMPEKSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNRMKAEGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTQGYYVMNPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGHFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLITDLTKTVADMDAHAVKKAAAEPAKKTVREIEKEVTTYWRSFVARKKSSLVC >KN539807.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539807.1:21035:23915:1 gene:KN539807.1_FG003 transcript:KN539807.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MAMWVFGYGSLVWNPGFAHDARLVGFVRDYRRVFYQGQWGVAYKISTEQDKQTALEHLEVREKQYDEKIYLDLYTDSSPKTPAVKNVMVYLATTNKQSNQNYLGPAPLEEMAKYSTYLTCSIGILQLNLRQIYLAEGPSGPNKEYLFKLEDALNKIGFYKDTGDERSHRRAPQGDWTKPRLVQPEPPPVERQRHRRHVHPVERHAVGQDEAQVEAQA >KN539807.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539807.1:50056:51339:-1 gene:KN539807.1_FG004 transcript:KN539807.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASWMYRTAMFFMACVLFRIICFLQILRMTGFARDFGQCADVADVLRQHRRIREQLRRISHRYRKFIVSCLLLVTASQFSALLAATRPHAQVNIATSGELALCSLSLVTGLLICLHSAAKITHKTQAITSVAAQWHADATINSQERDHENPRTPIKAPSYLHAAGPVVPQPAPNAPSSGDESEDETSPSDDGLDGTKIVSFHATHISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >KN539807.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539807.1:60323:62409:-1 gene:KN539807.1_FG005 transcript:KN539807.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDLYLVHWPISVKPGPPMSPVKREDAVPFDFEGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAVATIPPAVNQVEMNPVWQQRTVREYCAAKGIRVAAYSPLGGQNWIGEGNDVMESPVLADIARARGKSIAQVSLRWIHEQGVTPIPKSYNKERLKQNLEIFDWELTEEDRLKISQIPQKKIVTAARLFSPDGEFASVDLSDMETVEE >KN539807.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539807.1:18945:20161:1 gene:KN539807.1_FG006 transcript:KN539807.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTVILSPMTNKPAVASKMHKATINYYDSSTPFWKDNPNNGKLLNVLQIPMSEISDQAVKKYAECLPALRVLDISNCLKISSSGIEALGRHCKLLVQLKRNMPPPDVPHGYNAVPNVVNDEALAIANTMPVLEHLELAYGLFSDTGLGAILSRCPRLQTLDILGCWNVRLEGDIEERCCALKSFREPWEPMYSDCSSTGSDNDDDDEDNDSDN >KN539807.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539807.1:75280:81106:-1 gene:KN539807.1_FG007 transcript:KN539807.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGGGRHRRLEQRSVGEGGGSDCGKGTPKKSKPSALVGWFDKKDVKAERVVYTAEFAVDAGFGEPGAVTVLNRHQREFYIESIVVEGFPTGPAHFTCNSWVQPTRMSRDLRVFFSNRPYLPSETPPGLRELRLRELADLRGDGTGERRITDRVYDYDVYNDLGNPDKGVASARPVLGGEQMPAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGHLDGMSVQEAVEGSRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAVFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGNVCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLGEIWAKVNDSAANFSSDRSIAKFSAQIGKY >KN539807.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539807.1:54711:57980:-1 gene:KN539807.1_FG008 transcript:KN539807.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVEEVEEGSEAAAAVFASRYVQDPVPRYELGDRSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDRLILEAINKNYADMDEYPNRCVNIIARLFNAPVGDGEKVVGVCWEKFARYFEVELKEVKLSEGCYVMDPAKAVDMVDENTICVAAILGSTLTGEFEDVRRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWGYKNVMKNCMESARTLREGLEKTGRFTIISKEEGVPLVAFTFKDGAGAQAFRLSSGLRRYGWIVPAYTMPAALEHMTVLRVVVREDFGRPLAERFLSHVRMALDEMDLAARAPVPRVQLTIELGPARTAGEEASVRVVKSEAVPVRKSVPLVAGKTKGVC >KN539807.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539807.1:28724:29878:-1 gene:KN539807.1_FG009 transcript:KN539807.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVARATGVPMTSSKAAGGGDLQVPVHAGNGEFLMDVSIGTPALAYSAIVDTGSDLVWTQCKPCVDCFKQSTPVFDPSSSSTYATVPCSSASCGDLPTSKCTSASKCGYTYTYGDSSSTQGVLATETFTLSKSKLPGVVFGCGDTNEGDGFSQGAGLVGLGRGKLSLVSQLGLDKFSYCLTSLDDTNNSPLLLGSLAGISEAAAAASSVQTTPLIKNPSQPSFYYVSLKAITVGSTRISLPSSAFAVQDDGTGGVIVDSGTSITYLEVQGYRALKKAFAAQMALPAADGSGVGLDLCFRAPAKGVDQVEVPRLVFHFDGGADLDLPAENYMVLDGGSGALCLTVMGSRGLSIIGNFQQQNFQFVYDVGHDTLSFAPVQCNKL >KN539807.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539807.1:2130:17916:-1 gene:KN539807.1_FG010 transcript:KN539807.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTVSGATPPCPSGSCIETWVLDRVKREIVHTANHETTHWYPWLFVLWKACGALGVGFSVLLCWCGSCCLISPPELADRILHALDQFFSVGSTSRNLFFAPCCAASLFEGELTFLRRDFTLSARLRYKKVQVPAIPDDDTLLAHASGSKSKSRKRGPPSQAPPAVKKLFKEGDSDEKETTVSWLPLFTHCLAVCCNINYMGTCTLSLRAEPGIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVNKLGMWGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVMVGLKIYLVCSLLGQLLVELLQHQPSDGWTEDLLGLFSSRPTTGGVAPTPAPGEEQLKA >KN539807.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539807.1:63504:70532:-1 gene:KN539807.1_FG011 transcript:KN539807.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSGRCSRTPGFPAGPLGGGGRAVPAVGLGTASLRSVGEESFRGALLAALEVGCRHIDTASVYGSERVVGEAVAGAARRGVIASREEVFVTTKVWCTQCHPDLVLPSLRESLQNLQMEYVDMYLVHWPMSVKPTKPHYPMKREDIMPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLAFAKIPPAVNQCLMTGETVQVELNPVWQQKKLMEFCKAKGIHVTAYFPLGGRHSTSTVNPVLDSDVLKEIAAAKGKSVAQISLRWIYEQGASMVTTSTKRERLKENIDIFDWQLSDEDRLKISQIPQHKTLQAQEAHRTFHYSSPQPTFVLTLPTLSSSRLQINPAIRCILFHHAVPPAMAVVVPEAVLRHGDARPMPAVGMGVAEYPSTPERTRDAVLAALEVGFRHFDTASLYRTEAPLGEAVAEATRRGLLASREEAFVTTKLWCTQCHPDLVLPSLRESLRVKPGPMVFPVKKEDVVPFDFGGVWRAMEECRRLGLAKAIGVSNFTTKHLDKLLAVATILPAVNQVEMNPTWQQRTVREYCAAKGIRVTAYSPLGGQNWGGSANYVMESSVLTEIARARGKSIAQVSLRWIYEQGVTPIAKSYRKERLKENLEIFDWELTDEDRLKISQIPQRKRITAESLFSPDGEFTSVDLPDIEIVEE >KN539807.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539807.1:40630:44756:-1 gene:KN539807.1_FG012 transcript:KN539807.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFNDQGRSISSRNQSSGRDRERSSQQSISRRSSGSIGPRRHDRDGTAKSRGYASFGRSNRDRGGEKDSESRNWESRLGPPDDPLYDGFKPFSSCRPERDRLNHTRLKVDTLNQAVGESLDNGVRSVSRKVTGGASFEREFPHLGSDDKNGKQDVGRVPSPGISTPIQSMPLGTAPDGRSSVLAEVPVLSGPTNCPVPSSLLRTGSSKQMEVPNCGTALSMAETVMQAPLKISTTPQVSSSSDKLKSKGARAGDSNGPVKGATQLPLQLSGSFIRAPVKHELVKPSQSGSFQVLSREQNGTVNTAKESTSNPASPVLGRSYSVEPLRKPIVNQKLKGVANGLPLQLQGSFGERKSSAKDKHKFFELLRSKSLNGSCTSTVSSSTLLDEQNNSCLELFDSGVKCMEHGSSSCEEANSCEGSQQHLSDNEEINPPWEPHDVFDEGMQEVLSDNRDFNSSSEIADTQDVYMKPHTNNSGSSPSIIPAEIYDGSMGSNCSDDETVMLFEPIGTGEEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >AMDW01013859.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013859.1:19:162:-1 gene:AMDW01013859.1_FG001 transcript:AMDW01013859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHDEVILEGPTESAEEAKTIVVECMSKPFYGTNILKVDLAVDAKYAKS >AMDW01011313.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011313.1:9:227:-1 gene:AMDW01011313.1_FG001 transcript:AMDW01011313.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIACLRNEKALVEREARQFREMAQQKQMYDRQQIESLQWVIQRFGMPCGEAEVSSERAVSETSEDDRDRK >AMDW01037168.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037168.1:64:504:1 gene:AMDW01037168.1_FG001 transcript:AMDW01037168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQ >KN539108.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539108.1:59680:60982:-1 gene:KN539108.1_FG001 transcript:KN539108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTAPCYCKIRLGRMPWQVAAAPLVVADGGEQAPSGALAAAFHLSKADLEWFARKPSLLFSSSRGPATLKVAVYAGRKGTTCGVSSGRLIGKATIPVDLKGAEAKAAVVHSGWICIGKKGGGKGGSAAAELSLIVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRSVVQTERDAAAAAGKERKGWSVTGEGRCSKPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >KN539108.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539108.1:115426:118218:-1 gene:KN539108.1_FG002 transcript:KN539108.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSASHRIARVAAHLSPSPRPQMEEGVWPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNK >KN539108.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539108.1:39894:41922:1 gene:KN539108.1_FG003 transcript:KN539108.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGVGSLLAGLAVVLAGFVVGGAGGSDDRFVYSGFAGAPLALDGTAAITASGLLELTNGTAQLKAHANNFTAALPSQYLGLLNSANNGNATNNIFGVELDTIVSSEFQDPNDNHVGIDINSLKSVAVNTAGYYDDKTGAFHDLSLISGKAMQVWVDYDGAATQITVSMAPLKMSKPSRPLVSAVYNLSDVLVDPVYVGFSSATGTVRSRHYVLGWSFAMDGPAPAIDIAMLPKLPFYGTKARSKVLDIVLPIATAVFVLGVVVVVVLLVRRRMKYAELREDWEVEFGPHRFTYKDLFRATEGFKAKMLLGIGGFGRVYKGVLPKSNMEVAVKKVSHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELILVYDYMPNGSLDKYLYDDKNKPTLDWTQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFLLEVTCGRRPVEQAMQDNQLMLVDWVLEHWQKGSLTKVIDARLHGNYNIDEAILVLKLGLLCSHPLPSARPSMRQVVQYLEGDMPFPELTPTHLSFSMLALMQNEGFDSFVMSTSLPSETMMTIGTMSGLSGGR >KN539108.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539108.1:68058:75843:-1 gene:KN539108.1_FG004 transcript:KN539108.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKPPRAGLDPEATPPASIASRFESKFPVDFMRDAVLIEAICFQDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWTAGNESSSVKLLPVDVSNGSKVASSKVAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGVASTAYRKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEEDQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSARKKISSVLEHLNRKWGSSNIASGELLLFPYCAHQEDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPTSWFSRQKQEKVSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASVGANTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRYKSAEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSSPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMNDAKDMEALCANSPPRSKSDSGLKDQSLADLYWVLDRYPIPACFSF >KN539108.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539108.1:92046:100557:1 gene:KN539108.1_FG005 transcript:KN539108.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MAPWQRHGGVYHHGPRGAMDDGWCSCVLWPVGWELSGGRFLAMPSIRAPASKQTATLQVAVKCRPLTDSEQRRSRHIIQVIDDKNVVVLDPDLSKDYLELIQNRTKERRYSFDHVYAPGCSNALKQYCWYQQKANAKYLYYCSVWSNPLPKTDVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFDLVKKDDSKDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPVHGIMVAGLRSIKVHSADKILELLNIGNSRRKTESTEANSTSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGHLDTHVEDYKRMIDNLQVEVSQLKKELAEKEHQLSVKPTEKAADNELSWLNILSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARQQVKDKDSAVLQALTSRRQVILDNIRDNDEAGAGYRKDIELNESRKRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIYNQRESLRSLWNIIYGTGLNQKQISKLAAKQGLTIEGCPLPVSSPDVTTPPSFSPHGRLSPFMSFPSPQSQPYSPSACFVQHGFSTMSYLRNQHETPTICRQEHLSSYYMMSECSPFDGDGKHKTNGRSMPYFSTPGKPKEMYSFSPGTESERTPCSKEYPTSYSGNGDSRIQIKVGSPSPQDHSITSWALLTSPTLETTIHVSSAAARRAHCNCTKRHIHNDSQLGLVQSGYLLN >KN539108.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539108.1:29523:34528:1 gene:KN539108.1_FG006 transcript:KN539108.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELIPPENPDRISPPPLLYQLLAGSASSARQHGHDGHHHGGGGGAAAAAAVQGQVSPAGAEAAVKAEIMSHPQYSALLAAYLGCKKVGAPPDVLTKLTAVPAAPQQLDEADGHPRRRHEPRRDDDVPDHQLDQFMTIYVTIKGQKLCNISVIYMIFLSSEIKDSNCEGTGSSEEEQDTSCPEAEEIDPSDKQLKHQLLMKYGGSLGDLRQAFSKRTKKGKLPKEARLKLLHWWELHYDKWPYPSVRTHIYASHLINSTTFFCTKLDLMKMRELLGCTCVYEQEMEKMTLAQTTGLDQKQISNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGWPFVVDGMYRLGS >KN539108.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539108.1:119959:130916:-1 gene:KN539108.1_FG007 transcript:KN539108.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRPLATAASHLAPFSPPLLLFFASASSSCSSAASPSSASGPRGCSAVRMETGAVEPASTGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYPLMYRDVRSAVDLCHRDGTLKRMVAKDPSRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCRPYTSDVSSSHNHKWLGYFDVVITGSAKPGFFHDGNRAGLFEVEPDSGKLLNADLHVSNMILLEAPDQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFENLTEDEKEKLFSEHDILLQKKEHVRRLHQEAQRQHHHKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGLQPVHFIPGSPGLRGQMGQTLVQLPQTGPTNRLRVLSGPHPNAQLGPSATAMQGHIRTYMGAGKPTRFKGRNPSPCVTALFSVSGLLLWNRYVLRWLRRVIARNRIHVINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEALGMQCYCYQLMQCISLFGMLEKQLVKLKICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAANLESESLYR >KN539108.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539108.1:139781:144529:-1 gene:KN539108.1_FG008 transcript:KN539108.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVKIKSVWAAKNVKNDIMTDPTPQWVQNNFYCLTPYLEHILKKDSTRCFRRLFANSWILAWDFTITSETLTYMVRHNALRCAKVVLEGKAPELNYMHANPNCMNPYGCFALHEAAERFYVDMIKLLFRHGASANVRTVGNAGTEGLLPLHIAVENTCMHKYLEDNLPPTQYNEDYIYKLIHLLCLPEMKIFLDTTRLLAEKTDNLVDELWNYMKDGKLVQSAVLLLAAQKQIRKVKPEGFHVIVQRLCCAPQTREKGDTGEAQKQLEEKGARFNCSLQLVSIISLAGEVLDKYIQAHSEVPQVEVLAHVSSVLKEFGFCHNEICIDVINLRPYDCKISDRELHFKDSTKAVTEMSSMHSAEEKAVRKGALRGWDPTYTRRSFLPYWRSALGPRGPSQEAIEVKSIVDSGQYWGNSMIPGSNSRIWLMDKIPQIPSRSWSRRYSATALKMLVKVLKIA >KN539108.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539108.1:76709:80753:-1 gene:KN539108.1_FG009 transcript:KN539108.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLVAVAVLLLVASHAARGEERRRLLLARQDVLALHGLRGSIGVRAEYWPVKRYPCSWTGVVCRAGRVAELRLTGLRRTRAGARSQGFTVDPLRELTALEVFNASGFPLPGRIPAWFGTGLPASLEVLDLRSTSVNGTLPADLGMSGNLTTLDLAGNSISGSIPATLLLIKGLKFLDLSDNNLTGELPNVSISAGDGTGVFNASGNSFYGAIGDVLGPLRKRFRQVDLSRNYFTEVIGTGFGNSSDGAVDINMNCLSGSSQRSQGDCEAFYKRIGVSLPEPPNALPSPSKKGVKWKYVLAGVLAAAAFLVVLFLVALVFCLMKSGGRRPRGRGLEQNEEGIRSGRRSSSVNPVMLSSMAASPAANGAVKGLPTIVDEFTYEQLHNVTGGFGDDNLVKHGHSGAIYLGELESGFNVVVKKVDLKSSNKNLGELGFLAKNSHGRIVPLLGHLATDEEELLVYKYMAKGDLTSALHRKSVDAEEGLRSLDWITRLKIAIGVAEALCFLHDECSPPLVHRKSGKFHASISTVQDIQASSVLLDDKFEVCLGSLSDVCTQQSEGSRSFFSRMLRSSKSLDKNASGPPASCSYDVYCFGKVLLELITGNFGVSGSNDTGSEEWLARTLDFIDAHDKEGLSNIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELQSNSSLTSTSSRSSWHFAFHGDSRRSSELRPTLGQSARKQSVKSQGSDEDEDEEEDSFSFKRASREILPDPVELEKDAVV >KN539108.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539108.1:43914:45917:-1 gene:KN539108.1_FG010 transcript:KN539108.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWVEYDAGDARLDVTLHQLTKPKPARPLLSVKPANLSAAFSDQMYVGFSSSTGSDDTSHYVLGWSFSLSGIAQDLDYAKLPSLPPVTATAASTKHMPVKIWLPVSLSVTVVAAIVMFLLFRRQRRAIYVELVEDWEVEFGPHRFAYKDLHKATKGFHDDMVLGVGGFGKVYKGVMPGSGIDVAIKKICHDSKQGMREFIAEIVSLGRLRHRNIVQLLGYCRRKGELLLVYDYMTNGSLDKYLYGEEDYDGIAQESRILSLF >KN539108.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539108.1:6794:12015:1 gene:KN539108.1_FG011 transcript:KN539108.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELISPENPDHISPPPLLYQLLAGPASSARQHGHDGHHHGGGGGAAAAAAVQGQVSPAGAEAAVKAEIMSHPQYSALLAAYLGCKKDAYCSMLTRYREELERPIQEAAEFFSRVETQLDSLAESNCEGTGSSEEEQDQDTSCPEEIDPSDKQLKHQLLRKYGGSLGDLRQEMEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGRPFVVDGMYRLGS >KN539108.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539108.1:108194:111035:1 gene:KN539108.1_FG012 transcript:KN539108.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQMPLLRHLHLGGNFFSGEIPPAYGRWGRMQYLAVSGNELSGKIPPELGNLTSLRELYIGYYNSYSGGLPPELGNLTELVRLDAANCGLSGEIPPELGKLQNLDTLFLQVNSLAGGIPSELGYLKSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGECKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVSGVAAPNLGEISLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGVVPPEIGRLQQLSKADLSSNAFEGGVPPEIGKCRLLTYLDLSRNNISGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGVAGTDHGGHGHGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASEARVWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGAMPNGDHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEVLSHAVDGFASNPPAPVPSGSAEALTGDAKDQQQQQTNSESTTPPDLISI >KN539108.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539108.1:134189:136806:1 gene:KN539108.1_FG013 transcript:KN539108.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMLSSDDKSPRLLHVCNAVCERLQCGLKTLRLKITISPETVLSPLLLSGCSCWDAAAGPKELLREQKKKSARFLLAPIAASREILLKAQSLLASANVGAGDAEEVGRMLGAAGRDCVPRQRNSLVSLQSRSGVEVFDGITLQVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNIELTADREKMKDGLLSTVSAIDKFEQSIKDCLVMDNKGKTLVIQFLP >KN539108.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539108.1:50983:51594:-1 gene:KN539108.1_FG014 transcript:KN539108.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVTRRRLGRKGFIVFAGDASDGDGVRRIIGGRSNAATESEMERGVTSDQVGDGDRGGEGDVETGEEEEEEVDGDGYIADDGLPGDEDDDGGDLRHLQADEIDVISFGPRMNSSDARAVVPLVGVADGMNRTALINTSVNDSGVSLNPPVAGSLRYNHREATGNIEALGRLGAYDYVRHGRRLKMADGMLSDDSKRIFRPV >KN541760.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541760.1:833:1475:1 gene:KN541760.1_FG001 transcript:KN541760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHTDKFEGVPYGLFLGPKELEEVGGTEELEKEIKKRIKRKEAMDAIR >KN541760.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541760.1:22097:23574:1 gene:KN541760.1_FG002 transcript:KN541760.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCENGSGVFSCPIGKNPMYTYRECIVLGETECSIATVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLGVPKLPGWVNRFANAGDTAVVVAENTAVKNSIYNGIKLQQSL >KN541760.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541760.1:17905:20052:1 gene:KN541760.1_FG003 transcript:KN541760.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASIAALRHLAAVLSILAHCLLLSSADVDFIYNGFRNAANLSLDGSATVLRGGALQLTNDSNNIIGHAFFDYPVQMVSDAAVVSFSTAFVFDIVTNGSVGGHGLAFVVAASKVLPGATAEQYLGLLGKSNMGDPSNHVFAVEFDTVQANGLLNETNGNHVGVDLNSLVSNAWVDYDGSAKILNVTIAPVASTVPTRPRRPLISHAVDLLPIFKQEMLPYRELYMATKGFKNSELLGAGGFGEVYRGVLRRSGDVVAVKRISSNGRQGMREFVAEVASLGRMRHRNLVELRGWCKRGHDLLLVYEFMPNGSLDALLFGGAPATAAATALTWEQRRLDGRYDKEEARLVLWLGLACSQARPEARPSMRQVCQYLDGEEDVPEEAVLVFSDVYSVDFGSLTSLTWSSCATMSVGSLNGGR >KN541760.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541760.1:9887:12974:1 gene:KN541760.1_FG004 transcript:KN541760.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHGGRYVLYNVYGNYFEVSSKYAPPIRPIGRGAYGIVCAAVNSENSEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENSDGDFNTGSQIIAIKDIIRPPRRDNFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSAGAVDLLEKMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHIKELIWRESLAFNPDPPY >KN541067.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541067.1:10056:13206:1 gene:KN541067.1_FG001 transcript:KN541067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLAFWVRVVMLLDFTNNWNDSWIEKDEQKWEIALLVVTVVCYLSTFAFSGLLFTWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMPASVISVYCAYLCYTSLSSEPDDHACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGIKNPLLGDDNVEVGKSDSKEIDARPVSYSYTFFHVIFALASMYSAMLLTGWTSAASDSSELMDVGWTTVWVRTCTEWATAALYIWTLVAPLLFPDRDFS >KN541067.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541067.1:19688:22156:-1 gene:KN541067.1_FG002 transcript:KN541067.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVADTVALHPWLLDLLPLLIVLLISTHVLVLVHTSPSRESSRPPSGSSVLVPCPSGAPSVQGQALRELLHLERNQGKLSEYKTRMVRLKPKEVTLHGLRSNFLWKFILICNSAVLEKEIAYSIVHNIGTKIHFRDSKKEKKKDAA >AMDW01026342.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026342.1:31:354:-1 gene:AMDW01026342.1_FG001 transcript:AMDW01026342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFEFEVKAVAGDTHLGGADFDNAMVNHCINEFIRKHGVAAEGIWSNQKAIRRLRSACERAKRLLSFTAQTSIEVDSLHDGVDFCAKMSRSRFEELNKELFGKCVKAVK >AMDW01009411.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009411.1:63:195:1 gene:AMDW01009411.1_FG001 transcript:AMDW01009411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRMPLLGWWLMLVGSLRLASVWFGFFNIWALRLAVFSQTD >KN541266.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541266.1:9396:12078:1 gene:KN541266.1_FG001 transcript:KN541266.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGATLKTAAWLLVLSRLLVTPASAQQQQQQRASLLPVARPGCRDRCGDITIPYPFGIGAGCFRDDGLQGFQLECDDSRSPPLLTVYGYNHTISSLSLATGEARAYLNALRMCYDSTGGFVSRSSDSYMSLGTSPYLFSAAKNRLVVLGCPIFGYFVDSSEYYISGCVSQCRPSNYAMPESTKSCTGDGVGCCQSAIPTGINFYQPNLFNFSSGDPAFAANVTGCHYVFLVDADWFRYSDRAYLNRTDDIVVPVVLDWAVRNVGNCSAAKRNVTDYACRSASSDCVDSKNGAGYRCNCSKGYEGNPYLDGGCNDINECQHLDKYPCHGVCTNVPGNYTCECPRGTSGDATVKNGCRPKDKFTLALKVVTGVTVGVVLSAFTCFGLYLGLQKRKLIKTKQKFFQQNGGVILQQQMHSGGGTGGFKIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLKDKTVVAIKKSKMMEEAQTKEFARETFILSQINHRNVVKLLGCCLEVEVTMLVYEFVSNGTLYHYIHGKEPTTDIALDTHLRIAAESTEALAYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEVAIATLVQGTCGYLDPEYLMTCQLTDKSDVCSFGVVVLELLTRKKALYLDGPEEDRSLVSRFTAAVKVGRHQELMDNQVRKEMNDQMATEIADLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWAEAKGNAEENQSLLSIEHQNPNYQFRQHDVLDLEEGSRYTFSL >KN541266.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541266.1:17854:20291:1 gene:KN541266.1_FG002 transcript:KN541266.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLHAGVAILLLGMALATPIFKLHLVNLSLADGEARIYENAVREQYNSTGGFVSENAGGASYSMLGEYGGSPYRFSSAKNRLFVLGCPNLGILLDAGENYVIGCMSMCGSSQLNVSAAACAGVGCCQSLIPPGLHTYYVSLNNDRGDNNILQSYGATEYRYAFVADAQWLSTSYRGDFNRTDDFAVPIVLDWAIRNVGNCSAAKRNATDYACRSDNSGCVDSTEGEGYRCRCSNGYEGNPYLDGGCQDIDECLRPNEYGCYGDCTNMLGRYTCVCPPGTSGNPTDQKGCSQKDKFTLALKVVTGVSVGVFLSVFMRFWLYLGLQKRKLIRTKQKFFEQNGGVILQQQMHSGGGAHGFRIFSTEELKKATNNFAIDRVLGRGGHGVVYKGVLEDNTVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDLEAKIALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFDAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEKDRSLVSCFTTAVKAGRHQELLDSQVRNELSDEMLQEITHLLMRCLSMIGEERPAMKEVAERLEMLRRYQQHLWAKAEGNEEEIQSLLGMEQHNANYQLRQQDVLDLEEGSTFIFSL >KN541935.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541935.1:10722:11791:-1 gene:KN541935.1_FG001 transcript:KN541935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLANRSLHWYCSPEVKVYAYGVAQVKKTLEANFLQVAVDYKKKIGFRACNGNLTFYSSSIYVLDLCLSLTTIQKGHPRSDQVGFRPRPPLRL >AMDW01040820.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040820.1:152:2502:-1 gene:AMDW01040820.1_FG001 transcript:AMDW01040820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVNSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNNKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALKSAKIVVDRLLERQKVDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQARELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDR >KN541414.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541414.1:544:1697:-1 gene:KN541414.1_FG001 transcript:KN541414.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCLLILKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRRTVVSIPCGPSALAVKEAAWGLARYAAIAQ >KN541414.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541414.1:20477:20932:1 gene:KN541414.1_FG002 transcript:KN541414.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIITWMQQERLVHRKIRNTLVHEPLRALRHRITALIEKALVARTTPNFVRIVYNKLEAFIQRIIASRQQPLEEITDEDTPPSDGTGLIDDAWSIAEELLKLEDEEKMWRVIEGVWVEMLCFSAARCRGYLHAKSLGTGGAISGCSCIIW >KN541414.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541414.1:10252:15893:1 gene:KN541414.1_FG003 transcript:KN541414.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQVMNYSDCHGPLYSPRRRMQDIEHGCLPQVILGDMPCKFINGTIVCEVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLSSVVNASWTYHEQLIAESTILRALQPTLNLDPTPCLERLQNSVKKIDLGLNKGRQKIKATSIDNTSADPPENCKPKEFITCEGAVICIENEAPEGLPRGMLNGLSTDCPLDLQIKKVQSAAGSDPDNAIQYSSTLMNSSALCNIKQSGSCTPAPDLLLQNQQAQVAILQVDHENRQPQKETVQLQNRKEHSNLPREIHDCQSCRPSNKHSMLSSESSKCHFQKSIRSSNNKGLNLVSPNQQPVKVNLDQTTGSKDMRVQQQKSLSAFTADCPHPSSETNNSCIEKIPKEVNYSTVRLKDKNLPSTVGPENYCVEELKDRATPSVISCSASSRKAPSKPPKVVTEPQPSSSKRKVLGVYTYLNQEIDSTEKRQKKADMQSNTPCENVSPGEPDVTDGISTELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGLERSWPLPPSKFFLSENTADIAYTQNEIMSNYPTGRTTNTRKIRRLSFHPVQYLCRGVVDECHYTLCLLESEAPDDHQVAVETIYGDEHVYISTLPTSHHANKLVDQFISLMRRDGYTLCNDIREQYEDAPQLGYLTGGYPQYPIARTMVINGSNNIGCTFHNGPPDVHANAQQQWVQAQQCPTLPSVQTNFWNPYHPGQQHYTGGILDHGGFYANRAFSMDMDQHIQHVQQRQVVGWFPNGVFSMDLDQYQPVRQSQGVGQCWHCRHDIPGFFSERSYATHASTGSYNQWRQISTPLGGKVYQWDLPAFDRRVCGCPPVNYAGSSTPLSTLHPVGSPPMSSQSFGSNDGSLTSTPVQLQVPLGYQCMSHGMW >KN541414.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541414.1:6246:8018:-1 gene:KN541414.1_FG004 transcript:KN541414.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQAAAAVVHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKKHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGDDIRRHLLGQEDASRKDEEAAVTDELA >KN541414.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541414.1:24014:26311:-1 gene:KN541414.1_FG005 transcript:KN541414.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSALQWWDVWQLRILALSSLVVQYVLLFMAPLRKFPICSWIRPFIWLSYLSSDALPIYALATLFNRHKKHDVGLTHSSNILEVVWAPILLIHLGGQDSITAYNIEDNELWTRHALTALSQITIAIYVFCKSWPGGDMLLLLTAIILFIPGVAKCLEKPWALHSASINSLVSSPQNVQRTISRHQKIDSIDDFVRMVRGYFYVNGTPGTSVKFDPSELFVDLSSPPPDYRLKKLQQFSEKDADEAYVVLQEGLSNTFDLLYTKRKMFDNSYIVFGEVQDRFFDVFRRPENGKKALSYIDLVKEMQKSIKMLSSSKLFVGLMIRSYAVALQFGAIGLFHHSHRQAYSASDVNVTYTLLCCTAALELFGPRALDLISSGKKPTLWTKPRKPVNDNNVSKKIPRPGEPQHMDAMVYQYNLMGYFIRNKRHYMLRSIARVFRWKDYLDQRWQMKSSSSSRSITTLLLRHVKHWWKYHITDICTYRMFNDNRGKWTLQSEGCLQELGWSLSGAFDESILLWHLATDFCYYRLSGSHDLCIQGSSGLNEGCPTWCQKSIHHKGAVQCREMSNYMMYLLFVNPEMLLAGSRRNLFTDAYKQLKGIVKENNLSLNERELAQRIIVEVQQPHEENERGLIDDAWSIAEELLNLEDEEKMWRVIEGVWVEMLCFSAARCRGYLHAKSLGTGGEFLSYVWLLLHYMGMETLAEKLARAELPNEARSGDSSTKHAGDSYGKEQAPGASTSHARGAYGDEDGAGPSSYHEIEVMGA >KN540069.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540069.1:61411:65322:1 gene:KN540069.1_FG001 transcript:KN540069.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTSTRRCRVIFCKSIDKRIIEVQIQKQALLLEAKDITSGGRRRRRRNPEEDELPALSCNRAQESTSSGGRKLSNSIIKDGLIHKVVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMVFPSFVIHSEVSEADMVA >KN540069.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540069.1:39608:41100:1 gene:KN540069.1_FG002 transcript:KN540069.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHQPLHILFLPFLVPGHLIPTADMAALFAARGVRCTILTTPVNAAVVRPAVDRANEDALRGDAGGALPIDIAVVPFPDVGLPPGVESGTALASEEDRGKFVHAIQRLREPFDRFMEEHHPDAVVADGFFTWSVDAAAEHGVPRLVFLGTSVFARSCQESMVRHNPVEACPDDDPDAAVSMPGLPHRVEMRRSQMIDPKKRPDHWAYFKMMNDADQRSYGEVFNSFHELETDYAEHYRTALGRRAFSPAEMRELARGLDLSGMNFVWVINGADDTDASGQWMPEGFAELISPRGDRGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFFNEKLIVEVLEVGVSVGSKDFASNLENHQVIGGEVIAGAIRRVMGDGEEGAEAIREKAAELGVKARGALEKGGSSHDDVGRLMDALMARRTSVDV >KN540069.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540069.1:27323:29208:1 gene:KN540069.1_FG003 transcript:KN540069.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSPIDEALLHGKHEEALLHGKYEHVEQQLLPTSGGSFCITGASFGRSCLNLSNVISGIGMLSVPYALSQGGWLSLALFAMVGAICFYTGKLIYRCMRADRCVRSYPDIGYLAFGRYGRTAIGLIMYVELYLVAISFLILEGDNLDKLLPGTVVKILGYQVHGKQLFVLMAAAVILPTTWLKNLSMLAYVSAVGLVSSVALTVSLVWAGVADKGFHMAGSSILNLSGLPTALSLYFVCFAGHGVFPTVYSSMRARKDFPKVTLNLPTEKLYTRIAILTTLITPLAKYALVIQPVTTAIEEKLSTAAAAVAADAEINRLTRVLTSTAVVFSTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMPRGGVGRFEVAAIIGILVIGVCVAVIGTYTSLHQIIGTF >KN540069.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540069.1:592:4748:1 gene:KN540069.1_FG004 transcript:KN540069.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding PIEDPQSTQLDDFEFWEEINSAECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGTAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWCYRAAADLSRADPSNERRFMLTSLQLVHHQLHFILSPFNSTYRHTTLRINPEIPPSPHCARCQIPSVSITMFSLLSLAHKLCPRFSSPNSGERHTSTNDGQDQITALPNNLLSEIVSRLPIIDAIRTTTLSHGWSRIWHSVPLSLDHSQIRRAGENILDDLSNNAMVARVSSILSSHPGPFNSIHLTCSSMGSHNDTLKSWFKAFADKHLKELAFLNLHYPNDIMVPTDLFRCKSLKWLYLGGVQLPANTGIIPYSHTFHELWEIYLYRCILHEWDIENLLTCSPKVEKLSLVNSACGWPLRLHIRSHSLRCMLHWASSLEELAMVSTPCLERLILWRDDALHWSDCKKIKIYSTPKLQVIGYLNPADHVLQIRDTMIKNDMKASAATVVPSVEVLAMTIRFGVHEEERMVPCFLKCFPSVKILHVKSIARTQTTNEVNLEFWKDVGSIQCVRSIIKKVIFDDFSGEECELAFLSFIAQNANQLEEIYIIPSKKDLSAGSSLGNVINHFMSSILWASAYCRVQVCASAKNAWNYQMASDLSLYDPFGYIVSAITTASCPSLVHG >KN539212.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539212.1:3458:5905:-1 gene:KN539212.1_FG001 transcript:KN539212.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKYFTVSVCSSFSQEMAALSVFASSILSKVTTFAVEYALDDIKLACTVRSEMEKLRNSLKAICAVLKDAERKQSTSSSLKHWLENLKDVVYDIDDVLDDVGTRALQQKVGKGEIRTYFAQLCIFPFELGRKIRRVRERLNEISALKRNFDLKEEPIDTPSDRVVQRETYSIVDERKIVGRDKAKNDIVKVISEAAESNSDTLSVLPLIGMGGVGKTALAKLVFNDKRTKEKFDKMLWACVANVSDLKHIVDIIIQSDSGESNKQLTLEALQKKLHELLGDKRYLLVLDDISHDNINDWEELMNLLPSGRSGSMILITTRLSKIASVLKTLEPYEVPKLSHEECMKVFARYAFKGEKAKDTELLKIGESIVQKCDGLPLAVRTLGSLLSMEDISKWQEVKETNIPNTDILSVLKLSYDALPSDLRACFVSLSTFPKDYEIFRELLIMYWMAMGLLNTASGSKEAIRTGERYFSELAGRSLFQDYVFSHDGTISHCKMHSFVHDLAISVSPNEHATISCENFSASKRVKHLVWDQKEFSKDLKFPKQLRRAGKARTFASRHNYGTVSKSFLEDLLATFTRLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKYMPNSLCKLVNLQTLQLAWCKELEELPRDVKKLVSLRYLILTSKQHYLPNDALMGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPNLPSLPSSMNRLVTLQKLVIHNCDELNLMEPKEAMGGMKSLKSIELVGLPKFETFPDSFASAASTLEYLKVSDCKEFKKLPDFIQRFSSLKKIEIPERRQYSNITWA >KN540069.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540069.1:17992:18564:-1 gene:KN540069.1_FG005 transcript:KN540069.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEINVDIKYLQNLSEQAALSLRHYKVLISFL >KN540069.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540069.1:43571:45083:1 gene:KN540069.1_FG006 transcript:KN540069.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHQPLHILFLPFLVPGHLIPIADMAALFAARGVRCTILTTPVNAAVVRPAVDRANEDALRGDAGGALPIDIAVVPFPDVGLPPGVESGTALASEEDRGKFVHAIQRLREPFDRFMAEHRPDAVVSDGFFTWSADAAAAHGVLVLNHRAVGVFVTHCGWNSTLEAVSAGVPMVTWPRYSDQFYNEKHVVEVLGVGVSVGARDFGSSRESHRVIGGEVIAGAIRRVTGDGEEGEAIRRKAAELAAKARAAPEKGGSSYDDVGRLMDELMARRTFR >KN540069.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540069.1:9238:11473:1 gene:KN540069.1_FG007 transcript:KN540069.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMNMITAAFRRYLGCFSAGSTHRRRRGAAEPVLAGSLTLPAAAGGDNAARRLDVVAPAPSRPDGVTDSDLILAANFTSVAPVAAAVSRVIENHPGPFHTVTLTSYFPESERDTFAGWIRAVAAKGVRDLTLHNIPWSGLHSLVDELAVVAAPLLERIVLRWCASGTHHGHLMRIRISRASSIKAIGYLKPTCHRLQIDATVIKPGIAASPDEVAVPSVKILGLQVRFGVAAEATMVSCMLRCFPNVETLHIMPIEDPQSTQLDDFEFWEEINSAECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGTAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWCYRAAADLSRADPFDCCR >KN540069.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540069.1:35144:36418:1 gene:KN540069.1_FG008 transcript:KN540069.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKVILAEPLLPGKEADFADDDDVEAQLTSYHTGASFSRTCLNLTNAVSGIGVLSMPYAVSQGGWLSLLLFVLVGAVCYYTGTLIERCMRADGSIASYPDIGQYAFGATGRRAVAFFMYVELYLVAISFLVLEGDNLDKLFPGATMEILGYQLHGKQLFIVLAAAVILPTTWLKNLGMLAYVSAAGLIASVALTASLIWAGVAETGFHRNSNTLNLAGIPTSLGLYFVCFTGHAVFPTIYSSMKNSKHFSKVLLISSVLCSLNYGLTAVLGYMIYGDDVQSQVTLNLPSGKLYTKIAIVMTLVNPLAKYALLVAPITAAVEERLSLTRGSAPARVAISTAILASTVVVASTVPFFGYLMSFIGSFLSVMATVLFPCLCYLKIYKADGIHRTEMVAIAGILLLGLFVAVTGTYTSLLQIIATF >KN539212.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539212.1:87147:88622:-1 gene:KN539212.1_FG002 transcript:KN539212.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVGGGGTLCGGGTRGGVRDGVGAGAGIGVGSSLTGGGGGTLCGGGACGGARDGVGVRLIGVGAGAGAGVGCSLTGAGCGGGARGGARDGVGVTVVGVGAGAGADVGSSLTGGGDGTLCGGGARGGARDGVGVTVTGVGAGAGAGVGSSLTGDGGGTLCGGGARGGARDGVGVRLIGVGAGAGAGVGSSLTGGGGGTLCGGGARDGVGVTLVGVGAWAGADVGSSLTEGGGGTLCGGGPRGGARDGVGETLIGVGAGAGAGVGSSVTGDGGGTLCGGGPRGGARDGVGVRLIGVGAGAGAGVGSSLTEGGGGTLCGGGARDGVGETLIGVGAGAGAGVGSSLTGGGGGTLCGGGARGGARDGVGETFIGVGAGAGASVGSSLTGDGGGTLCGGGARGGAPGGVGSGGGAALTGGGGGIVGGGGGGGGGGARGGFLLGGVAGCWALAKEESVRITTANRMALGTAMATRRGALLFPAFELMKYMKLFIGYR >KN539212.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539212.1:79745:80032:1 gene:KN539212.1_FG003 transcript:KN539212.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIADMEPWEDPKPKELVRALIRHETQKYAN >KN539212.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539212.1:55005:57027:1 gene:KN539212.1_FG004 transcript:KN539212.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFYALIKSNEALLITINAAGCVIETIYIVMYLAYAPKKAKVFTTKILLLLNVGVFGVILLLTLLLSHGEQRVVSLGWVCVAFSVSVFVAPLSIIKRVIQSRSVEYMPFSLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMGLYVFYMNATPVAAEGKEGKGKLAAAEELPVIVNVGKLAGTPDRSSGAVHVN >AMDW01036539.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036539.1:48:607:-1 gene:AMDW01036539.1_FG001 transcript:AMDW01036539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSCELPAAVYLYKDMKHITQPYSWYAGLTESCSGCFTSIANVFSMIGTVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHT >KN538848.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538848.1:55479:57967:-1 gene:KN538848.1_FG001 transcript:KN538848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAAEFGHRDLDLGAECSRLNRIPSHRWILQVLPRDDAAKIDAQYRSIVRQVEPVRDDLPGADAALRLVNDAYAVLSDPAKKARYDSTVANVELRCEDILQTKGICAADRSTHDYPNAELGRLNSLDADGNAVAGVSNNVPPYVQHNDRSCLDLPDENHVDKKQKSVCEKNVHCVSSPHVDLDDRFTDPLDNREDELCSSTQYDIHNFENDREIVNFAAGQIWAAYDWEKFPRRYARINKIVADKEHLYVSWFKPSPQSHDENRWFSASLPFVCGIFIADECKISVTCPTMFCHQISSDNWNHHLKVYPQQGEVWAIYSDWDIGWCSDPGMRKKSTFYVVEILNSYLKGSGCTVAQLVKVDGYRSVFQRHVRSGREQLLQVHIHNLLMFSHRIPSFRFTCDVGTVFELEHSAVPENLQYENTSASVAPLHPLQGLHDDSNGFHEAAATHLSNPSTSKMDLGNPQQGMMNYNNKLSPEHFVEGQIWAVYDAPDRMPRSYVRVICVVSHTAVSVLKLEPHPMLNEEIHWVEDGLPVSCGVFRAGSETACKEISEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKIAFSNADIDKCEPRMVEILSDYSDEIGVNVCRLTRVKGCLTFFQRVMVEDFHLTKLISRSEMLSFSHRVPAYVVIEIKDRDIPKGSWHLEPNALPLRNID >KN538848.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538848.1:94613:96737:-1 gene:KN538848.1_FG002 transcript:KN538848.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRQGRDAGPLTIVSPMSASSIATPVEGIPAKNLMAMAESPPSPVAGRSSTTASTCCAPWCPRSASFGNMDRASILGDAIEYLKELLQRINDLHNELESAPSSSLTGPSSASFHPSTPTLQTFPGRVKEELCPTSFPSPSGQQATVEVRMREGHAVNIHMFCARRPGILMSTLRALDSLGLGIEQAVISCFNGFAMDVFRAEQCRDGPGLGPEEIKTVLLHSAGLQNAM >KN538848.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538848.1:26211:30222:1 gene:KN538848.1_FG003 transcript:KN538848.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGDAGEGEWLQVAELRAMVEAQDPHAKEVDNLTLRRFLRARDHNVEKASAMLLKALRWRREAVPGGSVPEEKVQSDLDDDKVYMGGADRTGRPILLAFPAKHFSAKRDMPQFKSYCVYLLDSICARIPRGQEKFVCIVDLKGWGYSNCDIRAYIAAIEIMQSYYPERLGKALMIHVPYMFMKAWKMIYPFIDNVTRDKFVFVDDKSLQEVLHQEIDDSQIPDTLGGKLAPVSLKNNARINPD >KN538848.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538848.1:60633:64865:1 gene:KN538848.1_FG004 transcript:KN538848.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MASKNPTKTQLLLLLLVFFLSLACSQPLHSEPMSTTTTQSAPPPPPPQSKIPHAEGGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNSKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSQQVNLNWDARNRIAIGVAKGLSLKPSNVMLDEGFEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDHFFSGETGRGGLARWLRHMQQSGDAKDALDSSVLGEEGEEDEMAKAAQRRNSTVKGKQSGEGHCRIAIDME >KN538848.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538848.1:84872:87594:1 gene:KN538848.1_FG005 transcript:KN538848.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVDNRFPGSKSLRCIDLSLQNFFNRSDSKVVAADASAAISSSMDWIQLPSPMFNFQASSVAHHWEISCFPLRGREVLCIDQDERCLIFDGDRRNIVTMPDLCHPKRYPISLFVPSTNVDDDDGDGTLFIMESVVKSELPRSSPSPSHSDQFEAIVYRTPSSVRMVSGGSEICISVEGAGTYCMDTVKHTWRHVGQWTLPFDGKVEYVPELKLWFGLSDKTNHLAAADLSAMDDCFQRPELVRAWMELSPCQPGLRQFTPTMALSRRFLNLIMDNRYPVSKSMRCIHLTHQCNLFNATPPNFFDGSKSTIIRVEDRIQLPSPIFNFAAGFGEDWRMDCLPFLDRRVICADQSGRCFLFEADRSRAVMLPRLHGPKQMPISILIPCPEEESGEFDGGNFYIMDKMSRAGASGTNQFEAFVYCHYRPIVEVAS >KN538848.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538848.1:101672:109022:-1 gene:KN538848.1_FG006 transcript:KN538848.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPPLPPRGRNIVEPPPTVRSTSAGRPAVASRPTTTVVPPIKTSTTLRTPSPIPPVAVEPPVDRSRQKRFDTGHLNSRESTPKREASALQDELDILQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGKDGEVTTLKHELDCAKEEVVTAMEQLKEAETETKALRSMTQRMVLTQEEMEEVVLKRCWLSRYWGLAVQYGEDDAQRRNKLVRDMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDLSHEEAEDVSFKQAWLIYFWRRAKTHGIEEDIAEERLQFWIGRNAVAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDEDSSAIENQFAVLFLLGYLELQVAFLVNLFILPVKGSEGSFRRECDLSGFCPWLSSTSIKAGTMDRPVAWRVGHPASGGASAGGDWWPRLCR >KN538848.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538848.1:12876:15441:-1 gene:KN538848.1_FG007 transcript:KN538848.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAIAILAAAACFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPVGDLKPW >KN538848.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538848.1:146641:150947:-1 gene:KN538848.1_FG008 transcript:KN538848.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPFVTAVTTVLSYGVIFGFGHLRDWFRALLRSLFSGDSLVADANLKGYAPICGGEEDFYYRRFVRRVQDCFWRPIASKPDAWFDVVERYSNDSNKTLHRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIDSLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGASVQVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHLYATSMSPPAVQQVISAIEVILGEDGSDRGAKKLAQIRENSNFFRSELEKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAIVTVSFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLPVEHEKTTSAEKLEKIQ >KN538848.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538848.1:139404:143238:-1 gene:KN538848.1_FG009 transcript:KN538848.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVPYVTAATTLFSFGLIFGFGHLRDSFRAILRLLFSSAAAADSPAGCSPKGYAPICVGKEDFYIRRFFRRVQDCFGRPIASKPDAWFDVVERYSTDSNKTLHRTTKTSKCLNLASFNYLGFAAADEYCTPRVIESLKKYSASTCSSRVDGGNTQLHIELEELVARFVRKPSAILLAMGYATNSAIIPALIGKGGLIISDSLNHNSIVSGARASGATIRVFEHNNPAHLEKLLREQISGGQPRSHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKVYTYMDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLKQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLPVEQEETTSVEKTKKL >KN538848.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538848.1:75437:80021:1 gene:KN538848.1_FG010 transcript:KN538848.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADRQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHSMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPAQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDSWMQLGRRETDFKDVRSGSQSFGESPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYFVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDQPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPSNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATENEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFNGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKEDYSENEKGSVKRDDTRGRSHGVLRERDAILRVPAK >KN538848.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538848.1:35317:43764:1 gene:KN538848.1_FG011 transcript:KN538848.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPVPKPPLEAALALRRSARCSNRTRRPTYVEQEEPKESAGRRRGGKRKREEEKKEPVAQGAMKPVRMGDAASERKPSSEGKPMPAIAAEPVSCAGFARPAAEDDVCGNGKSAKLRVKETLRAFTSHYLHLVQEEQKRAQAVLQEGQKRPSKRPDLKAITKMQESNAVLYPEKRIGELPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGLKYQGKEEYADFTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLQRGNLALKNSKDNGNPIRVIRGHISKNSYTGKVYTYDGLYKVVDDWVQNGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGVPFLVMLISCCMTGALLLSRLVCDDISGGQENLPIPATNLVDDPPVPPTGFVYSKSLKIPKGIKIPSNCNGCDCEGDCANNKNCSCAQRNGSDLPYVSHKNIGRLVEPKAIVFECGANCSCNNSCVNRTSQKGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEEVDGLLQNNYIFDIDCLQTMKGLDGREKRAGSDMHLPSLRAENDSDPPAPEYCIDAGSIGNFARFINHSCEPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYVLDSVVGPDGNIVKLPCFCGAPYCRKRLY >KN538848.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538848.1:6979:9561:-1 gene:KN538848.1_FG012 transcript:KN538848.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSPAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >KN538848.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538848.1:89032:92994:-1 gene:KN538848.1_FG013 transcript:KN538848.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPTPPASGGAGDGRGGGGAMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALRMS >KN538848.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538848.1:154150:157355:-1 gene:KN538848.1_FG014 transcript:KN538848.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESMKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEHEKTASAEKLKKLQ >KN538848.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538848.1:118140:122201:1 gene:KN538848.1_FG015 transcript:KN538848.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKGTATPLGAVFSPEETRRAMARVAEAVADRRAELGRLQGFSADNAALVSLVQRLPDEVSHDIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEAQVEAMKATISDLEAEVKFFESTAAEASEGLIEIREEYDEDTEINSSTLGMPDKDREYTQIMARLDELEMEEKDAGSTSEEDGEDDEDDEDDEEDAGPSEDDKEDEEEENILIDDNDHHNASLGASFSGSGGNDQSHESAQLKSALKKPGGREILKSASFAPSASTPHSFFPGQTSTINPGIQLPLKKAVSFQDDNRHTVGPSKSLPLPQGPKHSSPILEVSSDNPTSHDRKIISSGQKAFTGSIIEHDDNISTLQHSKSAALQNPASSSSRPVSRFKMQKGGR >KN538848.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538848.1:48877:52035:-1 gene:KN538848.1_FG016 transcript:KN538848.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEDDRASRCKRITRESEATKNGAATKLQALRLVEDLSLPSLSMDVNDVGHPTIGYERPHAVAHHAVDSVQVVVMSANMGCSHCRQRVTKVVSKMNGLLDYMVDFGKKEVTVRGTMVHTKKKRKPHKKKQEENKKGIAANWEKKSSSQSNDSARTLAWFLRCYSS >KN540735.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540735.1:2201:2671:-1 gene:KN540735.1_FG001 transcript:KN540735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTYDDAYKFYQTYACHAGFDIKKSRTHKAFREVCCTREGKHVSKVTDGDRQRRRPSKKMGCKAYVKLRHNYDGGKITSVVYDVVELQHNHPLMPSPSAVKHMRAHKNRDDTVMQFVDTMQESHVPQSCIMGVLSDLHGGQENIPFTSRDIENR >KN540735.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540735.1:28173:34109:1 gene:KN540735.1_FG002 transcript:KN540735.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYEWQRLWQEQGINRLGKPQQAWRLKAADSDAKDLNQVSRAEASSF >KN540735.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540735.1:4897:10151:-1 gene:KN540735.1_FG003 transcript:KN540735.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRISFANSQNECEVVLPQTLASGGAYSSRAVGEAAQSKPKRRRRATSAGEGPSVDEARLSAKQLIEVIKSQKDDPRAVRYFIMVLMSELLVPTTDFYVPKGDVWVASDLDRVAAIDWSKAVFRALSDSIRCWRQNPASSIASCVVFLVVLYLDNILPPRDIGLDLTFTPRIQMFTKDIVDKLVAADQEAGGDGTPPFGNLPRSPIPTDSAPLISDEVSAQHSAPDTAVEPPAIEAGGVIGNVSGASTASQTEDAPRAVDQEQHRTELLAETNEDTDDVNSTPWSQPKRFIQKPARFVSPVVVGPSIMPSDVSLSVQLRDFLLNNGGRMDSVKLMEIDSYVAYGNDVVNSFSTGNQTEGLFIDAFSSILFKDDRRHRTDTFGKRIFFSTSVSYLLNSDFIKINGETHDFSPDDLAGHLREYFQNVQTTKAQKIMVPVLHHDHWSLYAINIAHRRVDIMDSNNYNLIGTLESDHHRALSKRIVKRLSDALHETDSSLVRSSILHQLMFSEYNQAAPLHPDIEEFRRSNAVDPAA >AMDW01040299.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040299.1:58:1354:1 gene:AMDW01040299.1_FG001 transcript:AMDW01040299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPASIGRLGMLQTLDMSDNQLTGSIPSSIGDLKNLNYLYDFFLWFLSPHSLPAAIYFESFSVPLVATRKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIAGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFVTIIVSMLLWWRHRRNQQIFFDVN >KN543855.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543855.1:450:3046:1 gene:KN543855.1_FG001 transcript:KN543855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARTLLMPLAAATLLVASTIFLFAATGARWRPADTGLPQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKELQEKLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEDRLIEGRLTASSQMELVGTASFCLGLKGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASQGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARKVPAIRLKSHRSRRFSRYDRGGK >KN543691.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543691.1:2866:4030:-1 gene:KN543691.1_FG001 transcript:KN543691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVASILTLALVLGVLAVTPKVVQSIGVCYGVNGNNLPSPSDVVKLYQSKGIDSMRIYFPRSDILRALTGSNIALTMGVANENLSAFASDPSAVANWVKQNVQAYPGVNFRYIAVGNEVDSGNTQNILPAMQNMNNALSAAGLSNIKYLASTGAPLLANVYPYFSYVGNTAQIDIKYALFTSPGTVVQDGGNAYQNLFDASVDTFYSALERAGAGSVPIVVSESGWPSAGGTAASASNAQTYNQNLIKHVGQGTPKRPGRIETYIFAMFNENDKRGDETERHFGLFNPDQSPAYTINF >KN540074.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540074.1:44018:46705:1 gene:KN540074.1_FG001 transcript:KN540074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAFVAPEVAARLPRHVSRVVIGSEVFDGLASGWAAPPAVAVKQSSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRYVGDPEATAAAITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGADLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVQQAMASSSRL >KN540074.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540074.1:23422:27825:-1 gene:KN540074.1_FG002 transcript:KN540074.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLSHKNLDMVFANASIFVQSYKQGICGSTFHCRYFSSKAKKKTKSSGTDSGEENLSKKDLALHQAIDQITSAFGKGAIMWLGRSEGRREVPVVSTGSFSLDLALGIGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKHGGYCAFVDAEHALDPALAESIGVNTSNLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVTTFGGFGGPMEVTSGGNALKFYASVRMNIKRIGLVKKGEETIGSQVLVKIVKNKHAPPFKTAQFELEFGKGICRSSELIELGLKHKLVKKLGGAFYSFNEESYRGKDALKSFLNENESVAKELETNLRKLMETEAPKKQGDEGDFLSDLPEESLATETSSEEELAAVMEA >KN540074.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540074.1:48076:51129:1 gene:KN540074.1_FG003 transcript:KN540074.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILGSVDHMKQEGRSDDCFVADFDKIISPISVAHFSQHKLVDLLLNKLDGIGFQTCLPGDIGSSTQDLVLENKILMGHECSSIQLTDNGILIGASFNEAGRMQERKIHCGFLLGADGARSTVREFAGIHMKGQRDLQKLVSVHFRSKDLGKYLSRERPGMLFFIFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKVCEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYELGALVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPLKESYELARAMLKIADELNLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVLSEVLSN >KN540074.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540074.1:55731:59682:-1 gene:KN540074.1_FG004 transcript:KN540074.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPATATFPHLGLRPKPLLRRRLRRIAVSVSPSGPDETPADDPPVIPSILVKNTEPEDVARRRSWMEHGWAPWEEIMTPEVAEAHREFTERLDMAAVAAKGVTHVDKVENAPDDLGLDRYKMFLKQYKEWVEANRDRLEQESYKFDQDYYPGRRKRGEDYKEDMLELPFFYPGQICRGRVISVHLYQGAFVDIGCVHDGWVPIKGNDWYWIRHHIRPGMEVHVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDYPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVETLWLWHNAEQMILDHEEENPDKFKDTTYESTVDSSIVHEENRVQHTEGYFKDTLLKKEVVNIDIKELDLDAARAERQLIKKLRKESEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKAFGLPVDEPGRYDVDETEVYGKDYYDPSKPMYRYDYWGEPKNTEKTKLEREVELHNQQVIGDAKKWCEMSYDDYVRQKVRMEAAEARERQRKASEPQEEEEEYDDEMDLDLKKMMDPQAPHNRCFITK >KN540074.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540074.1:2677:10545:-1 gene:KN540074.1_FG005 transcript:KN540074.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEAEEGPFLANDAKLHAGMYRAFHPAVSKLVAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARTQLQESLRRVEGIVTEEIGCKIVEIIAELEEVVFTVDQSEKEAGDEVINLLQRNRKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEYVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMEDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLSHLSLTPNYCVKALIASWCEQNYFPVPDGPPGSFDVNWRLALSDSEATGCVSLESFDSINVKSVKVVPLDNVRKEEPANSESETLDDSSCYDFDMNEGLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAVQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVIDQLEQMISNPRLSAPATALYLNLSCLPEAKNIIGSSQAVSFLVDRLFSHEARDTKGSSCKHDALYTLYNLSNHQASVPSLLSAGIVEALHSLLSESPASEGLGWTEKALAVLISLAATQAGRKDIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCSADDKCIAPVLQEGVVPSLVSISATGTGKGKEKSQKLLKLFREQRQRDGAQPAPQQAQQQQQQQQQAGETGNGTMVHSCRYLSSGGPTAQEIQHQTAQEEEANELVAISARLLLGSCYPFVKRWAFFLVVISGITGDGRCLFRSVAYGACLRRGKQSPSDSIQKELADELRSKVADEFVRRRGDTEWFLEGDFESYVRQMRKPHVWGGEPELLMCSHVLRMPITVYMYTKGSDSPRIIAEYGQEYGKDNPICVLYDGYGHYDALQPSLERNTDNRRLRYLRLFSRTVSRSSAT >KN540074.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540074.1:51737:54160:-1 gene:KN540074.1_FG006 transcript:KN540074.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGDGGASSAGFSYFAVFHNYPLVAALLGFAVAQSIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGCALFATAAIFASVVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSERRPLRELLGHTPTQVVAGALLGSMLATAGQMFLVVSGSVMYFSGLLYRIFVR >KN540074.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540074.1:1047:2022:1 gene:KN540074.1_FG007 transcript:KN540074.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDDTNSIMFSPPSSSKKARVAAAGDHGDDMVSSFSNIDSQFGLSKQSSLGMAGMDDFLQLQPDSVACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDIAVTYIKELQGQVEKLKHDQANCTCSGKHDC >KN540074.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540074.1:30589:32233:1 gene:KN540074.1_FG008 transcript:KN540074.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSFDLSCSLIKEEDKLSPFLRKLFKEALPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSAKFNFTKVSPEEVIFTFEEAQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVAREAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATMCRFLVEASLSESEFQELKSCILEFLSSAAPEE >KN540074.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540074.1:60868:66670:-1 gene:KN540074.1_FG009 transcript:KN540074.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVLDYVRIASFAILLVWILAELARLNKRHRRGHGDMVSSQRKGEAQLPAYIIVLCNASISLMHICFSVLVFWKRQTVSLDLIFKSVSWLLVTLFLLYWKHEGAGVVSNWPSVLLSWWFFSFLSESLLTSLHLLHLFNSATVVDFTSLPLCTFICLVAVTMRPSKANQQDQNQPLLVREDSDDSSTDRFSNSGWWSCLTFQWLNPIFEKGHKVRLELDHIPSVPQSDTSNQSYALLQETLHKQKPEPMPMRRAIICAVWTPLIANGVFAGLNTIASYMGPFLITYLVELLSDKNPDKGHGHGYMLACLFFASKTVESLSQRQWYFGARRIGFRVRAALMVSIYQKSLLMKNSSTASGKIVNFLDVDVEKVSEFFWYAHGIWLLPLQISLALAILYRSLGAMASLSAVLATVLVMVSNTPLAKSQENLNMKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYFDKLLKLRDVERGWLRKYLYTCSAIAFLFWASPTLVSVVTFGVCILVEMPLSAGIVLSAVATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEEHQGKPSRSDNNTRTKDLSMAGAMEIEPGVYGWEIDNSLKKTKFMLKIDRKLSISKGQKVAVCGPVGSGKSSLLYSIMGEIPRINGAETTVFGSRAYVAQSAWIQTGTIQDNVLFGKDMDRSFYEEVLHGCALDRDLELWANGDMTMVGERGMNLSGGQKQRIQLARALYSDSDVYLLDDPFSAVDAHTGAHLFKECLLRLMSSKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDDLVADMNGELSMQMAAHNQSLSQVTPAKAHVLTKNKKYKRRQTELTEIELDHNVIGRECEEERESGRVKWDIYRKFVSSAYGGALIPVILACQVLFQGLQICSNYWIAWAAERQEQVSREKMIGIFVLLSAGSSVFILGRAIVLSTIAIETAHQFFLGMTRSIFRAPINFFDSTPSSRILNRASTDQSTVDTDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFILFIIIIAISTWYQSYYICSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFFRKSLALIDDYSRITFHNSATIEWLCVRINFLFNLVFFVMLVILVSMPRNTIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNITSEAPLVIEDCRPRESWPWCGTIQIDSLQVRYNPDMPMVLKGISCTIPGERKIGVVGRTGSGKSTLIHALFRIVEPSEGRILIDDVDISLLGVHDLRSRLSIIPQEPTLFQGTVRTNLDPLQQHLDTEIWEVLHKCRLEEIVREDSRLLDAPVVEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETNNCTVITIAHRIPTVIDSDLVLVLGEGKILEFDSPENLLRDESSAFSKLVMEFVGRSS >KN540074.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540074.1:38706:41773:1 gene:KN540074.1_FG010 transcript:KN540074.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEADEEPPPPAGALLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGASKL >AMDW01037094.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037094.1:32:370:1 gene:AMDW01037094.1_FG001 transcript:AMDW01037094.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQSM >AMDW01070730.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070730.1:647:2143:-1 gene:AMDW01070730.1_FG001 transcript:AMDW01070730.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAALLEFGAATLQFNFWKTV >KN540239.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540239.1:9471:12925:-1 gene:KN540239.1_FG001 transcript:KN540239.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLVVGGTGFVGRRVVAASLAAGHPTYVLLRPEIGLDIDKLQMLLAFKARGARLLEAMGDALEPGRVSFDEKMVIRRAIEDANIPHTYISANCFAAYFCPNLCQMKTLLPPKERVGVYGDGNVKVFFVDENDVGTYAIKSIDDPRTLNKTIYIRPQDNCLTQNELISKWETLTGKSLEKFHIPGDEFLASMKDLDFASQVGIGHYYHIFYEGCLANFEIGDNGAEATQLYPEVQYTRMDEYLKRYI >AMDW01016287.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016287.1:42:254:1 gene:AMDW01016287.1_FG001 transcript:AMDW01016287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APSMGRRATPPPNAQSGVGNGAANGEASATPEPMTAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNRE >KN540239.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540239.1:49533:57721:-1 gene:KN540239.1_FG002 transcript:KN540239.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDEPWWLMAVAGERWPTALEAKALLQASLPDAGQHLNQQGGPTFAHRAPYGRPQRIGWSPPVTEISLANYTVRVLNVVGTVNFTFAGHNDSTATWAGVGVGQDDGPYIVSEEHNQLVVTGCNIMASLLGNSGSNVIIGCSSFCSITDWWGADPIVHSGAGGACSGLGCCDVNITIGRPSYDLQLRWLDWDHNYDHLLPIAVRIAERGWFDGMSTKLLRKNSRSAVPVPVVLEWAVASVHKHVPQGPGEKRVP >KN540239.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540239.1:47348:47788:-1 gene:KN540239.1_FG003 transcript:KN540239.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGYSRATSEVWCGWFQDPASDLVVWQLAWCGGLMDEGGGVRGKAGSGAPKLARSDLPLSSSGGGVYRHGMAPSCGSYTASACPIYVESGTAGVVIGLWCCRCKQVARALAMAVVVATNVEATIWQRQSLKVLVSGQLEFGGTP >KN540239.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540239.1:37945:45635:-1 gene:KN540239.1_FG004 transcript:KN540239.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNDLGGDVKPRPPTSEIPLHVRLLCCSGKNMEPDIAIGSSPDFAGGTRPVPAGAPGYSIPSWLHTQNVKWSVETYKIYIFKGARWYSMLGLSVVISAGGLPLQGQACLSVGIGVGSGAGLLFLVFGARLATREIKHRRAKRVKQKFFKQNRGHLLEQLISQRADIAERMILPLVELEKATNNFDKSRELSGGGHGTVYKGILSDLHVVAIKKSKEAIQREIDEFINEVAILSQINHRNVVKLFGCCLETKVPLLVYEFISNGTLYEHLHVDGPISLSWEDRLRIAIEIARALAYLHWAVAFPIIHRDIKSHNILLDSTFTTKEGGKRMMEVAALAAVCVKLEAEERPTTRQVEMSLESLGGSLQEHTTGLIATESRRIRHVAEENYPTREGTGNERKQADNIVWK >KN540577.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540577.1:10:607:-1 gene:KN540577.1_FG001 transcript:KN540577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPLPATLFRCPVLENFEIVCHRELLSLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTR >KN540577.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540577.1:32303:33125:1 gene:KN540577.1_FG002 transcript:KN540577.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADFMVVINKLFDTYASSQASSKSPATTNVQNNLLVSKDYDGESDDELDADVQQYLRASTAPGIGTKSGNVVGSILTEKDMENLERNFCALLTLANGTEQETETIEVECSNEDGIAPMDV >KN540577.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540577.1:20512:22664:1 gene:KN540577.1_FG003 transcript:KN540577.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAGEYILQPEIISLFKLLLLRVSTACLLALLSQLYSMFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCRCFLKGSPLTFFVFISTLVGWALKQVINIIQVCYKAEEKLLMHWLAHMLYIRV >KN540577.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540577.1:7366:8714:-1 gene:KN540577.1_FG004 transcript:KN540577.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHHAELALWLQLVAAKGVQELVLVHQAAKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLIMGQRELAFLLDRCPVLENLEIVRHQGLVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVVDEDGCYINMCTKIKIGHAPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLYIQCEITIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRVHRNELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDVSQCSNGGASCRAA >KN540577.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540577.1:42674:46327:-1 gene:KN540577.1_FG005 transcript:KN540577.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGRLTLQGVTFLLCFRRRLPVLSIFHLPKSFPYSILKPVQLTKMLLLPFALRVPICFGLLSNHASESQTAVPLRKLTAPSCQRECPPATSRKALSAPTLKAPTAAFTQINSSLSTRVSFKDALCSTKKPYPPARPKPLQKPLSTHKPSMLKRCFRCLGMDHHVKDCRDPVICAACRRSGHRQDSCKSSAGSNTSARRSFSTFNPSSANSTSRFATRYVRRSPRAQPSAPRFPTAPFPSSNSSSPNRLAIVPYVPPPAPVDDLIASLEDSLQVSPLPPDEKLTLGDVGGNSLVAEDLARRQDDGVVPSAVVSPALLAAPSVPQSAAPVVGVFQFSASQVSVPQEEPASDLLVSAGESGNPNPSSLPPRLRRKNKGFLAWFGANPQIPAPSSSLAPVPEPRDIFVCSEMPRSPQAPSPVEVHNSSRSPPPTTGGPSAAGQINRALAGLSPESSRDVSPTPAAPQRTLNVSSDSGEEYASGDTGLDQGEEQQDDEDLLDVFLPYVDMRVTAHYAISFITSPCESPGRVIRRAMQALHPSFQFSLISSELLFPAKIPQVFKNYGELLEVDDQCLFGDEQSSLRLVVQHYPGKVMSPWLRARYNFGVVCKIHVRVIRTWDLAMNIDEDGNYIKHFEQFLFPHQLDGPPNRAPRNNSSVSQNNIQTQDQSLGNGSQPRTAQNSVLIEEIIADSDLALCLAPLLHPPALLQGRDQSPLLEVPPIVLQGPGVSETAPVFPGADFFEPEVEHEVSARKRIRQKKISQDVKKRTSARLAEKEGQLFISMEAKATRAKKLKEQLAKCSSRLNEVVVKHKLLDLNFKTTPQALEDLAVACSLNDHDTAELRKVLAVME >AMDW01040133.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040133.1:3:1206:1 gene:AMDW01040133.1_FG001 transcript:AMDW01040133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLAAPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYE >KN538802.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538802.1:180304:181494:1 gene:KN538802.1_FG001 transcript:KN538802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSGRRGRQSYEENCNNGAHWPPPQSARCLCLYLALSVVFFALVAAVLLVVFVARLKKPTFLLQSVQMDRSFSLIQSSLSSSAAANGTGGGGANANGTACSVATLVFAAQNANGIGIRYGAAALGVAYANESVGAVGVPEFYQPPRSANVTVPVHAVFSQPDVSRLVVGELSAQRKYLEIRIAGSIDARTHIMNFPLPKIQFSIDCRIGTNYTDIVHREGIESVITRKALLVSELPHVSQKCSIKIDLRSRGKRASLDELGC >KN538802.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538802.1:137972:139715:-1 gene:KN538802.1_FG002 transcript:KN538802.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVCRVATVLQTRYTAPGFWRAGLNLFIGTEKLVSNPSEKEQLKTFILRAREHLDEKENEESMPNNRETDTRFLFEGHLTVGPEPPPPAWLVAQNLARELSILAEPSGDQGANNNGESRAEEMAPAAAIMNFLNTMTVDGDLEAALEESLQNVMANPKVPPASKEVVANLPVVTVTEEIIARLGKETQCAVCRESLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRKGAANAVRGGEFMYV >KN538802.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538802.1:186868:187197:1 gene:KN538802.1_FG003 transcript:KN538802.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAWGGEATLVESDGEDHCFHLSPRPNPNAAALMDHVAEFIAMGNTSTSSPMAKRRRRRRRCTLHGAGAEKTTSMHASRGQTAPKVQHAGSGIMANKADKYVLRSCL >KN538802.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538802.1:197891:210615:1 gene:KN538802.1_FG004 transcript:KN538802.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPTPFNRCVLLRCPSVSFEDGGVLLDGVNERLLTEERHYVNLSRGSIPAARGGDGGAGDIFYQRVCIPAEDGGVIALDWPDNLDLGKEHGLDSTVFIVPGTPEGSMERGIKVFVLDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDIGTAIRFINNKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCVDNPFDLQEATRSFPHHIALDRKLTTGLVDILRANKELFQGKDKDFNVQKALSSNCLRDFDGAISMVSHGFSTVDDFYAESSTRLLISHVKIPVLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTVFTFERYAVLWCQNLALEWLSAVEFALLKGRHPLIKDVDITINPSKGLAFVEPQANDRKAPNNNNFRQQSQFILYNSMPHGINGLLLDSAKEHSVSNEKENGQIKDNGDTDRAREDVHEEESEETPEDDEKGHALQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTTSVTEILQSKRGNFSLDALKRLGWTNGRPNTKTAVQEKIKDSDHESGLKDAKMHDQNKSASAIGDVDQKDGNLTSNDNSSGEGIESSQGKPSQTSGPVGAVTEMETEQIQPNRSEKTTPGINESSEDHQHKTDQGTETAPKQVSDDLSPSEKKSSDDQSSGEKKVSDDQSTANLNGAPRERVQSADATAESPQVHVVEKDGDAVRASEDKATHNVTDQSMQVSKTEEPKPPPVNVTQALDALTGFDDSTQMAVNSVFGVIENMIDQFEKQHESENGDKSDESTDEASVNKTESQVTGDMNNESSGKSINPSSYQPENSISGKGHSIMSEDRMIGETNSNLSIISSAKEKIGNYKRNIIENYVDADVAKQGSGLPDYLLDIAVNSYLKAQYAMYLHEFLSTQLQLKPPDSNSATDLFLDPHEGKWKIADQMDSEHDYNSKSDKDGNYTKNIGISGSSRDQFRTENVIDTPYLVLSHYPVSRDKKSNELKQTVATELPDIALRETLTSFIRDELENALKIEVGRKVGITNTEQLERNLAHDVERLAAQVSRAVVLDCALYSAACVERNPTTVKFGTTHGENVIEAVSNAIQQSHDLRNILPVGVIVGVILASLRNYFHVDISKHDKHTKTIVKSGVLSEDPDFKNSYLKKEESTDDASSKTEETTNNASLQKEEKANDSSKNAENADNPIEKTVAPKGQEIRRSEGQGMMVGAVTAALGASAFVAHHQQLHSVFIFQQKKVEKHDNMDSTRPDETAQEKSQNNLVTSLAEKAMSVASPVVPTKGDGEVDQERLVAILAELGQKGGALRFVGKIALLWGGIRGAMSLTDRLISFLRISERPLFQRIMGFSFMVFVLWSPVVIPLLPTLVQSWAISSSTGIVGYACIVGLYVSIMILVILWGKRIRGYENPVEQYGMNLASVSRVQEFFQGLAGGITVVGLVHSVSILLGFAALRAGSYSLVTRPLDLLKSSSNVLLLALRGFVTATSIAVVEEVVFRSWLPEEVAVDLGYYSAILISGVAFSLIHRSLPSVPGFLLLSLVLFGLKQRTQGKLAAPIGLRSGIMTASYLIQTSGIIQSKPVVTFQSGIVSSIDYKVERTNQVVGYALVENIEAGTFSILPSSLQT >KN539160.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539160.1:111179:116370:-1 gene:KN539160.1_FG001 transcript:KN539160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGNCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPTQDVNMDEWAHDEDLYDVSYSEMPFRFHWCPFGRLAQLPSFFDYIEFEICLDIGHAVHDFMGQHMFTEHVAAVSAAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPNNNNGVTEQQGVPFGTMRAARVDGDTQRRGSSISPSYFSNGSGSRSRAPNVPPMVPQFMRAHGSISEQYQQSSSSSLFAGAHRSGGMRTAPPPPLPENPAFCLFPPGSSGHNSMETDDAGGSRFYAWERDRFAPYPLVPVDCETNWWSSQQSHGTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >KN538802.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538802.1:130399:135510:-1 gene:KN538802.1_FG005 transcript:KN538802.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHAEKRAQARSGSGSGPEAKRQRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVEEEGSSDVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVRALPNNDDKLSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPDISYRSLVALGIGCINGTPVASFDRRDADRLLFFCTNQHKDLAIENGPYFHLPRLSASLTKERVKVGLESKPNLLGANGIPEDKKRLIEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFLEATVHETSQVKPNLPAAPPVKHNSVPTAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHRFEDIPFYASFGSPICDYGLSLIESNTISCVIPVALLEIG >KN538802.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538802.1:193662:195846:-1 gene:KN538802.1_FG006 transcript:KN538802.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDEQADELKINVWLPSGEKREGFISNVDLYYNICLVTVHCTSNLPKKSFNDDTGFFDLYGNHSKDVVALGRSCEPWSLKVASGKLIPRRHRFDCEELFVSSCKITKIGVGGPLMDFNGNIVGMNFYDKKETPFLPSFIVLKCLQHFKEFGKVVRPLHGLRGGNLHKEPLASLEKICHEFPKVCGVIVEKLQVKL >KN538802.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538802.1:123215:129430:1 gene:KN538802.1_FG007 transcript:KN538802.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKAPSITAETINQKVRIFTYEPCGEIVRHARIIYCNLGNPQALGQRPINFFREVPYNLTEDSGWGLEIFEVKRCLEEARASGLTIRAMVVINPGNPTGQLGDEAFEIFMVEKEETYSSLLKRAKALQKAFSGLEGVSCNKFEGAMYLFPRLHLPQAAIKAAQLEGVSPDVFYAHRLLGATGIAVVPGSGFHPELSDYDLVLYGCNNQVINYPLRDKSYLYWEPLYCITP >KN538802.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538802.1:150911:153434:1 gene:KN538802.1_FG008 transcript:KN538802.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGKAAAAVEEDFEADFREFERGMSDDEAEGGGGEEEEEEEDDVVVVVPPPAAARFVVRAAAKAAPPTADGMLTTKLVQHDGPTARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEPAVAQKLSLKQNAAKQEKLAPPLKTCGDDAFFQLNSSDNDLFAMLAKVPAKPAEPVDLMPPVKPLASTETFEMNMLSDTSSNSFGSSDFGWEDDTLTPDYTSVFVPNAAMPAYGEPAYLTGGAPKRMRNNYGITVPQGNGMPNLAQNMPTFDPEMKYLPLPYVESSSDESMDNLLQNDATQDGASNEGIWSLDELLMAAGAY >KN538802.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538802.1:211417:214749:1 gene:KN538802.1_FG009 transcript:KN538802.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAAAHLLSTPPSASPVHPPTHARILCAHRTKGVATLSCRASLGPDGSLAGLAAAAAAAPRVEPRGRPYLREHSCLIFPPSPRGRRPLAVVKFLGGAFIGAVPEVTYSHFLELLAQEGFLVVSVPYNVTFDHEAAAREVFERFHGCYGALLSSGLPAAGLSAMDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQLQGYNFENGEENKPFLVYTTAFRSSKDLSKCFMDLYSKVRCHGFVGDAWKALFDLAGGLIQVYDQEAMLSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYNVPNTLLVKFSIDAIDDTEIVEDVLKPRVESIGGQINKVILSGTHLTPCMQALTKTILQDVKWQVGSEYTPADALAQGLKSLALNETRILSRTIADWFRSL >KN539160.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539160.1:33657:37600:1 gene:KN539160.1_FG002 transcript:KN539160.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHAVLLKLGLSASAILATSLAHLVLRCGLPGYARGVFDEMPHRDVVSWTSLITGHAHQGLYQDSLALLRRMVISGVVPNGYSLSGALLACAGIGPGALAAGKEIHARVVKMSLHGSVDAVVENGVLDMYTRCGKVDYARKLFGVMLVRDIVAWNSMMAGCLRSAQAEEALGLFASMVSSGVDADGFSFAISVDSCGELALLKQGMQAHARVIRGGFDSDVVVRNSLVDMYAKCGCVDSAELVFRDALSLDAVLWTTMISAYGKFGRVHDAICMFDRMPQLGIKRDGVAYLAVLSACSHSGLVKEGWNYFKLMFHGQNSVKMQPEHYGCMADLLCRSGYLEEALDFITNMPFESSIAAWSALLNSCRIHGNAKLGQFAASRLVQLDPENHSNWVALSNVRASESDWHETWMIRESMSIEGEALECQLDVFYSDYSTLLSGAELKEGHVSLMKVTWKVTFIFIKFIQLQNNRPKHPVVLEDPEKQRKQRNSARNMRCDGYNHVDPAAISEAGETL >KN538802.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538802.1:169604:174044:-1 gene:KN538802.1_FG010 transcript:KN538802.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHDNDWYDSVIRDAVIAELQEDPELHGPLPVQYLTPSSKSPVVAQPPPRATTTAIAGEEEEAYTLGCFSRLNELLSELCRMVFCYLCGLQIGAVLEGKEKCQCLDNLGVVLIKALCRFSKRRTASYPPIKAIQIKGVPTTQTPSRRRLPSTRRGELPPTPLHKGGQPPPSSYRCVCYKYRILSLTVQHEGVATATAPTHPRTSTQAVSPLPSVAIAMPCEHSSTTRWSRRSSLEATGSSITSARSTAGTVFNTIGHSCRRFNRVAAITVTIMARSILQIYHLVLATSGSSWEKRPRTTTILVTARFPTDGSDGGEEVGGRKVEWHRGMGHCPSHSSVIE >KN539160.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539160.1:124709:134948:1 gene:KN539160.1_FG003 transcript:KN539160.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRVVAIRVRVEGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLLGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGSCEHTLNTLRYADRVKSLSKGSNTRKEQPTGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAAENFTSNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKSERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRSTSASASQNSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSQLKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >KN539160.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539160.1:139713:140358:-1 gene:KN539160.1_FG004 transcript:KN539160.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding LLKLDNKEIVEMVFFPVINEACQVLSEGIANKASDLDIASIFGMGFPPYRGGIMYWADSIGAKRIHARLSEWEMKHGQLFRPCSYLSERAAEGVPLSSAAKNNAKARM >KN539160.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539160.1:19272:21634:-1 gene:KN539160.1_FG005 transcript:KN539160.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAYENAAYIENVVNNVWKFNFVGFYSCWNKFIGSETTQAFVMTERAKDAAAIVVAFRGTEPFNMQDWSTDRVVYRYDIVPRVPFDVPPVADFRHGGTCVYYDGWYAGRTLAAGEDAPNKNYFNPKYIVSMYGNAWGDLFKAMFLWAKEGKDYREGPVSIVYRAAGLLFPGLASHSPRDYVNAIRLGRVTPKKA >KN539160.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539160.1:135658:137146:-1 gene:KN539160.1_FG006 transcript:KN539160.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 28 [Source:Projected from Arabidopsis thaliana (AT3G52860) UniProtKB/Swiss-Prot;Acc:Q9LFA5] MACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDRPSTEEMLRKDIAIMEEELKTKCELIDKHKKLIEGWQKELKDQRGKHVTELERV >KN539160.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539160.1:631:16089:1 gene:KN539160.1_FG007 transcript:KN539160.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDDAFLGVGDKPGLDIWCIMGSNLVAIEKSMHGKFYTGNTYIILSTVELKSGVRQHNVHYWVGEEAKEEDCLTASDKAIELDVALGSNTVQHRETQGEESDKFLSYFKPCIIPIQGSLSSHMRVSGDKSKDTTMFRCEGEHVARVTEVPFSRSSLDHKAVFVVDTESKIFLFSGCNSSMQTRAKALDVVKHLKENRHCGRSEIATIGTEDGKLVGDSDAGDFWNLFGGYAPIPRDVQDTVMTELMTTSSKKLFWINKRNLVPVETNLLEREMLNSDRNYILDCGTEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNARSVILTEGHETVEFKMHFQHWPKNAVPKLYEAGREKVAEFRKFGLIQHHGAAIFKHQGYDVTEIPEDKPRHVISSNGSLKVWLVDNGSVTLLCTEEQEQLYNGDCYIVRYSYIEDGKDYHLFFAWSGLNSVNEDRVAAASLMSGMADSVKGHAVVAQVFEGREPEMFFLLFKSLIIFKGGRSMAYKNLVSQRSDTNGWYQKNGVALFRVQGLKHDCIRAIQVDLPLKQSLLVREGSEPDRFWEALGRRSEYSKEKQVKDWPADPHLYTCHFEQGLFKAKEVFSFSQDDLVTEEILILDCVEELHIWVGHQSGVLSKEQALDIGKMFLQAGIHQDGRRPIETTMYIVTEGDEPRFFTSFFDWDYSKQTEAGNPERNFTKAGDTGEKPTQVIVVVILAAEKVAGDIVVGAGHAGAASGGEDIHLGLYREATQGEITGCAVDDFAVSEKPLLRRLVAGVVELDAVHGGAAALPALAARVGVGGCHRDPSPAGAAHGNKWVKRVQKEWQILGNDNLPGLATYLASEAKKRPSRGIISHERTRTTTTSLPPLLLLLQFALCPVCSLQEDRRVVDLPCCSLMEHTSDEDPELEAYGSDTYSLLEAGDIKVMSDKNLYQCPFCSDEKNDYNAHDLLQHALAVGSADDRPAKEKANHRALALHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFSCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKIDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRAVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEMLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLYEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSALEKAIEALTNELDEKIEDMNYMDNMNQTLILKEKRSKDELQEARKLVIDSIQRLPTDIRSQAQIGIKRIGELDFKVFSDAYRRRFSEDFAEVGSSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALVELDMHSIGGRDPFLELWNYEEGRKACTREVIQQVIKLCNNKATKKRC >KN539160.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539160.1:94302:96321:1 gene:KN539160.1_FG008 transcript:KN539160.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDQAVAVAVAAPRANKRAKVAAEEEEDFSNSQITVHAKIIHLLIYASDLIVLGLASSLSSLFTYNNTKLTVLLLSSPTSPILTTPMSSPTSRSGVGREKEGGDALGVRDDDQGVEKWWRKNIYFKIKYYSNSAQTMHPTVTEVRRKRGGPRKTTLLGKDVLSLTVQPGMDQAVAMAMLMISNSYR >KN538845.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538845.1:66763:67230:-1 gene:KN538845.1_FG001 transcript:KN538845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPSFNPFTLLERNDPGDNPLSKEKEPVAYRKPPAPPAAPASAPKKKNDDKKKNNNNNSKNKKKPQEAGKGAANAAADNKKPSAVKKADTAKYIGYQYRAPIRTKKPDPEAEKKKKEQEAAAAPPPPPRPATPPPSFDDAAHFPTLGKNSKKK >KN539160.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539160.1:96857:102650:-1 gene:KN539160.1_FG009 transcript:KN539160.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MTVHVNVQTKEQPYKTGAVEHPRNVVSERDQLTKLVWDEFPGNVPLSAIERLISLGFYYRELNRFATESRDLSWINSPIDVSPTHGDKTVKAKVRKGSAYRRAISNGIAEILSVYRSAVLQVEQNLLSDPLPILATFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRQEDRDEENESSQVDVADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNHSQNVKGSYRMQSLVGGSGALKELPNSPNISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTMAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQLLSGFLHCDHVPEQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGDEDKYFARVSLRMSSFGIKASTSQKDLQKSSTADISTQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNGDATQLRRQRSKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENKRNMLEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSAGGRLRPSTAASSTFERWIKPLDAIRSWPWVNYGC >KN538845.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538845.1:37737:40997:-1 gene:KN538845.1_FG002 transcript:KN538845.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGRLTVAAAVAAWAIPLAALVDSIVPDPYMEMDFSGSYKIWQAGVLHFLSIFNFPVLLSSYSTKFALIVTSCHILIGNDEIFHIPQVQRYCRADFLIWDPMITTPPGLYYISLAYVASLFPGAWVTRIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGFTEEIWDAIFKLWNSKCEVLIAFIPFAMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSTAALLPWHFTPTQVSDLFHWCRKNKTYSSFAILVALGLSLVAVHFFSIAHPYLLADNRHYTFYIWRKVIQIHWMMKYILTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALALIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRFIW >KN538845.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538845.1:7419:13971:1 gene:KN538845.1_FG003 transcript:KN538845.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTWTLRAEASFSQFPRFNLARKSLATRGDLWNAKKEEKNGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPEGEVFMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGATHRDGLRTGLKVGQPVENGGVVEYFFGNDGNEPLHYDKFTNFLKGLHDEIIRLEFSHYDVKSSNTIPAKDFALSMVASADMNHINKLLDRVDNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGLLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGNLSSEEFVRALQRRETDVRQPATPGSMGLLSYWLNFNKCSSLTQMLLK >KN538845.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538845.1:133582:134847:-1 gene:KN538845.1_FG004 transcript:KN538845.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVHGDEVVVTDSAKCKVALSQLWLTTTMCLFLLSGKVSWGRNPFLPSKKSIASRLSGESLYVKSFWVKVYTRQKFGVIVVGDWEFYEVHYIQLRMAPVLEVLKI >KN538845.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538845.1:1199:5780:1 gene:KN538845.1_FG005 transcript:KN538845.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVPSDLQRLGMSFIGVCDHWKVIAEVGMNTIFVGTFSITKYIDNLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPTACVLVMYFVRPCTPSLDEDNAAEHSHFMFTQISSVVLGVYLMVATILGDTLKLSDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLAPSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTFLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >KN538845.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538845.1:139307:149074:-1 gene:KN538845.1_FG006 transcript:KN538845.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEEARSPHVVLFPFLAHGHIPAFLRLAGHLQALRPGLAVTLVSTPRLLGSLSLPATTSPPIQLHALPFAPADHGLPDGAESLADLHVHQFITFFRASESLRPAFDGFVAGIRPPVCVIADSFFAWTADVARARGASHAVFLPGGAFGHAVFFSVWEHLPHTLTAGGDEFPLLPDFPDVVLHRTQIPQYMLAATGADPWTAFFRRVIPCCRKTDAVLVNTVQELETSGLDMLRASFGVQTWAIGPILAAPAPSKSHDDDDTSIIRWLDAHPRRSVLYISFGSQNSISIRQMAELALGLEASGRPFLWAVRAAVGLTGNKLLRISLFSSKGGRRGAFALFVAAAPAEALTDVDSDPNISNPRNNCAGARTSSRSHRPGMRYHAGPAGVAFVAVLRSCPLLEDLSVKRLRGLPDTAGATTAITEEILFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGSWDLPLEVIAARVPGLVELHLEKLQVGDRGLSAVSACANLEVLFLVKTPECTDAGIISVAEKCHKLRKLHIDGWRTNRIGDHGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRSLERLALCGCETVGDPEIICLAERCAALKKLCIKGCPVSDRGMGALNGGCPSLVKVKLKRCRGVSYECIENLKVVRGGSFSISLDIVLERDAGGAIENGGQEAGQVQITELTDQMAAMDLPTNATNAQSSAQASSRMRSVMSALRRRFGNPPAL >KN538845.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538845.1:117832:119118:-1 gene:KN538845.1_FG007 transcript:KN538845.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVVSIGPLHRGRRRLREMERHKWRALHHVLKRTGHDVTAYLDALRPMEERARACYDGRVAGMQGNELVECLVLDGTFVLELFRGALDGGKGFGDDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLGLQLGNPEQTGAVASLAVRFFDPLMPTDEPLLRKDRSKLESSIGASPAAAAFEFDPLSGPMLHCLDVFRRSLHRAGLQPTPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFHDGVLQIPRILIHDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSGLSDQVNRYYDHKWSTWIASLKHNYFSNPWAIVSVVAGVFLLLLTMTQTFYGTYSYYRPMH >KN538845.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538845.1:79037:87953:-1 gene:KN538845.1_FG008 transcript:KN538845.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVCRDISSRRSLGYAYVNFNNPVDEVKAARALELLNFAPLNGKPIRVMYSNRDPSSRRSGSANIFIKNLDKAIDHKTLHDTFSAFGNILSCKVATDEMGQSKGFGFVQYDKGEAAQSAIKSLNGMLINDKPVYVGPFLRKQERENSVDKTKFNNVFVKNLSESTTKEDLVKIFGAYGNITSAVIMVGMDGKSRCFGFINFESPDDAARAVEELNGKKINDKEWYVGRAQKKSEREIELKRRFEQSMKDAADKYQGLNLYMKNLDDSIGDDQLCELFSNYGKITSCKIMRDANGVSKGSGFVAFSTREEASQALLYITVAYIVPFIQLTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQVRPVPMTPSMAPRLPMYPPMAPLGQQLFYGQAPPAIMPPQPGFGFQQQLVPGMRPGGAHMPNYFVPVVQQGQQGPRPGIRRSGAGSAQGQQSAQPFQQQMLPRGRVYRYPPARNMPDVPPMPGVAGGMIQSYDMGGFPVRDAGLSPAPIGTLTSALANANPEQQRTILGESLYPLVELLEQNHAAKVTGMLLEMDQTEVLHLLESPEALKSKVVDVVVCEMENQAVSPASLELEMHVVMDLEGTTKRIPPMQTPRARLLHSCGESILAMARGAYRRVEAMRCPVGCVARGASRAAAPVLSPLRLRCLSALAFADRPDDEDGGAVFRDIWCDEKEAASLHRSAMEEEARRHSDDVARKELESLEVATVDDGGGGGNTVHGDEAPADGKGEAATPAKRGDASGGLECGVEDVQRVETPAAEITDAMDSTEIVKDEDQERGGSEREEEETFAMARTESREEALLGLFDIAWQQKLA >KN538845.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538845.1:33818:36423:1 gene:KN538845.1_FG009 transcript:KN538845.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCFGSRRKDGSEDPVLGRDGNSLNSSELRSMSDRVPPSPLRVPASPSRFSLSSSPSRNEPLNLSLEQVIKLTHNFAPDLMIGEGYFGKVYRAQLRDGHIVAIKRAKMSRWLIHFCVDQYGNETVMHWNLVQLLGYIDKRNERIVITEYVPNGTLREHLDGQRGLVLSFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRAARERITVRWAFEKYNRGDVKEILDPMLPESVNEDILNKIFDVAFQCVAPTRADRPTMKEVAERLWKIRRDYAKTQRRAEMAL >KN538845.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538845.1:15439:17353:-1 gene:KN538845.1_FG010 transcript:KN538845.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MELCIVGELLDKMQEEGHYNERKAAEIKDDGLSIKAIDIRVLQTRSGFTELIGSPYYVAPEVLHFYVIDQSLRYCTYGPKSDVWSATVVLNVLLSGVPPFWAGHPWICESGVAINQALDPSVITWLKQFCNEQVKKLALRAEVSEDRSKAYNAADLGEVRESEGFFFLNGFQVALEFLCKEDRYNVIDVKEVVLSVIESTPVLHLIDPKRIKFLAENLAGKES >KN538845.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538845.1:56096:60123:1 gene:KN538845.1_FG011 transcript:KN538845.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEISNDSKSGCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMSIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVAECACLIGLPKYKNFYKLNGKPVYILVESRK >KN538845.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538845.1:69746:78514:1 gene:KN538845.1_FG012 transcript:KN538845.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAPYGVMDAFNRGTHETQGIDGQEDMKLICGIIAVGEKDKVARGDRMSNYEKELEEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDIYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSTLPAPACYDALSARLVGRAGFKVCFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAASIPVIGDADNGYGNCMNVKRTVKGFIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVSPGVPKMANMLEGGGKTPILSPAELEETGYKLIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFNSYYEEEKRYVVTPAQSSSYRSGYYDNTSEASSPGDAKSRTETPQEPVIDILPQLYDLGSTGGRGPSAGMWSRTLRLRITGRDGVQKIDARIPVAFVYPHYLQQAGFLEGMTKVIPGLAGANIMERLRNAPIDSENPQNGQILLDFEDAMGDRIQVFIE >KN538845.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538845.1:95275:98022:1 gene:KN538845.1_FG013 transcript:KN538845.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHHQESTKKITALETECQRLRTMVQKRLPGPAALAKMKDEVERRGSSCVENRRRKQRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYEEESATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKKQGGSLLSRLIFGKKA >KN538845.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538845.1:102990:104351:1 gene:KN538845.1_FG014 transcript:KN538845.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSAARCLTLFCLLGACIAPGARATNGRHSRRDLDINLGNGNGGGISIGIGGSGGGGGGSSGGSSGGDLRPCDFENERLYKAYKVIQKFRRTVTCDPQNIISSWSGADLCSTYKGFFCERPPNITDRTIASVDFNGYNLQASSLKEFVDNLPDLALFHANSNNFGGAVPDLSRLQYFYELDLSNNKLSPATFPTDVLKLKNATFVDIRFNSFYGELPGGVFCSFPQVQAIFVNNNQFSGSLPDNIGDSPVNYLSLANNNFTGEIPKSIARMANTLFEVLFLNNKLGGCLPYELGLLAKATVIDAGTNQLTGPIPASFSCLRKVEQLNLADNLLYGEVPNALCELAFSWSGRLRNLTLSNNYFTSLGSCCWDLIKEGKLNVDRNCIPYAPNQRSHDECAAFFHRTKTSACPCNTYVPCGHSKHSAAGAGSEAQDTAAAEEDKYRTYSALHP >KN538845.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538845.1:41799:43957:1 gene:KN538845.1_FG015 transcript:KN538845.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKCADIGEKLVQVSVEMASPACFAIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYFYLYNNIVFFNLMTHARFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKKDYRMIRRVNPIFQTFSARVSELHKSREL >KN538845.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538845.1:18262:20010:1 gene:KN538845.1_FG016 transcript:KN538845.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MACDEGRNKAGGGLPHGGTTAGQESETLDLGTPPDSSNTETSGVQETAAAASKDVPTIHFVHASSGDMDHHCSSLFSPPTYCNSYYPPLPPQPGSLATNQIVARLMARMNYEEGTGLGKYGHGIIDPINPTKKYGKGGVGKFESSYDSDSDYDTGPSVEPELERSTGEAEPEAVVNAEEVRAMDTLQREREAYAAARARERRHEKVRAYNMRGQRPPKHAAAAADDWEGITSGYTAIKRALKVVREQSESGKLTLGGLIHEFAGVKAKFSEEYRTNRMPYKAISFTAPLLHSQLSRQYSAGEYGGTEPLLNRTLVMVEALKDTLGADASVAYPRLIHDLVMAPPLDAWWWSAEEPEPMLRFVNRWKGLLPQATMDSILDEVILPTLVAAADVFRLTAWSSKPSVCVGMWIPHLGHARLRIVYIIISRRLRDQLCGGISDYDYKLALPWKKVFDPASWDEHIERHVLPHLRKALHDLEISVRMTWLQNKNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERPRMDEAMAWYEVWKGLFTPELLAEKCVVVQLEAGLDMINRALQGLEISVPEH >KN538845.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538845.1:62609:62959:-1 gene:KN538845.1_FG017 transcript:KN538845.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGCGGGHSGQPAKVAAAEARQCICSPTTHEGSFRCRLHRRGGMPRSASCQQLQLGAESSPLLCSAAAMRRSALQLQFANQHALGPGMSRSASEKELPPCAAVPRSASWPSTNP >KN538845.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538845.1:150855:153842:-1 gene:KN538845.1_FG018 transcript:KN538845.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAAHTPWALQLGVALAFLLATTCHGLNHEGWLLLTLRKQIVDTFHHLDDWNPEDPSPCGWKGVNCSSGSTPAVVSLNLSNMNLSGTVDPSIGGLAELTNLDLSFNGFSGTIPAEIGNCLKLTGVNLNNNQFQGTIPAELGKLAMMTTFNLCNNKLFGAIPDEIGNMASLEDLVGYSNNLSGSIPHTIGRLKNLKTVRLGQNAISGNIPVEIGECLNLVVFGLAQNKLGGPLPKEIGKLTNMTDLILWGNQLSGVIPPEIGNCINLRTIALYDNNLVGPIPATIGNIQNLQRLYLYRNLLNGTIPLEIGNLSLAEEIDFSENVLTGGVPKEFGKIPRLYLLYLFQNQLTGPIPTELCVLRNLSKLDLSINTLSGPIPACFQYMSRLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLGANKLIGNIPHGITSCKSLVQLRLADNSLTGSFPTDLCNLVNLTTIELGRNKFNGPIPPQIGNCKSLQRLDLTNNYFTSELPQEIGNLSKLVVFNISSNRLGGSIPLEIFNCSMLQRLDLSQNSFEGSLPNEVGSLPQLELLSFADNRLSGEIPPILGKLSHLTALQIGGNQFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPDTFANLSSLLEFNVSYNNLTGALPTIPLFDNMASTSFLGNKGLCGGQLGKCGSESVSSSQSSNSGSPPLGKVIAIVAAVIGGISLILIVIIVYHMRKPLETVAPLQDKQIFSAGSNMQTRFMIALGSAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPGESSTGTLIPVIALISFT >KN538845.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538845.1:20577:27754:-1 gene:KN538845.1_FG019 transcript:KN538845.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPVPARCPSLMELCVAKVREDIGKYSDFSLLPRDLSQQVFNELVEWNILTEKLLGAFRDCALQDICLADYPGVRDAWMEIVASQGQSLLSVDISCSDVTDGGLNQLKDCINLQSLSCNYCDQISEHGLKTLSGLSNVTSLSFKKCSAVTAEGAKAFANMVNLSSLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNGITDSDMKHLSDLTNLRELQLSCCKISDLGVSYLRGLSKLAHLNLEGCAVTAACLESYISVKRLASLVLLNLSRCGVYDEGCEHLEGLVKLKVLNLGFNYITDACLVHLKDLINLECLNLDSCKIGDEGLAHLKGLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKSLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVTGLSERKLLPGTLIRAIVGLRQEAELPAQERLFNVIKIGRKGYKKSFTSRNVIKLAQVIGGGANATAVAVWQTGNPNKQLIWKETPKQEAIQKRTG >KN538684.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538684.1:260085:262304:-1 gene:KN538684.1_FG001 transcript:KN538684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METAASASTSTPEGDSGQHAAAAPPRMTTVSKHYFGGASSDHNHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRSRFTASTVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQVCGLNNVNCTVLGLTWGEWDGPIFDLHPDVILGADVLYDSAKFDDLFATVSFILENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDNLMGAEGKNMAQLWGILRTQEQQSVVIKNAPHCEVNMIPIHKFDVPLDKIGPSSISV >KN538684.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538684.1:327671:330954:1 gene:KN538684.1_FG002 transcript:KN538684.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MSKAAAAASLPPPPPEVAHLVDQLQRHHLAPDASLLSSSAHSDLLQAREEVASERARYLEALAVYSEAIAMVEEYQHAISTGVANAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGDVHEEEIEKLSTLSRSSFDSTMTSAAPSSSSISTSYNNYSSTASAATVAAAPGTGGSEPVEPGVGGVPDRFLGITSDYLYQVQQEQPAMTVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLDDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCQTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYTEAVSRLREYQGVDPHFDVIARQYHEIVKVSRLHLSRSAGIFHVCFQNVIACGCCHALVPLYRLVVYCSQLIAE >KN538684.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538684.1:241225:243425:1 gene:KN538684.1_FG003 transcript:KN538684.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAIGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEDEVLKHIATFNSDPSVHGILVQLPLPHHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSNTKKPEEITRQADIVIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKIAGLITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >KN538684.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538684.1:183076:186284:1 gene:KN538684.1_FG004 transcript:KN538684.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAGGGGGRRNCSGVLESLEDCFTGVLIVLLEWHAQKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGTTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPEPGPSTVATVCGAVQCATVLISGRPLVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >KN538684.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538684.1:299330:299893:1 gene:KN538684.1_FG005 transcript:KN538684.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHCAASDPAGVLGVLRRMKDDGVEPDLVTYNTLVFGLARAGMVTKARTYLDAMAADGHFPDVITYTSLMNGMCVKGDAMGALALLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVDVYKSMVGAGMKLEAPAYATFVRALCRAGRVPDAYEVFDYGIESKSFAEVTLYTELENSLKWLHRMKS >KN538684.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538684.1:333671:359584:-1 gene:KN538684.1_FG006 transcript:KN538684.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding VHSELMGLVVTAAAEAAIGWVVQSILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNGPLAESLDELKELLYDAEDVMDELDYYRLQQHIEGKGSSAASCTNPEGSFVSSSTPSYFQQVSNSMSHNIGWAMRGKKRKSEEDEDHTHSTVLPLEIKNDISKRINEIVNRLRSRGQPVQGVLQLEILRQIAMPKQSQSEPRKSRQTTSLPIEHKVYGRDAERDNIIELLTKGNSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQKTLWDEIKRKRFLLVLDDMWEDGDRSGWDKLLAPLKYNEANGCMILATTRRVSVARMIGTMSKVEVYGLDETEFWLLFKAWAFLGTENQESNPTLQSIGKHIAQALKGNPLAARSVGALLNRNVSFEHWRKVQYRWKSLLEHDDDILAILNFSYEFLPVHLKHCFSYCSLFPKDHKFIGEKLIHAWISQNYVKFECHTKRLEETGKQYLDNLVDWGFFEEDSKSLRLLRVYVTAADITSTHNLLNPYHLRYLEFIVVPTGNLSVYVEIVDTSIPQALTQFYHLQVLNGSSTDNLAVPSGMNNLINLRHLIAHEKVHSSIASVGNLTSLQELKFKVQDGVKFNIGQLQSMNELVTLQISQLENVKTNEEARSARLMDKEHLEELSLSWGDYSMSPEPTAEKTRDDVLEGLEPHQNLKHLQLIRYSGATSPTWLASNVNSLQILHLENCRGWRIVHSLEMLPLLRNLKLIRMWNLMEVSIPSYLEELVLVNMPKLEKCVGIYGLDLTSALRVLIVKDCPNLKEFTLFHSDHFHAEQKSWFPSLSKLTIGHCHRIIPWKILPLEEMRALKELKLMDMPVVEELSVPSLEKLVLIQMLGLQSCSGITVSPPLQFLASNVNQKEWVSSLRKLTIIDCPSLIVSVPIPPSPLISYLYIKGLPAFPTMEIHRGWLIIKSNELRKLDGRTLPFHNLKGIRELYLDNCPNLIYMWSEGFNQLSILERLFISDCPNLFQPHIMSELARENSTSNTEHLILPSLKYLRISSCGIAGRWLTQMLPHLLSLERLELLDCPQIKFLLISQPTETEATSSSASTQTTSTGDDEHLLQIPFNLRRSLKKLSVRGCPDLEFSEVNGGFGGCTSLVELSVYHCPKLVSSLIRKCPHLAVVEGLQQLSSLRRLDIELNPELSSAWDLKLQEQEQGGNQARLFPLSLVELCISNLESSVRSRFFCLPSITKLELRYSPALTSVQLGHCTALENLNIQRCKSLASIEGFQSIRNLRSLEQGTSVFWSRLETLKISDATMLSTNLCEQLTSVQRLIFCLADGNSGEPMVLGVELLALPSTQDAAAAARLSTQDAAAEDRRSCLFDSHLLVYLPCFLPIIFHPLVRSRAVLAAAYWGAMGLVGTAVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGKRIDNKPLSDSLDELKELFYDAEDVMDELDYYRLQQQIEGKGWNAAACINPEGSRVSSYSPSLFQRVSGKMNEIITWVIHDRKRKRDEDEPTHSTMLPLEIKHGISEKINGIVNCLRIRSKSVQGVLQLEISRPTVVPKHTQSVTRGARLTTSIPIERKVYGRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWESLLDKIRHKRFLLVLDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTRRTSVARMIGTMHKVEVNGLSDTQFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNVSYEHWRKVQYKWRYLLEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGEKLVRAWISQNFVDCECHSKRLEETGKQYLDNLVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGYKNIPPNVRHLSIITTAYDEKRSCDFPSSEKFENILHKIVPLQKLRTLMFFGESSIMLLRSLHTLCKESKGLRLLRIYVTADDICTTHNLLNQYHLRYVEFIVVPTTNIFGSLDFVNTPIPQALTKFYHLQVLDASSRVNLVVPTDMNNLVNLRHLIAHEKVHSTIAGVGNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLISWKILPLEEMRALKELELMDVPVVEELSVPSLEKLVLIQMPSLQRCSGITTSPLPVSTSQIHQKKLVSSLRKLTIHDCPSLIVSLPIPPSPLISDLSVKGISVFPTINLSHGTFSIESNELNELDNRILPFHNLKGLRSMYLQHYPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVGRWLTQMLPHLPSLEFFLLLNCPQIKLLSINQPTETEATSSLASVETASSRDEQILKIPCNLLRSLKWLRIWECADLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLDIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSVQLHSCSGLEYLQISRCPHLSVLEGLQHLSSLRRLCIQMNPELSAAWDLKLFPLSLVELGVRKVEGSFHSRSLSCLPSITKLEIQDSPELVSLQLGYCTSLKKLEITNCKSLASIKGIQSIRNLRYLKVLFAPSLPPYLHGVSGIWSRLETLQISNAAVLSTPLCKQLTALRELMFLGKQGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYITVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSACQPAIVMVGSLNSEPLLAEISMKTEFLSRFPYVSCFRQLYDLESCGFCGANITHRMLERKYESEMRCADLSELGMSGESEQWWMLLCSLELRWALGNHGEEEHNAGLVFYFNSCDYWLDHGLGGPNRLFIVPSAPVLARTSGQLQARRYITEVHWGTMGLVATAAEAAIGCVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKGLIYDAEDVMDELDYYRLQRQIEGKGSSAAACTNPEESSASSSTPSYIQQISNRMNQNISWVMDGKKRKREEEEEPTHSVMLPSEVKHGISERINGIVNHLRIRGNPVQEVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYRRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAIFKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTERLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNGYATVDGLESKKISPDISSTLNLLTPYHLRYLEFNVIYARSTYGYPDIVNTSIPQALTKFYHLQVLDGDSIGNLVVPIGMNDLINLRHLIDHEEVHSAIASVGSLTSLQELTFNIQAAGNFSIGQLSSMNELVTLRICQLENVKSEEEAKSARLIDKEHLEALSFTWNDLSMTSEPTAEKTTDDVLEGLEPHHNLKHLQLTRYSGATSPTWLASTVTSLQGLHLYNCREWRVVRSLEKLPLLRKLKLVRMWNLMEVSIPSYLEELILVDMPKLKKCVGTYGQDLTSGLRELMVKDCPRLKEFTLFHSNLFHSQQKSWFPSLNKLTISHCQHIIAWKILPLEEMGALKELELMDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLRELTIHDCSSLILPCPIPLVSYLSIKGVSAFPTLKIINQRAFTIKNSELSEMDGRIFLFHNLKSITSMRLENCANLIYNWSQAFSQLISLEHLVIAKCPSLSEFAHENITPDTNYLFLPSLKSLKIFSSGITGRWLTQTLPHLPSLEYLKLQDCSQLNFLLISQSTETEATSSLASAETTSARDGQLLKMPCNLRSLKRLCISDCPNLEFCGGNGCFGGYTSLVELQICGCPKLVLSSANETNNVGLLPTSIQKLWIENLPSFFSPEGLSFLKRLRLNSSRYLKSLQLHSCTALEVLNIRGCQQLGVLEGLQGLSLLQRLSIEMNPELSAAWSLKLQEQEQSGNQVGLFPPSLIELEIRNLEDSIHPCFLSCLHSLTRLDLEHSPELVTLQMGYCTALEDLTIYKCKSLASIEGLQSIRNLRYLTTRYSPGVTPCLQLVSQQEGASGIWSRLEVLCTDDASVLTTSLCKHLTSLKTLAVVSLFNDDGPVVSLTEEQERSLQLLTSLRHLYISNHRYLESLPANLRSLDSLEELHINECRSIRRLPEMGLPPSLTRLRLTGCSKELCLQGKMAQTEKLMVYTA >KN538684.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538684.1:167776:170876:1 gene:KN538684.1_FG007 transcript:KN538684.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVAVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPISRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITAGTTILSAIKATVDPSTTVVYSENPDSSVITGDKYDYAIVVTVCKSIKCVVVLISGRPLVLEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFIGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQAHSS >KN538684.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538684.1:313853:315995:1 gene:KN538684.1_FG008 transcript:KN538684.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHITLAVNEELTLHTRLIDDLDDHVDVTNSRLQSFKDVDAPISSLFREIVENGI >KN538684.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538684.1:281487:286752:1 gene:KN538684.1_FG009 transcript:KN538684.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQVSRILDGDMDDCSELGMEPVHTNHLARQMVHPPPAFGSCSNLEALALEASEPNLRSSNNDEDSSVHLISRPMHEITFATTDKPKVLSQLTCLLSELGLDIQEAHAFSTSDGYSLDVFVVTGWHLGGTEQLKEKLLEKFHDIEFYFGFVYRHKLGQHQTHRHNHWKIPYEYLTPLQAAIGVVQKGLRPTIPKDTHPKLSELLQKCWHRDPAERPDFSQILEILQRLPKEVRADTEGRQKSKAGFLSALKRNH >KN538684.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538684.1:292769:296535:-1 gene:KN538684.1_FG010 transcript:KN538684.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTGFMFLLTSAFLGFFYSPHLDTAPPRWVHLAHGMLLFLYQFEALAFGSTAMCGKATFWYWFIAAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSIPLLNWVPLVPEVPVYGIALFLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMWLSTCILLHLLSMKSPMLSGFIASGSLGKRHNCEPSTVALLWLATICYICANKQIFSIILHEDSALQIIFAFVIPRIAIIRD >KN538684.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538684.1:227769:240762:-1 gene:KN538684.1_FG011 transcript:KN538684.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTNHRSKRRRVASSGDASDSLSAACGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKTKAQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSVLPGDLTPKDESPFSPPRVKLFTNFLNLHRVEDALGEGLAGRSPSHIAGGANKKASNVGQFKDSANVAKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPSEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVLEERKIRRRIQELQRWFCFYDGQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKAKESGQLLSNTKVVHKTNRPMKIESDGNLDQKKGGASLDSTGRDSPKTTGHAGTKHWDDWDIVGFPGAELLSASEKNLCCQNRLLPNHYLKMQEVLMQEIFKGSVAKKEDAHVLFKVDPAKVDNVYDMQRLINIESLEEIMAWQRKIERFQSSLIQEQMEGEVFYLRSCQRFSVKNQTKHCIWWSQKSDGFLSDLALWKSTFHQKTLGPESVAFDPLGRGPYTGVADGRVVRWDGARWVYFAHSSPNWTAELCGHKASPLDYLKDEHICGRALGLRFDRRTGDLYIADAYFGLLKVGPDGGLATPLATEAEGVRFNFTNDLDLDDDGNVYFTDSSIHYQRRHFMQLVFSGDPSGRLLKYDPNTKKATVLHRNIQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNDKGEFWVAIHCRRSIYARMVSRNVRLRKFLLSLPIPAKYHYLMQIGGKLHALIIKYSPEGEVLDILEDTTGQVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYANAS >KN538684.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538684.1:187513:196544:-1 gene:KN538684.1_FG012 transcript:KN538684.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED [Source:Projected from Arabidopsis thaliana (AT5G20930) UniProtKB/Swiss-Prot;Acc:Q39238] MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAAPVPSPPPPHHLVVPSAPATTFMDQEELPESSSSDDDNGEEFLIQKNILKRPRSPDGDHGLAVGNFEGSANEAVKHSEVMDTRPSIDISNRKKQGRGRGRGGGGRGRGSKTVDQTRATSTSSAVVANGRHDILTNMDHALCDQDMVISGAFLFYGKLSLILLKNTFAATQFLGSYGALCLPLAHIFIVQYQLNPYEDTLLLFAPSRLPLYAKEISYADPVYDGNLLHDDPSSSSKLLVSFHQESRSSAVLGNDDKAALQEELSLLRGKVAILEEELSKSRQEATEYRQLSDRLAKELKDLKEQDQQKKSKQLKVLSDLLIAVSKAERQEARIRIKQESFRLGNVGVMRAGTVISETWEDGQAIKDLNSHLKSLLETKETIERHRKSLKKRQSDKGDGSDAETSMSEEDVLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHNRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRTQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQAERPDVLTIAQEPYLSYAKR >KN538684.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538684.1:250625:251423:-1 gene:KN538684.1_FG013 transcript:KN538684.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 29 [Source:Projected from Arabidopsis thaliana (AT2G16740) UniProtKB/Swiss-Prot;Acc:Q9SLE4] MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTQKYAMG >KN538684.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538684.1:264852:267399:-1 gene:KN538684.1_FG014 transcript:KN538684.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAVLGAQHLVYVAGWSVNTKVRLVREAMSPEMAAKVEEVRTTATDDDDNPVAAEGMSLGALLKYKSQEGVRVCLLVWDDKTSHDTFFLKTVAYLPSLRGGVSASVQIVGTMYTQHQKCLLVDTPASGSTRRITAFLGGLDLAAGRYDTPSHRLFADLGTVFSGDRGRGRGAGGREEGPRQPWHDMHCRVDGPAAYDVLENFEQRWRKATKLFRRAKSHWKDDALLKLERISWILSPSDSGAGDGDGDDSHLYALPDGAGNLVPMEIALKVASKIAAGERFAAYIVIPMWPEGVPTSGPIQEILFWQRQTMQAMYEVIAAAIRAAGMEGAAHPRDYLNFYCLGKREAAAAAGGSPEQEHNPAASSARRHGRFMIYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPNLRAGQVFGYRMSLWEEHLGSSEWRELRSPESPECVKLVNGIAEENWRRYAADYDDVAMQGHLMRYPVDVGDDGKISELRGHEFFPDVGGRILGSTNNNYWDYLTM >KN538684.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538684.1:289479:291724:-1 gene:KN538684.1_FG015 transcript:KN538684.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQATELRLLLVAMAVAAVTARGAAGGGAAKVPAIFVFGDSTVDVGNNNYLARISARADFPHNGVDFPGGEPTGRFSNGLIGVDFIAAAMGVSRSPPPYLSLIAMDANSSGEVMSNMTMMAAASAIKGASFASGGSGVLDSTGTTISMTKQIEYFSDLRDQISTILSAEKASTLLSKSIFLISAGGNDAFEFFSQNKSPDSTAIQEFCEAFISTYDSHVKTMYNLGARKFAVINVPLLGCCPYLRSQNPTGECIEPLNQLAKRLNGEIRGLFSDLNSEMQGMKYSIASSYELISSLIENPQAAGFVEVKSACCGGGGKFNAEEACTPSSSCCADRSKYLFWDLLHPTQATSKIVGLAFYDGAARFVSPITFKQLANA >KN538684.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538684.1:323189:325090:-1 gene:KN538684.1_FG016 transcript:KN538684.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCAANHAPLTPITFLDRAALVYPDRPAIVASSSGLTRTWRETRDRCLRLAASLAALGVHRHHVVAVFAQNIPAMCELHFGIPMAGAVICTLNSRLDAAMASVLLRHSEAKLIFVDCALLDVAHDALRRISQSGATPPILVLISELLDDPSDAKLPSGRVDYEYEHLVGNAGSSPEFAVRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMASTPVYLWTVPMFHCNGWCMAWGVAAQGGTNVCVRRVTAATIFDAVARHGVTHMGGAPTVLSMIVNATPEEQRPVARRTYGPATVCTWKPEWDALPAEERAWIKSRQGVHHHGLEVDVKDPATMRSVPRDGKTMGEVMLRGNTVMSGYYKDGAATAEALAGGWFRSGDLAVRHEDGYVKVLDRSKDIIISGGENISTIEVEAALFSHPAVEEAAVVGRPDEYWGETPCAFVKLRPGAAAAAKAAGVEEELMAYCRGRLPRYMAPRTVVVVEDGLPKTATGKVQKFELRARAKAMGAVPAAPAAKSKRSKL >KN538684.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538684.1:288065:289343:1 gene:KN538684.1_FG017 transcript:KN538684.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPFAIPVRVLLRGGHPGAVSNGSAEESRSLISDSISRLHSTTSSSEAEEVALFYCDLMAAFSSRGMRDRAMDFYSQLRASPPLSGKKTYTAMIKSLCLMGLAGEAEAALREMASRGHQPEAFQFGLVAKCYGKAGSMAEMERVISSMSDAGIRLGAGAANIVLSCYTSCRDHSRMLAWLRRMRKLRIAPTTKAFNFVLNSCPTVASMAQELGESLPLSTAELVKKLRSASPWPAEAELVQELLTSSSVLDKAMDWSESEVKLNLHGFSTIAAYVLILQWVDAMKARRALPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSMRNKGRLVAKRDRVKQWLATDWSSPVDEESTDQSPNGDSQQPFLLTLMRKLGQVLSPFLQFSKGKA >KN538684.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538684.1:246142:249034:1 gene:KN538684.1_FG018 transcript:KN538684.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKARDSLSFSVNNIDWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLVLFSSHFFAKCAITYKILTEISCPPDLQNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >KN538684.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538684.1:199290:205495:-1 gene:KN538684.1_FG019 transcript:KN538684.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMDPGAGGPLTESEKADLDAIAAIKESAAAEYKEKGNRLVKMGRSHYADAVDCYTKAIAQMEPLPPPPVPSPDASVLFANRAHVNLLLGNHRRALDDAARAVQLSPSNVKAYYRAAKAAIALGLLPEAAAFCRRGIEQDPANGELKKLLAQVDAQQSEQDRHKAKVAQAVSAAKDIVAAIEKRGLTLGKAAYQELTGVKKPKLDEQESSPPLPWDDKHAYTRDAVELYYQAGVGTVLSKSEILKILLEGTVDSKSLPESLLDEEDGENDAGKSSTITSSDKGSVKWINVKEGKTLQEVLKHKDFIIPAIPEHGTRESDHLVVVNREHTARQVSATGVIVEGDAKEAICQAVEEMHADMLVLGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKAHDK >KN538684.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538684.1:253771:259189:1 gene:KN538684.1_FG020 transcript:KN538684.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDHLLMKNSNAAAAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMTGFCCSSELEAQVSALLDLPSSIPLDSSSIGMHAQALLSNQPIWQSSSEEEEEADGGGGAKTRLLVPVAGGLVELFASRYMAEEQQMAELVMAQELHGGDPEDDGDGEGRSGGAKRQQCKNLEAERKRRKKLNGHLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYKTLVLNVFRVMVVRDNEVAVQADRVRDSLLEVTRETYPGVWPSPQEEDDAKVAQKKMSIPKRLSCTFVGRWIEGSNGSHTPAHFALAFSSLLAPPPQSKTHTGHARHELSGGGGDPRARALMAEEPATLDAPPPPLERSPQRESAVDEETRALVVPDAGDLPPFPPSAVEANFARYFVAASAHIALKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNASAHTQQGDF >KN538684.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538684.1:316689:320606:-1 gene:KN538684.1_FG021 transcript:KN538684.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAVVSAASAARVPASVTPISRTLYHSSDSLLSDIKALVARHPDKLSMDTITASNKGYSTDLFIVTFNHAKESTSNSSKIHVLLSFGQHGRELITSEVALNLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENLNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVHSGMEALFMPYDHKNTTPNGASAHLMRTVLENLNHRHFQDSCLVGSGGGAVGYLAHGTTTDYMFDIVKVPMPFTFEIYGDEKASTSDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLREAQLVSQGAVDNWVPMGGDIVEISAARKSSPDKRKLEGLDLGMQELRTYFRLFMLSTVLLMFMFCSRISKNRNRETGNIFDS >KN538684.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538684.1:213380:221083:1 gene:KN538684.1_FG022 transcript:KN538684.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12E [Source:Projected from Arabidopsis thaliana (AT3G44050) UniProtKB/Swiss-Prot;Acc:F4J1U4] MVDNCMAGYNSCMFAYGQEKEIRKEEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSDKAECTSSSGFICESPSTLKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKAMIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVTSGKLSAEAHLLQEKEDLVKEVDTLRGLLDRNPEVTRFAMENLQLKEDIRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSFLGESADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKFERRVDELTLELEAAKKYHEESEAVELQVQTEVDLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKANEELRTRLTVLIEDNKRLVELYEHAIANGEVNQDGGHPAIPQIEGVNEQQSSHSYGGAAANGVLPDDKPESATILPADNSSSEVSDSKIMDGQCNHKDNFSRSELTDLQLQLDEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSVTTVDTQYEDVEMRDATDDDLEVKHVHDSAISTFKEILRLVRVKLENVHDKLVTTQDAVEYFKLLEMASTKAEELSASIQHHCLELKHDQEDMNALKAELSQSQESKEALESKYFSPVASCWNLDLKTKALVGSKFDVSLELLNQKKEQLSHLQTLKKEFSVASTKARESETALRSKIDGLKVKLRSFEAQRKEAERVLFAIDNIDTSTPTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKSMNRHDDIDCKIASLESEVENCCLTLLEADVEKFVRDNTLREIWKEGQKDMDCLLVDYQECVFEVNLKEEEIRACEESLQHQTRSLDDMNLKLNQTMRDLGELLRDRTPCDLDASMLHVSDKVKGDLDAMALHVAEAVQLLLVQGENQTNL >AMDW01040825.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040825.1:1874:2542:-1 gene:AMDW01040825.1_FG001 transcript:AMDW01040825.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKVYDEMLGKGLKESTVSCNTLVTGFCTHGKVDEALRMFEEMVKKGIEHDVITYNILIQGLCKVGRLSEAIQVYEQLLSSGLEPSVSTFTPLIDTMCEEGQVDAAVELLKVMHAKGLEPLARSNDSIINGFCKARRPEDGMAWLAGMLKNNLKPREHTFNSLVELLSSSGRVDDALLVLNTMFKIGHELGSLACTMLVEQLCTGKLCYSHELENILVANK >KN543180.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543180.1:8:1363:-1 gene:KN543180.1_FG001 transcript:KN543180.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGLEDQNIVVMMYDDIANNPENPQQGVIINKPNGTDVYAGVPKDYNGNDVNKNNFLAVLLGNKPALTGAGSGKVISSGPDDHIFVYYSDHGSSGVLAMPWGDVLHADELVQALKEKYAGGAYKNLVVYVEACESGSMFEGLLPSNIGVYAMTASNPTESSWATYCDTPEYNTCLGDLFSVAWMEDADPRLPGDPETLGQLYDIVVNRTKPKQHAMQYGDLSLASQPVNIYYLPPAPGTSTASAVIDDEGRVGGVNQRDAGL >KN543180.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543180.1:8511:10002:-1 gene:KN543180.1_FG002 transcript:KN543180.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLARMASPFFPRMQRVASGLRADAFNVFVWTDAGAESTAFLDGGSIEEAPFISARLPLVSGQVVFREIKDLTDDQLLVGIKLRVDKPIEKRKINTWSE >KN542446.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542446.1:6245:10395:-1 gene:KN542446.1_FG001 transcript:KN542446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSIVAIAGWFAGSSISTGLGKVRSIWEDHQKLQDSAAQMLRELVLAVNLVRLLLVEADLRVTAPKADFKPCLVEMKSYVSEAEDLLDEFEVKKILRNSKLSEKLAIDDKDIKKLEAVLEKLNASASKLKDFLGILKSHNDEEEMIGSSSCSSPTNKPVHGRDTEIQQLLDLVLHDKSNSLYPPESTEQEEVEGRDHPRLIFIQGTAGVGKTTVAQVIYNNRFVKEAFGMRGWVSVHKCAVGSICEGLIRSFKAEEPPFGSKMSLPSLQTRSYSSEGNLASILENKRFLLVFDDVQDNFHNVWADLSPTLTKGAPGSIVLVTTRSDDVAERMGIETNCCISLQSLPQFTLWAILKDNTLEEQENNSLKLIGQKIAHDLHGLPLLAELIGRLLRQKLNEEHWRKVSESNWWIYAEDLDNPAYPSVKILCEYLTVPLKECLYYCSIFPYDYLFEKNKVMHMWMANFPYQEGIESMKETFFNELRNMSFLKSMHWENKYVMRDILQELTAHVYRDELYHAATERDRGKQILGPHQAIDISTFDVQFDSSKASKLRSMVITDSYRTNRNDKFAGILSHSSNLRVLDLSNIRMEPISSPSGDLQESKNSIKTESISPPDVIHTLGHLRFLDLSYTKITKIPESFCKLYLLQVLGLRGCHFKSLPTRMNQLINLRYLYAEACTLSLIEQIGKLTNLQELEEFVVSERNRMVELRNLNQLGGQLCIANLEKVSQTADAAEAELCRKIHLRRLVLKWENPISSDSDDCMSILEGLEPPKEIKELKIQGYRGFIFPKWAGMGQDFKYLHTIHVSHCEKLECLPPLGLLPALKFLLLVSLSSIKQIDNAFYGDKKTVFKSLKELTFHSMLAWEKWSEIESWQSIPQLKKIRIDNCPNLKEVPLHSFRETLMELALSDCGSILASKRCLEGFIKLEHLKVCKYSGSITLPCHSLTSLVVLNVSNDGSKIDFSGGTEQLPNLRWLVINGDVQVISDKTKQVLQPKMSSVSTVEMPFIALLAAPTIPAAESFSFHSATTSPQVISPFSGPLDSFDIVDFDATGNVLEKEENDGNGEILHKDDTISEHTPVNLIQTKSWEDTLRDLSKRLDSYEPTDILNNMNLIKVLVTKLLGGHRITEETREALYNLYKLGDEKEAIYKADVRQGQREEINHMKCPKDSFTLEKHTADDKHMMKEQSTFKTHPTMSSEELDGVQQQLSDVSLSTAGKTYFCDDAKSISKVIRPVQELRGEKADKMLLSQFLRTIKEARVQLHNLLGQQP >KN545782.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545782.1:718:1060:1 gene:KN545782.1_FG001 transcript:KN545782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALELDYFDATLYSNRSLCNLQIGEAQKALLDADRCVELRPKWVKGHYREGAALMVLKEHKKAFEAFLNALKLDPANAEIEKVMW >KN542446.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542446.1:11247:15470:1 gene:KN542446.1_FG002 transcript:KN542446.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGACLLVSAAVGKVVWKLRSYLSNHKDSYKGTMKMLDLLEDKVQILCSEHPPHVKPGKELAAAEWLWRLNKAINEAEELLHDMETDQVNTGISNATKASSGGHDAASSQVIDWLRSDNDNLLRMKSTIGRLASVCAEGGSIIGMPNMEESHLVDLNKEITSLPSDILRNETKPSPDDPLIIGRDEEIAVLLNMVLGDARYVTSSLQGREEADKLQISQKGWIAKTLENVDLLDASQVGSEEASYQNELWSKVQYTRMTHGNTSTDLCNPTVIPIVGIGGVGKTALAQLIFNEKKVREHFGDKSAYAWVYVTDNTSEEKMIEHIIAAFIGQEEYLSYNEAYHPEHGNIIEGRRFLLVLDDVWSDIHEIWSNIRRILSKGAPGSVVLVTTQLYEVASFIGTACPIVLDPLQSGDLWKLIKHYAFTDSCNYQYAENLEQIGRNIEAKLHGLPLEAKIIGALLRGNVDEDHWNRLLKSWWWKSSHNILCANVMSSIGISYCSLPGYLRQCFLFLSIFPRNYVFQKYKLSQMWIASGFIQPNNVTSSRRLEDITGEWFDELINRAFLGPSGCKTGFVMHDLVQDFAIALSSNGFRGMNTVNDSSQILHYLSIEMGGVNVQLSDFEIKHFKPLMMFADFGQSRSSDVCHSMHKVEDRSKSLCILDYSCSWWCEPRAYPVTLGLDRGDPICPPNAISKLKHLRYLDLSFTGIKILPDSVCSLCHLLVLGLRGCAFDELPGKMNCLINLRHLHASSDTIAQINGIGKLTKLQELHDYHVKAEEGHRITELSDMNDLGGSLCISDLEMVTDPAEALKANIVEKNYITALELRWSYTLPETLTPDLSKSILGCLSPPKYLQELKLHGYSGFVLPDWVGQLKHVRVVEISCCENLNVLPPLGQLEHLQKLKLDGLPSIKDINSDICGTSNVVFRSLEELSFKYMGNWKRWTYARSSDFIPNLQNLQIRSCDQLRKVPFESLSSATKEIIIEDCGPYNDTFSRYLQGLNGLTRLEVVCANWWYKSGKLIIPCKQLVSLEYLHIKKIQEVCIKGGLWYMRNLKDLLMINCYVVVTDSNEESAHEDKQSPTQIDRAMHSLTHLTLDGHPKHIVDLEIVIPQTPSLRHLCLDGVGRRTSITEKWLQHLTSLKELEISSCYALPSSLSSLSSLKRFTLICCYNIHSIPPNSLPGNLKELKIENPSFELEARCQNPTGDAWRPEGYKIELWSRRKIAEWRERKLEHGRTKLIQMQLKKESLGIPMSRREKSPKSSKGESLYQSDNYGSLGQMMQEGHEWPRKQHMEEQSFIEKEKSSSLNEQPEEDESDKELLEEWLQQSEGDQWPEQEWELYSWVLRKLNKELDRNKDDPSSLMKEREEWLKEEERKFHSEAAEALGKDWPNISHVPYICVEGKIVQNLYT >KN546796.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546796.1:562:738:1 gene:KN546796.1_FG001 transcript:KN546796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSGDDAELGLDVECLAAAARRTRARRSHSEAERKRRERINAHLDTLRGLVPSASR >AMDW01040580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040580.1:332:1584:-1 gene:AMDW01040580.1_FG001 transcript:AMDW01040580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYKYIEISVGSQDANIMASLVSRYPEAGWAQEGGDPCLPASWSWVQCSSEAAPRIFSISLSGKNITGSIPVELTKLSGLVELKLDGNSFTGQIPDFTGCHDLQYIHLEDNQLTGALPPSLGELPNLKEFKYEFVLLFCSYDPQDLNMHHFTALFVVLKRKYLTDPSLHSFSGNSDLRMGHSNTGRTIVII >KN543391.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543391.1:7399:8262:1 gene:KN543391.1_FG001 transcript:KN543391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPISARNLNSNFMLSCTRCLDKGHIASDCSEPVRCHSCLEAGRMARFCATRPAKAHQPVGKFPKLSSRNNLVWRAKSSPAKKTAVSPRIPSSPRNNVQSNPQNFPSLAMADLSPNPQHFLCQGQVVHQGGNLRVPRVDLTIPQRPPRCHEDVCIAIVEPAIPEHEWDHHRALISDHIFEVHMYEVHTAYRHPSAVGMFQLCSVMHRDALVNSPPAAFDGMHTVEFVKHDQGPNWRACHFNREGWFLLLDFPLDFVDRHHVNLAVAFFGQLSFWLDRNQMLTECL >KN543213.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543213.1:5171:5467:1 gene:KN543213.1_FG001 transcript:KN543213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVTAAASATLFNTVASMSASASAAACSCRKTAALVCLIKKTSASSEEEKETMALVERLEELEECIDELDNGSDKVFRSLVQTRVALLNIHTHIF >AMDW01083003.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083003.1:23:511:-1 gene:AMDW01083003.1_FG001 transcript:AMDW01083003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NDDDKRRRLVLVYDTATAAVDVSHHVPDDLRLACTYDAVAAGNRLYLLLLSDQSHFERSPESCRRRDDRAVGMRPYVEKMEEVPTGNRVYLMLPDQSERSPPPPPPESGSPDLAVAMRYLEKVEQAYDDEVETADDDGDDEEYMDAEKKKHVVVAYSERLTER >KN544791.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544791.1:229:2078:-1 gene:KN544791.1_FG001 transcript:KN544791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTNLGEVEAKTCSKMPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNTTLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPENPQQPSVPASEATAE >KN539174.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539174.1:64138:66902:1 gene:KN539174.1_FG001 transcript:KN539174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYAQLCDFVAIFDADFEPESDFLLKTMPYLLHNPKIALVQTRWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >KN539174.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539174.1:112625:118036:-1 gene:KN539174.1_FG002 transcript:KN539174.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKATSSDYWSLASNQYPCGKFPKVSVGVTIPRTSSVSRGRDAASTAAFEKNLSQGTDGRSRPPKMDNASLQVSPEAANHGGSAKEVPKPVPAKVSVSQPDDNAIEQTGTFSFGTRREQDSHLDQLDRPPLVSSQGKRQVESADKNKPNSEMLRMKLWEILGGTSQNKEAVASPNPEDIETPCQPKSQIANGPSSGRQKVFTSPVPYNIKTPAQFNSQTANKPSSDPIESDSDSPQVVEVRPITRSLGRKKAPTGSTHQDKSGSAKKPLSTHRSTPKQKILDNVFAFNDKCTPKTVGKSANGESGSLRNLRSLSRRAKVEPKKAHCSDRISDKTTQDDMERKGPSKYIPSEKKGKTAESCSRSPKRERRVNTMANVGARKMQFSENLLVKTLNDGEHKLSSPQLTSFKSKGKCSSISPQQKENDNTHIPEASDRPAARNSFNFTPSAAANPSPVLRKYSWEHDESPAINGKSGQKDASLLADRFRDMPDDFASPTFAANIKISPHRSKMLDDDLFSSKYSKSVNRSRSTSFTSDPESGPLDEMEKTNELPGSESLNSQEERQNRKQPPLSPLSPIESEGAQISIPSFRKGYKSHKWLSDVDSPDKSSIEHLGRKSHLKEGRKGKRQLPSPTHFATSGTQETMSDKEPEKVPENYLTRAFDQLVVVLGRFQTKIKSETRNKSSKILAATGEIIRQHLEGVEGQMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLLGCKNSVEDFEAYHAELKGVADKQKASHKKLLQNAEKTVGAQLSDAETKIAEVQKRARKRMKGLKFVLKELIAETAE >KN539174.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539174.1:18660:23255:1 gene:KN539174.1_FG003 transcript:KN539174.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCRHPEIAMWPTRPLLTAQAVLWSAQFFSHAFFEVLHRLFGYEPTPGFYKRVQARVAAMHNGPPAPAPAPEKEDEEEKENVSKYKKGLRNGPKALKPVPVIVRCKCCGRVKLPHFYCCSGKRGNPGSESS >KN539174.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539174.1:79035:79760:1 gene:KN539174.1_FG004 transcript:KN539174.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSKEGLVAHARNADAAAGACSEVSSNRDDEQIGNTVAKPANGLQQQHPPPPHHPHHSAMNGGGIWPYYTSGIAIPIYPAAPAYWGCMIPPPGAWSLPWPATVQSQAISSSSPPTTTSAAPSVSSFTLGKHPREGGGDHEARDHHGNGHGKVWVPKTIRIDNADEVARSSIRSLFAFRGGDKADDNNDDDGTSVHKLATTVFEPKRDGKTAKHPAITSLPLLHTNPVALTRSATFQEGS >KN539174.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539174.1:95691:97091:1 gene:KN539174.1_FG005 transcript:KN539174.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKTPCLNERILSSLSKRSVAAHSWHDLEIGPGAPQVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATAQEAIKYSMDLYAEYILHSLRR >KN539174.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539174.1:10291:14563:-1 gene:KN539174.1_FG006 transcript:KN539174.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSMEEGEGSSRVMVEEEPKIAFFDVETSMPWGPRERRTLLEFGSIFLCPRQLVEVAEPFVTLVRPSDLGVVTEELERKGITRGALEDAPPFYDVADNIHNALHGRIWAGHNIISFDSEIIREAFAEIGRSPPEPKGMIDTLPLLTQTFGRRAGNMKMANLADYFNLGPQIHRSLDDVRMNLDVLKCCSTVLFLEDNFPKLLSGGFLNPNDISLEFIQVSISFSSCLDKRSLNSGVRTNSLPNQFEWSLCIKHNDNPLQLHCIGLRVHYEVSLNQNSEGRPKLSIVVDIPENLRQVLEFCDEIAKTTFREFGSTSEWRQVIKEYGNRPSVRLK >KN539174.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539174.1:130462:135273:-1 gene:KN539174.1_FG007 transcript:KN539174.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAAAAAPDTNNADPSKAASKTTYSSYPSTTKSGSSWTVPSYKDRSDLPTPRTEGEILSSSNLKAFTLSELKNATKNFKPDSLLGEGGFGYVYKGWIDEQTLAPARPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPASEQNLVDWTRPYLGDKRRLYRIMDMKLGGQYPKKGAHAIATIALQCIRSEAKMRPQMSEVLEKLQQLQDPKYNVTSPQVDIRRRSSSGSVPRSPMRMQPSPRRLSASASPLPAAGSLLPACRTAQVH >KN539174.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539174.1:88671:94209:1 gene:KN539174.1_FG008 transcript:KN539174.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAATVGWLLVVTDWEREANPAYNDFFVLPCEKCLEVFVLAREFCEQVYGSSITYGYERPHGASTASREWSSIGRSDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSDVPPDHHRYSTSEGAISYFNSPDVTFQNHHIMLPMLQDSGIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASSSSPMPSEFKAIGEIRPSGLMDYAYASGSHGESTNWSAASSMDLTDLSERHDAERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIIRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPACDRLSGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHHAASKGESGKDWAETSSSSRTACM >KN539174.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539174.1:30155:34173:1 gene:KN539174.1_FG009 transcript:KN539174.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) UniProtKB/Swiss-Prot;Acc:Q9LVQ0] MKMAAVDAVPVGNRVRTVIRLAPGTYREPVYVAKAKNLVTLSGEAGSPEATVITWDNTATRIKHSQSSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGFRPSNRVAWCRQLLDVEVENFLSHSFIDPDLDRPWLIQMMAIKVPVSA >KN539174.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539174.1:2189:6389:-1 gene:KN539174.1_FG010 transcript:KN539174.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAFIPVGSSFGCTRLNATVTRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPAFRDVADAVYSVLHGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPVARSSPDSSKRQRTISRVDNAIQAGGNQQSIDPATNKEPIELISNIEEMTLGSGIQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPIQLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELDSWFVRGNMVDAFFSLELYDYEENAGIRLVAKKLVVQSK >KN539174.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539174.1:108675:112015:1 gene:KN539174.1_FG011 transcript:KN539174.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSPVDFAGSITSGQKDPCCFGVPGCNRSRCVRYDKKSRTCRLVTRAISVDRPQLDFSNSDWKKQFQEDFNRRFSLPHLKDVIDVEPRPTTFSLKSRTPLVNVNGSMQGSWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQCVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPCRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLQHLEHLIETKGFALICVAEGAGQEHLQQSNATDASGNMILGDIGVHLHQKIKAHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPISEVIKSTRKKHHLPLILEVQDGYNKHLLKR >KN539174.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539174.1:41525:45596:-1 gene:KN539174.1_FG012 transcript:KN539174.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLYVAKLVRSFCRSSSESEEEDIFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQSDSTNQLRKKGVVSTIRNCCFEADTQIQNLLSLAEYIWPALLLPVAGKKIYSEEDRSKMPPELANALSHEREAVENSEIRQQALEAIYMIVLQDEGRRAFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLVFPWLKAIKSSPYNYVRTWRNMHKQSNLPTFHRKIRKLVNVHMSPLTGGFASGQRNIQPPVGKTTGFWINVPF >AMDW01037718.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037718.1:63:708:-1 gene:AMDW01037718.1_FG001 transcript:AMDW01037718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHEAGFAVEVDGSETVDELIGTLTEHADNAIQLNFSAELSCADEEAGVFATDDLQQSSATVKTMVADSEANEEEDALEAENEVGFAVEEKEVRTGDETHEALSNDADGSIQFREDALEAANEAGCADEEKGVETVDEPHDTETNAAENAQEEGVVASEDLLQISETEQDEFNSDICHAVEHNEMDSVESVSSEKEDVSMEDAFTGDLTVKFD >AMDW01023279.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023279.1:39:140:-1 gene:AMDW01023279.1_FG001 transcript:AMDW01023279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNEL >KN541761.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541761.1:10691:14527:-1 gene:KN541761.1_FG001 transcript:KN541761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGGRGGAAARRKVRRRRGAIFVLLFGWILGLMFDGVLSSFRAGVVRGQGPTQIIIGVYNIIHWNPHVYQALSPYYMYKFLRKTQTGGWMSLGGILLCVTGSEAMYADLGHFTQNSIKMAFTLLVYPALVLAYMGQAAYISRHHNFEDGSHIGFYVSVPEKIRWPVLGIAILASVVGSQAIITGTFSIIKQCSSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCLSVTIGFRDTKHLTNAQGLAVITVMLVTTCLMSLVILLCWNKSIVYALSFLLFFGAIEVIYFAASLVKFHEGAWVPVTLSFIFMMVMCVWHYGTKKKYEFDVQNKVSISWLLNIGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGPKKYRIYRVIVRYGYRDVQKDDVEFEKDLVSSIAEFIRCADSNQNGFMDGASHSCEGLSFISKGLPLEEEEGEFDGSDSTGSSAHKEINPNTTAPKPKRVRFALPKDTKIDREVRDELQELMEAREAGMSFITGRSHMKAKSGSGLIKQIVINFGYEFLRRNSRGPAFAVNLPHVSTVEVGMICLV >AMDW01137281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01137281.1:114:670:-1 gene:AMDW01137281.1_FG001 transcript:AMDW01137281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GELQPSCRHLAMIPFDTQFIRNYTQLQINSSYTDIVGFIRKGFRVQFPLYRDNHVGMTTTQCLNDSMRYFKEHISGSGASISNITRAIFWTDIFFAECRATDHAYTTKLMSLVVIVVSAIAIIKLHFGTPELPSLNHYSCNVLSE >AMDW01014962.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014962.1:13:253:1 gene:AMDW01014962.1_FG001 transcript:AMDW01014962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRALLAWLLVAALLMAGSLTCSSSSSSSRPLGGADGGDSSKALAGAGD >AMDW01038400.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038400.1:8:405:1 gene:AMDW01038400.1_FG001 transcript:AMDW01038400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKKNTKNAMNSKKQQQKKKNGVSFVGRIFSSRKDKSSSCKECEDFFGKAGILH >AMDW01019726.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019726.1:5:289:-1 gene:AMDW01019726.1_FG001 transcript:AMDW01019726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PWRIAERHRVAARIRELKASVEELNQRFLRYRIVVEHPRGTRGGGASDDQQQLHDHDGQHYSAELAFQESDIIGRAREKAEVTALVLSGCGCGGD >AMDW01030823.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030823.1:73:237:1 gene:AMDW01030823.1_FG001 transcript:AMDW01030823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPVTYAGGVSTMDDLERIKRAGNSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNM >AMDW01034078.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034078.1:22:474:1 gene:AMDW01034078.1_FG001 transcript:AMDW01034078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] METPGEYAFVLDVPGLSKSDIQVTLEEDRVLVMKSSNGAGNGKRKREEEEGECKYIRLERRASPRAFARKFRLPEDADTGGISARCENGVLTVTVKKRPPPEKKTKSVQVTIA >KN540437.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540437.1:2632:6475:1 gene:KN540437.1_FG001 transcript:KN540437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKAGASSASSSSSASASGGDEAMALTSSKGEENGGLPSIAQMDKSEEDAPIIPKSHPGEGATLPSSHINRDQDVDVLQNATAVNNRVEVNQSPNHSDSSRPRFGVNFGLSRAVSLGSSVACSILSSDFSTSANPDGGHGNVDSSSDANISQQGGASTAGIDSTLDMLRDSVTAQARAAHQARRNLLESDNANLRYSSRRTGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAIWPSGNASARQNSAIMQRTNSERNSELQLDSSTDSATLREANNRDLLERRSAFLERRRIRSQVRALQRLGSRTSSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKVYRRSLKHQTEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASGIGKTT >KN540437.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540437.1:27187:28603:-1 gene:KN540437.1_FG002 transcript:KN540437.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISCRELSPHLSSLPRAAATTTAHTHLSFRRAPPPCRLLTGTASDDGDRLRLLPSAATRDDALASLVGQLEHHGHDAASSAAGEEEEEEDEELYHHDGQGHGAARRRHRRHHHQDEHELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDPSSRYCGSCKYPRRAFFDRLGMPAAARGYAVTRYLYATSNFRFPNFFSQSRAGAKIWSQRANWIGYVAVSTDEETARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGIPCPDREVKVESGFVDLYTDKDPTCRFCKYSAREQVLTEVRRLVTRYAALGEDVSVTVTGHSLGSALAMISAYDIAESGAAVHGGKEAAVCVYSFAGPRVGNARFKERFEGELGVKALRVGAPAALRRVAEGILRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHNLEAHLHLLDG >KN540437.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540437.1:12259:15018:-1 gene:KN540437.1_FG003 transcript:KN540437.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNDTSGLRRLSRRAYLQRRKEKKVEEMRDEIVDHEFLFPGVQLTAAEERDMRHKKQVYGLVHDRDDGAGAGDDYYRMPDAYDAAANVDQEKRFSVARRRYEEPAEAARDGKTANALSEQEAWEEQQIRKSRLQFGSMDRGRRGDEYELLFDDGVEFVRSTVMAGTAPADDDDTAAAAAADEYHAEAMDAKATLQDERKTLPVYKLKDDLLKAIDEHQVLIVVGETGSGKTTQIPQYLHEAGYTAGGRKKVACTQPRRVAAMSVAARVAEEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLGSYSVVVVDEAHERTLATDILFGLVKDIARLRPDMKLLISSATLNADKFSDFFDAAPVFRIPGRRFEVGIHYTVAPEADYIDAAVVTVLQLHVTEPPGGGDILLFLTGQEEIETVEEILRHRLRVLGGKVAELVICPIYANLPAELQAKIFEPAPAGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLVVAPVSRASAEQRAGRSGRTGPGECFRLYTEYNFVSDLDDDAVPEIQRSNLASVVLALKALGINDLTIVASERYGCSEEVITIAAMLSAGNAVFYRPRDKRVHADAARRAFHAGDAGDHVALLNVYNAWAESGYSPQWCRESFVQHRTMRRARDVRDQLGALLERVEIAPCSAAGDLDAVRKAVTAGYFRHAARLQRDGSYRAVKSRQTVFVHPSSGVAQAPPRWALYHELVLTTKEYMRQAIHALTNVMPNRTD >KN540437.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540437.1:8640:11983:1 gene:KN540437.1_FG004 transcript:KN540437.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGGIDGFWLIVAGPERDEQGKPIHGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLCFWLDHADRLPMIFRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRQLKRRVKEYFKHFDRLALDLLEKMLTLDPAQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKTQHPQPHGRLPPIQQTGQPHPQIRPGQPMNNPHPPMAAGPGHHYAKPRGPGGPSRYPQGGNQGGGYPNRGGQGGGGSYGNAPYPQQGRGPPPPYPGSGMAGTGGPRGGVGGGYGGGSNYPQQGGPYGPSGPGRGSNYPQQGGSRNQQQYGNWQ >KN540437.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540437.1:32646:41029:1 gene:KN540437.1_FG005 transcript:KN540437.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MSAPLRPPEAAGGGCPGGRGAGAAGEEGGGRVYEAALSLKASVGDRAYLLVAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSADTARSATSSEGADFLIIDTGSDDAINVMNGVSGTQHVKIPIFSTLSDSQSEGSYSDNTSRLLQSGASGIVMSLAGIQVLADDIIERDFSKVDTAESVLQANYSSASTLEEADNVMVLTREKAKVAGFTKLDEKVMQLISIEKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVTVGEFNSGKSTFINALLGRKYLQEGVVPTTNEIMLLSYSDVDSESAERCERHPDGQYMCYLSAPVLKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTDSEPLSHRYVLGMVGFLQYVQQWKKKVVFVLNKLDLYRNSNELEEATAFIKENARKLLNTEDVTLFPVSSRSALEAKLLYSKNDGREHHGEALFNDPRWRNSKFYDLEHYLLSFLDGSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIKDLVSGANNYAVKIEADSDSWQRQISSLIARAKGRAISLMESTLQLSNIDLIFTYTLSGGKSTPTKVTSFFQNDILSPSLDDAANLLSEYSTWLSSTNVREANIYVDCFHERWGALVAQEQRIPPEKNELVNEEEKLCVKALDGFSASAAAKVFEEEIREVAWGTFGGLGVAGLSASLLTSVLTSTLEDLLALALCSAGGFFAISNFPTRRKLAVEKISKAAEKLSSKVDEAIQEDISRSANQLVHFVETVSKPYQDACQQKIDWLQGVQGELSAVERKLQTLKVEIQNLHES >KN541191.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541191.1:3476:3703:1 gene:KN541191.1_FG001 transcript:KN541191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFRCSGGGQRCGGTVVAHSCEEQGGRWRRRVKGIGDGRRLGHCNPCLGTREITKYPSTEIKERPKMPIRING >KN541191.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541191.1:9668:10003:1 gene:KN541191.1_FG002 transcript:KN541191.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVRREAEAHGAASSKIPAALGLFGVATISLIINLDAACDPPQGFADSTCYHLALVGSFLAGMGQVGAAIWVAEDPRGHRAAVGKKIMIASIAPLLVAVGLTGAVALLW >KN540649.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540649.1:31489:32939:-1 gene:KN540649.1_FG001 transcript:KN540649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWRHLLLVLCFVGSSSLLLVSSQTSSDSCTAALSLGNLIPFNTTGLNCFQAWSSQGFILRFGKDASSAGSNTVWNFVLSAPDSGGYIAVGFSPNGKMTLSL >KN540649.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540649.1:11565:16157:1 gene:KN540649.1_FG002 transcript:KN540649.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKESGELRRQSSLESPRTGRAATSINLDGRTALHIASCEGHPDVVRVLLTWKANIDARDRWGSTAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDVPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYCDQEVINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGKLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGRVKLADHESMVDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEESGHTIRYDGMRPSLKNKLRGYPPDFKALIEECWDTQGIARPTFSEIIIRLDKIYAQCMKQGTWKDSLKICTDTRHYTTLSLPVGQPGDDHVRCNTIIAIVQVQIHDWESQ >KN540649.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540649.1:36251:36760:-1 gene:KN540649.1_FG003 transcript:KN540649.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTAVGDGYEYDGTFMVVVAVESLTYFLLAMILHKFPSPITAMLLSPVTLLALVGSFACCLAVSGCCDDRLDDGQNSGNRQVQDSIV >KN540649.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540649.1:45602:46427:1 gene:KN540649.1_FG004 transcript:KN540649.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRNLLLLPLLLALVAAAASAAGDERAVLEVSGSPEGVVWVVQLSDLHFSVHHPDRAYDFRRYVGPALALVNPALVLITGDLTGYAEMAIWKIQCLFHNLSIMHYGPVTTADLVASGQLLSSCPPVT >KN540649.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540649.1:6879:7488:-1 gene:KN540649.1_FG005 transcript:KN540649.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGAVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSERKMSAYGSSKFMRAVEFNGILSNDQQLNSGTYR >KN540649.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540649.1:42748:45078:1 gene:KN540649.1_FG006 transcript:KN540649.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQPQVLSSQLYNHHWHAAVKGAPSKEPMPRRSRPCSSRKKSAMSASWLQQRAMMACLPQNEEEGEEASCHPEELPPAGAAGGGSGRFLAPAAQNAAAAAASWPPRQEAAAEKAIEEGGDYSWRQIALRIFGGNSGIRRRRPEREGRLGEREI >KN540649.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540649.1:720:1955:-1 gene:KN540649.1_FG007 transcript:KN540649.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGAVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFVRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDM >KN540649.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540649.1:20285:21631:-1 gene:KN540649.1_FG008 transcript:KN540649.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAEKIVEGSNGLEEAAVNVKNAASTLLVAADNPKASEELAGESRDLAARILQLIHDVEDQAREDAAGAKFTDQDVLPGCEQCADRSCLCPWLFGSCTSPSGGCSTSQPRGAVDEKQETLRDKWTTFFTFSMPASLAVLPLLEKHISQGYSALLGYSYGAIFFSAAAGLLLSMNATADSDVQVARYLGNLSVLALSVLVSLYVWTSLGAGSIWMIAFFLAAIVFGHGCAWLRCLFSGNPTPTKHN >KN540649.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540649.1:26483:29178:1 gene:KN540649.1_FG009 transcript:KN540649.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MERVRLLRRGREADFSPFDIQLECNSFTGPKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGAHKTSRNAKACRCVPEEIQDFWDKMFFEAYQYDLRVLTEDGNEVMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVPSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHICRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSAPETCHVPLCRHFKDKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRTFPSLKT >AMDW01039685.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039685.1:184:1068:-1 gene:AMDW01039685.1_FG001 transcript:AMDW01039685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFR >AMDW01143817.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01143817.1:353:568:1 gene:AMDW01143817.1_FG001 transcript:AMDW01143817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METAVIFAADGGKGVVDGDLGLLSRFLLHGMGVKRTESTCKKARFLLGGGDLGLGRPSPVDAWGRACRTFLN >KN539200.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539200.1:96215:104374:-1 gene:KN539200.1_FG001 transcript:KN539200.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLLWSRLPERVHNEARNIITSWSPMLAAYLNPYEQITIPQYTDNEQFRPNELFDLATAYLNRACMIAGHKLNAEIGSNGQDNTLTVFRLAGNQEVVDSFGNTRMWWNLSVDEHREHRLKLIAVKSRQKELESAQEKNTMGNPTISTTKDLSSEKQIEKSIQMEESTNPRANLSSAGTNSPEIAYGGQMLHEEYALLSSQTELGQQMSYTALMQQVIQSQSPKINLHTNQGRLAEMNYHLQSPIGYSMPFEDQCMENDIEKIYNNNNDNRYVQITSTENSALEQVNGAEQAGIASTEDNAEVTDKENKESAADEEEADNDEIDSRHIPCEGMQFKTDDEAYKFFNFYAYLSGFSIVIAHSLKTSDKKRNNKSSNTHTNTRGTEKIRTMQPTKFRKRKGQEIQMFYNLRKIPIRAREDINATNINHRRRQDIFGVCCNKPNKTRMEAEKVEEKREKNSHDFERFTYNGDNSALRYNILSKKGALISSKACKKVETYNYLLEEMDRLDKHIDSMLAQEEQITTLVDIVEDSMIAEDETITEPNEEEQLQEKATNEEEEIQDPDQANTKGRKSIRRKRIVEQMIENNNKKKKRRKGEKNHAKNQQRSNRAAGQKQQKNRELESSNKTKKI >KN539200.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539200.1:60518:62041:1 gene:KN539200.1_FG002 transcript:KN539200.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKWVGFGSAMAGVGLLWSRMPEHVHEEARHIIGSLVPMVMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLISACLESASKLKAELGGNNSSDDPRVILDENQEVVDCFEGARMWWKLCPKVSKNRGGTIISMMPGDTDEPRCYRLVFHKRHRQLVLKTYLPGIIRQWRELTAKDRQMLLFTNHSKQGENMWTSVPYNPPATFNMLAMNHAKKVEIMDDLRAFQKGKEYHSKVGKAWKRGYLLHGPPGTGKTTMIGAMAKFLDYDVYDLDLTSVQNNADLRKLFLDTTDKSIIVIEDIDAIEVELTTNRSGKKAANGDDKHVVIEVSNKNHDKRKVTLSGLLNFIDGLWSACGSERIFVFTTNHVDHLDPALTRRGRMDMDIEMSYCQFEAFKMLAKNYLNITEHSLFSEIEGLLSETNTTPADVADKLMPRNGEIGPLLDEIDEEPVDRDSIMLRYNRKRHADERLESLVETLIMKRAKMNSATTPMEEAKEEQPNAEES >KN539200.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539200.1:114856:115167:1 gene:KN539200.1_FG003 transcript:KN539200.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRAGANSLPVAALEVTARLARRATPKRRVAELNVESQQASGGRRGGCRRRGGGLLSADSVQVLTDEHRGGGVLGADGFQLLTEEQHACGYFSDAERHSVCG >KN543783.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543783.1:1988:4502:-1 gene:KN543783.1_FG001 transcript:KN543783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLVRPNSTLPEFLGSMYSLRYLNLSGIPFAGRIPPQLGKLSRLQYLDLGQNGVSEEMYSTDITWLTNLSLLQYISMSNVNLSGIADWPHTLNMIPSLRVVRLSGCELQSANQSLVHLNLTKLEMLDLSYNGFDHPIASSWFWKATNLKYINLQANGLVGKFPQQLGSMTSLQVLDVSYNNLNKDLVMAGELNNLCSLEILDLTGMDIIGDMTMLIEGLMGKIPDWFWSTFSQATYLDMSQNQISGSLPAHLGDMAFEQLYLSSNQFTGPIPPFPRKINVLDISNNSFSGTLPPHLEAPELQTLLMYSNRIGGNIPQSICELQLLGDIDLSGNLLVGEIPQCSEISYNFLLLSNNTLSGKFPAFLQNCTGLQFLDLAWNKFFGSLPAWIGDFRDLQILRLSHNTFSGSIPAGITNLLSLQYLDLSDNNISGAIPWHLSNLTGMTMKGFQPFSGASMSSGLVTVELSGEIPNKIGTLQSLESLDLSKNKLSGGIPSSLSSLAFLSYLNLSYNNLSGMIPSGHQLDTLSANDPSLMYIGNEGLCGPPLQKNCSRNYTFIHSSKQEFKPMTFYFGFGIGLVVGIWVVFCVLLFNKI >KN539200.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539200.1:129102:132509:-1 gene:KN539200.1_FG004 transcript:KN539200.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCGGGYRTQTINGRKGRFVRLEQQEDQERQPAAATTMDGGGGGGRVQHVMDSYFSSAPKIRTRSVRMAAAGVMSIGGYRAERLKSIGRVFQEDLTNMSQKIFDPQDAFLFRTAYIAPSSRVFGRGELVIDPAAIAWRYVRRFFVVDLLSVLPLPQIPIWNFLHRPKGADLLPTKNALLFIVLVQYIPRLVRFYPITSELKRTTGVFAETAFAGAAYYLLLYMLASHMVGAFWYLLSIERLDDCWRENCKVLKFHQCKKYMYCGGGNLGQSGFLEWRTMIRQVLVMECAPADEAGTGFQYGIFTTAIQSGVVSTTNLVAKVLFCLWWGLQNLSTVGQGLKTTHYKGEALFAIFLAVFGLILMALLIGNMQTLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYILREGDPVDEMLFIIRGRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAAANLPLSTRTVKAISEVEAFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRHLKRRAAEQRRREEEEEEEAASASSSCQITTTVLVSRFAKNAMRGAQRQRSRRDANLIVLPKPPEPDFQTMEY >KN539200.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539200.1:19367:19582:1 gene:KN539200.1_FG005 transcript:KN539200.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding METGRLGIDGELIMARKRRLGFRRIGIAGRCLWKARRAEMGADMGEGRGALFWERGRLGGEANDANLRRGI >KN539200.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539200.1:122846:128550:1 gene:KN539200.1_FG006 transcript:KN539200.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGFRVFRDGAVAVAGDRIAAVGPSADVLSSFPRAAATVDLAGRILLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAAVRNFVLMFCKLSLFIFGSFCFAQLKIQSQKDLYEKHHNTADGRIRIWFGLRQIMNATDRLLLETRDAAQKLNTGIHMHIAEIPYENELVIRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNKPEIGHFLKADVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLACLINKGREAYITGTTNPTALPAETVLKMATINGAKAVLWDNEIGSLEVGKKADMVVVNPLIWSMVPVHDCIANIVYCMRTENIESVMCNGRWIMREKKIVNLNEVMSKHS >KN539200.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539200.1:9027:11610:1 gene:KN539200.1_FG007 transcript:KN539200.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRLLLLSLEVMLIALLLPLHGAHCESSTQGEGSGGCAGAGAANLTVTGTVFCDACSSSSFSNHSYFLPGVKVRIDCMISVKSASKEEIKITAEKVTNTFGAYQLDIPAIDGFELISNSESQVMF >KN539200.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539200.1:83133:86550:-1 gene:KN539200.1_FG008 transcript:KN539200.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSLSSVLLQHSWNRSRRHDMNNLRNSIRQCHFLPGYIPRGPDVSPTYSLPREYLAVLKGKLTLYDSTICRWYSNPLIPEVVALQQSSNIYIYVILQGNTYIVNMVIKEIIPGQAYIECEKCTDWPSMGFTPQDFKKDYPITADLTSSNTDTTDKEESSSTQNHITDSENLIHAITAKTNQNAITDIIQLQWLYSYIYSDVLDGDKLKKARCARVLFTDDQSDATDRINKGLCQLDVKCKHD >KN539200.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539200.1:89253:95506:1 gene:KN539200.1_FG009 transcript:KN539200.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNNMSKRKVLEQGTSNVFDRPQYPFANPEISDGSDENYREDDTESDDDFDMDYVMLELYRKTMKKQTCLTKANSSFSRFSAKYFSEVIADLFGPQRFIIEKYGFANLLLFDVKSAPKKLASWVAKRFDLSSSEIILRDKVIPVTEHSVIVVLGLPTRRRDFGKNFNIGKEIILSRFVPSVKYLTVFEDIKALDSYNWSKFVYEWLLMHIKKFQKSKNLGGCLHIWAEQMLSFRHKLDSAIGNFLSDGLKDNICDKLTSHCSINHVSDSLSCEDVILSILQMLHDSSSSETVQLGSHNIAHTAAVNASFQTDKLHVGFNNHSFQFNEKRTPPSKSYAEHSFGNHPLSTEDFAHSTFGCGQAGASLSAQAQMYHTPEVGLIKNKKVCLDESFNASAATTAIDAVKDVAKKIRSRMAHLKSKEKMNRIFDGTKSFLEILDSEDSGDCDSDDCLPHIVDSEADFNENESPMDARPLSSQIALNSNSFHPNVRTYNNLKTWSNNKDKGKFDAEFVRKRAFQDLTNSPDVVCLGSNTCSNKMKNMCIKSEHIYNKSNQFDSNYKVFGSGFNNSGASSSGGRLPPHGPRRPLMPSRHASDHFVPVRRRFPVSEQENKYFTAICLAHTRWQSLFAVNIDNVRMTFSNFGNSLRIGSDVSNYVISAFCRLMFHNNHPSKSKKNYFFSSIGDQLLKEIECSEMVKIKKCFEGVASARKLHLCDMLFFPINHLDHWFLFVVDIKDRMLVFLDSLHHKSDPYFDPIIPMMIKNIQTLWDKFEGTAIDFSKFRVFFPLVPLQDFSCDSGIFVMVHRTLVSKGCIAK >KN540075.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540075.1:7407:9108:-1 gene:KN540075.1_FG001 transcript:KN540075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKFAVDKLESMAAQELKLQTEVGKKVLELRHELEWLRTFLRDADRKRRGSSSSSSGAGAAADEAEQLKSMEMIFRGTDTTALVTEWCMAEVVRNPAVQARLRAEVDAAVGGGGCPSDGDVARMPYLQAVEMFIGY >KN542370.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542370.1:310:8159:-1 gene:KN542370.1_FG001 transcript:KN542370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHRGRSAPSSPLTPSSTMRFMSRNIRAKKIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPADLGYLTFLMNFLKSIASPLAGVLALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITVSWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQELGRGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNSILEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAVNPSNSLVLKVLSASPSAYSANPKSKLASPPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRFLKSGVQKAGSKIRFSNTSGALGNLIDFKNIFETTDRSSLE >KN540075.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540075.1:45234:45595:-1 gene:KN540075.1_FG002 transcript:KN540075.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRASGRITDDEITELISKLQSLLPESSRRRGATSGSPATKLLKEMCSYIKSLHREVDDLSERLSELMATMDSNSPQADIIRSLLR >AMDW01065961.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065961.1:136:417:-1 gene:AMDW01065961.1_FG001 transcript:AMDW01065961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSGTPCASCKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQQQ >KN540075.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540075.1:30123:35885:1 gene:KN540075.1_FG003 transcript:KN540075.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCDDDGAASRATTDSMRLLEADRRRGVGVVVFVCLREELGLQRYEERALLADPGVDAVYLPLPTSLHVRWATAAAAAGKHVLLEKPTALCAADLDAILAACDAAGVQFMDATMWMHHPRTAKMRELVADKATTGDVRVPFSHTKGPHDNENGYQARKINSLFSFRANEEFLQNDIRVKPDLDALGALGDAGWYSIRAILWAVDYELPKTVIALRNPVRNQAGVLLACGATLYWADGKIATFNCSFLTNLTMDMTIVGTNGTLHVTDFVIPYEEKSAAFSMASKSKFAELHIGWDPLPSKHVVSTDLPQEALMVQEFSRLVQNIRDAGGKPEGKWPAITRKTQVVMDAVKTSIDNGFGPVDISS >KN541467.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541467.1:18505:18978:1 gene:KN541467.1_FG001 transcript:KN541467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEVGEVGLVIDVISVGAKAKEQHNAAAILFYLSSNNSDASWRRSRRWSSSSGTAHTHGQKNAMVILYGLLQSAANQSTAIAAGAVLALTTLLSNDRDDLAQDSIVLLARKAWQTSGAVAVLSQSGLAEALAASSSYPSADVIEQHDMAVHKKHH >KN538867.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538867.1:210282:212695:-1 gene:KN538867.1_FG001 transcript:KN538867.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLYIFLGLLLFSLHGAPPCSAAPVNDTLLAGESLAVSDKLVSRNGKFTLGFFQPSVVSKSGNITSPNWYVGIWFSNISEFTTVWVANRDNPVTDLQLNQTRLKLSNDGNLVISSNATTIWSSATVANTTTATTMNTTSVVLANNGNLMIIGSSSTSNVSWQSFEHPADVMLPGAKFGWNKATGATIKYFSKKNLIDPGLGLYYFQLDNTGIVLARSNPAKTYWSWSSQQSSKAISLLNQLMSINPQTRGQINMTYVDKNEEEYYAYILLDESLNVYGVLDISGQLIINVWSQDTRSWQQLGGGGFGSVFKGVLSDSTIIAVKKLDGAYQGEKQFRAESKATILNWTTRYNLAIGVARGLSYLHQSCKECIIHCDIKPENILLDASFTPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEMLSGKRNSQKVCIDDNSNQVALFPVTAISKLLEGDVRSLVDPKLNGDFSLEEAERLCKVACWCIQDNEVDRPTMSEVVLVLEGLHNLDMPPMPRLLAALAL >KN539610.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539610.1:87734:88177:1 gene:KN539610.1_FG001 transcript:KN539610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPTRARTSRPAAYAVAGSHGPRAGWLLRRRLGARNSRPIAYTIVGAIVLVQDGYLVANWGLAPLGRTPTPLPGDIVPVQDGHLVTDWGLKPPGQPSTLPPGDFVPEQDSHFVVDWGLIAPTRPPSPPPGDIIPVQDSHYPVAD >AMDW01080547.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080547.1:143:733:1 gene:AMDW01080547.1_FG001 transcript:AMDW01080547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RTGYITNTYEVRYGFIATMDVYGFSLTPGQLVSYGSVWIITDNGDAPASSLEVFQIGWRVKPGDERPIFDLYCKTSDPSSPLTDPSHMDEDPSHMDEDCPGFRPERGAYIRPGDPIPGISQPNGAKQYITLKVFK >KN538867.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538867.1:173760:177967:-1 gene:KN538867.1_FG002 transcript:KN538867.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASVKINVESPNPNTVISCYISFLSLFISDWQDHHDQEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQEGVTIQQLFYLLEFQVAYEKWEITENQAIRLAY >KN538867.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538867.1:143099:148357:-1 gene:KN538867.1_FG003 transcript:KN538867.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRICTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPVIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGKLGLCSNPDEISQNSSNNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVSSHDVHSGAVGVDGIESGVQNEPDDVSIQPERLSILQKLKELFVLRQQAELPDQNLAYSNSDQYAPEETQANVSLSCPEQCAPEEPQANATPSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHVLEEIQANVSLPCPEQYVPEEPQANASLSCPEQHVPEEIQANASFPCPDQHVPEEPQDDASFSCPEQHVPEETQTNASLPCPDQHVPEETQDDASFSCPEQHVPEETQGNASLPCSDQHVPDNASLPCPEQHVPEETQINAGLSCPDQHLPEETQFNVSLSYPDQHVPEETQVTAGISCPDQHVPEEAQVNASLPSPNQHVPEETKATAAISCPDQHVTQANASLSQHEFGAKVEDNTSLEQNQGNAELIKIVLDLIKKTDSLDMRQNKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVGDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLAAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNSDSRKGSLSFFFFFSNVGGYQETGRRFALGAAEMNSVIYATGGFDGFSYLHFLEDNKHATYRSAERYDPREGFWARLPSMNVRRGCHTVAALGGVLYAIGGYNGDRMVSSVEIFDPRRNSWRVGDPMNFPRGYASTVTLGDNVFVIGGLQSSEKFMDSVEVYNVKCGWSVPGFSSIGVRCFASAAVV >KN539610.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539610.1:53208:54750:-1 gene:KN539610.1_FG002 transcript:KN539610.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDVDLADRFDISGPTHMMKEKIIHWDKEEHRRCVAACLVKGAYVVENDLNRRRMWGKELAPAWWENFGFCTVDVINDDVIDDNDQIVTGTIYEHETPPGGGEPRHPLSPRYVVAFRGTMTWHPKAFVDLYLDKQVLFNTLQDSQRFRLAKAAVQKLVDTIHKGTGACSDHAVGGRCVVWLVGHSLGASVALEVGRVMMTEQGYNLPTFLFNPPQVSLAPVINLLHPNEKAKRHLHAASSLLKVGLGKIMNSHEEHMEKLFERLSPWTPELYVHESDPICQGYIDYFEQRQLVQERFRGIGNSAMKLSYRDMFFSVLGKNKERPHLLPSALLWKNSRVDDAVEDHKKLSKCKMLQEQLHQYKKLAFNAHSLEHWWKPDSELSLTKTQYSYPSA >KN539610.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539610.1:37824:38371:-1 gene:KN539610.1_FG003 transcript:KN539610.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHVAALLCVASIAAFPSPPRRGKKEHVYPIIGSSENVHRVTELKL >KN538867.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538867.1:109138:116996:1 gene:KN538867.1_FG004 transcript:KN538867.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENARITGDLVPHVYGSEPIIGSWDPARALAMERELASMWALSFVVPPDHETLDFKFLLKPKDADTPCIIEEGPTRHLTGGMLEGDVRIAWFKMNGDHETLEFRVFNKADIVSPLDLAASWRVFKENFQPSKVRGIPDVSINVAPAHGTEDTSTESLELDLEHYVIPTPSAATSEYAANLAANPASLIQTGALWTNDMLLSDGIQSPSSATADFEDHNNCNKDNVALPSDSFKKLQVSGIVESKSVDTLTTLQKQDGQKGLFVDRGVGSTKFGKSSSACSLASGLNFGTGKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLIFFLNMSTCKQVGLPARGKTFTAAKLTRYLRWLGHETRHFNVGKYRRLKHGANQSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDPNIIERNVRLKIQQSPDYADQPDYETGVRDFKERLANYEKVYEPVQEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYSKKLANFIEKRLKSEKTASIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKVMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQQAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >KN539610.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539610.1:26533:29472:1 gene:KN539610.1_FG004 transcript:KN539610.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPNLVFPVIATAWCLLLLQAGSSSPPPQTAPAPALPPSNPCIAHERDALLDLKAGLQDPSNYLASWQGDNCCDGWEGVVCSRRNGHVATLTLEYAGIGGKIRPSLLALRHLKAMSLAGNDFGGEPIPEFFGEFKSMQHLTLGDANFSGLVPPHLGNLSRLSDLDLTSYKGPGLYSTNLAWLSRLANLESLYLGGVNLSTAFDWAHSLNMLPSLQQLSLRNCGLRNAIPPPLHMNLTSLEVIDLTANPFNSPVAVQKFFWPFWDISSLEEIYLESCGLQGILPEYVGNSTSLVRLNLNSNDLTGLPTTFKRLSNLKFLYLAQNNISGDIEKLLDKLPDNGLYVLELYGNNLEGSLPAQKGRLGSLYNLRISDNKISGDLPLWIGELTNLTSLELDSNNFHGVITQFHLANLASLKILGLSYNTLAIVADHNWVPPFKLWIAGLKSCGLGPKFPGWLRSQDRITMMDISNTSIADSIPDWFWTTFSNTRYFVLSGNQISGVLPAMMNEKMAAEVMDFSNNLLEGQLQKVPENLTYLDLSKNNLSGPLPLDFGAPFLESLILFENSLSGKIPQSFCQLKYLEFVDLSANLLQGPFPNCLNISQAGNTSRADLLGVHQNIIMLNLNDNNLSGMFPLFLQKCQNLIFLDLAFNRFSGSLPAWIDELSALALLRLRSNMFTGEIPPQLTKMKELQYLDLAYNSFSGAIPWSLVNLTAMSHRPADNDSLSYIVYYGWSLSTSNVRVIMLANLGPYNFEESGPDFSHITSATNESLLVVTKGQQLEFRSGIIYMVNIDLSCNNLTGHIPEDISMLTALKNLNLSWNHLSGVIPTNIGALQSIESLDLSHNELSGQIPTSLSAPASLSHLNLSYNNLSGQIPYGNQLRTLDDQASIYIGNPGLCGPPLSRNCSESSKLLPGAIDEDKSLSDGVFLYLGMGIGWVVGLWVVLCTFLFMQRWRIICFLVSDRLYERIRASFTKQSGRN >KN539610.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539610.1:33190:35205:1 gene:KN539610.1_FG005 transcript:KN539610.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATWAGAYCACYLRLPLLLTWRRVRDGARDPVGGGEGTALAATGERGAVAAAEVLHTFAMGGGGSGAEASQLSMQGGLELLLEKSTKVHKVDVQPNDGDGKVVMKGLLAWVKSNLIKERPEMFLKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >KN539610.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539610.1:72371:76161:-1 gene:KN539610.1_FG006 transcript:KN539610.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGSIYRFTVPLFGPEVGYAVDPDTRAEQMRLFWDVLKPRSIEARVGAMAEEVQNYFSRWGEQGTVDLKNELERVLMLIASRCLLGREVRESMVDEVYELFRDLDNGLHLISTLLPYLPTPAHRRRDRARQRLGEIFTEVIRSRRNSGTADNSDDVLQRLIDGRYKDGRALTDVEVVGLLVALVFAGKHSSSSVSTWTGINLLSHPNHLAAVIAEQDGLMASHPRTDDHDRVNYDTVQEMTTLHCCIKEALRLHPPAVAMFRQARKHFTVQTKEGKEYTIPGGHTVMSTILVNHHLPNVYKDPHVFDPSRFARGRGEDKAAGPFSFLAFGAGRHSCAGEFFAYTQIKVIWSHLLRNFELKMVSPFPETSWRMVTPEPKGTVMISYRRRRLTL >KN538867.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538867.1:137851:140485:-1 gene:KN538867.1_FG005 transcript:KN538867.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G30080) UniProtKB/Swiss-Prot;Acc:O64738] MSGTGCFPAGEMAAVARVCRDGAAAARLKTGSLLAILVASAVGICLPVALTGAFRGKAGYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVATRRPWRDFPFAGLFSLVGGGGEEEEARRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGIATVGYMCIMFSVTTPLGILLGMAIFHMTGYDDSSPNALIIEGLLGSLSAGILVYMALVDLISLDFFHNKMMSSSNKLKKVSYVALVLGSASMSILALWA >KN539610.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539610.1:30486:30929:1 gene:KN539610.1_FG007 transcript:KN539610.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAVAKLDGEQRSRRRAERVNAKLGKALADAERVLAASRRELERERRSRERLEKLCDELIRGGLACGMDVKGGEEEVKREAQRGAGGAGEREGDAAPRRRAPRAFPCAATKAFPPAASLTATPSSRSRPRCCHLRRHGGTADQLR >KN538867.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538867.1:204030:206402:-1 gene:KN538867.1_FG006 transcript:KN538867.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTLAAGEVLAIGDKLVSRNGRFTLGFFQPSVVVKSGNITSPNWYVGIWFSNISVFTTVWVANRDSPVTELQLNQTQLKLSKDGNLVISSNASIIWSSNTVNRTSATTMNSSTSVVLSNDGNLVIGSSPNVLWQSFDYPSDVLLPGAKFGWNKVTGFTRRFTSKKNLIDPGLGLYYVELDNKGIDLSRSNPPNMYWSWSSEKSSSALISLLNQLININPQTKGRINMTYVNNNEEYYEYILLDESYYAYVLLDISGQIEINVWSQDTQSWKQVYAQPADPCTAYATCGPFTICNGIAHPFCDCMESFSQKSPRDWELDNRTAGCSRNTPLDCSNTTSSTDVFHTIARVRLPYNPQIVDNATTQSKCAQACLSYCSCNAYSYENSKCSIWHGDLLSVNRNDGIDNSSEDVLYLRLAAKDVSSLRKNKIKPIVAVVIAASIVSLLVMLMLILLVLRKKLRFCGAQLHDSQCSGGIVAFRYNDLCHATKNFSEKLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDERLLVYEHMVNGSLDDHLFQSKATVLNWTTRYNLAIGVARGLSYLHQSCNECIIHCDIKPENILLDASFAPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEILSGRRNSYKVHTDDNSDQVAFFPVQAISKLHEGDVRSLVDPQLNGDFSLVEVERVCKVACWCIQENEIDRPTMNEVVRVLEGLQELDMPPMPRLLAALAECSVVAHCDDDS >KN539610.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539610.1:40187:44774:-1 gene:KN539610.1_FG008 transcript:KN539610.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQVFSQTVIYEYMI >KN538867.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538867.1:125130:131069:-1 gene:KN538867.1_FG007 transcript:KN538867.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYHSSDSEQGLRLGISATTRPSMDSSVLPRSTVAHAADLATQDRKGMRKTKTKKKRRRKRKGSALSSLPRDQLASKTTPLSPLAMGEGSTTAAAEKDAADSSSEYSSPLRRPMVYYNSDVAAVDLYHRQFFKYQEKKARLEQLPTLKQSDYYQNIADWHNLNHKRAVLGVAKSVLSLSSTHDEKEIYRCTGIIIEWDEVSKSATLVTSSQILCNEESQDNSNYYPNTKMIAHLLDGTTSEMELLYFSKHYEIVFFKVNGPLDLQVALLDTELEFGSEACVLARDKNLDLICRRTTIVAMDPCEHQKNHYLFIDASDCEDCNGGALTNFNRNIVGMVLYALPNVAFIPSSLILKCFALWKKFRKLGRPHLGLKLRTVNFLDISHLENLSRVYGISSGLIVAKVSNGSPAERNGIRMGDVIFHCQQESISTTAQFEDVLLDVCEKHFEKGINLNSKVDVELGVYILRKRSRRTVSLSVELSDGMEGYGTSRTANCCEEIVIL >KN538867.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538867.1:166469:167428:1 gene:KN538867.1_FG008 transcript:KN538867.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCCSQGGAVAEIIKSLVGSRKKKRTNEDMHITFYFHKKENHIVPTCI >KN542270.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542270.1:672:7921:1 gene:KN542270.1_FG001 transcript:KN542270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGAVSSGVMGTVTGQEDPCGHGLPGAWGRESRKSFDSGTRREGKGLTMGPKRPAEESEEDEEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDIEPADAPGDNNDQLSNKRGLRKRPVLPIDVSRDDALAGKSEQFGKAETPGSDKLKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSKESAGASHADPGGATKSSTNGSSAKEDNEKSYSPDVIVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRNRLMKKELDPPVLLTMSPDELKVGLTAAERTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQIL >AMDW01048913.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048913.1:26:178:1 gene:AMDW01048913.1_FG001 transcript:AMDW01048913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSTSAPAAPASSAFPLAAAARFPCASATSNTCAFSLAEHLTREGMFFD >KN540896.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540896.1:4079:6748:-1 gene:KN540896.1_FG001 transcript:KN540896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRRRGRGVATPPDPSPATIDYYCSLKEIAASGAPGAEDFVKNHGLHLLLFETPSGFAIFSLCGAEIHIPDALQTIWVKFASFRLASTIIWLKEFQKFDDNSSAINVGTGVNKQLTDMIMKWRRPGQKLVVGKPEYKSTIETFLGIPCLHDEVVMEVMWGMKRFMSKFVPAEESKLPKEDSLPMSQGLLMFLSRYGFDVKPEMVNEDIVRAAAALFHCDIIEKKCYRALLDVGHYLKRESGIDYENWDALKLATARQLLRSYTAIKLMFSDDVRSKLLDDADKYKDLVYARDCLRLYENLVAAYNVRAVKKDELALLVKRANAHEAEQAR >AMDW01039284.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039284.1:21:908:-1 gene:AMDW01039284.1_FG001 transcript:AMDW01039284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLKLQLEAFFMYVLLRLCGGGGGAQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGKLLCKAAFPASSPMTTVQLQAFEGLVNMLTTIADNVEVDKAPDHAAYAVDISDYRLFWVERWDATAAAGSGNNETWVDFVRKRKLRKKKVAIAANHYNRDEKKGMEYLRLSQLVPTPPEPRSMAFFLRYSPGLDKNKIGELLGDPEEQSLRVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFYEQQTAEVFATKDAAFILCYSLIMLNTDLHNPQ >KN542414.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542414.1:3993:8986:-1 gene:KN542414.1_FG001 transcript:KN542414.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEAAAAEEVVVFRSKLPDIEIDNSMTLQEYCFARMAEVGARPCLIDGQTGESYTYAEVESASRRAAAGLRRMGVGKGDVVMSLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEVHRQAEAAGARVIVTEACAVEKVREFAAGRGVPVVTVDGAFDGCVEFREVLAAEELDADADVHPDDVVALPSSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVILCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHNITIAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQSGEICIRGEQIMKGYLNDPEATKNTIDEDGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEVPVAFIVRTEGSEITEDEIKKFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPDADAAAAAAADAPKSS >KN540896.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540896.1:30318:33880:-1 gene:KN540896.1_FG002 transcript:KN540896.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGLRPNDFTFPSAFKAAASASPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGELPNVVSVCAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRAGEEPTDFMVSSALTTCAGLLGLDLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDIRKEMKNVGIKKDPGCSWVTWKNVVHVFRAKDTKHEMPEVSRIQLGGVGELLEATNAEICTEAMHPIFAIWLSRFWLFLYVERILVMGLVD >KN540896.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540896.1:28123:28398:-1 gene:KN540896.1_FG003 transcript:KN540896.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPLRGINLEPLLAVLIRRPPEHHSVTPNLVGFADGVGVIFAEIDGDVFTIEVSSRRGKKVYRREDIHTVFPYTSFYTPRGGINFDPLP >KN540896.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540896.1:10632:13067:-1 gene:KN540896.1_FG004 transcript:KN540896.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52440) UniProtKB/Swiss-Prot;Acc:Q9XH75] MAVAGLLLRPPSCVAMCTPPSPSPSSQRWRRRRLTLAQPYCTLGLSFVSGRHHRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSTNYRPPTMNNSQQPAIDQSSDDKPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKGFDIFFVPFLQLEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETA >AMDW01040784.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040784.1:1071:1953:1 gene:AMDW01040784.1_FG001 transcript:AMDW01040784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATYRKWINCPIRAMKSEGSKEVVLSNKATTRNKMPQEHMVSETGSVIPEKGLIIRAPEMHQHSDAVSSTRQGGSNSESSCSKDPEVPRMSEYVSAEEKRLAHPKTKKDCQETIRSLIPGPTKISDNGLLGQVADYINHLEGSTVNFGNLSGFGKIRKILPNSDRIRGTLGLYKAAIERLHELLGISV >KN545349.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545349.1:344:856:1 gene:KN545349.1_FG001 transcript:KN545349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVPSGLQRLFMHDLYMEAFPFWINSSMLSRLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLAFVSEQLQEKLTIHRGACAFRSLKDFQFYSSMMPSFQPGAMPHLERLCLRIGARLRRGGLNDLGLENLHSLRHVVIDSFDEDDKAVIEEALKDYPNQAALEIIW >AMDW01028796.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028796.1:4:393:1 gene:AMDW01028796.1_FG001 transcript:AMDW01028796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPNQNTGIAVQGCKVAAAADLVPVQANFSSYLGRPWKTYSRTVFLQSKIDGLIHPRGWLEWNGSFALDTLYYAEYMNRGDGADTSARVSWPGYHVLTNATDAANFTVLNFVQGDLWLNSSSFPYILGLS >AMDW01034629.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034629.1:191:464:-1 gene:AMDW01034629.1_FG001 transcript:AMDW01034629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLKSRTTASKEN >KN542844.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542844.1:420:2831:-1 gene:KN542844.1_FG001 transcript:KN542844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKALNALVPASENDKKRENGKWQKGLLTGKPSEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIK >KN540224.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540224.1:20616:20998:1 gene:KN540224.1_FG001 transcript:KN540224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRFLDEHHHSPEAEPAPVAPASPAQPIANWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >KN540224.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540224.1:58258:59736:-1 gene:KN540224.1_FG002 transcript:KN540224.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QLSEGRRHKLDLLGNAYQSDVIAHPGKEIDDAVHPPDELLHPATHTQDIGGRDHREVVGQVRRSSYHHRLLDDVPQLLRCRGVHVPGEHAPPQHARHTATNTSRATATGAPPVLALDTRAHELARHLLPHGVELAEPPRREQLLRAELPHGAPLLARRQRDDGVGMVAASVPRVRAAMAGDVGVVPLQDLPHDVRASHAGAACNLQRQKRIRVHVIRTTFHPVEAVA >KN540224.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540224.1:41135:47705:1 gene:KN540224.1_FG003 transcript:KN540224.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIVSYLSVKMNMGVAAASTTSASFVAMMQVFTIPAAFLADSYLKRVYTVLFFAPIEILDNKGWDAGFAVCGAVVLLGLLAWAAGMPTYRNKVPAGSPITRILQVLVVAFKKRNLQLPENPDELYQPTNDDSAKGLEILQRTRGLKCLDKAAIVRGGGSNGGAWSVCSVSQVEETKIVLRMVPIFLTAALGYMPVSVVLTFTFFLLGVVDVTSFVGLLEFFSSEASDGMKSIGSSIFYCMLGMAAWLNTMLIELVNRVTRRRHGGGGGYVYRNDQRIAAAAADAVDEQEDKKAASNGSLVQINLI >AMDW01037738.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037738.1:12:524:1 gene:AMDW01037738.1_FG001 transcript:AMDW01037738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSQLMEMFISAAIGDLISRSMSFIVGKYCNCNQATAEENLQRLRQLLMRISTIVEEAEGRHVRNQGMLQQLKILRDDMLKGCYLLDNFRYRAIQDKAKDDEVIHSFALSRFNPAKRLRFPTSKPQQSVFSGGEVEDLQKMVHRLEILIADMKEFIAFLVQYRPMYR >AMDW01012857.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012857.1:102:224:1 gene:AMDW01012857.1_FG001 transcript:AMDW01012857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRSPRVMAKAQAEVRQAFEGKNTITEDDLAQLSYLKM >KN543670.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543670.1:500:1387:1 gene:KN543670.1_FG001 transcript:KN543670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGNTVANVTVAQQPHYGRSVSPPSRVSSCSPPPPPVGTASSLLVGNTSSSSSPTTTVVLSPCAACKVLRRRCADGCVLAPYFPPTEPTKFTTAHRVFGASNIIKLLQELPESARADAVSSMVYEAEARLRDPVYGCAGAVCRLQKEANELKGN >KN539776.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539776.1:72872:74675:1 gene:KN539776.1_FG001 transcript:KN539776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLYKALLLSVLAVALLQIIKAFLIIIRAKPAAAAPLPPGPWRLPVIGSMHHLAGKLAHRALRDLAAVHGPLMMLQLGETPLVVMASQVEEIRAAGSSTPVDLTAMFSFLVISNVSRASFGSKHRNAKEFLSAVKTGVTLASGFKIPDLFPTWRKVLAAVTGMRRALEDIHRVVDSTLEEVIEERRAAQEEKARCGMAGTEENLIDVLIGLHEQGGCLSRNSIKSVIFDMFTAGTGTLSSTLGWGMSELMRSPKVMSKLQGEIREVFYGKATVGEEDIQASRLPYLGLFIKETLRLHPPVPLLVPRESIDTCEIKGYMIPARSRIIVNAWAIGRDPRTGTMPRSSNLSALRKTWSTLQGVATSTCLLVQEEGCALA >KN542181.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542181.1:17892:18053:-1 gene:KN542181.1_FG001 transcript:KN542181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMGMPGRAFSQNCTAGAGNGTVAEHHASDEEDDYNRAPRIIHGSGCVHLL >KN539776.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539776.1:64095:64937:1 gene:KN539776.1_FG002 transcript:KN539776.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGMRRALEEVHGIVDSTLEDVIEERQGEKEDKTRPDMVDTKENLVDVLIGLHENELMRNPRVMTKLQGEIQKAFHGKVTVGEDDIQAANLPYIRLFIEETLLLHPVVPLLVPRESIDVCEVNGYTIPARSRIVVNAWAIGRDPKYWDNPEKFKPEWFEGNIVDFTGSSYEYLPFGAGRRMCPAIAYGLPVLEMALVQLLYHFDWSLPNGVMKVDMEEEPGLGARRKTPLLLCANPFVIPVLQGQQ >KN539776.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539776.1:46292:46911:-1 gene:KN539776.1_FG003 transcript:KN539776.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALITLLTAYSGWAIYLSRGDKQSMASIVLVYVYLVLLAWRVACHQQPSPGKGSRLVAQVLEVTIGCLTLPLTLLLASQLRRILPPVSSELLLPVSAAAAVVAFFLVFVLKHAWSNEQSQEATLPLVQPLQKQ >KN539776.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539776.1:12371:13548:1 gene:KN539776.1_FG004 transcript:KN539776.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSNSISLCVPAYEIVKMEPQEKNSQSTSLGARSSNHLFFYMVVCKNIFKMNGTLPHWGCNGIKGGNWPQFNAR >KN539776.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539776.1:33222:37902:-1 gene:KN539776.1_FG005 transcript:KN539776.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQLPMGWVWGKFGTGAPMLGLYISAGISLWRLIRRDYVGVVTADGSAANLTPALNVVYSLAVIQGVVMACKAVLSTTERTIALDTARRMKLDHQALASVEDHVRETRIGCETDPSSADGRNLVTFGLNLMESRSRDGFLSGVRIIGSILRRPLPDQDRRTYISWKCRRWFLGQRLLAKQLLVASPSFRVVISKLLQTLGPRSPYNREMRVYAAMIVAHVAGEIHLEQFPGGIQCLSSLLCALEEEVGQLPKAYKRDQQMPSLRGLNPALFWKETTREKVAQGVGDHDEDALSDDSTELVLQGLEIFQKLADDDDNRRIICNTPGLVSKIMAPVMSNLQLTKNQEEISHVAQAINQEEISNGAQAMNQEGSSPAMGSQARQNSIGRMLERSVGISAIGKVDQAPSGRRIVKGSLQVICQLVTAPGQVGSKLCREISDNKEAIRRLLRILDEGARSSTCDVELREGAIQILTQLSLEKSSGMDAVSKRSFIEKLIAIFTGGESGSSVRQSAGEAMEMVCLESENNVPIILITDQNIVDKLKEILLKANENKTCRISAAKILKRSCSYTKDGKCCLREAQKEAMIDAMPKVLNEILCYMPTVIQEADDQNQNNISSCFQWQVTNELKDVGTILSLIFKSSATQGDIESQGGDQAEHSSQEPHESSKLQAALLSFCVTVCDKLISRGESLAERVAATADSTFSNIPRMLKEMVERNKTKDRTFFKGKKRKDYRQDGNCLKIMKLTSKMAISMMNHNDRYADEDLESLIRYMEHTSSEITNNLKGIIISSKRNHGATSPVKSTLSSLVKKAQELVIEKKTMDAPESEISSTSSILVS >KN539776.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539776.1:70265:71320:1 gene:KN539776.1_FG006 transcript:KN539776.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVEGIRAIGPSVPVDVSALFYDMAISIVSCASFGKKQRNADEYLLAIKTGISLASGFKIPDLFPTWRTVLAAVTGMRRALENVHRIVDSTLEEVIEERRGVARECKGRLGMEDNEENLVDVLIKLHEQGGHLSRNSIKSVIFDMFTAGTGTLASSLNWGMSELMRNPRVMAKLQGEIREAFHGKATVGEGDIQVGNLPYLRLFIKETLRLHPPVPLLVPRESIDMCEVNGYTIPARSRIVVNAWAIGRDPKYWDDPEEFKPERFEGNKADFAGTSYEYLPFGAGRRICPGITYALPVLEIALVQLLYHFNWSLPKGVTEVDMEEEPGLGARRMTPLLLCATPFVVPVL >KN539776.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539776.1:25403:25666:-1 gene:KN539776.1_FG007 transcript:KN539776.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDKSGDTSGGYGGYGPWEDVAAGGTLGAPEWTVGPMRQRKRHVGCDDSVVGLFGKRRSSGFGVTLERFGVTLEPQRVLWLGQQW >KN539776.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539776.1:49458:49934:-1 gene:KN539776.1_FG008 transcript:KN539776.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPSRAPLSPLRDEREELLGTSNDHEGRGFSWLNAMGFSFLTFNSGMAVYRSQGDAGSIAFVTFSYVGLVLLFWGLRRFDGAAVSSSRRSHVLLALWMLSVLLAVLFGWKVATVMPLPIAAVVWAMAVTAMAGLSWAFSFFGEEPPSAAEMESWV >KN542401.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542401.1:593:922:-1 gene:KN542401.1_FG001 transcript:KN542401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGKIIATAGCAIAFFAAGAGGHGLVLSLLGVLAGVIIAISSAALHGIPLLVAVALRRRNLAVLGLVMASSAIAAAAGEASPALSFILFATLLVGVSLIAAGVLGS >KN542401.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542401.1:14624:15299:-1 gene:KN542401.1_FG002 transcript:KN542401.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSLLPQAMSISTPELLLPLGEGTLDDVDDLLTRELRVPFLALGAAAAPYSGNGSDGGFAREHGMDAEQVKIDIPSGAANAAADADARGGYLASAVIGIAAASSAVSVLAAGVVVSPPLAFGLFVLLLGSLSLAVSGVRGA >KN542401.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542401.1:8116:9282:-1 gene:KN542401.1_FG003 transcript:KN542401.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEQEQIKIDIPSGAANAAADADAPGGNLASAVIGIAAASSAVTMVAAGAVSPPLAFGLFVLLLGGLSLAISGVRGAFKISRLIMRTGLFLIKIYFLQESQSGSDKHQIGLADISACKTTTVHG >KN542858.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542858.1:2477:4247:1 gene:KN542858.1_FG001 transcript:KN542858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCWLMRERWRGGIITADGGRHQGPWPLADAIQSSFVTIVQNRQMQAEQRQVTSFMVLELELMYKLNKMISCFIIDDGLIHKERMSCGWHGSKHLLGRIITPVH >AMDW01021704.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021704.1:13:147:1 gene:AMDW01021704.1_FG001 transcript:AMDW01021704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSVLEYGKQMAAAGELGHAMDAVRWGADYFVKAHPQPNVLYGE >KN542281.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542281.1:9196:9804:-1 gene:KN542281.1_FG001 transcript:KN542281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRWVAEIQRYIRGDAGDGIPRGSMPGHSIYRVPQYIKNMTNPDAYRPQVVSLGPFHHGDPALMPMEKHKCRAVAHLVNRSGRPLDDFVAVVEEIKLQLQDTYENLEDKWYQGTDFVEMMLKDGCFLLEMARAFEQNGRVEDYEPDDPVFSEHGCLYLFGGIKSDVILMENQLPLLLLQKLIGAAYNHDFQLVRIHELE >KN540125.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540125.1:8170:16548:1 gene:KN540125.1_FG001 transcript:KN540125.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPARSSPHLRSLLRARGFSSSASPSAATAAEGDDGKIVASVLFERLPVVIPKIHPVVYAFQEFSYCQIHPCPLSVLRVEWLPFRWRQQYRRKYPDDVLGKADARGKGDYQIDYVPAPRITDADKTNDRKSLQRALDNRLYLLLYGKAYGAPDDKPVWHFPEKVYDNEDTLRLCAESALKSVLGGLNNTYFVGNAPMAHMVVDQKEDSSISSFKLFLPASFNAWLFSQAKERRGGRQLDKVPVGFLSKLWSFVSFLPFFLLLLLLGSIKAVLIGPIAAAIIFLGNSAVIIGLWPAHFIWTYYCVLKYVVAISGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFLDGTVSTTAGACTVVRDVTDFCFHSYFSLMDELIRKLGDNETPIDIKLSYLPHSVLAVLIAVPFDVFMISGVALWKSPCMLLKGWQRLCEDLAGREGPFLETVCVPFAGLSIILWPLAVIGAVVASFLSSFFFGIRAGLIAYQEASLRMGLAYMISAVALFDEYTNDMLYLREGSCFPRPKYRKTDRMNNETGQNNEVRNVTSPLGEKKHHHKTMKALQRSKTFMETIQRLRPIQIWDWLLRSCELNGRILLSEGLISAEDTEECIIKGKCKKLNIKLPAWCILQCLIRSAKHDSHADDVEVTNFNWPKDKVFDWMLGPLLVIKEQMKQLELTEDEELCLRKLIMTNNNEKPSDWDDCGFPSSDNIRRAQLQAIIRRLQGIVVNLSWVPSFRRRFINLVKALYLEAVEAGAIDGSRSVKRKIEADAASAPGSKFDDKDGEGSSNGAAAVGIDAV >KN540125.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540125.1:51118:60538:1 gene:KN540125.1_FG002 transcript:KN540125.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWLILLASLGAVHVAADVLRLVATLTFGLRARPRGDVLRRRLMVHEIHLLHLRCAGEKAMAALRETVEGLDVGVVVNNAGVAKPGAMFLHEAEVEPLMRMIRVNMLALTKVTAAYLKMVNDFSICPMPSD >KN540125.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540125.1:42311:42556:-1 gene:KN540125.1_FG003 transcript:KN540125.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMMSPQPSVKQMANFCGMITMTGRKSANAMKTKKMPVARKRPKYMSAFPDGKLLVALWKLPGSSRTPLVTLHRRGAIDR >KN540125.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540125.1:18425:23010:-1 gene:KN540125.1_FG004 transcript:KN540125.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATGAVSSLLGVIRNEALLLHGVRDDVQFIKEEMESMRSFLANLGRWAPPGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRARGGLRRYIWWVSWSLHKMAAQHRAAFQLRQLKDRARDVGERRLRYGVEIPAAKAAASAAAGDDVYAPEDDEEDHEDQLMVVTHHHSGGPRRPRAALCEIGTLEDHVKAKLLEWSQEVPPGADETFSIAIMAPNTRYQEALALARDTLAVPPTEDRMGYHRDILVNIPAVHPSSLPLRPKEVLYYILRRLKYVKSSGSRKKGQDEGEDEEEEEQCQRDEGEDEVSLMQGIDQEEGEEDDEEEEERSENHCIDQGEAGEEDDEEAGKDEDERDEVGEQSQKQGIDEGEDEEEEEEDDDDDEEEEEEEEEDLDSWQDYYKKLYIYREKKRELRKIKGNIKRMRIYEKLDKIKSDIQARKEKGKGNQQQLLHSDLIQKKGVDVDKLDLDVLLLLLHESSTADVSQVQQDQVRNKGMYNLPEWDDNIILKIAKKLKEHMEADEKTMELKEQSGEGKPDKNQATGEPSAVGQNRKTDFEEIMQKIEKLKQELKEQLKINRIVDKIKRHLKDECPLIILKVDEMMDGSRWEEIRQALSLLECSADAVIITSTNSTQEAKGYYYPPRKPIDYSLVGLYNDKILELTSQLKNEDNPNPEIFHDILEECEPHEFCMKIFTHALYANPKRSNEELINLRETLQDSPKSFNILAKKVFMYSYNDLPKEYKSCLLYLAIFPKGQNIRRSTLIGRWVTEGLTFKEDWPSSVRQARQCFDALIHRWLIYPADIGATGKVKSCVVGDLVHGFITTIARKQHFVETRLSHHLARHFSIFNDIQLRNSDRIDKFFQRLSESSQVSLLKVLDLEGCQCFGGGKNQKYLKDICNKMLLLKYLSLRGTDITQLPSEINSLRELEVLDIRQTKVLADATVNILLLKLKCLLAGRIDPSPNNSDSIYSVQIPHRIDKMVKVEVLSNVKARRSDDLKDIGKLWQLRKLGVVIDDKKSHLTNLLQTVSDLHECLHSLSITLPVTTSFEGPPSSVELPANIASRLRHHPKILQSLRISGTTMMGDLLPVITKGGNNKLTKVTLSSTVLNQDGLHILAKLPVLRCLRLRHIACTEGLITFREDEFICLNYLLIEGSGLTNITFESGSALELKKMVLSSTSPGSIVGADGLPKLEELELKDNFCDMLLSSFDNAKQIAKLTLCGTLLKQDDLQILAKKPNIRHLVLSYKSFEGDQITFKKDEFVWLNHLDIACSAITKIVFTTGSAPRLEKIVWSSFKPLPNIECLSGIDELPRLKELEFNGDPVPKEVKEATEKHKNKPTVKHDEPETQDQAKEEEQEYDDDATGFSLCWKK >KN539358.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539358.1:46379:46840:1 gene:KN539358.1_FG001 transcript:KN539358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVWSLLASTCAPSYDEVYGEDDDDELSDSESPKKAGYVIIHDAEQYVGEKLQYVEYNFW >KN539358.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539358.1:95238:97323:-1 gene:KN539358.1_FG002 transcript:KN539358.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKKVEVTKDIAEEKAVVPLPTPPATEHDDSKAIVLVKEAEATGGSAERDAYLAKIVSEKRLVLINAWEESEKARAENRAAKKLSYITSWENAKKAEMEAELKRIEQELEKKKAAYEEKLKNKLALLHKTAEEKRALTTAKRGEELIMAEEMAAKYRAKGEAPTKLFGLLKA >KN539358.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539358.1:26853:27287:-1 gene:KN539358.1_FG003 transcript:KN539358.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDRSALEVDDIRVDKETLDMLASLGMADLPGVVRQPDASTSAPQHYGAARLPYARRDRA >KN539358.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539358.1:54298:60886:1 gene:KN539358.1_FG004 transcript:KN539358.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] MAEPTPPPSNAGLRILLSKDRPPASSSSALAAATSAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGKRIMQYGDNEENNCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDVNCSCKRIELPNVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRCHILVQCLIFFDFLKAPGKTDKEGPTGSMKEEIDSCEERVKKLLEIIPPKGKEFLQSIEHILEREKNWNALTDSERICMPSVTEYWKPLAEDMDPSAGIEDEYHHKNNRVYCWKGLRFSARQDLEGFSRFCDYGIEGVVPQELLPPEVRSKFYSKPGDKAKRPKREDPKGTSAQPKEQQVVSATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGSESGQCEAEADGEDNMKNEASKDGPSA >KN539358.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539358.1:80647:86855:1 gene:KN539358.1_FG005 transcript:KN539358.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAPAPPLRSLLFLSPPISILLLLHDVSLAVPRRTTLLVVVRGLLRARLGWDTDTEQLLELLLTYQAIGCDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTRFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPMPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCMASAKLTERIRCALGRFSDVPTPQVQKYVLDECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLRDLFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGTLIEIADVRHLTTERIETFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYIRILEHSSKTTIGPNKYQNLGSRTEKDSAVRFSHSLLGPTRKVVFCTRSVLGSSNGAPSGGLVKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNTENFTHAVLMRFQQKDDIAKFHSSPYYYKILDEHGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLESASGDSVEDALASLQRFISQCSSFIVQATLGCCLNHMDSGYSHAAVIRFPSFDDFKIFREGMEYKDMWASTFQPIVEKSLELHFTVDPVGNQLM >KN539358.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539358.1:18642:25882:1 gene:KN539358.1_FG006 transcript:KN539358.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTIRYTTTMEDGSLVVCERSLSGSGGGPSAASSQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSSSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTLELIEAFGFKYSLGKIKQDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNCHLAIGFFASEMKLVHEYETELDWPKHSATGILIQKRTIRVANKATMEDKRLGLAKRPQLFS >KN539358.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539358.1:100454:100747:-1 gene:KN539358.1_FG007 transcript:KN539358.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRKLAVLVLAVAMVAACGGVVGAAGAGCNAGQLTVCAGAIAGGARPTAACCSSLRAQQGCFCQFAKDPRYGRYVNSPNARKAVSSCGIALPTCH >KN539358.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539358.1:112854:114941:1 gene:KN539358.1_FG008 transcript:KN539358.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLDEKELERKLKKDQKAKEKEEKKLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPAAVEKSWYAWWESSGYFGADPASSKPPFVIVLPPPNVTGALHIGHALTVAIEDAIIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDIGREEFVSE >KN539358.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539358.1:71255:74120:1 gene:KN539358.1_FG009 transcript:KN539358.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSAPALDAHRRGVFLLSVGAGGGGAGTAVVEVEVEHPHSLSLSPPPMSSCGRYILHRVCRFDTLAGVAIKYGVERKFINPLKRPAEKSAREFAGGGLRVIMGSLAGPLNHLISKLTDLICKEYAKLKGVQKKARSLTKELISIDIALDEYTKMEEPDMQVKAWMKEVQELAYDIEDCIDIFTYRINHETSSEATSMMGLLRKNIRKVKKLHYKHKFADQIQELKTLANEVYERRIKYRLDECTTFPMHKENYYGLARPPKGDPENEGTEMATYSIGQHTKARSLSTGFSLVNGEVDDAEKPIRRRQKSDAEFSTREGNSGGVLMKAGPGLALRPKSGSRPEINNSQQDLVATAVPSYGDGLQAVRKSSSTPEFQDSDNSIASVWLKSKWNLKPDAFTLPLPILLLDSIPKPIFDTFPKQIAAWRNKAARD >KN539358.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539358.1:27701:38392:-1 gene:KN539358.1_FG010 transcript:KN539358.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVSRAAFASVLLAPRAVGVAARCASSSSSAASPSVAAATYDHASFIKEVAATDPPEHLNSLLNVLQARGEKLVSPGAKRGLIPLVVPLAESPAGMYTFRMEMPVVEVRNHGLWLLAKNVNQYIHRVLVEADINGYADDLWSAVGDSGKKLYTKGDFKESQMADLDAYLLKKVSALITGEFYTRDQFPGFGRPFVFNAEILKRVGRTTEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVSEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDSNWDDVVDRIAGCYREAGLDDIAKLANQDSVSMCMEIAYGLPKKTAMDVALRIRWFRSKNKSAAQAGVISRESAGGNTEKAQAQAGVRSKESTVGKTRKGKGIENPNKKRNKHALSESRVGTPIIFGGQDCMSTKALIRDNSMLLDQIYDKLRTGQLACAPSMFDKVKTNLDAILAKMRAMGVNTDEYKIDLEALKEIKQGFHPSMNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNNIPGIEEVNIFKDDVVIQFQNPKVQASIGANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQFYSNM >KN539358.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539358.1:5705:10007:1 gene:KN539358.1_FG011 transcript:KN539358.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQQQQESRFKRTCVFCGSSQGNKTTYRDAAVDLAKELVARGIDLVVIPKTLMTPEIIGETVGEMRPVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRIIVSAPTAQELMDKLEEYVPYHDRVASGLNWETGHLGF >KN539358.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539358.1:87950:93368:-1 gene:KN539358.1_FG012 transcript:KN539358.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTEAILRSCAPNCESIRVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVYNFAHMQVEAPVVCGISSLGYDHMEILGKVVSCFCHVPCEQETRLEKLLKGVLAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLRGEHQYVNAGLAVALASTWLEKQGHVERIPLNRTDPLPDQFISGLSNASLQGRAQIVTDSQVNSGEEDKDCSLVFYLDGAHSPERVHFDMALFVPNQSQYNKLGTNSSAPAEPEQIDLSWQLSLQRVWQKLLHGDKVFLLKQVTFSLKMISEFRSKRCRSSKLASLLHYELMVMERETYGSHSHSPGSRAGRHPPWPPNNPELRRGLAVAVAGE >KN539358.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539358.1:106717:107770:-1 gene:KN539358.1_FG013 transcript:KN539358.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVPTMTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTIIGDMDTVAIAKKLRKIGKIDIVSVGPAKEEKKPEKKEEKKEEKKEEKKEEKKEEKKDKK >KN539358.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539358.1:39065:41465:1 gene:KN539358.1_FG014 transcript:KN539358.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIFSFVLHLCCGMDEAPAPLGSSASHQESEGDQCQLQADRSHASASNDSSSKASDKMANRSVQTRIDTTAPIDSVKGAANKFGGSLDLRERRKQAQDELDKVQEEVSECLKRSQEAEAGRAQAVKELGGANGVIDELTLGLEKAQAEEARARQDAEIAELRLRETQQGVSESTAAKAELAVARDRHANAVADLQSVTAELEILRMEQPVAAAKADAAAARARRMVSSSQEAVKVVEELTAELVALKKELDAAHHAHDEAEEKRIRLAQALEQDKDQWQLVLEVSEQEVKKLRNSLIAAADLEYKVEAASEQLAALRAELFAHAVEGTIGEEAAAATASSRAKLDKTKKELEDVKATVDKAKDEAKCLRVAAASLSADLEKQKAELAALRRRDGVSATSIPSLEEELSRLTAALTAAQEARAKERSVETKLSALLEEARREAAQAKANAQSAQEEVSKARQEAILAKAAVDAMEARLEAAKRETLAATTSAEMAAASAAVLQQEDENARRRRETGVGEGSVTLTMEEYDELSRRARETEEIAGKRVTEAVKLIKEAKDAEVRSLEKMAQLAKQVEQRRQALEAATMEVEEAEFSKLAAERELRQWRAEHEHQRRLTGEGMASPRTGLAEISVFDGGEGRGNPHILSPRGGYMPRNEMMAAEAEAGAKQRPTFFPRMVMFLARKRAQNWK >AMDW01040340.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040340.1:190:1345:1 gene:AMDW01040340.1_FG001 transcript:AMDW01040340.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CRSEEELLEIQGTQLLSTTVGVKEYVQSEFESVEAEIISENRELFPGAVTFDDFLWAFGILRSRVFAELRGDKLALIPFADLVNHSDGITSKESSWEIKGKGLFGRDVVFSLRTPVNVKSGEQIYIQYDLDKSNAELALDYGFTESNSSRDAYTLTLEISESDPFYDDKLDIAELNGMGETAYFDIVLGESLPPQMLPYLRLLCLGGTDAFLLEALFRNAVWGHLELP >AMDW01039052.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039052.1:29:716:-1 gene:AMDW01039052.1_FG001 transcript:AMDW01039052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFALRCPMKEKKYTKECADGVIKSL >AMDW01035860.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035860.1:10:291:-1 gene:AMDW01035860.1_FG001 transcript:AMDW01035860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FENVEYKVKLTPKNPLTAARVAFASHKSTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGVKGQITYNDTPYSPCLKR >AMDW01010762.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010762.1:61:225:1 gene:AMDW01010762.1_FG001 transcript:AMDW01010762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKSLIFK >AMDW01039532.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039532.1:68:991:1 gene:AMDW01039532.1_FG001 transcript:AMDW01039532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFGIHNRTLSVLPIVGPGGIGKTTFAQHLYNDKRIEANFSVKIWICVSTNFDVVRVTQEIFKCTHHIENEGSSGVHESSNFDQLQKTIARRLESKRFLLVFDDMWNCGSEAEWGSLLAPFSKGDAKGSMVLVTTRFPSIAQMVKTTKPIELQGLEDSEFFTFFEECIFGHDKPEYYEDNLIDIARKISKKLKGFPLAAKSVGRLLKNGISEERWIEILERNEWQHQRNDDDIMPALKISYDYLPFYLKRCFSYCALYPEDYRFNNLEITHFWEAIGIIDSGGQSGKAKDIGLKYLDELVGNGFLVK >KN542162.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542162.1:9727:10086:1 gene:KN542162.1_FG001 transcript:KN542162.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVKIRLAVAREDLVSVLLVVGVWTSSTAVRAAATAPPEWVVLIGDYDGHAYRYYMAAVAAAWILCAALVPFVLAVGLLSDSTTTEINFNA >AMDW01036858.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036858.1:438:611:-1 gene:AMDW01036858.1_FG001 transcript:AMDW01036858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMRSSGIERLIRARSQIHHHVKDSEKRVLARKALMQRVRSQELGKKLRDILIKKI >KN542162.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542162.1:16870:17178:-1 gene:KN542162.1_FG002 transcript:KN542162.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQYEVSDALGALGVAAISTATTLATAAFEPPPGGFGTTTYNHLAVAGLAGTFLGGVVLVGASVWVSDNPAARRGTGKKLLYAAVPTLLAAVVLSVAALLW >KN543352.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543352.1:83:4940:1 gene:KN543352.1_FG001 transcript:KN543352.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] VLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIRRILDPNPDKRIRIEEIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEGDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPANVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKSFLETV >KN543352.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543352.1:6812:8355:-1 gene:KN543352.1_FG002 transcript:KN543352.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIASSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRERFDEYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREVHAELEGATIYRRMYQKTRDVSH >KN544084.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544084.1:254:2248:-1 gene:KN544084.1_FG001 transcript:KN544084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLASYAYARAAAWARVCGCRKLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFEDEASSGFVQAPQRFYDALKDDPFGNQMECFFK >KN540199.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540199.1:22074:23890:1 gene:KN540199.1_FG001 transcript:KN540199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGILLYLRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVVLLLAASVPISALWVAMHRVLVATGQDPDIAATAYAYILCSLPDLAVQCFLHPIRIYLRAQSVTLPLTYAAAAALLLHVPINVVLVDRLGLGIRGVALGAVCTNLNCLLFLAASIWPECAGL >KN540199.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540199.1:46844:47110:-1 gene:KN540199.1_FG002 transcript:KN540199.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAATEHQCGAADTTVHVKNAVQPSFVVPRRTEAPPPQPPPTTPLLLAEDVLVDFDDDGLGSAGVGTAFMVSSSLIVPSSFGLDDDF >KN540199.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540199.1:35531:36038:-1 gene:KN540199.1_FG003 transcript:KN540199.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRLARWVVARWVAGPRTLTSFLSTNHYGSNIPTANPSTVLLQPRGSAYTLTVHRYYWSAISHSPLLYPLLPPPNPEILILRFTGSSLDDDGDGEDRGNTEKLDVVLPGNR >AMDW01126980.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126980.1:135:2873:-1 gene:AMDW01126980.1_FG001 transcript:AMDW01126980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLWGKDEEVNELKQMLLTGRHTESKIIVTTHSNKVAKLISTVPLYKLAALSEDDCLKIFSQRAMTVPGDPLFREYGEEIVRRCEGTPLVANFLGSVVNAQRQRREIWQAAKDEEMWKIEEDYPQDKISPLFPSFKIIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESKHGTLPLDVTAEKYIDELKAIYFLQVLERSQNDAERSSASEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSVNKYLRVLDLSGCCVQDIPSPIFQLKQLRYLDVSSLSITALPLQISSFHKLQMLDLSETELTELPPFISNLKGLNYLNLQGCQKLQRLNSLHLLHDLHYLNLSCCPEVTSFPESIENLTKLHFLNLSGCSKLSTLPIRFLESFASLCSLVDLNLSGFEFQMLPDFFGNIYSLQYLNLSKCLKLEVLPQSFGQLAYLKSLNLSYCSDLKLLESFECLTSLRFLNLSNCSRLEYLPSCFGKLNNLESLNLSQCLGLKALPESLQNLKNLQLDVSGCQDCIVQSFSLSPRSSQSCQRSEKAEQVRSRNSEISEITYEEPAEIELLKNNPSKDLASISHLNEDRIEEPEVVTEPSATRGMVQQIPGNQLSSPSSHLSSFASSSAPFASSSSDTSTSEHPVPNEEAA >AMDW01040800.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040800.1:2280:2405:-1 gene:AMDW01040800.1_FG001 transcript:AMDW01040800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHNGVGGSYDALPTVASAEAEMEHLPMKGVVGKSIAQE >AMDW01011769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011769.1:15:224:1 gene:AMDW01011769.1_FG001 transcript:AMDW01011769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVAMVAGAASGGNFYEECDATWEPQNCWSSDNGKSLSLALVSNSSGSMIRSKRQFVYGSVSTSVQL >AMDW01036851.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036851.1:171:419:1 gene:AMDW01036851.1_FG001 transcript:AMDW01036851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARFIGCKLQRSCYMSSYNGHFLPLCRTWTDSQVLKEDRIYFHLPNSSGKGPSLKNTDRLAQRRLKGKKQRSENSLSDRPAKWF >AMDW01032170.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032170.1:61:397:1 gene:AMDW01032170.1_FG001 transcript:AMDW01032170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQHMAELRERYSGLELQNSGMAATIDPRLTALYIDATDLVGIDHAREELIKILTE >AMDW01071343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071343.1:97:468:-1 gene:AMDW01071343.1_FG001 transcript:AMDW01071343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIIGIGLGGSLIIVVLILAGIVVRRKFKSRRAKKLKEFFFKQNRGLLLHQLVDKDIAERMIFSLEELEKATNNFDESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDGFINEVAILSQ >AMDW01009337.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009337.1:6:209:-1 gene:AMDW01009337.1_FG001 transcript:AMDW01009337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMTCAEHDAHAAETQFLTHT >AMDW01038466.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038466.1:58:706:-1 gene:AMDW01038466.1_FG001 transcript:AMDW01038466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KEDGFCCRRFHEEQQNVLEVIDLCAFKEFPSSGLRLVFQEELCPFSFLCARFLNELRDDAGIGRLWSLVS >AMDW01023443.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023443.1:111:302:-1 gene:AMDW01023443.1_FG001 transcript:AMDW01023443.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYRAPNSDEKVTGYIAHQDTNWLSIVCQNEVNGNEMQTRDGEWVLVKPSPTSLIVNVGNALR >KN539762.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539762.1:34488:37361:-1 gene:KN539762.1_FG001 transcript:KN539762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNREVLVVAFFIRSIYGGVQIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVVERKTAPPLSYKILLKLFVHALYGIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFFQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQIVLPQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >KN539762.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539762.1:82151:84552:1 gene:KN539762.1_FG002 transcript:KN539762.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMREFVDHWVGSSSNGDSDGSLLYLKDWHFVKEYPAYVAYTTPTFFANDWLNMYLDSHPIHRDSDIANHTNEVNCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHFIFDRNLRSSVYDINDDVSEKQFPEFNNTEWLECTQEQNEIIFVPSGWYHQVHNLIWAAK >KN539762.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539762.1:23926:24727:-1 gene:KN539762.1_FG003 transcript:KN539762.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSGFNFFSQLPSILGSSAASFNIYSQVSSSSAAHAPRAGLDGLDLNFNLQGAEEFPHIGEYENYLQSGGDQGGSTTRGSGLPTLRTQRTLGVRNLWMSYEEEQKPNVRYMRQITTKFSESMDISQQVIQQAHFVKMESKKEKEYFSVKKCQELAFECGIEHDSAEVYAMGKMFQDPFQREFFCGLPSASARLNYLKRWCRDNNMS >KN539762.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539762.1:44866:59646:-1 gene:KN539762.1_FG004 transcript:KN539762.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLDVFEACHERLQHCARATRRTILTKVAFSQGTSTYVLVFYRHIIAVVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMSAAMNISCIGLNYSSATAASAVQNIMPVLTFFLAVLMGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFPHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCGIVVSAISFYMQIWIIDKRGPVFLCMTVPLTLVITIILELLIGEAVTLGSIISGALMVVGLYTVLLGKRIEEEGISSQGAYGMLSVMPEMPLLQQQAVHGCCWPELEPKLNNNGDTASPPAAIATIIKITCEVRFQQTVPMGTRAAFVVAFLIRSLYGGMQIVTKFAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLTFKVCLKLFLHALYGMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFHTSTVYAAATSHPANTWILGIFLTTLSTTCWALWTVLQGPMLEAYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPERRRSACWYRDFLKDIWMLLGNGLEVELGNSVTGEFVVAERMWNRSRDGNGMKVLRSSDGKRRRRCDGPPRRREAKPPPL >KN539762.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539762.1:66892:68452:-1 gene:KN539762.1_FG005 transcript:KN539762.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRSACRSHGPLWIVFDVSGDIHLRTYLRVSSHKTIDGRGQRVRLLGKGLQLKECRHVIVCNLQIEGGRGHDVDAIQIKPSSADIWIDRCSLADCDDGLIDITRGSTDVTVSRCRFSRHDKTMLVGADPSHTGDRGIRVTVHHCFFDGTRQRHPRVRFGRAHLYNNYTRGWGIYAVAAGVEAQVASQCNVYEAGAERKAVFRYIPERAADREEAEAGWVRSEGDAFLNGARPGLVDGGEAAVLRPEEYYERWTMEAASPALKEVVQLCAGWQPVPRPPGE >KN539762.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539762.1:9259:10821:1 gene:KN539762.1_FG006 transcript:KN539762.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWVNDPRGENLFRLDSQKRPVDSRDVRDGKEEELAKKCYKCGKKGKSSHISTNYLDLNKEEDEEVMKQPVVGLLALESGVCSESKIVAELKYLLEQHQQWDWKEVWVRAKGVPSIARSEKIMMKIAHLIGDPVEVDAISLIRETVRVKITCNPEGVKQSFPPEDPEPGYKKDFRRRKEDDDGDNQEEEEFMESEDEDGKVDIPDYFPKLSEDVSLDKEMQTYPQQKGIEEKTPILSKRDLLTEETVINRCGRDRAPSDNKCGTPKKSCSVSREDNTPLETGMIDNVRSTPGGDKGPIQTNRSGEDQVSKDQENSNSGNLSLQDLMDEDLRQLEMIAGEEKIQTRTDDAEGFTQSRTKKLKKKKKSLVVATR >KN539762.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539762.1:62406:63092:-1 gene:KN539762.1_FG007 transcript:KN539762.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKLVDAAATFAAGNSGERPQSEREPTKPPPPEHEAAQPEDVDEIFRKMKETGLIPNAVAMLDGLCKSGLVQEAMKLFGLMREKGSIPEVVVYTAVVEAFCKARKLDDAVRIFKKMQGNGVIPNAFSYWLLIQGLCKGGRLDDAVAFCVEMFEAGHSPNAMTFVGLVDEVCKAKGVEEAEKLVRSFQDRNFAIDEKSIREHLDKKGPFSPVIWEVIFGKKKSGRPF >KN539762.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539762.1:27863:29125:1 gene:KN539762.1_FG008 transcript:KN539762.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MSSHPVVGSALVSAYAKLGPVGDARRVFDGLPEPDLVLWNCMMSGYGYRGMWNDGLDLFSAMRKAGERPDGYSMVSLVSSFWNREALAFGQAIHGMCIKGGYDSGHHVRSALVSMYFRCGCMDSGHSLFGNLLDADLVTWSSLITGLLHICKYEESFGLFRQMCNSGRRPDSILVASLLSACASMVNISYSKEIHCYAFRVGAHTDIRVSSSLMDAYAKCGFADLAYGVFFQMPDKNLVMYNTVISNLGSHGFAMKAIEVLDEMVSDKLKPDSVTFSALLAACCHAGLLEEGWKLFRRMRDEFNMAVKTEHYVYIVRLLATFGQLKEAYGLIQTMPVPADSGVWGALLWGCCVHRDSSLGRIVADKLFELYPDKASYRVMLSNLYASQEMWWDAEEVREELWNEDVHKNTGISWVGNARK >KN539762.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539762.1:4083:7488:-1 gene:KN539762.1_FG009 transcript:KN539762.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIVLQLLIILGNGMAMVAAQPWHTCGASNYTADSMYRLNLDGMSASLFPEGAGGSGGGIFVRGSSGADPDKVYAVALCRGDVDDAPACSSCFDAAFRRAMQLCPRSKDAAIYYDECLLRFSDTDILNMDSVRRLNTSEIVHGALVLMNLTSEPMLPGRSTATANFTGFLKTMLADVVGQVLSTRRHYAAIRVEMGDGSSSSTTAVPREFYCLAQCAPDLIEDICYSCLTNFSDRAVASFAGRQGGRVLGKMNTHEDEALIWGLEGRSSEFTVYDFSHVLEATGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLMKQEEL >KN539762.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539762.1:72190:72733:1 gene:KN539762.1_FG010 transcript:KN539762.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSCSSGRRKKVQLTLIQCPLCKENTVVVRTSRTPTNPGRIFYTCPDHEKDGSGCNFWYWEEGYMKYLKRNGFIDGEEATEVKKAAELKNASKFDGDVVLRQDDGLKKALTDVVSIGRELVVVMKLMLVVGSIGVALLVGIMMN >KN539762.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539762.1:31080:34098:1 gene:KN539762.1_FG011 transcript:KN539762.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGFLFFLLFFVQHLHTIISLLDSPGGSLASLPEWQPMPCKSVSVNPLCSSYLYVTPEGRNLSEVASDFSGNASLFQRITRLSGSEDLLVNVPCVCEAINATMTGLFHDTNYRVKDGDMGDIINSKTFSGLALNVGDGQILHKEEKLIIHLPCGCSSTAPEGVLSYAVQDGDTLGNIASLFRSSWKDILDLNPRVANPDFIKPGWILFIPMGVVGPSNKKIDPFQTERPVIFSLRAIEDATSDFDEKRKIGEGGYGSVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKIHHINVVELIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPHLKDNYPIEEVCKLANISMWCLSEDPLHRPEMREVMPILAQIHMASIEWEASLGGDGEVFSGVSNGR >KN539594.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539594.1:30016:39126:-1 gene:KN539594.1_FG001 transcript:KN539594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQKKFLLKGKTDKVHIDLTASQNVGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVAHKQTLTSLLRLLNAYRNESDYTVLSHVTSVCLGIDKISVDATPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKASYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVHELLQHNV >KN539594.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539594.1:85632:91453:1 gene:KN539594.1_FG002 transcript:KN539594.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGAALAWQQYRALLRKNATLTWRHRRSASLQLLSSLVFIFLIFCIDRAIRSRFSYTTAYRNVPDPAALVAPPIPPCEDNAWLLDTLRIKPCLAGNKDGRIVLGFNTPDEVDAWLFQNPMRCPGALHFQVVNDTQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQIAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFVTLLSALLTVLFGMMFQFDFFLHNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIIGFLTQLVTTFGFPYSTSYQKYYRTIWSLFPPDVFAQALNILGKATATPEDKGISWNQRGQCQSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNIIPNVNGVRKSVFYFLMPSYWTGKGGGKLQEGGLFSFFGSNRPADDASPTDEDVIAEENLVKQQAANNEVDPGVAVQICGLRKTYPGSFSMGCCRCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAAMIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSIIKSVAEQSLIQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNVNGNTEVPVNPNIESVKWFFKERLDVDPKEESRTFLTFVIPHEKEPLLTANIFSIKQMFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGSSIQKTLNISLISLPFSMAMQIPKGARFVGIPGTESEDHPRGVMVEVYWDQDDNGSLCISGHSDEIPVPANVQLGRPPSLSRRASVGRGNPVGYIIDPNEVTAAR >KN539594.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539594.1:15429:16986:1 gene:KN539594.1_FG003 transcript:KN539594.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKSVLNDLSKEVGSKVTVGNFARMEVGEGVSKLFQQILIVTGKRKGWILSK >KN539594.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539594.1:42726:43607:1 gene:KN539594.1_FG004 transcript:KN539594.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEAADVAKEHHKEEKKDKEHAKEAKPEKEKKEKKEKKEKSGEEAVKPAKEKKEKSKEKKEKDLQLVTSVSEWYRIFCLLNDDGLKSSLLKTMATLSVPCMI >KN539594.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539594.1:54049:60098:-1 gene:KN539594.1_FG005 transcript:KN539594.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHRGAASITGGEGGEGLGRGLFPDVPASYYPGAPNSNNSSYLDELSTIVPASTAYLKFLRGPNVEMRLEYLKEMPKAAMKIRLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDGPYWMISYAYFLSLSAAYMMFFVMFGSLIGLDIFILNSYSIQFVFYFLYINLQIVFAFLLASFFSSVKSASVISYIYVFGSSLLGEALLQLFIEDITFPNTTFPCKFFPRNYSEYIYWILIKNYHSTCLLGQWLVTMELVPGFALYRGFYELAEYAFAGRQMGKPGMQWRDLNDPINGMKDVLLLMSIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPTMIPDKVKQRSRKVFADMAKPDVFLEMIGLVAPTYGTAYIHGMDLRRDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVNESLKSVNLFHSGFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWNVIKRAKKNCTIILTTHSMEEAEELCDRVGIFVDGNFQCLGTPKELKASKA >KN539594.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539594.1:10652:11832:1 gene:KN539594.1_FG006 transcript:KN539594.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSQSVRKPMIGLLFRAQQHAARGYSYSAFQAHLSSSNVDQSATLLRRFSSEVPASEQMNLIKQLRERTSAPIKDVKASLVSCNWDIDAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAVVELNCETDFVARNDVFQYLASSLAKLALSARDPGELVFPFGPDYLEVL >KN539594.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539594.1:92559:93179:-1 gene:KN539594.1_FG007 transcript:KN539594.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding EKLYQWMARQHEIGLRLTVSDIASHIQHEIEYGGDNALTSPRSQHAFQNLQAPMHIPNTSTQQPPSCSITPSNPSKDSMIFSKALSSPVRQNLQLYHVQQGGDTGCFADGIFCPGNRDSDPAASNDSSVDMHSDSPGHDS >KN539594.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539594.1:45785:47650:-1 gene:KN539594.1_FG008 transcript:KN539594.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLVQVDQSTVAIKESFGKFDEVLEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALAEKASDAFYRLSNTREQIQSSYSSDYLLYVLPLLFNANRTFFLAVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIASQIRDGQLQAKLI >KN539594.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539594.1:21337:23463:-1 gene:KN539594.1_FG009 transcript:KN539594.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPAEAWRSRRTQREARPDALPPRPVAADWRGGCSGEGTSRARGRGEERTTHGGDGEMVALVRTADVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGLEERAARSPPPPKRRAVSAVRQFPPGCGRDAAVPLGRRHGRGRNADGGVRPLDQATAAPLAGSKNDLPLEAVVEKVAPVVDGGDPIANVFSKSLHASDENQAACKLGSLKNGVQEGAASGELLGRKQVLAQAANVLPKRRMVSATRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVATDGGNSLLNRELEEGEVADEAYSDVDSQNVAVDDFVAAVTEDVKVMNKCKGTLPRAAVEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSKVPLTARKAVKSPLNTLHRRPFSKGKEECVVTNSAPFGLKKKLKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALTVHDGKLKLYLNASSSVQRHGQHGSGNADDRRKTMMLCRRFQFIHKALVHAVKQGSLKVLRADFEADKIVRKLPGFIKPGPIVGNVRGVEVSDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPAGKKKGEDQKLERGNLALKNCIKTKTPVRVIHGFKGRNGKDGSYSRARLISAFTYDGLYRVVDYWREGLKGSMVFKYRLQRI >KN539594.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539594.1:848:4111:-1 gene:KN539594.1_FG010 transcript:KN539594.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGARWQPRRSGWAEWGGDARPARSPPPPPPRPDVAAGWRGEGTSRVRGRGEEGTTHGGAEGEGAVVAPVRTADAAARNAVAVAGGLATRGVERDAGPVVARAEKRDGGELGTKRGLEERAARSPPPPHPKRRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDYAVLGVVEKVASVDGGDSMANAHRHHHAMMDTVLMKSSHASDENQVARKISLENGAEGAARGKGAHGGELLRRKEVLAQAANSLPKRRIVSVTRRFPPGCGRDAVAPLVHREESKVGSSLQVMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVRTVKCQELEEGEVADEACCDVESQKVAGYGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSFGGPFNEIVHGKRVLASDGIKREVPSLAMEDHGSIAHDQELVQVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANIAPEVSIRHFSSVKNGNTSQHEETIYASAVANVVKVMNKCKRTKSKAAAEPWAEGPSKEHFKDNRECEKDGMKKSSMNVPTEVFRDGIMRTKLPLTARKAVKPPLNTLHIPFSKGKEESVVTNSASFGPKKKLKVKSPHESKGIPMKIVSTSRLAGKDNLIDEKALSLEDDDILKALAVHNGKLELYLNVPSCVERHRQHGSYPDELSSSGELIYTGSGGKPAGKEKHDDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDASYLSMMQTVTTSSRGGNGALLKIYRETAEAQEKSRILGTLSSCPAKDIVLEAPNFIVTDE >KN539594.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539594.1:64047:69152:-1 gene:KN539594.1_FG011 transcript:KN539594.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSSSSSRGAAGFATQANALLRKNLCFQRRNMKTNACITVFPVFLCVILVVLQGVINNEINKPKYQCGCACVDAAPDGTCRRTECGVEHSTLDQVGSCPIQSPTPWPALVQVPRPESRAVRIASQPFDGLPDPTCRDTGSCPASVLVTGMNRSLAQSLSGGLFPAMPPSLNFTDYLDAFSKIVAGSDTWTWTTQFIEPVFTPGHSLYVVQPQCSSNLSRTISNKAGPVPIQLMLYFNNIDCVQGLSLWRESASQINNELFRGYRQQGGGGGGGKTNEFIAGYDFLNTNNNGLEINIWYNSTYNNNTAYDVISLLRVPRLVNTASNAYMKFLKGSGVEMLLEYVKDMPKVGTKPKFDLSSLLGALFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDEPYWMISYSYFFALSAVYMIVFVAFGSLIGLNFFRTNNYGIQFVFYFIYINLQIALAFFVAAFFSSVKTATEGWIVVMEIIPGFSLYRGLYELGQYAFSGNAMGTNGMEWTNLSDSENGMRNVLIIMVVEWVILLPLAFYLDKISSLGSGARKTPMFFLKRFKNRAVSLRRSFGRQGSKVVVEMDNPDVSQEREVVEQLLLEPNASQAIICDNLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTALVHGMDINTDMDSIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGAELEKAVDDSLKNVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVQHLSPSANRIYHISGTQKFELPKQEVKIADVFHAVERAKRQFIIHAWGLVDTTLEDVFIKVAKGAQGVNVIA >KN539594.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539594.1:28060:29471:1 gene:KN539594.1_FG012 transcript:KN539594.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPPPGRPPPTAPSASRPNVQGLKRKLSTKLSVAGHRDDEDGDGDDEWQIGECIGMWWRSEFDAAPFPYLLPNARAPKECIKLFLIKLPVSRQFVVPRNMKLLAVPLSQIHDNAQVYGSIIAGIPNLLSKFSLNIIID >KN538816.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538816.1:68421:73927:1 gene:KN538816.1_FG001 transcript:KN538816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANQQGSPPPPLTSSPRLAKRGRAIPGYSGGEDEWRPLPLCGSHKGWDLSLNPQLGSWDPSISGEEQEEEEKPVFGGVVEGRQGMMVSSTQQQQQLQLQRKGKAVAEKGGAAGAAAAAAAEKVVVAVRAATREISKTALMWALTHVVQPGGSILLLVVVPSHSSGRKFWGFPLFAGDCASGNKTMLDQKDISELSSQMMDKLKNVYDPNKINVKTKVVSGSPPGVVAAESKRAQASWVVIDKELKHEEKHCVEELQCNIVVMKRSQPKVVRLNLVGSPDKDSKVSCSLPPMLDSSVGKTTTDVKEPRTSIRGPAVTPNSSPDLETTFESTEAGTSSVSSSDPGTSPYSASDTNGSMKKEAPATKDRVQHSDINISDSDSETLSPPASFSLQPWMVDILQGSASSRSHGKGPRKARTATADALLENISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGLCVEDRRRLLVYEYICNGSLDSHLYGRNKETLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDYEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEEYAIDELIDPRLGDRYCENEVLRILEGDMVVDSGSVSAPSSDSGSRSWRMLNEQQNCRDWSPARQDSHRVVEGKNSYDVLRAAWDRNKQSVSHRY >KN538816.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538816.1:141158:141967:1 gene:KN538816.1_FG002 transcript:KN538816.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMTDLIKTPFRINNSSSNGAVQDESLPISLDVHLISSEEDPMSFQLVWLFHDEFRVQVSVFSNDTRDWCFLPWVDIEERVSSPDVPQDGTKFWLMSGMQANGLIFWPFQNGKHMLLLDTGTMEFSVYEFPIYSKLVQGCSFGVGETKDGIPCIAYVNGATIVVLIRRFDKKQGVQGWRFVDGVNCDDEADQLGINGGLDVVATKDGFVYLAATGMILSLCLETRKLEKLFPMSFQFPLHPYIMAWPPTLVGNYGSFAEIQDDISNV >KN538816.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538816.1:17979:22329:-1 gene:KN538816.1_FG003 transcript:KN538816.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGHRGSGIAAIMGIGKAVPAHVFPQKSFPDYYFDISNSNHMVDLKAKFTKICERTMIEKRHLYTSDDLLRSTPSITAYNSTSLTLRQELANHGVPRLGAEAARGAIADWGGRASDITHLVFVTSTSGCLPGADFELLGLLGLPPSTKRAMFL >KN538816.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538816.1:83368:87298:-1 gene:KN538816.1_FG004 transcript:KN538816.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKATASPKPAAQAKLPTKPPPPAQAVKESRNYGAPAREGAGRNGPGRGSGGFGGGRIGQRRDFGEGDTNGVEGGYGASGFGDGMVRREEGERRPSERGHGPRQPYRGRGRHGGYTGGEAGDESGRAPHHAYERRSGTGRGYGMKREGAGRGNWGTVTDEALAQESGEAVSIEVSVTVTEENKQEAVPQSDEVEKHKEGESNEEEEKEPEDKEMTLEEYEKVLEEKRKALLSLKIEERKVVVDKELQSMQQLSVKKDSDEVFIKLGSDKDKKKENVERDERTRKSLSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRDGYSSRGPVAAPRIEDQAQFPSLAGRLVH >KN538816.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538816.1:121076:123994:1 gene:KN538816.1_FG005 transcript:KN538816.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSADLAAAASASRQRGPRALLSFKAGITSDPAKLLKSWRGDDCCSWSGVGCGNETGHVVGLDLRAAFFLSNETFVWCFSGVAPDGMLGEISSSLLALKHLKHLDLSGNYLGGVGVPMPSFLGSFKSLTYLNLACMNFDGRLPPQLGNLSRLQHLNLATYQENTMRPGDVSWLRHLGLLRFLDMSGLNLTSNGDWVRLVTSLSYLKVLQLGGCGLSLPHEPTAHSNISSLEILDLSSNRVDTINPAYWFWDVRTIRELQLGRNQITGPFPAAIGNMTSLEVLTLGGNYITGVKSEMMKNFCNLRWLELWSNEINQDMAEFMEGLPRCTKSSLQTLDLSATNITGGIPSWINHWSNLRSLQLSANKLEGLIPLEIGKMTNLSTLYLDNNQLNGSVSEEHFASLASLEDIDLSYNSIHITINSDWVPPFSLYQALFARSKMGPHFPLWLKGQSNVYFLDISDAGITDNLPDWFWTVFSNVQYLNISCNQISGTLPATLEFMTSAMTLDLNSNRLSGLLPQLPQYLGTLDISNNSLSGPLPLIFGAPMLTQLVLSINKINGTIPSYICVLKYLEVLDLSDNFLVGKLPRCSNGSEAKQELNMSPDSTQLQLSALILYNNDLSGKFPEFLQHCQELTLLHLAHNKFVGELPIWIEEKLPRLSYLQLRYNLFSGSIPVQLTKLENLRYLDLADNRISGSIPPTLGGLKAMIQGNSTKYTNPLVWNYYRPRNPNDFNDGYYVKYHNSLLVVVKGQELYYTSTLIYMVGLDFSCNNLGGDIPEEITSLVGLKNLNFSHNHLTGNIPEKIGLLRYVESLDLSFNMISGEIPSSLSDMASLSYLNLSFNNLSGRIPSGNQLQTLGDPDFIYIGNYYLCGPPLSRNCSGPEDSIQTSVWKTSAEWFHKSQRIQNKKGQGQASVSEGT >KN538816.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538816.1:12881:13639:-1 gene:KN538816.1_FG006 transcript:KN538816.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGQSNQGLTIKDIMEECKLFYFAGADTTSVLLTWTMLLLSMHPEWQDRAREEILGLFGKNKPDYDGLSRLKIVTMILYEVLRLYPPFIELTRKTYKEMEIGGITYPAGVIINLPVMFIHHDPEIWGSDVHDFKPKRFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELATSYTHAPHTIISLHPMHGAQIKVRAI >KN538816.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538816.1:142994:145908:1 gene:KN538816.1_FG007 transcript:KN538816.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAASLLWTLIIILAAASSVHPPQQQSYGVGCIAAERAALLSFREGVMADPLRLLDSWQIGRVQETAAGGTASGAATGPAMSSGSTSATLSTGMTSAKLDRTTLTPCVDSGNNLGGSGIAMPSFLGSLESLVYLNLSCIDFFGEVPPQLGNLSRLSYLDVGSIYYSGHIFSSDLSWLGRLSSLRYLDMSGVNLSTVSDWAHVVNMLPNLRVLNLELCQLTRSNPPLLHSNLTVLEKLHLSYNHFYGPLAPNWFWDINTLKTLELEYCFLYGPLPDSLGNMTALQVLDMQDNDNITGMFPPTLKNLCNLQEVVFTGTSLGGDITKQMERLPKCAWDKLQAINLDATNMTGNLPVWLGNMTNLTDFSVSGNQLSGPVPLGLGALTKLTVLYLGQNNLTGIISEGHLANLRNMVILDLSYTYLKVVVGSTWTPPFKLIRAQLASCQLGPGFPALFKHQKGINYIDVSNAGIADAIPSWFWDEISYAALVDMSHNQIDGELPAKLEERTWQELHLNSNQLKGSIPQLLRNITKLDISRNSLSAPLPSDFQAPELAALVLFSNYIPGSVPLSICDLQSLAILDLSNNLLVGELPQCRVASLGISTLLLENNSLSGEFPSFLRSCTKITFLDLARNNFHGSLPKWIGDLSSLVIFRLRSNMFSGQIPSEITELEDLQYLDLAKNNISGIIPQSLATLKGMSSENQDPRQTGLNGPFVQTSERFGEVMEFEWYDDSLFVAIKGRELPYSSQMKYMVSIDLSSNNLVGNVPEEVGSLIGLINLNLSFNQLTGNIPYQIGVLQLLESLDLSHNQLSGEIPQTLSNLTSLGELNLSYNNLSGRIPSGPQLDTLHVDDPASMYIGNTGLCGHPLPNNCSENETPHGHPIREGNNDWSTEMSFTLGIIVGFLLGLWLVFCALLFKKTWRIAYFRFFDNLYDRAYVFVVVSWALWFRQASASSST >KN538816.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538816.1:157948:164135:-1 gene:KN538816.1_FG008 transcript:KN538816.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGSTGGGGGGGDPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLIVEPMSSTSSSQSTKPAARSSATPSNENVRARDSGSSARSTAASQPSQTERTANSLRLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNFARSSLYRQVETQHNSDFHVLPSIEADVPCTCNIWLSPERMGENRPGRKSLRPSLRTVPQYTHFSCSKMVVELRQLHEIFLRFDLDGDGSLTKLELAALLRSLGLRPAAGDEIHALIAAIDADGNGTVEFDELASSLADLILGPCRPSVAVDQAELAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGLISFEEFTAIMAKSALDFLGLAAL >KN538816.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538816.1:103818:105299:1 gene:KN538816.1_FG009 transcript:KN538816.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSSSSSASAGHRDTTMLCDGGGGGDGGGGSGLRLFGVQGGLERASNGYLSDGPHGRIVQERKKGVPWSEEEHRLFLVGLDKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSIGKKKRRSSLFDMVPICENGARVSEQLSGEGAAAAAAASTSLSLMNTHESSSDRVAAIDLNSTEEDDTVGASGRPFFPVVLMEQQQQASHGHGHHHHCTPLDLELGMSVSSTPSIGT >KN538816.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538816.1:29357:31023:1 gene:KN538816.1_FG010 transcript:KN538816.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALHETWGPQLECADLCMQEDPPKRNEGVAVACIEPPPTPEQFEGFRQIVINHVQSVLGYHILEVSCHPVEFLYVRLASALLRDTLISGGPYDVQNQFMLRFVPHDFTLSCRNSPFTTEGWIMFLDFPLDFKTPTIIDKAVSHFGKVIKVQHDDHVRGRLMVKALYSSTLEVPKRIVIKRVSPLGGVGRSWTVSVFLCNGDMPDIMPGDEDLPPVNHVPAPDPLQDAGIHIHAVDDNMQEDAQDNQQQQSHPLSALSMMLQQMGTQLCGLQESEVAAEVLTSSVELRRRSASSHKA >KN538816.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538816.1:3791:7041:-1 gene:KN538816.1_FG011 transcript:KN538816.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTKGSSNEAPPQSSHTAGNVTTHTATVTCTAGNIRVTSVASSYQTPHPGIFFSTKFLATLPLIGANKLMLPVFSACCEELISRWMGAIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSVEKIFIPGYMYLPTENNRKMHQINKEIESILRGMIGKRMQAMKEGESTKDDLLGILLESNMRHTEENDQSSQGLTIKDIMEECKLFYFAGADTTSVLLTWTMLLLSMHPEWQDRARKEILGLFGKNKPEYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDPDIWGSDVHEFQPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTYTHAPHSMVTLHPMHGAQIKVRAI >KN538816.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538816.1:130653:133643:1 gene:KN538816.1_FG012 transcript:KN538816.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRTARTPVPPRFSSIAAAVTCLLLVVSRDAITSAAASPKAPASTGGCIAAERDALLSFKAGITRDPKKRLSSWLGENCCQWSGVRCSNRTGHVIILNLSNTYLYYDDPHYYKCAHVDFPLYGYISSSLVSLRQLKRLDLSGNVLGESMPEFLGSFQSLTHLNLARMGFYGRVPHQLGNLSNLQFLDITSEFYDYPPMHSADISWLERLPSLKYLDMSYVNLSSVVDWVRPVNMLSRLEVLRLTGCWIMSSSSTGLTNLTSLETLDLSQNTLFGTVTPNWVWSMKTLKMLNLASCHLSGSFPDGLGNLTLLEGLNLGGDSYHGSNSFKGALPSTLNNTCNLRVLYLDGNLIGVEIKDLMDKLPMCTWNKLEELDLSYNDITGNLDWLGSQTSLTSLYLSWNKFSGHLPLLIRAMTNLTTLILDNNNISGVISNQHLSGLESLERIIMSYNPLKVVLDESWSPPFGLFDVYFASCQLGPEFPVWIKSLNNCYSIDVSSSGIKDELPNWFWNLVSDVANVNISHNQIRGKLPDSFQGMSTEQLILASNQLTGRLPSLPENLYYLDISRNLLSGPLPFHFGGANLDTLILFSNHINGSIPQSLCKMHNLGALDLADNFLVGELPHCLPTELKPSTGGSFIHSTSLNIHILLLSKNQLSGEFPMLLQSCQSITILDLAWNKYSGKLPEWIGEKLPSVVILRIRSNKFSGHIPGGFTKLDHLRYLDIANNSFSGTIPQSLPCLKGMINEPENLETWFLFGEALENGFGAFDVFGLFHYSISFVLKGQQLEYSKGLVYLVGLDFSSNKLSGHIPKEIGSLVELVNLNLSWNQLAGNIPDQIGELHQLTSLDLSYNQFSGEIPSSLSNLTFLSYLNLSYNNLSGRIPRGHQLDILNADDPSSMYIGNPGLCGYPLAMNCPANGTSQGQTVKSHHDGSFCAGLSVGFVIGVWMVLVSLLFKKSWRFSYFHHFDRQYDRLYVFLTVTLVIYLQKATRFKDGRS >KN538816.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538816.1:151106:152071:1 gene:KN538816.1_FG013 transcript:KN538816.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLPGRLPPPRELRRRIGRHRQPHGPADDGFHQNALSDQQFGQQCGCRRCHRPRRINNSASNAAAADATGQEEESSPISLDLHLICSEEDPMSFQLVWLCHDESRVQATVFSNGTNGWCHLPWVDIEARASPVAPHNGNKHWLKPGMQANGLIFWPFKNKEHMLVLDTNTMEFTVHEFPVFSEVQQGCSFAVGETKDDGPCIVCVVGTTVSVWMRKFDEKGVERWRFADSILSSEEANQLGILGGLKVVTINDGFVYLATTEMIFSLCIETKKIEKLFPMSFRSRHLHPYIMAWPPSLVGNYGKFAAPRLSHQCLTAN >KN538816.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538816.1:33719:38867:-1 gene:KN538816.1_FG014 transcript:KN538816.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGHRGSGIAAIMGIGKAVPAHVFPQKSFPDYYFDISNSNHMVDLKAKFTKIWWISKDRKDLSSRCQYDFYRIQLYVGDTGQDGEQAGPGKGEARGVQGRHGAVRQHAELVRGPRHGGDAAEVGGTGAAHGGGRAGHGDARRLRPGPHRRDNHAPRAAH >KN541843.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541843.1:7623:9556:1 gene:KN541843.1_FG001 transcript:KN541843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKCKASFFLCSLLYLLLPALALYVVALVVSPFYSGSSCLEESLGSGAVAHLAAAGDAGNRRNALSPPEARPKPMPFDDAAPIGLGHIVFGIGASSKLWKSRREYIRTWWCPALMRGPFHRWRARMGASRARRVQSTWLIGDCLPKHYDPSLEGMQLEKGDEAILSIALWRRMQLFAFLFNVLINSMWNKSI >KN540222.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540222.1:37796:38707:1 gene:KN540222.1_FG001 transcript:KN540222.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPAAGSSSRAAKRYILVGLAATLVAAVVVGLVSAVLSPAAMKFSIVEVKHDFLGGGPVGMYMMFTIAAAPQGKRAGVRYSEVAVDLVYQDDRTLFTWSYLEEARNVGTRLPLEQRKPGKATNITVPLFIGYKYWDNATTGHERLSVQVRATVHFIVGVAYTRAFRIAVLCPLNNSLITTDPVVLYPNPSSNGRSVGRSASISSLLY >KN540222.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540222.1:17750:18394:-1 gene:KN540222.1_FG002 transcript:KN540222.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKKEAAEEEEEEEKKFRWLDVVRYAAAGVVALLAVGVLVGAIVVVLRPDALVMKVIHGSMLVNLPPPSMTFTFQLEVDNPSGRDTMSFTDMSVAVLAVSVSGGGEISMANLFDLPNITDLQPGKVMQVVTTQWTANPEAEVGDYFVRRLSRGETMAVTLRVQGILITRLDTLNGDGPVHTSKANVTYTCFNVKLGVDKSLNSTDDVSCTRK >AMDW01039040.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039040.1:6:890:1 gene:AMDW01039040.1_FG001 transcript:AMDW01039040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAKKRHKLADGSSSDQTKVQARSVTETERKRSPLRGRNIGNQCENARPSETPNKRVVEQHRWPAAMMGGRGSAVLTSRSNGVANTPIRSVTPSNPTRGLSPRRICPAEVKANGLNQPLNGLAKRLATHESRREDKTESGSDVSSQTSENSKAATRPSRTLSSPVLHRSSSPNKVLSAASPASTAFQSPLRTRPSAPCRSRCCSTSQSGVAPPVFNYIVDARKGKKSASQIENIHQLRLLYNRCLQWQFVNARSEDTLTFQKSSIEVCYIGFAPVCSLIALLKFVPDEAIVS >KN540222.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540222.1:40468:41124:-1 gene:KN540222.1_FG003 transcript:KN540222.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQQQQQEEEESWGNQYTNFKWYDVVLSVVAVLVAVLAMAVLVEAVLVMRSNQYDLELKVRHGVVNVERLQPPPWMKLNFTLAATNPVTNTAATDVNISFSVTDIISVTSGNTSIKNMTKLPVERDRGNVSVGPGHTEYVILLQYTNDSSFFDQLKNNGTVAIELKVHGEIDTRITPLNEHPFDPPSRHVAFDCPGVSLSIDGGGNKDDVSCSYV >KN539936.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539936.1:37988:40887:1 gene:KN539936.1_FG001 transcript:KN539936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAMLDFLFVSSISPTKATPVHQIVPSCVQFDLNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSSFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >KN543646.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543646.1:578:2290:-1 gene:KN543646.1_FG001 transcript:KN543646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLVGYGWVWLAVTHRAPVPPLWAVIFLVHVSNSYCILKNVEVSKIRIRYTSITYSQQKIYLN >KN540222.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540222.1:55632:55940:1 gene:KN540222.1_FG004 transcript:KN540222.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEAAVLDGVPFYQPPASSRNVLVTALLVDKNFFGASHGGGGGGRGPPLTVVVRGQVRFKVWLAYSRPYDVAVECAPVDVSSGAGGGKTRPKSTMVKCIP >KN540222.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540222.1:3717:5037:-1 gene:KN540222.1_FG005 transcript:KN540222.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVDDDQRRADYIQKRLTGATDDKQPMAFASRSSQYVTSGQYATNGGLGSVPHLKSLSTTATTNSAPDGTSAVTQTVIIDSGSDVSWVQCKPCPLPMCHRQRDPLFDPAMSTTYAAVPCTSAACAQLGPYRRGCSANAQCQFGINYGDGSTATGTYSFDDLTLGPYDVIRGFRFGCAHADRGSAFDYDVAGSLALGGGSQSLVQQTATRYGRVFSYCLPPTASSLGFLVLGVPPERAQLIPSFVSTPLLSSSMAPTFYRVLLRAIIVAGRPLAVPPAVFSASSVIDSYTIISRLPPTAYQALRAAFRSAMTMYRAAPPVSILDTCYDFTGVRSITLPSIALVFDGGATVNLDAAGILLGSCLAFAPTASDRLPGFIGNVQQKTLEVVYDVPAKAMRFRTAAC >KN540222.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540222.1:48460:50824:1 gene:KN540222.1_FG006 transcript:KN540222.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHVCPQKVVAFVYNMTSPYGGDNSKETSVVAISALTFFLAAAFFDLNLFSRLSRVSAVLNPTVRLLLAASLNLFLPVMSYLFSEARKGGAATAAENCKKTAKEVAANELSLLARVILIWMLLVELLRKKLEGALVITRGTQGYSNIVTHAASVVWMGNLVFFTVKAPGKKAMFGILWVLCAAKLVQRVVINEMARRSSAHGKNPRLISSYMAATTPIPTDMAGAAALERCRYAVMGEENMVVKAGPRGYELDLDVAETDDVLTVGKIWRTREHPKLKRLCLSFALFKLLRRRLEDVPPMTKREAQECRTIIFDGLGSNATAAAGDLAPEVTVFQVLKDELNLFTEYYHSVLPVVLASPYFFFVNYVLYPPVVFALCLMTIVLCGNGGILYVIKAMWTDSSVLSVGVGTMAKCLWNAVARSSRAFYTFIDVFICYLLFIAVVYEEATEIVVLLISDWFAVSLLHAYYGKATPPAAARFVLMLSRNLRHYPSRITMKQFSVLGCSSDPELLPDNKEGTQLVYGDVMNEQMKVAVGAAQLGYHVYLSSEWGRLDAVRKIADRLTATEIHRDSSAAAYASLTVLEKGAVLGKLLVEEADGDDKAGAGGRAAVWEMVAAVWVELVAYMAPSSVEEHARAHEAGLVKGIEVITMLWALATHTGIARPDDDDDEVPVEGSV >KN545658.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545658.1:876:1130:1 gene:KN545658.1_FG001 transcript:KN545658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WLEIQTISVEFRPFSLSFFLLLNAAIWFAYGASQYRRFRVLRDADGVVHDVPEQEATGRGGDVRQRK >KN539936.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539936.1:22110:23958:1 gene:KN539936.1_FG002 transcript:KN539936.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPLLCLSILIGHCCLPLYTVFIADIKATCLKLRNTAITRANSACLPMEDCVGIKYSSVNEGEERKGGHGVPKVSIIPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIAGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSIPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAVPVVREFWTDGYFSDVARILGGFWLHSWLQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFTKRSHYGTPLIGIMFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVVHPNASRPYKIPLGTIGAVLMIIPPTILIVVVMMLASFKVMVVSIMAMLVGFVLQPALVYVEKRRWLKFSISAELPDLPYSNVEEDGTIPLVC >KN540222.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540222.1:2733:3191:1 gene:KN540222.1_FG007 transcript:KN540222.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGAVRYMVIALLNAAPRDDGGGGDHRAGGEDGGWHGQRAPRHDDGAEEHPVERRRHAAAAQQWRGDEGRDERGALRRHAERDEPEGARRRRDAVREHPAVLCRRLLNEGLRAAAERQGAGDVEVEGASPVGVRAPEEEDPDDRRRRDPA >KN539936.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539936.1:46038:46331:-1 gene:KN539936.1_FG003 transcript:KN539936.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRLREHTQAAVVQAGCGARRDGCGQAAAAMVVHSCGPDVVAGQHERGPTIWDQHCGSDGWGTRHWPQPCEPNVAAAAAPPKAMQVRALKRLHDV >KN540222.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540222.1:19396:20049:1 gene:KN540222.1_FG008 transcript:KN540222.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTTKKLQVASSSPNTHKSYWSTKQYILVAVVGTLAATVIVIGISALLSPGEIDFSVTKASRMILPLDGGVELILTVAAANPGWRAAVEYREFDVKLQYTPFDGKPTLLNEDDASSVRTPFVQPPRNTTAIPVRVFVSGDYWVKNMMRGKTDDIPITAQVTATVRFLIGKACTRSYHIAVSCYLGLDLFKRPTVSFNHNNTADCVAAGPETV >KN539936.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539936.1:66881:67258:1 gene:KN539936.1_FG004 transcript:KN539936.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEERKHVSFSCSCSVWWAFRVGGTGATGQGRRRAVGADGGAHGGTPGAAARTAARSGWRRGGGEGEGECCSPSSWIWELLHILLLGLIISYGVFSQSNADSEVAAMAATKTVDDESVESYVT >KN540222.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540222.1:29599:31899:1 gene:KN540222.1_FG009 transcript:KN540222.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTSDLLQSCSETMISFVHNMTASYADKSNESSVVSTSVVMFILAAVFFNLNLFSGVSDVSAVLNPTVRIFLSSALNLFLPVMSYLFSEAKQAPLGVGDSTTTTRDAHSDDLSLLARVILTWMLLVELLRKKVEAILITTGMHVYSSLISHATSVAWLGNLVFFNLQAAGQKALFGVLWVLCAAKLVQRVAITEIGKRSFAHGKNARLISSYMAQLPKLLEVDEHVAADGSRMERCNFAVMGEENMVLKAGPHGYELDLGLAAAVVTVGKIWQTKQHPRLKRLCLSFALFKLLRRRFENLPPATMKETDECRDLILDGMCKDAQATGDVPAEVALFQVLNDEVNFLAEYYHSVLPVVLASPYFFVVNYLCFPVVVFGLCVMTIVLCGNGNVLYAFKSLTNDNYAVSSGILSLTKCLWKNVVRSPLVFFSIVDVSICYLLFIVVVYEEVWEFVVFLLSNWFIVSLLCTFSAKPRRRESPTFRGSVRCILWLRRNLSHYPSLITIKQFTVLSTCCLSPRLPTATLPRHAKLAILERFRGGDPLSNGGAVLTSMGGRHRRFSRLAWACQSGAVAEVILTWHIATSLLETKQQQQLPTSASRSRRTAARLSRYCAYLVAFRPELLPDDREGTERIYKDLKKGIKAALGGARGYYLSSERSRHETIRALRVDASAAADMTVLERGAVLGKQLVEDDEAGDGAVWEMLADVWVELVVYVSPSRAEEHARGHEAALVQGSELVTLLWVLATHTGIARPDHDGEIDQPAAPA >KN539936.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539936.1:17034:18582:-1 gene:KN539936.1_FG005 transcript:KN539936.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKYAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPIARGEKRSVEVVKVTDEMKAFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >KN543646.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543646.1:2968:5155:1 gene:KN543646.1_FG002 transcript:KN543646.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGAAAIAGKLGSLPFAQCHHDINTVDCQPSGPQGGMLVFVSGSLRTGPDEHPLKFSQMFQLLPAGGNFYVQNDMFRLNYGLPSLFETALFVLEAKNILD >KN539936.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539936.1:52889:57276:-1 gene:KN539936.1_FG006 transcript:KN539936.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGGAAVLGGGDELVLRGTISKKWTLLLCLASFCIGLIFTNRMWTMPEPKEIIRRSALEVNKMNLVSGDCAPKSVMEQKDIIGEVPRTQDAIQALDKTISNLEMELASAKASQEESELNGAPLSESTGKRRYFMVIGINTAFSSRKRRDSLRATWMPQGEKRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSFFVTALSMWDAEYYIKVDDDVHVNIATLGNILAKHRSKPRAYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTQPDCEWKAQAGNVCAASFDWSCSGICKSADRMKEVHQRCGENDSAIWSAKF >KN539936.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539936.1:11253:12530:1 gene:KN539936.1_FG007 transcript:KN539936.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSCLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDMQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIGHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >KN539936.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539936.1:25203:27241:-1 gene:KN539936.1_FG008 transcript:KN539936.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPITPLPDLVTIHAPKEEEELRPPVLIAEA >KN539936.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539936.1:68973:69158:-1 gene:KN539936.1_FG009 transcript:KN539936.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSADHSSSLQRRPWRSSKVSAATPCPSSRTPGMAPTTSASPASPLTSRRCPSRPVCRP >KN539936.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539936.1:31225:34815:-1 gene:KN539936.1_FG010 transcript:KN539936.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEIAKSDFELVKLAQENSKLLSKIVQSPEKLQRALEEKKTARAELKNAEKIAMQSVQEKTATLEIYSKVTATKALEKEVKARKAKISDESVEIMALDTKTIEWDGKAHEMEERVKAKEKERDQIVADENQKLAALRSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKSFLEQVDERTPAAPLPEKRIANWRR >AMDW01040610.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040610.1:37:1566:-1 gene:AMDW01040610.1_FG001 transcript:AMDW01040610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDDNAPVSCPNLMHIRVKPEEKDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNADGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENDKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAA >KN540644.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540644.1:35918:41671:-1 gene:KN540644.1_FG001 transcript:KN540644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECHTDWDDEEEDYGLVRWEAPPTQFRLSRRRSEEDEGDRCRDCHRRKDAESDYYDEDEFSGRQRERRNMNERHGRFTDSDQRRRDQRDYHDDDDYLEFRRWKERRERRDSEFDDAVARRGIEDRRYSEDDRKYDRRRERRDFGYEGAVDVRREGASRYTDNNQISDWRTDDRDYEVDVRREGRHRRNDDQIYVTRHQQRTDGTEEEDVSLLESHRRHDEEYDYDDRDIAERRYYSGRRTRKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVDKRAEQTSEERDQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKHHSTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRGRYIENRASSLQSSVKTTSDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSLTQRNSDEVNQMDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHVDVSKVRASDISVPRNSQKASETRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATDIVNTTVEKHGQVKASTNNAAIASSSESHIQARIEDSAVNTIGSMQEQIDLTRICASDSTVVSSSHGLDTRSGQVSRTSATNLVDRTRETRDKSDQQITQASSIDRNDHVTSKFYESSQDSRQSLARLKEAGRLIEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDVEKRPMIIGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTRKNDKPTMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGGTTSTSLTPKNETALARKVHKSLWAYVADIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTCSQGLLISESGNVPQIDTSKGDFISRTSKEDAHMTGEKAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGWTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTSAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQSGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSTLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >KN540644.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540644.1:6516:7647:-1 gene:KN540644.1_FG002 transcript:KN540644.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKCCVLLLVPLVAVLVAADQLRVDYYSETCPNVEAIVREEMERIITAAPSLAGPLLRLHFHDCFVRGCDASVLLSSTGGNTAERDAKPNKSLRGFGSVERVKARLEAAVFASNGLDLKDLAVLSGAHTLGTAHCPSYAGRLYNFSGKGDADPSLDGEYAGKLRTRCRSLTDDAGMPSEMDPGSYKTFDSSYYRHVAKRRGLFSSDASLLTDATTRDYVQRIATGKFDAEFFRDFGESMTKMGNVAVLTGADGEIRKKCYVIN >KN540644.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540644.1:22445:23282:1 gene:KN540644.1_FG003 transcript:KN540644.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDYIVIDSNEGPYGLHYVGGYHSHCWYGNEVTLTRELQQNLAFVAVHKKPGIPLFVYEITKTNKTKGKMYFSAPFSRMYLHKHLAEGIEHFKVRCNASMTVYDMKFYVSTDDRANLTTGWTFFMDDLEINVDATCVFEFYEEHEELKLTVHQL >KN540644.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540644.1:16868:20466:-1 gene:KN540644.1_FG004 transcript:KN540644.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLWTDEQISHTKRNPQWTIKQLHQFPPANIIHEFTEFIRNISKPKLDAIEKIGFGALLSMPDITVHRKMCGHIADNYDIATESIIIQGIPVPITTWDAKCLMGLPAEGIMIDPKPQLNGDDYKYYSIYKDSKSKNISLHRLQDDILNAKEPDEHFIRRFVLFTIGYIICPTTKPWVSSQYLALVKDIENIKRLNWARVTRDFLIKSLKAYREGKSNLQGNMALLQFWTWEHVQVADESFGLHYSGRLPPLMSYWNEINVNKWLKYDRKYALGEGKLVLELEDPDLNKQCDVEQEETCNEGKEPGLNKGDDCTLNKGDDCTHNQTDVEDEKTWDDGNVSQQNVDMDDARENTTGLNSDYEYFTLNDMHQPFNEEQDDGGHRSTHDVSTDEQPKVETSSILSAIMDQLVQLQQSLQHVDNKLSSKLISLESICLQNRLDIQDIKSKLYKPSTYTAVKSNAIQGIKRLKSSTCQQTDIPKEQYIQPEAVLTENETSDKFAQTGVSHQEMNSIGIHTKGTGTSQSPHVIDSDDDTCIETIQASTIGARLRRPEGRVIKPTFQSQTDFVYYKNTFARAQTTSKEPKDLTLLDEITISFISKSKKRKLSTIANIDLFSENLMPLVRPIDAPSKSKWLGGSIFLPLNRDKSHWYVAVLNGPKEKIQILDSLRMDRTFYAADNVLTMTLKGIDKYLQCCANEDGVTTKWKNKSITNWPICPMQVPQQKDSWSCGLYALKCMEHWNGKDLSPEFHEMDTTTTFRAKVASTLINSTMNEVIQVQEELKQLCIEATKQGNPKADEN >KN540644.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540644.1:14161:15580:-1 gene:KN540644.1_FG005 transcript:KN540644.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPVRKSKSGHGYVLLGLNGYTADRHNRTTASSTTSTGLPIEVTFCAARPPALAHFSIHCPGLDHVGADRDPLLSPKVLSADADVVLIRVPVDPLALLDLRLHDYFVYTMHPETPRLDLLPHPGEHGFSDSEIAILSCGNGTYVVAGLQATSCDTTYTLRRLYRDGEPPGSWSWTSQRVPVSVSVSQLRRDDLRRDDVCPMPKSAIRQTHHLTAKVITLGGARGTIGWVDLWRGVLLCDVLDATPKVRDIPLPFPAKANWRAYLNRCPYYSRDITVSESRDAIKYVEMELTRPAIEEEEEECSYSLVPGRWQPTTWTMPIPANSWNDWKYGCTISSDHVKLPDGGTKQSELLRTLVMGRNERKEEVAVAGLCLSLGCLRMAHPTLSIADGDDVIYLLSKGIRGAKMAAVVAVDVRARTLIGVSEIDSEKNISFLRCCLPTGIFKHLNTSAAT >KN540644.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540644.1:24078:24734:-1 gene:KN540644.1_FG006 transcript:KN540644.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDVIDTIDEDNLVKYVICMKDNSERNKIVNFAYVEASLNSMLYPCRKMECECLPCEHIFAVLNFLKMDVIPEICVAKRWTTKAKMAFPSDRYGEIYSWSDQMERFRRLRSMGIEVLFKCSMTEDSTLKVMEMLEQLYIETDNAEMKGMDLTLCGHVVAQSLRADILVDEKVNDPMEIVPKGAPTKRLRGFMEKRVRRCGYCRVGGHTIQTCPIYLR >KN541001.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541001.1:3815:5332:1 gene:KN541001.1_FG001 transcript:KN541001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHKQRAVTHMVSRSGKPRQEFTAAVEEIAEQLRAAYEDLDEERWSGEEFVKLMVTDGCFLLEVMRAFLNYGEVEDYGSDDPVFSKHGYLYLSDYIISDMLVIENQLPLLLLQKLIFVADPDNFQAQIDPQLQRQRRGEGEGASSHTTCTHPSSAAYLAEPEIHRRHTKEVPIEAELEFLPTRLALVGGAPVDQHRSSNPLPRLEKMGSAGLP >AMDW01067202.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067202.1:86:370:-1 gene:AMDW01067202.1_FG001 transcript:AMDW01067202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHARAVAVDVLGEDGVKVGTPFMGAEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHASTATF >KN541001.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541001.1:16283:16996:1 gene:KN541001.1_FG002 transcript:KN541001.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHMEINRRVLDLLSYTITPNTPVDVLGLHPLDVLQKSVRGTRQYRQRPIGDGHMPSATELREAGIRFKVSTGEGFSGTVSFERGVLRVPKIFLYDGSERMFLNLMAFEQLHPGAGNEVTAFVSFMDELINTAKDVQLLRKRGIINHGLGSEEAVANLINNTLTKGAAIDPETSLYDVIVKVDAYCKKRRNKWRAILLHTYFSNPWVFISLVAATVLLIATVIQTVYAILSFNSKT >AMDW01020779.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020779.1:46:282:1 gene:AMDW01020779.1_FG001 transcript:AMDW01020779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KVYSLGGRYFWVHNTAPLGCLTYAVVLLPKLAAPRDDAGCSVAYNAAARFFNARLRETVDRLRAALPDAALTYVDVYSA >KN541565.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541565.1:8343:13985:1 gene:KN541565.1_FG001 transcript:KN541565.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVGSRRGTQHAEGPATILAIGTANPTNIVPQDEFADYYFGLTKSEHLTELKDKMKRICQKSGIEKRYNHPYEEIIAAHPEIIDKHMPSLEARQKSGIEKRYNHPYEEIITAHPEIIDKHMPSLEARVDILASEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCCAPSADLQLALLLGLRPTVSRTILSLHGCSGGARALQLAKELVENNRGARILVALSELTLICFSAPDESKIVGHGLFGDGAGAIIVGAGPLADGERPLFEMVAASQTTIPGTENALGMQATSSGIDFHISIQLPTLIRDNIQQSLLHSFCSVGNTDPKWNDLFWAVHPGGRMILDNIEGELQLQPWKLAASRQVLREYGNMSGATIAFVLDELRQCREKEKQEPEQPEWGVMLAFGPGITIETMILRNPLPHGLMEN >AMDW01039613.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039613.1:104:160:-1 gene:AMDW01039613.1_FG001 transcript:AMDW01039613.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGRKGSKKK >KN541565.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541565.1:21135:24841:-1 gene:KN541565.1_FG002 transcript:KN541565.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSSLTRIRLFPPEDPDERGLANLDQIYEMQLATLAHLTVLLQIERFCCIGVSFIMRRHFLNLLLPSLHTEIAGDLVREAKTLWEKFRDGIVGTNHEVMATVDFLREKNVYKKDVRANAGAAVYLFPAVHLSTPLSLHTIINCCSCRTRTLNRYPPQSSMPITSQWQGEFVLYARTSMEIPRMSNEAGPSQVVLPCIHQQMVPTHGKDNTWIMLWWSAGLYGFVETRLPPKTNVRV >AMDW01039444.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039444.1:114:598:1 gene:AMDW01039444.1_FG001 transcript:AMDW01039444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAVGSGWQSAVAYVNVTSYYLIGIPIGAILGYVLGFQVKGIWIGMLLGTLLQTIVLLFITIRTDWEKQVEIARERLNRWSMDENGRQQNSGENP >KN539404.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539404.1:95493:98860:1 gene:KN539404.1_FG001 transcript:KN539404.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPALEPEIGPDGLARENPVIAYTEKVILEEQLQLKKEECGIELYECALWYGLTFTKGARFYSLEIGNTLAMSILLGAYSKMCMVASTLEHLRKKIEISTERIRLARVKEEQAKKAWEAAAQIVKDEENAKQNLCDDLNRLVQESAASQFSRLEELKKRLESLNPSRASVDVSGMNTAQHATTSSVPQQAAAQNPQNAPSPANNANHDSSGLSQQRRPADAERKRRPSQMGRGRGGVMILPKGRGSSGSGWTGAGFDVDGRT >KN539404.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539404.1:28450:31432:1 gene:KN539404.1_FG002 transcript:KN539404.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTHSPPSPIPLTPPVVHGDVKPSNVLLDTDLRARLADFGLSRINSDADADGKPESGAIAEGCDVDGGCDDDASVIAESTVTTTVNGEGNPKSPEDDDGFTSASPAEAASTSGFDRTSVESGMNSRSCNGGGSRTGGAMGSGTGSDWWWKQDNGGGSNGVKDYVMEWIRSEIKKERPKNDWIAGAAITNPAADRKKPKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWSPARRPSSEEVLRMLSGEGEPPHLPLEFSPSPPGGFPFKSRKKVRIAIADLKYEDQPGHYVFWKGFIEVILTWFDTV >KN539404.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539404.1:64661:71715:-1 gene:KN539404.1_FG003 transcript:KN539404.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGARCLVCSAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSSTATYYLLMMHGKEFHAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDIKKLEPTNGTEKENARPKKGKNNEEGNNSDKGEEDEEEEAARKNRLALNKKSMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGKAAGLNESDADEDDEDDDEDESSPVLAPKQKDQPKDEPVDNSPAKPTPSGHARGTPPASKSKQKRKSGGGDDSKASGGAASKKAKVESDTKTSVAKDETPSSSKPASKATAASKTSANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >KN539404.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539404.1:53245:54790:1 gene:KN539404.1_FG004 transcript:KN539404.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRCHPTSPSPAQAQAQPLEDDDLLSEILLRLPAKPSSLPRAFLVCKRWRHVVSDAVFLRRVRSHHGKPPLLGFFKVSYRNLIFIPTLDPPDRISAARFSLQLPLPGGGGGSPPFDLDTQIPAQIDVLPEMHGDGGDQISPAEDGGLLFLAVRDFSLNLWKHKINSDSAAAGWVLEKTIELDRLLPFEPRPDTDTPAPMNILGFAEEHNVVFLATAIGVFMVNLESMQFKILPQAPGVGICHPFTSFYTKVTFIVPLTAWFLDELS >KN539404.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539404.1:40135:42502:1 gene:KN539404.1_FG005 transcript:KN539404.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGHTTWNEVELKAFLEACMEEIEARTITSTCPNRQGYINLEVKMFQKVQKVVNRHQLNNFWDTCRRQFQTWCWLESMATGLGCCPFTGNILANPEWWTRMDHMRRGARAFRNGPLLYIPEHNAVFRGRTPRRGKRSLTRGTTSGGSSKRSRGSSASDALNRLADLRVQSNESRARTGEIKQAKSAKACMELLKADGYTSRDPIYHMALRVFRDGFLREFFLDDCHTLKGRLYFIQSQYQDTAQDQPLPP >KN539404.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539404.1:85793:93770:1 gene:KN539404.1_FG006 transcript:KN539404.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPYVALGYGGSGAVDGVAGSRAVKPRSAAPPPGFAKASHPPSSSSRETLNAFGGMHNREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQWQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHVARAVTGGRLPHIGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKESHQAPMPTSGSVAMDVREDRGKKTVAEANGLEDGVVGEVGFEHIVEGGVALEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEQLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQNVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLNRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLYIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRPLAFIVKHWAKSRYVIMCIHYLQSQRILPCLQEMEPTYYVTVDNNICAYFDQVDKLNGFGAQCKDTLSRLLWGFFRYWAYAHNYTKDVISIRTGRTISKNMKDWTRRIGNDRHLICIEDPFETSHDLGRVVDNRSIWALREEFERAAEILHLDPNPSITLFEPYVPSEAET >AMDW01039989.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039989.1:334:1081:-1 gene:AMDW01039989.1_FG001 transcript:AMDW01039989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYSALTFTDVGCDKFLARNQNGFKEEDKEYDDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPVQNTVPLIFSC >AMDW01038740.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038740.1:532:799:-1 gene:AMDW01038740.1_FG001 transcript:AMDW01038740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EVAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINR >KN538788.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538788.1:198297:200995:-1 gene:KN538788.1_FG001 transcript:KN538788.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >KN538788.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538788.1:167626:169522:1 gene:KN538788.1_FG002 transcript:KN538788.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSGSGSGDGVAAAATRFGAHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGVLPGLINEVTPPSVVLAAGAAMNLAGYLMIYLAVSGRTPRPPVWLMCLYIAVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYRAIYGADDDGASLVLLMAWLPAAISLLFIPTIRIMPRGGAAGGADARRRERKAFFYFLYASIVLAVYLLVMNVVELEVVGFPKPAAPVTLLGAAVSLLLAWRTRKFYRGDLYGKFREVAMAGGEEDGGEGGGGNGNRKV >KN538788.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538788.1:204479:209055:1 gene:KN538788.1_FG003 transcript:KN538788.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKVLSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKV >KN538788.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538788.1:257768:258499:1 gene:KN538788.1_FG004 transcript:KN538788.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQAMYPADAGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGTDLIHNDTHSSAQIEFGLLNNHNSMSVAPTPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYQGDDNRMKNMQSEASQAIRNSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDNKEAEGGSKGEG >KN538788.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538788.1:153661:160025:1 gene:KN538788.1_FG005 transcript:KN538788.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVITPESTSRERNRSIINKLVALHKQFLDGPLPFKTKDFVVKHINPLRGNQREEEYKVTIKQASKTDLYSLQQFLAGRQRDLPQDTIQALDIALRECPTSKYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALRGVQIVATHWKEKSIRYKITGIPSTPMNELMFDLDGNRISVVQYFKKQYNYSLKHVNWPCLQAGSDSKPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPTQRESSIIEIKTFYFYSQIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKQMNTRPCVDIIQGQQRNIEGAIRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEIKENVKRFMFYC >KN538788.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538788.1:210472:227954:-1 gene:KN538788.1_FG006 transcript:KN538788.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWMFNLIVVDVKGSSWEMSCQQGLSSEESMSDMEVRMYWAIFSGSEKVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLVNSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDAVLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDVPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISRTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAMLETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIKIGVLSEGLSLSLNGARVMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVTMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVLPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLKYELCYSRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYIQRKMIEQRQTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSVQIPELQPEMTWQRVDYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTSNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRVSMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEENAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSPPSSPRETTPYQSDSDSSSESPYEDFHE >KN538788.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538788.1:133424:133876:-1 gene:KN538788.1_FG007 transcript:KN538788.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVLHDYFSNLVLWAFPRCDAGELVARPAHHAAELIHRAVAGIDDAYFRSFVDFASSGAVEAEGLVPSADAGEVVVCPDMEVDSWLGMSFYDLDFGGGCPLYFMPSYLAMEGTIFLVPSFLGDGSIDVYVPLFENHLEEFKKICYNIA >KN538788.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538788.1:176648:187662:1 gene:KN538788.1_FG008 transcript:KN538788.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQWFGSFCGSFVNQVILVCYVMQHCLFGSFVTTSLVFYCSFVKTIMLERHTQRHRGFGFVTFSDPEAVDSAIKEMHCQELDGRTISVNKAEPKMNIDDTSAGGRGDCFSGSDRFGDRYMDDRYDGGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVPDRYGGPDRYQPSSYARERERSYERDGVCGNGGYDRSGPRGGGSYDRDGPRGGMSGGYDRDGPCGGGVDRYSGGGPARYDGGSYRDRSGPYDRPSRGGRFDDRFQGVRRAALELRQWSERGAASLAPDEDELEAVERQLDVGPTSVRSPVKRKAKLPESYEMLCEFFNCFESSTQLLRMKGSKASFPNICATIQHLSERKPQSIDVALLWPGRFVLCDFPGWHECLEILEVHSREVSLASDASLEDVASLTERFTGADPSLASLVHMPVVFSKNQLLQGSILIMMAFAAVKYGIHNLRAKFSESNTTSLKLFRKLIAILDNVNMGGGRGASMHITFRIATRKDYATKLANLVGGVMATYDELRKFFKHSSVILQHVMTSVCQHEANLCCFSWLSAFPLPKWSKEVITLLAPGEWYNASVGEFPIGQRGLDNQSSCKPRPEFIWKTVLNDLEVSHLKDDAPHKSETSLMDA >KN538788.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538788.1:194426:196485:1 gene:KN538788.1_FG009 transcript:KN538788.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCWPHLFLLPFFFASSAKHLTGRKHSAMLAWMLAGKKQSRPVPPAPLVLGIWALEDGEFELNSIVGIKVAERALFLWNNDHIVSLIAQNRSVIFPIIFEALERNITSHWNQAVHGLTANVRKMFLDMDSELFEECQQQYIEKQARAKDMEEQRLSAWRQLEAAAAKASGDDMVLVN >KN543029.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543029.1:1390:4644:1 gene:KN543029.1_FG001 transcript:KN543029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCGMEWGGALQGMSPWEKVVNALFLAVNARHTGESTVDLSILAPAILVLFVLMMYLPPYTTWFPFEENSTTKDSNAENQGIRLLESTLLSQLSYLTIFVIAICITERRKLKEDPLNFSVLSIVVEVVRQVRLIGFLPEKKKMQTNAYGNVGFSMGYSCSRQINPDHLCTDKWTGFVGRWSDSGKLILIFVMFFGRLKKFSMKGGKAWKLR >KN543029.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543029.1:6151:9560:1 gene:KN543029.1_FG002 transcript:KN543029.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPQHKPTPRNLDLMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVFISMLALHFNNAEINTNEVLPKRSPSTRRNIESFDAIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPVNDNMAIFREKSSLLLLVTPQVLAGNTILSPLLRYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACVTERKSISTDPLNFNIFSIAFEIISAYANVGYSLGYSCERLLKPDATCKATSYGFVGKWTDEGLKCSPSQEENLERPFN >KN542211.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542211.1:380:4490:1 gene:KN542211.1_FG001 transcript:KN542211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWWEEWQLRVLVLTSLFLVWFLFFSAPFRKQRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGGAATGDMSSSMLEVLWAPIFLIHLAGAQDSITAYDAAEDNALWARRAVAMSCQAAVAVYIFYTSRNAAYPFASLFHILRFIFFMLAYTAVISFDALDSNTDGLDANDVKWNSVTWFRCATISGRSSTEKVVDLIREDLRSGWVEDDLRSAAAYRRFNDRRGQWTLRWEQCYGEMGWSVAKLPFDEAVLIWHITTDICLHCTEDIDISSSPAASADEVTAVMEISNYMMYLLAYQPDMLMLGTRQSLFMAAHHEIVHALRHQGRHQQQLSERDLALCLAGGDDDEYSTPATEEQAGAGSRLLERRGGGGRHLAHARRLAGAMMKLDAGKRLRVISGVWVEMICYSASRCSGSLHLKSLGVGGGEFLTVVWLLLHRMGMEVLADKLHRPELARDEPDAVGATFLSTDDATIADTIKRRNLDLDPGHWSPRPTSSQICTRIPESLLQLDLAGEDGEESDLAGVGATIGEGTMQIASPRSTELFPLHSEPMEACCIPQGIGC >KN541687.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541687.1:2949:6695:1 gene:KN541687.1_FG001 transcript:KN541687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLPLVTCALLLLLAAACQAHPYWPLELAYYRDKCPQAEAVVKAVVGEAVRQNPGNGAAVIRMLFHDCFVELYLVKYVLNDDDVHGLIVSHTPSLIKSQACVALLSRTATASANEEAKVARFGDPDLLAGESGWPERMTPERTTRSYMTTQGRLLLVGFMTARSSGGNNKGCFSTTPTVLSDGCDPDSWL >KN542211.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542211.1:16075:17446:1 gene:KN542211.1_FG002 transcript:KN542211.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIDFPAPYTRRLSYLWSFLQLEPYDAYCKLFNLVDYAFQIFYTSRNAAYPITGRFIRSIFLVLGMMAMGGIEELDRNKDGLDTNDVKHWYHVEHSSWTEKVVDLIREDLRCGWVEDDLRSAAAYRRFNDRRGQWTLWREQCYGEMGWSVAKLPFDEAILVWHVATNICLHCTEYINISSSPVASADKVAAIMKISNYMMYLLAFQPDMLMPGTRQSLFTAARHEIAHALRHQGRHQQQLSGRDLVQCLAAGDDEEYSTPATEGQDRAGSRLLKRRGGGGRHLAHARRLAGAMMKLGAGKRLRVIGGVWVEMICYSASRCSGSLHLKSLGVGGGEFLTVVWFLLHWMGMEVLANKLHRPELAGDDLDAV >KN541687.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541687.1:22266:22712:1 gene:KN541687.1_FG002 transcript:KN541687.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLLGLFLVLAIMVAVVWGDPSGGCDQDRQDMIRECKKYEGWPAEPKIEPSAACCAVWQRANIPCLCAGVTKEKEKVWCMEKVVYVAKFCKKPFQPGYQCGSYTVPSSLGQ >KN541687.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541687.1:11074:12924:1 gene:KN541687.1_FG003 transcript:KN541687.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSKLAATVVVVTFAVLMAAVTTTCQAAYYGPPNPASCGLKVGYYYAKCPHAEEIVKNVVGAAILHNPGVGAGLIRMLFHDCFVEVGYYHDKCPHAEAIVRGAVGAAVLRDPGVGAGLIRMLFHDCFVEGQH >KN544532.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544532.1:2564:2991:-1 gene:KN544532.1_FG001 transcript:KN544532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLLINGRGQFNCSLAAAHTPGAKQCAAVGAAGNRHCAPVILPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFAVDDIDIYSGDSYSVLLTTDQDAAANYW >KN542634.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542634.1:6430:8854:-1 gene:KN542634.1_FG001 transcript:KN542634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNFCRLMGQIEGPSRTSEGPKHNVHCTKSQVCYACKNLGHFSSQCPQFAGKRAPKAKLRLKGHGIQDEGFYYLDLGGKAGVEGCSAIRGILTVLKVTSEMKHLFKGVNWDWKVKQISDSDFLIDIPSEEAREQMTRFKGFVFKTTSIKASVLASKMTDSAVDELFIIWVKVFRIPPEAKSEEAARALTELVGDFKEVEATCLRKEDPVRVKLACLNPHVVNYSIIVYINDVGFKLRWEVEDYSREGDFPSSDDLDSKGEDKAEQEKKKTKKLRRAKEFLAKRVPSQKGKAPSKNWMGQNLDHLHPGERRWMKRPLLRIVFEGDSQDDSTLMDKIDTDLGGLGFKEVVDMEDDDVKCAISTDSDIEKMRVEEEEVENSMREKEELLRRQWLIKSADKKCLAAIAVASNIDLRVSDEVECKIDAICAREKAQADLFAVEKKLMKQKECVGDEGVSTAMEEGDDAEIVKVNEGDDNMGVDEDCRYSSVAESTKRVITTKRKNKKNGKTRGGSELKRRGGLGRKSKS >AMDW01033278.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033278.1:277:420:1 gene:AMDW01033278.1_FG001 transcript:AMDW01033278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQQPQK >AMDW01037899.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037899.1:212:642:1 gene:AMDW01037899.1_FG001 transcript:AMDW01037899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSQKLMVQGYSGHVRYKGGLDVAQQIIKADGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWSAFDRWNDKESSPSQLTIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQ >AMDW01032085.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032085.1:53:319:1 gene:AMDW01032085.1_FG001 transcript:AMDW01032085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE >KN540682.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540682.1:44826:45011:1 gene:KN540682.1_FG001 transcript:KN540682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSSSGGKKGLVMAWGAAAGGGATSLFDSCDDIAVVFDVMVWGRFGEQLGELAGMRHLI >KN540682.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540682.1:7755:13958:1 gene:KN540682.1_FG002 transcript:KN540682.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEQLYIETDNSEMKGMDLTLCGHVVAQSLRADILVDEKVNDPMEIVPKGAPTKRLRGFMEKRVRRCGYCRVGGHTIRTYPIYLSIIHSIQKSQANNAKGNWYRMPSNIFDYFTLNNMHQPFTEEQDDGGHTSTHHVFTAEQPKVETSSILSAIMDQLMQLQQSLQHVDNKLSSKLFSLETICLQNRLDIQDIKSKLCKPSTYTTVRKNAIQGINQLRSSTCHQTDIPKEQNVIPEAVLTENKTSDKFAQTDVLHQEMNSIGIHTKGTGTAQSPHIIDSDDDTCIETKQIFDYFTLNNMHQPFTEEQDDGGHTSTHHVFTAEQPKVETSSILSAIMDQLMQLQQSLQHVDNKLSSKLFSLETICLQNRLDIQDIKSKLCKPSTYTTVRKNAIQGINQLRSSTCHQTDIPKEQNVIPEAVLTENKTSDKFAQTDVLHQEMNSIGIHTKGTGTAQSPHIIDSDDDTCIETKQASTIGARLRRPEGRVIKPTFQSQTDFVYYKNSFAMAQTTSKEPKDLTLLDEITISFISKSKKRKLSTIANIDIFSETLMPLVRPIDAPSKSKWLGGSVIDAYIEIIKDMQSEQPRGNGIALLESEAHCQIWKTNGSSKGTQSKRYRESIANVAKRYLEHEMIFLPLNRDKSHWYVAVLNGPKEKIQILDSLRMNRAFYAADNVLTMTLKGIDKYLQCCANEDGVTTKWKNKSIANWPLCPMQVLQQKDRCVDKSFISECEVLRSIRHRNLLPILTACSTIDNIGNDFKALIYEFMPNGSLDKWLHKKSSHEATNNLGVGQRISIAANIAYALSYLHHDSRTSIVHCDLKPSNILLDADMNAYLGDFGISNLVHNSTTTSACHSEYAQSWQPSICGDVYSFGIVLLEIVLGKRPMDPVFDNGVSIVNFVERNFPNKIAQIIDVNIQEECRGFIEATEVKENEVYQCLLFLLQVALSCTRRCPRERMNMREVANRLHAIKISYDAVSNGMQARLHHRDLRTMI >KN540682.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540682.1:28728:32335:1 gene:KN540682.1_FG003 transcript:KN540682.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPADLLARGSSCNSEMEPSMKASRQQSATSLRRCACRGSGAWCGASRSRPRGGAPRRQSAPLAAGARTCRSRTKKSTTKAAFNTTFKAFHASAVADLHSTSSLAEASRDANFDDEYDRPHAPPSQVDLFKSSVVGPGIAGGSAGAHATFTVVSKDQDSRRVPTGGTLVRVSQTASVSTDDLNGAVKDNGDISYAVTCVIHKHDNYLVHVAALVRHAPRLCDMCSEQEQPVKLAMFIQLVLLLLFYRVGNVIHCSTIHEDSIDLQSLLDFKKGITEDSSRSLSSWNTSTHFCWWNGVMCTTARPFRVSTLNLSAQSLAGEITSSLANLTFLSALDLSSNRFFGRFPLLNRLQHLGFLYMNDNSLDGIIPDTLTNCSKMTQIDLSNNQLRGAIPPRIGSLTNLEYLNLGNNSLTGIIPATIGNLIKLGYLNLGMNNLTGIIPATVGNLTLLTVLRLEYNQFEGKIPDGVWQLANLTYLLLGQNRLSGGFHRP >KN540682.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540682.1:20852:22347:1 gene:KN540682.1_FG004 transcript:KN540682.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFRGSYGEVRWLLLLVVNAPEVAAWKDEDGHWSQLGLISFFGACPNVQNLFGELGINDWLQWKEHSMIFSMPNKSAEFCRFDIPETLLASLNGIWAIPANNEMLTWPEKAKFALGFFPAMVDGQAYVKAQDGQIVSELMKMHI >KN540682.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540682.1:19222:19479:-1 gene:KN540682.1_FG005 transcript:KN540682.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPQADADDDARGKTGRRDGGGGRGRMAAGGRVETATPKRGGTRGRRKRWHGVGRHKRSPKRGPCAREVERQEVEETQAEGGVVER >KN540682.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540682.1:35299:36762:1 gene:KN540682.1_FG006 transcript:KN540682.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNKPVKLTMPVLLALLLLSYGTGSIRCTTIAGNSTDVLSLLDFKATTNDPRGALSSWNTSIHYCWWSGVKCNPNTRGRVTALKLAGQGLSGQITSFLGNLTDLHTLDLSGNNFSGQIPPLTNLQKLKYLRLGQNSLDGIIPDSLTNCSNLFYLDLSNNMLEGTIPPKIGFLKNLSVLAFPSNFLTGNIPSTLGNLTNLNIMLLANNKIDGNIPQELGQLSNLGWLSLSENNLSGGFPHGFFKNLSSLRKLSIQTTLLGHIPASLGNASLLRVIDLSSNNFTGHIPNSFGRLSGLSTLNLETNKLEARDNQGWEFLEALRSCKNLNVLSLADNLLFGDVPNSIAGLSINLTILLLGGNNLTGIVSLSIGNLQGLITLGLDFNGFTGTIEWIGNLRKLQVLNIQQNNFIGTIPSSISDLPQLTKLYLRNNRFEGSIPPTLGKLSQLSSLNLSYNNLQGKIP >AMDW01029967.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029967.1:48:350:-1 gene:AMDW01029967.1_FG001 transcript:AMDW01029967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYKKYRCRVRKTKVAREDYRLSRHDHLKTVYMSGFRCYRPQEELVYFILENAVALEFMSIEPHTILADDDHCDFSDIAEDKKIRKWARRTSACFCKQVQ >KN542381.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542381.1:2133:2804:-1 gene:KN542381.1_FG001 transcript:KN542381.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKVKLQRIVIDVKRRVTLMKRLKGLTKKVSEFTTLFLMVYGEVEVQATKVWPSVWEAAKVLEHFKAMPQLDRYKKMSNLEGILNEQVDKLKEQLHKVGRDADENEAKLLLIEALNGRRPSLKGLTIEQITSLGWMANARLKIVNDRLKKLCEQGVIPASMSLSSTEVLPYTMVGYIAVDEAPIQREGWLMDVARGISSMGYNRFGGSSGSGTAGSNGDMV >KN542322.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542322.1:206:1455:1 gene:KN542322.1_FG001 transcript:KN542322.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KDYVDFAEVCFREFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSPYVSKSCGAGDSSREPYLVAHHIHLSHAAAVQLYRTKYQPAQKGQIGMVVVTHWFVPYDDTDADRGAVQRSLDFFFGWFMDPIVHGDYPGTMRGWLGNRLPKFTPEQSAMVKGSYDFIGVNYYTTYYAKSVPPPNSNELSYDVDSRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPAIYVTENGIDEGNNSTLPEALKDGHRIEFHSKHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLKRYRKESSYWIEDFLKRH >KN542322.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542322.1:6402:10166:1 gene:KN542322.1_FG002 transcript:KN542322.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGIGMGRQIVPVLVFVAVLCSGVDASFNRYSFPKDFIFGTGSAAYQYEGAAKEGGRGPSVWDTFSHIPGKILNGDTGDVADDFYHRYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFAEVCFREFGDRVKFWATFNEPWTYCSQGYGTGIHAPGRCSPYVSTSCAGGDSSHEPYLAAHHVILAHAAAVHLYRIKYQPTQHGQIGITAVSHCLDFMYGWFLDPIVHGDYPGTMRGWLGARLSAFTAEQAVAVRGSYDFIGVNYYTTYYAKSVPPPSSNRLSYDTDIRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPIIYVTENGIAEGNNKSLPITEALKDRHRIEFHSKHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDRLNNLKRYRKQSSYWIANFLKRKKY >AMDW01016714.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016714.1:71:250:1 gene:AMDW01016714.1_FG001 transcript:AMDW01016714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTRDFGKEQMAIRERAFSIITSVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQ >AMDW01038933.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038933.1:372:847:1 gene:AMDW01038933.1_FG001 transcript:AMDW01038933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFMKSDFYCAEAKSQTQRKAIMESFMAAQKYCLLATCHRKFLLQYFGEDRATDC >KN541547.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541547.1:191:4777:-1 gene:KN541547.1_FG001 transcript:KN541547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFFLYAGVAAAACVFVHARLPETRGRSLEDMDALFHKSSKSRERDRERDKDKERDRDRGRDRRDRDRGDKDRDRDRHREHRDRSERREHRDRERSDDRDRRRGHDSERRRDRDRDGHRRHRSRSRSPSKGRDRRSRSRSRSRSSKRVSGFDQGPQAAIPALAAGAAPGQVPVVAPAISGMLPNMFNLTQTPFTPLVIQPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAVGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHVQQQAQMQKLMFQVGGGALPTKVVCLTQVVSPDELRDDEEYEDIVQDMREEGCRY >KN541547.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541547.1:22793:24157:1 gene:KN541547.1_FG002 transcript:KN541547.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVDRSGGRLEVFKGEDFVSDELLMYIGDRSLSLKGIGVSNGELMAIVDGCPRLELLDVSSCRDLYVDDDAGQLQLLAKCARIRTLKLPPSEEDDYYYNQLINGHAHYADLFDDDYYYDD >KN541547.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541547.1:18414:18982:-1 gene:KN541547.1_FG003 transcript:KN541547.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGDATAPLLASPAKPGDKPRRNMYAFGCATLASMTTILMGYNLALMSGAQLFVREDMALSDAEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPASARGVLSSLLDVTLAS >KN541547.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541547.1:11890:12496:-1 gene:KN541547.1_FG004 transcript:KN541547.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDAAAPLLTPSGDNDDEPRRRRNMYAFGCATLASMTTILMGYNLALMSGAQLFVREDVGLSDAQIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFARVVAPVYNAEISPASTRGVLTSLLDVRIYASVDLAM >KN540882.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540882.1:12445:15246:1 gene:KN540882.1_FG001 transcript:KN540882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSCGDGVDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSASSVERKIIVANFLPLNCTKDEAGQWSFSRDDDALLMQLKDGFSNETDVIYVGSLKVQVDPSEQDQVAQKLLRDYRCIPTFLPPDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEAINTDDDHVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIDYFGRTVSLKILSVGVHVGRLESILKLPATVKKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSTGKDVEEAITESVSVAERINLKYGSVDYKPVVLIDHRIPFYEKIAFYAASDCCIVNALRDGMNLVPYEYTVCRQGNEEIDNARGSDTNCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTESEKRLRHEKHYRYVRSHSVAYWAHSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKAPSDELITILNSLCDDPKNDVFIVSGRARSLLDEWFAPCQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLMNNRKTPDFVVCIGNDRSDEDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVTFRDVMDYVE >KN542508.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542508.1:3193:5275:1 gene:KN542508.1_FG001 transcript:KN542508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSFAAKDDIFCLFEGVLDNLGNLNQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGSYAFVLFDKSTSTLLVASDPEGKVSLYWGITADGSVAFSDNIDLLKGSCGKSLAPFPQGCFYSNALGVGGLKCYENPKHKVTAVPAKEEEICGATFKVEGSTILTALH >KN540882.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540882.1:16596:18730:-1 gene:KN540882.1_FG002 transcript:KN540882.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVRKIAPSGFTTTIAGGRSKGPGRKDGPAQNATFSSDFELVYVPKMCALLVTDRGNRLIRQINLKQEDCARETQPGLGTTSVSIIAVLCALLGSVIGFSIRHFYPAHEVSINRFFRRMQMQYKTIQRTAALISFSDIRSVAANSTFHALLLKLVRVCVGYLSVVFPSVRSEKRAPVKTCPSLLDLDYPVITSTGPDNKADESTELVGNFIGFDGDTSSEEDNVPASDGKEPAGELVALLDGPELSSKKIDDMIEANLSGFSGQENNHCSAVKCSGISRRRLHGESNVL >AMDW01042000.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01042000.1:12:287:-1 gene:AMDW01042000.1_FG001 transcript:AMDW01042000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRQGARPNPVVVGDSVSVAEASVAELMRRLETGDVPGELRGAHVLRLHLSRVHLRLMTRADVDAQVAELRRTANSIVDAKAAGLVIYVGD >KN542676.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542676.1:8717:10885:-1 gene:KN542676.1_FG001 transcript:KN542676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSEGDQCNYDDLDFKQIRVRILRTNVVLVFGLITVAILVGASSFSRRYRRHGLIRLLSVGAYTLFLPLVSYVVSGVDKENCALPDGIDECTDDSSKYLLVWASVVQIVGANYCTAIAADDNERQNIAPIVQLLVGAIWTLFLVIKHFDAYLYDDSSVYWFISVPCALNIGKVLARLYAHRMAPRSFEIGRNPRLVAGYMRQLNLPRRDDHAIPLLVMGEDKQDVEEGPHGYRFTNHSGNNSLVTMDRITNMTPANENVLNSQFKDVCLSFSLFKLLRQRFARCLVVEEDYGTVPNFTIKLRHGDPQAQPQGIVSMIIDELSFACDFYNDPYLPISYSSWWLPILNVVLSLFVITYCLAGVIYLVQDASTPPYDSSQITCSLTCDINDEHPRSFGYTLVLDVLTSFLAVVLLLSEAWEIIFYSCSNWTKVNLICYYVTKTSWQRSPLMQRLICRILRFRCKMLSNSYKMGQASIMDTNMKVVKAVRRLLQLSDEKMKYVEIQPEVNIAILDKFRANNWRLPTVNASLQQSRIGNDILWACNGKGTSDVILVWHIATCIFQIKHPYEGPNAPAITASQLSRYCAYLLSSAPELLPDDKAWSKKLYKSVKKITEPIFSKSNTRPVQYEHILQKLDEKSNDNTELKNGVALGKQLVDATQGAEQQGWEILAGFWSAMVLYIAPSDNVGAHREAIARGGELITILWAMLTHAGIISRPRTGHAV >KN538786.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538786.1:168152:174002:-1 gene:KN538786.1_FG001 transcript:KN538786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTWLWLLLSPLVLLLLHYALALLTARRPRKNPLPPSPLALPFIGHLHLIGALPHVSLRRLAAKHAPDLMLLRLGTSLPVLVASSPRAAEAILRTHDHVFASRPCTVLADIIFHGSRDVGFAPYGEDWRRARKLVNTQLLSVNKVQSLWLAREDEVKIVMEKISKAAIAGKLVGDDRQKKLFQELVNKRAALLCIFNAEEYFSILARIGVIGKVMCARAERLKKRWDVLLEKLIDDHESKCNSNLVCGQNEDDFVNILLSVRKEYGLTKEHVKAILEGHLKFDLHQIINQQDVFIAGTPSSARVIEFTFAELMQKPHMLKKVQDEVRTCIPNGQAIVSEVEVNNMTYLRAVVKEVLRLHPVAPLLGTHVSMADCHIDGYMIPSGMRVLVNTWAIGRDERFWDDPEEFMPERFVESVNGYATASVNFRVNVYQYLPFGSGRRMCLGMNFAMAAIEITLANLLWKFDWALPAHAMEIDMLEEFGLSVRLKEKLLLVPKQYV >KN542860.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542860.1:3160:9086:1 gene:KN542860.1_FG001 transcript:KN542860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLASKIFPSRSNVASEQQQSKREKATIDDAKNSSKNKNLDCSVDEFGVCVSIVEYESCKSKHPHAYKNGPCAINNSRCPLMSNRTFDCVTLPQTHTEGHCIPYKDIMSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVMCYICCLRIYFRCLQVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >KN538786.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538786.1:248602:250411:-1 gene:KN538786.1_FG002 transcript:KN538786.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAETELPLWLPDQALFTVLLMTLPMVFSTMGRVQAEVVVASKVRVGGVQVDTKAHMGTVMGRRQIEVLVVGKVGVRTIEVDMEPQADMETILILRRTLVPLITVYLTLAIINHALKMDWPPFENKLTCNL >KN538786.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538786.1:222475:230661:-1 gene:KN538786.1_FG003 transcript:KN538786.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPKNSSAQGEASSSENIPPDPLPQIATSLQELCLTPPKKATVQLKYSPEVAQVDANYNMLMKVRSSGGQVRLIPLEPLKKALSKVWGSCFLDISQVDDNLFMAHFRTWEDLSWVWNKQPWSFGSDTFLFEWATADEKIKPLFAYTFKSIMVTVRFYGVPMSLRTEEIAKKLVGEIGEPSAAIPIIEENLKKDPKFMSVRVKLNVSKPVQAIVNLNIDNRKPLKTFVHYERIHRICTFCGLLFHNTQVCPIKQRIIIQQKVDAPVHLADRYGKWITQLSYLPPEAMVDLEGKNKSSLVNKFKQHFAGSSGNTSSLQILTSPIQVTGQVNSAAQGKMLTMVGPVQFSQRGVQSATVQKDVEEASAKDKTSILQNNPLQLDGLPAPNKANPQLSKSQMAQLARVHSQNMGQPESTATTPKGKEGLYVFPAKKRLEFQNQMPVSQQFQQELNSIPFSSLHCLNHSLPTPLKLDVGSKRSLSVSSSSNAKRRAVGEHGDPGRVGGRGWIISPSSQGGGSGWYAWGDAGPRSGDGDGGDTASSQYRSGGAGREDGRATPRREIRVRGRASRWDRGAEPWPRVQEEFVWRRSGHIPLSPNGPQPWEPGKTGGSSSGDGVSMVAVQQFDQKDAKQVYEQASGSQISLAKDSIKIQGLDPKPTDGNISIWNQPWHDLKEDIFNFLKTNPMPSNLPIKVADLWNVNKDWDVQKLNSLFEQEAVHKILQVHISQGDTADKLCWKFSKNGECNTKSAYKEFIKREEHRYSQDQDQLEPERRRMDNIPNGNRCFVDAARENGLTGIGIFFHFPINHNAIFIKATLEKAHSALQAELLALQLALEISQFLHIAGTTFLTDNSTIADTAKKRNFHEEPAALLLLVLLTMAVANRGGVRDAAVAIPTEGSVNGGPDGYGKIGGNYRGGADGGGYGSSGQAGSAGGGGSCGYGWNGSLEAQVVRMTEVIILREHLVPLITVCHLLATICHVLTIVVSLKK >KN538786.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538786.1:209655:213755:-1 gene:KN538786.1_FG004 transcript:KN538786.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRLLLGLQLLEESAFPASITLVRKSTFIIIIIIHVNNLQWILALVPLIKALQMGLSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTACLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTQDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVVPLMKSTWEIAMPPIYSVYDNFPCCQCDDAQGMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRNEHTACRFAYKVLFAIQQWPKSLPIPRATGKAKVLTQFPGPFSRVD >AMDW01039529.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039529.1:87:989:-1 gene:AMDW01039529.1_FG001 transcript:AMDW01039529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCITPFPEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVSTYKRINKLIGSLRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENSS >AMDW01035879.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035879.1:101:422:1 gene:AMDW01035879.1_FG001 transcript:AMDW01035879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGEIGVCYGRDASNLIDPPEVVKLLNANSITMVRIYDTDPTVLNALANKGIKVMVMLPNKDLASAGADVGSATDWVKNNVLPYLNQGTLINGVAVGNEVFKQQPDLT >KN541007.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541007.1:16254:16544:-1 gene:KN541007.1_FG001 transcript:KN541007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGGEAPHPHPASVWHGRRMTCQRRYGQARCGRWRGCDAARLMRWGRRSEIWKRESMRERRGAMRERGVDARGAGEAARCGRGEERCERGRSLD >KN541007.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541007.1:23207:24560:-1 gene:KN541007.1_FG002 transcript:KN541007.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENVEIIIRNVDNRFRLAPPKADLFTPLYVSIGPYHMNSDLPTGKEEKERNLDKILQDQVTRGGAASNSSAVKNGWLTLLNNHMSGALRYYGWNPDLLTPTRKDEFLNMLLEDGCYILSKFVVPTIGIARGSWQRQHVPPQHLEHDIIYLVENQIPFFILEKINEITGLIPTAGGSQLVRHFCSYIAEYVLKLRGYAVGDRYVEMQIPTPSHLLHLLHILLNGRPQVVEQTLATDGQDSTAIQITRFLRWRPAKQYDMVCVNLTGVDLISIEKGCHDGKCKARSILDVEPRRCGIGLEFPSLYLDSETFCMLRNLIVLEQQNANKLQQYRVTAYCTLMSQHGGRHCAKQLTDLCNGIIFDIDNPTLNYLRDECVMLERRCRSRPFKWMAWVRRKYFRNPCIAVGSVIAIIITAFAVLQAVYTVLKLKGKVK >KN542623.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542623.1:5480:8473:1 gene:KN542623.1_FG001 transcript:KN542623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/Swiss-Prot;Acc:Q0WQD2] MAFPSTSSVAYPRSLSPLRRCPGFLALLVAVLLFLSFQGMDNADKTIAYTNQDGRIRLFKVTTREFLSSSIWKNPLLPKDTEPVAETQEIAEEQLLATGSEVSNLSSTETLETRTDPIKLKREVFRRKRKEHRIQELLQVDKEAELHMRTVATNRSRNFSNKVRASYNIWRLQFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDMYALLMKCIKLCQEAIGDAHMDYELDSSALERAKAMGHALSSARDILYNYGEVSRRLHVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGTIKEYFHDAALKEQEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFIRHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKIAENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAVSKYKPYWSKYVDLDNSHIQHCYMSEQ >AMDW01024111.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024111.1:47:284:1 gene:AMDW01024111.1_FG001 transcript:AMDW01024111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFFLRF >KN543403.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543403.1:26:4726:-1 gene:KN543403.1_FG001 transcript:KN543403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERDCELPPDKQMVRFGLNLRASPYKQIEHRSWAVHGKTDITAKKNLKFPSQNQTKPAPAASRNRDEARSKNLPEENASVKAKSPTQEEPDVVIPTGQEKEQDLNVKDTEKTKEDGEVRKLAQQWEQMGRDIHGQHNTHSASQHATDGQQGSGAAGDSWVSGKKSINQDDHLNEGAGSGREEMDEHIPPLSAIHEDISLINHLREEELGMEQRVKRDDGIMTVLGKRSTDRLFRDPGYDGPSFDVLVGGESKKMFLQSYAESLFLSRQPGEDRKGKRTWQNEQMRNTGPKRSLNQQHGRWLPPANGWAKLNVDGAFNPESGKGALGIVVRNSTGQILLSSWKFLRRCVAAEEAELLACYE >AMDW01083541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083541.1:357:935:-1 gene:AMDW01083541.1_FG001 transcript:AMDW01083541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKLAALGFVVLLSIGLASAARVERYSSSEGSGTGNGEGGGYVNGGGVGSGSGAGSGSSGGNYGAHASGGGGGEGGGYSQYGGSGLGSGSGSGSGSSEYTQNGGYGYAGSSSAGGSGAGAGAGQAGGYWPSSGHGSGSGTGSGSSSAATYWKGPYASAYGNGNGGGNGQGQYGGSGVGAGSGSGFGDASP >KN544518.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544518.1:436:734:1 gene:KN544518.1_FG001 transcript:KN544518.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVHHLQKKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTLFRHYKLYIAVDESVLS >AMDW01137876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01137876.1:184:444:1 gene:AMDW01137876.1_FG001 transcript:AMDW01137876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RITIPEIKKHTWFLKNLPKEISEREKADHKDTDAAPPTQAVEEIMRIIQEAKVPGDMAAADPALLAELAELKSDDEEEAADEYDTY >KN544518.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544518.1:2260:2931:-1 gene:KN544518.1_FG002 transcript:KN544518.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWLPHFLRYHVILAILLDTGSQALATMCNWNPSIVYQGKPMVFFWMTIAFIQISTVLECMRMTILKTLSSVL >AMDW01073593.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073593.1:595:759:1 gene:AMDW01073593.1_FG001 transcript:AMDW01073593.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DELLWASAWLYQATDNRRYLDYLANNGDALGGTGWATNEFGWDVKYPGVQVLAAK >KN540431.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540431.1:13824:14315:1 gene:KN540431.1_FG001 transcript:KN540431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKASNNGSTILVIGGTGIIGRHIVAASLDAGHPTLVLVRPTAASAAVDVDSDKAKLLASLVASGATIVYGDMNDRESLVAAIRQADVVVSAVGHRGTVELDGQLKVVEAIKEAGNVKV >KN540669.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540669.1:6:311:-1 gene:KN540669.1_FG001 transcript:KN540669.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMVYKVERFGRIVSKSCKDLGDPAPAPKISRTMQAPEEDDDDQQQQQQHPAARRCAACRYLRRRCADDCVLAPFFPASRPHRYACVHRVFGASNVARLLQ >KN540431.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540431.1:47785:49823:1 gene:KN540431.1_FG002 transcript:KN540431.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPHLRKRAGVVLIAVGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDVCFSSPISRAKSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNGFVPLM >KN540431.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540431.1:39934:40544:1 gene:KN540431.1_FG003 transcript:KN540431.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDEKDMSAVTIKAVEDPRTVDKILYVQPAANLCSLNQLVSVWEKKIGRDLEKCYLPEEELAIKIEASPFPLNFQLAIVHSALLPGVASCRQTAVGVEATELYPDMEYVTVEEYIDGLI >KN540431.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540431.1:15302:16922:1 gene:KN540431.1_FG004 transcript:KN540431.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQEIDISIAIFVDEKDMSAVAIKAVEDERAANKILYVRPPANKLSLGQLVRLWEKKSGNTLQKRYVSDLQLANQVQGKL >KN540431.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540431.1:51167:51973:-1 gene:KN540431.1_FG005 transcript:KN540431.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKARWIVAVVLRVAAAGAAAVAAVLMAMSHDEVIVYGMEVQAKFRYTPSLVFFVAANAAVSACSLVVLLVPSATSKLAARLLLMADVVLGMVPLFCDRVRSALVAGSVAIVLYYLMLMYSIYTLPMFP >KN540669.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540669.1:41577:44068:1 gene:KN540669.1_FG002 transcript:KN540669.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQSAPTIPVHHLEHLDNGVEKDSAVAVVDPDENSLHVKEEAKEEDGELEEVGVAGAEAMGIEERLALLRSQISGKLESIQQRATAVAAKRRQLAGRRRKVAEDVGSAASRHKDLERELEEACEAEDFERAEKISDSLAALEKEKDRLLTALRDAELNYDSVDLELQDVLDSRIAAEEEAASLLEHFAKDATDHTDSVSKEAEEISLKEIEGWRESMELLETKKLETEVESELVLAARSGLEDSIEHLVEDDKREKDMLSKKGDILAEELTELLKLVRLKEAEIAENNARIQVVQERITAVVSRFYGSQTDIDLKLKTLQEAQTKMDSEAQALALKKNEIDSFISLAEQKDSKLREIINACSSEAKACQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQVLRQQTSDARISLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKRVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAATDLEVIEKDITATTDKIQECEGLIILKEKESAMTSYKRLRLDAAAARAELTAATETDDSEEVEVLRKEAEAAESKAMELKTCYDLELDDNEIMFQPVVPIVFITNSTGQHLLEIASSFGLSP >KN540669.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540669.1:35436:36467:-1 gene:KN540669.1_FG003 transcript:KN540669.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGFIEYKLDYLESQKLAIGKCLPHTRISAGELNAGIQLYPRGLIKPDNGEYISLFLVTKNDPKISVIFEAFLMAKDGAPSSSSPSSRHADRSSQIIRIHSSNKTEDDDRLFWRFVGWYRFAKRSDVMDSLHAIDGVVTFVFGLVILRDDRRHPIAVPPPNLGGHLAAMVGSADGSDVSFSVGGETPIRAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPATFRALLHFVYTDALPSRDVLSPSFFKDLFAAADRYALDRLKLMCAQKLWESVTVETVAETLACAEMHSCPELKSRCLDFFVEENNFRKVVVTDGYLRLMQGFPSVIDEIKARLEI >KN540669.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540669.1:6549:7925:-1 gene:KN540669.1_FG004 transcript:KN540669.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGFGKGKAVVVDEEEEEVNGFFVEEEEEAAVSDASSIGAASSDSSSIGENSSSEKEGEEEGEEVESRAKEVVEEGGLGFHGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPGVREIISVVVTAAAAAI >KN540669.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540669.1:44845:45800:1 gene:KN540669.1_FG005 transcript:KN540669.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGIFHTASPVITETDSSKACYTNCEGHFHPAIMQLSFLSWNSDLRCHLVAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTD >AMDW01004326.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004326.1:9:59:1 gene:AMDW01004326.1_FG001 transcript:AMDW01004326.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMAKLTTLENFLRQ >KN543716.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543716.1:59:3470:-1 gene:KN543716.1_FG001 transcript:KN543716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRNPLLHLSRASREVRLLTSLLTRPCAHRQRHPKVQRRQAAAPTVNVIRGNNDTIHDFYGFPKAMYKLKYPAPGAPDLAMKTKELLEQAGFGPVKEDHSRGLDHGAWVPLMFMYPEANVPVCQLSLQSGRDGAYHYELGRALAPLRDDGVLVLGSGSATHNLRRMGPDGTPVPQWAAEFDGWLQEALLGGRHDDVK >KN543716.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543716.1:5674:5904:-1 gene:KN543716.1_FG002 transcript:KN543716.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EALLGGRHDDVKRYEEKAPHGRVAHPSPDHFLPLHVALGAAGEDAKAELIHHSWYNATLSDPTRRTASPPPPRTTN >KN539143.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539143.1:75738:82421:-1 gene:KN539143.1_FG001 transcript:KN539143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSKKRKVVAAEEGLGLGIIGAGPSSSPPSGSRDPDSDRGSCDSLSSLLSDATSSFFTQDDAVDAASRLLTAVVARSPEAVAAFVRRLTPETVLRCIDWDLIPSGDARKIAEGRTHWTEEELRVFLHSCLEEIQARNIISSSPEAQGFINLERKMLERAGKRVTKKQVKWRWAKSRKNFNMWTWLESKATGLGRDTVTQAILASDEWWEIQESERKGAKAFKDAPLKCIDEHHAVFRGRMVVQDHSNVPGAQPVIDQQPAHQPMINVEDIRAQPPSPAVAAARVKGKRPCATDNETSGSSSMRTCSDYSDEALHSDLWLMALALFRDPYWRQFFLDDCTTAESRLRFIQKSSELLPIGVSNVSSPSVAAVRVRAVRRWPLRIPARTNREWAVGRKAMSGASRKRKTAPGLHLHGSAVAKRKIPKLQLCNLPKDVLCSIASKLPLKEAVRTSVLSSHWKRIWTCRANLELSTRTVYSDYDWERCSSHRGFNLNKRKFIKIVDSALQQHEGAGTEQFRIRFALDNKNSYHINRWVKNAAALKTKGLVLELYSVLFGPRIVRYDFPLKMINSNLCYLRLWFASLKVPADSRGSLNLTKLSLREVDITDEDLHQFLSECNHLREVDITDCRMLTNLRVPGHLNQLKSLLVAICPLLREIKLSCGVTALDYRGPFIPLQLAIPSQTTNVSVSLLTFHSALGYIFSDLPSTLTNLEKLTLKSKQVERIDMLSRLPRLISLRHLTLGLTISDLPQRKIDLLDFASLLKAAPFMEKLELHMKMVCVHQRYCQDDGELRSLPRCPHSHLSWVQITGFFGEKDQLELALHILRNATVLKAMVIETSLNTESESVNCYPERLSSDGYSVALEFLGKEDHNNAVHVLEADDE >KN539143.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539143.1:45392:47446:1 gene:KN539143.1_FG002 transcript:KN539143.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNIAQADASLKAGKWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRAHAIGVELVSMEDALTTADFISLHMPLTPATNKMLNDETFAKMKKGVRIINVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPAPDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAQVLSELAPYVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVHIAHVESKFPSAISESGEITVEGKVKDGIPHLTKVGSFQVDVSLEGSLILCRQVDQPGMIGAVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPKKSTLTKIGEIPAIEEFVFLKL >KN539143.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539143.1:8073:9884:-1 gene:KN539143.1_FG003 transcript:KN539143.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSLLLPVTCFILFVLHAVHVEARPDPAAFGDSDNGFYTLFVFGDSFADTGNLPKRRLSEQSREWYYPYGRDRGNNRPTGRFSNAMVQSDLIARMLGRHEAPPTYRRVDNYVHPHGMNFAAGGSGVFKLPSGAPTLDKQVDHFRDLVQDGTITRRNLRNSIALVAVSGNDYARLANVNDTSKMIKFVDEVTSEIAKQVHRLKNNGARKILVNNLHPVGCTPWVTRPGNYSGCSSTGNMGAYLHGSNLQQKLSHLDYVHHVDLNTAFSNIVNPDQGSKHKVSSQFEHKMQPCCESLDPNGFCGQKGHDGKDLFSVCNDPEKYFYWDDVHPTEAGWKAVMQQLEGPIKKFLGIN >KN539143.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539143.1:122499:125661:-1 gene:KN539143.1_FG004 transcript:KN539143.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGTGTLGTVVAASPASGETSDEDSEEDSEEDDDDENSGRSRAAKRAPKRAGLRLLFWARNVVTKSDSAKEAERAKARTAASPLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCRWERCGQVKVVVKIESEEDMLVLQGRAKSLNIPTHTTIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >KN539143.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539143.1:553:2569:-1 gene:KN539143.1_FG005 transcript:KN539143.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALAAPALLLLLSLAAADMSIVSYGERSEEEARRLYAEWKAEHGKNYNAVGEEERRYAAFRDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNEEYRDTYLGLRNKPRRERKVSDRYLAADNEALPESVDWRTKGAVAEIKDQGGCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFDFIINNGGIDTEDDYPYKGKDERCDVNRKNAKVVTIDSYEDVTPNSETSLQKAVANQPVSVAIEAGGRAFQLYSS >KN539143.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539143.1:118532:120848:1 gene:KN539143.1_FG006 transcript:KN539143.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLPLLLVVAAAMAGNRLPELSVHAMIDKTLGTIMYGYGYGDCHNTNGEKDMCVVGAINNYTNALEDSSSPYDPTESLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYTRKSNLHHVWDVNVIETALKEFYNEDVSTMIKAIKKNITDEWSNEEKQWETCRSRTKTCADK >KN539143.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539143.1:92226:97187:-1 gene:KN539143.1_FG007 transcript:KN539143.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.3 [Source:Projected from Arabidopsis thaliana (AT1G23090) UniProtKB/Swiss-Prot;Acc:Q9SXS2] MAVHKVAAPPAQSTASKLKARVKETFFPDDPFRGFKGKPLTTKWVMAVQYLFPILDWGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVQIKQSVGSDWKATNGAAQDEIMQPTLIYATSSRGIVLGLSRPHGHIGFDPGRTEQILQSMKWPKLFWVSACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPQYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCLCAFAGVIFISVQQGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERIKRWIEEESSAGTKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKHGLELILVNPTGEVMEKIQRANDVHGHFKSDSLYLTTGEAVASLSTFSKMTAP >KN539143.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539143.1:39015:40000:1 gene:KN539143.1_FG008 transcript:KN539143.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDALRSGAAALDVVEAVVRELESDPFFNSGRGSALTRLGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARLVMDKSPHSYLAFDGAEQFARDQLYVI >KN539143.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539143.1:12032:14001:-1 gene:KN539143.1_FG009 transcript:KN539143.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLRLVDGPLTWIVVEPEHHTDAVAAVLSRSNLDFLHITGPDSSTSRLRMHALREIRKRKMDGVVVFADDNSILRTELFDEAQKVKSVGAVPVGVLGEDEGTSETFLQAPSCDAEGKLALWEGGGAASRPEWVRDIDAIDDGAAASPLSLVTDAARVEPLASCGQAALAWSHRSDALHEVKFPPEWKIDPPLVTIASRQQDAKPETPLKRTTLLNTEGQH >KN539143.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539143.1:67292:68246:1 gene:KN539143.1_FG010 transcript:KN539143.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGALLFHLVVTALAAPVALPGCPETCGNVTVPYPFGIGHGCFRDGFELACDETHPAAPPKLRFARNGVEVIDISLPSGTVRVATRMLGTGSSSPLPRQQWLPGGVDQAQPHPISGDYISVCAALCVVRSALPRDAAAASSCSGFGCCQTPVARGLPSYGVHLNDLTQRSVTVGSYGAAFIADGEWFAGEQRSLQLDFAADPRKLVDSTAVPTVLEWSLDMDLDQDMFWYDTSVSQWTRCVSVHSAIDDAVDGNLYGRARCNCSKGYEGNPYLANGCQGMYRLQFSD >KN539143.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539143.1:88279:91525:-1 gene:KN539143.1_FG011 transcript:KN539143.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSKKRKLAEEDPGIIGAGRPSSPPSGSRGSGDRRLGVVVVADPDSDQGSCDSLLSDATTRLTQDDAAEAASRLLIAVVVRSPEALLAFVQRLTPEAVFRAIDWDLIAEGRTHWTEEELRVFLHSCLEEIQARNIISSSPEAQGFINLERKMLERAGKRVTKKQVKWRWVKSHKNFNMWTWLESKATGFARDPVTQAILAPDKWWESQESERKGVKAFKDAPLKCIDKHHAVFRGRTVVEDHSNVPGAQPVVDQQPAHQPMINVEDIRAPPLPPPAAAARVKGKRPSATGTETSGSSSKSRTCSDSSDEALHRLADDSRVESMESRAEREEKKKVRGLEACMDMVEADGYPPGSELWYMAAQLFRDDACWHQFFLDDRHTAESRLRFIQVHCNWLRRGAAPSATAHRNQPVC >KN539143.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539143.1:104881:108648:-1 gene:KN539143.1_FG012 transcript:KN539143.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSTIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPPLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKDLSFFVLLVIIVLCFSMARKIKEDIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >KN539143.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539143.1:110704:116627:1 gene:KN539143.1_FG013 transcript:KN539143.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEAEAGAEDQGAWDPDSLSLELGLATLRELECIRYQEAQEDWRKSDDNKSDSCKQKDKDQGAWDPDSLSLELGLATLRELECIRYQEAQEDWRKSDDDKSDSCKQKDKDQGAWDPDSLSLELGLAPLQELEWIRYQEAQEDWRRSDDNKSDSCKLRDKTTSDDRSKSGDNKYDSCKTRDKKPIVDLADEFENNTIKKKITLLSEKYDFFRPVDRDGSCFYRAFIFSYMERIVAMQDDLERIIEVSRIGERIGKYKQAYARFGSFGIPQEEFLRALSAFEQLINLIEMGVAVEQLYQIDETDITKNSLRFLRFLTEIEICTHEDHYKGFLTADYSSVFEFCQVEVRPENAEASNEQMKALVEALGIPVLVENLDTSSETDTPILNQHFIYPRPESEEGTMLGPLNSHEIVSPESSGYHAARGELQNQPSTSGSSTNSSTEALGLQSIGTSSTPNERDGKGDRTINDLSPAERRRLAILLYRPGHYDILCPK >KN539143.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539143.1:47843:59334:-1 gene:KN539143.1_FG014 transcript:KN539143.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRQIFVCSAIAALLFSAVVSAQLSTDFYDETCPDALDIIESAVRAAVSKESRMGASLLRLHFHDCFVNANIIQKFRVDADGSVKQVDKCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNCYIEVKCAYLILLFDIKNMVGQVMCTTGMVSIICIITGSHFIRAYFYFGDIFNPSSDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKSIVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSTSKTYSTKLSKNYIAADASNDEYEHPHLWYDTNAVIRALELFLRYGDEVSDSNTFRYDLVDLTRQTLAKYANQVFVKIIESYKSNNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEIQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDRKVFPTTATGDALNISRTLYKKYLHDADLIQPEDPVSESVFFLRHAEDLLLVGSVKRALALWPAVSL >KN539143.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539143.1:24206:26373:1 gene:KN539143.1_FG015 transcript:KN539143.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MTRSLTLAPLPATLRPASAACRRRRRRRGLPFGALFPPLLPPSNQQQQQEMHTRALQPRQDWVEEWVGSNDTLVRGLPILVGGASLLAVLLNRAVSGIAAVSDASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVSDSALRELLWTWDSLTTATCCKSLVVVYGEDGNAVMVDAQKFMQGSLYKSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAAIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >KN539143.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539143.1:72053:75351:1 gene:KN539143.1_FG016 transcript:KN539143.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCVPSLALATIGAVVFQLLVTTTTLSAAAPTPIALPGCPESCGGIQVPYPFGIGDGCSYNGYDGFNLTCDEAPHHQTPPKLFMATDNGTVVQVLNISLPDGTVRVRSKLSQSSIAGSSSSSSNASSSRSDLPADGPFTVSSAYNWLVAFGCNIVADLTPYGNIAEGSSCAATCIDGWQNFAGPSCSGIARCRTSVGRGVHSYTIQVTSLIDRNSMGASTSTTWAAAFVAEQGWFSANENAILYNFTNTFLPFTVESVPVVLEWWLDLIRDGAILPLSVGPNTTDFRCLSLHSSSYYNDLNYDRRRCNCSQGYEGNPYIRDGCRGLITIIAVSAGFGLLFSLLGVAKITNKIKQRRAKKLRRKFFKKNHGLLLQQLISSNKDIAERMKIFSLEELDQATNKFDQNRILGGGGHGTVYKGILSDQRVVAIKKSKIVVQREIDDFINEVVILSQTNHRNMVKLYGCCLETEVPLLVYEFISNGTLSFHLHGQNKNPLKWKDRLRIALETARAIAYLHSAASISVLHRDIKSANILLTDTMTAKVSDFGASRSISIDETGILTVIQGTYERTSLASYFVSFIRDNRLSDILDSQIVNEVGAEDAKVVAKLAEACLRLKGEERPTMRQVETTLEDVQRSKVQLNHQIARVSNSNTLKNQTYEGSKCYEGTRQYSLEKEFIQSSEFPR >KN539143.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539143.1:26955:29776:-1 gene:KN539143.1_FG017 transcript:KN539143.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLAGADKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKKFGASVSDPKKRSKDVLLAFLLTFSKDFQKVYFGKLVRRLNERSAFEQFLKEFPDKISPQLKLVQLTAEHPEYRKNYNFPSYKEGWKVLREGKITNFMKSAMLAIDCEMVLCHDGTEALVRVCVVNSNLEVKLDELVNPLKAVADYRTSITGLSKKDLKGVTCSLVDVQKSLKKLLSKGKILIGHSLSKDLHALKFDYSRVIDTAYIFKYANLPTTASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEIARDSVPESDMMKLLAHKIPVYLPCQELLKLFSGNPSIDEKIDSRVRGDYYSTCISFGDRDEVEKAFDELDGQEAKDSSGRLQKNVLLKRDNGDVASFYVRKMAYGAQLNKSELSNKRPPPTEHVEPTKEDNDGDKQKKRKTGKKHEKKKAKISVAE >KN538723.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538723.1:55655:58395:1 gene:KN538723.1_FG001 transcript:KN538723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLVVLLRGEAAPPLPLAWRGRPVSTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSNRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDKLESELYTAIHAAYYGPDIRSVDLLPDCFEAEERSVYDTPELLHLVSGRDLFGIVSLADRVQQLPDAFREKQTLPGFKTYGISQHVSTNLEKGSVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQGHPILGTISGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERRLHPAMYLAALAYRSLDIEDAQRRKWSITTFLELQSSHIHQLCKKIFNGGKESS >KN538723.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538723.1:153271:154852:1 gene:KN538723.1_FG002 transcript:KN538723.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEVREYREDRDRAAVEEVERECEVGSSGGGGGGGEAKMCLFTDLLGDPLCRIRNSPAYLMLVAETATGGGGGNGREIIGLIRGRKGVGKKLVGRMEEWFRQSGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFGHALQPSRSAAIRKLEPREAELLYRWHFAAVEFFPADIDAVLSKELSLGTFLAVPAGTRWESVEAFMDAPPASWAVMSVWNCMDAFRLEVSACEPVRAGVPHWARLGAEDLWCIKRLADGYNHGPLGDWTKAPPGRSIFVDPREF >KN538723.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538723.1:31951:34089:-1 gene:KN538723.1_FG003 transcript:KN538723.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGAAASRFLRSRLFPHVQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNAASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQVSRTIGDVYLKKQEYSMDPVFRKIGPPIPLKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >KN538723.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538723.1:7540:9343:1 gene:KN538723.1_FG004 transcript:KN538723.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAQTKTTRPEADDADTDMSVEAQEFKNVLDELNPLLGAANLWDYLPALRVFDVLGVKRKIATLANRRDAFVRRLIDAERQRMDSDVDGGDDGEKKSVISVLLSLQKTEPEVYTDIVIVNLCAALFAAGTETTAMTIEWAMSLLLNHPKILKKAKAEIDASVGNSRLINGDDMPHLSYLQCIVNETLRLYPVAPLLIPHESSADCKVNGYHIPSGTMLLVNVIAIQRDPMVWKEPNEFKPERFENDESEGLFMIPFGMGRRKCPGETMALQTIGLVLGALIQCFDWDRVDGAEVDMTQGSGLTNPRAVPLEAMCKPREAMSDVFRELL >KN538723.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538723.1:100355:104620:-1 gene:KN538723.1_FG005 transcript:KN538723.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKLARVDIAELKQRLVKRLGRQRAGQYFAHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPSARNVGAVVDSGDGDFARERAVAGKVLSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPSYGARTRRLDDPMVSCYDSHHLLDTGSLFKGLQRRLESDGIGVSVQGVEVLNRGLDEFLRRLIKPCMELSRACSWTGYVLNQLCGMIHISGTGALSFQSQLSKDKFSSSDNISIQGPSFSRANFGHGAPLFGLCYPQKVEAHGLWYSARPCSVCDYCCFQSQLNTDKSSSSDNFETKLALSYHSTIIQFPSYIKILILWVSTKPEPDRSSLSGI >KN538723.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538723.1:160863:161672:-1 gene:KN538723.1_FG006 transcript:KN538723.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSMEALHAAVLKEEQQQHEVEEATVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLARGGHHRVQAPPPLSASAPPPAGAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAPVLAPAPVAALLPSAEDREPATSSTAASSDGATNRVHRCSICQKEFPTGQALGGHKRKHYDGGVGAGAGASSTELLATVAAESEVGSSGNGHSATRAFDLNLPAVPEFVWRPCSKGKKMWDDEEEVQSPLAFKKPRLLTA >KN538723.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538723.1:2094:4601:1 gene:KN538723.1_FG007 transcript:KN538723.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLCRLPAAAASARVQLKRRLFELSLSVLVETIAQSKATRPETTDTDTDMSVEAQEYKQVVEEILERIGTGNLCDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDEGEKKSMIAVLLTLQKTEPEVYTDNMITALTACFDWERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELV >KN538723.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538723.1:113954:117459:1 gene:KN538723.1_FG008 transcript:KN538723.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVPERGKVFEGGHSQQRWPMSARAAHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMVFEEGVRRASFDSGDYLMSSDTESVSSGSNSGSQDAGMGRARSSPKGMSVPARFLQDAAASRPNRLADPSTPFMTHSSGFASSPRTAPVKKSLLNGFVSSPLNRPIRQPSPSKLVGSRRMSSPSRPRGSVGVSASYGDQHGRSSSGYGLDSQVKRRWLGCSKVDCEHLLRILCNRHLQWRCVNAQADGALAAQKMTAEKYLSDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSLLERDHANSLSGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLSGTSILVSDLAKIATQERTLMDQSRELLSTLASMHVLIAE >KN538723.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538723.1:70539:77746:-1 gene:KN538723.1_FG009 transcript:KN538723.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQVFEHYDKDTLKMAMLKHEETFRQQPPRRAPVAAGSRVGMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAVDFLDKLLRYDHQDRLTAREAMY >KN538723.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538723.1:98812:99712:1 gene:KN538723.1_FG010 transcript:KN538723.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPVKRVWLGLAARLGLRRTSGLGKLKKEVRTCEYHDVHIMWEMLRKTDAPKIFVSTEVIFHVLPTFALGLLLLLKTSLTIAVQVYLEIL >KN538723.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538723.1:165146:167079:1 gene:KN538723.1_FG011 transcript:KN538723.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLPTLTAIMSQDELQQPGQVQWTPAPEEKSEIAAKRRTQAGHGGDLGRQKKKARVSDKRNQESMQSGSCSDNESNCSQVNRRKVDRVAGGGNGKVPARRRSATIAQSLYARRRRERINGRLRILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKVEVQIVCHDQMLSSDELWMYAPIVYNGMDLGIDLNISPPR >KN538723.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538723.1:25509:26140:-1 gene:KN538723.1_FG012 transcript:KN538723.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNTTTTLALLLLLLLASSSLQVSMAGSDFCDGKCKLITMEYM >KN538723.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538723.1:11043:14643:1 gene:KN538723.1_FG013 transcript:KN538723.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYIAIFSIAVLLLIHFLFRRRGRSNGMPLPPSPPAIPFFGHLHLIDKPFHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPRFPSQMLATFNGTSLGSANYGPHWRKLRRIATVHLLSSHRVSGMAGIISGQARHMARRMYRAAAAAGVARVQLNRRLFELSLGVLMEAIAQSKTTRPEADADTDMSVEAQEYMHVVDELNPLHGAANLWDYLPALRWFDVFGVKKKMLAAVNKRNAFLRRLIDAERQRMDSDVDGGGDGDGEKKSMISVLLSLQKTEPAVYTDSVIMTLCTPLFAAGTETTAITIEWAMSLLLNHPEILKKAQAEIDASVGNSRLVTANDVPQLSCLQCIISEMLRLYPAAPLLLSHESSADCTVSGYHVPSGTMLLVNAVAIQRDPMVWKEPNEFKPERFKNGESEGLFMIPFGMGRRKCPGETLALQTIGMVLGTLIQCFDWDRVDGVEIDMTQGSGLTNPKAIPLEAMCKPREAMRDVLQKLL >KN538723.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538723.1:40068:41285:1 gene:KN538723.1_FG014 transcript:KN538723.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYRFFEWARRQKRGGCAHTVRSFHTVVASLAKIRQYQLMWDVVAVMRREGAVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSNNVRRAQEIFDKMNSRFSPDAKTYSILLEGWGRAPNLPKMREVYTEMLDAGCEPDIVTYGIMVDSLCKTGRVEEAVRVVQDMTSRGCQPTTYIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDIVVYNALVSAFCKAKKFENAFRVLNDMEGHGITTNSRTWNIILNHLISLGRDDEAYKVFRRMIKCCQPDSDTYTMMIKMFCENDKVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKREVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMNILIQEPLFD >KN538723.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538723.1:63595:66827:1 gene:KN538723.1_FG015 transcript:KN538723.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGESGEPEPSLIPYQILSWQPRALYFPQFATLQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKSKAV >KN538723.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538723.1:91087:93141:1 gene:KN538723.1_FG016 transcript:KN538723.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSLESGQENEVAWAGGPSYAVELGRLDGLSSTASSVDGKLPPPSFNLDQLTSLFAANNLSQTDMIALSVLNNRYKRSQCGDWLEDAWMPLLAREKERAAACPAGVDPNIALELDPVTPRAFDNQYFVNLQKGMGLFTSDQVLYSDDRSRPTVDAWAANSSDFELAFVAAMTNLGRVGVKTDPSQGNIRRDCAMLI >KN538723.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538723.1:125556:129336:1 gene:KN538723.1_FG017 transcript:KN538723.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGELISNLQIWGLGEQDGYEVLRKLGRGKYSEVFEGFRPGGDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPKMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGQDNYDQLVKITEVLGTEDFYNYLEKYGLELDPQLERLVGRHNRKSWSMFVNSGNRHLASPEAHPYFNPVRST >KN538723.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538723.1:85879:87148:1 gene:KN538723.1_FG018 transcript:KN538723.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSSSWWMALLVVAAVAQLGASDLRTDYYNSTCPNVESIVLGVVKDKMQATIRTIGSTVRLFFHDCFVDSGGPFFPVELGRLDGMSKFSDRLYRYNPPSQPTDPTLNEKYAAFLKGKCPDGGPDMMVLMDQATPALFDNQYYRNLQDGGGLLASDELLYTDNRTRPTVDSLAASTPDFYKAFADAIVKLGRVGVKSGGKGNIRKQCDVFN >KN538723.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538723.1:36061:39130:-1 gene:KN538723.1_FG019 transcript:KN538723.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSKAVMMEWERPLMEAHARAVCSGGKVLNVGFGMGLVDTAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVKVVFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLSEEIWNGVKQKYWQLDTYHLPVCQAESEPEQ >KN538723.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538723.1:15117:18079:-1 gene:KN538723.1_FG020 transcript:KN538723.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAEAWEGPTAAELKAAGAEAIPGGVRVKGWVIRSHNGPILNSASLQLFEDKLQTTHLPEMVFGESFLSLQHAQTGIRLNFNALDALKAWKQEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYCGSDAVVQSTESMQTSLDESSNLCWEDTEDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRLWLRVDGVLMRLRDTRVYCSFGSDEAKPVVLRECCWREATFASLSAKGYPSDSAAYGDPNLIAHKLPAVIQKTQKLKLPN >KN538723.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538723.1:168772:172705:-1 gene:KN538723.1_FG021 transcript:KN538723.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding NTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHSASYSLWCLAAVAGGKRVECRGRRESQTRSEKADSDPGFSVAMVLVVATMNESKGELGSQAEGQVVEEGKLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTG >KN538723.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538723.1:48696:50862:-1 gene:KN538723.1_FG022 transcript:KN538723.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.7 [Source:Projected from Arabidopsis thaliana (AT5G67360) UniProtKB/Swiss-Prot;Acc:O65351] MSRSAKPNDFVEHGEWYAASLQSVSDAATVLYTYDTLVHGYSARLTRAEAEALESQPGVLLVNPEVRYELHTTRTPEFLGLDRTDALFPQSNTGSDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSPAAGAAVRGADLLGYAAGTAKGMAPHARVATYKVCWVGGCFSSDILKAMEVAVNDGVDVLSLSLGGGTADYYRDSIAVGAYSAMERGIFVSCSAGNAGPGSATLSNGAPWITTVGAGTLDRDFPAHVVLGNGKNYSGVSLYSGKQLPTTPVPFIYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHVLPGAGVGQKAGDTMRAYALSDPNPTASIVFAGTQVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAACKAVDPGLVYDIAAADYVDFLCAINYGPMQIAALTKHTTDACSGNRTYAVTALNYPSFSVTFPATGGTEKHTRTVTNVGQPGTYKVTASAAAGSTPVTVSVEPSTLTFTKSGEKQSYTVSFAAAAMPSGTNGFGRLVWSSDHHVVSSPIAVTWT >KN538723.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538723.1:117819:118088:1 gene:KN538723.1_FG023 transcript:KN538723.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MHATAITAVSCSLPCLLAQLLTSHLPKPLSAYERLRRGALGTAVDTDVKPVLARDGVNLMEKARPKPKPQPTHWAVAPGHTQPDQGWIS >KN538723.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538723.1:21517:23756:1 gene:KN538723.1_FG024 transcript:KN538723.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVRLGKGAIVANPNCSTIICLMAATPLHRHAKVVRMVVSTYQAASGAGAAAMEELKLQTQEYAFNIFSHNAPIVENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAEGVTIIDDRASNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGYNRVY >AMDW01040105.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040105.1:224:1222:1 gene:AMDW01040105.1_FG001 transcript:AMDW01040105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTGRAEKTTTGSGSNKGGTLVSRWDKSAEGSRKVLGGKKEAWQNKAGDLGASVAGKEGRGKNVVGEVVSEGDAKDQEFDRPLFEDRNNKGEGVKGAAKKVCSKCFEKGHVADDCVVEVYCDMCDSFDHVNHKCPVLKLPKPVVQAVGMVEGLGFCHIPHQPLQRSKKGTKLALVHVVGGSLSKERLVAQLQRLCPAKWKWEPVEQGKDSFVVLFPSKGELQRAINFGGAEVKEGGVATGIRMEFREWYEKEEGYLLPKVWVKVFGLRKKLREYLTLWAVGSLLGATQMVDMKTTRKNDFGRIFIAVLDPKIIPRNIDVVIGDHYFELRFE >KN541790.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541790.1:6786:9770:1 gene:KN541790.1_FG001 transcript:KN541790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAAARPSIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGGCYYILDLHSFRKDNSWVNTLSMFITSKLYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLAGRNYLLSVCLYWDAYLSTEDEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >KN541790.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541790.1:21159:23230:-1 gene:KN541790.1_FG002 transcript:KN541790.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VKEIRNGKETRTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVSSPKTVSWGLSCLLVVMSAVDTRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCVAVLMSDPTHISGLVTTTVSYSN >KN539969.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539969.1:52833:53306:-1 gene:KN539969.1_FG001 transcript:KN539969.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLPALAEQMEKAALFDFNLTLPLIATEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGGVKDASEEVRQLEEQAAAVLKAARAEIAAALNKMKKETTQELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >AMDW01034575.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034575.1:114:506:1 gene:AMDW01034575.1_FG001 transcript:AMDW01034575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIRCPANLKFFMCLGVFLLDDDAATGGVSNFRVIAVLFDHHRWLDYRGMPMSMMFSSSGSGGDGTWQVVQWETIDDVDLPHWIEHITFVGRANGRIYWGIENEDGATLVLDESTTEFSITMFPENVWAPYD >KN539969.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539969.1:16717:20545:1 gene:KN539969.1_FG002 transcript:KN539969.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MAAEAPVAVEEEAVPAAAGGLNATVPALYVGDLHESVREEHLLEVFGKIGTLTSVRVCRDNATSNSLRYGYVNYLSQSDAAIALEKLNHSLILDKPIRVMWSNRDPDARRSGVGNVFVKNLNDLVDNVSLQELFCKFGDILSCKVAKNEDGTSRGYGFVQFALQESADASIQNLNNSHFCGRQLHVATFIKKSERSTNNDDKYTNLYMKNLDDDITEELIKLKFSQYGLVISVKIMKRDDGTSKGFGFVSFQNPESAKRAKESMNGMLLGSKTLYVARAQKKAERKQYLQRLHEEKRNEIITKSNGSNVYIKNINDEVGDDALRERFNEFGNITSAKIMRDEKGISKGFGFVCYNTPEEAKCAMPNTPRQYRNNRGRMNGNMMHFHHTVNHVPHAQPAKEFMSMSRQRFSHAKYIPNDVMANGLAIHHGDSISSMNDAFNNLLATAPPEEQKNMLGNRLYPLVERHHPDLASKITGMLLELGNSEVVMLLYSSNMLSAKIEECVKLLQAVKPKPEDQEALHPGFLLDSAGVNAN >KN539969.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539969.1:54018:54476:1 gene:KN539969.1_FG003 transcript:KN539969.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAGEEKSAEKAPAGKKPKAEKRLPASKASSKEGGAGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >KN539969.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539969.1:63467:71790:-1 gene:KN539969.1_FG004 transcript:KN539969.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLPNLFMFMIFASISGNFAVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKRVLNLSKTGDAGYQDTVDSADAVAKLLNVSTNDILIQSTGVIGQRIKKEALVNSLPRLVGSLSSSIEGANSSAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIALASGLSGLSSILTHDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVKRLAFHSLRTISIVTVAGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDISLGAIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGRGGGSGKAWGCDLSYKYVEINAEYTT >KN543347.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543347.1:1200:3661:1 gene:KN543347.1_FG001 transcript:KN543347.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIHTSFTGIDIKPSNTLLDKNFNARLADFGLSRTADNGKIQSAMVVGTANYLDPECMKTGMFNPSSDVYRFGLVLLEIDCKKDENSYAQVWQMYIDKTLLQAADNRLQGAFNERQMERVIVLGLWCCLPNQVFGARVGLKVEFRRGARSKKKIIWDVRYNLKARYVTDDSAKNGRLICRSSRAT >KN539969.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539969.1:6030:13581:-1 gene:KN539969.1_FG005 transcript:KN539969.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQGQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAFYRDRIVAVAEGRQNQSVGSFVESGAESGRQPPRSKSTQDMYTRQQLEASAANKDSFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGAAAQGDVMQVVSQGIGRLSLVAASAAQSAASVVQVGTKEFQSKMREGGYDQKVNETVNVVANKTAEIGSRTWGIMKGVMALASQKVEEYAKEGGNGWGDDWQRREQGSEPYHRFERETNGNGWNSSSHDGSSKNYNSNSWDDWDEPVKKDEPAKERQSSDSWAGWDDGKDDNFDSYNHSTPSKGSNQNGTTAVSALPLFALVDSLSKRPPFQAEADCVSPLLEGFVAWNELFSELGDLKKYVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRPRPRGGGRRRGGGGGSGGPGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >KN539969.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539969.1:59057:59643:-1 gene:KN539969.1_FG006 transcript:KN539969.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGAGVGGKMKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >KN539969.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539969.1:1387:3509:1 gene:KN539969.1_FG007 transcript:KN539969.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVKYVFEPNRKVPAN >KN539969.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539969.1:41693:49585:1 gene:KN539969.1_FG008 transcript:KN539969.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELRNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITTPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEIMNACEFEQHSASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPILSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDGIKHNSAGPVALRSTSSECDPINMTFPLSISITVIQDPPPDHNVDSNSKDFGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEVQYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKILGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVRTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLITAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >AMDW01039620.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039620.1:146:518:-1 gene:AMDW01039620.1_FG001 transcript:AMDW01039620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAITILKDIWVTNSQKDTHIAAKQWRAQMSIASVAHLQQRTRLKLLCQSGLMLQKYVTIRYTQELTAQVTVFRRVRTHS >KN539969.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539969.1:50633:51886:-1 gene:KN539969.1_FG009 transcript:KN539969.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSLLRRLLRGSTPVSSSSAAASSILRATFCSSSSAPSPTESLLSSVFGDGAEVSNVPPLTTPKLFISGLSRLTTDEKLKNAFAPFGQLLEAKVITDRISGRSKGFGFVRYATLEEAENARQEMNAKFLDGWVIFVDPAKPRQQKPAPRPDTDSSHTGFTTNKTVGWCG >AMDW01033884.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033884.1:21:428:-1 gene:AMDW01033884.1_FG001 transcript:AMDW01033884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFVAVKRTEHVEVTSLAVAVEATPSAKGGKGKVVVGGGGGGAGVGPTRVRVFCDDFDATDSSSDEDEEEVTARRRVKRYVQEIRLQRAAAVAVPPVKVKGEEVFPAAVSAKMAEAAKARVVLAAGRKRKAGG >AMDW01034828.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034828.1:109:552:-1 gene:AMDW01034828.1_FG001 transcript:AMDW01034828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRSKAAIDGGDGGGGEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGGTRTTSRRPVSLGLRLKIAAQSAEALAYLH >AMDW01033215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033215.1:117:386:-1 gene:AMDW01033215.1_FG001 transcript:AMDW01033215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLKRAAEQVRRAKATSHHHHHHHLAGSNASCGCFPCNGGSNIISGNLLNYY >KN544101.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544101.1:399:1369:-1 gene:KN544101.1_FG001 transcript:KN544101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLAKFMEGKEENNIAVIVGTVTDDKRIQEIPKMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENT >KN541388.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541388.1:2996:4611:-1 gene:KN541388.1_FG001 transcript:KN541388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAYRPPTDAKTDVQVDLEQWGLESRPLGGFVDFIKNTTNLMHHVTEGCQLQPINVENGNNGNVTRTEKRLGWSTEEDLRLEANSLYASGECNVDLMDKALKMYENDFKDGRFLFIECWNELKTQPKWHAYLDQLDKSNKRKRDYADATPLDDEEIPCPMGVKAAKAQRIGKGKGKVQDCTAELEDDIHKFMEAHEAAKEQQSELLETQQRVASDNLETKKVGHQTAMIAAYRELLNKDTRDMPDDVRSELVAMLKCMREDIFTKNQ >KN541388.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541388.1:25041:28305:1 gene:KN541388.1_FG002 transcript:KN541388.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPEPSIRSSRTGNESCMRAKQQLKFTMVVLLALLLFIYGVGNARCLPSHDDYNVDLQALLDFKHGIIDPNGALIDWNTSIHFCRWTGVNCSQARPLRVTGLNLTSKGLSGQISSSLGNLTFLQILSLADSNLSRLEALYLFRNNFTGIIPQTLGKVATLEAVDLSENQLNGPVPDELWQLHNIIQLILSQNSLSGNIPDSIGNVSKIEQLDLSSNNFNGHVPSSLGKLSKMSYLNLENNKLEANDSESWEFFHALANCTSLNGFSLSSNQLRGVIPNSIGNFSVNLQYVLMGGNKLSGTVPPSIGKFHDLVQLSLDQNNLTGKIEEWVGKLTKLQRLNLEMNNFVGRIPPSISNLTQLATLILVENEFEGLIPSSLGNFSQLLELYLSYNNFHGHIPKEVFSITTMTSCVLAYNDLDGPIPLEFAELQQLTKLHLSSNRLSGKVPESLGQCHELENIQMDQNLLTGNIPISFSNLKSLTMLNLSHNNLSGTIPTALGELKLLNQLDLSYNNLNGEVPTNGVFENATAVSIIGNWGICGGPSNLQMPPYPTTYPRKGMLYYLVRILIPLLGFMSVIPLLYLTQVKNKTSKGTYLLLLSFGKQFPKVSCHDLSRATGDFSKSNFIGRGSYGSVYKGKLVQLKVQVAIKVFDLKIRCADRSFISECEVLRSIRHRNLLPILTACSTIDNLGNDFKALVYEFMPNGNLDKWLHKKSSYEAPNGLGLGQRISIVANIADALSYLHHDSRTSIVRCDLKPSNILLDADMNAYLDDFGISNLVHNSTSTPACHSEYAQSWQPSICGDVYSFGIVLLEIVLGKRPTDPVFDNGLNIVDFVERNFPNKIAQ >KN541388.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541388.1:13868:16128:1 gene:KN541388.1_FG003 transcript:KN541388.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFALLLFSYGVDNIRCATVTENSTDMVSLLDFQKAIESDPTGFLKNWNGSTPFCKWEGVTCSRNHSGRVVALELPGLRLSGQISPSVGNLTFLKTLDLSSNSFSGLLPPLNRLHRLQVLDLSSNSLQDTIPGALANFSNLAALNLSHNSLVGEIPRKLGLLPNLEILWVSMNNLTGTIPPIFSNNSRIQSLALSYNQLSGGIPDDLGKLSNLQFLALGGNNLSGGFPHALFNLSNSLEALGLEKNNLGNELPSNIGDALPNLVLLFLNRNMFEGRIPSSLGNASGIQRLELGNNKLNGEIPSTFGKLRELSYLNLEGNNLEARDNRGWEFLNALTNCSLLGMLSLAGNQLHGSIPSSIGNISTDLQELYLGGNGLSGIVPPSIGRLQALITLALDSNSLSGTIEEWIGRLTKLQRLYLKRDFGIASLLDSRSACVEYSNSINAKGTIGYIAPEYDHSVHAST >KN541388.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541388.1:8922:9415:-1 gene:KN541388.1_FG004 transcript:KN541388.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALYITTTLTILSNIFQGAEFDPVKALGYASVSFRRALWVHVSFLGLLARRRSSPVKPADEAAAAADDDDSDVKRGRKRRSRNKRNRAPPESPDKQFFAELRYDDYDSATVVTCTIIDKSRLMLRIY >AMDW01027687.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027687.1:57:251:-1 gene:AMDW01027687.1_FG001 transcript:AMDW01027687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRRVILLPTLAVVVLAIAAAVLLHAGEAADVVADGQFARASGTRFTVGGRPFYSNGFNAYWL >KN538743.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538743.1:258086:274010:-1 gene:KN538743.1_FG001 transcript:KN538743.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASTFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSHKCDFHTMKNAYAWKGGDASTSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEQEALNGDSSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNGRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTDREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKTLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLLERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSISNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTAQAKNSYSSHEASTSGRRIVTDVRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSPDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >KN538743.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538743.1:237056:238196:1 gene:KN538743.1_FG002 transcript:KN538743.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSNSSLETRTFLDEVRGLEKNWMVDLGHPLLNRVAESFVKAAGIGAVQAVARESYFMAMEGTCLVAAATGEGGGTGAVSDSTGARKRSFPDLNGGNSSKSAEAMVKSVSKESLQWGLAAGLHSGLTYGLTEARGTHDWKNSVVAGALTGAAVALTSDRASHDRVVQCAIAGAALSTAANVLSGIF >KN538743.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538743.1:191680:194659:-1 gene:KN538743.1_FG003 transcript:KN538743.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDEAKSLASMEEEACIEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSNGSKAAVETLKPKLKVQERLITSSLLASQPAHQAEPRGISECSGSWRPRSNKGTAVGLCVVEVNWAGELIKTVGPVHHTGPDFGSLAPRKPKKARRNVGKPKSGAKLTAHVLAIFGSENG >KN538743.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538743.1:305054:306947:1 gene:KN538743.1_FG004 transcript:KN538743.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVQLLLFIVGVLALSMTAEKFRELVGKEEASKSGQFTFMNCFDMGSGSLACAVKEGIKLYVYNLQTAHTERVRDRAIEKALADAVTEGLSAAEAAKQAQKVGAKAAKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERLGRFGYLTGSHLGSWVGGRIGLMIYDVINGLKYMLQFVKPEYEASAYYSKESTEYAYSYRSGEREEPTYYETSEENQEESQGFSLF >KN538743.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538743.1:166512:169317:-1 gene:KN538743.1_FG005 transcript:KN538743.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTSAPEKKRKWLLSNRKVIDKYLREARAILATAPEAGGGDAVAALGLVDAALELSPRMESALELRGRALLSLRRYRDVAEMLRDYIPSCAKTCSGDDTLSSSTSSSLSSSGSGDLGTISRAKLLSPDRHRSDAAAEPGAAAARSFRCFDISELKRRVLASLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCLSEDSFSSSSPAAAVAPIPSGNTTKSGSAFIIPAMESEAVSQLLAYVKLLLRRRTAAMAALDAGLPAEAAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRSRVAAGEACNIDYYALLGVRRGCTRSELERAHLLLTLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAFGERGT >KN538743.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538743.1:309717:318893:1 gene:KN538743.1_FG006 transcript:KN538743.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGYSNTRSRMSFYTGIGIHKLMDDGTLGPEKVVHGYPEGARINFVTWSQDGRHLSFSVRVDEEDNTSGKLRLWIADVESGEARPLFKAPEIYLNAIFDSFVWVNNSTLLVCTIPLSRGAPPQKPSVPSGPKIQSNETSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASFDGTVKPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTVDGEFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPAMLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVISPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKVKKQDENTYILLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDGELPLEKLKILTSKESKTENTQYYLQIWPEKKQVQITDFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSQDGPLPCLVWSYPGPTIPIIGEGDEEANDRYVEQLVTSAEAAVEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQKYCLSGSSKTDSDSVADTENKTVSASGGGAPCEGPEAEGFSSMQRSLLCHSIAHRAVRTLHLVVIFNYNPPQLVQHQLTRVPNRDKASQMEAQNQEVAALFEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSTAEGHLEGHYADMLAAFDNPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTGELGAYDVVFLAALVGMAAEEKAEVIAHLGAHMADGAALVVINSVIVARKADRRGGGGLQNGLADARGAVPVVSPPCKCCKMEAAPAGAFQKAEEFAAKRLPV >KN538743.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538743.1:302077:302761:-1 gene:KN538743.1_FG007 transcript:KN538743.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MDGRNTIITFSCLTQGQDYMFVKEFVAFLASVLLKCCRQSDGSDMEIILGGLASLSDELSWFKKEAEKWSVNLAEVSPLKSNTEYCRFLQSFSESEISYVVAITTFWIIETVYQDSFAFCIEEGNKTPPELLGTCQRWGSPEFKQYCRSLQRIADRCLAEASADAARSAEEAFLRVLELEIGFWDMSSSRS >KN538743.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538743.1:291751:293903:1 gene:KN538743.1_FG008 transcript:KN538743.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTPSLLNSAPIFEKSIVTKLIREPEIYLNFDAITYLLTLINAIKSYRRELFIIVPEEISMEQLPGYNYKNIDSILEHHQFSGSINGQGFKKVSSHTGNHANGKTKELYYKYVFSENVK >KN538743.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538743.1:230111:235873:1 gene:KN538743.1_FG009 transcript:KN538743.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQGGGDGVTADHSKKKKKKKHSKPRLPSIRSCFRGKKNKERKANAAAVGLEIVEVATGERLSAHDVGLIEMVGSSADVSAESSVKSSLDYANDPPQQLTVSSKRKPAVVKATEPTRVWLNGNAGKGKAGERFPGPPVAGEADELWAHDIACSRVHAVMLAETSWAKRTPSATILMAAATSQSFLSPAPNPLLRPRILPFPAGGSVSLRGRRPAFPSVAAASTSMASSESEERKETKLWGGRFEEGVTDAVEGFTESISYDWQLYKYDIMGSKAHASMLAAQGLITAGDKDIILEGLDQIEKLIQDGKFEWRTDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILFRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLPYVEQLERDAGRLVNCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVFGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELGLDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRTQLGISS >KN538743.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538743.1:205069:208722:-1 gene:KN538743.1_FG010 transcript:KN538743.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRGDANYTALTPLWFLERAALAHPARASVVHGPVAVIAPNIPAIYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPVSLKSALSKGAIEYEEFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADAILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDELPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPRANAEAFENGWFHSGDLGVKHTDGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGVDRSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >KN538743.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538743.1:242608:243554:-1 gene:KN538743.1_FG011 transcript:KN538743.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSAPRRCKDPAGDHLLLRALKNKVDYCRVHGFDIFYSNTVLDAEMSGFWTKLPLLRALMLAHPETELLWWVDSDVVFTDMLFEPPWGRYRRHNLVIHGWDGAVYGAKTWLGLNAGSFIIRNCQWSLDLLDAWAPMGPPGPVRDMYGKIFAETLTNRPPYEADDQSALVFLLVTQRHRWGAKVFLENSYNLHGFWADIVDRNDTGRPLDADDQELGRLLHPTFKARKKKTSRAARPA >KN538743.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538743.1:277792:287373:-1 gene:KN538743.1_FG012 transcript:KN538743.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKIASIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKHMVDFNYQRLADFGAAISVTNKLLCQGVLEELDVSKRLMLTLELVKRELEITKLQQSIAKAIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVLRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVSNEPAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFSCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMEANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >KN538743.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538743.1:297229:298459:1 gene:KN538743.1_FG013 transcript:KN538743.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPATEGSARRSAAAAAEEEEERQRNRKRSNRLSAQRSRMKKQQYVDGLAVEAEQLRRENDAMRAAAGAVLQRCRLVEQENRVLAAHARELCSALQLRASQLRLLGEVAGVPLDVPDVSVVYCLT >KN538743.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538743.1:301038:301424:1 gene:KN538743.1_FG014 transcript:KN538743.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATILAVAAMATFQAPTTLPGPLAPTLPVRRNVVSFAGRRARGRLVVVVAAGSPTPPELAQKVSESIKQAEETCAGDPEGGECAAAWDEVEELSAAASHARDRKKDSDPLEEYCKDNPETDECRTYED >KN538743.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538743.1:239214:241890:1 gene:KN538743.1_FG015 transcript:KN538743.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MASRLLTRSTAARLLSHLRSSGALSPTHHHHHHLEHGAALASLLGLGRGGLPAAAGPWSPRDPPTRWFSSPATVAEAPMTADGLTVDSIAGKGWTILPEAESDWRSHAAAVAQSVKLIKKRLKWGWILERSRQLSVVLERPDLWDDPVFAGKVSREHGELMGKIKSVNQFEQELMEHIDMLRLAREEDDNELETETMRALAEMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYSSWAQRRGYTVSIIEEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVVPILGDGSTRYQIKDSDLRIERFRSGGPGGQHANCTESAVRIVHIPTGITATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNADHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLNGDLDDFILNFLSTSLDEAD >KN538743.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538743.1:211633:214993:-1 gene:KN538743.1_FG016 transcript:KN538743.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGHGDAAERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVAVIAPNVPALYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKILAEKKKWSFRPPILIVIGDPTCDPKPLQYALGRGAIEYEEFLKTGDPEFAWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYVMALGVAMVWGMPEGAVYLWTLPMFHCNGWCYTWAMAAMCGTNICLRQVSSKAIYSGIVNHGVTHMCAAPVVFNNLINAPASETFLPLPHVVNIMVAGAAPTPSLLAALSVRGFRVTHTYGLSETYGPSTGVRYGALEGLDVVDPKTMAPVAADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISSLEVEKKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGVDRSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRTKAKELGPVKKSRM >KN538743.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538743.1:256475:257561:1 gene:KN538743.1_FG017 transcript:KN538743.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFWAKLPLLRMLMVAHPEAELIWWVDSDAVFTDMLFEIPWERYAAYNLVLHGWEAKVFDEKSWIGVNTGSFLIRNCQWSLDLLDAWAPMGPRGPVRDRYGELFAEELSGRPPFEADDQSALIYLLVTQRQRWGDKVFIESSYDLNGFWEGIVDRLVLIQILLLYNHRFVSAIVFRLSERIETRG >KN538743.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538743.1:228216:229088:-1 gene:KN538743.1_FG018 transcript:KN538743.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATEEETAATGRRILVAVDEGDESVHALKWCLASFAKRGGGEGAAPPDTIILLYVRPPPPTYSVLDASGYVFSDEVAAAIDGYSKEVAEAVVEKAQKLCTLYGKEVGGDGEAGHEMKVEVKVAVGDARNVICQMVDKLGADVLVMGSHGYGLFKRSLKRSRFQSQKLALLGSVSDYCVRNANCPVLIVKS >KN540053.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540053.1:58197:61965:1 gene:KN540053.1_FG001 transcript:KN540053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTENPNMENMARRPFLISFTLSFTNALGSSARPSGSTTLPSRPLAMRILHNTAKCIWLQFAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNGERYALLSDSTKPLHSFTKFPAKLRALCFVDCAKTELHYDAFSGAKYLRVLDLSQCFVQKLPDSISQLRQLRYLSAPGIQDTMIPDCITKLSKLVYLNLHGSARLRSLPVSIGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSSLKDVSEFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALSRLSKLQYLNLSYCSSDCLENQSLLRDSEKKSPLRGLKEAVANLTELQNLYLSGCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSDNNCIKTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPESDSSLILLPQFAVQALDGGSGSNLVLLQNVNSATYLDISKLENVVTVEEAQSVRLKEKKMISTLTLEWTTDARRFVEDQDLLGELEPPRDLEWFSLEGYNSVAFPPWLMNIAPHHFSKLSRIDLVGLPKCTYLPPLGQLPHLEILSLDGMNGITKIDGEFCGGAGAFPSLKDLYIFNMESLEEWQTKYSCSEGGGIEGSDNVISSWPVGTCASTSSSVSVERMAVKSCKLPLHQWRMLHQLASHSNLTIESCSDIGSCSPEIAQALSPLKELTLEGNDDMLELPKWMGELTCLTCLKISTRCPELKASQGVTRRLTSLTSLTLHKCECMVSLPEWLGDLPSLRFLSIRECPNLNNLQGIMDERLTSLMTLSLEYCESISVLPESLGELTSLNQLDITCCTNIKSLPESIHKLTKLFRLIVRKCPELKKWCESEENKTKFSNVLSKRVYSYH >KN540053.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540053.1:4796:7579:1 gene:KN540053.1_FG002 transcript:KN540053.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLAMKKIGFAVASEVTKQASAQFGKHKLQLTELQGSMGRIKIELHVMHNFLCQMDIRSRGNQVYQGWLEEVRKVVYVMEDMVDEYLHLVGHQSEFGCCFCLKRLFRQLPRSAPSLERIASMVKEIEKNLVHMSETKDRWVLVTNNGVNSYSSYNIVQGPQDLISISRSLYQDDLVGIEDNKQQLVEWLEDGEPTHSVIVVHGMGGLGKTALASTVYRKAREKFDCDAWISISQTYTREDILRKLIIEIFKDQPTGPSNIAGMDMTSIQEELKSFLERMKYLIILDDVWTPQVYNDLLGALVPNLKGSKIIITTRNADVGHLTFPERVLEIKRLSVDDSWKLFCKKAFLKRKCPEELKDLSEQIVSKCEGLPLAIVSIGSLLFVRDKTREEWKMIHDQLSWELVNNPRMEHVRNVLHLSYIYLPTNLKSCFLYCSLFPEDYILKRKNLIRLWIAEGFVEKRGGSTMEEVAQGYLKELIHRNMLQLVEKNTFGRIRSFKMHDVVRELAIDLCRRECFGVAYNCKHKCNQPLDEKDERRMVIHGLDKDTNQDILRECHLRSFIALDKRVITAFDKGILPLVVDKYRYMSVLDLSWLPVDNVPDAISDLFNLRHLGLRDSKVRLLPNSIEKLSNLLTLDLYSSKIQELPRGIVKLNRLRHLFADRENDRYGRDLRSRTGVCIPKGLGKLRELQTLQAIEVRDEGTVRRLGELRQMRSIRIFGVKGSHCKVLCESLLQMEFLTNLDIMASDENEVLQLNGLKPLPPNLEKLTLRGRLEQQGMILILGAAARGPNHSLYSIHLSWSQLVEDPLPNLSQWSKLTELWLTRAYMGEQLTFLQGWFPSIKKLYLRDMPNLKRLEIHQGTMEGLQELLLLNLRSMVKVPPGIEFLQPTLNFLGFGEISRNFLAVLCRCSRLSGIRWSYSLR >KN540053.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540053.1:38963:41785:1 gene:KN540053.1_FG003 transcript:KN540053.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVHDLASSVMVDEILVSSKQDNNGESNYRYALLSDSTKPLHSFTKFPAKMRALRFVDCSKTGLHYDAFSGAKYYLRVLDLSDCFLQKLPDSIGQLRQLRYLSAPGLQDTMIPDCITKLSELIYFNLHGSSALCSLPESIGEMDSLMHLDLSGCSGIQRVPQSFGMLNLSYLDLSNCSSLKGVSEFLGNLTKLQHLNLSYCESVEKIGNLGSLTELRYFHFSTSSCSPGVSQTDVLGAFTKLEYLNLSTEFTDIRIKRLPDAMGSFIKLEYLDLSGWRELEELPRSWGSLKNLIHLDLSRCFKIKGVPEALSSLTKLQYLNLSDCCHDERDRLQLRGLVEVVGKLTELRYLYLSKFLDILLAAFQGEAIAKTVDVFYNMFASISSLSHLQELDLSNNDSITFLPESIGNLNILHTLNLSSCLGLVQLPRVMHDMDNLKHLNVSGCCFLDKSIIPKLSGILFSLPQFAVQTCNGESSSNLVMLQKLDSTTTPELEISKLENVITVEEAQRVRLKEKKMISDLTLCWTRDVRRFVEDRDLLGELEPPRDLQKFKLQGYNSVAFPDWLMNIAPHHFPGLSRIDLVDLPKCTCLPLLGQLPHLKTLSLERMNGISKIDGEFCGRAGAFSSLSRFSISNMKRLVDWQMKYSSGEGGDVGEFMFPMLKRLIIHHCPKLSLKCWPPNKVTYLDIESSDNVISSWPLGACASVKRMVVKSCKLPLHQWRMLCPLAPQSTLVIESCSDVGSSSPEIAQALSSLKELTLKGNDDMLELPNWMGELTCLESLEIIGRRCLELKASREVMRHLTSLTSLTLRECESIVSLPKWLGDLSSVRNLKIVKCLSLNNLQGIMDGHLSSLEKLEVVSCKSISALPKRLGEVTSLKKLYIHNCSAIKSLPYSIHKLTNLKTLQVTACPELKKWCESEENKTKFPHVLIERVYVGWN >KN540053.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540053.1:29742:30864:1 gene:KN540053.1_FG004 transcript:KN540053.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGEKIGSAIGGRIKLPWDFNYDLKDMKMTLETIEVFLKDAERRSIREESVRLWLRRLKNVMYNISDMIDGFEAETTRKRKIMFPNLAICAKIKTAKEMKRMRGELEKITKQHRDFSFASENSSNIQEVVSSDRKTSSKVEETAIIGRIQEKQKILDCLSDKILTQDFIILAIYGMGGIGKTTLAQLVLNDKKFKEFSPVWVYVSQVFDLDKIESSVISQLSKREPNMTDLEMVPPNMNIIMVLDDLWENDGFKLDSLKLKLKVGNGAKVIILVTTRD >KN540053.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540053.1:14609:20802:1 gene:KN540053.1_FG005 transcript:KN540053.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGAMLASAVLKVATQKIGSAIGGQLMLRWSLTRDLEEMKDTMASIEAVLQDAERRSVRDAAVRLWLKRLKDAAYDISDLLDELEASSQLGSRKSVANTSHLLKITMARRLKKMQNKLKKITEQHQSFGFTVVMEQRVTDRRETSSKMEEALIVGRNEDRQKTLALLAENIMQEIIILPIYGIGGIGKTTLAKSVFNHNHFREYSQVWVYVSQLFDLKRIGNSIISQVSKRESQLTDLEMIHNCLGELIAGRKILIILDDLWENDQFELDKLKLMLKVGSGSKVTVIVTTRDEGIAKKFCTVTPYKLEPLTDELCWTIIKQNSAFEDRDDKEQLEDVGREIAIKCGGVALAAQSLGYMLQSRKFDAWVSVKNSDIWNESISGETPSPHHNVLSSLKLSYSSMHPYLRLCFAYCAIFPKGHKIVKEDLIHQWISLGFIEPSNIFSNRQVSEHYISQLLGMSFLQHSELPMTAGMNEKDGTLFSMHDLVHDVARSVMVEEILYANEKSNNGASNCRYALLMECTKPLKFFANLPSRIRVLHILDCAQIALGGVSFSSAKCLRALDLSRCSIQSLPDSVGQLKQLRYLNAPGVQDIKIPNSITNLSKLSYLNLHGSSKISALPESIGNIEGMVHLDLSGCLGIEKLPESFRELRNLVHLNLSNCASVTGVSESLGNLTNLQYLNLSYCQNIGELPITLGGLKELRYLNLSFSSYLEGWPAADALGTLNKLEYLNLSSEFSGLGKLPEALGSFTELEYLNLSGCRRIKVLPKSIGKLKKLVHLDLSHCYDVEGIPEALGSLTMLRYLNLSHCCRYGNRLHLQGPSEITCLRYRNRLHLIWLPEVLGDLTELRYLNLSNCLDDIIGYQIADQSNNFIECISTLSNLEHLDLSLNFTLRSLPESIGALRKLHTLDLSGSYNLESLPKSIGQIDSLKFLTVTNCKILDKSTLPRFSYSSILLPHFVVHPGDSESSSNLAQLQELNPTDELRISKLENVKSTEETKKINLVEKRRIVDLKFDWTRNARIFVEDKEVLRELVPPSTLKQFALQGYSSASFPAWVMGIAPYLPNLLKIEMEDLPNCRILPPLGQLQNLQRLVFRKMDSIVKIDGGLCGGARAFPRMLEFSLCDMESLEEWNTMYSIGKDDKKEFMFPKLQRLEIRECPKLRLKPCPPRAVDWQI >KN540053.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540053.1:52752:55030:1 gene:KN540053.1_FG006 transcript:KN540053.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGKQVGSTISGQIKLQWDFTDDLKDMKMTLESVEAFLKDAERRSVTEKSVRLWLRRLKNAMYDISDMINGFEADTTHNKSKIFSNLSISAKKKTAKDMRRMRGELKKITKQHRDFSFASENSSNIQKEDSSDRKTSPKVEETAIVGRIQEKREILACLSEKILTQDFIILAIYGMGGIGKTTLAQLVFNDKQFKEYFPAWVHVSQVFDLDKIETSIITQLSKRAPNMIDLDIVPPNLNIIIVLDDLWENDGFKLDNLKLKLKIGNGAKVIILVTTRDKSIATRFSNVEPYKLEPLTNDMCWKIIKQKSAFEGRYDREWLEHIGKDIARKCGGVALAAQSLGYILHSKRADEWESVRDNNIWNESTLEDTSSPHHMLASLKLSYLRMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKIYSPKQLGEIYVDELLGMSFLQHSKWMQRRETK >KN539661.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539661.1:82470:84904:-1 gene:KN539661.1_FG001 transcript:KN539661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSRLKCFEPSKDNSSRQQMGQTVKFGRVPFKVGFSHSQSRPKDRWQGKSSEQRSIESYNKIPEFPNCHKLEEPEDNQQTALLLSGSQNLSSPDIFQLLSVDSTTACFGNGAFDQGKDAQGKHEENSVHNVNQEIKQTMDGIRMTVGGVAEFLKSKLANMVQRGCGRKREDIRLQTAQMHAALSVARLATAVARMVGNCQSESTNVNNTIMTGIGKDEHRKMHAAIASAAALVAASCSEAAKLTGASREQISTVIHMGMETRSLGDLLMLTTSAATCLKGANAFKMRNRAISNYAFEDLMSNQKDVRLPIRTPDGKIHIRMVSVHCKHDKIILNLGKEHSLRTSKECEPHFTILFLKCRTIHHRQ >KN539661.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539661.1:64813:77141:1 gene:KN539661.1_FG002 transcript:KN539661.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKLDHETRARRQKALEAPRGEPRRTKTHWDHLLGEMAWLAKEFDAERKWKLSMAKRIAQRANKGVVDQATKDERKQKVFYKNQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDVRLQNQENESLQTNQRSQQELAQENINASSPTDVDNVEIDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYTKNKVQAFSVSRESSPDGRDVFSDSDSKDLIKDPLNQTNGCNDESDHTSSDEGISSEEADDYQSYSEFVKKNTVKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDRDPLDEVKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMSVDDESADTMEVNRDLSADTMKLTRDQSAETIKVNNDQSAEIVEGNNDTFEDHESAGMLGPEHVSGSVLQPETSEPIVQENTVKEGDVTDTKAMANGDNSDVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVGMVLDKGPFSQVDLSDMNFVFTQNEYNMTSWEVDEVAAIFSPSITLRGSGISRSTNDGQRSNGTNIFEEIHNSLWEERIKEANERAASIAWWNRVRCQKRPVYGTNIREVLTIKHPVSDILEKKKNPLCHMEFSSSLANLVLSSVDRFKTMLDFIESFTFAIPAARAPAPLFWCNKGKSPVLIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDVLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCSLSAGPSNGTNLALSNVDVEAAIRQAEDEADYMALKRLEQEEAVDNQEFSEEAAGRLEEDDLVNEEDTKPDEHTNEEHKYQCSDLVKDKHVALSINQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLLEEQERQAREAAKELEEKNDNRVTCTQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESAHHYSNKRKRIMPTNEEENSNSRSLKKLKKAPKSSFSSEALSPKHFLEGKQLKLKDELNDSDPKSGARIKSDGRISVPCMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHSVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSELRGQACEYIYDKLDSKLDMDQTQMLLNVISELPNNELLLQKHFMAVLSSVWRSKSARESHCVMSYSNTLQKPGRLSENWSMTNFRPNFNLVRTALADAQVQCPRMVVPTSNHESRRNFLELELDFLTDRDDYEADFPSVVNVSILEPESLKHAVEPVEHSLLSTLSYRHAENRFRMVSETCFEGEGSHWASSAFHTYDAGRHKSGPKSIGKHKASSESGRPPKSKIQRTTEPQEVPVTNNFQRIPGQLLHNSAEFHITQSLSDLGISDSEFTYFDNLPQEAETEFVPYQYDSDVLSGIEELDPLTDFTDIG >KN539661.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539661.1:41814:42059:1 gene:KN539661.1_FG003 transcript:KN539661.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQLDKLDGHAPAPTSWSEVSKALEDIKPTLNSNTTENAKSPHGRRWRRGAEVVSAAGGSPVVVDGGEEADAMAGGARG >KN539661.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539661.1:2211:11999:-1 gene:KN539661.1_FG004 transcript:KN539661.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRDAVGGLDRDPFVSLLGKLIGESRRLQNDPPALVPQEDLVAQHVVDALLPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRAVSFVGMHMDVVPANPDEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPVLKHSVIVVFIANEENSLITGIGVDGLVKDGLLDKLKNGPLFWIDTADKQPCIGTGGVITWHLKAIGKLFHSGLAHKAINAMELNMEALKEIQTMFYNDFPPHEKEKLYKFATPSTIKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTTSVVKKLQEYVHDINENIEKLPTRGPVSKYVLPDENLRGRLIVNSPLLTIDLARLEITIDEDIMNGVACNLESRGFQALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFLSIISQLEADV >KN539661.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539661.1:19271:34313:-1 gene:KN539661.1_FG005 transcript:KN539661.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPTATEFTQEAVRQSLIEISQSLPEKPSAQNLEMLTSCFVKDWFLPVFPAEWDLGVSIRLAAENNGAAVRHTASIKELLSLTCQLSNLSSGPLFLSGPIDRAGQLSGPLDPAVPFSGPLPAKPPKPASSSSRGFSRRFRKPSFGSLRRSVSEKNRPCAVPLRRDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVTNLYRFLLRELRGIFYKEADADNKKLWQFLVDGDDDDSELDFSGSGRFALSLDRLKESRFHMWAHAAADESSREWGSRRLAPAPASMGTHPELAVTGACLLVALVRDDNVYVMNLGDSRAIVAQRPDDGDDGCAFGTMRRMEDVGVGLEIETRPAGCAIIGLKPLQLSTDHSTSIEEEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNDYIGDTPYISCTPSLCHHKLTARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >KN539661.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539661.1:81077:81382:1 gene:KN539661.1_FG006 transcript:KN539661.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRPFLLNVFFSKRFVHAKVVHRGTSKVISVASTNAKDLRTTLPSLVDDNACRTIGRLIAERSMDADVFAMSYEPKKNERIEGKLGIVIDTIKEHGIIFV >KN539661.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539661.1:15451:16639:-1 gene:KN539661.1_FG007 transcript:KN539661.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIEEWLFVYGRTTCPATMQPLSNFDLTPNHTLKRVISSWLDRGSSSSSPSTSTLSSPIHELATPLSRALEQERLLAALAELEETPFKVTKLKSMRARMAGDVAMQGEFVASGGLLSDEASTRLSSRALDVLLDVVERARGARAKAVEVGAVHVLVELLADADDRRVTERLAVKVLWLVSVVAPSEKVLEDMMLTGAVAKLLGLLHVESSPSTKQKTVRMVRIHGVVWRQYACFPTDFRDYLRLLD >KN541563.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541563.1:18285:18659:1 gene:KN541563.1_FG001 transcript:KN541563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGEEADSVRAFRTRCPLHQEVGSCVLELGPIERWALALRVRKAEEVLWGRIDNYQHWIAPPRGFGDDDNEDGDDRLLGMEAMAVIAPWYANDNENDVECSWA >KN539661.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539661.1:55773:58868:1 gene:KN539661.1_FG008 transcript:KN539661.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDGDMKMRVIVVEGDETSNAPKDVCCEYTLDGSVDIKGSPAVKGKSGGWLAGGLILRYVEYDIALPQFGFIAAFMGELSGALFALNQGLATLAFFGVNVNLVLFLTRVLQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSLSSRLYLIRPVGCGTEHVPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDGEDPAESHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWVSTAAAATALLLFLGGTLRYRYIRPSGNPVGRIFQVAFAACRNWKAGESPGAVTLYESDEKADSGGRKLLHTEGFRFLDRAAVVGANPKLGTCTQPRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVATTIFLYRRAVCPLVSRLTGRRTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAGATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGEMPDALKSFGSALCMMSMSLGNYFSDVIVSAVTKATAVRGHPGWIPADLNEGHLDKFFFLLAVLAVADFAVYLVCASRYRSGTVDVDRSDGEEEDGVAGGQMAATV >AMDW01061181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061181.1:552:728:1 gene:AMDW01061181.1_FG001 transcript:AMDW01061181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WCRQNGRLLLVYDYMPNGSLDKHIFGEPGAAVLDWKQRYNVVAGVASALNYLHHEYDQM >KN540037.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540037.1:9882:17404:1 gene:KN540037.1_FG001 transcript:KN540037.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRALPIGDGVFADVSGLAGGRGEVTGSGGGSWLQFVSCVRSKVTCSFPSVGASSSLARSVEPVRATATQAPLATHQSSSTEKTKVGINGFGRIGRLVLRIATNRHDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVVDESTLEINGKKISVTSKRDPSDIPWGNFGAEYVVESSGVFTTTEKASAHLKGGARKVVISAPSADAPMFVVGVNEKNYNPSMNVVSNASCTTNCLAPLAKIVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTTNVSVVDLTCRLEKSASYEDVKAAIKEASESSLKGILGYTDEDVVSNDFVGDTRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKP >KN540037.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540037.1:65868:68481:-1 gene:KN540037.1_FG002 transcript:KN540037.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRGLGVSELLRTKEAKAFTATWRSDSSMVVVGSAESFTSIMSKVEGLDHGLMDALDFLFAAEKLPAVFYYTAVWCGPCRAMAPVISKLSSRYPKIPIYKVDIDMDGVGSKLSDLKIFSVATIEVVKEYDREVARCLHVPSINVFDIDISEPSGCFLSC >KN540037.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540037.1:48616:52871:-1 gene:KN540037.1_FG003 transcript:KN540037.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLGCCASICPHCAPSHRHHPLLQYLKFLTVFGISDYACDVRRYVYNDVVRLDDLDKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKVDHVMMQGGDLSNILYMSGGSGEPDLAAGFPRFENLRVDGGTPKDWLPILYLL >KN543473.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543473.1:1616:3115:-1 gene:KN543473.1_FG001 transcript:KN543473.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYTPYWIPGQQHPGMASSSAQGLWWASPSSANMEDSDLQVWGVDSTPPGGLLNFLNKNIPNHSPAQTVINDSDTEERPIGGKKAKELQTRKRNDQSCIINLEDELQTFVEAQNKANEGRKEMLETQKRVSSENLEARKLAYLAAKESKESAMLETYRELLKQDTTAMAEDVRSEHVLALRCFREKLFDNNN >KN541891.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541891.1:1288:4067:1 gene:KN541891.1_FG001 transcript:KN541891.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAVPPAVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLAENAFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGWLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSKLTDLTDFSVPGNRISGSIPASIKLLVKLNSLNLSSNRITGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNVSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDGQDVTDWKMTQFTPLDFSESDVLGNIREENVIGSGGSGKAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPGKQNSRFAEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKGSRRRSSESGRWDDDDDDDSGNFVVHVV >KN543473.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543473.1:7148:7459:1 gene:KN543473.1_FG002 transcript:KN543473.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCHGLWSPPATLRFDISGTGSTGAKRHRHVQDDKNERNEQRNQEPTKRKEEMHPRSPVVSCSTPGDGRRSCPFFGDLEHSCPGHGKKAAGLPSNSLGFALL >AMDW01038912.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038912.1:11:403:1 gene:AMDW01038912.1_FG001 transcript:AMDW01038912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RKAFGLPIDEPGRYDVDETEVYGKDYYDPSKPMYRYDYWGEPKNTEKTKLEREVELHNQQVIGDAKKWCEMSYDDYVRQKVRMEAAEARERQRKASEPQEEEEEYDDEMDLDLKKMMDPQAPHNRCFITK >KN541891.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541891.1:19777:21646:-1 gene:KN541891.1_FG002 transcript:KN541891.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding TVRIAANLQGLVFSNHQSYRLPHILQPVLSSPSTKKHSPSSLAASAPEIAGAAGIDSHRFSSTSRPQAMVSGATSSSMAGDEAAHRKPKRKWRAKSANEDASGDEPPESKGPNLTRCSAALASEACCVLSNTHHEKLGEIGLDAVAFMTLESLKKTNPDTMCPSIDGERKIQITPHTVKLVMGTPLGGHDIVIPPNKVVRSVHDRITQELGIARNGRISAKMLIEVIKNQKDDPTAVRFLVMVLMSKLLLPTTDFYIPKSDVWVATGLDWVAAIDWSKAVFQALSDTIRC >KN541891.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541891.1:12921:14111:-1 gene:KN541891.1_FG003 transcript:KN541891.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLNLSSNRFSGAVPPAVAALPALRSLLLDTNGFTGAYPAAEIGKLAGLECLSLSDNEFAPAPVPVAFAKLTKLTYLGMSKMNIIGEIPEALSSLTELTKLDLSSKNLTGAIPAWVWRHEKLEYLYLYESNLTGELPRNVTTVNLIEIDLSTNQLRGEISEDFGNLRNLTGLFLYKNNLTGTIPASIGLLPKLGDILLFQNNLSGELPPDLGKYSPLWNIDISNNNLSGPLPEMLCANGKLRYVTANNNNFSGNLPVNLGDCVMLSYLVLDNNRFSGDFPEKIWLLPKLNTVEIQNNDFTGALPAVMSPNIEYIEMGNNMFSGSIPRTAISLLGFWAENNQLDGELPADMSKLANLTHLSVPDNHITGPIPASIKLLLNLNSLNLSGNQLTGPIP >KN540659.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540659.1:38419:38631:1 gene:KN540659.1_FG001 transcript:KN540659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPNGLLMLTNGTNQLKGHAFFPAPLQFHRAPNSTAMQSFSTAFVIGIIGAFVDQGSGSPAAAGRHN >AMDW01039882.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039882.1:88:1089:-1 gene:AMDW01039882.1_FG001 transcript:AMDW01039882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TLQKTKSVLHKLKQQIVEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHSMGFLTTPYAFNPEEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLDDSVKRVQAIADAALGINPDIIVLCHG >KN542520.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542520.1:4749:7362:1 gene:KN542520.1_FG001 transcript:KN542520.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESWGEEDDGDYEDETTIWSHHVCKGGVTHNMIDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKIIYRNINILILYRGRNYDPKQRPEIPLMLWKPLAPIYPRLVQNVADGLTFEKTKELRNMGLNSPPLMKLTRNGVYVNVVDRVREAFKTIEVLRLDCSHVGSSDWKKIGVKLRVRLPKKKSSTIYFYES >KN542520.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542520.1:13341:14612:-1 gene:KN542520.1_FG002 transcript:KN542520.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKQ >AMDW01040223.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040223.1:322:1301:-1 gene:AMDW01040223.1_FG001 transcript:AMDW01040223.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIHAILSDGTIVTDVEAFRKLYEEVGLGWIYAVTKYEP >AMDW01038202.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038202.1:364:635:1 gene:AMDW01038202.1_FG001 transcript:AMDW01038202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESTSQGKSNSGVISAQQAEDLRLKEKKRREREKNLPRKKRRRLEAEREMLEDESEDEEEAK >KN541543.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541543.1:20655:26800:-1 gene:KN541543.1_FG001 transcript:KN541543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHPCHIGPTSVSSITKCGDSWMPQRIVAGMVMDDGGAMPVVILNVGTWRQPRQSERVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >AMDW01040751.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040751.1:704:2072:1 gene:AMDW01040751.1_FG001 transcript:AMDW01040751.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFN >KN543676.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543676.1:5556:5838:-1 gene:KN543676.1_FG001 transcript:KN543676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSWSDSKNADLLELDGAEERLSLCRTDVLNAGSLRAAFSGCHGVSNDHVISLANTTAAKEKKAA >AMDW01142714.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01142714.1:217:521:1 gene:AMDW01142714.1_FG001 transcript:AMDW01142714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKAVYSFQEVSKHNDRKDCWLIIAGKVYDVSPFMEEHPGGDEVLLACT >AMDW01040879.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040879.1:235:3025:1 gene:AMDW01040879.1_FG001 transcript:AMDW01040879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QIFHGSTGGVSGTLQQVQARNQQLQASTQEIKVDTNAAVHMRAAGADGSLIGVPGANPAGNNLTLKGWPLTGLDQLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNMTSSPGEMDSRRLRMLLSSRNIVPGRDGQSNAYTEVIPSVGPSLQNMCSPVQRMETDMLMKKIAAIQQHQQSSNQQQLLQHSLLSQQPPISNHLPGQQEKMGAGSVTIDGSLSNSFRGSEQVSKNQNGRKRKQPISSSGPANSSGTGNTAVPSSEPSTPSSQSPGDTISMPSLHHNASLSKALVVYGTSTAGTMGSPSNQLADMDRFVEDGCLEDHVDSFLSHDDADRRDGSRMESTKGFIFREVSSVQASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSVLEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADN >KN541663.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541663.1:1917:3445:-1 gene:KN541663.1_FG001 transcript:KN541663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATSKNVVVLFPFPGHGHLAAFLSFAGVLHRALPDVAITLVSTPRNVASLRRATSAGHDSFLGLHELPFVPADHGLPAGWESSDGVPHNRFPDFLEALEVLQPAFDDFVAGATAAGDVAVCVVSDPFLAWTVTVARRRGCAHAFFASCGAFGSAVVHSLWSHLPIRPDEAGRILLPEYPDVVIHRSQVSSNVLHPPTAVKHRVEAFFGRQIPLGYKTDALLINTVEEFEPTGLAMLRRTFRLPVIPIGPLVRASTKTTSPETDATAGAITSFLDSHPPSSVLYVSFGSQFSIQAEHMAELAAALEATGRPFVWAVKPPDGHNINGEIQPKWLPDGFEERVTATKKGLLLHGWAPQVGILAHHSTGAFLSHCGWNSVLESMTHGVPIIGWPLAGDQYYNAKMLDEEWGACLRVEVDMRAIIVDKATLVAVVETVMSPTAKAAEMRQRARAIKEIMEAAREGGHGSSANQALEEFFKTMKLNG >KN541663.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541663.1:20805:21659:1 gene:KN541663.1_FG002 transcript:KN541663.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVEEYPYQRVPKVAFLFLTRGPLPFAPLWERFFHGHEGLYSVYVHALPEYRLNVSSSSPFHGRQIPSGDVSWGSITLVDAEKRLLANALLDFSNERFVLASESCVPVFNFPTVYEYLVNSAQSYVESYNIDVPQCAGRYNPRMAPDVLEEQWRKGSEWFEMSRDLAADIVADRKYHAIFRKHCTPSCYPDEHYIPTYLHLRHGARNANRTVTWVDWSRGGPHPARFGKATVTPAFVQAIRNNGTRCAYNGKPTTVCYLFARKFAPSALGPLLNMSTTLLEF >AMDW01040870.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040870.1:101:2585:1 gene:AMDW01040870.1_FG001 transcript:AMDW01040870.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEE >KN541726.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541726.1:2369:3438:1 gene:KN541726.1_FG001 transcript:KN541726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEPDKDKALPKPRPPKPKPKPPKPEPELNTRSASALAPEHDHTRLTGSRRPGPCAAAPTPLPAATFALPVCRLGASLRWTVVACIAPLRNRRPPQPQSHVVATPRKIPTGQRPAATRSPNPKPADKTDTMGQVGFAKVINGPFYAYRFSYMTSNPPLLLFFFLAPSETEFFASSLLPALSSCCHREMLYT >KN541726.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541726.1:14505:18853:1 gene:KN541726.1_FG002 transcript:KN541726.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPPTVCYSMGTINSLVEKITPLLENHPMIEDLLQDLKNLREDLMNKFAAGGRASGGERVKVWMKQVREMVYDMEDWIDLKLQEEGANLSQSDKPRMDGFRSLIQDARGRCERYELLERAAAATANSDAGAGRPGEVAGGRLLWEEKTVLVGIDAPKSELLNHLSSEQKELKVVSILGAGGHGKTALAREIYRDICTTKQFECQAFVSVGRTTSTRTALIELLRQVKSDTNAPQSRSYNDEKQVSEIITELFRFLKTKRYFICIDDIRSTQDSESITCALPDNNLGSRILTTSRMKDIAKLCSRRPSDVVYEMMSLGEDNTRSLFLNNVYVQEEEWPDHFKESSKKLFKVCGDVPFAIIITAGLLGRTSAELSARSERLTKTILSELDQFDSAPQVMGKILDISYGDLPLPLKSCFLYMAAFIGNHGIIKRGRLIQRWVAEGLIPERHGKSWLETGTSYFDELISRRLIQPAFDDNDDQPIGCTVHGVVADFVASLSTEENFITMGAELKSGGLFPCDRVRRVRLDYSGEDEADTSFSTTYCLLEQKSWVCSDEKSSSDIDEAISLHLSRVRSLAFLGDATRIPDVSALKHMRVLDLEDTKGLENKHLGSIGQLSLLRYLGLCGTNVTVLPPEVMALKQLTTLNLRQTRVKRLPKFGDTKLVSLLAHQLTILPRGMGVMAELEELSEVLVGQDGSHAGDVARLIAELGQLRMLGVRFGRLFNNQETDRRGVKHLLEEMAKSNLQSLLLDNYLHHLLDLLIASWAKKRPQYLRKFELRIPGCLPLVPPDIGSLIALTHLHIHVDAVEPQAVHALGCLPNLVVLRLELSTDPTLTVCGTDGFQCLKVFWYGGGGNGIGLQFEVGAMPQLRRLRLDLDAREAMLNYGDLQFGIQHLPCLVHVHAIIQCKDASAGTEVDGMEAFIRDQVSLNPNDPLLEINTRVQRSVAKAAEGAVIAIHNREGWMNQIDPDKLMVVDFSTSWCPASRRMAPVFADLAKMYPNVVFLTVDVDDNDEMSTVAKQFGVNGVPTFLFMKGGYVQDRVVGAEKEELEEKLQEHAALML >KN541726.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541726.1:6870:10422:-1 gene:KN541726.1_FG003 transcript:KN541726.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METEGAFTNLHSILSPKRYLVFIDDVEQAQVWKDTVHAFPDNGMNSRVIVTTSVHSVAAACSAGSYVYSMQCLSDDDSKSLFWRRVFGYQRIPPHSLVMDSESIFSKCGGLPLALASVAKHLNVKGVRLDSSHCKEVGQNLGRDYLQSGNGIFKGMRRVLTQCYDSLPDYEHKSCLLYLSIFPRGHQIKSKSLVRRLRAEGLVVKEGCKCFDELVDRCIIEPVPIINNSGVVKSCQVHGIVLEFIIQKSVEKNVVALIRGHDPVLKNSAETCVRRLSIQSSTKERFDELADKSALRSLTMFKSEPFDFRSCKMLRLLDLEGCTDLDKRFLEGLCQLLLLRYLSLRRTGINKLPTQIEKLQRLETLDIRETKVEKLPMQVIMLPKLAYLFGRFQLPDVPNGKVTNTLSEFLKKKSSLHTLAGFVANKRQSPEHVILLARNLKKVKLWCNEDARKSFLGPDLGAPSESVSIVSSGLCKDFLGSLEGLCNISSIKLRGNLDRLPDSNKLGELGRIKKLHLFSTGLSIEVLSALQCLRGLEYLKLVEHSDIFCNGIFIVEKNGFESLKSLWIESPMPPKMRFNEGAMESLTSLHLLCPHSQMQQPSEIVEGISHLSNLSEVILHRSMQRAWETLANGHPNRPCVKRQPEPAASTEA >KN542242.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542242.1:2348:2584:1 gene:KN542242.1_FG001 transcript:KN542242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVASRHRRDGAMVAPTSDQATHGSSGRCPRGCAQGGGARRGATSAGRKMKTAVSVEAEVLDSIGGEILRNVGQLY >KN538873.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538873.1:63553:66606:-1 gene:KN538873.1_FG001 transcript:KN538873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGGEGEGCGEAETGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKALTSRGTPVKRREKYARREDAILHALELERKQLASKYQNQGFRSDDISSVPFADMRREFDNSSTEYYSRNNTQKPQFPLGNSASQHCKDLSSTRYKSKKSKKRKGDSSNLPGKTKGLEQNFPYAGSKRDFSESLALEGAENTLSNRNNGSSHLGHMQAGPNLGSDGKNTPLTKKISEESVFEESLVKKHDRCRPLAQVVQSSLKLPHSFQRDDDPGPVLIEEGNDPLTTIYQAQQGWSTYMPNDSGETNNHGDIPPTQITSTGAHFETEGYLKQPDSFSAEQKNSEFAEKQRSDSCERECSETETEDDAELLQSRCLHLSLPFL >KN542242.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542242.1:14339:16922:-1 gene:KN542242.1_FG002 transcript:KN542242.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LPISHGPEVRFVNDHREKPTSYSDEFITLESRILTGNIFGSVDILNCDTQNHLLSILKSSGNIVKFAYANEIHSSAAKLFARKQWLVVGYHDGFIRVYTYESPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQVSCFDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGKVGAIVCLKGSKRCELKEA >KN542242.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542242.1:6763:11249:1 gene:KN542242.1_FG003 transcript:KN542242.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIGGMLASAVIKETTRKLGSAIGGEINLHRNFRRDLESLKDALESIEAVLEDAERRSIKEKTVQLWLQRLKNASYDISDMLEEFEAETTRHAARKSSLKIFHLTNITMAHKMKEMRYTLKDITEQYQSFGFKQVSISSKQQVLDKRETSSMEGEEFIVGRIEEKQTFILSCLSDNINNKITILPIYGIGGIGKTTFAKMVFNDIQFEDHSHVWVYVSQTFDLNKIGNSIISALSKEQSELTTRQMINTFLVEPLDDRKILIVLDDLWVIDDSELNELKSMLKHIGNGSTKVIVIVTTRDKKCADKICTIEPYELPPLTDDMCWTIIKQKVEFEGRPNKDQLELVGRAIALKCGGVALAAEALGYMLRSMMIHKWESVRDSDMWNEFNSEDRSNQHHKVISSLMLSYNSMPPYLKLCFAYCATFAKGHKIVKDDLIYQWISLGFVEPPGIFSTWENGENYVSHLMGMSFLQYAKSPLVSHWLHQHLQSFCYFL >KN538873.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538873.1:37224:41920:1 gene:KN538873.1_FG002 transcript:KN538873.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHMPCRRASSTPVATAAAPALALGLALLAATPHGVLSQGIMALPPLPNPPPPINLTCDDIAYPFGLRGKYAPGFQVTCGPNNEAMLPIGGHSFRIDQVSLQEGFVVIFAGPISQLCYDRNGRPAAGSTRIGPTNLTGTPFFFSKRNRLVATGCHHSFLATFTSSLPNQSPSSISGCVTQCSGNPDGLCPGTACCKAEMPTDGAQEFTFKFSRTSASVKGEEAGTCSAAFILDGDEEQIVNISGDGRRMPFGERRMVLDWVIGRNTCEQARSNNYKPQYHCNNVSSCIDASSGAGYFCRCKAGYDQYHGNPYEADGCQGVGLALTVTLATTLLCYYWTMKKRKVARKRAELFRKNGGLLLQQRFSMIPSQGEESSAKIFSAEELKNATDNYSESRILGRGGNGTVYKGILSNKTTIAIKKSILFDESQVEQFVNEITILSQTDHPNVVKLLGCCLETKVPLLVYEYIPNGTLFQHIHNRSATLSLTWEDCLRIAEETAEALAYLHSTSSTPIIHRDIKSSNILLDENFVAKISDFGASRSVPSDHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLDERRLLQEIEPHILVEAGEEQIYAVAQLSVRCLNVKGEERPVMREVASVLHSLRESFAKEKAIINNDESIQITYEQESVHSEARPMSSLQSSEETTTQYSLPSEILASSHLAR >KN538873.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538873.1:1389:3359:-1 gene:KN538873.1_FG003 transcript:KN538873.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSKGRVIAGSFVARVLAGKAASPRRFVSASAYDKNVEEQVRPAVVPDDVIGSVGSPDKYWGPHPTTGVFGPAAVDAKAAAAGGTAKAGANGGASVLDQKVWFRPLEDVEKPPVA >KN538873.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538873.1:119563:123250:-1 gene:KN538873.1_FG004 transcript:KN538873.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILRHVGGVRLFTASAPSPAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMDEPEPQPKSGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTKVFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAALVNFDKI >KN538873.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538873.1:94:401:1 gene:KN538873.1_FG005 transcript:KN538873.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAMGSSNKKSTELAAMEDSNEVKVVEKQKEDPVELVKLSLRTLRAKLKEKLTKHKRKEAKRVALGRLDENVC >KN538873.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538873.1:124157:126950:-1 gene:KN538873.1_FG006 transcript:KN538873.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARIFPARMASTSSAGADVKEGAAKKLPEPAAAATDPQNKKAVVSYWGIQPPKLVKEDGTEWKWLSFRPWDTYTSDTSIDVTKHHEPKGLPDKLAYWTVRSLAVPRDLFSQRRHASHALLLETVAGVPGMIVVDVVSTYTAMEALSKATSQMFLAAYSIDCEYLISNRAVQSRSGIVSG >KN538873.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538873.1:109734:118891:1 gene:KN538873.1_FG007 transcript:KN538873.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKVFDQAVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHGTTLSELSHATKKFTGALYYEFYIGHYANTNGKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETILGLINDKEKIKSVREKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTREGDSYGDSYRDKEPVKSSPSYTGGQKSGSRIRKDVNRRNEDSPTSLKSNAKGNEDDFDDFDPRGSSSNGAANTNTSGVDLFAPNLLDDFIDVPAAATHETNDSADAQVDLFADADFQSAIPSTETAAGSDVQGNVDLFAEQPAFTAAFPPQTGFIPPPSSVTSEANTSTSKNTTPEPFDPFGAIPINSFDGSDPFGAFNSNVGSSSIPPPTQSSVGNISTPSQNPQAASDFGGFVSSTVETAAKDPFDFSSSNLGKTPLADPKADASDFGAFVSHSEEVAKDPFDLSSSTSSGRTNQAPLAAPKSDTKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADVGIVGGLDDGSDDKALPSWTMGAGEATPKSVLRLMGMKGLTLYHLKSHLQVQKKLQMRIEAQGRYLKEILDKAQKNISPDANGSANLSSTRSQITDINLALSSFMDNATQVQEENNELMKPISDDNLKVNNLGFQLYHLGSQESKDVKCTPKTEELLLLDLNIQGGYELSSRGMQGCELDLKINQQRR >KN538873.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538873.1:74863:83870:1 gene:KN538873.1_FG008 transcript:KN538873.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHNGVAKNSTPDKVQFSILFGRVDGLAKLKPLLSRANLLIARDIEWANIVLAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKIVSRNVLTLFHGFNLVRRNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLSLPERAVALALAVSLDCDYFSKRGGWGLPFLIATE >KN538873.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538873.1:25732:26883:1 gene:KN538873.1_FG009 transcript:KN538873.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKVARNRAELFRKNGGLLLQQRFSMMTSQGEDSSAKIFSAEELKDATDNYSEGRILGRGGSGMVYKGILPNNTMVAIKKSILFDESQVEQFANEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSSLRWEDCLRIAEETAEALDYLHSTSSTPIIHRDIKSSNILLDENLMAKISDFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSSKLTEKSDVYSFGVVLAELLTRQKPISASRPEESCNLAMYIVNLFNERRLLQEIEPHILAEAGEEQIHAVAQLSVRCLNLKGEERPVMREVASVLHGLRESFDEEQIIRRSNESIQISNGQDSVHSEARPIPSLQSSGEITTEYSLPAEILPSSYLAR >KN538873.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538873.1:9208:9708:1 gene:KN538873.1_FG010 transcript:KN538873.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARIMRNRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >KN538873.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538873.1:48603:52348:-1 gene:KN538873.1_FG011 transcript:KN538873.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGGAPGAGQAGGVGGDEGAGNQSPYGPELQVVGGLRLPRVEADEVTCRSCGRAGVAVSDADGKIYQWFHHGKSTSSLYPVRSGGHYPLEYKLFSPAIPCSFPLNISSSVPKWYLRLLMAKMMLYPLLLPSLTCIFASYVHSMESSTSKCSNIPIPYPFGILGGNPSPAQGFEITCASSGPMVRINNIMFGILNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTALGCDMVAMLLNGSSGYSGGCASFCSTKSNIIDGMCSGVACCQAPVPKGLKKLELEFTNITGQLSRPKEVNNTPTCGEAFIVEQNSYVFSSVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRSMSYACKENSYCYNSSNGIGYRCNCSLGFQGNPYLQGPDGCQVTGLALLLLLLVLIFWTHWLVKKRKLAKIRQRYFMQNGGMLLKQKMFSQGAPLRIFTSSELEKATNSFSDDNIIGRGGFGIVYKGILSNQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVQLLGCCLETELPLLVYEFITNGALFSHLQNTSVLISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPHNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPISDGRTDDVRNLACHFSMLFYQNQLLEIVDSQVAEEAGTKHVKTVAQLALRCLRSRGEERPRMIEVAIELEALRRLMKQHLVLQTEEDPLLCESGQHADVNIEASSEFEP >KN538873.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538873.1:70454:72762:-1 gene:KN538873.1_FG012 transcript:KN538873.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGAGYFEDSHDQSLMAGSLIHDSNQAPASSENTSIDLQKFKVHPYSTEALSNTANLAEAARAINHLQHQLEIDLEQEVPPVETANWDPAICTIPDHIINHQFSEDPQNILVEQQIQQYDSALYPNGVYTPAPDLLNLMQCTMAPAFPATTSVFGDTTLNGTNYLDLNGELTGVAAVPDSGSGLMFASDSALQLGYHGTQSHLIKDICHSLPQNYGLFPSEDERDVIIGVGSGDLFQEIDDRQFDSVLECRRGKGEFGKGKGKANFATERERREQLNVKFRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNRRKVLKLDQEAAADGESSSMRPVRDDQDNQLHGAIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKANSLLHAAKVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSAVYACAVAKRLLQAVDVQHQALDIFN >KN538873.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538873.1:145592:153882:-1 gene:KN538873.1_FG013 transcript:KN538873.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding GYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVAPHSQAPATAPAAAVTSTAFQNHSLYPAIANGGGANGGGGGGGGGGNAPGSFALGSNTQLHMDNAASYSTVAGAGNKDFRYSAYGVRPLADEHSPLITGAMDTSIDNSWRLLPSQTSTFSVSSYPMLGNLSELDQNTICSLPKAEREPLSFFGSDYVTVDSGKQENQTLRPFFDEWPKARDSWPDLADDNSLATFSATQLSISIPMATSDFSTTSSRSPNGIYSRWRVVLRAFLPTTGSEEGKLQEKGMLRFAAPAQIVTLHPFEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERALLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKTLERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKSLDAEVQALEELSKQLFLEIYELRQAKIAAAFSRTWRGHAQNLLGYALSVYCVYKMLKSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLIFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQADKHPID >KN538873.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538873.1:87589:101622:1 gene:KN538873.1_FG014 transcript:KN538873.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPRSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTLIKSLFAPTYMDEHCLALCTEQLLSINLNQSQVDAIESVISAVQCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLLDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPRISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDAIESRLGKMYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRREVLQKLIKLGVGWRTTMKNLNFNVIDPFQLAKVYKVRDLYLVWSTDIEKSERKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILQMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFRKFLMMLDGTCKTSFFGTFCGELKSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYESMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPELEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQAGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFELGKSSLQNASEIFESIGKHEKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQQGLLLLQQLEEHLLENSSLVEVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNVLLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDRYVDFWINELYSVGLSVLKKLESIVQILPTPSCSLGRTILVIYEIAKFLKESEFGMPKNTIKYYSILCERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVQYLDRGAEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGFLATTHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLVITLYLVTVNQDVGDLYEVTAFLEKNHVFTDLPPEFSEKIRNALRMKSRTVKNFMRVFADALATIGTRMVVMGDIKKAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVRNKICNATSGHFLLTSVGGSQKSTSNFNLTDVDHPFLEKFEAFQVNMPQKKNGSLISQILRSPLSWIEQRAPPVQMLELRNICKQVEEQHVREKRALSMKDLHSNREHGGGEKNIGKIVDVQGSQSNPDRAGEWSGCSDDEQETGGSNVVESTKEAPAAASSGPSSKNKPQKKKKSKKSKRSGRK >KN538873.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538873.1:102565:108448:1 gene:KN538873.1_FG015 transcript:KN538873.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLGRATRTAASCSSALLRSPLDSFSGRFRSPLLRPAPAPSTVFPRGLSDAAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEVHDFSMPDRVNAINPGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKTDFVDDAELEVLINKIKLINGMAQMRKTKFGDVDMDFVLGIGGYDLDRIESEVQLHERKETGHCHAGEEHGHQHHHGHVHDSAVSSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGIISVNESTGRFVFQGVHSILEGCPAKPWEPDEKRVNKLVFIGRNLDEAALRKAFKGCLLLALDLIGEEQPGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTELRADAASIQAKPAKQRRYIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGNSVYLWDATNSSTSELVTVDEDNGPVTSVSWAPDGRHIAIGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYQGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLVWNKNERELLSSHGFAQNQLTLWKYPSMVKMAELTGHTSRVLFTAQSPDGLTVASAAADETLRFWNVFGAPEAPKTATKGSHTGMFNNSNHIHIR >KN538873.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538873.1:42803:45308:-1 gene:KN538873.1_FG016 transcript:KN538873.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMVLAQLLLLCLLSLSSSSSSQISPVKCPNSSVDIPFPFFIATNSSLTSTPGFAISCRQTGPMILLGGNYSVLNISLLEGYVRVTGQTVYSSQCHNNSQGIIDLTATNYMFSHTQNKFTAVGCDAMAMIRNSSDVVGSTNSTVMSRYSGGCVSFCASNGSIISGECSGVGCCQSSVPKGLNKLDLEFTSIRDQLMPPTSVVGSGSTRCSKAFIADQDSYVFSRHDLYKDLGNLPMVLDWYIQGGNCKEANRSRKTYMCKENSYCYEVEDGAGYRCNCSGGYTGNPYIGCVGGSLGLMAVLIVLGFWTYWIVKKRRLAKQKQRYFLQNGGLLLQQQIFTHQAPARIFTTSELEDATNNFSDDRIVGRGGYGTVYKGILSDQTIVAIKKSKLVDQSQMEQFINELIVLSQIDHKNVVKILGCCLETEVPLLVYEFISNGALFHHLHNTNLVPISWEHRLRIATETASALANLHLARKVPIIHRDVKSANILIDENYTAKVSDFGASRLVPSNQTHVTTLVQGTLGYLDPEYFYTSQLTDKSDVYSFGVVLVELLTRQKPISYHRQEEGINLASHFTALAQQNRLQEIVDCVVVKEAGMRHVNVVSHLILKCLKLKGEERPRMVEVAIELEALRRLMKQHLSLKSEKALRELMEQQSAEDCQEMQLLQEESGQEKMSKIEPLKLYHRDIASDKCMESSPLLSMDLPW >KN538873.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538873.1:11530:13533:-1 gene:KN538873.1_FG017 transcript:KN538873.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGMGDDDSGRRMVTGKKQAKKGSAARKGKKARRKKVDDLRLDMQEDEMDCCDEDGGGGSESTESSAGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSSHAAAAAALPPPLF >KN538873.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538873.1:53649:58590:-1 gene:KN538873.1_FG018 transcript:KN538873.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGGEGEGCGEAETGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKALTSRGTPVKRREKYARREDAILHALELERKQLASKYQNQGFRSDDISSVPFADMRREFDNSSTEYYSRNNTQKPQFPLGNSASQHCKDLSSTRYKSKKSKKRKGDSSNLPGKTKGLEQNFPYAGSKRDFSESLALEGAENTLSNRNNGSSHLGHMQAGPNLGSDGKNTPLTKKISEESVFEESLVKKHDRCRPLAQVVQSSLKLPHSFQRDDDPGPVLIEEGNDPLTTIYQAQQGWSTYMPNDSGETNNHGDIPPTQITSTGAHFETEGYLKQPDSFSAEQKNSEFAEKQRSDSCERECSETETEDDAELLQRYAKRQSPGSDACDPYSIQASKKSRHVDGDVADDMVAFSTGIPQQNVLKDEDGSSELGVSQWHMKGKRNQRSALKRPMGKTDGNISLDRSNSSLKGSLYRVNESNQNMESTGASSHQYFGRSFYQTQELDYDYDDADLTNKARGHAEVRYYGKDYPPSLTPTRDLEQSYTSFNNTETYCKTSPPNKNGDQMSSLGRKACLEGASLYRQNYSSQLGYMGPMLFNVDLNVQAGYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVLASDDFLEHSTSASPAWRTGRRTAMPRIPRSNSTRVTLDDGDDEGLWDMNPPFSRSSTPFNQQFRLSKRSNTSFRSPLSHRSQKKPSNSKKGSSSSQKVRALSSISIGKRHHGEGRQAKLHNILGDLIKPEGAIPLVTCVPAKVVFSRIMEAVGRPSLSIAHRARVASPAIRDAQR >KN538873.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538873.1:128920:137939:-1 gene:KN538873.1_FG019 transcript:KN538873.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHVWSKCSIHREREADRERGSEKMLACIACSSKEGGEDGSRGAATPHGRDAVKSLTSQLKDMVLKFSGSNKHQHYKAATAGSPSFRSRSYRCPYPGFIDDSAFMTTTRPGGDQAYMYTRAAAAPPVRAASTSMATWDMTRSKSNRGWQQDAGRSPGGTTWIQSIEEEAGADEVTVVEDAVPREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREIFNKWEAQRWWGENYDRIVELYNVQTFSGRQQGVSTPTSSVDDSVLTFSGRQQGVSTPTSSVDDSVLVAGRGLVRTGRRFGGSTTETEYKHSICNKACHLCMRRGDQEKKGNRLGRGVDLRSSKVCELGLLNYRAKHVFYPSSNRKFRCHDDYYWASVFEVEYTEYFSGQTSYAVAEAPKEALPQNCRPDFGVAWSTTLKFKVNESYSCRYTLGSSKADIYSDRLFNCTSEEPSTIEMLKRIFVLLSKSYMLEDFNSIGMLGYVMAGVVAGILSALLITILLRSLRGLVLAVAGSSYSKMIGLKELLLDYEIMERFL >KN538873.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538873.1:22844:24809:1 gene:KN538873.1_FG020 transcript:KN538873.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRRASTPAAAAAADPAVAAWPLTPLVLALAVFSAMPHVALSRGRENGWPPLEVNSQLTKTKNLSCDEVPYPFGLKGKSAPGFRVTCRENDSAAMLRIGHQKFRIDQVSSQEGFVVIFAGPIYRLCYDRNGRPAVVSTGIGPTNLTDTPFFFSERNTLVATGCYSSFNATFTSSRRHQGWSTNGSCTTKCSVNSDGSCPGTACCDAYGMPLDDAQEVTFEFNKTSASVAAGTCSAAFILYQEEQIFKVSGNSKPMHLHQEEHIFRAGGGDSKPVHLEDVPVHLGERRMVLDWVIERATCEQARNNSFKKLYYCNNVSSCMDKFRGAGYVCRCKAGYDQHEGNPYEAGGCQGQGQGALTPIGHLAPLATTNRKVS >KN538712.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538712.1:241818:245125:-1 gene:KN538712.1_FG001 transcript:KN538712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEASEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLKQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNCRATCTEYVISMNANNISRSTNTNIGKLRSNFLGTKFVIYDTHTLYNATSDSQSGKTSRRFSNKGTAKHPCSTYNIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGTVPSQPDSILAHSLNESSIRSVSFSKSSVMDHSMHFSSARFSDISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >KN538712.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538712.1:297883:300238:-1 gene:KN538712.1_FG002 transcript:KN538712.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGGWWCELAAAAEATRFKKVMKVETHKRGNPSSYFLRRKFCNHTSEEDDRTRLQRILLRMHSVVEEAEGRHITNQGMLLQLKGLIEGFYIGYHMLDKVTFQPPEEESIKDEVSHEMHSSALTTSNSAKRFRFADALRKHTPISFASRSTANLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEQVINFLLCSDPPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELSLNSKASPGKYLFVIEFICDVDEAAWTKFQSYLQNMPSTEIKVVLIGRTEDVTKFGTSQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQSWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQAHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEDKFDVLVWKSRIPPYCDYIATFKKQKPRRVVGKRNTIYH >KN538712.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538712.1:198093:200084:1 gene:KN538712.1_FG003 transcript:KN538712.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDITYKALQVETKAFLPPSSSFTFTAVLFCSPCNKRRGDEVRSSCFVLVQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDASNSIITDGDSPEKIQGKNQQSSQVSEFKYSPSPSRHNNSSSERVSNGIASIAATKHRASPAPAHHNARQISNTHHSTTSSSAPAWRMVKEQKDSHLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKGNGGGFPARHR >KN538712.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538712.1:326696:329105:-1 gene:KN538712.1_FG004 transcript:KN538712.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEILISAVATDLISRFISSVTQNYRNHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEYEVSHEIQSFALSACNSAKRFRFADAISKRTPVAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPDDPSVSILPILGPPRMGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGIGIKVVVIGTTEDIAKFGTTQPIRVKRLSEEEFWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLGATLYGELLRANPNTQFWNRILLFLRELARKHLTSSGLHPEDLFERNIPVDMSRLAVVDGQVQCCLVYDLRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKQKPRRMVDKEIVRQRNTFILLDKVVKMKTSHMHAGRMSNNKSLVGDDGGRRLWWKMMMD >KN538712.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538712.1:161436:162636:1 gene:KN538712.1_FG005 transcript:KN538712.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKHHHLFHHKKDDEPATGVDSYGEGVYTSETVTTEVVAGGQDEYERYKKEEKQHKHKQHLGEAGALAAGAFALILKKNQIKVDSDKWLHWKPHGSNVDTDVGECIS >KN538712.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538712.1:274811:276560:-1 gene:KN538712.1_FG006 transcript:KN538712.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTIIYAVIAPWMLWRRAADHVALMWTSSILACSYGALWTIALSERLAGAFLAIIFRVSYVALVAFASTHLIGTANGISIVYLDTFYVAGMLGYAVAEYRLRRGTEQCPSAILAKPPLDQEEEQERGDEEAGLYYMGFLFGSVSLCLVGRMAWLLLYPCGGNCLISYVIEELSFETSMLIYIWVIFVSLTQLEGALVCYNTLFCKMPICFGAWFVLGVLLGVPVSGAIEMLIFWIGTMALAGFFGYCLAVHAYCRRDQKTPA >KN538712.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538712.1:304204:305622:-1 gene:KN538712.1_FG007 transcript:KN538712.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLISAVASDLVSRFISFLVQKYGKQHTTEDNVERLKSVLLRIQTIVEETEARQIRNRGMILQLKGLMEGMFLGYYVLDMFKFQSIEDGVAEDHQSSHKRLRFSTCTRSTPLLALGTNSSNVLKNVIESLEIKISDMREAVILLASCPRLPKQPYSAYLFVEKCMFGRYLEKEQVIDFILNDDQNLSILPIIGPHRIGKRTLVHHALPSTKYLCIVEFSWDVDVEAWKIFRSSMNKAAGNGSKVIIIGRTDEIAKWGTAPPIRLNRLSPEMYWHYFKTLSFGSMNPDDHPKLASLGMQMATELQGSFLGANILGHILRANPNAEIWSEFLMSLRAMTQKRMFIFAEHRPEGNSLEKKHPFDTRKVAFMSIRGYMVYDLREVFHFQSKIPRLTLEGLQLDGKSRYDLEFDVLVGRSHIPPFCNYMATFFKPKPRRIFRKKDHLAVAT >KN538712.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538712.1:141537:142816:-1 gene:KN538712.1_FG008 transcript:KN538712.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQDPFYIVKDEIQDSIEKIQDTLHQWKQTPENTGEHVHLTKEIIASCESIQWQVVELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQ >KN538712.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538712.1:279810:281473:1 gene:KN538712.1_FG009 transcript:KN538712.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDEMSLADDTPTDMDIDGVEEDVVRKTVDAETPAMEILGDIAGGEEEENILSTESEKPAPPLSRRERIALLPPNHPYHYTCGLPNFVFFAMAMVSFLYALVGPITGVSVAYLDTFYVAGMVGYAVAEYRMRRGIEECPSDVAAMPPLISQEQERNREVNVFYAAFMFGLVSLVTVGRMAWLVFFSNAGGGGGGRISSVLEELSVETCFVSFQWTAFVALPLSLVSFNALFCWVPICYVAWHVLGAILGALVGSVAIEVLFFWLAAVAMAGFFGYCLAVHARCKSLIGSVFSVQSERVLLVDSPVCISRFVAENILDHE >KN538712.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538712.1:253038:253583:1 gene:KN538712.1_FG010 transcript:KN538712.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQEGYGAVSSGGEINVDGDSGLGEGRARRCAGVGGVGHRGQSSELCGCSLGGEGRDGVGGGRGSGGAGKHTVVEGVGGGCGREGEGAESGEWGGGRDRMGEVRGGWRWMGMGRRWRRRGRIRREEDAAAKVGMAASPGSAIRLLSSLSAAASPSIPLLRHLIPTHDGGCLLPQHHDHY >KN538712.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538712.1:262483:272844:-1 gene:KN538712.1_FG011 transcript:KN538712.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPEMDPLHYPPPSAPKQGWTYPVIVHLDILEDLQPMVYKQYDWSYGVFDDVSAPHPLQDRNEPDKKRDDEDDGPGDEGRHPQHRSRAQSVWGRLFAGRARSQESRSERGHSASRGRSRNRNVPGQDGEDDPMEIEANLNIRTPENTPSPVVSDLVPGAVTSNVEQLISSISAPGIYSGIVLLLFVLFIGNLDENWGRIMIEFSGVAIQMAGIFCFVMIKVSRDDNYTVKEAQKQSIMALVIFKIFSFIILTFIKAKLVPTEDNVVIPRAFAAIGCLIQFVLMLSSPIGRWLDNNNFNDDEPTTRKIKLSAALIRLITLSFDCWLFTVRGYWSSPLKKPLAEMTAANLVFTSIVVVCRYIGPNKLKNFCVDIWNWLRAVPEKLQGKMILV >KN538712.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538712.1:308971:309285:1 gene:KN538712.1_FG012 transcript:KN538712.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSEKEWWLATDTKFQAWPRTAGPPVIMNPISRQNFIVKSTE >KN538712.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538712.1:321706:323157:-1 gene:KN538712.1_FG013 transcript:KN538712.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEGGLTEASTVAAFLGVPWDSHRRPPDSVFAPVNRRRAPRKVRNIAVKSYLIYLFVGFDDIAARAAPARAAIRRGANPDLFDLLALLVSAPKLSTLNYPVRTPSASVIFEQASYHVRVQLSLRRSAEAWIMVAQVDDIDFPSFPCYNLTTNSCAPCETTRSLLFSLLPPAGASPLLPPIDCRSSSRKIKPSLTSLADASPLSLAPLSEQPLRQPIFLSTIRQHMRAQQPILAPPVCTKPPSIFSGISCLIPDWNLAALPPLPIPHSFCSLQIPLQQPFFPTNTPHVPTSDASDSTAGNGRLLQLFPPVAAHVADLNIAPVVEQPPATRVYVRRSPRISKAYNGPRLDPVTTLVAGSRHKLLPVLVLHQAGGRGVPRGPRR >KN538712.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538712.1:184895:187688:-1 gene:KN538712.1_FG014 transcript:KN538712.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRRRLIGVASPAATELRRAFRSEAALEAIREHSKESDGPAHLALYNYPTFAGGYAALAADLFHRRLRRRLLMLPFSSVEPFRAGDFDDAGFKTCYLLDFIGPNNFALELSRFIPSVIAFDHRQSTLARIPHLGHCPSNLELNIDTTKSSARATFDYFSRKLAEIKSDSDMCEKLLDQEDEERVFNVLKYIEDADLRQWKLPNAKEFQTALRDERAKLNCITNPLVFEQLQQLDVCNLLYRGKSLAHDRFEAAGNLIQKPFKIHLGRGLHGECLAIRADGNSKLSHEIGLELSKMSTAAGLRPIGAVVFMQRGLLKVCLRTTDSTTNTAEIAKAYGGGGKPSSSSFPLRMDEFNAWISVNS >KN538712.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538712.1:166861:168933:-1 gene:KN538712.1_FG015 transcript:KN538712.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPQKSSSFSPATFREERLGRNLSLGAIKISEHAPAVRVKEEAEEGRGGVDNAGAGEGEGAAGEEAAPPEEAAEPDLAMLSAEVDAFLAGRESDAPTSISEVTLDKFASAVEQEMAQSEGDDDKWAVGENGEAAPLLAAIRRISALATALTASLGYEKASIDDVVRMAWESLESDVATWIKAFHHTINVGLSAEHDLCARVFAGCDAAVGRAIFVDLARCAMLQMLNFTEAVAMTKRAAEKLFKVLDMYEAVRDAAPVIDAFIAACSTTDAAAADEPDTTTDALTDIKTELASVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKNTLEQVFHEHHRTDIDADDEGSDPFAAQLMEVMELLHDNLEAKSRLYKDPALCSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLTLLRDDGVITVKGSVQKPVLKDRFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQSFSAGRQAEKYIKLSAEDLEAIIDELFDGNAVSMPRRRN >KN538712.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538712.1:221845:224785:-1 gene:KN538712.1_FG016 transcript:KN538712.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGYGDAPTADDLAAYEQLLGLRHERHMAEVFAGNIMLTGPSFATSAYAPLIFTLCLHDGSQEEEDNNENEVEIICNTCNGLFNNYNRAIIETVNTGYGPAEVTYAVLTNAVEGQVTVKLVRRDEHNDPTASTGVLGRIVARSKLLNVGCVLFYSDFGSIPHIGSDGLIPLARRALALPAMMPLTIELDLRSSSSDEIARAAVEFDPTTSDQYVERVIGMGGDEIQVTISWLDFPWRRNPGRARSRMRARTFSWGPETPMLYLGAASALRDSQMPMAQCVSKAPSWPRVAGFIAFLVTSDKLLKDVIDEEVLNVHRLWRVHQVASCLLGTLKI >KN538712.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538712.1:249268:250581:-1 gene:KN538712.1_FG017 transcript:KN538712.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNPPGNMSQGPSYGSLDLHGIPKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDRVQLGQHGKIAHHHHQHHHRHHSKNRGSDEEEHDMNEDAADGKDMKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHTLLDHMDISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQALQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEENEEEHRAVDTNIRAPSMHKRMWHVVDHGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGADLALVQKDLALKAADIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKRFELDLRHKELELEIKIKGNANHP >KN538712.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538712.1:260358:262223:1 gene:KN538712.1_FG018 transcript:KN538712.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSFSLEIGSWADEEPVDPKKYLEERCKPQCVKPLYEYEKCVKRVEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLEKLK >KN538712.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538712.1:255525:256926:-1 gene:KN538712.1_FG019 transcript:KN538712.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >KN538712.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538712.1:294643:296064:-1 gene:KN538712.1_FG020 transcript:KN538712.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLISAVASDLVSRFISYLVHKSEKQHTTTEQDLERLKSVLLRIYTIVEEAEARQIRNRGMILQLKDLMEGMFLGYYVLDSFQFQSVEEEGVDEDHQVSRKRLRFSTCTRRSTSLLSLGTESTPVLKNVIESLETKICDVREFVMLLISCPRLPQQPYCTYLFMEKSLFGRHVEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRGRFLNIVFFHGDDLGNISLMPSTEYLCIVEFSWEVDVEAWKIFRSSMKKAAISGSKVIIIGRTDEIAKWGTTPAIRLNRLSPEMYRYYFKALSFGSMNPDDHPKLAYLGMQLATELQGSFLGANILGHILRGNPSAEIWSGFLMSLRRMSRKRLSIFEEHPPEKNHPSHTAEVAFMNYRGCMLYDLREAGHFQSDIPRLTPAGVELEGKIPCDLGFDVLVWRSQIPPFCNYTATFLQPKPRRIVRRKKNPLAVSIT >KN538712.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538712.1:215382:218412:1 gene:KN538712.1_FG021 transcript:KN538712.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVENVEFNVRTGNYPEFMNNLRDRLPEHPHLDDVYAGCPVLAMQRCPKQPARWMYINLVGNGDDRATLAVRDDNVNLVGFRNLKGQWFHMGFSGMSKPILPEPSTFLGCDGSYPSLLGGRDEDDVKNMLVVLLDAVHKLSGYAQPPGPNGTADDDTKLDLVHLTVAFCEAARMALHYDAVNAFDRQISLNERQVDYLRNWWLMSRALLQDETAPWPRRLSSETGINDDEQARRVVLLVLNTSTTVHVHGAAERRLYFRTGPEAAAAAGRGGRFVEVLAATAGFGSCTIAVFDGKRGQILYRPHHQRHYNYQGNLMLTGPYRTISADGSFLIEVDTNSGDGDTADGTLFWDCYDGQNEYNKTLTHTIGTTRGPVDVTYAVLSDAGTAKGHIDVDGDSLQSQPQL >KN538712.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538712.1:174129:178662:-1 gene:KN538712.1_FG022 transcript:KN538712.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKASEQGLCSKSCYIIKALLSSREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAPVQNSSSRAELHRRSIAQMKKRILTQIILQINARFIQDMQIYANPSEVPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILRDPGAECLLSQTNEDRTCGDFKEMGKRLSNEVVAFLKRKIDRYSRNGGCRDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >KN538712.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538712.1:311717:316686:-1 gene:KN538712.1_FG023 transcript:KN538712.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMISAVAGDLISRFISSVAQNYRNHIRKEDDRRRLERILLRMHCVVEEAEGRHITNQGMLLQLKGFIEGFYLGYYVLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKHTPIAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIENCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPPRIGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGIGIKVVVIGTTEDIAKFGTTQPIRVKRPSEEEFWYYFKALAFGSMDPDEHPKLASLVMQLATEMNGSFLSATIYGELLRANPNTQFWKRILLFVRELARKHLTSSGLHPDDLFERNIPVDMSRIAVVDGQVQGCLLYDVRVAGPADGELPKLTSRDMLLGCDIPVEDKFDVLVWRSRIPPYCNYIVTYEKRKPRRMNSDNKEDLEKMMSVLKIHHHHKLRNVFPVQGAYGRRLPNRCCVEISENWFILQKRGQTKKYFHGALATRTNYSRSLGHFANSHFDHLLPSRALVSMEILISAVATDLISRFISSVTQNYRSHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEYEVSHEIQSFALSACNSAKRFRFADAISKRTPVAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPDDPSVSILPILGPPRMGKKTLVQHACLDERVRNCFSHIFFFKEDDLKTGELSLNSKASEGKYLFVIEFIWDVDEAAWTKFQSYLQNIPGIGIKVVVIGTTEDIAKFGTTQPIRVKRLSEEEFWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLGATLYGELLRANPNTQFWNRILLFLRELARKQLTSSGLHPEDLFERNIPVDMSRLAVVDGQVQCCLVYDLRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKRKPRCMVRKRNMVYL >KN538712.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538712.1:229270:231189:-1 gene:KN538712.1_FG024 transcript:KN538712.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGDQPIWKAHHLPAAPPRAAFPWAPPLLALQERLTEEGKRKDRRNSCGLLKEIHALEKCTQRLAEAIDAAPVPLSGEREAEVREAAAELAAVCAAMRVGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >KN538712.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538712.1:286019:289567:1 gene:KN538712.1_FG025 transcript:KN538712.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAATAGAAAEPPMVEQVITEFFAKSLHIILESRSPYESSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLCRDSTSNTAAGSGKIIERWVIQYEARKSGGGNGNGSKNNGRKSRNSSAEDHSLYRATYLGSTVLLRSLHLLVRLLPAYSLFRELNSSGRIRPLNLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGRLSLSVSYVPVLEVVAASEPTSPMPPEIITDYVGSPTTDFLKKFNSLPSAGIAPACAAMTRRHSWSIEHGAGTSASPSPSPTKAQSRGSPQLGVPLHVSLKTCSHPQNASSSGQKKYTPFEECYPSPPLSPSPSQSPSANYPKNPLFRYESAPVTIPTLKSGGGGGSGLPPSPCLKGKHQFSSHNDNLAHSPDHNSNVRKDLVRLGEFEKDMALQKVLSYSKYDLGYFHGLKLTRTSSKLFIMDELDEHELVFAWEDRDTIIDQLNRADISDREEQKNQDAGGSSTRSPAAAIGALVHLLKTAPSLREGLQSDAAAVVPQEPSSVQKVVTEEHGSIASSSTPVTATDALEELKKYREVKESILNRGKTQVSDTNLGEKLTDGEP >KN538712.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538712.1:180509:183672:1 gene:KN538712.1_FG026 transcript:KN538712.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIVGGKGGPDQLFMADLTYWIAQNPPPAHFFLISGNKGLANILHRLRMSNYNVLLACPSADSSVLCSAATIMWPWDALVKGLDFSPKHFNQPPDGISFSWYGHYRGPLDDLFLNSESDDSMAESEDSMAESEDSMAESKDSMAFQPRTKSVKPPILPKSVANGVRQVLYSFPEGISLPNLRAELRKNHVFMDKGLFGFKNFSSLLQAMPDVVKFIDPLPGERNQPAVVGVFKRSMEPAEQIYKGKSSAQSSGEFKRLVQTLNEKPPSSHVPSSSSDILSADRKKVLAVDAPSSQSDLLSRSQEKAPPVDLTTQPETPASCMEADVESVAGASAFTGEQITVDKKGLFERICILWNDTEPVKPMLSLSQDGTHSKGSNDLLTQYANSNEHNSLLTRTLKIFPPTDNSDGNNVDSTSAISSSFSNMSANDHSDKLNVKENVGNTIIHSSRLVDTSNAEHKVGFIEKSKGIFSWATKWWAPGKPDTDDNLSSVHINDGTREESEKDSAFVKTAATASEQQVGVELVTKPCFWDALQQYLSTPHGSDLVSKAKTREELAHGLQKQGHWPLKCLGGKHHHQLVDLLISEKQWIKEYSSQTSPFRLALPQRRARSPFLSFFTNGRPSGQRKHVDDRSPTLSRTLVHVLPTNNGKGQASCKSNENQSKSDDFLEKELGPVSDSGKPYRQNDKAVRHHPPTCSDDEFSDENHEVVQEAGRDAAQSSLFKIIDSWNTSKTICSSKKQHGIGGIVDCSRINRGNGGDNSITENAEKATSLSKHSYMTSGSDSDEEKLFNSVLGSLQNAKNSSLPG >AMDW01061187.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061187.1:127:565:-1 gene:AMDW01061187.1_FG001 transcript:AMDW01061187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAVAGGILAFVVLYILKEHRRRQQNRSFDKNGGNILNKMMDIKIFSEEELKKMTKNYCEKRMIGKGYFGKVYKGITQDNQQVAVKRFVRNGHELNKQDFADEITSQARIQHENLVRLVGCCLHTDVPMLVLELIPKGNLYEKLHGD >KN543910.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543910.1:2404:3252:-1 gene:KN543910.1_FG001 transcript:KN543910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDNNPAQDEQQQQQSTTTIESFPFPDSDSPSSDDNAQLSNMHIVPVFSTPQPSMNPTQFMAVLSALKPLLHKLMPFLQKQPLMIYPWWSVYFISSTPKNKRLRLTSAETQYLDMSAQYNVSPKKQRTYQTNFQAASSVARALNFGEPTADFQPNNSSVVITEIVEEPQPIVLKMKDNGSKPINSKNKMKMKVPNSVENLRRSPRFANKGVKLKMPVDVAPKKTKVTPLAILPAVERSKKGKMPLPPTITVEELQQIGTKKCGLLPEEVTKEKLMAPANDD >AMDW01037651.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037651.1:44:699:1 gene:AMDW01037651.1_FG001 transcript:AMDW01037651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAISSVSDSVIPVFREQAEQLLNSSGMSAVDLLAKALAKAV >AMDW01071866.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071866.1:319:520:-1 gene:AMDW01071866.1_FG001 transcript:AMDW01071866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYSQSFSLRTVHLLMYLFTNTNVRKI >AMDW01037203.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037203.1:55:546:1 gene:AMDW01037203.1_FG001 transcript:AMDW01037203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGYNNGFQELEPMSDWFNGGYNGFIQVPEPMDAWLDGDTHTLCYREGGTLYSRYIGTTTTQDLSLTEVMSWDSEIYLPEIPNSLQTCNWGIYTGYRPNLLSPLTFASQQDDDEDEEDESSPYIRQLLCAIRHKKLLKRSLPITSTNHTSGKRICSGNSCIC >AMDW01036486.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036486.1:102:491:1 gene:AMDW01036486.1_FG001 transcript:AMDW01036486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SHTIGQARCTNFRAHIYNETNIDSGFAMSRQSGCPRTSGSGDNNLAPLDLQTPTLFENNYYKNLVVKKGLLHSDQELFNGGATDALVQSYISSQSTFFADFVTGMIKMGDITPLTGSNGEIRKNCRRIN >KN540107.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540107.1:5058:5411:-1 gene:KN540107.1_FG001 transcript:KN540107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MILITFLSSSCLLGLACWYLTAGFLTLALQCSSGVLVADVRINGVDKRKDMMALIRLTAAEVNATMILDDEDGVDLVDPEHALVGKVWAPNTLHIQTIS >KN539768.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539768.1:70868:81739:1 gene:KN539768.1_FG001 transcript:KN539768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGNSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPPRGRRRVTRQFWNAGDYDGKPELLGGDPSLRSAGHKFAKVGSNNFVNVWSLLTCAAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMRHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIREQGTRIIIYNLWENEQGELELDFDTDVNSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGCGVIGKKSFYKPLWHNFFMCGKLILEEAGDRQLLLHSRVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKETSPENTPHTGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAQTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEMTVSVEMTSHLRKTVTFLPLKVNKERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERTRRDQEVENLRTKLKEASSTIQNLLDQLNAARSCRKN >KN540107.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540107.1:53947:60080:-1 gene:KN540107.1_FG002 transcript:KN540107.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGKSIPRAQCKCVDKILLTATSSGIGHLNRHYLAHLKNKAPAGARQTQLSFGPDGSRCICHVINLIVQPGMIVLDKLLNKICRSVRIVGGNTVVKARFQDYCKAKKKPRRMFGIDVKHRLNTKYLLLRQLKVYEELIFVFINSMHVRMKDTDDDSDGEILILTDQDWEIATQLNHYLVTNHTEHDPTLDGDNVDLLGWWKEKERTLPVLAHFVRDILLVPTSSISSEQAFSVIGELWKNEGLDGGECEAMRIGARRISTEFIGAQQRERASNLTYLDLSDNAFAGHILDVLPLSPATLQQLSYLNLSSSRLYGPILRSLSTMGKMTVFDVSRNRLNSDIPSELFTNWVELTQFRVQNNSITGTIPPTICNATKLKYLRLAKNELTGEIPAEIGRLASLQALELADNFLTGPIPNSVGNLTDLLVMDLFSNGFTGVIPPEIFNLTALRTIDVGTNRLEGEVPASISSLRNLYGLDLSNNRFSGTIPSDLGSRQFVTIVLASNSFSGEFPLTFCQLDSLQILDLSNNHLHGEIPSCLWHLQDLVFMDLSYNSFSGEVPPMSAYPNSSLESVHLANNHLTGGYPMVLKGCKWLIILDLGGNLFTGTIPSWIGTCNPLLRFLILRSNVFNGSIPKELSQLSHLQLLDLAMNNLVGSIPRSFGNFTSMIQPKTELNLPWKVQHRILDGRVDYTYTERIGINWKRQNHTFQGTVALMAGIDVSSNYLSNEIPSELCNLESMRFLNLSRNHLSGIIPKEIGNLKILESLDFSWNELSGSIPSSISNLMSLSSLNLSNNHLSGEIPSGYQLRTLADPSIYSNNFGLCGFPLNISCSDGPNSTSALIGGSTDSQELEILSWFYSVLAGLVFGFWLWFGVLLLFEPWRFAFFGQVDHLQKKIMQKICCLYAKSE >KN540107.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540107.1:47744:52768:1 gene:KN540107.1_FG003 transcript:KN540107.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSLSSPHRRSQNTFFLSSPKKLQSSKDDVGSWSALVERHRFLLTTLVVLVFLCTIYLYFAVTLGAPDACSGLAGTEKANGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVATRLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAVKEASPAELSI >KN540107.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540107.1:14949:23796:1 gene:KN540107.1_FG004 transcript:KN540107.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEKDLNEDVNDGRSGSSTLRRFGQLHAERHSSTNRLIKQQNALVLCSSASSSMSTLCCNREIGRYVSPSVEILRSSFSTVAADSIKDVARGGPMVEYERRIASGELVDGDNFQIDTIQHLQRLYEELVENEEACQLDRYQSSEKSGRRRWLWSRLITQPSTYSPVQGLYMYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRDLFLPFIGTLKERCIAHPIGSAVDYRQLGSAEQGFYFIGKQYSLLKQKLQTLIGDEEPRPQTVEVVMGRQLQVPLGANGIAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGIPKFGSQNRTAAYRFVTLVDVMYENKARLLCTADAAPIELFENIVTVAEARKISPRSSRSQKTDDPDLCVDNELGFAKDRTISRLTEINSREYLEDFEAKLRQPLQGVDNDIDVVLA >KN539768.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539768.1:2795:3952:1 gene:KN539768.1_FG002 transcript:KN539768.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FLPLLRLLPPDHSLALLRLLPALLRRGRVSRKALAVCLDRLVSSRRCPDVLTELLADLRDPRSKYLPQPNTCIYNILIKHYVKKGDLGTAFQVFDEMRKMNCADVRPSLVTYSTLIGGLCRGAKMKEAFELFEDMIEQDRIVPDQLTYNLLIGGFCRLGQVEKAQSIFGFMRKNECEPNAFNYATLINGHCKKGEVEAARGVFEEMIRSGVQPDAVSYTSLVGCLCRHGNVDEGINLVQEMWQKGCKADAVTYNLVLEGLCKDRRMAEAMTLLEKLPSEGVQLNVASYRIVMNCLCSCGEMEKAAGLLAMMLGRGFVPHYAASNMLLIGLCDVGRVSDATVTLYGLVDTGFMPEARCWARLIESVFRERKLRRSIELLDVLIAEG >KN539768.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539768.1:34425:35180:1 gene:KN539768.1_FG003 transcript:KN539768.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDISALLSEPSIPEEQPEASEFDDVVPAILESIKSSEKAFKPSPEEAAWADSCFVQTSELSDSDWGAMKHALLNALEKPTEIPNNTSEIVHEEGSHAILEVKPHSLPAEIVSQHDDMQMEQKENNDYDTGTTEASEVANVIRGTNEHGKQIDGYTARPEDGDELSSSEVLEQTESRETIFKVWDLDVPFSDEDELELIKDLKKLLKDNPQESEFRPPSGTAKTLSQIAVDDLVADLSDLSLQQTDE >KN539768.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539768.1:26410:30860:-1 gene:KN539768.1_FG004 transcript:KN539768.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLNLSSNNLSRKVTHLLDKMRSLTTLDLSGNPGLCGNNIAARTPQPATATPSCAALRLPRLGAAVHAHALLAGAASAVFVRTSLLDMYAKCGRLPDARRLFDEMPRPTLVSWNCMVAAYGRSSQVEESVAVFNAMRRAGVRPSEGTLVGVLSGCVDSVSASNPGMCVYGFSVKSGLDAGLPVLNSVLTMLVRGSHLDAARLLFDGICNKSVVTWTALASGYLLRGDYLEVFDLFNRMRGVGQNVDSVVLVNLISAAVLFGNLLVAKGVHALIIKLGFECEEDLAASLINLYAKCGDLESAREVFDAVRMANVVVWTSMISGYVEGGHLNEALVIFDSMVCANIEPNEATLSSVLSACAKLGSANLGKKVEEQAIAIGLHSEPRVATGLIDMYSKFGSINLARKIFEGVTNRDIAVWSAMINGYACNGEGSEALVLFKEMKNKGFQPDGIVFTHVLTACNYSGLVDEGLECFHSMTMEYGIEPSIEHHMCMVDLLCKAGHFRSALKFFKQMPSEVQNKVLAPIISSYSARCADSSIDFIPEELLNLETQDSDHCVLMSNMLSCLGKWKKATSYRRQLSKQGLMKEPGWSCIEMSG >KN539768.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539768.1:14169:14429:1 gene:KN539768.1_FG005 transcript:KN539768.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKQAPKMDPLPLLLIAGSTLSACWLQGHVASSSVSQSFEAWAPTGSSSHGGRGQPGRREAVGKDADQHGFLGLILLGALLMVM >KN539768.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539768.1:38377:60131:1 gene:KN539768.1_FG006 transcript:KN539768.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAAMRVLMLYFKNLEFFSNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHNFSVHAVHMGEDVMSVFQHAIKVLSRREDITDSREGVEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRVCWKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTADNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKNDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGTYKSTVHTYLDSAILQHQLQRLSEHNLLKGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRSILWDLRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >AMDW01034931.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034931.1:91:174:-1 gene:AMDW01034931.1_FG001 transcript:AMDW01034931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLWETLTGREHLLFYGRLKNLQGAPLSQ >AMDW01080043.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080043.1:160:321:1 gene:AMDW01080043.1_FG001 transcript:AMDW01080043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYFAPAFFGHLLGKCIKRKYPIVEVMKLGFVVLGTFALVWWPFLHSYEAAMQ >AMDW01040747.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040747.1:452:2084:1 gene:AMDW01040747.1_FG001 transcript:AMDW01040747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGVKVYQMMDLSTTSVVAAKAYKYRAESLVKDYLLADSYVSYTAVLGGILMCKMVYDITHLISSLYYKGYGSLTKIQKLEWNNRGMSTVHAMFITLMSVYLVFFSNLFSDELDGPVTVRSSNLSNFTLGVSLGYFIADLAMLSWAYPSLGGMEY >KN540306.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540306.1:17219:18888:1 gene:KN540306.1_FG001 transcript:KN540306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCRDGGSSFPVHFATRGARIPPVAPVSNRSFLSPVMLRIIRDANIKNDTVLRIVLGTANPSLSQFVTFEKQIVSSFRFTRKLRGYDVFLVQPTCPVNENLMDLLIMTDACMRASANSITAVSLTFVMPELTGRTVTNKGNIIFAKTTDVPTNECESNIPSDA >KN540306.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540306.1:51071:52918:1 gene:KN540306.1_FG002 transcript:KN540306.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLTIAWKNLGRGYSHHLHSIRIENRYGLFVHAVQDINSDDFELITTSKFQDTTEEANQRKQCLTSDQQLRVLHALDRGKVDAAVPIQGDRDRPNMDFLSISLVADLLGYINNKSQLSPNIDAAIDHQLVFGWLGDNIGQKRVCGIPLLLIVVSFSKHEETNIIAVLCFFRFSIDVSIGGDYPLSATIMSEYANKRIRGALIVAVFTMQVTKNSTTRHSIINDD >KN540306.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540306.1:42416:45609:-1 gene:KN540306.1_FG003 transcript:KN540306.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQLRVLHALDIARTQLYHFIAIVIAGMGFFTDAYDLFSISLVADLLGHVYYHGELPRNIHAAVTGIALCGTVPGQLVFGWLGDKMGRKRVYGITLLLMVASSLASGLSFSKREGKNVIAVLCFFRFWLGVSIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLAAGIIGMIVSAAFKHSSPSKIDYAWRIILMFGAIPAALTYHWRMKMPETARYTALISKNAKKAAKDMSAVLNVNITPDDEAINELARQDEYSLFSFEFLHRHGLHLLGTTVCWFVLDVTFYSLSIFMKNIFTEVRLLPRLDSEYHHTLQRMITMTAVHTFIALCGALPGYFFTVAFVDRIGRVKIQLIGFTMMTVFMLCLAIPYDQWLRHKNKYGFAVMYGLTFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAVGKIGAIVGVFGFLYTEYHIRIFLFVLIGCNLVGFIFTLLLPESKGKSLEDLTGEIEELQEEDEGSEVALSRPIHTVPL >KN540306.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540306.1:19835:22794:1 gene:KN540306.1_FG004 transcript:KN540306.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MACSERKATSVAESKVTSTAAVECACSPERRPPSPATQKRGGFAKKQEIIRAISDIVGEFKEVDEESLKGEGVVRIKVENEVGVTAKGEVLIEATAVEKKADKAVVLWKSKDFSQPELFEQVSQDKDKSGDLLKRMDVDLKLGGVRLFTDEEEDKCAIPTDSDIELMRAEEEDDSEQLDLESEAELQNREDKFQKVRHKKNQVSLVKRQSSRVKDKEVPVHLKAELRKSKMNMTSGMSSKNSYAIFQSVSQDYLINIARAGGISLGDNSEEVRCNLDAICARNWHRLLFLMQIRGYNRWLKKQVWKKKGDRGSPPNENNAILGVPVVEMENDQYMEGLKEEDRDELDDADKESSDNLGDFDLHDHEGLRQKKKKGKQSMQKGSGPKKRGRPRKKSKS >KN541743.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541743.1:7940:10800:1 gene:KN541743.1_FG001 transcript:KN541743.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFPVHCRLTFLGLYRNQIAGELPKSLGNCGNLTVLFLSYNNLTGEVPDFFTSMPNLQKLFLDDNHFAGELPVSIGELVSLKKLVVTANKFTGTIPETIGNCRSLIMLYLNSNNFTGSIPAFIGNLSRLEMFSMAENGITGSIPPEIGKCRQLVDLQLHKNSLTGTIPPEIGELSRLQKLYLYNNLLHGPVPQALWRLVDMVELFLNDNRLSGEVHEDITQLSNLREITLYNNNFTGELPQALGMNTTPGLLRVDFTRNRFRGAIPPGLCTRGQLAVLDLGNNQFDGGFPSGIAKCESLYRVNLNNNMLRGSLPADLSTNRGVTHLDISGNLLKGRIPGVLGLWHNLTRLDVSGNKFSGPIPHELGALSILDTLLMSSNRLTGAIPHELGNCKRLAHLDLGNNLLNGSIPAEITTLSGLQNLLLGGNKLAGPIPDSFTATQSLLELQLGSNNLEGGIPQSVGNLQYMSQGLNISNNRLSGPIPHSLGNLQKLEVLDLSNNSLSGPIPSQLSNMISLSVVNISFNELSGQLPDGWDKIATRLPQGFLGNPQLCVPSGNAPCTKYQSAKNKRRNTQIIVALLVSTLALMIASLVIIHFIVKRSQRLSANRVSMRNLDSTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTELAVGKQWAVKTVDLSQCKFPIEMKILNTVKHRNIVRMAGYCIRSNIGLILYEYMPEGTLFELLHERTPQVSLDWNVRHQIALGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIIDDDDADVTVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRSNLNQADHSNIMRFLDEEIIYWPEHEKAKVLDLLDLAMTCTQVSCQLRPSMREVVSILMRIERSNHVQFFEEAP >AMDW01072423.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072423.1:76:474:-1 gene:AMDW01072423.1_FG001 transcript:AMDW01072423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEWALNLPINAKVDVYSFGIVLLEMVVGSRVADQRTEAGEPLQLPQITQALRHVVDSGDVMSLVDARLQGQFNPRQAMEMVRISLACMEERSSRPTMDDIAKSLTAFDDEDEHPAYHSFFFFLRAPAYHS >KN541743.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541743.1:19982:21672:-1 gene:KN541743.1_FG002 transcript:KN541743.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRPTGEDTAMTPDKWVSGDSEPSVTKSRRRTVMERWLELELGVNTPEKCPPPPPRRKQKVGGKEERGEQDVVKRHASEIAWGETIKTMRLIVSTRSEIAIRQEQCTKLFVDVEMPEDQIFMWQRNGILDELYSPSFVLVNGKAIIHTRLRDEDGKYLLYW >KN539318.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539318.1:59427:61681:-1 gene:KN539318.1_FG001 transcript:KN539318.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDGKNRTLQEHSSAAAHRIARFGETNPQKAGEKRGETRRFQSECAWVDALSMNDDSFTLGEDYLKLSLFLEGLDRYGRGDWRNISRFSVRTRTPTQVASHAQKYFIRQANAGARDSKRKSIHDITTP >KN541743.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541743.1:14377:17770:1 gene:KN541743.1_FG003 transcript:KN541743.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSRRLRKVDLNTNALTGEIPTTGLAAGSSVLEYLDLSVNSLSGAIPPELAAALPELTYLDLSSNNLSGPMPEFPPRCGLVYLSLYSNQLAGELPRSLTNCGNLTVLYLSYNKIGSEVPDFFASMANLQTLYIDDNAFIGELPESIGELVNLEELMVSENAFTGTIPEAIGRCRSLTKLYLNGNRFTGSIPKFIGDLTQLQLFSIADNGITGEIPPEIGKCRGLVKLELQNNSLSGMIPPEIAELSQLQKLSLFDNILHGPVPPALWRLSDMAVLQLNNNSLSGEIHSDITQTRNLRNITLYNNNFTGELPQELGLNTTPGLLHIDLTRNHFRGAIPPGLCTGGQLAVLDLGYNQFDGGFPSEIAKCQSLYRVNLNNNQINGSLPADFGTNRGLSYIDMSSNLLEGTIPSALGSWSNLTKLDLSSNSFSGPIPQITTLGSLQNLLLAGNNLTGTIPDSFTATQALLELQLGDNFLEGNIPHSLGSLQYISKALNISNNRLSGQIPSSLGNLQDLEVLDLSNNSLSGIIPSQLINMISLSVVNLSFNKLSGELPAGWAKLAAQSPESFLGNPQLCVHSSDAPCLKSQSAKNRTWKTRIVVGLVISSFSVMVASLFAIRYILKRSQRLSTNRVSVRNMDSTEELPEELTYEDILRGTDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKLPIEMKILNTVKHRNIVRMAGYCIRGSVGLILYEYMPEGTLFELLHRRKPHAALDWTVRHQIAFGVAQGLSYLHHDCVPMIVHRDVKSSNILMDTELVPKLTDFGMGKIVEDDDLDATVSVVVGTLGYIAPEQGYYTRLTEKSDVYSYGVVLLELLCRKMPVDPVFGDGVDIVTWMRSNLTQVDRHLITECLDEEIMYWPEDEQAKALDLLDLAMYCTQLACQSRPSMREVVNNLMRMDK >KN539318.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539318.1:99597:100814:1 gene:KN539318.1_FG002 transcript:KN539318.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLAARLSKLQLASNQQETYSIATLTNCRKFLEPALDDHNVGFYHSAITNTHAIHGGEELWELAKRCQDSYTNAKSNKKHLTDIADLNFLMCRAIENPQLTTGSALRTASRLEEWSEISIILGQI >KN539318.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539318.1:22652:23107:-1 gene:KN539318.1_FG003 transcript:KN539318.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDARMVLALALTAGVFVTLLSLLVAVLVRRWWRRREAVASSRGFVLFGICFNDKESQQLRMVRPSLERNRRWPSRERLPGEAEDDDQEPDQCELERWKKMFGGPARSLSTIDEGTEKGTTPITTPAFCSPAASPDRRDARSLQTMSIAV >KN539318.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539318.1:74491:75827:-1 gene:KN539318.1_FG004 transcript:KN539318.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGRRAPGFRFYPTEEELICFYLRNKLDGLRDDIERVIPVFDVYSVDPLQLSEIHHEMLGGGGGEEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGMKKTMVFYRGRAPSGTKTAWKMNEYRAFHYPDASSASASSAGAAAPPSHLPPQLRSEFSLCRLYTRSGGIRQFDRRPLAGGGDENPGPSMAAAAASPEENDGSGSSMQQLELMDQGGAVDPDWDQWDDLATLTALLYWPRD >KN539318.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539318.1:94204:94948:-1 gene:KN539318.1_FG005 transcript:KN539318.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRQLLVHRLSCSGLPASRCKTRCWSSGERLPGLKSRVRLLAVAIKPPRAAAGKDEIVRADDDDDGVSLGTVKLPGNIDIARFETLLFQWGNSLCQGATLPLPVPLRVDKVEGGVRLGFMAVDDGVTQVLVYIDCLVSPATAASGPVFRAIRNGPMKDQEPPGEPRIMRSLLEALQKCIQYAQV >KN539318.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539318.1:18490:18747:1 gene:KN539318.1_FG006 transcript:KN539318.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRPSFVGQGVLAGAAAFGREKEEGFFNINVKLHMRVRLKVMVFVDSVEYRPDVDCYIRVPDPSNATAVAMGFTATRCRVDDFM >KN539318.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539318.1:101067:104843:-1 gene:KN539318.1_FG007 transcript:KN539318.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGAKKAGKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFQEVLVNLSVRLKALQDHTKEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >KN539318.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539318.1:115998:119438:-1 gene:KN539318.1_FG008 transcript:KN539318.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding ILCAIEFHVGITIPKEHAVFVHPNLKKVCAFLVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLTAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAQSLSCHFIQSRPIACVRPDSKIVCLESPSSTKQLVENCNGILRFSSMRETENLY >KN539318.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539318.1:90267:93850:1 gene:KN539318.1_FG009 transcript:KN539318.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHREVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAELTADSEEATKRFEHIVAVMNEELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRGVLPKLEACSTS >KN539318.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539318.1:40796:41044:-1 gene:KN539318.1_FG010 transcript:KN539318.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVVAHGVGNWGWLEEMLGWYLRANGKDTHAAILAAFIDVIVAIADPALASCSSHRRSSTCTITEESSLEVAEKQAKLAV >KN539318.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539318.1:1901:8882:1 gene:KN539318.1_FG011 transcript:KN539318.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSMKSLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADISHPIHSLEKPITSSRSSQKSMSGSQCSSISGSDIDSIQSSERNTSGPSTSSNNTIDTEGAEATDHVSVKNCPRSDDVKSNKETVGPELERQDSSKSIHVDQRPRNEIKQPKIIKKILTTLREESKLRQNNSPIRASRVKLNSPSNREQLSDDSKHSSDISSSSKSSEVTSRESAKVICEPVKRAHASPPLKHLSPIVEHSPKAKIKQDEPLQPDPAKQAMEDVDAAVGKVKNRTPPSYTRRLSIPPRRPLGTESPLHADTKRAHNKVIKERAKSPCRPVHGPDNDIIEPPGFPMAPPSPLGGVQMKVGNARAKSAPPRAISIKEDSSDCSSSTIAYAENTELSEPSKQDSSAQLVSSCKCSIPDAAIQKHDLTALPSSELNTTNFQKSMASNDDVCENLALEPSSDISEQVSIFKDNVPCSKISQSTANAIVQNDEDKFTVQELLSSVADIAPFVSTKNFALEKGSPPIQSLERTSSPHLNPPIEDVIHVIRHSSFRVCGEQAVAENAEMGVQSSDVGKLLNVVREEVDSRSIPSNNLMPHRLPDCAAPKPNISEINTISSKTACSDVVKFLTVPEVNSTTTAINNGFKEEASPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVAVDAATLSRFALNTTASPRPVLSFNLTAGLTIRNPSRRTAVYYDALSAEGFYRGLRFGGAALPLAYQGGRRADAVRAVLVGSSGVVSWDAGAFGEDNRTGVFPMNLWVLGAVRYKYGGLMTTSATMLSARCPLALKLMAASSRVECTVINF >KN544842.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544842.1:2052:2393:1 gene:KN544842.1_FG001 transcript:KN544842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPEGSNSLPLLKMVAPAVVASHGRRESIELRNDEEKIAAAKRKLREGYQDAEEAKKRRKIHVIEDPKMLKHKQEKMHNPILSVRSRASHASSMAEKKKSFLVSSLGRL >KN539318.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539318.1:95473:97737:1 gene:KN539318.1_FG012 transcript:KN539318.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRASSSSLRSRLLSSSAAAWSPWSHVPSSFVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRCPSDEWRMVLVDLRNHGRSAGIKGLSPPHDMSNAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGEYGESADLPKQLWVLDSVPGQVETDNSDGRAAAARCFLHARGCITVPVAAVAAGCCNQVAEQPLWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGMDIAIVQAELSDRWLSDDVQRLKALSRRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSAAKI >KN539318.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539318.1:109936:112615:1 gene:KN539318.1_FG013 transcript:KN539318.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGEDAKATRQPEQQPEAAAAEAGVAAYGGGVIAKLEEQWRKTKEHAETYPYVWGSYILVYGGLAAYLTWRWRKLRRTEDRVRGLQARLRQLAAAEESQVAGGFHSSSSAAAAAAAVWARQANLRPVTNLSSLSTLFRNVILLWEYNNSDPIGACGAVDQGVARLICRHNCYLFGKLLSHCGSVIIAFWWSLLDSN >KN539318.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539318.1:55239:58108:1 gene:KN539318.1_FG014 transcript:KN539318.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MESGANARRRRRLVDRGSDRLAFITGQAQSLPSDPSPDSPLYTVDAASLQRSERQLNEVGIGDDIFNYITQLQKSESGVQPTSEAHLETHKEKHQGNESDLQKLKTSNVVPEIQPVNEKAFQRHSEETLRKKNSHDRSASTQPMREMETRPRYVPPNQSNQSDSAGWSVETLKEILNFAPHEITQAISATEYNRFLASVIIGFLVVLSNWGLDVGGTITKVLVATRPILFLIVTNITIVFTLLMENKDPNVRGRPVGSNLGSADNLGQMLEIGLLLQKALSALLIDCSVCAVIMICFI >KN542647.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542647.1:362:562:1 gene:KN542647.1_FG001 transcript:KN542647.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVSLTDVPNLVYERGKRIKDAFGAGNDIDWFSGEGINVGWRIQGRVGGGDGDRIRKRRGRKRI >KN541919.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541919.1:1737:2612:-1 gene:KN541919.1_FG001 transcript:KN541919.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNYKLDEMERYVGKAKRQEDRAREAMNLVNEDGKDKKAASYVQGVKDWYGNGESTLCLVYNATGATLRHVADHDWWGFVGRTPYPTEIGNGQWAAFHHVHKSGDSSGSEAAVVYRATNADGVERDLLVAWSTPWSSFYRNKAYCAVGGVDSFQGDWEKLYDKVNNAAYTCDADSDGFKIDASTATGDSPVFTATIKIHFSQ >KN540723.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540723.1:1013:13008:1 gene:KN540723.1_FG001 transcript:KN540723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFGWVLRWAINETFAVAAVAWTGSGDGILLAGEGVAMWARAESSWKLAWRCSPQVAQSLASATHFLQGPVATAAAAPSSEGGVPPVLVIVNDAKVGVEKAELVHPKPVSMIQWRPRSLFVSDQSEARREILMTCCLDGTLRLWSEDEAAKSKKHRVLQRSFSVIAVIEMSNTLNGVLGVDITVKWAIETGSVVSRDEDGNFTLFSGDPGHNQVGKCEWLADVEYSCLCWAPSVIQQNRFLVLGSEKGADCFVVSIQNEGDVLSCQKMFTIPFFEDQGRHGTYLNADMFSAVICKGSSVFPTCLDGEYPTCISATPLNNTVLSLQQHGSGTASCYHIATGYSDGTVKLWKMSFADNPLHTEKESHIWQLVGTFGADRGPITAISLSNCGRIATVGRNVQKNTTSIHIWKAVKLMGDGSFLLEDALTLQGPVVGLDWLSLGDGRFLLAVYLLNELHIYSHKHPSFKNVLHTVNSKEKHLWSCIALSHSPHDIASFLWGPKATGVLVHKNHLALFSSWLVRRANESSTQICDCPAADIHELPCTKHFNEDIFGRFSLSENYSNTMLLQKHSAHCSNDLWNLLDIAAKMSGPLASYHPRALIQSLYSGQWKRANTVLQHLVQSMQANKISNALLECSFCGKSCHNIPECPLSESFTDMTSNDISNRGLLWGDNQRSTAFSLLSPSNSYPRMEDINTTTSTSQSSEINKLLATNVSISTISDMERTQIVALSDLLGKITDQSHASPYKSLDEAGRRFWVAVQFERLYALRRSEDPSSAEVFHVDSASIAWALQSDCQDDLLNSVLPAEPSWSEMRNLGMGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRTKVLVGLFKISRDEKDKRLYEFLSRNFQEEKHKSAALKNAYVLMGRHQWELAIAFFLLGGDTSSAISVCAKNLQDEQLAIVICRLLEGSGGPLERNLIANVLLPEAVDKGDHWLSSLLEWMLGNYSQSVNQLLDCHLKSLIEESSIPGDTNVFADPGVGQYCAIIATKSSFRNCVGEAQSANLSKLSLAMASCALNRCGLPLEALEYLCNSGIEGKDNTSLDGGDKKIVYGILNPFHASSNWLSASVVSDVESNLKITMASKYLSRMLRNQSLCSRCSLPLTKDKVLKEFNSNHVNELSRDVKAALQVFDKKFSLQVADIAEKFLTEFVEKERIELETSASDVEFKDLISSVVAKFLMGSLHFVSSLLVKLHASFFREKVLKKSNSSVLFWLSQPRSNNNSHEQFSSIFQLANSENIEVFFDTLWEISAHPVDICTAFVDEEVNCFPLNSISLTRSWKAMTEATLVESENNFAQRSEENRDNFSSKNDEKIQRSIDNTPYGVEVTLEPKRKGLIADFERPRELVRRNGELLEAICLNSINEQQGAIATNRKGLVFFNWNDQQHNKNLAEYIWAGSDWPLDGWAGCESTPTSTSFSPSVGLGRRKGSHLSSGGPTISLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFVDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVESSQPYQSILIDHRDVAFLTASGSVLAAAGCSSNGANVVIWDTLAPPSTCQTSIMCHEGGVRSLSVFDRNIGCGSISPLIVTGGKSGDVTLHDFRFISTGKTKHHRSSNEHDVKASSTSMHDTKSGTSNSVSNSGMIWHIPKAHTGSVSSVSTIPNTSLFLTGSKDGDVKLWDAKSSQLVFHWQKLHERHTFFQPTSRGFGGVVRAAVTDIQVLPNGFVSCGGDGSVKLVQIVPLIN >KN541919.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541919.1:3154:7611:1 gene:KN541919.1_FG002 transcript:KN541919.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGASAMVLVYNATGAALELVDGEGGSMDWVDIHEIGCFCECSDLYSTKFTTVPRCSDLLCISNREGHVFVQIC >AMDW01005159.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005159.1:24:191:-1 gene:AMDW01005159.1_FG001 transcript:AMDW01005159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTAVSVPLAVVWFYTGDILRLFGQEADIAAEAGAYARWMIPALFAYGPLQCHV >KN540723.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540723.1:27577:30978:1 gene:KN540723.1_FG002 transcript:KN540723.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MECCYYGGSIGGDWLNPLAAIPPPCSSSSSSWSAPLLLLRDKEMEMAAGYLPVADVDHYMYQQFQLEPDHFVSTLPAVAVVATAGVGGHDDELLRMPFTDIDLDAFADARDEMGSKGMSAAAMRRELEALESCCALMERNPAVELTERTKKLRQACFKENYKRRRAAAVDVQLSVTKAKHILYHQI >KN540723.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540723.1:14066:16286:-1 gene:KN540723.1_FG003 transcript:KN540723.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVASFRRGGVGIAGMSSSTLEEVQMQETLIFSDTIKDLKMLKSQLYSAAEYFELAYTQEDDKQEVMNSLKEYSVKALVNTVDHLGSISFKVSSLIDQRFDEVDDTNLRMSCIHQRAQVSQACMDKEGLSQQSLVITAPKYHKRYILPAGDGSMPNAVPNFSEMRKAKNRAAQMQQVFSAVAAASQAKAKEKQPSFSKLRSIARAPSQRARSSSPAQRPHSDNTIPTKRADKRSESPIPRTTPLTRSGSLPQKPSLLKTSSVRVQMQTSEHKKLASVRSQADRNDDKEGEQTPKKGKKFLKSLLSRRKSRKEEPLPCYFDDY >KN539083.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539083.1:57534:62597:-1 gene:KN539083.1_FG001 transcript:KN539083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIGDPRLTSVEAAFEKNPLPGFSWLMTPRAMAVAVLLGIVFCFVGMRIQMMTGFVPALNMPVTVLSFFLLKVLARQLQKWRLTVVPFTRQENMFLITCVITCLNLAITGGFATALTGMGTIVAKTLADDLDPRDIIDYIPTGKLIIYFFLIGMAGVLSNIPLNQIMIIDYQLLFPTGSVIGHLINSFHTPEGAYIAKFYIDFSATYIGVGMMCPHIVNFGLLFGAIISWGFLYPYLETKHGEWYQTDSPSNLDGLNGYKVFISVTLIVTDGLINFLILVTSAAINFYHIRQQQQQTSGLASYISKNPSMNYDERKRIEMFLSSKIPMSVPVAAYVAWTAISMVFGVALSSVVSPCIFRAFEKAAKPGAPLGSKDSVYPCPYAGLYRAICIIGMGGVKGLPKYCVELCVIAVLATIAIDALVLVSQLKGWRLHLYIPSMTVIALPFFAGSYFTLDMCLGGLLLLLWKKIDTMSAEILSAAVAAGLICGEGLFTLPSALLNMFKVLPPMCMKFLPSGQEVEVVDSFLNSSGGTVPKT >KN539083.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539083.1:147391:149706:1 gene:KN539083.1_FG002 transcript:KN539083.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEIPLSGKLTLENIGTGARPGKTYVQDSGGSDKDKRALRRHGILGLEYLEVLKGVTVLKDVSWEVQRGEKVRLVSVNSAGKTTHLRITAGLEDPDTGNVVKAKKNVRIASLSQEFEVCALLTIREELLAAFEEDMEVRSRLEKVQAALEGATEDMDLGRLLDELDLLQRRSQDVEVKIQKLMPKLGFAPQDTDRLVASSARWQMRMSLGKILL >KN539083.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539083.1:31525:33115:-1 gene:KN539083.1_FG003 transcript:KN539083.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKGPERFGGRLVTDGIKHAQQPMGSCHLAVKCVQKVVSLFPSGLRLPQSLIAPAHLVGYAPRVPDPDTDELNAFFAGFVKGASGDGLLLLDFTDGLATGPVVGVHPNGLARQMTGFDRRFDVTRFVCNPITGQLFRMPDIDGTKDTSWCQFTGILTQSDRPDGPPDRYAVAWLSVDGEDNRRIAMRRFLSQKGEWDKLVGLPSPLPLARQMDVSHEVVAFAGRLWWVDVSWGVVSVDPFSDRPELQFVQLPRGSVTEPVEGIQKLGRFRRVGVSEGMLRYAEVSQKEPFVLSSFALDDNGSSWTLEHRVALSRLGVDGCHPDPEEDDTPRIGVIDPLNASTMYLTIGDSCVSVDMERGEVLGRSQIGCSTGPFSPFTGFLTPCVLPPWLEEFQIPSAGSLLSHALF >KN539083.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539083.1:67876:72741:-1 gene:KN539083.1_FG004 transcript:KN539083.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSTAKTTEAATGFRSPQPEGETTEGVGGDGGLDPSARQRRRPRGPSRDGGAYGSPRSPTDGLRQTQVVKVSTVEGGGADGDGCGEEMCVNDEGLDLVADVAADRGWRRPPGGWGRGWPSGAEQQRPRILPEPDAMTNMRSWWLHSSRHQQSRPQPPSRQPRFLHPHAPRGKILVFLFAVQVNTVQDSVNMMIEALKDQIPDVEDEGVLLCGHRYYSHNIDLLLQCILEVKEFYKWNCQFVEVLAIVVVDFECLDQFGSKKVFWVMQHSNKSIPGWRLALYIYWHYNSVHICSKRQICKMLGNKKAQEEAKQEVEEWWQMMLAQILSSEARERHIFRLFTELESDF >KN539083.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539083.1:92705:93856:-1 gene:KN539083.1_FG005 transcript:KN539083.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSSEAEKIEPPDEFSDEVFVRADEVDDLAVLDMELEEKMAARALGKGISSSDNGGEGAGDEPKAAWEIDLSTLRIRQGAPVKHGFRGSLFRADYDDRDVAVKLLDWGKEGFSTPEQIAHLRASLRGVAEAWHKINHPNITKFVGASMATADLNIPQGQSTAPPPPDTSCCVVVEYLTGGTLKSHLIKHMDRKLAYKDALNLKIADFGVARIEASDPREMTGVTGTLGYMAPEVLVGEPYNRKCDVYSFGICLWEIYCCEMPFMDLSLADVSSAVVHKDLRPEIPRCCPRDMALIMRRCWDANPAARPEMEEVVGMLEALDMKKGRGMVPLGEIAGCLCFSLQRRGK >KN539083.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539083.1:26873:27910:-1 gene:KN539083.1_FG006 transcript:KN539083.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYTRIRASTAERASIELAQPPAVSHLVVPEHLVGLDPEPPVDPNSDILRTVVGDAGATSDGLLLLDFTDIRATARVVANRRAGAQPQAQQQGQKLTGLSFNLHNSRGDTQERELAGVNTNPDITRLVCNPISGELFRLPDINGTKKTMFCHLPGLLTRSAQGQGHGPPDEYAVASLSEGNGRDGKDRGFAMWRFLSQTGEWDKLESLPSPVPLARQLNVHSHHEVVAFAGRIWWVDLGWGVVSADPFSDRPELRFIELPRSSVLPEPTTGEEFMASVLAQGMYRRIGVSEGRLRYVEVSQKKPFVLSSFALDDDYGCWTLEHQVALGRPLESGERGSWTAMAG >KN539083.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539083.1:73976:75089:-1 gene:KN539083.1_FG007 transcript:KN539083.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGGVKMSAGSDGKVAWRQTPWQEAHASRGPPRPLRRCIQGLDPKSTADLFSSAAWVGERCVDGDDCFVLRVDADHAALRARSSGDVEVVRHAVLGYFSQRTGLLVRLEDSHLLRIGLAHAAAAESAYWETTMESSIGDYRAVDGINIAHAGRTAVSLSRFENAEDADAAAARGNNKRSCTTTMEETWSIEEVDFNVVGLSMDCFLPPRDLVLNDCSKQQQKEDAGVVVVKDAAANAKSGSDDGKTNGGDVGRGVVVKKALVPAVTGLGWFGPAKVVAVDDTVDDGVAADDDDDK >KN539083.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539083.1:36246:38912:1 gene:KN539083.1_FG008 transcript:KN539083.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPAEMKPSDQRKHNTRKREVRRRIKKQEKLMNGLSSVFSALQKALDEVPSIEGVLLILGGSLVRPLFVYDITISHGRFDAGSANERGASKLAQSVSRKAIRALISSGAGSLSYTGPTKLFVLVRCPCTLNLPLDFLPKRDFRYSKKELEPYQIEH >KN539083.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539083.1:66:944:-1 gene:KN539083.1_FG009 transcript:KN539083.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAQDEVTLLLGVSGEIASLHERLNSLKDYLADAERRRITDQSVQGWVRKLKDVMYDATDILDLCHLKAMQRGEKIEEDTRTLVKMLTNGKNKKQNAVVVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTQDVNEVELLRTAIRSVSVGGAGDGRESNKSLLVPALVDAIRDKRFFLVLDD >KN539083.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539083.1:116855:123825:1 gene:KN539083.1_FG010 transcript:KN539083.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILGRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLRASDNEFTGKIPDYLGSLTNLIDLAFQGNSFEGPIPASLSNLTKLTTLRIGDIVDGTSSLAFISNLTSLSTLILRNCKVSGNLGPVDFSMFTALILLDLSFNNITGEVPKSILNMGKLEFLFLGNNSLTGKLPDMISSSLKTIDFSYNQLTGNFPSWATQNNLQFEKIVDILTFYIVQGIWLQTNLMLVALTADYSFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFSLASNGMNIISSSEHFQNVVNSRLFETARMSPSSLRYYGLGLENGNYTVLLQFAEVAYPDSQTWQSLGRRVFDIYIQGSLREKDFDIRKMAGGKSFIVVNRSYTTTVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALIVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMLVKKRRRMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVMTISAVQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGIVSGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNEAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTQKEIEPLTQS >KN539083.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539083.1:48722:54547:-1 gene:KN539083.1_FG011 transcript:KN539083.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMIGDPMPATSVEAVFEKQPSPEFRELVTPRAMAVAVVLSVVICFVGMRIQMTAGIVPALNMPASILSFFLLKWLIRLLQSCGFPMLPFTRQENMFLLTCIITCLNLALTSGFATNIIGMTSTVARSLADDPDPRDIMDHVPIGKWIVYLFLVGMTGVLINVPFNQVMIIDYKLLFPTGTVIAQLINSFHTPEGAYVAKFYFDFSATYIGLGMICPHIVNFGLFFGAIISWGFLYPFLETKRGQWYQTDSPTSLNGQNGYKVFISVTLIITDGMINFLTLITTASINFYQLRKEHDLGLANYFKKHPSLNYDDRKRIEVFLANRIPIPVPVAAYITCAAISTIAIPAMFNQIKFYHLAVLYMVIPVVTFCNTYATGLTDWSVAPTYAKFTTFVFAAWIAKPGAVVASLLASGVIVAALHISSQAMQDLKSGHMTLTSPRAMVTGQIFGVAVGSILCPCVFLAFQSTTKPNAPVGSKQSDYPCPFAGLYRAIGVIGTGGVKELPKHCMTFCVVAFCVTVIIDAVVLVSQKRGWSIHRYIPSMTVIALPFFAGSYFTIDMCVGSLLLLAWTRMNEKSAEMLSSAVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFLSGGEEVEAADSFLNNLGTSRT >AMDW01024264.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024264.1:9:227:-1 gene:AMDW01024264.1_FG001 transcript:AMDW01024264.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHVADFVVLCIGRFSGFPNMPRFPPGKGPEAFAGTAIHSMDYSNMGAAKAAQLVKGKRVAVVGYQKSAVDIAA >AMDW01038115.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038115.1:98:766:1 gene:AMDW01038115.1_FG001 transcript:AMDW01038115.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSDKVKTTIFHLLWVWWSARNKANVGEEMLSQGEIEHRVQNTAAYLKNPVLQNTVQSGRSVRKGNTWKAPPPGILKINFDGAYREMSRDEAWGFVIRGENGRGVLAGSGRLPMVSDALMAEAEACLAALEAAIDHGISRVIIESDCLNLVSALKTDEFDRSSGGIVFRELRLILSMYFVVTDIRHVHRSCNACADALAHMCLDRDPEQPNVWSAPLPEFVNIL >AMDW01036874.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036874.1:484:577:1 gene:AMDW01036874.1_FG001 transcript:AMDW01036874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCEIYIAEGKLLG >AMDW01038392.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038392.1:72:806:1 gene:AMDW01038392.1_FG001 transcript:AMDW01038392.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSIDSGILIKWTKGFAVSGTAGKDVVACLNAAMERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLKLETGNT >AMDW01083899.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083899.1:440:3247:1 gene:AMDW01083899.1_FG001 transcript:AMDW01083899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSQLKNQKFDILVIDKAANLKECESMIPLTTSGIKNVVLIGDDKQLQSLVKSPDYNNTFLDGHMYGPYSFIHIEDGFEENINQGSRNIVEAAVAANIVGRLAKACTKQKKKTSVGIISPYAAQVNLLEKKVQRYENHCFLSVKVCTVDSCQGGEKDIIILSTVRHNHDGNIGFLYYDKRTNVALTRAKNCLWILGHEPTLRNNNSTWSYLVKDAAGRDCLFNARDDDFLARTMDEFRGMQNSQVTDNVLRQNNECHCAPNAQLPGDNCATRPDEAQVVEPAHVEQVLVQVEGAAEKTWQVNSRKRDQPAGQFARGEQLTDEEVVESAHVEQVHLSQFSPSPSRKRACLSQFASGAQLIDEVAVLDEDPPVIVHLEGLSQLAEAVARTPVLDEGVNLYEQARSARDERFWSCAHMDLYNNIFNNKKCTDHKWINWTRTRRHPAMQHIEEACCDVGLHGLMAIKQDWHVETIKQFYSTFYVDPSRTSLTWMTGTNKKITVSKKFCQKVLHVPSEHTDKILDSLTETQKEWLTSRTNNEYLKLVNLIIRMTIDPKIGDGAKITLIAGSSCNYASSNCG >AMDW01030532.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030532.1:212:397:1 gene:AMDW01030532.1_FG001 transcript:AMDW01030532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APAPASPYGDLEEVLRRAATKDRTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHL >KN540280.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540280.1:39383:42175:-1 gene:KN540280.1_FG001 transcript:KN540280.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASSLIRNCTDAEVRLLEKRAKWEIWRVNSLSMVNAMLMGVVVGIGAYAPRYRHHPLTRFLFQGAAALFMPIVSYVVSDSTFVTFLIQPITTTATCRWLLHTFCILQWTVLVQIAAINTITLVATDARELGRSIAPSALLIIHAIWTCYLVIYFLGTDLGSGNDFSVKTISQDMSDFRRIFVLVSACLMFVKLVFKLVAFFMARQSFALGRNPRLVLGYMKEKEKDVVLPSVMHVTVMGEDTVNVQKGPNSYTSVVDNNLQQRGAAGLVTLDEVWQQMDDEYTNYSLTSWTPAGHKQLKDVCLSFALFKLLRCRFASCKLVNNPWKDNINLCSILDMHRPTAKWSPLPEKTKIVKVPDQVKSAIVAKLRSSKGSLQLTKACTASLHLQGSDSQLLWEDVTATTDVLLVCHIATTILEVKYPNPSTTASSSSDSSNRVVATHLSGYCAYLVACCPELLPDDDGWSKDLYKAVKADARRALAAGRAPPEYEKLVRLLSAGCRHKVLRNGAQLAEQLVALVQNQQEEEEEGNKAWGVLAEFWSEIILYLAPSDNLDAHAAAVARGGELITLLWALLNHAGI >KN540971.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540971.1:422:1746:1 gene:KN540971.1_FG001 transcript:KN540971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLAGGDMKPLATSDDLDTIILFSYRWPLPSAMTSTRATAGCLVGREERTERDGRDTGIVAVGEAQHELTRPDLAFPQLDMCLLVLVIAAWLEQCEGEHGRRRRPSPRLAVVVGDDNGGGGRVTGGLGGGGPTGSGDLPKTGGKDLLGSGGGGLCAGARFLGRKRQRRIPFGGGNPKGDGGMGFLAEEVILSDSGGGLRFRQNMWTLAEV >KN540280.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540280.1:28924:29391:-1 gene:KN540280.1_FG002 transcript:KN540280.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKLSLCLPGLASSFCSGPPLLAAESNIIAFVEQM >KN540280.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540280.1:20320:22329:-1 gene:KN540280.1_FG003 transcript:KN540280.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKIITKTFLLFLALSHKFFAAAGEEERFLYSGFSGTDILVNGMAMVTPNGLLQLTNGTAQSKGHAFHPTPLRFHERGSNGTRVRSFSASFVFAIRSIAPGVSAQGLTFFVSPTKNFSRAFSNQFLGLLNKKNNGNTSNHIFAVELDTVLNNDMQDINDNHVGIDINDLRSVDSYNAGYYDDKNGTFCNLTLASFDAMQVWVDYNGERKLISVTLAPLNMAKPARALLTTTYDLSQVLKNQSYVGFSSSTGILDTHHYVLGCSFGMNQPALVIDVKKLPKLPRLGPKPQSKLLIIILPIATATLVLAIVSGIVVLRRRQMRYAELREDWEVEFGPHRFSYKDLFHATEGFKDKHLLGIGGFGRVYKGVLTKSKSEVAVKRVSHESRQGMREFIAEVVSIGRLRHKNIVQLHGYCRRKGELLLVYDHMPNGSLDKYLHNHDNQQNLDWSQRASALTDVFAFGMFLLEVTCGRRPIMQSEEQDCPIMLVDWVLLHWRNESLIDVVDKRLQNEYNIDEACLALKLGLLCSHSLPSARPNMRQVMQFLDGDISFPDEVLAQLLSHEGQEHIIVSSPPPSTSFGTISTDLSGGR >KN540971.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540971.1:27460:27693:1 gene:KN540971.1_FG002 transcript:KN540971.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVDDRVVLAALSVATVLAVALSRAGLNLLVSLVLAAAAIGAHAAFRMNVYLDERDAYDGSSFMGSSYGGYALPR >KN540280.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540280.1:5421:5975:1 gene:KN540280.1_FG004 transcript:KN540280.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQELFSGSNANGSLAIDQQTCCDIDNKSGSSDHEGLNDVSTYAHPIDIAEEDSDTLPSPTGPDNCSPGTSGVSKKRPRGVKSPSKRQPKPKSRFTDATEKIGNTMDRLVNQLASPPPPPMPQLDPYATMWKRIDALPIGSKDKVAVGNYLGRQENEGVRGFLAASCDTTLETWVYQFICDRDGV >KN540971.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540971.1:19757:21285:-1 gene:KN540971.1_FG003 transcript:KN540971.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSSRGAPAPAASMAAAAKNSSKLAPAPMVNSSSSANHIQFQQPMKSFQFEQKPISDKFHIEMPRSGGVVGVGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAPTKKPPSSCARKAAADDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDISLVQQATANP >KN540280.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540280.1:12471:15542:-1 gene:KN540280.1_FG005 transcript:KN540280.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNRSSFLPLFFIIIIIKLGIGLLPSFTTAIDDGRFVFNGFLNSSLTVDGAAMVLPGGLLQLTNGTGMVKGHAFHPTPFRFRESPGFHRAMSGMNRSSFLPLFFIIIIIKLGIGLLPSFTTAIDDGRFVFNGFLNSSLTVDGAAMVLPGGLLQLTNGTGMVKGHAFHPTPFRFRESPGTTLHSSVSIVFGIISAYREVGTDGMAFLIAPSSNFSEANAAQHLGLFNYKNNGNMSNHVFAVEIDTVRNNEFMDIDSNHIGIDINDLRSVNSSSAGYYDDNTGTDRKGSRRSKVLLIIVPIATATSAVAVSLAVFLFERRWFKYAELREDWEIDFGPHRFSFKNLYFATEGFKNRHLLGTGGFGRVYKGFLSESKLQIAVKRVSHESRQGIREFIAEIVSIGRLRHRNIVQLLGYCRRKGELLLVYDNMPNGSLDKYLHCNSTHPSLDWNQRFRIIKGVASGLWYLHGEWEQVVIHRDVKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELANTGKASPATDVFSFGIFVLEVACGRRPIEHGMNSEYKFTLVDWVIDRWHEGSLLEVMDPKLQNGYDDDEACLFLKLGLLCSHPSPIARPTMWHVMQYLNHDLPFPELTAMDMVRNRWVDSPIAQWRAMAPCLACLKGGSIHLLPYVHLVT >KN540280.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540280.1:34339:36378:1 gene:KN540280.1_FG006 transcript:KN540280.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKLVFNGFSNSSLVLDGSAAVLPNGILKLANGSSYAYTKRLYAYTKGHAFFPSPIQLRNSTDGSILSFSATFIFAMLHTLPLEEGDGIAFFLAAHTNFTGTGISGDFGLPAEDDNGKSLDHILSIELDTLHNEKFGDIDDNHVGININSLNSSQSSPAGFYTDEPYSILHPLRLKSGEEMQVWIDYDHRRMQLNVTLAPVPMAKPKRPLLSATDHNLSKLLLDHMYVGFSSSSSAALYISGHVHGHFIAGFCFRLDGKPASLQYSKLPKINKSDLPDHVTYYGTGRRSSIHWPDFLTSLPLIYASALGGAISMPVIIYLIVRRCRRYQELHEDWEVEFGLHRFSFKELFKATNGFVDKQLLGVGGFGKVYKGVLPSSKLEVGVKVMSHDSKQGMKEFVAEVVSMGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLNKYLYDQDKPSPNWVQRFEIIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDSEMNGTRGYISPELARLGKATKATDVFAFGAFILEVACGRRPIGMNSSGELQVLVDIVLRFWQRDLILCMLDPRLGGEFVTEEAELVLKLGLLCSHPSPASRPSMRLVMQYLCGDALLPEMPESYRSIRSFSEMQVEGDQLDENPLRQYLSVQTSITGLSGGR >KN540971.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540971.1:14890:16895:1 gene:KN540971.1_FG004 transcript:KN540971.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVEAEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KN540280.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540280.1:8381:11803:1 gene:KN540280.1_FG007 transcript:KN540280.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVVLLLLAAALPMSCSNDTDLTALLAFRAQVSDPLGILRVNWTTGTSFCSWIGVSCSHHRRRRRVVAALELPNIPLHGMVTPHLGNLSFLSFINLTNTGLEGPIPDDLGRLTRLRVLDLSRNRLSGSVPSSIGNLTRIQVLVLSYNNLSGHILTELGNLHDIRYMSFIKNDLSGNIPENIFNNTPLLTYINFGNNSLSGTIPDGIGSSLPNLEYLFLHVNQLEGPVPPSIFNKSRLQELFLWGNYKLTGPIPDNGSFSLPMLRWIDLHWNSFRGQIPTGLAPCRHLERINLIHNSFTDVLPTWLAKLPKLIVIALGNNNIFGPIPNVLGNLTGLLHLELAFCNLTGVIPPGLVHMRKLSRLHLSHNQLTGPFPAFVGNLTELSFLVVKSNSLTGSVPATFGNSKALNIVSIGWNLLHGGLDFLPTLSNCRQLQTLDISNSFFTGNLPDYMGNFSNQLVNFFAFGNQLTGGIPASLSNLSALNLLDLSYNQMSNIIPESIMMLKNLRMLDFSGNSLSGPIPTEISALNSLERLLLHDNKLSGVLPVGLGNLTNLQYISLSNNQFSSVIPPSIFHLNYLLVINMSHNSLTGLLPLPDDISSLTQINQIDLSANHLFGSLPASLGKLQMLTYLNLSYNMFDDSIPDSFRKLSNIAILDLSSNNLSGRIPSYFANLTYLANVNFSFNNLQGQVPEGGVFLNITMQSLMGNPGLCGASRLGLSPCLGNSHSAHAHILKFVLPAIVAVGLVVATCLYLLSRKKNAKQREVIMDSAMMVDAVSHKIISYYDIVRATDNFSEQNLLGSGSFGKVYKGQLSDNLVVAIKVLNMQLEEATRSFDSECRVLRMARHRNLMRILNTCSNLDFRALLLEFMPNGSLQKHLHSEGMPRLGFLKRLDTMLDYGSMAKASRKSDVFSYGIMLLEVFTGKMPTDPMFAGELSLREWVHQAFPLRLTDVVDSNLLQDCDKDCGTNHNDNAHEDAASIRLITDLLVPIFELGLMCCSHAPDERPTMKDVVVKLERIKRDYADSTGSQRTE >KN540971.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540971.1:28511:36590:-1 gene:KN540971.1_FG005 transcript:KN540971.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCKLGDGSVTHRGEACRFYLQGTKRRRAKVHKEDEPVENENLESEFVVSKKESNGATELGNEPFASKRPKRAVACSNYKEKSLDLSEKDSIITIKESRVEEKEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFIHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSLKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEWVVEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTIERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTTLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVEDEKATRTPPSTRSRKSSADTRKEIKWEGQTAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGAQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRNEKAKAEERKRKGLPVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRTANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVKLVRLTRKIPASKKNKGKQICDIELGGSDKPKDGQSENCLATLDIFAGCGGLSEGLQRAGASLTKWAIEYEEPAGEAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRLFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGASKPTIQYGSGPVSWFQKKIRGDTASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQSKHRQIGNAVPPPLAYALGRKLKQAIDAKR >KN540280.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540280.1:27764:28422:1 gene:KN540280.1_FG008 transcript:KN540280.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLPCTHAHLPLPLFFSILLLIFSMEVAHSDARRLPLKLLEVGNIKEEPDETIGEKMEMEMEGRRLIGSRPPRCERVCMSCGHCEAVQVPIVPQVIQKTQTKAAAAAAAEQEQHVVVSATAISAAVFTYRVNGLSNYKPLSWKCKCGGIILDP >AMDW01067493.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067493.1:715:1153:-1 gene:AMDW01067493.1_FG001 transcript:AMDW01067493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLPVWISVALLIALSIVASASSLGLSKSNGSDTDLAALLALKVHFSDPDNILAGNWTAGTPFCQWVGVSCSRHRQRVTALELPGIPLQGELGPHLGNISFLSVLNLTDTGLTGSVASQPP >AMDW01078309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078309.1:578:877:-1 gene:AMDW01078309.1_FG001 transcript:AMDW01078309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTDKSTGKAKRPKKESKEVADPSSNGGGGGGKRSSIYRGVTRYASLHVQLLPSL >KN541183.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541183.1:2040:5497:-1 gene:KN541183.1_FG001 transcript:KN541183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTVISLASVNDTDAGGLQRRVLYRGFVSEIFVPYMDPEEWYFHTFTDAGEYGLGALASQLQRGADCPANAVYMDGYYAGSDGKPVKAEDVICLFERYAGDVAWRHTNGIELGGLFSEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKIVVSLSGILEMKAINYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTRNSFIKNNIVPKRNTGVRANGGAPTPRRSYWTVLYEVAETEAEGQVNINNAPADLLFVNPSKKTKIGNEVGYRLIPSGATATSLLADDDYPERRASYTKKQVWVTPYNKSEKWASGLYAEQSTGDDSLAAWSKSWSRNRSVKDEDIVLWYTVGLHHVPYQEDFPVMPTISGALERGTYPGSDLSVERNPIVGSNLHIAPPMATWIGNNGRTKESAFILLM >KN541140.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541140.1:5746:6261:-1 gene:KN541140.1_FG001 transcript:KN541140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKLFLGRVLMQSVVANANANANANANAKPLAFNWFLPSPDRSSIRARQDGVAMDGDKMQLSSRKTAVKCPGLGWTVWPAISRDPVRAILHGPQENRCQSVKAYGPHVTVIPSPQRPFAMRYVASCLTSDSRRRVDCLRAPTEFLDLSHGDAASHFSTAGMDTRDTLKR >KN541183.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541183.1:31074:31721:-1 gene:KN541183.1_FG002 transcript:KN541183.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGTSRGSASSSWVGGGERGRREPPVPYRAGPLDYEPPVFCDCKVKAARWISWSPSNPGRRYFTCFNARCGGCRFWEWCDPEADPFMKQLLLDLRNAVWSAREQIKALEAANRDNRIAGHLNIQSATTEDSNEVELMKVALEKIEAANSGLVDRINKQQSCMMMLVYVLATVVVVLVGNMYLSL >KN541140.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541140.1:24518:26938:-1 gene:KN541140.1_FG002 transcript:KN541140.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLRLYANANMTPRHGCLVAFNSNSEYSYGYGQIFTDDRVRTLSHQVSTLGDRVWELEHKNTRLLGEKGKLEKQLEETKAAVQAISSEKEEVERSLKGENDKLRLEVLTAEDKYSQSAVEIEKLQKELVALAEVKEKLNICEAEIERLKMELGALTEAKEAAAKAFDAQNEEITKELEDLKTKLEEIKTNKDLVESENGKLRSELLSAEEKYSQSEAEIKYLKQVMGAVVEAKEAAAKAFATEKEDIMKESDNLKRKVKEIQDSKVLVESENDELRSEILTMKQKHSQFELEVTSLKKELGALQEAKETTAKAFEVEKAEILKELEDVKRKVEEIQTNKDLVEGENDKLRLDVLTAQQKQSILEVEANNLKMELGALVKAKEAATKAFDAEKAKIMKELEDVKRKMEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSELEKALDVEKVEACKLKSKFEELENYKVEKDEETRKLKAALEEKKSEIDVLNKDNELLHLAVAEAQEKNKGNILSCLSPCGSK >KN541183.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541183.1:10807:11190:-1 gene:KN541183.1_FG003 transcript:KN541183.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYTYPPFVESVRRRGLDVGEVGCGVLSRGWFGAEQPAYGGARVAKMKCVVVDYNATANIYARPVEGVVMVVDLDRMAIIGYRDRAAFTVPKAEGTDYRADKVGPPGVVVQPEGRGFHVHGHLVK >KN541140.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541140.1:15292:18826:-1 gene:KN541140.1_FG003 transcript:KN541140.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLTSIFRTKRSRIVQLSEAIENKWKDNSALVRCHSWDTTQETQCSEKNYLERRVQRLEYENTELSNEKRWAVDVYSRKISTLEYRVLKLEHQNTKLSSELVRQREDTRTVGLLFMNAADRYQHVAEVQIRTKEEELVNMRKASMQLMNAADTYQEVARKQIKAKENDLEDARKAILLIMNAADTYQQVVEKKINDKVEELRVLGVQKAEMDARIASLESRLEAALVKNQELESTYVEALIENDRLWSVVERLMMGALVEVKEVANTPASSPTTAEAAFNCGQFPTGLGRACPPFHVLAAYPASQSTPVVVKVTNELSPNRCALPSLEFAVLMLKA >KN541140.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541140.1:7381:9743:-1 gene:KN541140.1_FG004 transcript:KN541140.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVLTRGNKLISSNGKFVLGFFQTGSRIWFNNIPKFTTVWVANRDKPITDPIFKQSELRVSRDVILVILNKVAKSMIWSSQIENRPKTSSNNSLVLLDNGNLVIRDASNPSNVWWQSFDHPTDVFLPEAKIGRNKITGQKYSFTSKKNSEDPALGLYCMELDPSGSRQYYDKLCNSSTVYFSTGEWNGRYFNSVPEMSSNVLFDSQFIDNDEEEYFTYTPFDKTVITICLIDVSGLTKQLLWVEELQDWETVFIKPKASCDVSSVCGPYTICNDNALTLCNCMKGFSVKSPRDWELDDRREGCTRNIPLGCSSNKSTTGLTDKFFPVPSVRLPYDAQSISMETVASAHECMQVCLRNCSCTAYSYGRSGCSVWHEQLINVKQYNGTSNTNEEILYLRLADAELPSWGHNRREKIIAAVVGASVSAFSFLAFLLLLMIWIKRRSRDYPINKIKEGAGIVAFRYAHLQCATKNFSEKLGGGGFGSVFKEILSDSNTIAVKMLDGARQGEKQFRAEVSTIGMIQHVNLVKLIGFCCEGDIRMLVYEHMVNRSLDAHLFCSNGTILNWSTRYQIAVGVAKGLSYLYESCHDCIIHCDIKPENILLDASFVPKVADFGMAKLLGRDFSRVLTTMRGTIGYLVPEWISGVAITQKVDVYSYGMGDVGSLVDHQLQGDINMEEVERACKVACWCIQDEDFNRPTMGDVVQVLEGLVEPDMPQVPRLLESILGDVQEFKFDQISGISTE >AMDW01037997.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037997.1:149:664:1 gene:AMDW01037997.1_FG001 transcript:AMDW01037997.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASQGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTMMWRRLKEVDKHFEYQYPSQKDDAVQMIWQALARKVPAIRLKSHRSRRFSRYDRGGK >AMDW01037320.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037320.1:68:496:1 gene:AMDW01037320.1_FG001 transcript:AMDW01037320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILLPVYIFTTPLLIALGQDPEISVVAGTISLWYIPVMFSYIWAFTLQMYLQAQSKNMIVTYLAFLNLGIHLFLSWLLTVKFQLGLAGVMGSMVISFWIPVFGQLAFVFFGGCPLTWTGFSSSAFTDLGAIMKLSLSSGVMLW >AMDW01038709.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038709.1:153:785:-1 gene:AMDW01038709.1_FG001 transcript:AMDW01038709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIPDSGTIHAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKIRVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKETTNIKKQEVTTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDNDDQ >AMDW01023860.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023860.1:110:229:-1 gene:AMDW01023860.1_FG001 transcript:AMDW01023860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIK >AMDW01038270.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038270.1:3:391:1 gene:AMDW01038270.1_FG001 transcript:AMDW01038270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TARPTASSSSSEISLQIDPITADLDDHIVGLRGQVRKLRGVAQEIQTEAKYQNDFISQL >KN539219.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539219.1:78899:81334:-1 gene:KN539219.1_FG001 transcript:KN539219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVLDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALKLFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDSLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHLSILLGLCKKGLLVEARWYLENVARKYQPTDVAFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIHDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >KN538778.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538778.1:160737:163448:-1 gene:KN538778.1_FG001 transcript:KN538778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MENGHAKNRVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRAGTEAISLIKGMEIAVEKFSEATIGYKKDKEVAAQWAKLFTTPYFLVSVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLSPTVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIISGSNAYY >KN539219.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539219.1:40799:43163:-1 gene:KN539219.1_FG002 transcript:KN539219.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSVGFYQASTIHFADPNHCILMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLRDPFTFRNVAGILVAIFGMGLYSFFSVSESRDKKLADGPSPPLPISSSQMGEMKDSEPLLGGGGGGAAAKSSPWNEVKGLQSFDEVPRTAKSAFSRP >AMDW01019517.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019517.1:39:263:1 gene:AMDW01019517.1_FG001 transcript:AMDW01019517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFAGFLSILGVSE >KN539219.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539219.1:102114:109622:1 gene:KN539219.1_FG003 transcript:KN539219.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCLAGAPDALLVKIHNPVFLWKVYGFSALLQRGALAAKSAAFHCSGYNWYLKVSPMHKTLGDGSPHVALSLVLSRLSFKPDYTMNAVFVLSIYNHSKGNFLVVKASYNFDVKNTHSRNICLISLEDLLKSSEYLLDDTCVLGVEILQIDVCRSLKKKSVKVQKKFLFLQKKKFVSVQNLFLQKKDFTKGDYTWTMNNFPELDLKPSVLSPAFEIGRRKWFIRMYPRGDEYSTNSLSMYLFPQSWDKLLPEPGTMIELTLSILNQNNAQLHKVSGRFVFASKNGWGWSNFIALHKLKDLVGSSCIVKADITIIGSSSESQIVYILRLIYWRRDLKGELEERTMGNVAGRAAGAYSKEPQNAPFVKEREPAFQWKIYGFSALLQRGATSVTSDLFHYGGHTWYLQVTPMKKKGCGLDEPFVAANLLMDTRQGLKEDIMVDTIFELSIYNHSRRTHHGTRASYKFHYPKYHSEYTYLIPLSKLHDGSDFLVDDTCVFGLDILTARKFKPTKKNAKGVTIQHVFLQTKGFMQGNYTWKIEDSKLDLKSTICSPKFDIGEHKWYLRVDPFGDYRNRDYVSIYLCLDDNSNMPPIESAIMAEFTISILNQKNGKHSQQKGSSHQLLQFSIELEF >KN538778.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538778.1:220692:221997:1 gene:KN538778.1_FG002 transcript:KN538778.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKLISTVYSGPTISDIESALSFTAAGDHQLLADGHNFAASSCSPVVFSPEKTLSKTMENKYTLKMKSCGNNGGLADDGYKWRKYGQKSIKNSPNPRLPPMIVTILTAAAAAA >KN539219.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539219.1:132546:132743:1 gene:KN539219.1_FG004 transcript:KN539219.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADMDESFWSSTEVTGMMAGLGDMDEELAIAGTSSSAAARSDDMEFWLKMLLESGDMRDLAVL >KN538778.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538778.1:142927:145039:1 gene:KN538778.1_FG003 transcript:KN538778.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQAGTSLMHPLLPTLQEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMGAHFRVQHSHLLKRRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNMTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAVSHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >KN539219.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539219.1:18240:25635:-1 gene:KN539219.1_FG005 transcript:KN539219.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAAPPPSSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYALREFFRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDESEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQQKEQRILPRVILQKCAAQGGNKFFFIVNIQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >KN538778.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538778.1:165169:169877:-1 gene:KN538778.1_FG004 transcript:KN538778.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSSSSHGLLHPPHRLLAAAASSGSSYSIPHVRLRLAVTTPWRLPSPISSSPDPPPDVAHDEHEDEGDHHKEERDERYGFEIQVRKLPKRNRRLVRARVRVDAPLDAVWATLTDYEGLAGFIPGLSECRLLDQSDCFARLYQVGEQDLALGFKFNARGTIDCYEGELQLLPAGARRREIAFNMIDGDFKVFEGKWSVQQEVDGGEISADQEFQTTLSYVVELEPKLWVPASSQYYTSLILKNTLQKGFLRRADSRTPRAFVQLLAAQPPRPSAADQCHAAATKLGFSASNPFANTALLAFYCRSRRLREAQHLFDQMPLRTAVTWNTLIYGHAQSTAPDLAVAAFARMVRAGVSPTASSVSSVLVACVRLENAGAGAMLHSVGLRCGFFASVVVGTALVDMYAKCRRLGAAQQVFGEMEEKNVATFTALVTGFVLSRRPHDAVLLVREMERSGVAPNLMTYSSLLSSFTSPEDIDHGKQVHCAVLKKGLEHDPFVLSALVTMYSKCGILEDFVKVQMSVSCQDQVSFNSVISGLSCLGRGKEAFQHFLEMRRHGTDMDVFTFASVLKAIGSSSSLLEGRQVHTLILKIGYDSVVDVQNSLISMYARHGAIGESNGVFISMEAPNLVSWNSLMSGCAQHGHGKEVVEMFEQMRRLHVQPDHITFLSVLTACSHVGLVDKGLEYFNLMKDKGYLVGARTEHYACMVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIAIRVSKCLIELNPHDSSVHVQLSNAFAGDGRWGNAAEIREAMSGKGIVKEPSWSRIEDQMQHS >KN538778.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538778.1:183763:184758:1 gene:KN538778.1_FG005 transcript:KN538778.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASSYTYWCHSCDRFVHPHPHLDAAVLCPHCNAAGFLHDHEMPPAADHSPFNPVIVLRRSASPDDATTFDLLYDDGAASASAFRPLFDRLLLRIPSASDNPNPPASKAAVDSMPTILIGACHLAADSHCAVCKEPFDLGAEAREMPCAHIYHHHCILPWLALHNSCPVCRHHMPTNDLDSTNAAAAEAAAGSSDEDATTVGTLTIWRLPGGGFAVGRFAAAGGTRAGERELPVIYTQMDDGGFNGGSPRMIGWSSRGSRSSQRQRSIIPRLFRNMFACFRHHDATADSGDYSSRAGRRSSSSVFTRSLRSQITSWRSEDGHPDTIATR >KN538778.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538778.1:137821:139542:-1 gene:KN538778.1_FG006 transcript:KN538778.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTVFSRLRRLFSTAAATATSPTPESVLYSLRTLSKDPSVALAFFRRSQAGGHPLGSAAYNLMLRTLASHPTSAHSHFWPFLRDMNDAGHSIDQGTYLAALASFKKASLTADYASLTAHYTKAQEDAKGGTPTSAAADAVRALEDRSDSDASAELDEKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGLQNGYKHGSVAYNAMVRVLGREESMREFWDLIQEMKADGIHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLELVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKANRFDEARKALDEMEAEGCVPDLKTWTMLIQGHCAAGEVEKALQYFTEMVEKNLEADAALLDVMVKGLCSDDKIDASYAFFVEMVDKANLSPWQGTYKHIIGELLRVKKLEEALGLLRSMKARKFPPFADPFPTHIAKYGTFDDARQFLKALTVNNKYPSPTAYLHVFKSFFTEGRYSEAQDLLYKCPLHIRKHPDVTELFESIKVESESAA >KN539219.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539219.1:111524:112345:1 gene:KN539219.1_FG006 transcript:KN539219.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGRGGGSELRLLDLTRRDAVAIINLLAMLSSVHVLAILGYIAVHSVALGTVFASVAGQHLQGRPRRWFHFSGMAFGVRRLTGFAFLRWATRDAIVQMLCLWFFSEVHDQAQLFRLFVVAKLMPFSASVNPWLAATVGGPELDGFFIAWALLDAVVSVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLIKCWQTVVCGSMGQLAMVTLGGKVLGGFLHSIAEVYFMVVWLMFYFSARCKEVRLGGRHFGLEDVAAALDGFRQ >KN538778.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538778.1:223238:229781:1 gene:KN538778.1_FG007 transcript:KN538778.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLRLDPTVASPARLLLDVPKTPSPSKTTYSDRFIPCRSSSRLHNFALLDRDRASPSSTTDDAPYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAASAAATAGHYDCTAGSAESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGNCVYLWSASNCKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRVPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPILRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQRLLFMEQQCRHLFLNLFISSLIEMEYESNYSKEQKLNCDCLVFDLDDTLYPVTSGIGADVVRNIQAYMIEKLGVEESISLELCVLLYKQYGTTMAGLRAVGYQFDYDDFHSYVHGRLAYEKIKPDPVLRNILLSLPIRKVVFTNGDKIHASRALKRLGIEDCFEGVVCFETLNPTSSLSAAGQVEIFDIMKHLAHPEPGVELPKSPILCKPNIDAMLQALKVASINPKTSILFDDSARNIQAAKQIGMHTVLVGTSERIKGADHALESLHNMKEALPELWEEAVKDEDVRKSSKVGIETSVIA >KN539219.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539219.1:2058:6222:-1 gene:KN539219.1_FG007 transcript:KN539219.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGEVEEELDYRADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRHGELCVGIRRAKRVACGGMECMSGWNAPGYGGGGGFSAFLKEEENKLMKGHGGGGGYMKGKGKVRMADVVEAASLASSGLPFEVAYYPRASTPEFVVKAASVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSSPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDTAPAGIQGARHAQFGLPLTDHQLNKLHLGLLHSGSFNRLDAITPPSRISKGFVVSSAPAHDNVSCLLSIGTPQVAEKSDDRKTTPHIMLFGKAIFTEQQITSSGSTETLSPGVTGNSSPNGNAHKTGNASDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLADMFGIEKEEIINHLHFRDAAGVVKHPGEVPFR >KN539219.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539219.1:49448:51823:-1 gene:KN539219.1_FG008 transcript:KN539219.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLIANLIVMGSGIIGRAMLQAYRKALDNANKTGVAHETINNIRRASKTMTEQEARQILGVSEQSTWEEIAQRYDNLFERNAKSGSFYLQSKVHRAKECLENVYQKNKQDGTPP >KN538778.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538778.1:250842:256328:1 gene:KN538778.1_FG008 transcript:KN538778.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARTSSRRGRRACLQSLQSLDGDIIDCVHISRQPAFDHPLLKNHTIQMRPSIQPSVMYGEAARPFTQTWNQNGEKCPDNTIPIRRIKEEDVMRATSVATFGKKTHGSHHPRLAGATDGHQYGVASATGDTNYYGTKVTINLWQPTIATSGDFSLAQLWITAGSYDNKDLNTIEAGWQVFPAIYGDEKTRLFIYWTRDAYNKTGCYNLKCSGFIQTNPQVVIGGSISPVSIYGGQQYEYDYLVWKDPAGGNWWLQVGGNSVGYWPSSIFTHLQTGVANSVQWGGEVNSPRNTTPMGSGHFPEEEFGKAAYSRAIQVVDSSNNLKPPNGVGLIAHSPNCYNVMNGSTATNWGTYIYYGGPGCP >KN539219.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539219.1:56914:61113:-1 gene:KN539219.1_FG009 transcript:KN539219.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVTRSIDLVYGGGSIGLMGLVSQAVFDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVMAPTAEELMDKLEEYVPYHDRVASKLNWEMGHLGY >KN538778.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538778.1:266710:270014:1 gene:KN538778.1_FG009 transcript:KN538778.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPDGDIIDCVHISKQPAFDHPLLKDHIIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRIKEEDVMRATSIAMFDKKMRGSHHLHLGGATDGHHYGVAYATGDANYYGTKVTINIWQPAIATSGDFSLAQLWISAGSYDNNDLNTIEAGWQVFPDIYGDDKTRLFIYWTSDAYNSTGCYNLECSGFIQTNPQFVIGGSISPVSIYGGTQYVCDYLVWKDPVRGNWWLQVAGNYTGVADSVQWGGEVYSPQITTPMGSGHFPEEGFHKATYSRAIQVVDSSNSLKPPNGVGLGAPLPNCYNVMAGSSSTTSWGTYIYYGGPGCPQNSQIEVM >KN538778.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538778.1:171550:179639:-1 gene:KN538778.1_FG010 transcript:KN538778.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGEPWRRQEGEQAQAEADRELDLFRSGSAPPTVEGSMGALQAAAAADVFLEDELRADPAYHSYYYSNGNINPRLPPPLLSKEDWRSAQRLRPGLGGIGDGRKPRGGGGGGGGGAGGMGPGDGLIGMPGLEIGRQNSFSGIFQDDSYQHDTDRHGANCNGTDLLSYSKVQHGLHRETGAISGLHSDSKAPCLPENQNESSHSYASIIGSSLSRSASPDPELVRRVPSPCLPPIGVKLGATDKKNNVGSSSFNRSSPNIIESDDLVSALSGMNLSSSRAMNGNTMDQSKLHQDVDDVRKFLFDQYMDQTNGNQRHSYMKRSEQGHVKVPQEYSGASMNPSVMRSQINAGGFTSFDNSTVGSGFASPRLGSRSPGGSLSSRQNLTGGSNLPNYVGIGSPTAASAHQMPVDPLYVQFLRAAEIAALAANCEDPLMDRANLGGSYMDLFGPQKAYLSPLLQSQKQYGYYGNLGVGLGYAGNSLTSPILPSSPGGPGSPLRHGDRSMRYPSGMRNFGGSFGSWNSDLGGKMEANLVPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFAEIMPQALTLMTDVFGNYVVQKFFEHGSSAQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGQVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLATDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSSNESEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGENPSIMGEEAPEEYELGGGEDERGTGADEAESEGGAEGGNGNANNNANSSSSKRARLVWTPQLHKRFVEVVAHLGMKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHASLHDQVPSPYHPHPHHHSSYNNAAYAATVSSYHHYHHANH >KN538778.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538778.1:146590:149703:-1 gene:KN538778.1_FG011 transcript:KN538778.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPIVGRSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTTARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEEYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHNFVEIMAPVFSRDAWRCVWHMIQPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNVTFVH >KN538778.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538778.1:135973:136762:1 gene:KN538778.1_FG012 transcript:KN538778.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHNQVLYFQAQRKVRFQDNQASIMKEVPTGTNEKLVHDQRMKDDKNKDVDSVASDFIKLKHRAWALQKSASIYKVKSSELNQVNHLPNPAKNNIARKPSWLQVGIDAHQTTKESDAFEEPLFVLM >KN538778.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538778.1:186499:188148:-1 gene:KN538778.1_FG013 transcript:KN538778.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) UniProtKB/Swiss-Prot;Acc:Q9LTH9] MARTMTMRASSLLVAVLLLLAALSFPACSGHGGINDGDGQVDAPATPASTSGVRSKGLIAVKVWCLVILLVFTFAGGVSPYFYRWNESFLLLGTQFAAGVFLGTSLMHFLADSTSTFKGLTTNQYPFSFMLTCVGFLLTMLSDLVIAAVARRSAAAGVSDNQVSEQQAEGAVMSRKEEAAALAHPAMLVRTSSFEDAVLLIVALCFHSVFEGIAIGVSASKSEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVVYSLAFAVSSPVGVGIGIAIDATSQGRAADWTYAISMGLATGVFIYVAINHLIAKGYRPHHPTAADKPAFKFLAVLLGVAVMAIVMIWD >KN538778.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538778.1:233468:239296:1 gene:KN538778.1_FG014 transcript:KN538778.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDNGSLRTALAAEVATTTVGATSRRTVYVERGCSFRWLLLLVALIFSTLSPSEGEGSEAAAATLRPRQVQSLLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLMNHTIQYGVAYAIGDANYYGTKVTINVWQPTIATSGDFSLAQLWITSGSYQNKDLNTIEAGWQVYPALYGDEKTRLFIYWTRDAYDRTGCYNLACSGFIQTNPQVVIGGSISPVSIYGGPQYEYNYLVWKDPAGGNWWLQVGGNYVGYWPSSIFTRLQTGVADSVQ >KN538778.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538778.1:153378:157610:1 gene:KN538778.1_FG015 transcript:KN538778.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNSKLPLALVLLLLCGGACVAVAMPSELSIVGYSEEDLASHERLMELFEKFMAKYRKAYSSLEEKLRRFEVFKDNLNHIDEENKKITGYWLGLNEFADLTHDEFKSSYLGLTITPATARRNDDDSSSAFRYEEAALPKEVDWRKKGEGGEVKNQGQCGSCWAFSTLDGAAVEGINAIVTGNLTRLSEQELIDCDTDGNNGCSEKHRCFAIDKRKYIILLLLALLVPSLVPSLISSLGSWDLGERGAGGRSKRRARSIKINMSNNKVLTLEEVSKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMNEYYVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >AMDW01032865.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032865.1:177:269:-1 gene:AMDW01032865.1_FG001 transcript:AMDW01032865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALLEYEKYKVRTGQLQVPLSALPQPGGTGRE >KN541462.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541462.1:26173:26622:-1 gene:KN541462.1_FG001 transcript:KN541462.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISLHYPSTAIFARALATTRRLRLHAIFSSSRLPTTAPLHRCPRRRRSRDLPPPPPPSPHSTAGPAGEFRKIIVVPTAARALPSHRIARHGPAIEQQHLLLPASYSTEGDLGITIAALKPASKWDGRRERQWRVWEWERVKRGKPRW >KN540726.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540726.1:28343:30871:-1 gene:KN540726.1_FG001 transcript:KN540726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLKSLLPGGKDDKRRTLMHQVSTLGERVSELENKNAQLLDEKGKVEKQLEETKQEAQVISRQKEEVESSLKGQNETLGLKVLKVEQKYNHMVEKLQMELCALVKEKGVAVKAFDDEKEKMMMESEDLKRRLEEIQANKDLMESEKDMLRKFEELQTNKDLVQAENDELLSNVLAIKEKYGQSEAEVKKLQMELSALVKEKEVAVKTFDDEKDKMMMESEDLKRRLEEIQANKNLVESENDRLRSEALITKQKQIMFEAKIETLNMELVALTEAKEAAAKACEAQNDEITKQLEDLKRKFEELQTNKDLVEGENDKLQSEVLAIEEKYGQSEAKVKWLEQKLEEKYSQSEAEVKWLNQILRVVVEAKDAAAKAFEAEKVEILKESGNLKRRVEEILVNKDLVESENDVLRSDILTMKQKYNQFEVEVKSLKNELEAFKEEKEVTAKAFNVEKTEILKELEDQKMKVQEIQAKKDLVESENNVLQLDIVTTKQKYNQFEVEIKSLKNELKALEEEKEVTAKAFNVEKAEILKELEDLKRKVQEIQANKYLVEGENDKLRLDVSTAEQKESISEAEAKRLWKILDALMEAKENSVQEALDAEKVEAWKLKSKVQELENYNPEKDGETENYNAA >AMDW01037126.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037126.1:237:603:-1 gene:AMDW01037126.1_FG001 transcript:AMDW01037126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFSSNVVEKCLKVFKEADKATIILELLAVPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >AMDW01036017.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036017.1:40:519:1 gene:AMDW01036017.1_FG001 transcript:AMDW01036017.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRGQDAQAQLAVAQHQHQEVLLKQKPASEAVGEQKSVVVDQSSLRSQEAQVQWTSELQDVATDSGDGGFDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLP >AMDW01037287.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037287.1:392:671:1 gene:AMDW01037287.1_FG001 transcript:AMDW01037287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYEATKVVFARLQALEPNLAPNIIGMLLTKDNNEMDMIRLACGPDNLLQSIIAKVHTDLTNKPSPPMASWGFPSDIGEEASFSLDKVGCDG >KN541371.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541371.1:653:4180:1 gene:KN541371.1_FG001 transcript:KN541371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRRELCKSKDIHVLFKAGALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTKIIWNRTKFSRRTGNILIVKAAAWIVLGIFSLIRLHADYLLVVGVCLSLSIANIVGFTKCNKDAKKNVADWTRTTLLSSGVRSTIQSAFGV >KN541371.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541371.1:14811:18645:1 gene:KN541371.1_FG002 transcript:KN541371.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKGKIENTSPTTAREETEAEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRAVPLDDNNEAFEEVLDPDFGESAIGRVAPALFYSALRCSREMLVMNDGSKNLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAITSSLTTPKQAEGILSLIDEKWDDLIANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEEKLAADKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRTRCSRRAAKSHVVE >AMDW01033684.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033684.1:36:143:-1 gene:AMDW01033684.1_FG001 transcript:AMDW01033684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKAAIGCVDARVPVRASYVSLYKWPESDAEFV >KN542809.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542809.1:10288:11878:-1 gene:KN542809.1_FG001 transcript:KN542809.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EADLSNGHLDTKMDWIPGMPADLRLRDLPSFVRSTDRDDIMFNFFIDVTATMPLASAVILNTFDELDAPLMAAMSALLPPIYTVGPLHLTARNNLPEDSPVAGVGSNLWKEQGEALRVIGPKII >KN538822.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538822.1:126345:129781:1 gene:KN538822.1_FG001 transcript:KN538822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPDGCCCALFCATVLVHPDGTPYPAAKGADVNGKVFRGYPATAAAREGRAEVAALLCGADPNATSRVLLRSLKPSLHANVDCTALFAAIVSRQIAVVRQLLQAGVKRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGAILRMLLQNGYSSGSTHLGRNLLHHAVLCGSAGAVQTLLASGVDHEVAVKTSRSSRSRPVHMAARLGQPEILEMLIGKGCDVNARAEGGDVAAILAARYKREDCLRILVSAGADVAVLNSAGESAASAACSGGWKAGFERAVLGVIRSGTIPRSSDRNVFSPMMFAARCGDAAAMEMLLAQPDVDVDEQDADGCSPIMAAAKEGNVDAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLASAGCDVNIPDGDGYTPLMLAAREGHAAVCELLISYGARCDTRTPRGETALSLARATAAFNKAEDVIMDELGRQLVLGGAHGPGVCIAFGGCLLG >KN538822.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538822.1:56698:57808:-1 gene:KN538822.1_FG002 transcript:KN538822.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDSVIRFYAAELVLALDYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPTPPPQEEQDATTVDSAPEPPPSSPSPNRAKGKRQPGAALCFPFCSVGATKPAASADSPSPTSTSRTASASSSSSSSTTTTASSSTAAGVRSPAKSNSFVGTEDYVAPKIIAGSGHDFSVDWWGLGVVLYEMLYGRTPFRGLNRKETFYRVLSKQPELVGEKTPLRDLIARLLEKDPEKRIGARGIKAHPFFNGVDWDRILRVARPPFIPPPPEDEDEAGEVLDVEKVVNEVFAANDGGAAAGVVEKPSPEAGGTLAGGDGEQRRDPSKEGDFSVFF >KN538822.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538822.1:5861:6412:1 gene:KN538822.1_FG003 transcript:KN538822.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLCAGWRMLRMWTAAGWPYLAAGGETLRMWYRRRVWTLGGVRTLDQALRPQCKLRKQKMMSARSSATTSSTRPASTSASATASTACNRVARCVLPWKASPANLIDHNAQRFQHIRARGGVRTLDRALNDACPICQHRMVAGDDVCTLSYGHDFHEDCNIAKCLRDNKKA >KN538822.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538822.1:163090:164588:1 gene:KN538822.1_FG004 transcript:KN538822.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADLAAAERGGHMVPSKAAGDGEPRRTGTMWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMLLFGAVIYCCSVLLVECYRTGDPYTGQRNRTYMDAVRANLGGTKVVFSQIPNLHKMWWLSTLASAMSLSYSAIGIALGVAQIVVLDVFEIEFAANGGVRGTITGVFVGAGAGVTSMQKDTVKPVAPPSTETKVMRKAVAVSVATTTAVYLMCGCVGYAAFGNDSPDNLLTGFGFFEPFWLLDLANAGVVVHLVGTYQVVAQPVFAFLDGRAAAGAWPGSAALGKRRRVLRVGSLAEIE >KN538822.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538822.1:3240:3836:-1 gene:KN538822.1_FG005 transcript:KN538822.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGFIAVLVAFGLINLGLHLYERAPGWLVWMLGGVTTLDRALGDCSMCQYGMEAGEVVRTLSCGHVFHKDCYYSVDKWLREHGLSCPECRKKARSVRVLPWRARPQQPLPEEQNPPPQETSASSSSSSSSTHVRIAPEEPGDLDLEAQDQLLPPPATGSPKGPEEQHPPRPAAATSSSSADTSSLEEPLLRPSASP >KN538822.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538822.1:156601:158651:-1 gene:KN538822.1_FG006 transcript:KN538822.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAVPAAKLENADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVTTIPNLVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNSIQPDFYTNQISDFLTLKAATV >KN538822.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538822.1:136665:139132:1 gene:KN538822.1_FG007 transcript:KN538822.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWESVRSLFGEGGNGCLPRIGKKESEDLYSYPVDHEKRKGADRAAAEEVVTVEVPEVPVRELNEITNSFSNENLIGQGSYAKVYRVLMRGARPAVVKKLEKPSKHASNVVFLKQLSVASRLKHENFVRLLGYTISGDLRVLVYEYAAMGTLHDVLHGPRDGQGWGGEAKAVVSWEQRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPILTEDRVQDCIDPNLGDKYPPTGALKLGRIAVQCLQYDPTFRPSMGTVARVINYAVVRDQQGVV >KN538822.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538822.1:33371:39478:-1 gene:KN538822.1_FG008 transcript:KN538822.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWNEALEELGRLKDFGYRPSKVTYNALVQVLSSAGQVDLGFRVQKEMSESGFCMDRFTVGCFAHALCKVGRWADALDMIEREDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNEKDYAYAYKLLNRMTTCGCPPGYVVYNIFIGSICGQEKLLSPDLLDLAEKIYGEMLAANCVLNKVNVANFARCLCGVGKFDKAFQLIKEMMRKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTILIDSFCKAGLIEQAQCWFEEMRSVGCSPTVVTYTALIHGYLKAKQVPQANDIFHRMVDAGCRPNDVTYGALVDGLCKAGNISKAFEVYAKLIGTSDSTDSDFYFPCEDRHTLAPNVVTYGALVDGLCKAHEVDHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCTPNVVTYTAMIDGLCRIGESEKALKLLSLMEEKGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKARLLLGEMKQTYWPKYLQGYRCAIQGFSKSFIASLGILEEMESYGTVPIAPVYGMLIDCFSKAGRLEIAMELHKEMMEVPSSIKTDNDMYASMIQALCVASQVEEAFRLYSEMTRRGLVPELSVFVCLIKGLVEVKKWDEALQLCYGICHEVGLLLSYTTQIFLFTLLVIVVGYGKNIEPQVDLGDSFSSFTVSASAICTVCVLDLSMSRTSVLFIEPLYLYHKENAYKLRRGITGQLHSAEEEGHTLDDAQCCQSFALLSDLKDPKTLVLLSDPKEANGSRQALRFCTTLALINWADGDVKIIKPATVRGMDSNSQPDVHFVHVIELFAFPATLHSSSRLLKEAMTTNEIFGEQPG >KN538822.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538822.1:9674:11981:1 gene:KN538822.1_FG009 transcript:KN538822.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVAKGKKAVDSVPTELPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSSSPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGMKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >KN538822.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538822.1:93194:96023:1 gene:KN538822.1_FG010 transcript:KN538822.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSNNKKMKAPLSFASSRQGSGGLSQISEDGIPDLTDSIHGGAHHHGRSEENGSTHDHVVRSFSSACCAQGDGWRREIPADAARPGAIQSTGQAWMRDAPTEHRREGEKNEDQREAQEVAGPGAQHGQDSEGRQGEMHVQLQASIKEQTSRLKRDVKQIAVLASLMTFLLGPQNMFAWRQEIKGYSPANIRQDSVMCYVSYRFLDIIQKIDRVGQGEKLKSAGTKHSAIGVPKLFSL >KN538822.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538822.1:130237:132186:-1 gene:KN538822.1_FG011 transcript:KN538822.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVALSRELSKLRTGRASPGMLDHIMVETTGVKVALNRMAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASGMPKDDVKRLEKEVEELTKKFIKSADDMCKAKEKEISGN >KN538822.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538822.1:139850:144884:-1 gene:KN538822.1_FG012 transcript:KN538822.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVAPSPRSVSRAYDKHRSKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNALLAVPALLYAINNYLKFIMQLYFSPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSSIPDGTKSFGLAVTTIAYIYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGALEPEDAQNHRSSDSSFVNMTAGAAADCLLDENVTLLQL >KN538822.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538822.1:150867:155825:1 gene:KN538822.1_FG013 transcript:KN538822.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKPFRAPQETKQFVLALRDREPGSGSRGGVIYILAAQNLSERSASDADSLIRRVRPAAVVTQLAHTAADDVRAEEECLEGGGAGGVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHVLAAKRAAEETGSCFLLLESPYERNCNGGASGGQSTMEEGSGQQLASSCSLSQSSTDDGTGGQSQGSCLLTQSTSSIVSSHVRKICLVDDIGGQLVKSLAPTVNLLMSQAISSDGVSECKLAECKPSDRYEAPPFAQTVYPLLADLYDIFVDIPSIGKAMASAQELLRQVHDGKPISTEMLSDVYVFRIAIEALRIGLNNAGRSHIDTRDNHGSKKLDFSELNSEEKCHILLVQALRSQVREFGSVVAVVDASCLAGIRRHWDTPVPSEIAQLASSCFKHYGNKNDSEDNELPSSVDSTDKKSWVAEKPVVVVGAGGTAILGFSSLSKTVQASAFLKLAPYKTPVVLKYGLIQLQRHASIVLSKVLSNGVFSASSNASVLQFTASSEKIRAVTHTVISSAKRTSLMAMRTSFYEIMQKRQKQPFRITPWATFGCSMAACAGLLMHGDGIECAAEVAPSVPMIATLGRGLEILRLTSQEVRQTRGQHIKEALGALMSNLKKTAK >KN538822.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538822.1:68649:75552:-1 gene:KN538822.1_FG014 transcript:KN538822.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADHVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAQYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTGDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGRAVSTERRFPHQDAPCTSEPDLPILQNGINGYNGSNSSIDVMSSQGLHTDCDAQTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIVRQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFSDLAVTPSMFTDHLPWRCHYAMQRVLEAQTAASCPDSPVERLV >KN538822.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538822.1:17261:28597:1 gene:KN538822.1_FG015 transcript:KN538822.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKVLLSKKGVLGTVWVAAVSGVAALSRDQVVRTNVVACVGGRQWNSVGGGGWKRGLSGALGYRNEANHVMRIWIYSKKVEYLCHECNELLGSYGSAHCNELSIPTGGATNRVSKQAKKPVRARRLVVRQEGAYKVKIPMQAARTTRAETRATSQIAEVRDTHATPDLPTFTIPKRFELDSFDLGIPEDRDDDDVDHHQLPHQGTMLEDENHHTSCLFESYKMMACSYADLDSACIMPVRVTIPTEMMSVISEVNSLLCLSSIGGEPENHNAESACFTPVKDILPPEMVDTMAEVNDPSDKSTRGKKPQRELNRDENGNSACHIPLSGSKEVQISENIVENVTFPSRDANCPTIEESENGSLHGTNTNPSCDGFEEPGSLEQPTLRCKTKLINELSPSTPEPMTEGGTGLPCSPKFMVTTPAKKEKHRVTRKRRRGLYNKDYIPTDRGDKRKVRRRGTRALYDENIVLPNETLRNTIEDASDLVQQRRKAPHTCLYTWKEGKIRSLPVTFMDPLILCPTSVYVRYTIIADTPENSCRESVKSRRRLSLELSESNNICDDAKNVEGESIPDEPRKRKLDELTDSVQATVGCYTESAQYHNDEDYRFNDDTVKEKDFSIGGHESHSTELQERLNALKSKNPQLDEALDADIDSMEEDTHIDEQHARDEGLLRSTRTRTVARYFHQLLVDQKCQQGNNSVCLGQALEGTKRKTSARFFYETLILKSGSLIEVNQEQTYGDIIVSATPRLEAALRSTGGLTASTGKRKGSPSQAAKIMRNSIPDLPEACARLGGGLREGRQAHAVAEKAGFLRRVPVQNALVTFYGACGQYCDARKVFDEMVERDVVSWTALLSAFTRGGMFKEALGVLAEMDVTPNEGTLASALVACGKLGTARAGKAVHGWYFRREKELNLIVGNALLDMYVKCEKLDLARRVFDMLLARDIVSWTVIISGLVQCKRPSEALEVFNAMQISGVKPDKVVLSTVLSACASLGALESGRWVHEYIERKGIEWDVHVGTSVVDMYVKCGCLDTAVSIFQEMPLKNVSSWNALINGFALHGRGREALDCFDRMVASGLHPNEVTFITVLGACCHSGLVQEGRQLFELMTKSYKLSPWEEHYGCMVDLLGRAGLIQEAYDVIKAMPMRPGVFTWVTLLSACQAHGRMDFSQQILMHIHELESSGNGIYVLLSNMYAVRDRWADVGKARGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQ >KN538822.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538822.1:101927:114971:1 gene:KN538822.1_FG016 transcript:KN538822.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVDGNFIGTGNWKLHGALCKQLHKVVLEVLDVIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLAFTAENVLAKFEKARYALLESLHQLEETLPEAASSQILDIAKDLEKAVFTLDLIEKQAGVDVNQLVQNEAKSNGFLHDNELEFFRQTAFRVGVASSATALTERRALRRLLERAHAEEDIKKESVASYLLHLMRKYSSIFRSETTDFTNTSMCSSPSSSSRSLSSSIDLHGNGHVLEKSISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMHDPVIIASGQTYERACIEKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLNSSKCLVTNGVSTVLFEDTCAEDQDDIKDGGKVASEECTRQNSGEAPSEICEVDQASPEKHPHGSSEKVAEATCELWLRVLSKDDDECVDEQREVIEQIRFLLKDDSELRNYAGANGITELLIHFVKKAVCRDDVQCQVVGTMALFNLAVSNDRNKKQLLSGGVLPLMEQMIKKPETYEAAVAMYLNISCLAEAQAIIGQSEAAPLLIKGLQEGGFRMSKTCCLDALLTLYNLSLQSSNIPTLISSGIMQSLHDVLTPSSPTTEKALAVLINLALTRAGKQEIMADSDMVGAIVVILENGDPAEKEKAVSCLWIICSGDDGGSQMVLQEGVIPALVSLTANGTGKTKDKAQRLLLLFRGKRQREVEQLQPRVQLHEVVSQATAQHEEQQQQQQQEESSEPSSDKMSRLRNSKSKLRSGLAAAYRLRKRGVQVTVFEAADRAGGKIRTNSEGGFIWDEGANTMTESELEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLYASLLNFFLSHKIPSDPIALMKSTVLSTKSKLKLFLEPFLYEKSSRRTSGKVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIAGAILSKLSTKGDSVKTGGASPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACCCDGVSSSGGWSISVDSKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLYTSFIGGSHNRDLAGAPTAILKQLVTSDLRKLLGVEGQPTFVKK >KN538822.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538822.1:30552:31222:-1 gene:KN538822.1_FG017 transcript:KN538822.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFNKSASLKVLYNNKELTNGSELKPSQVANEPRIEIAGRDIRNLYTLNHEDALVVTQPLKLTK >AMDW01026671.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026671.1:2:349:1 gene:AMDW01026671.1_FG001 transcript:AMDW01026671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIIGKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYE >AMDW01016868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016868.1:59:238:1 gene:AMDW01016868.1_FG001 transcript:AMDW01016868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKEFILSQPEAYEFKIGNQAFRRPGDPPLDEVVEMLQKQKSTMLSQDPGSQQYKSKVEL >AMDW01040899.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040899.1:17:2539:-1 gene:AMDW01040899.1_FG001 transcript:AMDW01040899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDNTEEREERSTDVERDGKQGKEVESDYEPARDSVSSQGEANSNEDTRAKRVSRVPKKLVKKDSKENSPRSGRINSNRQVQTKLQYISSNNLQSKSPKPNKTSDGAKTIEITKPETVTVPSCPSSEVSEEMDDKPIENIVTDDKSIEDVADDKATEGTASYDKATEGKAADDTTVEDNTTDERSIESGTDDRTIAGIAADVKSSEEAKEIDILDEAPNCDQSTGTDEEIADTEESISYDGKSAAYEKSEELESKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYVHASKFWSSDKKASVTKNFVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGISRQPSLAMKAFSTNVNAKMLGKNSSPTRRKNNYSGKHARPAIWPLPDDWRETGTLVAALEKIESWIFSRIVESVWWQALTPHMQTLVEDISSPKAGSLLGPALGDQQQGNFSIHLWKTAFQDAFSRICPLRDGGHECGCLPVLAKLVMEHCVARLDVAMFNAVLRESANEIPSDPISDPIVDSRVLPIPAGDFSFGSGAQLKNSIGNWSRWLQDKFGMVAAAPEKHGQAGDESDDRSGAADFYSFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGIPLVTRILCNFTPDEFCPEPVPGM >KN542956.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542956.1:2738:8744:-1 gene:KN542956.1_FG001 transcript:KN542956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDASFHMQLDNSGQAYFMRELVPGSENSGTSSEEEEASCEPEPPARSKSDGDLYIGAKGRPGSPESNVERQEKQAIEEFDSYGYSRMEVAEDSAKQPDGSNSEMVLVSVDGHVLTAPISSTEENVEDVQLSEPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYMPQEKVKFDSGNQQEALEELGDVPIETDKSHHVPVTGDEVLHTSVYEDVPSALTNEVESLVVLESGNNDEDYQALINVDEVHDISENKNEGCRPPSSQDEVCDVSKTDDEGYRPLATEDEARDVSKNNDEGYQPLATENEACDVPLLENDEACRVPANVDKVCDVSNEDTELEIASPSFGKYDTFKSCLDLSSQIDDGDSGTEPFSPEFDHQQGFEFSLSNRSVVDIDLEEDISKTTHCDRNDPLQYLEEDNKTEGEDSSAHCRKVSDLSIVGGSHGKTKETASSENEANRPDGLHSTIGTSDKDKLGSIPENSAAEEELNKEEHPKLQKGLGFEISLCGHMLRPGMGQASAEEVFQQHLVLEEDFKLSGSSIMKNVNLVVKFDSQYFLWSKISHIILGKAVFGPNFCTEHIDAIPVEHQETPTSRDSLGLSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >KN543526.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543526.1:1620:3466:1 gene:KN543526.1_FG001 transcript:KN543526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSDSDGDSVELHDGSDVGGSDSESGFAVVQN >KN542204.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542204.1:8735:14136:-1 gene:KN542204.1_FG001 transcript:KN542204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVNRAHRGPRSSLRRCCPRRPSDGADEQRCDGAAPRDCFHGRGNKEMKFDGAMPEMWLTGEIRNRGGDEAEQAQPGAHARCSTDGLCGLDAAVPMPNAPETYIYTIFVDQDVLIIRAYGTPAVSAQATKGWGYHTIGVQRLMWRGERYLLIQPQDPADLRQGSNCSNCGQKAKSRSLYCSIPCRLDSVATGAQREMARALTDSAANFGRAIHLRDRFCTLCNLSFCSDSCPEHLDHHPGAGPGGQGKDNAEIPMEPADSVGNNKALHKGSSSVQPGAVDYTAVDNQLYQVEREVVE >KN543526.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543526.1:4744:6312:1 gene:KN543526.1_FG002 transcript:KN543526.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDGGGATTDDDSVVDVTDVGEHTADVDGGGATTDDISVVEVTDVVDNTDMAHVDDGGAATDDDSVVDVTDVAEHTAVVDDGDATTDDISAVEVNDVVDNANMAHVDGGGATTDDDSVVDVTDVGEHTADVDGGGATTDDISVVEVTDVVDNTDMAHVDDGGAATDDDSVVDVTDVAEHTAADVDGGGASTDDGIAVVEVTDVVDHTATAHVDDGSASTDDVIAVVDVTDVVDHTAKSHVDDGGAAADVISDVEVPPVTTVSAAPPPTSSEVDGEHESSIRSPPTPSVVAASRATNPPPRRRSFRTGRLPWRYRPVIDEHKSKSGDAPPTPPAVMVSPAATSPPPLDARTSGEVDKSVVVINDEPVHVLTAAAAAAAAAMKATASDSGGKKDTAHGGGSRCMVIREVGEEEEASHHAAYEARRRAEALHDTINAAAAEAPSQPPPADRPHGSGQSAALEARTQPPPPTTNAAAEARTKVATERRRGSEPSAADMADFAIAYLFSSSCMILYSFLLASYFY >KN541233.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541233.1:11462:14510:1 gene:KN541233.1_FG001 transcript:KN541233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALWALAGSLLLLVASAAESVTGGRPASCQTRCGDVDIPYPFGIGPECSRGEGFEIACNTTTSDGGGKLVATLAAASQPIEVQSLRVEPRPEVKVMVPVAYKCYNSSGKVTKEFNGEVDLNKNGVYRISDSLNMLVVLGCNTLAYTKNGDSGGKGPYSGLYYTGCVSYCNDSQSAQDSMCTGVGCCHVDISPGLTNNVVTFSSWARYFQVDYNPCDYSFLVDKKDYVFMRSDLNMDLNQTKPVWLDWAIRDADAGNASSTTTATACPPLDVQHKKPPGYACVSDNSECANSTNGPGYYCKCNNGYQGNPYEDDPNKGCKDIDECTAPDKEMLYPCHGICKNIPGDYECSCHTGYQPSGDGPKKQECSSKFPLAARLALGISLGFSFLIVATLFTLMMLQKRKMNEYFKRNGGSVLQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGTLQDSTMVAVKTSIEVDVPMLVYEFAAKGNLQDILHGDANIPLLIDLRLDIAIESAEGLRYMHSSTNCTIRHGDVKPANILLTDKFVPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQKAYDQDKSGRMMFDKEITIEEDILVLEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKGHGNYSLSPQHHEEISFDGTPMQFGAEISASSSAAVSAPATPIK >KN541233.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541233.1:28130:31537:-1 gene:KN541233.1_FG002 transcript:KN541233.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VRDLRSRAEKSKPLSPVYKVTKTVYASPSHVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSNLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNAGRSQFGSLLSLGHDQTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKVKTITTCK >AMDW01039582.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039582.1:40:960:1 gene:AMDW01039582.1_FG001 transcript:AMDW01039582.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRYSTEALQSVRDCPDAIESLSNVVVSTFEDDKPTRVMAAWVMAKLAAHLHVHGILPVMRSVWSLLEARDGEMVTSNDKDMKFTERGLEILEQLSSRACNLSAISSSHELMAKLTAFTCVPALSSRDDFSIRKVRCALTVFSRFASCTGIQGINIRQDILENTLLLANIGEIIMQGSTTSDLLQLQEGAIGIVDGFALDAGSRDHRATRKLVVMLLGVFRSLDEGDKVQVQLSAGKALARLTTDSQANCHAIIREKDVLKDFKRMLSGQHGASCRVVVANILRNLCAYAKPDSMKEFSAENISM >KN541128.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541128.1:383:3524:-1 gene:KN541128.1_FG001 transcript:KN541128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATDSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLEEFRSQLQELEKEKSNRLEKVLDYVSMIHNLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHMVIDTAAAHEKILALIEAGNIEPSELIADMESQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPVSAKKVPGAKSNGSANGTPPNRRLSVSGHQNGRSGGKDGKRDSAKTASPGNVAAAKEDASSHISGTDPVPSTP >KN541128.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541128.1:30688:32007:1 gene:KN541128.1_FG002 transcript:KN541128.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVQGNPAGLSVARPEDKQGRRHAGPARREMGRGKSAGGIRKRNFVHDINNMSMRGIIFTLSKSGVGSDGPTDDTETIEEVPAAFTPDGDSFNCVCFKIFGDGIVGGVVTGNEATAEIFMGNPVVGLEAPCWKTVVALVAVV >KN541128.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541128.1:28062:29851:-1 gene:KN541128.1_FG003 transcript:KN541128.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKIVRSSSHEGTPQHEKAPVIRPITVKNGMTHQSRPGVSFIQRQSTFPNHSAIAKTVQQVLHQPVNHPNWIPPSTEYMRSGLGCQVCKVFIIDIHSMIICDACERGIHLKCLQHDGVNVLPPKAEWYCPTCVARSKGKPLPPKYGKVTRTVVAPKVNLISGVPSQGVSENRTTKDNNQELAADGTVINKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVGTMENNAIAERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELVSKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVCLFDALS >KN541128.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541128.1:12579:17234:1 gene:KN541128.1_FG004 transcript:KN541128.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HOMOLOG OF MAMMALIAN LYST-INTERACTING PROTEIN 5 [Source:Projected from Arabidopsis thaliana (AT4G26750) UniProtKB/Swiss-Prot;Acc:Q9SZ15] MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGMRIPQKERTKTTNSLLISLMNQLEKDKKSLTLGSDDHLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQTDVEQKQKYAIWKAAEIRKALKEGRRPEAGPPGGDKDEAPDGTTTNSHVTDMGHSQSFGSGQHGNEASSQHVDQDFSRRDSFSAVQPGNSALRHSTEKFNDHVSAQSPYSPPPPQSQTPPQSQFSSPAQSSYSSPSYQGTDYPSSDVHKPPHGYSSAPYTSTDYPTNEVHKPPSNYSSPPYTRTDYPSSDGYNPQSNDKPDIPTYPHTYHQPPYTIEPQHTSQNYYSTETPAAPYNYSNFQSYPSFQDSSVPSVPTHQSSFYPASDGTSAASYSPSGSNHPAPTQYHPSADTTTHQVTPPAAAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >KN540704.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540704.1:5146:19016:1 gene:KN540704.1_FG001 transcript:KN540704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGTLQPCLYCRRSSTTGTRRPSITRPNATDHFTKCITSNPHFKEFQQQLTTKLGEASAAVTMASSLLLDAFVDSTFTFSHQSLRPTESNFAPVDEIGGRTEIWRIEGTIPDDFPEGVYIRNGSNPLFGALHKVNSIFGQSEDIWVEGEGMLHALYFTKSGEGSTWSISYNNRYVQSDTFNTERDRQRPCFLSAIKGDPLAIIAASILNMLRFGKVFRNMSNTGVFEHAERVFSVAENDIPYEIDLDSLGTLCSWVVDCQWNMPFTAHPKVAPGSGELVIYGFNIVKPFLTIGVVSEDGKKLERKVDLKLERCTYCHEIGVTKMYNIIMDMPLTVDLTRILRGAPLIDFETESYARIGVMPRHGDADSVIWFDVEPFCTLHLINCFEEDHEVVIRGFRVPGSIITGITLEHTANEEPANQGPSEKSFPRLYEWRLNLKSRAVTVINNKYAGLHHKYAYAQVIDVQGSLEGGCGTVRPKFGGFAKLHLQDNNKAHVIDAQRFENGPIAKITLPQRVPYGFHGAFIPRTTYKKT >AMDW01026711.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026711.1:26:220:1 gene:AMDW01026711.1_FG001 transcript:AMDW01026711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSANHQLLLSLQDSVSDYNALGLNDLSDDSSSDSLSSSSLTSTLRKGGHDITGFSSAAAGDAA >AMDW01012805.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012805.1:12:185:-1 gene:AMDW01012805.1_FG001 transcript:AMDW01012805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELRERSRHPLFMMWADVKRNYFTVPWAVVAEFVAFVTFVSTIVQMYSSFKQKGG >KN541710.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541710.1:142:3758:-1 gene:KN541710.1_FG001 transcript:KN541710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARTWTWRWRWRCFHSTTAALSKSTPHIRFAVREKRADAKSALKNILLNGGPCQEGSNKQKRQQKGSGKSKLTNSSYGKNPHRKGKSAQKWKNFDEDDCSDTPYGNFGGKRSFTWKFETIIEILTYGLQFNVQDETTPLSLRIVTVILDRRFLFFPHIRFAVREKRADAKSALKNILLNGGPCQEGSNKQKRQQKGSGKSKLTNSSYGKNPHRKGKSAQKWKNFDEDDCSDTPYGNFGGKRSFTWYWPGEDDESGSPSGFQWQDESQSNKSRERVWNESDVDEEEPCYDNLHSHRISLGLPPLGPLELDHIKSAFRASALKWHPDKHQGASQ >AMDW01023970.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023970.1:63:317:1 gene:AMDW01023970.1_FG001 transcript:AMDW01023970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGDDEFGHMLVDILKKNGVNAEGCLFDEHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLITE >KN541710.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541710.1:15259:16904:-1 gene:KN541710.1_FG002 transcript:KN541710.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYVIAIIIQVIYTGLYVVSKAAFDEGMNTFVFIFYRQAAATLLLLPLAIILERRNAPAMSLRLFTKLFMYALLGNTITMNMYNVSLKYTSATVASATSNSVPVVTFFLAVLLRLEVIRLRTLSGVAKAAGVALCLAGVLVIALYAGPAISPLNHHRAFSGGVHGAESSVGTGTRARWMKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLATLIHIVGGVLLVAGLYSVLWGKSKEHNINMQLTLAAAASTEQVKQRQEEDHKMEIAGGDEQEEIKQQQQQGKMAASPEQQV >KN541710.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541710.1:8486:10461:-1 gene:KN541710.1_FG003 transcript:KN541710.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPYVIAMLIQVIYAGMFVVTKAAFDEGMNTFVFIFYRQAAATLLLLPLALLLERKNARSMSLMLLIKLFFCAFIGNTFSLNLYNVSMKFTSATVASAASNSLPVITFFLALITRMECVKVRSSSGVAKLAGVALCFAGVMVLALYKGPALNPVSHHHHHIASFAGDARSSSSRGAWIRGIFLMVLANVTWSIWIVLQATVLREFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNPLCLLLTIFCSSFFLAEIVHLGSIIGGILLVGGLYSVLWGKSAEMTMNGNGDEQHQQQQSHHKISTEMDVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >AMDW01039695.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039695.1:53:952:1 gene:AMDW01039695.1_FG001 transcript:AMDW01039695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADVLYKLIGESAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAKKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEDKDLKGCYELKTVIPVDMFPHTPHIECICVLELC >KN540969.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540969.1:5216:6649:1 gene:KN540969.1_FG001 transcript:KN540969.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNSVSWNAMVSGFARSGDMSAAEEWFRNAPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADEALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDFIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNTVVKTPGYSWIEIKGVLHEFRSNDRLHPQLYLIHEKLGQLAERMKEMGYVPDLDFVLHDVDETMKVQMLMRHSEKLAIAFGLISTAHGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRGGHCSCDDYW >KN540969.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540969.1:24485:27028:-1 gene:KN540969.1_FG002 transcript:KN540969.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPANPFCFDVTVVVVVAVVLATAAPSSVSGQRSDYPTANLSTRWVNNAAVLPHSVTYSDGSAVRAIVLRSPKAFYGPSFAAGFFCTPPCQAFLFAVFIVYTNSGAGITSVVNGVAQVIWSANRASPVGENATLELTGEGDLVLREANGRLVWSSGTSGRSVAGMEITENGSLVLFDQRNGTVWQSFDHPTDALVPGQSLQQGMRLTANTSTTNWTESKLYMTVLPDGLYGYVESTPPQLYYKPQVRINKSGQNLTRVTFTNGSLSIFVPSTQPGNTDNSIALPSAKSTQYIRLESDGHLRLYEWSGTESKWTMVSDVIKIFPDDCTFPTVCGEYGICTSGGGQCICPVENNSSTSYFHPVDERKANLGCAPVTPISCQEMKNHQFLTLTDVSYFDESQIIMNAKNKDDCKAVAFRYGQNDSDGQCQSVTEVFSLQSIQPETVHYNSSAYLKVQITPSASDPTQNKKKTILAATLAAITTLVLVVIVAIYVRRRRKYQELDEELDFDILPGMPTRFSFEKLRECTDDFSKKLGEGGFGSVFEGKIGEESVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCKIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIVSGRKNIDISQPEESVQLINLLREKAQNDQLLDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVENCLDYSFFNANSVISAQGNPSTYSAPPQASILSGPR >KN540969.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540969.1:22567:23050:1 gene:KN540969.1_FG003 transcript:KN540969.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSLAGASFPFPSLACGAPAARPSIARTAQIGRVPEEWRAPDGPLLGYGIWLETRMSGTIISIKL >KN543238.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543238.1:4452:4945:-1 gene:KN543238.1_FG001 transcript:KN543238.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRQKYFLNPWLTAGLVAATIGLVCTGSAKVPRSTSVIGNVGRMSEGAPVQHIEKWIWKHQMGEIDSEDLLWWIILEECSGHWSAIKIELSWVQPPR >AMDW01034415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034415.1:32:491:1 gene:AMDW01034415.1_FG001 transcript:AMDW01034415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVMDSGGECGVPAETMYYYPAENRANF >AMDW01040319.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040319.1:21:1078:-1 gene:AMDW01040319.1_FG001 transcript:AMDW01040319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRMRVRVRSPPVMQSKLLCLSLLYLLTTLPLALYVSFSDPASAASRCLVFLPFRSSAPSSAASAALFEYPREYGEHKHAIPATRALCSDPAVFSDRLAMEKCNGVVVASAIFNDHDKIRQPKGLGSETLRT >KN543637.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543637.1:348:4742:1 gene:KN543637.1_FG001 transcript:KN543637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSHGCSVLGSSLLLLFCLGSAAAQKASTWKTLSGNPPTIIAKGGFSGLFPDSSDYAYSFVAAASSPDTALWCDVQLTKDGAGICLPDIRMDNCTNIANVYPKGKKTYSVNGVSTPGWFSVDYDSTGLSKVNLVQSLFSRVPYYDGTLPILPVESVFANYKAPAVWLNVQHDSFYSQFNLSMRSYILSVSKQYIADYISSPEVNFLTSLSGRVNKKTKLVFRLLNELAVEPSTNQTYGSMLKNLTFIKTFASGILVPKNYIWPVTQDNYLQPSTSVVGDAHKAGLEVYAADFANDFLLSYNYSYDPLTEYLNFIDNGAFSVDGVLTDFPITPSEAIGCFSNLNNSKTDNAKPLIISHNGASGDYPDCTDLAYQKAVTDGADVIDCPVQVTKDGIPICMSSIDLMDVTTVSTSQFSSQTTVIKDIKNGAGVYSFNLTWDDIAKNLKPKISNPMTTFNVYRNPRNKNAGSFMRLSDFLAFAKGKELSGVMISIEHAAFMSEKLGFGVVDAVIKALDDSGYSKQTAQKVMIQSTNSSVLVKFKEQTKYNLVYMLEEDVRDAAPSSLADIKKFANAVSVRTTSIYPESKHYLINQTSHIVQTLQSAGLPVYVYVLMNEFVSQPNDFFADATTQINTYVQKKGAGVDGIITDFPATAHRYRLSPCTSKESNLPTFMLPVQPGGLSGTIIDPAAQPPAMAPMPLLTDSDVAESPLPPVKNVTAPAPGASRAIKMRTDASIIVALLVLCASLII >KN539638.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539638.1:422:2269:1 gene:KN539638.1_FG001 transcript:KN539638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELFDGLKSLKNIGLSDNRLKIVVGSDWIPPFRLKVANLASCHIGPLFPSWFKWQMGISHINISRGNIIDRLPDWFSNSLSNVEVLDISGNQIIGALPTNMETNMSLSKLLLSSNNITANSLSGVIPWQLSNLEAMTKRKSMLRKLPNNYSRGVDRYLSSGHIPDKIGALISLESLDLSENKLSGEIPSSISKLTYLSTLDLSYNNLIGRIPSGGQLDTLYNNNPSMYDGNAGLCGDILKKKCPGNDASNDYGSYKDHYELLYLCFGLVIGFVLGLWVVFSTLLFKKSWRIAYFRLFDKIMY >KN539638.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539638.1:88998:92293:1 gene:KN539638.1_FG002 transcript:KN539638.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSNGSMSLHQSQQLDSQGYLNMTTLQQQLLETVPIVSDIPRMHMRKQVKMEEVQHPLHDHQQWMGLMQPQSQHNQQHQSQQHIMAAFQSQSNQLQQELGMEQKPSVQQSFQTSAGMFLQQNNIDEQMQYTQAQCGLQEVPFSTTMHITTQTDHPGQCYLQDEIYDMVRNLKDQHFTELYHLYNKISRKQEYLDSQMPSQMPIEQYGKMKKFKEMLERILRFLQINKGDILPALAKKNP >KN539638.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539638.1:36646:50059:1 gene:KN539638.1_FG003 transcript:KN539638.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQFARENDHQRRGDRIPPAVNKLGEKEQVTEETVMASLRRALDEFSSLQADDGHWPGDLSGAIFIMPVLIFSLYATGSLDTVISSEHRREICRYIYNHQNEDGGWGMLILGSSTMFATCLNYVTLRLIGEEPSNEQLARGHAWIISHGGATLVPQWGKIWLSIIGVYEWSGNNPIFPELWLAPQFLPFHPGMYCTGSQLLNPGKVFVALQPALNLHLEDLVCPRTLLQNVVWTSLYKWVEPVLGSRPMNKLRERALDRLMEHIHYEDENSQYLCLCPVNKALNMVCCWVEDPNSDSFKRHLARIPDFLWLSEDGMKAQIYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFMKKSQAVLLLEKISSNVVGDPIEIERLHDAVDCLLSFVNKDGTLSTYECKRTYTWIEVLSPCESFPNIMVDYPFPECTSSVLQALVLFKQLHPSYRIKEIEKCVRNAAMFIESTQGEDGSWLGTWGVCFTYGAFLSVKGLIAAGRTYENSSSIRKACDFILSKQLDTGGWGESYVSNITKVYVNIKDDQAHAVNTAWAMLALICAGQMERDPAPLHRAAKELINMQLETGEFPQQN >KN539638.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539638.1:5320:8262:1 gene:KN539638.1_FG004 transcript:KN539638.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRHPTIAAAATSSFLLLMIAADGQAATPPPPAAIGTYCEPRERDALLAFKEGVTDDPAGLLASWRRGGGQLQEDCCQWRGVRCSNLTGHVVKLRLRNDHAGTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFKSLRYLNLSGIVFSGMVPPQLGNLSNLRYLDLSGIRLSGMVSFLYINDGSWLGHLSNLQYLNLDGVNLSTVVDWSHVLNMIPSLKIVSLSSCSLQSANQSLPELSFKELEKLDLSNNDFNHPAESSWIWNLTSLKYLNLSSTSLYGDIPRALGNMLSLQVLDFSFDDHKDSMGMSVSKNGNMGTMKANLKNLCNLEVLDLDCRLEYGNITDIFQSLPQCSPSKLKEVHLAGNSLTGMLPNWIGRLTSLVTLDLFNNSITGQVPSEIGMLTNLRNLYLHFNNMSGTITEKHFAHLTSLKSIYFCYNHLNIVMDPQWLPPFKLEKAYFASITMGPSFPRWLQSQVDIVALAMNDAGINDTFPDWFSTTFSKAKLLEFPGNQISGGLPTNMENMSLEKLYLKSNQIAGLIPRMPRNLTILDLSNNSLSGPLPLNIGSPKLAELNLLSNRITGNVPQSICELQNLHGLDLSNNLLDGEFPQCSGMSMMSFFRLSNNSFSGNFPSFLQGWTELSFLDLSWNKFSGNLPTWIGNFSKLEILRLKHNMFSGNIPASITKLGNLSHLDLASNSISGPLPQYLANLTGMVPKQYYTNEHEERLSGCDYKSLVTMKGLELEYDEENVTVVTIDLSSNLLTGVIPEDITYLHRLINLNLSSNYLSGKIPYSIRDMQSLESLDLSKNMLYGEIPQSLSDLSSLSFLNLSYNNLMGRIPLGTQLGTLYDQNHHLYDGNDGLCGPPLPKSCYKSDVSEQGHLMRSKQGFDIGPFSIGVAMGFMAGLWIVFYALLFRKSWRVAYFCLLDKVYDEVCVIAVVGWARLTGRTDARLLMSQVAWSSIDSDESYE >AMDW01035119.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035119.1:213:527:-1 gene:AMDW01035119.1_FG001 transcript:AMDW01035119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTPITDETKIVPWFPRSLVSIVERNIRSTRTPVTYLNITRLSELRVDAHPSVYTITREGKPLSTEQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPVNVH >KN541316.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541316.1:558:2317:-1 gene:KN541316.1_FG001 transcript:KN541316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDKSSGAARALEITDRSDIHRNVMATMWFSSGSIATATIVMRSGRDEQGIEGSIMTFIGSRNIVLEDEAVANMVTLVAHGTTCTHTVCHGWRGSKAGRWLRCFLVDDQQKIKFMVDGKCNQLEIKWLAFAFDQEM >KN543385.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543385.1:4599:8176:1 gene:KN543385.1_FG001 transcript:KN543385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLHDPIVWFFAQPRAPPGEFVRSVGKQQMRLTVGQVHRHVLALASSRSCFVLGDNLPLRMLSLPRAVRFHQTAWLGTETVQDKSASLTLASLEVQNKVEYGKKERATRTGGPKPSSRASALKVKPKVSSFNSKQVKSALPKSAVVKKTLKIDESLFSAKSFQELGLPPLLIDRLNKEGLSTPTEVQSAAIPIISQKHDAVIQSYTGSGKTLAYLLPILSEIGPLKRPTEQDGSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNEKRLVQQLVGGANRSRQEEALKKNKPLIVVGTPGRISEISAGGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGNSSSDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLESITVPRPVLSQTDANSNSPSNSVNQAAVDSLPPSLEHYYCTSKAQHKARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHNEEDVE >AMDW01040855.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040855.1:255:2705:-1 gene:AMDW01040855.1_FG001 transcript:AMDW01040855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IENPLAEGTKYLKLLQNNSSDSLETHTLSFELNMRKQKILLAFQNIWSFGFALLLANGKLYPVNIRYSDIAVKLLIKLDENSPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDLRAAAAEMMYLLEPDKKLEAIKLIEDSVNSTASGNNVLGPVNEWKIQDCIDVHKLLETIFGDQDVAN >AMDW01055151.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055151.1:2:358:1 gene:AMDW01055151.1_FG001 transcript:AMDW01055151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANRRYAAAPSLTASVADIPVPAAASTGIIRDTLDRVDARELAATPRLYHSLITACVRHRSLDDVRAIHAHLAGSEFAGSVFLDNSLIHLYCKCGAVADARRVFDGMPARDMCSWTSLIA >KN546557.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546557.1:62:952:1 gene:KN546557.1_FG001 transcript:KN546557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVLEGRYEMGRVLGHGNFGRVHAARDVRTGRAVAMKVVSKDKVERAGMAEQIKREIAVMKMVSHPSLVSAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALAYHARPDGLLHTACGTPAYVAPEVLAGNGYDGAKADLWSCGVILYTPWFRKTAPVPRPIIADPAPAPVDPHGNAGDDKDEPPE >KN544691.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544691.1:75:831:1 gene:KN544691.1_FG001 transcript:KN544691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWKEWEWDQQLNSVHAMPALEELLLENCKLRRLPPGLSSQATALTSLCLRNIQQLNSVESFASLVKLEVYDNPNLESITSLNRLQKLIIDGCPKMRTLEGVPELLRLELKDLDMEELPGYLLQNVRPRHLVLDCSLELLTAISTGESGPEWSKLSHVQRVKAYADQGDNERRWHVLYTRDPYRFETNIGISSSSSSGASGDDEE >AMDW01040799.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040799.1:1075:2313:1 gene:AMDW01040799.1_FG001 transcript:AMDW01040799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSDVKKAGILNETLRPPLVPSEKHNASPVNRGRDVAYRYKNGLSAHSAATTARRCTSPSPGRTSANECTPEQKRAQSADRRRPSTPPSRVSTPSTPASRSVTPVRNTVTEGHKSSRRITSTRNTDGLWPAMRNLSSSFQSESVVTPGNKKDKVVPSGSLDQTKEQASVIAERKRSPLRRKNIGEQCENAQPSEDQPRRVIEQHRWPAMQSGRVASNILSRSIDMSDKAGRSVPSTNISRGVSPRKTLASEGTGKGFNKSLDEVARRLAIHAGGRDDKVDSRCHAYSQSTERCKSVSRPSRAVTLPVPVLHRSSSPSKASSVTSSISRSFQSPSRTRRSTPSRSQSAGSIQSGVASPIISYMVDAKKGKKNSSQIENIHQLRLSYNRYLQWIFVNAYAEDTMSFQKVTAE >AMDW01067203.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067203.1:86:214:-1 gene:AMDW01067203.1_FG001 transcript:AMDW01067203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQ >KN542727.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542727.1:7984:10414:1 gene:KN542727.1_FG001 transcript:KN542727.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNGGAHGAATLFGLLALASMVKLGFVAGGGHDYAMALRKSILYFEAQRSGVLPPNQRVSWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAAASIVFRGTYPGYANLLLVHSKQLFEFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLFEATEDRSYLEYLAGNGEALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALQRYRQNAEFFVCSCVGKGAVNVARTPGGMMYHQRWNNLQFVTSASFLLTVYADFATISGRGAVRRPAGAAQPFDILKFVKSQVNYILGDNPRGTSYMVGYGASYPRQVHHRGASIVSIKRDPSFRDNYEQTEAATYNNAPLLGVLARLAASCGGLKEEEYEQETATPVVNRTSSSSSLPATATAIGIEQNVTGTWARRRRTYYRYAVTVTNRSRRKTVRELHLGLSGLQGRLWGLEEARYGYVPPRWLPALRPGRSLRFTYVQPAPAPANVWVTGYKLV >AMDW01018865.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018865.1:61:252:1 gene:AMDW01018865.1_FG001 transcript:AMDW01018865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTARFHLLDRHSGKPVPGHTRGVTSPLLSGRVWACSNLVTRKELEEHVLDGDCFAVRCDITIVK >KN541672.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541672.1:2900:4577:1 gene:KN541672.1_FG001 transcript:KN541672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIFSTNAWLTIALVFIITLAAKVVRSSVTLPAEKTSKPRPPPEAKGAPLVGFIPAVLRRGLPAVIREQHRALGSVFTLSSLGLVVTFLVWPECSDHFFHEPEWEISIDGLYEVTVPIFGKDVGYDIDLDTRNEQHRFFAKMLRPAKLRGHEYFGKWGECGVVDLMQEVDHVLMLIASRCLLGKEVRENMFDEVASLFHELMGGMHLISMFFPYLPTPGHRRRDKARAKLGEIFSQIVKTRKMSGRVEDDMLQDLIDSTYGDGRATTDTEVTGLLVALLFAGHHTSSTVAVWTALRLLTHPEHLRAVRAEQERLLAAAEQQRSHHGGGGGIDYGVLLQMDVLHRCIKEALRLHPVTPMILRRARRGGADPHVFDPDRFAAGRAEDKAVAGARDLAYLSFGAGKHACMGEGYAYQKIKVILSHLVSNFELKLESPFPETEDMLSMRPKGMLKNVGRLLIGTHKSIRN >AMDW01040785.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040785.1:622:2052:1 gene:AMDW01040785.1_FG001 transcript:AMDW01040785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKAILKTRPLLHKAVEEAHASLSGLSRAPAGGKMVVADLGCSSGPNTLLVVSEVLGAVATSRSSCDHKSSLVAADVQFFLNDLPGNDFNLVFQSLELFKKLAEKEFGEALPPYYIAGLPGSFYTRLFPDSSVHLFHSSYCLMWRSKVPDELASGEVLNAGNMYIWETTPPSVVNLYQRQFQEDFSQFLALRHDELVSGGQMVLTFLGRKNRDVLRGEGRVEEEKLDTFNLPFYSPSVDEVKAVIRQGELFDISHIQLFESNWDPQDDSDDDDVATLDSVRSGVNVARCIRAVLEPLVARHFGRGIVDDLFDMYARNVAKHLEQ >AMDW01029820.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029820.1:2:142:1 gene:AMDW01029820.1_FG001 transcript:AMDW01029820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGKLNKEHDTIFLKVQIGGGGNVRNIYFPFDVANDTAMEVATEM >AMDW01034375.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034375.1:187:413:-1 gene:AMDW01034375.1_FG001 transcript:AMDW01034375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQ >AMDW01040018.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040018.1:42:1109:1 gene:AMDW01040018.1_FG001 transcript:AMDW01040018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KLKEKASFRNPDEFYFKMIKSKTVDGIHRPKPEANKYTEEELMLLKTKDMGYILQGIQSEKKKIERLSSMLHELDNKRPNKHVYFAEDREEAKEIQSRIEQKSSSLGLDNIPSRIKRKTASSYRELEERKQRVQKLEKLYADMALQKELK >KN544846.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544846.1:891:2779:-1 gene:KN544846.1_FG001 transcript:KN544846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PRPDAKHDELILAVPRASPGRHVAAKERKAGRVPAIVFEQDNGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGEGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGDDASPGLRKGSDAQLLCKYRKQLIRRLIQQSFFSLQDAYLLK >KN540908.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540908.1:20117:20834:1 gene:KN540908.1_FG001 transcript:KN540908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDERKKSKVMQIIAKHSGILSITADRDKGKVTIVGNENMDVTCLTMELRKQMRRTHIVIDTVTPVDEKKEKEEKEKKAKEEKEKKEKEEKEKKKEEEQNNPKIVCTPAYYMYMDEPSPSCCQM >AMDW01066109.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066109.1:434:615:1 gene:AMDW01066109.1_FG001 transcript:AMDW01066109.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCELGMRRREPARAEVVGRAKDALRRAIIRKVKDNDLL >KN543035.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543035.1:3373:3726:-1 gene:KN543035.1_FG001 transcript:KN543035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSFRLAVAVAALLVIGSCATELTFKVAEGSSASSLELVTNVAISEVEVKEKGGKDWVGLKESGSNTWTLKSEAPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >AMDW01032973.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032973.1:168:476:-1 gene:AMDW01032973.1_FG001 transcript:AMDW01032973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSKPLLSSTYDLSTVFVADEPYMVGFSSATGSFNSKHYVLGWSFAMDGPAPAIDIDKLPKLPRFAPKHKPKMVEIIPPLATATFIVALGIVSVLLIRRRM >KN539962.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539962.1:122:3313:-1 gene:KN539962.1_FG001 transcript:KN539962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCADFVVVIMSAIFSVIHSVKHVIHIYGPGTALAPFRGFLQHWQERLALKETGVELGHAVLFFGCRNRKMGFINEDELNNFVDSGALSELIVAFSHEGTSKEYVQHRMAEKGITAWFLGKNLARK >AMDW01033394.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033394.1:8:223:-1 gene:AMDW01033394.1_FG001 transcript:AMDW01033394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSMSRRS >KN539962.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539962.1:28184:40932:-1 gene:KN539962.1_FG002 transcript:KN539962.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLVQREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDRTVTDLMDLGKQLLGRRQVLPAVPHLLETVQYLHLKSFLRLVWTTFLAKALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKTVTLVSIGGRKVIRGGNGIADGAVNRSQLNEVMEKVIANGFGHEDYPDSSEGIIGDGTHDYSVDHEKYASMYGPTTGDKIRLGDTDLFAEIEKDYAIYGDECIFGGGKVLRDGMGQSAGYPASDCLDTVVTNAVVIDYTGIYKADIGIKGGLIVAIGKAGNPDVMDMDGVNEEMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPSPSHMKLMLQSTDELPINMGFTGKGNTTKPDGLAEIIKAGAMGLKLHEDWGSTPAAIDNCLSVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGLKNVLPSSTNPTRPFTLNTVDEHLDMLMVCHHLDRNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGERLFINCPNNVRVPCKCEVITRTWQTANKMKRQRGRLPISSSPDAAEDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVECRVKATRRWSYRAVWSVWKLVYFSCIQPINVGKLADLVIWKPSFFGAKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGGAGSANSIAFVSKAAKEAGVAVQYKLGKRVEAVGRVRGLTKLNMKLNDALPKIDVDPETYTVTADGEVLRCQPTPTVPLSRNYFLF >KN539417.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539417.1:53202:53723:1 gene:KN539417.1_FG001 transcript:KN539417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGGGSPKEAVVPSAASGDTTLGRHLARRLVQVGVSNVFAMPGDLNLTLLDHLIAEPGLHIVGCCNELNAGYAANGYAWARGVGACTVTFTVRGQLLHGRRRRSHRFWNQVTGDEAGAAFRNQECAGAAPSLPSAVARRSGSVVVDGGSASPSSPPSPSSETTASWHRHG >KN541341.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541341.1:26261:28811:-1 gene:KN541341.1_FG001 transcript:KN541341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDELQVKVNKLSSTKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDETCKVVCRSKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDPNSPDARIVGFHVIPSSIKHEYSAWDDKNPTVQTCNANNKITPGSHTPQDVVPEAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKFVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >KN539417.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539417.1:24931:26374:-1 gene:KN539417.1_FG002 transcript:KN539417.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCTRRVVVDARHHMLGRLASLVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALANLKAFDGVPPPYDRTKRMVVPDALKVLRLQPGHKYCLLGHLSKEVGWNYHDTIKELEDKRKEKAKVAYERRKQLTRLRVKAEKAAEEKLGSQIDILAPIKY >KN539417.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539417.1:55086:57116:-1 gene:KN539417.1_FG003 transcript:KN539417.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTIGHGGEANEEGVLLTMSTLTENGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPPCIPQAVLDARASVDAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTDRMGRQLSSMGFDPSAAMDRARSRSRGRKRERSLSRAVSDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >KN539417.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539417.1:102922:106693:1 gene:KN539417.1_FG004 transcript:KN539417.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFLLAAGEAAAAAGPNTFRLFRWPAREYSYHHIDHDGNPLPHGRHRVAASGEVAFDSPSRPDQVHLSFADGADEMRVMFVCGDGGRRVVRYGPGKEEGEGWKEVAAEVRTYEQKHMCDSPANSSVGWRDPGFVFDGLMKGLEPGRRFSRTSLKSVLLVGSNSSGWSDTYNFISRDNKANETIAFLFGDMGTYVPYNTYVRTQDESLSTVKWILRDIQALGDKPAFISHIGDISYARGYAWVWDHFFNQIEPIAANTPYHVCIGNHEYDWPLQPWKPWWATGIYGTDGGGECGIPYSVKFRMPGNSFVPTGNGAPDTRNLYYSFDSGVVHFIYMSTETNFVQGSDQYNFIKADLEKVNRSRTPFIVFQGHRPMYTSSNEARDFAHRQQMLQNLEPLLVTYKVTLALWGHVHRYERFCPMKNFQCVNMSSSFIYPGAPVHLVIGMGGQDYQPFWQPRKDHPDVPVYPQPERSMYRGGEFGYTKLVATKEKLTLTYIGNHDGQVHDMVEIFSGQVSNNNGVPEVIDDTKLSTGVSTKLKIPLFSLEIVGSAMFALVLGFSIGFLIRRKKEAAQWTPVKNEET >KN539417.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539417.1:17522:22684:1 gene:KN539417.1_FG005 transcript:KN539417.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQMLGEERRPELHRQQAGCVTGILQAFDRRYPLAAHHSHKRLLPPAHALSSSPSVGEERTRYSSQIVLDKNMSKSWIDNQRAPLTVELSQGSYSSSSCSSSSSLDGNRSGQQDLSSTDRMLFPEKPFKSSPKLKSSSDSDSGVDYYLDDALAKLSAQPSYPTLGIRNLVKDSIYRDTHDFSIRTFTKEAEKDHLFNCGDPPRILDEPPSSAIQEKNKGTMDIDESLRVLAKLRNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKESPLRNREIGVRPKPSMTDEDRRSSISKDYSPPLETQQEHNACKRLPSVVAKLMGLEDLPVHKDNTVISSQVSESVTERSEEPTMLRPLSLSSQNEATPRQQRNLDATIKNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGAHGKEQHAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMPKIYDGDHDNGDVTDVNLRLNSTSNTKQAPEGTPSFTTEEESTTERSFKSPIVIMKPAKSADLLSDVTEDSAVGPLGGLSELPQLRTANSADKRKSSKKVTREAVEQHTKSSSRAPAPQPLASFDKRANGRNEEISRKQKSTSQLMTESSARRQQMQRENNGSLLKHKNSTSPRVQQKKPDSERRARPPIPSPDSSKNQRQSVERSHLDSVSPRSKFRRKPAQAQGEDFHQNGVSRRTRSLNQEGNDMSARSDGSISVASELDVEVTSTDRSAEVNILRSQHGTQTPSGRNPQKVKTSYDANKDLPSMDPAATITERPSPVSVLDSSFDQEEFFHTSKTTNSSNVDDEHHPSPSEESCKPSEKKSTELPTQPKNSKLANIASLLEKLQQLSVNKDEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSGLSQMQLHTSGYPINPDLFFVLEQRKSGWTSKPEGIHQSRSTTKPDDPKRAHRKLMFEAVNELLLGKFEKETTLITGVAARDPVMSSGQQLVKMICSGIECLKTERSRMCQEDSSVIPDAEILNRLEGWSPSFSRRELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >KN539417.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539417.1:58506:80957:1 gene:KN539417.1_FG006 transcript:KN539417.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNICTNGPQGPQNTAHELLPDSTAQPLPRRRHPTSPPPLPSSRRRGREESGGAAHRPPEAGSLASTTTSYYRGQLSRRFVPTKNILFSTATTSSDRDDGSQSKEKISVTFVNKDGTEQTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPAATRNFAIASALPLPTLPLNLGAGLLDDDDPLADPDRPDMIMQAANIARILADTDVSHLGFTEADNVDTDPSQCSWLWREVLKHNPDAFTIKPRPPPPSQDPLEGQENQNQEHEKHFAHVAPNFNSMRKDHGFPRDDPIPHSEHLNNDLTQDPVASKKPKVRKKEIHNSASSSDPSIPNSQEVIANFCEMVEDFCGRVEIPDDADGDEWLSIPLNDAKVLVNEITFVRSKKILHEIPMDTLTRLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQIIDCMAASNPTFRALYKPAEKVTNDGDEDEEDMGNGPANKRRRTANLSMRKSSTNKVSASIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVICTVFSSYTQHRTYLVDETLVLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDGLKGMVNWSTIVDASIDASYPIKCYEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQELTDTESDGSKILKNKCCVCLGGRDCVGAVSQENLQCDYACPLCFCKRQLSVLQSYYELQNKENGKRNAASHRKKSTVPDEVTAVDIVQQILLTYIQEGGPQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKDILRDSGNGLVLSRDWAKKICLALGQKNSFSRGFDKILSLLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTRAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHLPLITIVKRNLALDFLPQSAKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLALQAFCIVDPTLCTPATQPFQFVETLQPYLKKQLVSQWYCGDIYVLGVNVDNKSTAQLLESIIFVIDAVLPLIWKPPQSVVIELEQDLKQMIVRHSFLTVVHACIKCLCALSKAADRGPRLLEYLVNIFYKHLSGSNSSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIISLLKKEYLLKDDFSLKVRGLQALGYILIAKPDFMLRKDISTLIESSLSSVVDYRLKIQGLQNLFEYLRDAESQLNAESTGKPAPNATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFEGDNRSYPTISFLMYCTEVLASLPFTSPDEPLYLIYDINRVIQLRAGAVEANLKNWTSMYQQQEMVGMPRDTGDVMHEPGGCSDQNLIDVSQMMLGNTCSTPVVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPLNISNTNTSLPSCPQDAARVYQDFKTVLREDTVDYGMYTVSAQKKRPTPRSSSRVRRPAAVTRGRGGGGGGGGEDTDDEDWTGGGARVLDFSAQGGRVTRQRVQLSLPTVFILENTAFFKVGTESDHKESYPHEKKKTLQSFSGSELRDMVKKMCCIGAGYVGGATMAVMALKCPDIEVVVVDVSGPRIDAWNGDHLPIFEPGLDEVVKARRGKNLFFAADVERHVADADIVFVSVNTPTKTRGLGAGKAADLAYWESAARMIAGASRSGNKVVVEKSTVPVRTAEAVEKILAHNAHGVEFQVAVLGFAFKKGTGDTRESPAIDVCRGLLGERAHVSVYDPTVSEEQIRRDLVAPAKARSRVAVARDAYEAARGAHGVCILTEWEEFRELDYRRIHGGMEKPAFVFDGRNILYFLCI >AMDW01040745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040745.1:158:1445:-1 gene:AMDW01040745.1_FG001 transcript:AMDW01040745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTRLKDITLGQQNCKVLGRLIRLWDAQNITSNTLISIDGVILDEEGTMVQITIPKKLENEFWPLLTLSSVYMFVDINVADIRNKKYIYHHQKYMLQFKANTKYDSDLHHTFGIPDLIGVISHVGPYDYAGKTSSKKNRKLKIRNKDEQEQEIVLWGEYGETFDEASILQKSTNNEIVVAIFAGLTAGSYLGKIEAASSSATRIYLDCEMTEISEYHKSYQWEIPTLQQQIPQVEHLTPLQAAGKLYKLEEISALPVSSFE >AMDW01031430.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031430.1:12:413:-1 gene:AMDW01031430.1_FG001 transcript:AMDW01031430.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNTSRAIEAEFIDEIAAHPMFKELKLFAAGPLNPLLDATARTPGQTRHECMDWLDKQPPASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRADIFADSGESRHAELLSRFTAETEGVGLVIT >KN539417.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539417.1:7477:11945:1 gene:KN539417.1_FG007 transcript:KN539417.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDAPAAPAPVEGEPKGKKSKSNKGNKHKQQDSLSAVSDASAVVTHTDLPDESGNGCTSGEGATTSTAPALEAEKVDKREAPAASASVEGTQKGKKSKDKKRKKHKQQGSPSAVSDASAVVTDTDLANESGNGCTHGEGVLRDADVASSRSGNDLTPDVDRTLGKSKASKRQCDATTSTAAAPEAEEMDEREAPAASASASAVEGTRKGTKSKDKKRKKHKQQESLSAVSDASAVVTDTDLSNEPGNGCMSGEGALRADDVVASSGHDPTPEMDRTPGKSKTLKQRRGGATSTLAVLEGDKEVDEQEAPGASASDLANESGGGCRSGEGALQDADVVASPRDGQEPKCPEVNSAEDLVAGKKGNKDNNSQLCSSLHESSIERKRRKNRDRRRRKKENANRRSNVQNPSLQPGAGEVVSVATADMNNTPGSKCKNPSQPVADEVGLVMTADGNNSLGSECKKSNKKMKRNQTSVPEAPSVQRMDLGETASVGVMDGECEVQAVLSDCQSARSDRSNVAQAHKEIFWHIYSPRGSLIRFRRKKLLILDINGLLADINQDHHNAHLSHAKSKCGFGCKYHYEEGYETVLIILLVLLFVFFFCSFGCELGPNAHTIICQDMSKCTGTGFKTLENKNKPLVLKELKKLWNKEDPDLPWEQEEFSPSNTLLVDDSPYKALGNPPHTAIFPHPYSYLNKKDDSLGMLHYFKIYLSIYLFLIRGASKWLVLMPIFHEQDQVEIFGCIWRTLLLQMMFSAMYRSTHSVNLPLQRVIDIGISM >KN539417.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539417.1:27202:35035:-1 gene:KN539417.1_FG008 transcript:KN539417.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDEDDVRSEGHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDEGYQQRPPVASRRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVRDVFGESDEDEPAPYHDQHEIDEDSHSYHMVLNHVTNLLMQRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGASASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >KN539417.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539417.1:36286:46543:1 gene:KN539417.1_FG009 transcript:KN539417.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWARIFDLPYRLMNTRRGEEIAKPLGSVLKVEADEQGRCWGGFMRVRVKINVEEPIPRFITVFSSRDKSFEWYEVKYERLPLFCFSCGMLGHSFLVCPTPAERDEDGDLPYSAKRLCVLEELSKKTSSAKSGNASSSTGANTPGFDSRGSSGGPSRPTSTRCRSRKDTNQEGSEKGPEVTSPLKPNGRGKGGGRGGGKLPRGRGRGRTNGPGRELFPEKETSVVVSGQKRKSAKVLNPEDNANVTAANSRCLVLVQSINKAATPADCQEVEADCEGDIDSNKKQRNMPNHKQFEETIQIQITSRITKRVYHLLKQRHRISIDLDPVGIAVDISSGLLPDDGIQELWQPFKSRGLLLRTRRRTFSTTGATDQEQVRRTNIVREIDELKAKVKREMIEDVEKVKWVENEDRNVLSRLLTSCGMPRGAFRDNLVFGCNVVAVFVASGAVGACSAGWRDARRRGSEDIPQFFI >AMDW01036547.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036547.1:278:606:1 gene:AMDW01036547.1_FG001 transcript:AMDW01036547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGL >KN541245.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541245.1:12502:13833:1 gene:KN541245.1_FG001 transcript:KN541245.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLNFDFSATFLFTYAKYLLLHLPIKICECFGLQMLPCFFAQGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDGGEQISGSSDSNDQDEEEVKVEGGATSDGNANKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRAPCYLGPINYSKMGDPFYATTFHQMSSAMMSHWNVGLVLQVIDVDETQWHNMAL >AMDW01027218.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027218.1:9:194:-1 gene:AMDW01027218.1_FG001 transcript:AMDW01027218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GCDASVLLTGSQSELGEIPNQTLRPSALKLIEDIRAAVHSACGAKVSCADITTLATRDAIVA >AMDW01025507.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025507.1:61:285:1 gene:AMDW01025507.1_FG001 transcript:AMDW01025507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGKMPEKVRSIKFTGSQSECEILISDSQPSARQPPAGTKRARPAEPTKDDGARNIRRKKQVDASQMDAELVE >KN539224.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539224.1:85354:88460:1 gene:KN539224.1_FG001 transcript:KN539224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIRPGRSCRLGRFCGRDAVSSRCLARLHRSSGSSNGGGGAVRCAKAAARSARAMEAVKKDEEARAVALRAVEATPESFAPFGQVIAATPDGDEFGPHDAQLDLTRGIPRFYIMRLEKRPLEFWKITHHASVTQCLGSIGGEDWYLGVAKPSIVEDDGAREQGGDGRKPVQSRAGHYYLPPDPAEVCVFRVSGAKFLKLHIGTWHAGPQFKADAVDFYNLELSNTNIVDHTTHDFKKDDGVTFVIED >KN539224.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539224.1:32906:38059:1 gene:KN539224.1_FG002 transcript:KN539224.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPVIIGFFLAATYALLCTNHPEALNSYGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEACCLGVGAEPALVDCRTRKLAAIGMVLLFTICVSRNLCVVDTNGKGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELREALVDDGAGDSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLVNE >KN539224.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539224.1:7341:9693:-1 gene:KN539224.1_FG003 transcript:KN539224.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTRPGPYMPRPPAVPEWLNTGDNGWQLAAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGAALTEGFLVARASVPATAHYGKDGALESPRTEPFYPEASMVLFQFELAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVCAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGSTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASIAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFSMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGFLTGLFALPDLTAVHTHIPGARGAFYGGGIAQVGKQIAGALFVVVWNVVATTVILLGVGLVVPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHEAARGGAWGAAVVDEAMDHRLAGMGARGVTIQL >KN539224.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539224.1:131092:132229:1 gene:KN539224.1_FG004 transcript:KN539224.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRPTAGQCSLVQPPRLITCLLLLLLLLLLSSPLTLPCSASSAVITHLPGFHGRLPFYLETGYIGVEEKTGTELFYYFVESERNPDTDPLVLWLVGGPRCSGFCGVVYEVGPLNFLLETYNGSSPRLVYNQYSWTQMASIIFLDSPVGSGFSYARDPNGYDVGDISSSLQVVTFMKEWLNDHPRYCSHNSYVGGASYAGKVVPVITQYISE >KN539224.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539224.1:3769:6696:1 gene:KN539224.1_FG005 transcript:KN539224.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MMSGVGGGRSGGRDAEGEWEVRPGGMLVQRRDGDTGPAVRLRVSHGASFRDVAVPAHSTFGELKGVLTQATGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKPAPANVEQRAEPVIMDESMMKACEAVGRVRAEVDRLSAKVCDLEKSVFAGKKVEDKDFVVLTELLMMELLKLDGIEAEGEARAQRKAEVRRVQGLVETLDKLKARNANPFSDQNKSVSVTTQWETFDNGMGSLNAPPPRVSSTQINTDWEQFD >KN539224.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539224.1:116120:119112:1 gene:KN539224.1_FG006 transcript:KN539224.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSPARHLGVTTGVKPPSPDHMEGAASGGAGVVAATSLAKGRLSVDLEEGEVTAAVKSGKGLSTAGPKVCSSQVDAKESDHLCCFLAAAIKTPSRASRRACANDGFFLVTIDADRVLFPLATSPSSQALIARMPKENCIFDRPVFCCLIPPGKPAAIQYGTGSVDGFFNEDSVTVGDLVVKDQKIAIGDEVNIWL >KN539224.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539224.1:91266:94077:-1 gene:KN539224.1_FG007 transcript:KN539224.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAAALVLLLVSVSVAAAASGDQESDRIRELPGQPAKVRFSQYSGYVTVNQAHGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLYLNPNSWNKAANLLFLESPAGVGFSYSNKTLDLYVAGDAKTASDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEQNKGIQNPIINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDNTYHNLKKTCLLESSEHPSPECLKNLNLASSEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYSSIYYNRPEVQIAMHANTTGIQYSWKTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIDALKLPTLVNWYPWYDHGKVGGWSQVYKGLTLVTIAGAGHEVPLHRPREALILFRHFLQNTPMPTQ >KN539224.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539224.1:24695:28059:-1 gene:KN539224.1_FG008 transcript:KN539224.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDIVTLFGPEQLPTPQPDLPPHPNPDAAADAVQPDDIAADAAASAQIAEPQVDGPASGTTVELKFCASCSYRGNAVTVKKMLETSFPGIHVVLENYPPPFPKRALSKAVPFLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGNRLPDSQFGKNLEKKVKEFCGYVSLLELSYLLKEDVNHNAPSRVFLINYQK >KN539224.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539224.1:12550:14230:-1 gene:KN539224.1_FG009 transcript:KN539224.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPQPGPYMPDLPTVPAWLNKGDTAWQLVAATFVGIQSMPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWAKAGPALTQDFLVQRAVFPATAHYGSDGTLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGILGGVLTGLLATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAGGAGREDTMEQRLTNMGARGVTIQL >KN539224.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539224.1:70853:77440:1 gene:KN539224.1_FG010 transcript:KN539224.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTARQYDATDFFFQEGWLYDDHLFHSKSELDDDNGEDKEEDKFVLGVHDGSGRIEMGVLSAGDDHRHEHVGNDGCEGCAEVYTCSSPLCGCCGEGLKNEGLEVVKDSSSTVYGRYQIIDDQTEILDECGMDGFQLKHPADVMLECHLPRDSGEGDERSELSVYSKCLLSLGPGLVHDITDNAKLDDNIEKNMKSSSDKHLKEGYSIEPFPESSVDDTFEFGDIGPLNTDAQNSTAAKAEEEDPETNVDLALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESSGEAYFTLPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEGNSWSGSPTFSVKIREYLPASALLIPYNLLLRSACRAGMLRLASGLLLEMRDRGVAPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLVLFSNLIHLALRGGDAPKALALFSRLRGAAGIKPDLKAYNAAIAAYCKSGLLRDANRLLLHDMPSDGVAPDAESYSPILAALARRGRHLAAVSLFTHMRAVARVKPDLSVFNIVLNAYGQLDLAREADRLFWSMRRAGVAPSVVTYNTMLRVYGDAGLFGEAVHLFGLMRSAASDGSDRGGSVIKPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGIQPNAITYSTILSIWVKAGKLDRAGKLFEKLREAGTEIDPVLYQTMVVAYERAGLVSQSKRLLHDLKDSEDVPKETAIKILASAGRVEEAAWLFRRAADAGEVRDTSVYRMMIDLFAKSRRHRSVIEVFDEMREAGSLPDSETIAITMNAHGKLKEFDKAAALYRAMREEGCVFSDRVHFQMVSLLGAQKDFDALEALLGELSDDPSIDKRELYLVAAGVYERACKFDESSQIISQIRSPNAIGVQKFR >KN539224.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539224.1:89636:90843:1 gene:KN539224.1_FG011 transcript:KN539224.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLDSYSSIKDVTYSCGYCGYALNLSSSTRNTANIGSKYGKQIKKGVVSFFAVDESRFTQADESWNSHWECRKGDIAVKEGIAMAACIFSFVKLISVIFLWYQLVRKWDLGAQNELM >KN539224.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539224.1:95989:103562:-1 gene:KN539224.1_FG012 transcript:KN539224.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQKGVCKAAFELLFAFIEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMRRKVTEIEKSKTDRGKPDKGGFGSLRAPNSFGDMGIRGGGPGGDPIFGDMDSFTHKAKGRPSAPAPASASTKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTQPSATQSRSSYIRPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFIQLQIENQDVPGLNFKTHPNINKELFNSQQIVRAKDPNRPFPSGQNETPLVKWRIQELNESSLPLAVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALREAPGVRQIDGEWRYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSTFFPISVGFSASNTFSDLKVTAIRPLREGGDTGRMRDRRGSGSGDSNKVLLIDDARHTEKLVEKALPQVVPSVTAEAARQLFHESRQKGAALVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >KN539224.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539224.1:107224:109720:-1 gene:KN539224.1_FG013 transcript:KN539224.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPSTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSREQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVVSDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRAPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDRFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >KN539224.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539224.1:53272:57467:1 gene:KN539224.1_FG014 transcript:KN539224.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQALAESGLDAIPRCYVKPPCDRPAPEALCPAMCMHVLPAEVLVRSWEVSEEYGGEVIKLCERLMRLLSASLGLDETRFQEAFGGADCGAGLRANYYPRCPQPDLTLGLSAHSDPGILTVLLADDHVRGLQVRRRDGHWVTVQPLPDAFIVNVGDQIEELISSCTVHGWNSWTDDVQILSNSMYKSVEHRVIVNAEEERISLALFYNPRGDVPVAPAPELVTPERPSLYYPPMTFDEYRAYVRKNGPKGKAQLEALKGQSITQNNE >KN539224.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539224.1:16635:17690:-1 gene:KN539224.1_FG015 transcript:KN539224.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGMVFLLFWPLFSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMTSFSHEHRELLKGPLYYATTITFATSIFWRTSPIAIALICNLCAGDGIADIVGRRLGQEKLPYNPNKSYAGSIAMALAGFLASIGYMHYFQSFGFIEESWSLAFGFLLVSVTAALVESHPISTHLDDNLTVPLTSFLVGSLVF >KN539224.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539224.1:78058:80366:-1 gene:KN539224.1_FG016 transcript:KN539224.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVLLQILRGTPSCAQLLSFVGFRYPLLSGPADSEQSPSVAFMPLRSEIPADTAPAPTPPPESLQRLTSLAELWTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISTEKDVEGRNKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFKLRLYRPSTVTTEYREHVKDLSCVSKDFCRVVIVDNNPFSFILQPLNGIPCVPFSAGQHNDDQLMEVIFPLLKHLSIQRDVRPALYERFHMPEWFQKHGIPQTDQAV >KN539224.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539224.1:21129:22933:1 gene:KN539224.1_FG017 transcript:KN539224.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPTLAALLLLSLAAIRGIDFPGGAPTGRFCDGKIMSDFLVEALGIKGLLPAYHSGSGSGDERLSDADAATGVSFASGGSGLDDRTATNAGVATMASQIADFSELVGRMGAGKAGEVVNKSLFLVSAGTNDMIMNYYLLPSKYTLDQYHALLIGKLRSYIQSLYNLGARRLLVAGLPPVGCLPVQMTLAALRQPPRPQGCIAEQNAEAEKYNAKLRKMLTKFQSTSPGAKAVYADIYTPLTDMVDQPQKYELTAFDVAFGTSVNPGFAETGKGCCGTGLLEMGPLCTDLMPTCTTPAQFMFWDSVHPTQATYKAVADHFLRTNMLQFDD >KN541747.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541747.1:5230:14139:-1 gene:KN541747.1_FG001 transcript:KN541747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGPVLPRYAAQATGALTSDAKISGQLLRRVHLRRRACGLQGDHYRAARRCFSNCVIYSHYFFPVETPSIMLLAALRNIRIPRPTIYNVVKEMTERMGYAVRLVRITEMVHDAYYSRLYLAKIGNEEETISLDLKPSDAINIAFRCKVPIQVNRRIAYNNGLKVVQPTPSESYVSSDQFQYTRLDRPDDQPCFEAQEFDLVRNMLVAAVEERYKDAGAGFEGIVHDDDKKEWKSDEDNSEGDKKAKAVSFKNRAISAGNKFRRSLRRKRRRRVGDHVASIEDIRDVKELEAVQRFHQCLHDEGLLPERHDDYHVMLRFLKARKFDIDKAKHMWLEMLRWRKDFGADNIEEFDYSELDDVLECYPQFYHGVDKEGRPVYIELIGKVDPNKLVQVTTIDRYVKYHVKESEKCLQIRFPACSIAAKRHIDSCSTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLETIDESELPDFLGGKCRCEEHGGCKKSDKGPWKDPDIIKRVLNGEANYGRQILAISSVDGKKICYINPRHLTSKLPGNTSTSGAPPRVEDIPVVDKAVDTCAGPSTSSMAFNSDSFSLRNITMELGGLRNRITAWLIVLIVSFVAVLRSVPSRVTASLSSQAISRENGTHSSVLRRLGELEEKLQELEAKQSQMPPDREELLNGAIHRVDALEAELISTKKMLYDALMRLDELLAYADQQKNIQFRKKRFCF >KN548067.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548067.1:323:520:-1 gene:KN548067.1_FG001 transcript:KN548067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDALMLLGKWPSPFVTRVELALGLKGLSYEYVKQDLVNKSELLLASNPVHKKIPVLIHNGKP >AMDW01040564.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040564.1:726:1642:-1 gene:AMDW01040564.1_FG001 transcript:AMDW01040564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVAWACPFLTSRDALETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNREPERGLSTGSSSEHCGTQRFRIDSE >AMDW01039641.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039641.1:470:900:1 gene:AMDW01039641.1_FG001 transcript:AMDW01039641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYA >KN546918.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546918.1:138:733:-1 gene:KN546918.1_FG001 transcript:KN546918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTGRTSLLATLVVVISAEHFPVLIQRQLITVPKTTTSTFPVAVMRAATDLGDPATIP >KN540328.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540328.1:6:1593:-1 gene:KN540328.1_FG001 transcript:KN540328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDNPLHAGSGHATTLEGSEGREPIIRAGFARTDTDNGGSDVLFRGSEMDHNNLQPAGSGQATTTGAATPVIDDNSRAWQLQKYLMLLTILVATVTYIAGLNPPGGVWLETKDGHLTGNPILPDTQPTRHYVFYYFNATAFVVSLVLIPFLLQPCVKAAAQLKAVRVAMVFDLVLLMVAYIAGSCPDRPTTIFASLLSVVVFGCVVVHWLVAPSSKGQLWLDKPNKVLMLLAIFVVTVTYVAGMRPPGGTWEHAQEAGRSDAGEPIWLERRRGRFMAFLVSNTIALVASLAVVMLVLSSRLRRNMSCLALHVFIITMALLGLLGAYVSGSCTEWIFTVQVGCVAGAIAVILLCVSCLPIVECLFGGFKSQHHSLAGAPGRRVTIQPLVPIVPVGEGEPVMAGTPGLPRMTPTESTMVVHRQHSSEAHANPLNNGRSMILLLATLTATVTYQAGLDPPGGVWRDNEGGHNGGDLILLATHAIRYKVFFYCNSAAFVASIIVVIILQSKDLVNRYALHAAIILDLLGLM >KN540328.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540328.1:30524:32540:1 gene:KN540328.1_FG002 transcript:KN540328.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMILGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLVDQYSAKLYDTVWEQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAKHVKNREWMDIYRELDSFFRELLITREDLVVKAKGLALQGAEIAKSLLSSSTSVLGGSANLMLSIALHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKLFKVHFVYTSTVFAIISALLPILPPWLSSIFAAGQLLMEGRYVLAIVVTVVHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMALFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSS >KN540328.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540328.1:19023:21232:-1 gene:KN540328.1_FG003 transcript:KN540328.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALAATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSV >KN540328.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540328.1:10998:15614:1 gene:KN540328.1_FG004 transcript:KN540328.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGASAGTCRVLLAWLMHFTVQEGARTCFISFGKFGRVLWFFRGHRLAKELDSKRWEKINYCLDRNGPSNRVIIVSSSSAKNQKIVRKNRLCAEEMAPTTVIKAKSPSQVNSIQCYNLQRKTQDFLGIFELNSMSSVENPSNGRSNGHALASTAADAAQAPQQGQAEQNPCDAGSEADLLWKLRKYLVLLAILAAAITFQAGLGPPGGFWQQDLHGYHAGDVVLRYSYKRRYLVFFYCNTTAFGASLIVLILLLVKELSRDAIWLRSLQFAMVLGLLGLMGAYAAGSCREVRTSVYIWALLVGIFAYITLHVVFFRHLAPQWLCEIFYTIRKHWKEILGSIHGDGGTDKTGTPRQTGETGDSEKTKRLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDNKPSHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLFIQWIEHMVPKPPCIKNSIEWVGTKKTHLLKKLSSFIMRGARNPTEETRSTPRAQNPVNNGRSSTSDTAKDDVEKLRTYLLLLGILAATVTYQAGLNPPGGFWQDNDDGHTAGDPILEAINPKRYKAFFYCNATAFVASLVIIILLQSQLITVGAMKRHILQTAMALDLFGLMGAYAAGSSRKFSTSVYVFILALVVFTYFALHVLLSMALKTQLKTKIEYVPNLFHRFTRDCFRQTEGGSDDGRSNLVEQQNEEKDLEKRRKFLMMLAILAASITYQSGLSPPGGFWSDNNGHRAGDPVLHDEFRRRYRIFFYFNATAFMASLAVIMLLVNKRLCHKGLESYALRACVLVDLISLMGAFAAGSCRRVLTSIYVILVVAAVLAYVMIQILVLQVAEQNVDFLKKRRSGFESQQRSMTPTGPNRSTDKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDLDNHYAGDPILKVTHPIRYKVFFYCNATAFMASTVMVILLLNNTISKYKRSLLAMKTAMILDLLGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVKEKGKKWMPCLKMWDQIETGASDQPSATQSGAPPV >KN540328.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540328.1:36634:43811:1 gene:KN540328.1_FG005 transcript:KN540328.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYACAVLDGLPRDGSVLLLPLKWSNMFATTSVGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSFPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQLFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQREIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETKDLQNNESDSFLGIEDAKMSEVFSSAKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >KN539651.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539651.1:81950:91467:-1 gene:KN539651.1_FG001 transcript:KN539651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHKETVNLISSMLDPLMEQFSAILNSLVQSHNPDDWNMQMETFVSSFKVYQLSMIQASEGVDSVGYDSDGSERSLESFGIQLFELWISIVGNSRLAKVIAANIKELAYYTIAYQQITEEQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKFSSVISKEICEQYLCSAARAIASDVPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTLIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPVLESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVHLSAPNVDQFINLLLAIPAQGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQVVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKVLRK >KN542324.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542324.1:1658:5271:-1 gene:KN542324.1_FG001 transcript:KN542324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLHIEAISVAAVKVSTTPIFPTIPRAQTNKDFQVLLRVEAPPAADLNGHVPIDVVAVLDVSSSMNDPVAAASPESNLQASRLDVLKASMKFIIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSITGKKIDRLQARGGTALMPALEEAVKILDERQSSSRNRVGFILLLTDGDDTTGFRWSRHAIHGAVANYPVHTFGLGASHYPEPLLHIAQGSRGTYSFVDDDNLGNIAVALAVCLGGLKTVAAVDTRVSLKAAELSGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKNFVVHLHVPAASSTTLTFSSSECGYYCGAATVCDHCHHRHQQQLLAVGYSYSHAPGGEAVSIEGHGVFVERPEVAHMVRFELLELVAGFAEAEMASKPATTKTKPRAADVLQGKWEEFRRARQFWGGVELDGVEREVDAMVASLRGGLAYVSSWVSSHQMQRATAMGSPEKVAVVHVSNQRRC >KN541655.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541655.1:14937:15551:1 gene:KN541655.1_FG001 transcript:KN541655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSNEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLSLAFFSSDFMIIAHVLLLVTIGTVLFVLLNRFLAETGLVPVEQQMKEMGIHKIEATEKDKGN >KN542324.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542324.1:15826:16689:1 gene:KN542324.1_FG002 transcript:KN542324.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFNLPGRLNELLRRHRSILPKGAEDEIPLIKQDLEEIISILHGHCSEPKLEDHAMVVKCWMKEVRELSYDIEDCIDQYEEFIEQYEHVAAARYYARPRKFNRRHGNRLPPWVPERLKQRLWMANKIREFSLRVQEIEAWLTDEEHKKLKVVSIVGVGGVGKTTLANELYLKLGHQFEYRAFVRSSHKPDMRSILISMLSRIHPQQPPDNWKVHNLISSIRTHLKDKR >KN540479.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540479.1:45:803:1 gene:KN540479.1_FG001 transcript:KN540479.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRYKWGDLALNEDTCPSELLQKLDDLRGIDFHESIIIWHIATDLILLERKNNYSGDSKNDKEVERVRSIRALSNYLIFLVVTRLDMVPGLPQNWLYQQTCNNLDEICEDRRYQLLSSAGKTNNIIFRVLRQLFRGHNDNTASVRLNQTNELAKIILEKYNPTEKFDSSIPRLTYARNIADIVLNCKYSDERKDTDPVKVLHDLWMDFLIYAANRCNRKSHAEMLNVSGEFTTVVWLMLEHINQTKRHKK >KN542324.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542324.1:10913:13662:-1 gene:KN542324.1_FG003 transcript:KN542324.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISVVGFGGLGKTTLARAVYDSPGAKGKFSHRAWVTAGSSPETSSRILHALLQQVLPKDAIGVDGQHDLEALLKEYLKDKRYLIVMDDINMEQWSIIRSTFEDNGTSSRIILTTTIQSVANMCSHGNGYVYKMNTLGEEDSKTLAFPGFRSPELEQGSESLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSHLKERDGHYSFSELRKVLLDSYDSFSGYALSCLLYLGIFPNNRPLKKKVVIRRWLAEGYARSDSLRSEEDIADENFSKLIDQNIVQPVDTRNNSEVKTCKTHGIMHEFLLNKSLSQIFIAKSSRDHPRLGIDTNARHLSVHAGELTESVESDEELSRVRSLTIFGDAGHAICYVRKCKLIRVLDLQECNDLDDEHLKYICKLWHLKYLSFGSNISELPRSIEGLHCLETLDLRRTKINFLPTEAIMLPHLAHLFGKFMLHRDDVNSVNKMTKLQKFFSSKKSNLQTLAGFITDESKGFLQHIGHMKKLRKVKIWFKHVPGSSNYIADLSQAIQEFTKAPIDRDIDRSLSLDSEECPENFLSSLDLETCSEGSKYALRSLKLNGELHRLPPFVTLLSGLTELCISSATLTQGHLSALINLNRLLYLKLVAYKLVNFEIKHGEFPSLRRLCFVVKSVNSALPTIEHGALPNLISLQLLCQGLVGLSGIEIKHMKYLKEVTINSGVAIQWEQAAKNHPNRPKILILRKVNPMESEEPERPCAIREQREISVAQMTSLDDGLDSSLNKMRLSKPSSSRLQVFVHPVVITATEAAPQSSLANL >KN539651.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539651.1:71729:75000:-1 gene:KN539651.1_FG002 transcript:KN539651.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDTIESIRSMQVRQVLAQIISLGEFLWEWSILSSGGFDFAGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGRQFVPSYNSLLLSINDSTKIKQYHCSSIGLLKLGMHF >KN541655.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541655.1:19086:24842:-1 gene:KN541655.1_FG002 transcript:KN541655.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKADELAVDELLPHFDSFGGPGAGEDNGATDDARKGNHNLDGDLPRVLLGDEEDDGEAGARSWSARPSSVPVVPGAFDEIPDPNPVIVTAMASGYVRNNLVYHSLELFRAMIASDSASVVDEAAALVAFSASARVPDRGVTASLHALIAKIGFERNAGVVNTMLDSYAKGGSRDLEVARKVFDTMERDVVSWNSMIALCAQNGMSAEAIGLYSKMLNVGGGIKCNAVALSAVLLACAHAGAIQTGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMASRAFRKIKEKNILSWSAMITGYGMHGRGQEALEIFTEMKRSGLRPNYITFISVLAACSHAGLLDEGQYWYNAMKQEFGIEAANIPLR >KN539651.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539651.1:65072:66180:-1 gene:KN539651.1_FG003 transcript:KN539651.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRILTVKVEDGQALPAADSEEAPAVIPGEEVGALGLPNDGDGLNPGEAVEVVSITNVIFTINLLGMFIKKYKSYKDFSTQNKGEI >KN539651.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539651.1:25559:25822:1 gene:KN539651.1_FG004 transcript:KN539651.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSLRPPRREVGGGHGRPRGWRQGNTTQKGRRTPTTTLAAAWHAEAKGMTGRGASPLPATEQCNAKKEALDWMSMPLALAGSPT >KN540479.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540479.1:47111:48530:1 gene:KN540479.1_FG002 transcript:KN540479.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACPTIASLFNTPLPLILRHPVGQAHRPCLRNRVQPDKSMLRHSEPLVDQPRVHTMERCMKVLCKSLGLYHDNSSFDDVLVDYIAMFDGPLPKHIIAVLMAIFDIDINTGDDGRQLDGALLAVVGDGITDLDDEVCTNGHGHKKAIIRGSIGGGLILVLLVWSILSW >KN539651.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539651.1:35080:36685:-1 gene:KN539651.1_FG005 transcript:KN539651.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADRRPAARACTRAELFAAILPLDRYWNVELWKNLFSTLLNATSNASDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >KN540479.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540479.1:39514:41768:-1 gene:KN540479.1_FG003 transcript:KN540479.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWMISTVFFVNSWVIRSLVIGAVYAMFKNIVLRSGTGTMFRWVSVAMFILGIVKYWERAVAMKLANLENMRSSVKAEKKKREETGRRSLRNVRRPSSWGRRHDNQEEALLVAHGLLDLTKGAFVDSSIDEHLLPDYVAKRQEIFPSGGWGMMYEVVDMELSLMYDILYTKAAMVHTWHGYAIRFVSPVITTAAFLLFWFDSKVGQRMADVLITYVLLAGTILLDIRWLLRAVASTWTYSFLNDRPRLWLHHAFLCSGKWHMLRRLIVSLDLSQFLAKEPRSYRKWSGKIGQYNLLHECTRDKNNRTTRNCLSSVVKKVASEDQWMEYEYHNMRGILISPYVKKKLFDCIWKYMCLAYPVEQEKPKKEEKKPEEPKATEVKAEFIDEGSRVGRLRVIEEVLDFIPEFQESILILHIATDVVFLHSGAEQHAALSETKQDMKVIKTLSDYMMFLVAVRPGMLPGLKLRSLYDTTLAALEKLWSEKRSLSCSSTTREKCLTDIMFKKEEKRKKKTENGDEWKPGYRTRKWKPEYITELYRISIALSDGIKLAVLLLKWLPDYKVDFPSTDYDSKFIQMYPELMKIMKIECKDEYPKKLAVLLDHIFHEWVRLLINASVKCTRDSHAKQLGRGGELTTIVWNLVEHAGIFHVDPHQK >KN540479.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540479.1:13097:27567:-1 gene:KN540479.1_FG004 transcript:KN540479.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLRTGYLGLLLFLLSMLLDASDHLIAGAAAAPPVFSYNFSADHPSTYRQDLVFQDDAIEPQTTDTARPVELTCTRNDQVCRRGGRMSYAHPVQLYQLAANGRISKVASFSTSFTFAIWPIDGKCRGDGMAFFLASFPSKVPYSSGGGNLGLITDNKAPKDVAPDERFIAVEFDIGNQYDNQTDHIAIDINSVKDSASTTYLPRNVVSANLTAHLGFTPPTSLPGPQVAVGFSAATAGCVESCQILSWSFNSSLPLIHQDTHNIARLPVKLIIGGALVFALVLWFFLSCWEQKRIRNVFDKGTGGARRFEYRDLAAATDHFSQSRKLGQGAFGAVYRGDKLKLLDHQVAVKKIVRESSEGHKDFFAEVRTISESKHKNLVKFFGWCSRGHSWNILRFMCSCFWSKKNSELFLVYELMTNGNLNDYLYKSQSSEVLSWQTRYKIAKDIGSGLLYLHHECDPHILHRDIKPGNVLLDENFNAKLADFGLSRMANQDNATLLTTAIGSEGYLDPQCLKHGKVPFKRSSDVYSFGIALLEIACARRHREQIWDLYRRGGNIVEAADTRLTIGGGLDMREIERVIVLGLWCSALQTEHRPSMRQAMDVLERDGPLPDLNSLIVVNTTLASTTEEDASSAPAAGNLYDCDEAPLLIPGSFHAGFVVRVELKLLRFNDELHGEFWLSPVKPTPKSTAQHQISNLCSFCGGDRRGLQIVKAGRSRLWLDSIGQYNLLDFCTRDTTDLRGRIAMKVGLENWFNKLHYSSTTSISSDIKEFVLKEIHKRGRGDIRNAREEVEHTEKPVVKVIKEISNYMLYLLVQHPDMLPGPTRIGLYPEVCASLVELWQEHSTSSSEGGDNNCSKSKKLASLLFQKFGSDSTDNEHGQVYLDGAAVAGDLHRNECNVPNMLGLIAGVWFEMLCYAAHHCSEESHARQLSTGGEFLTAVWLLVEHIKFPKSEDDGGPSHVSTEISQQQLDNVV >KN539651.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539651.1:78555:80183:1 gene:KN539651.1_FG006 transcript:KN539651.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSGSGGIPIKADQDSDGSAQSTADMTAFVQNLLMQMCSLDEMGARIDELEQSINDLKVEMGTEGVTPTKPKDEESKPAGSSAE >KN539651.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539651.1:28311:29698:-1 gene:KN539651.1_FG007 transcript:KN539651.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLNNLCNFRVKKQYYNPRCLIPAVSLLLLVVILTVSNTYFPFPTTKSRTLSYSSFSSSPGGQKAADEACNIFRGEWVPDPDAPYYTNNTCSVIHEHYDCMKYGKPDLGFVQWRWRPDSCDLPRLDPAPFLSSMRGKTLAFIGDSLARNHMNSLICLLTRVAEPTTSWPSSEHTVYHYGGGYNFTVLSFWAPFLVEEFEAAKRAASGGGVRMMLMDTTEAMILRADAHPSRYRGWTRRKGWMKEYFTISNDCVHWCVPGAVDAWNDMLSHMLLTSQS >KN539651.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539651.1:70288:70554:1 gene:KN539651.1_FG008 transcript:KN539651.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGMDLQVDKWNDCHSVEHWWNLVLESPNNPNQPLRTLVILVSWVRELNTRIFRRKASTPASILAKIKEEAEAWCKQDHLDSKSSEF >KN543249.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543249.1:24:1147:1 gene:KN543249.1_FG001 transcript:KN543249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRGACNPLTPMTTSPIFEAAAVHCLDIGMQCHGCCVLQRCIARSGGEQREKLVAAIASNGFELAQDAYGNYVVQYVIDLKVPTANASLTKQFQGRYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAVPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >AMDW01020503.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020503.1:10:295:-1 gene:AMDW01020503.1_FG001 transcript:AMDW01020503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RTKIGALHLLVSNFFGAGVSELRAEAKGKEKEEERVVLADPDVAGRVALGLAVDAPRGRLLIVYADRMPRFGYSAVAAYDLASWRRLFLTRLDGP >KN546051.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546051.1:59:523:1 gene:KN546051.1_FG001 transcript:KN546051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRGPCESHMGNLVGQALFGDAAGAVVVGADPVEANGERALFEMVSAWQDIIPETEEMVVAKLREEGLVYNLHRDVAARVAASMESLVKKAMVEKDWNEEVFWLVHPGGRDILDRVVLTLGLRDDKVAVCREVMRQHGNTLSSCVIVAMEEMRR >AMDW01059853.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01059853.1:68:280:1 gene:AMDW01059853.1_FG001 transcript:AMDW01059853.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVRFVVLSPGLLIELPGTHRWVDFGSLRVTGKSAVVHTIVFFVLFAIVIVACKLHIYTGA >KN538759.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538759.1:23087:25135:1 gene:KN538759.1_FG021 transcript:KN538759.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVEQNVNSNGMNAIDYESTVTIIDGKSVAEDIRFQIAEEVRQMKNAVGHVPGLAVVLVGDRRDSESYVRYKIKGCEEVGIKSLLAELPGNCTEDVVVDSVSRFNADPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFVPCAAKACLELLLQSGIELMGKYVTVIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEEITRQSDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLANTLDSAKLAYGLATESHEL >KN538759.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538759.1:104726:108825:-1 gene:KN538759.1_FG022 transcript:KN538759.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLIDITLRTSVDAKKRKMFALHLLNINLIQGHTEEAEESSQHENNRMSPLYLAVSLGYSDIADKLTSTFGNALPHHGLKGQNALHAAATRSVALTRTILAVKRTLIDEADFYGSRPLHFAASVGVKGITNLLIDSGREQNISQRPDNDGMFPIHIASSVGAMDPIKSLIGDLTSANVRNSNGKTFLHIAVENGKHDVVEFVCREPRWKFLGVLKIGKIRARPIFKDILNMKDNHGNTALHLAVQKRDQTIFSYLLGNKAQPTLNGCADNRSNGNEEQSRAQLTPGRNGGHSRDSRSKQKEAHGDSLSRSTESVLVASVLIASVTFAAAFTMPGSYKNRSPKEGTPALGSRYGFKVFLVADIFAFFFTVAATFSLAEYGTRGNVDPLVRCAYARRAVGFFHVALKSIIVAFVLGVSVVMWDISVSASAIVLAYAAQLKQKS >KN538759.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538759.1:11358:12379:1 gene:KN538759.1_FG023 transcript:KN538759.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLVPCGLAIMVGYHLMLLHRILRHPHTTVIGYENHNKLAWVERMLQAAAPEESALALGVISDNISAATTLASLCIALGSLIGAWLRIAWS >KN538759.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538759.1:25977:29325:-1 gene:KN538759.1_FG024 transcript:KN538759.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCALSLPSAFSVSVSSNSPRRFRRSRRVVAMASVGQKVYAPGVAVSEGNGGLPKIDLKSPHGSEAEIYLFGACVTSWKVPSGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGTMQQHGFARNMNWSISDSEANEGDPAVTLELKDDSYSRSMWNFSFQALYKVALHSTSLSTTLKITNTDDKPFPFNSALHSYFSASISGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELTLDNGLGDKIVTSNANWSDAVLWNPHLQMEACYKDFVCVENAKIETLQLEPNQSWVAEQKIELI >KN538759.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538759.1:74131:81830:1 gene:KN538759.1_FG025 transcript:KN538759.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVKRTLARLQDVLLTVEGKYFKMGAEVQEWMRKIKQIAYGIQDLLDEFEDSSGAGSQRNGSRISEHSLSNLDKSNEQEVLFHRTEIIGRDTDKENIKNLLLQNDVDKLPIIPIVGLAGLGKTAVAKLIFHEQGEGWNFDQRIWVHLDKKLDLNKIANSIISQVNQSVDTTKNQIQNNLQFKRNCLQEVLCDQSSLIVLDDLFSTEENQIAELKEMLRGTKKGTKIIVTTSSEISAELIHTVPPYKLGPLSEGDCSTIFCQRAFGDGHENSSLTEIAKQIVKRCEGIPAVAYSLGSLVRNKNKEAWLYARDKEIWELPTLFPNGFELLASFSEMYLCMPSALKSCFAYLSTIPKGTIIDREKLIEQWIALDMVGSKHGTLPAYVQGEMFIQQLLSISFLQVRNKPSATRIRDTNQSKELRIHNLVHDFAMYVARDDLIILDGGEKASSLRKNIPVFYGAVNNDIGQSALRKGLLSSARAVHFKNCKSEKLLVEAFSVLNHLRVLDLSGCCIVELPDFITNLRHLRYLDVSYSRILSLSTQLTSLSNLEVLDLSETSLELLPSSIGSFEKLKYLNLQGCDKLVNLPPFVCDLKRLENLNLSYCYGITMLPPNLWKLHELRILDLSSCTDLQEMPYLFGNLASLENLNMSKCSKLEQLPESLGDLCYLRSFNLSGCSGLKMLPESLKNLTNLEYINLSNIGESIDFNQIQQLRHILKKTFFSGDIGGSELQTCEHAADSADSKKEITMDFSANLHGNITLPPKCSTAEEKSGENSERFLSAAVREDSSSTDVSTYVKPVVSSLIGVLRRPTRLDVPAGAMASQVGLAQMPSSNNGKAGPHPTMAAAQTPETDQPVHKRVRWDDKIDYSRPPNSKPARSASLVQSTDLSTPKKSYKKIHSMPVVYSSIPKGSSGGTYLMPAKAIASSYRRYSPQRWEQHIGYQGTDEDELMVVPPFGEWDQSPTLRKSDFRYEKVFAKLTEEKMSGQRQKPQQVTLRLSSCRENHGMSDLARCGMPNSCKKDELTQRRQR >KN538759.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538759.1:114696:115194:1 gene:KN538759.1_FG026 transcript:KN538759.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGMTFVFLHSLLLLSLALRCNTSQGEGEVAAAAACRAMDLVVRQRAMGWVVEGKPEYAVEVANPCRF >KN538759.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538759.1:6176:7528:1 gene:KN538759.1_FG027 transcript:KN538759.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADENDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGATAVQLKGVMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >KN538759.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538759.1:142572:149131:1 gene:KN538759.1_FG029 transcript:KN538759.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLPLPPPVPDDELGAIKAALYELEDLLDDLDDHAGVRRRPGRPTWKHTNPKHEKYKEMAGFDGPTIIGRDTEKEDLKDLLSQSNPDDISILPIVGLLGLGKTSLARLVFEDNEEGWDFDLRIWIHVDDNFDLEKFAVSIISEANKLMKGKFSHILNRSDCPSYLKFKDCIEEILSSSSCLIVLDGLLYANEHWLPDLKYVLGKTKHKCTRFIVTTSSEEVAEVMQTIPSYKLGGLSEDDCWTLFSEKAFGSRDATIHSWQTKIGKEIVKQCMGMPILAQSLGLMVHNQDMDTWLAAGNDELWELVERHSLEMEVFSSFKQIYYDMSLMSKSCFLYLSVFPRGSDIDKDELIRQWIALDLINSNRHGTLPAVLHGEMFIEALVSISFLQIVNTSLVTKKKCKNPPPTILKVHSLVYDFLRYIAAADIFTLDYAKSPNISVRNQPFRYAVLTNYSWQATMHEDLIAKAKAAKAAIFRNCEATMPIADIFPILRYSRLLDLSGCLFQELPASIGELKHLRFLNVSCFRITELPNEMCCLRSLEYFDLSKTCIEVLSLFVGAFDKLKYFNLHGCGKLKNLPRNIGDLKRLEHLNLSCCPEIRELPSSISGLDELKLLNLSSCTKLELLPHQFGNLSRLEILELERCCNLQRLPESFGGLSKLCSLSLASCSGLQRLPDCIGELCSLEHLNISHAHLELPDSLTKLQSLHIANTVAVTFGNYDHTGNGRSREFSEYTQDANTGEESFTEYGKTANGAAESFRTYGNHSNSIATGFDNYGDRANGAADAFSSYGASGNTPENTFRSYASGSNAGVDDFKGYRDDANVGNDSFTSYASNANGATAGFESYGKSVNPGTVTFKGYGLGSNPNHRIGFARYSGDNTTFKAYSNDGVEFKEYQNMSKMESLFPYLVYYCHSVPTVRVYEADILAVDSNQKINHGVAICHLDTSDWSPNHGAFIALGGKPGKKVDPTKFIYFIMQDGEFV >KN538759.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538759.1:33865:43018:-1 gene:KN538759.1_FG030 transcript:KN538759.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINDIVGVAQGEVKLCCNFSDDLEGIKDTLVYLETLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKEQFDGGSYAQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSYINSAVHYFEEKQTTSYRNTDIAIVGRDADLDHLMDLLMQNSAEELCIIPIVGPVGFGKTSLAQLVFNDTRTEVFSFRIWVHVSMGNINLEKIGRDIVSQTTEKIEGNMQLQSIKNAVQRVLNKYSCLIIIDSLWGKDEEVNELKQMLLTGRHTESKIIVTTHSNKVAKLISTVPLYKLAALSEDDCLKIFSQRAMTVPGDPLFREYGEEIVRRCEGTPLVANFLGSVVNAQRQRREIWQAAKDEEMWKIEEDYPQDKISPLFPSFKIIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESKHGTLPLDVTAEKYIDELKAIYFLQVLERSQNDAERSSASEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYSDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSVNKYLRVLDLSGCCVQDIPSPIFQLKQLRYLDVSSLSITALPLQISSFHKLQMLDLSETELTELPPFISNLKGLNYLNLQGCQKLQRLNSLHLLHDLHYLNLSCCPEVTSFPESIENLTKLRFLNLSGCSKLSTLPIRFLESFASLCSLVDLNLSGFEFQMLPDFFGNIYSLQYLNLSKCLKLEVLPQSFGQLAYLKSLNLSYCSDLKLLESFECLTSLRFLNLSNCSRLEYLPSCFGKLNNLESLNLSQCLGLKALPESLQNLKNLQLDVSGCQDCIVQSFSLSTRSSQSCQRSEKAEQVRSRNSEISEITYEEPAEIELLKNNPSKDLASISHLNEDRIEEPEVVTEPSATRGMVQQIPGNQLSSPSSHLSSFASSSAPFASSSSDTSTSEHPVPNEEAAALTVPRSNEKCDNTPMPVKDGLISEDDAPWPRESSTRFGFLMGSSNREPVPARGFGSLVQIPDKIQNSLKVHFGRFLKKNGFGGGSEAEMLPMQVQGTCTTVAPEVRLDKQLQAWKNNPIWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKVKFKQGRTGFMKKFEGCWKIEPLFVDKEACHPLDPCTLEEYDSCTNGTGRVGSSITLDQLIEPAMVPPPPFSWYLRGITTRTTEMLVNDLIAETARLRGLANNAIDKQYVEGKCDTSRDHLTEECNDIKERWRQRRKTGRHGNSLRLTAQG >KN538759.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538759.1:13824:15512:-1 gene:KN538759.1_FG031 transcript:KN538759.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >KN538759.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538759.1:45:1847:1 gene:KN538759.1_FG032 transcript:KN538759.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding TRTKYKEPTKALIYWPNLSNPGGNPAWNQAGSQGEEGEEAESLSLPRRPCAAAWEFPSLLSPGWLDPAVIPATRYYLSVLLPYLLGMGLWTLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLGLSDIQIFSLQVPFLMEILPTMIIANMPMLVTGEYKTNTDG >KN538759.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538759.1:95462:95731:-1 gene:KN538759.1_FG033 transcript:KN538759.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVTTAGSNIALPAPPEKEKAVLIESTPTVQAIAACDPKPLSRPAKDKAKAKPSNPKHPRLKKSSPVPTRKPDRKSATFFNLVGSCLL >KN538759.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538759.1:131114:133952:-1 gene:KN538759.1_FG035 transcript:KN538759.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTLLLELNNNGETALDCAAKAGRIKMVLHLLHLERSSRRLPSDVAREKEIMKKTSGEWETKLHRAVRQRDAGEFDRLREEDGGLARVPDIHGVFPLYLAISLGYSNMVEELISTFRDNLSYDGPNGQNVLHAAAIRNADLTRRIGELNGNLRNNADYSGSTPLHFAASVGVKGTTSSLLDGDRCNTERRPDKKGMYPIHIAASVGVIDPIYSLVKRCQSCATLRDDVNGMTLLHIAVENGKHDVVKFICRKPTLIFKDTLNMKDNDGNTALHLAVKKRDKSIFGHLLGNRDVELNHVNMDGYTPLDLASKMKAEHPFASPQNPTEWMIRALAHSGAQFSPRRHDEFIDTSNSGKKQEHGMKLAESTESVLVASALIATLTFAAAFSLPGAYKTGNSKEGTPALGSRYGFKVFLIADIFAFYFSVAATFSLAEYGNRHNVDPLVRCAYAQRAVWLFHVALKSIIIAFALGVSVVMWEISLSAIIIVSLATSVLVLYGNVPLAQDFRLLWVMYHRFGFSRSWDLHPSTSSHLGWTSWRLNNFVATLGWNLVKLFWAYGLIFVFAYIAQLKQKS >KN538759.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538759.1:18688:19998:-1 gene:KN538759.1_FG036 transcript:KN538759.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSTSTYNAMLAGYAANGRLPLAASLFRAIPRPDTFSYNTLLHALAVSSSLADARGLFDEMPVRDSVTYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRVEEARGLFNSRTEWDAISWNALMSGYVQWGKMSEARELFDRMPGRDVVSWNIMVSGYARRGDMVEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPERNAVSWNAMVAAYIQRRMMDEAKELFNMMPCRNVASWNTMLTGYAQAGMLEEAKAVFDTMPQKDAVSWAAMLAAYSQGGCSEETLQLFIEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGRLIRAGYGVGCFVGNALLAMYFKCGNMVDARNAFEEMEERDVVSWNTMIAGYARHGFGKEALEIFDTMRTTSTKPDDITLVRICFLEHTTYN >AMDW01037538.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037538.1:220:714:-1 gene:AMDW01037538.1_FG001 transcript:AMDW01037538.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPHQLVPFLESQVFANDRIPDLSSLPSPRLFMTHIPSQSLPDSVATSGCKVVYLCRDPKDCFVSLWHFWNRFMPWDIDEAHRQFCDGVSQFGPFWEHILGYWRWHVEKPNQVLFLTYEELAADTLGQLRRLAEFVGCPFTTEEQKHGVDRNIVEACALENMSGLE >KN542275.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542275.1:4699:8600:1 gene:KN542275.1_FG001 transcript:KN542275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLMHILPVDSEQASNNDGQTVITPVELIKKPVEKQVITEGRLQLAGDGYQLLSSNGIDKTAEQLYVDQLGRQTNSMDQSLQHTDGQNQSHDNMESNEVSSDDRNSDGDSDRSLGNESDKGLGAHYSPSLMELDNARPPELGMKFATLEDAQRFYETHALKTGFVAKRGTNYRRKKFTIECHKTGTSKLTPNPQRKRKKNIIERTQCQAKVVVKLNKGQWEYAAVRNEHNHPLCPSYSLRFSKRKRRRNPPSQKQLDVQRNSDQLTQADNLEERLSQPLISADSNEVNNKRMPRHAENSIPTVEKEPVDKLQPAGDYQEQSSNGIDKNAERPIVDKLGEQTNSIDHSLQHTEEHNRSHDNVESSEAPSEDTSSESNSDSSSGDESDKELGKYFYPSFDELKNSRPPEPGMKFPSLQAAQRFYYAHALLTGFVGKRGTNYKRKKFHLECNKSGKMKLTKSSENPMKKRRSNLVEKTQCKARVIVKLDKGEWEFTAVRHEHNHPLCPSPLLARFIVDHKQMSTGEKSFLRVLQQNRDTSMEKAFAELMPHVRLRFCKRHVMSKAQEKLGDFMTARGNINADLHNLVGNSLVETEFEEGWVELIERYNASENQHLQLMWQTRKSWAPVYFREDLYPFIDSVSSNEGINSLFKGNMLPKDTIDKFIGQFKEIQENIAKVHEEDRFQSGADLKYISMQPIEQHAAHIYTREIFLKVQKELLHSTAFNVQEIQIGTVYRLEKVFNYENPEFDRNYFEVLAEPGINAFKCQCAKFTRDGIPCCHIFRLFTQFGINEIPEQYIMPRWTKKFREEQLKQNKEKLFDKHGIKDSENTLRYAMLMSKAAEICRKICHDEAKCSIFMQELDKIQEKLITESRENAQNDNPCREQGALQQEHRGSSSNAEQEQQHTGGL >AMDW01035024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035024.1:78:308:-1 gene:AMDW01035024.1_FG001 transcript:AMDW01035024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGAPWCDPRRGYGGYGVGSAALQAAARQQSQQPRSDGAGGAGVTGGVLKRSLGEMERWQQQRQVAAQQAMYLRS >AMDW01008583.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008583.1:17:136:-1 gene:AMDW01008583.1_FG001 transcript:AMDW01008583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADL >KN540606.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540606.1:15676:19554:-1 gene:KN540606.1_FG001 transcript:KN540606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILATRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRLPSGRSMGLFMGWAGPEKALAQNEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYIATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVAVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARDRVQSVVLDMSNVVNIDTSGISALEEIHKEMASLGIQMAIAGPGWQAIQKMKLAGVVDRVVGRDWIFLTVGEAVEACVTMQKGTALEC >KN540197.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540197.1:4818:5552:-1 gene:KN540197.1_FG001 transcript:KN540197.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHINMIVMIKVVVITCLVSPVRSSLQPNELFQSIWWPPFEILQHDFFRGSYCSILQTLLASTIRTSSGLHVDYTYILGRQRLYRPPASMKMTNLTGRGDAITMLAIRADNLNVIAFANRSGNWHAFEKYADLIPEPVTRLTIGDDYASLLGNGGITNLPNLNLGRHAALDAIHVLSNYSPSVDNRVLGVALATMIVTLPEAIRLRN >KN540197.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540197.1:48569:56516:-1 gene:KN540197.1_FG002 transcript:KN540197.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAARTDPVEVAALNTILGRWGTKPPKTWNITGGDPCTGTAVDDTNIDDSPVVNPGIKCDCSFNNRTVCHITKLYVDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTVNFSKLGRLTLLDFSYNQLTGSFPSWVTNNNLQFILPSGLNCLQQDTPCLLGSPEYYSFAVDCGSNKSMKGSDNTIYEVDAANLGVASYYVTGNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFASKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYERGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGRLGLYN >AMDW01073166.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073166.1:124:520:1 gene:AMDW01073166.1_FG001 transcript:AMDW01073166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIDRLPIVPGLMEAVGIGYSGWFAYRNLLFKPDREAFFAK >KN540197.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540197.1:35762:42735:-1 gene:KN540197.1_FG003 transcript:KN540197.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRSSSSSGHGVHQLILWLVIACSWVAVARVQAQKPAGATTDPVEVAALNTILGRWGTKPPKTWNITGGDPCTGTAVDDTDIDNNPIVNPGIKCDCTFNNNTVCRIVKLRVYALNVVGQIPAELEKLTHLANLNLMQNYLTGPVPSFFGKFPMQYLSLAINPLSGPLPKELGNLTNLISLGISLNNFTGNLPEELGNLTKLEQMYIDSSGFSGPFPSTISKLKKLKILWISDNDFTGKIPDFIGSLTNLEDLRIGDIVNGSSSLAFISNLTSLNVLILRNCKISDNLGAVNFTKLSGLTLLLFAEIFRTTNSWETFLHGLPVTIYNLDSGSNRSVRGLDNTVYEADATSLGAASYYVTGQTRWGISNVGKFNEAPNGSYLMYSSQQFQNALDSELFQTARMSPSSLRYYGLGLENGNYTVLLQFAEFAYPDTKTWQSIGRRVFDIYVQGDLKEKNFDVRKTAGGKSFIAVNKRYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSITPNFTPTVRNGVPKKKSKAGVIAGIVIGASVIGSAALLGIFVLVKKRRKAARQQEELYNLVGRPNIFSSAELKLATDNFSSQNVIGEGGYGPVYKGKLPDGRIIAVKQLSQSSHQGKSEFVTEVATISAVQHKNLVKLYGCCIDSSTPLLVYEYLENGSLDRALFGHGSLNLDWPTRFEIILGIARGITYLHEESSIRIVHRDIKASNVLLDTDLSPKISDFGLAKLYDEKKTHISTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDNSLDNDKIYLFEWAWGLYEREQGIKIVDPKLDEFDSEEASRVIYAALLCTQGSPHQRPPMSRVLAILTGDIEMTEMVTKPSYITEWQLRGGNTSYVSSNYSSGSTTGEFREQRETSPLTPSPTITRVTYDGR >AMDW01129971.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01129971.1:3257:3752:-1 gene:AMDW01129971.1_FG001 transcript:AMDW01129971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGGILWVIAEAAVFVLPIQIHNSPWSSELLTLDMVTTIGLENALVGDDLNCCAFVYGSLSFLDKIHLESPCLSSNLTKYPL >KN539754.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539754.1:51682:57008:1 gene:KN539754.1_FG001 transcript:KN539754.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVDVGPPVVASFALHSSPETFHRKSAKQSSLPVGIPQKLPDVRERELMKYSFLELKIATHNFATKIGQGGFASVYKGVLGDGVEVAIKKHTDGFPSRYEELPKKHPLIILRSMLEHKNIVQLLGYCQEYMDVKIPKENATEGTVPAKEEFLLLVEEYMTNGNLGNLICGGLDWSTRLRIIEGITQGVVYLHTHSEKPIVHLDLKPDNILLDSNMNPKIGDFGLSKELQDDETNASVRGTLGYMPPEYIVEGIISLKNDVYGFGVTLLEIICGMSESGRGARHQASIKWAWNVRLCGGMNKLFDPSLCDESQLKEIKRCMEIGLLCTQNKPTERPTMQDVLKMIQGKKKVPTPKQPGYIKRGRVVGAI >KN541870.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541870.1:4586:7965:1 gene:KN541870.1_FG001 transcript:KN541870.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVITAENVAEAVDSIIDKLKKSDLSNVVYFDGWEGLGASAVLRAVAKRLTTVQIADPELKFEKIIHIDCSRWKSRREMQRKIAEKLEMTQAKDLIDEQDEADDINGKDESSRDVINDVGIAVNDVLMSRRFLVVFHNGSDNEIKDVTNFGLPLYQPYKGNKILWTFRGRFRLSTKIQDKVQEADVFLAAEFHNKIYGMEFQDQSHWWDILCEEAAEIASNTCSGVAKLHPTTIAKCWLYISKLNSVGRDIIDYDWAVHASNYWVCDGIIQEWEIADALQQEMWQEWDDPGLYHMMRNTDNWISTSHLISSNYGFLAASAVAQTVSSFFLAAHQIDTESKDTVELVEYFFKSKLNLAHLLQNYNDMFQHAENLRVLKLSRCTFRFASPPFLCCRGLRFLGLDNCLDLNIDAGEEVQSWNCFHGLWVLDLQYTQWVFSPQMIEAMNNVRELNVKGVKPHNLRHIWKRQHNKIQKLRVIKTIDQNYTATKDEKDPFTFIFSGMEKMEILDLSGNSTMQAFPDLSKATCLKTVTLDGCVGLDSVSDSNLPVSLEVFSLVAASEQYPKAANITKISLFGCCRLKKLILSGLPKLEELDLSGTILEKLDLDAMQAEKLNRLLLFGCLHLCAIKWSDVTKPQLDELHVDTVGVHLEGKRQNSLSPVQDDDKLFQSHVVIMDPRLLRFLQLFAMQSHHVHFCISPVFVNYSKDEGESKQCSSAYVDRTVAGNMYSDIFDRVVALSVAPVICPCPRLPLESKCNGSCKVEIRNRKQLQGNDNILGNFIDTVHSLNVHDDSWMTCIPGSNWGRIKWCCVERCPKLHSVFKLRDHDQIKAFSWLETFWASHLQTAHCIWSMEVKHVNVDSFKKLQYIHLDSCPRLIHVLPLSNNLPSLETIQILYCTSLIYVFPLNTANSKGTVSNDAIDFPKLKHVHLHELPSLKGICEAKIMSAPMLETILIRGCCSLRHLPDVKGLHEPRPIVYCEKDWWDNLEWPRKEGGYDQSLLYKRQSAKYYKKALTKGSILRSNLSTYLYKRISKKYSIDLHG >KN539754.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539754.1:1423:2313:1 gene:KN539754.1_FG002 transcript:KN539754.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EQDKQPEGTPQEVVAAVEEKVQDEQVSTEAAEEAIAVEEDAAAVKTDAPELDETQTQSGATAESETEFQRSSEDEPASDAIVEEAAIESATQNEPDEPESTTVEEMAVEEEIKTDESQQEETPKPEERMENSETSVISEDPKEMDAISEDQKVEEPVVAEEQEELSKVVKMAVEPSISSEPATPLEEEDRDDVETEQASSSDPATPLNEEAINEEGTSTETGKTRKVAFKGSKVKTAMEKRPEGEQPRKKDVARSNDVIEETKRTLMMKRKSKVKALVGAFETVMDMDTPSPGKSS >KN542792.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542792.1:7475:7861:1 gene:KN542792.1_FG001 transcript:KN542792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEAASKYRGVRRRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGAMAVLNFPGRTSSTGSSSSSSSTPPAPVTTSRHRADTTEKVELVYLDDKVLDELLAEDYSYRNNNNY >KN539754.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539754.1:27739:32343:-1 gene:KN539754.1_FG003 transcript:KN539754.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGKMPAKVKRIRFADSQTECEVVLPQTMTSGATSSSPSVDGTAQTRPKRKRRAQPAAGAAAADNGTAVKGPNLTRCSAALASDACRALSQKHHEKLEEIGLDAVACMTVGSLEKPDLIRWLMDRTDPDTMCIVIDDDRKIQITPRVVHLLLLPTTDFYIPKSDVWVASDLDRVAAIDCSKAVFQALSHSLRCWWQNPGSSIASCVICLVVLYLDNILPPKAIDIDPMYTPRIQMFTKEIVDHLVKADQNAGGDGTPPFGNLPLCPLDTTCYVNKLGERGKGPLSDVIKAPSFNFPNMSDIVGPHLHGIPEDRRQRLLQAIADYDRQTKESTLEIERQFRKVVDKQALICQQVIGSPKSSGHPSSPTDMDHNSPDAHHGIVQLSQMEDLQPQDFVPERNRAMAIVAADVQKGSAANIETVDDTVGQLVILACPAFQVHTDGPPTHIDDMETGQRCGSQSQADAANTLLSAEAGAAHVPAPTATTEESTEESGRAHGMVSHTIAEPHSQLVVYGGSHGAEQTMPPPDCSEPGCSTLGSQYSTLSISPLSSLVLPDDIGMTDTQVDDKIEEICMREGAPTLSQLLSETSVDIEGLNSTPWSQPKRFICKPAGFVSPVDVGRPHSPTEPDLGIQLREMLIHNVERLGSVKVLEIDSSVATVSDVVQSFSNGKLTEGIFIDAFSSVLFKEDMRTRPETFGKRIFIPTMISFLLNIDFINQGGQTMEFLADALASNLGEFLQQVDTAITQMIMIPVLHNSHWSLYAINLVHKRVDIMDSNNYPLIGTLPSDHHRALSKRVVMRLSDALQKVLPKRFCRFGGLRKNIMNCAKMEICSNNCAFYTLSLQYFLHMF >KN541870.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541870.1:19208:20952:-1 gene:KN541870.1_FG002 transcript:KN541870.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPNPHRFLHQGHIVHLGGNLRVPRVDLSIPQRPVCRHEEFCVALVEPLVSEQDWDHHRMLILHHILDEHLFEVRNSYRHASAVGMYQLRSAMHRDALVHSEPFVYDGVHSVTSVKHDQGPNWRASQSHREGWFLFLDFPLDFVDWDHLNLATATFGQLSFWLERDQIKGRVLIRAKFKDNDFVPRKIVLHDPVGMGGGGESWTVSVFWLEGDFINMAPDEDLPPAGPQPNPNGPDDDDDPNVGNIWQMGQPPVGPGDLDDLVQQQQAAEAEVEDAWGQDYPMGQVMEVNPDGLLALVPIVSEDASPSVPAIAVPNRGKKPPSSPVCEAPALPVLPKALVKKRDGKTLLYNPYRRQSARLQQNKEEAELQVDPRMGIGKPRGKSAKKLKDLAGLQMGMDMCGLTPEEVVESSLGE >KN539754.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539754.1:19717:20908:1 gene:KN539754.1_FG004 transcript:KN539754.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVALGIVAMACLVAMAHGGNFFQDAEVSWGQGRGKIVDGGRGLDLTLDRSSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTQSFHTYSIIWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQAMRVYASLWNADDWATQGGRVKADWSKAPFVASFRGFNADACVWSNGAQRCPVGTMETVAAPAGGRRGGAGGGWWNQELSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGTPAECKLR >KN539754.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539754.1:83753:84237:1 gene:KN539754.1_FG005 transcript:KN539754.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPAALEAKALLQASLPQHLLQIDAEETSGPWRTRWTSWGCLTSIGLSTHLGVLLYSPLHGGDPICDVYDSEAVTTATATSIFGSVAGSCSYRGRSGQT >KN539754.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539754.1:3303:3953:-1 gene:KN539754.1_FG006 transcript:KN539754.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKYISSQMQMLNAPNTDLTGLFDTISHAKTEIVCHFVPGTVADNVRYGPQLQGKKLTDAEVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLLVAGEVVEVLPPSELSEAKHPMARRFLELS >KN539754.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539754.1:46593:47570:1 gene:KN539754.1_FG007 transcript:KN539754.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSLKHITQMALKIKEAVDTVRRNKEDCLQIRRRVVRVSDILSQLQETGNIMSNPAMSAALEDLSETLRHAHTLVVSCQEKNVVCLLCAATALSKKLRRVNDDISDQMMVGIFVTTVHATIALSRIQGDAQHDVMYALPPTEITEVILPKKEEPQPPPPPMEAEAEPEPEEPIPLLSLRRPFLALEEEPTSPPPTEKPEATLVLECHPSPEEEPTPPLSPQLGEVRAQDSDLHYRITRSDVHSTFFSSI >KN539489.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539489.1:49621:52145:1 gene:KN539489.1_FG001 transcript:KN539489.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGALIANFLIKWNLESYVLLRIRVIMGILTILYLMFFFSSLFFTRIYRSMVNFLDPVADATLVYIMGAMQAAPLNNQLFPVWALILVGLRSSIHGHSSSGMFFELRNVLKLLVVAYMNLTRGSKLWRFPFWFFWGLLVLQCFYKILARHIASKSLWNGRSSELLQEYMGANGNKSNFNPEICNPETMEGYKYLVYGELQKSRKSAHILKVEDLKSLVTLDKIWRCDSALLLTSINMQGNNMKDMALAFALSRLLRCRLEGATLHEATVYMTRKLISKMILSDGADKVLFGILEMDVRNRWLKYVIVNTPARYGERTIVIHQHVFLQTFSSSISGWKIFSCILERNQNARMVWSEGKMNDSMAKNVKAAVIQALRSMDLEGHLLSRDLPLPRVSDRAEHYWLACLAEVPTCSRVILVWHIATSLCEIKLANDHKINLTTMSRSLSFLVDEKALTDELQKAYIVSNCLSRYCMYLLASKPKLLPETILMSKKAFQDAVQCAHEMLSDCHSFQSIYDKLMEKKEKALVPSKNGLNLSGNILQQGAILAKALIDEECQECRWEILSDVWVHLLVHIAPSSDAAALAEDLISGVEFVTAIWALFCHCGIEKSELWQQQKSANFRNNTPGTSNQSSDVSTHVQDTVSSSPPAARSSEIHVEAGPTGKCP >KN539489.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539489.1:97418:97927:1 gene:KN539489.1_FG002 transcript:KN539489.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSYIDPQFVMTHTLTPHSDAYALGIILLQLVTGKKDALRLRRWVLANANCKLSRRGGKTRRQQEGGEDVRWSRDMESELRWKSMERMVDKKLKLVSNRSKRDAMKMVSLGLECSNYERRDRPSLESVVLPSIESMKRSDANHHQLQEKQPPSRFLGCFVRCTPEHF >KN539489.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539489.1:28454:30677:1 gene:KN539489.1_FG003 transcript:KN539489.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAFIAYFLIKWNQETYVFLRIRVIMGFLIFLYMVMFFLSGIFFFSTKRTILSLLDALSDAILVYVIGAMQAAPFKNQLFPVWALMLVSFRSSINCLSSYGTYFELRNGLKLLAVAYLNITHGSKFWHVPFWLFWSLLALKCFYRILARHVASKSLWNGRSSELLQEYMGANGNESSFNPGSCNPDTMEGYKYFVYGESQQSKKNGHGLSVKDLRSQVTLDKIWQCDDSFLPSSINMKGKDLSLAFALYRLLRCRLEGATLHAATVHMNRKLVSKRILSENAGKELFDILELDVEFLRDSLHTSYPMVFCRGLLSLSFTLLLSLVKVSMASWLYAKIELPRCSHVILVWHIATSLCAINLANDRRINLTSKFQKAHDVANFLSEYCMYLLIAKPKLLPETILMSKKACQDAVQCAQEMLKDCRSYCDIYDKLMKEEQKALVPGTHDDDVNLSGNILQQGALLANALIKKEGQACLWEILAEVWGHLIVHIAPSSNVEAHAKDLKSDTEFITLIWALFCHCGIEKSELWQEKKGAKSGNDTPGLVNQSGPASGMS >KN539489.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539489.1:53555:56114:1 gene:KN539489.1_FG004 transcript:KN539489.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQQRGRLQPAGLYRGVGHQNPAPLKDNKTLGASQPARSRTTTAIHGGGYQKSTGVRSHQKQAVVQPTVRPSSWLPGGTYRKPVTFKTQKPPKPAIAKPVVRPRPSVQQGGYQKNAIGQQSRIEPENILSIQNAFANQKNHEHHEQNKVKPASSSGKVKFTEFKASDIDAAVSKPAMRLHETKDYSVYKSKFGAIMIPKEGASPSMEEFTRALEIFRSIQHKNLANLIGACSLRRALVYELLPDTLEDRLTDIKLKKSFTFRGKKSFTWGDRVTTAASIFSALDYLHRNKHKPIIHGDLKPRNVYFTADNICKLRNFGISTLLHPTKHVSSAIEEVIQGMPGEKVIQGIFRTFMDIDDCKIQIQTDVSAMGIILLQLVTGHSDAKGLRDFVAQKLGDDSEFQRKSILQKREKS >KN539489.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539489.1:68943:71753:1 gene:KN539489.1_FG005 transcript:KN539489.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGSCGGGQRIVTLCRASKTLWHSRSSELLQAYMGPDQPHSNFVDNNTSSSTPNGAMEGCKYLVYGESEPEYSCRINATRDLNIDGLRNLLTLDTIYKREDVWTRSKKVCLAFALSRLLRCKLEGAKLHAGTVSMNRKLLIRNCDEPELLDLFNILEWDVGFLRDFLFTNYPMIFSKGFCSLGAGLIMAALKFSMALWLSGDFFSEARHLSLDRSGSTHQHKLSATDLKITGVAIVFTALSDGYEMFKYCFLSDWMSLLAVHWWVNCGLDYLSLGCRALFHSVIHSAPLSTMLFLRGLEYTEQYVFLGSYNSACKCSCLLHLLTVGRVGSSTKEDGKLITSIRTPQQVKTAVLSEAFQVLDHLVDDVHSLPRDCFAPMQQDANAPAPASALVELQYWSEIIQTTRAPKCSRVILILHIATSLCEIDLAQEHGVSLSRSPFSAALSCLKVFLRSFYPCGIPYLVNEKLPSDDLWKNYMVANCLSQYCAYLLVSKPDLLPGNIWVSNKAFQQTVQCAREMLDGCDSLKSKYDKLILASHEEATVVLPATDEGSEILRQGTRLAKKLINDEVKEKRWEILAKLWPRLLVHLSPSSNAQAHVKYLESKYFPELITIVWALFSHCGIEKSELWDSASLALMREQDAHVDNIRLWSTSRQPPAEVQETTGPSDTHIDNIRLWSTSHQPAGDLEDGGGEIQEINRGG >KN539489.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539489.1:20848:25089:1 gene:KN539489.1_FG006 transcript:KN539489.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEIIPPPEVDLPRETEDSERIIPSPDVEYLPRQTEDTERKLPSPDVDYLSRQNEDIFRQWIEDKASNSSSGVSFIEFNPSEVDDSVPKDQNHCLGRGGYGIVYKAKLRNETVAMKILNESSRQGERESKQEVAILKRIRHQNLITLRGACSEKFALMYELLPNGTLEDRLINEKQRESFSWEERVRVATSICTALVFLHNAKPNPVAHGDLKPGNILFDDENICKLSDFGISRLLQQTNDTGTPNHITEVPKGSGPYMDPEFKNTGKLTPQSDVFALGIILLQLVTGQSATGLRKHIVDKLEGKKLEKMDTRKQKMILEKLQILDAQLKLDDTSIQDAVKMVSLGLRCSNSERKRRPSLEIEVWPEIESMNKSKCLYGSDEVD >KN539489.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539489.1:58939:61620:1 gene:KN539489.1_FG007 transcript:KN539489.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGQQNPAAAQPVKREKAKVQKNPHQQAEEKPMDVAVGIVVVVVGAIIAIAAFILLGAVMVTLAIVTIIVVCVVTAAQQYLEQANKNKASRSSGRNKFIVFKPSEIDAAVSKRAKWLRGTATYNVYRSDFDGMDIATTVPKGTLPSMQEFHQAIEILRNISHPNVVPFLGACIEKRAIVYRFRENSTLESHLKYLTWEIRVKSAASICSGLMFLHSRKPKPIIHGDLKPSNIIFRPGNACMLSDFGMCYLYSKEFGRLITDPCKIQLDVSALGIVLLQLVTGKLDANGLRERVIYYLGDAKGFYKKTSSQQRKILEKIVNLELKTDRTSEDVARMLFLGLRCSDPISKNHPSLATEVLPQIESMKK >KN539489.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539489.1:32333:38008:1 gene:KN539489.1_FG008 transcript:KN539489.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAASGGAGRRWPRRCKAWMEQFVQPSPSSSSSLEAKIGIIGECSVRQASALQSKDVELVAVNDSFITTEYMTRTRRSATEQAEQVLVARSSDNSDAIIERVVVEERIVCIMVCSVMGNEMCNNNVTGQQDKGKDGTKDLDKSKLLKDPVITNGGNEHMDHSLTQDDDQSCHLPSTQANRSLHWYCSPEVKVYAYGVAQVKKTLEANFLQVAVDYKKKIGFRACNGNLTFYSSSIYVLDLCLSLTTIQKGHPRSDQVGFRPRPPLRFGKRLSDISQYPA >KN539489.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539489.1:1271:8949:1 gene:KN539489.1_FG009 transcript:KN539489.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLHGQSIEAPYLIFFVSSSVGSTRKNEDVRTLVRENNISIPHNRKEPDHRPRPPGTQPPPHRPLRVHEAVGRLELASIRSTPIIRISGSRHRRSSAARCERQIESRYELLTTLPYLGLTLRASIQRSEERKMIGLHEIRDLVSSLFVMLNQKKFILFRIEFLVVLVTVLFLVMFIMDIFRRHIHNATMKAIFTILDAVSDSIVIYLLGAMKTAPFKNQLFPVWALVLVNFRYSTDFISGYGVPDLRGRRFTEWRNVVKLLGSAFLNLSRGSKFALPLWCLWALQMLRSWYRFHSRTLAINSNWHGRSSELVSEYMREANNWKPEECSPRTMEGFKYLVYGERVKLQKPRYVLNINNRSTSLQKEEDLEATSQRRSSERGNHHATSGSKTITRSSLITLDKIWGCHRHLLCSCDNIPSPNNSGNIIQGKDQKDLSLAFALSRLLRCRLEDVKLQRGTFRININLVKRRIIEEKDANHAFGIMEQQLAFLNDYFNTRYPMVFWSGLTSLSWSLLASMVTFCVICWLSIDIRKVYKPPEGELVHLKQGVNVDMIITWVFMLFMLFKEIWEMVTYCQIGQDYSLWHGQIDQYVFLESYDDRPRIWNLIHKISTGMVPKKDNGAKLSNAIDIPECVKHAILEKLNSIDLTAGHLPKVVISLLDDKRKSYRWACSELQTCTHTILVWHIATSICEIKLAKNEGVDLSKPGFLCYLLSCFTNCFSSSLYLMDEKKLPGKLQERYIIANSLSRYCAYLLVSKPDLIPDSFFVPNMIFQEAVTLAHDDILKGCESLQERYDKLMPKEKNNTQNVGEENINEDVLRQGAKLADKLMKEENEDCWEILSGVWTELLIHLAPSWNASAHKKCLESGGEFITHIWALLWHCGIEKSMLWPVEDVPDNNAPGATPNNNAENSNVQPVHEMQQAAGDRQQMPTTTTPNGGRRSGLANGQGNVVRKMKNIGNRCYFNAVLQSLLALSELRSRMLEQDPPPGRALHLELKKLFVDTINYKESTLETEKLFQLMCSWHEDINQGDMGDSNHALHSLLNDLINEEPEGMHFPSTVKSLFNGQVVKSVSSIQCGHHSITTEALVLSLAIPSNEPVSMKDCLDLYTTGEIDDWECKECSVSANENASASQTDSTVDDQTEQLNSGTHQKEQFSYSAGKKIITQNQHQGKLPLLDCNARQMDQYHNKPKEGKKIRRVATIKYRINKAPPVLTIQLKRFEFVHDDGSGKIEERVIFQETLDITEYMDTRYLDNEYKYCLVAVIVHGGQKLDDGHYFAYVRASRTGGQKRESSDTHSWFLANDEKVEEVLFENVLKCEAYILFYERVPHSKVKGSLETHTQTNHGFREA >KN539489.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539489.1:86997:87420:1 gene:KN539489.1_FG010 transcript:KN539489.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLPLPALHHHQQHGCCFRRNQLILDLYLDQVPNGGSKNQSVVLRPNAPSNFGMLAVNDWALYDGDQHDRKLVAHARGHHMQTSQHDVNHQWFISCQIVFADDSSATK >KN539489.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539489.1:43866:46331:1 gene:KN539489.1_FG011 transcript:KN539489.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAATAVAVAHTLVFWLNRNSQVALPSPYLLKSQDLAERILSLEADLNRQSENTLKEKEEHEKTRSELEVKEKQLEEERQNHTLTVQRHGEELERQSRELERQREELERQGRELKMKDGKLNRMKTLTIVYEKFRQWIEDEASNSSSGFTEFNHSEVDDSIPKDQKYHLGKGSYGIVYKAELRGKTVAMKILDKSSWQGEREFKQEVGILKRIRHPNLVILLGACSEKFALMYEFLPSGTLEDCLGKEERKESFSWEERVRVATSICSALVFLHNTKPNPIAHGDLKPSNILFDAENVCKLSDFGISRLLQHSTDTVIPNHITEVPKGSGPYMDPEFLSTGKLTPQSDVFALGIILLQLVTGQSATGLRKHMVDKLERQNLGKMDTRRQKMILEKLQILDDKLKLDDKFIQDAVRMLSLGLRCSYNERKRRPNLEAEVWPEIESMNKSECL >KN538908.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538908.1:74883:77208:-1 gene:KN538908.1_FG001 transcript:KN538908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHVADTIRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQALLDVYTIEREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITIDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >KN538908.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538908.1:160851:165000:-1 gene:KN538908.1_FG002 transcript:KN538908.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIGYSNVCAALVRRCLKEPHKSEAASREKVHFAISKWADGKQEKPTVRTDDE >KN538908.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538908.1:47335:50606:1 gene:KN538908.1_FG003 transcript:KN538908.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METMLSLLTHALLLQTVTSQTINGDDLSALLSFKSLIRDDPREVMSSWDTAGNGTNMPAPVICQWTGVSCNNRRHPGRVTTLRLSGAGLVGTISPQLGNLTHLRVLDLSANSLDGDIPASLGGCRKLRTLNLSTNHLSGSIPDDLGQSSKLAIFDVGHNNFTGNVPKSFSNLTTLVKFIIETNFIDGKDLSWMGNLTSLTHFVLEGNRFTGNIPESFGKMANLIYFNVKDNQLEGHVPLPIFNISSIRFLDLGFNRLSGSLPLDIGFKLPRIKIFSTIANHFEGIIPPTFSNASALESLQLRGNKYHGMIPREIGIHGNLKFFALGDNVLQATRPSDLEFFTSLTNCSSLQMLDVGQNNLVGAMPINIANLSGELSWIDLSGNQLIGTIPADLWKLKLTSLNLSYNLFTGTLPHDIGWLTRINSIYVSHNRITGQIPQSLGNASQLSSLTLSNNFLDGSIPSSLGNLTKLQYLDLSGNALMGQIPQEILTIPSLTKLLSLSNNALSGSIPRQIGLLNSLVKMDLSMNKLSGEIPKAIGSCVQLSFLNFKGNLLQGQIPENLNNLRSLEILDLSNNNLAGPIPEFLANFTLLTNLNLSFNALSGPVPNTGIFCNGTIVSLSGNTMLCGGPPDLQFPSCPSKDSDQASVHRLHVLIFCIVGTLIFSLFCMTAYCFIKTRMKPNIIDNENLFLYETNERISYAELQAATESFSPANLIGSGSFGNVYIGNLIIDQNLVPIAVKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITICSGSDQNGDEFKALVLEFICNGSLDEWLHASTAAISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDLVAHVTDFGLARIMSIAEPCKESSSFVIKGTIGYVAPEYGSGSPVSMDGDIYSYGVLLLEMFTGRRPTDNFINGMASLIDYVKTAYPNNLLEILDTNATYNGNTQDMTQLVVYPIFRLGLACCKESPRERMKMDNVVKELNAIKKAFSAHTYA >KN538908.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538908.1:89228:93913:1 gene:KN538908.1_FG004 transcript:KN538908.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILSIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDCDMYLHIEIKNRFVENDEQTVRTLGHWWLYP >KN538908.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538908.1:130000:132418:1 gene:KN538908.1_FG005 transcript:KN538908.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALFSSAHHAIAAKAALQDLVFDAETKTALHTEMAKKNLFVKRGVGNDANAMDQSKRLRTGGDYTHSPYAAPPYHPPPPAVSMWGTPGYIAAPPPYNHYAAYSVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVIEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAASAVHHNLQGAVIPSSGRGGMRIQYPLFAQ >KN538908.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538908.1:33432:34904:1 gene:KN538908.1_FG006 transcript:KN538908.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKWQLWMLSLIKHALLLLTATSQTINGDDLSALLSFKSLIRNDPRQVLSSWDSIGNDTNMPAHVFCRWTGVSCNNCRHPGRVTTLRLSGAGLVGTISPQLGNLTLLRVLDLSANSLDGDIPASLGSCRKLRTLNLSRNHLLGSMPADLGQSSKLAIFYAGYNNLTGNVPKSLSNLTTLMKFIIKRNIIHGKNLSWMGNLTSLTHFVLDGNRFTGNIPESFGKMANLIYFNVRDNQLEGHVPLPIFNISSIRFLDLGFNRLSGSIPLDIGFKLPRINYFSTIANHFEGIIPPTFSNTSAIESLLLRGNKYHDTIPREIGIHDVGQNNLAGAMPISIANLSKELNWIDLGGNQIIGTIPTDLWKLKLIFLNLSYNLLTGTLPPDIGRLPSINYIYISHNRITGQIPESLGNISQLSSLDLSNNLLYGSVPVSLGNLTELQKLDLSVNALTGQIP >KN538908.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538908.1:176258:176868:1 gene:KN538908.1_FG007 transcript:KN538908.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIVGQILEKQVLSVAKAVEDKLDEQIAALARLDPDDIEALRERRILQMRRAAERRAKWRALGHGEYGEVPEKEFFAAAKASDRLVCHFYRDNWPCKVWISWKHTFGFNDLDAVDHIVIW >KN538908.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538908.1:108810:112587:-1 gene:KN538908.1_FG008 transcript:KN538908.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEECSVDDGRRLMLMGSRILVGVPNNSRGCSELLSWAIRVVARPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVVCSPSIWRALTQEATLTDANFLIVGRSGNAYRRNHFEVANYCYMNAPRNCSVIAVGRDGLPQSAARFKSRSFDDSSIFSSSTWSRRFPPLQKLLRSNSARKPAQSTGEATEDKSSPRAVLDGPEAGEQHVTEECYSTTSSNEVSRRGQNGIWRRLSDMKLWLPFLRSIDDENVKGGDNSSNYTEDQKPAWRCFSYQEISVATNDFHPDNMAGRGGYAEVYKGILSDGQCVAVKRLAQGKPTEQKEKEFLTELGIQGHVCHPNTAYLLGCCVENGLYLVFEFCENGTLASALHGKSAKILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQVTELADPNLGGDYDKDQLKRMVAVASRCIMRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVDDMDDCTMFSESLSP >KN538908.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538908.1:58776:60926:1 gene:KN538908.1_FG009 transcript:KN538908.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSDDAVTPTLHTYGILIGCCCRVGRLDLGFAGLGNVIKKGYRVEPIIFTPLLKSLCAEKRTSNAMNIVLRRMTELGCAPHVFSYNILLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYSTIIDGLFKEGDSDKAYSTYHEMLERGILPDVVTYNTIVAALCKAQAMDKAMDVLNRMVKNGVMPDCIAYNSIVHGYCSSGQSKEAIGILEKMCSDGVEPDAVTYTSLMDYLCKNGRCIEARKILDSMIKRGLKPNVITYSTLLHGYATKGALVEMRDLLDLMVRNGIQPDRHVFSILICACTKHQNVDVAMFVFSKMRQQGLTPDVVTYGTLIDGLCKSGRVDDAMLNLEQMISEGVTPNIVIFNTLIHGLCTSDKWEKAEELIFEMINRGICPDTISFNSIIDNLCKEGRFIESEKLFGLMGRIDVKVDVITYSTLIDGYCLAGKMDEAMKLLSGMVSVGLKPDTVTYSTLINGYCKISRMEDALSLFRQMVCNGVSPNIITYNIILQGLFQTGRTRAAKEIYVRIIKRGIQLEISTCNIVLQGLCKNNLTGEALQMFQNLCLMDVKLETRTFNIMIGSLLRSGRKDESKDLFAALSANGLAPDVVTYTLMIENLIEDGLLEESDNLFLSMEKNGCVPNSRMLNCIVRKLLHKGEIGRAGVYLSKIDKNDFSVEASTAELLILLVSSGKYNQHMKGLPEKYHSFVKSRAV >KN538908.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538908.1:24709:27980:1 gene:KN538908.1_FG010 transcript:KN538908.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKWQLSMLSLLTHALLLLTASSQTINGDDLSALMSFKSLIRNDPRGVLSSWDAIGNGTNMTAPVFCQWTGVTCNDRQYPGRVTTLNLRDAGLTGTISQQLGNLTHLHVLDLSANSLDGDIPTSLGGCPKLRSLNFSRNHLSCTIPADLGKLSKLAVFDIGHNNLTCDIPKSLSNLTTLTKFIVERNFIHGQDLSWMGNLTTLTHFVLEGNSFTGNIPETFGKMVKLIYFSVQDNHLEGHVPLSIFNISSIRFFDLGFNRLSGSLPLDVGVKLPRINRFNTLANHFEGIIPPTFSNASALESLLLRGNNYHGIIPREIGIHGNLKVFSLGDNALQATRPSDWEFFISLTNCSSLRFLDIGKNNLVGAMPINIANLSNELSWIDLGGNQIIGTIPEDLWKFNKLTSVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPQSLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPTQIGLLNSLVKMDMSMNKLSGGTPEAIGSCVQLSSLNFQGNLLQGQIPKSLNNLRSLQILDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFRNVTIVLLLGNKMLCGGPPYMQFPSCSYEDSDQASVHRLHVLIFCIVGTLISSMCCMTAYCFIKRKMKLNVVDNENLFLNETNERISYAELQAATNSFSSANLIGLGSFGHVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITVCSGSDQNGDEFKALVLEFICNGSLDEWLHANTTAVRRSYTRINLMKRLHIALDVADALEYLHHHIVPPIVHCDIKPSNILLDDDLVAHVTDFGLARIMSIAEPCKESSSFVTKGTIEYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFNNGITSLVNYVKAAYPNNILEILDASATYNGNTQDIIELVVYPIFRLGLACCEESPRERMKMNDVVKELNAIIKTYSAHTYS >KN538908.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538908.1:134580:143354:-1 gene:KN538908.1_FG011 transcript:KN538908.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTILNTIDGSFTRFACVLISDSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALVLLRTAASVDASTFNTLITAYARAGRVTDARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVIPDDTVLVGVLVACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGQELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTVVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWKSTLQDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKILICKTQVKMADRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQMAFDTDDPQNYLSDATYCFQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDR >KN538908.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538908.1:183370:184398:1 gene:KN538908.1_FG012 transcript:KN538908.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLALVKNTKVEDYVVGFDELGGKDDFSTEDLEERLAKAQVIFLDGEGPAHASKQATKRSVRQSDTGNSSDSE >KN538908.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538908.1:72132:72716:1 gene:KN538908.1_FG013 transcript:KN538908.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGGQS >KN539390.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539390.1:33064:39059:-1 gene:KN539390.1_FG001 transcript:KN539390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKEATGACKASKEYYSSSRLEARLERVTQLSSHTGNPLFQRIAPVHLSLLQVFSSPLNKSLFLCFLLYLPKPEKVGEVMEEELEYYYLESEELGANTIEADPWRAPRGSHFPDQPHMGPQPYMGGRLPPQHMTAPPQQMFYHPQFGEWWDSCSAQSLSIFYNALKKLRKLLQHAVYNSQALQHYYPQLYGPTSPSTPSYQFMGYMPGALGPRAGFSPMQQQAPRPPFIQHPAPQFDGGSFPPGPSLPPDFRLQLPPHALSRQPDETTGAQSAPPVSASAAATPTTEGKEASKTVESNSDLNTSN >KN539390.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539390.1:75174:81268:-1 gene:KN539390.1_FG002 transcript:KN539390.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MDSSARSGGGGTGGYTSTRVWIVAGVAIAGAIVFAEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGNTHNLLTLFGGILNLLVELLEINSSLQNDPNSCLCVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALVLIFYNEDYHDGLHEIQVFCIVLEMFFYRLLQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRNEGAINCFVMHNDSNRSEFKTNHEDWVNTVKPKLGLDISTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPEFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYCNRAAAYLELGRYKQAEADCEQALLLDKKARHFFLAFEGLLLFHICGIRISDGLSDLEIKFLK >KN539390.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539390.1:17955:19578:-1 gene:KN539390.1_FG003 transcript:KN539390.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAAGKPHAVCMPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTRGEAAVAGAPGFRFATIPDGLPPSDDDDVTQDIPSLCRSTKETCLAPFRRLLAQLNDPATGHPPVTCVVSDVVMGFSMAAAKELGLPYVQLWTASSISYLGYRHYRLLMERGLAPLKDVDQLTNGYLDTPVEDVPGLRNMRIKDFPSFIHTTNPEEYMVGYVIEETERCKDASAIIVNSFGDLEGEAVAAMEALGLPKVYTLGPLQLVARKDPPSPRRSSIRLSLWKEQEECLQWLDGKEAGSVVYVNFGSITVMTNEQLVEFAWGLANSGREFLWIVRRDLVKGDTAVLPPEFLAETAERGLMASWCPQQDVLNHPAVGAFLTHSGWNSTLESLAAGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVKRGAVAGLIAELMEGQKGKEMRRKAEEWREKAIRAAKPGGSSHRNFEELVRHVLLAKES >KN539390.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539390.1:44099:46649:1 gene:KN539390.1_FG004 transcript:KN539390.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEGSEAQPPLQPEAVLAEASEPPPPVPMDQDEGQAAAAEAMEGEDEGAAAAVGTMEGEAGYAAADADPMEDEAADEAGAAEPMEDDPPTSSPTPSAPSATAAAALDAEALIALAAGFPADSLSEDEVAAAVLPRIGGVDQTNYLVVRNHVLALWRSNPLSPVASNAALASIRAEHAHLVAAAHSFLSDHAYINFGLAPSVISLPPCPPPSLPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKSMRSTAAEYPDIAAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVVADSIPHGVDVSLGMALEAFRAAHGVAAEREERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSRFVRALADGIPIFYGQNVRRIQYGCDGAMVYTDKQTFRGDMVLCTVPLGVLKKGNIQFVPELPAQKREAIERLGFGLLNKVVLLFPYDFWDGRIDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLIALVAGESAIEFEKTSPAENVEKVLETLRKIFSPKGIEVPKPLQAICTRWGTDKFTYGSYSYVAIGSSGDDYDILAESVCDRVFFAGEATNRRYPATMHGALLSGYREAANIVRAARRRAKKVDSPKKMDVNDEVKYEVKVDNIDLDDLFRTPDAAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVANLAAMEGDEQRLSTLYRDFGTKLVGLDGLGDSGSSLISRIKAAARK >KN539390.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539390.1:59135:61416:1 gene:KN539390.1_FG005 transcript:KN539390.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQELLPHAVKDQLPAISYCLTSPPPWPMLCDRIVRIKGDEVTLAKAITLLHMNKDDYIAVLFYASWCPFSQECKPNFEILASLFPSIRHFAFEESSIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYCDVSGFDVSMTSEAVLHSVDGIELKKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYLLFPKIGSFAKQAWRRHTLFPNLVGVHEYFFTYLEQARQKFFRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR >KN539390.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539390.1:8427:9353:1 gene:KN539390.1_FG006 transcript:KN539390.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWLMIAAVFLSLVQLSMGSRRLMELYIPPASDQLTYHHGSVLSGDIPVSILWYGKFTPTQRSIIADFVVSLTGAPNAATPSVGQWWGTIEQLYLSNAATNAQSSTRVLLDEQVSDEQCSLGKSLTLAQIDQLAARVGTKRGGVALVFTDEDVTVEGFCSSRCGKHGSDASAGTTHIWVGNSAKQCPGQCAWPFAQPVYGPQGTPLVAPNNDVGADGMVMILASMVAGTVTNPYGDGFYQGPQDAPLEACSACPGVYGSGAYPGNAGKLLVDATTGASYNANGANGRKYLLPALYNPATSSCDTLV >KN539390.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539390.1:94590:96089:1 gene:KN539390.1_FG007 transcript:KN539390.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDEKLMEYIQKNGHGSWRALPKLAGSFLAGQLPNIQMHGSSLFDQPAAIINDTNHNQDYAASTGEREIINGATKTTTTTTLLPEGSLPPLADYPTISNLGDVYSTPSCDGNITEFPLLPDALFDELMRDYVSPSSSF >KN539390.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539390.1:103382:107408:1 gene:KN539390.1_FG008 transcript:KN539390.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MGRGAAGRRFFQSHWPEQAVEEAIKAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVKCENGHATVPENCEKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLSKRMNHRASSYFSGLILLLPTDSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAARISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDICWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPSRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSEALSAAAKKFKVPSPGNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >KN539390.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539390.1:108476:111192:-1 gene:KN539390.1_FG009 transcript:KN539390.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSSLGSKAAHFVSDLTTVILNPISEREPSSPLPFSAGVCRDLDGWQRNWRMLIDSGLRREVDKDEEKSEDDKDSEQNSDTPDGPDTSSFRAFLISFLSSSGSSNGSMEIIPDQNGELGYPTLTPMGKSKKGKSGLLSRGKHSIGKIISKAARIGGFKQNVEPKIDREVVDHVESVSPVLELEESKEVASFINLPAMSEPSVLLSEVMRSNIYASFPVLAKGMNWVLLYRFKTCLLFNMVVGDKEGAVFGGLVEAPLQPTSAKKYQGSNSCFVFTNLHSNPSIYRPTGANNYFTVCSTDYLALGGGGHFALYLDADLLSGSSSNSETFNNMCLSHSPDFAVKDVELWGFVYPSKYEETLALCRTEKPGICRW >KN539390.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539390.1:52046:56738:1 gene:KN539390.1_FG010 transcript:KN539390.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARQWWMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFQRDLLTMCIANYEASGSQVQITLERGIPAMIGSFASKQLPYPYLSFDMVHCAKCNIEWDKNDGGFLVEVDRLLRPSGYFVWTSSLNTHRALRDKENQKKWRTIRDFADSLCWEMLSQQDETIVWKKTNKLDCYSSRKSGPVLCTHDPESPYYQPLNPCIAGTRSQRWISIEHRTTWPSQSRLNSTELDIHGVHSEDFAENTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKHQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRKQ >KN539390.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539390.1:27968:29389:1 gene:KN539390.1_FG011 transcript:KN539390.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGNDDVTQDIAALCVSTTRHSAEPFRELLVRLNSTPWTPPVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKAEIFPCLNESDLTNGYLDTPIDWIPGMRGIRLKDVPSFIRTTDPDDVMLNFDGGEAQNARKARGIILNTYDALEQDVVDALRREFPRVYTVGPLPAFAKAAAGEVGAIGGNLWKEDASCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLACCGRPFLWVIRPDLVSGEKAMLPEEFVGETKERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMICWPFFAEQPTNCRYVCDKWGIGMEIDSNVSRTEVARLVREAMEGERGNAMRVNAMVWKEKAKEATEEGGSSSRNLDRLIEFLHSGSDAR >KN539390.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539390.1:3759:4700:1 gene:KN539390.1_FG012 transcript:KN539390.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVMLALLVLVSTAQVSMGARRRMELYKPDPADMLSYHSGAVLQGNIPVSIYWYGKFTPAQKSILFDFLLSLSVAPYAAAPSVAQWWSSIDELYLSKAVQTNSNGQSKKTQVLVASQVSDINCSMGKSLTLAQVAALAARAKPKKGGIALVFTAQDVTVEGFGMSRCGLHGSDAKSGTAYVWVGNPATQCPGECAWPFHQPMYGPQGAPLVAPNGDIGADGMVMNLASMLAGTVTNPFGDGYYQGSRDAPLEAATACPGVFGSGAYPGFAGELKVDQATGASYNANGANGRKYLLPALYNPSTGTCNTLV >KN539390.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539390.1:66567:71327:1 gene:KN539390.1_FG013 transcript:KN539390.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASLRHHLLLRRRPRFPLGPSPTFRTSSLPRRHQRLFASAPSSSTVENGEPSSPVEPSDGASVVDLNPPRGTRDFPPEDMRLRTWLFDQFREVSRVMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAESELLQAIILLFERLGITSSDVGIRVSSRKVLQAVLNMYSVPEHLFTEVCVIVDKLGKLTREEIEKELITIGLSSEAVQGIIEVLSLKSLPKLEEVLGSDVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDRDGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDLSRQIDDIVFPLDEELEGPASSVASSLRRQGRSVDLVEDKRLKWVFKHAERINAGRLILVGKSEWERGTVRVKILSTREEFEVKAGELQ >KN539390.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539390.1:99714:101031:-1 gene:KN539390.1_FG014 transcript:KN539390.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREQELEEIRAMPTEKIEEEVVDLKGELFMLRLKRSARQEFKSSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >KN539390.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539390.1:30938:32485:1 gene:KN539390.1_FG015 transcript:KN539390.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSRPHAVLIPYPAQGHVTPLLHLAKVLHSRGFHVTFVNSEYNRRRLLRSRGAGALAGLDDFRFETIPDGLPPPSESDNDDVTQDIPTVCTSFLTHGPAAFGALLARLNSEPGTPPVSCVIPDGVMSFAQRVASDMGILAPAFWTTSACGFMGYLHYAELIDRGYVPLKDESYLTNGYLDTVLDWVPGMPGIRLRDMPSFIRTTDRDEFMLNFDSGEAQNARHAQGLILNTFDAVEHDVVDALRRIFPRVYTVGPLLTFAGAAAARRPEVGAIGGNLWKEDASCLRWLDAQQAGSVVYVNFGSITVMSPAHLAEFAWGLERCGRPFLWVIRPDLVAGEKAMLPEEFVSETKERGIFLSWCPQEQVLEHPATGLFLTHSGWNSTLESISAGVPMICWPFFAEQMTNCRYACTKWDIGLEIDTDVKREEMARLVQEAMDGEKSKDMRAKAMAWKERAVAATEEGGTSSAGIDRLVEFLLAGGDHAS >AMDW01009022.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009022.1:6:224:-1 gene:AMDW01009022.1_FG001 transcript:AMDW01009022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KHFTAYDLDNWSGTDRFHFNAVVTRQDLEDTFNVPFRSCVVDGGAASVMCSYNQVNGVPTCADAAFLRGTIRR >AMDW01036756.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036756.1:19:417:-1 gene:AMDW01036756.1_FG001 transcript:AMDW01036756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNTTTTPCTHHLLPLLLLVAANAIHAATFNITNLCSFTVWPAAVPVGGGMRLDPGESWALDVPANGRVGRVWARTGCSFDANGSGSCQTGDCGSVLSCKNSGKPPMTLAEFSLNTVESDFFDISLFEGFN >AMDW01022685.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022685.1:40:225:-1 gene:AMDW01022685.1_FG001 transcript:AMDW01022685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDALLSDDALAGVPFLVLGNKIDIPYAVPEQELCYYLGLTGLTTGKGNVNLAGTGVRPVE >KN539237.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539237.1:78135:82862:-1 gene:KN539237.1_FG001 transcript:KN539237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTFLLSADTLEGCPRSCGNLSFDYPFGIGSGCFRNPDFSLTCDNTSQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWNAPGRSFALDYAFLNITGCDFDIYLIDQDRNRGVRLCTVTCPDKEITEKVARQNCNGTGCCTIELDTRLSAFQFKFVHHGRGELEARTNKSSLWDRINITIVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNHITPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQMVTLVIDANACLAFKEIHTSKMAVKILMSATPWASVKEYAIIPSENTIALIVRIKHSIIIGLSVGFAILLVSLSATFISRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKVKPIREIVAAYVHEEATEDEINSVASLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQQLLQRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGVPR >KN539237.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539237.1:27739:29837:1 gene:KN539237.1_FG002 transcript:KN539237.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGASAMVLVYNATGAALELVDGEGGSMDCIGYYH >KN539237.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539237.1:89530:92902:-1 gene:KN539237.1_FG003 transcript:KN539237.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLGGLLPLLLLVFTTLAPIVQASEGIVTLPSAATLAGCQRSCGDLTFDYPFGIGSSHCFRQPDFELICDNTTRPPRLLFRNGTIEIVQSPDITYLSVAFPNVDISMKPNVSVYNMSLEHPGKSFLFGLAELNITGCDFDVYQLVLNENENVPTKLCSVTCPNGRRTEAIARQDCNGTGCCSIGLDIDANSFQLMFIRHHKGELKHDAPSNRSSLWNTINVTTVRAIIVWRILDQPSCASTMDNRTNYACVSRHNKCMDSYYTRSLGYICLCNDGYQGNPFIQDGCLRDRGIVIGLSCGFGILLVSLSTMFFIHRWKSGIQKQLRRKHFRKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKDIKEGEISQFTNEEHSITSIPQLQYRSFIVM >KN539237.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539237.1:118942:125422:1 gene:KN539237.1_FG004 transcript:KN539237.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADKHKLEGLSTNGKFDGAASGAIAVLPSNVLCDSPVRAWEPYVTRLDKVAASSTGSKKRKRARIKNEDQVIASRCNPSRMSTIAAQLSPEQIHFVHNAGFEKLLSIQVCCLPTCLTVWLANRVNISRGTLELDQLSIPIRPLINKVIGIPGGHISVQLTTDTDPRLKDKFTDKGRGQTLNNAISRMLTEKTEDEFIVSFVMVALGLYLDAGTNLRVHREYLTAISDVKNIKNLNWCANIADYLFGGIRDFRVNIKKNVKIRGCVHLLHVIFMDYAAGINVPQGEPRIAHIKNTEDTIYFVGDRHDGEQKPQDSATVDEHHNMSECQRATNTGVDDHLYIEDVKEVTDTAADEEHKMKDGQRASNTSDDEHHNMEDGKGCTDTAADEEQNMQDDQMATNTVVDEEEDMQDGQGHSATGVDEDLQPPAISELLTVMNEKLKRPIRSDCRAEETNMRRGQEDATHFAEVVAIGGAAVLDACQISHDTERDNNMHNKCQGPTGLQASHDKTVVDEGAERDDEMRDENNEDGHGSDDSDDELGGSGSNGSDGEDGGSGSNGSDGSSSGDSKEEQHPTPSEEQFPGASMLDSMMDDMSINTVQDDSDIIVIDDSSPESLLANPLVPPLPEPISDGERFPDASSVPPINKQRSQSNNFMWMCCNAIMTDWDSKSKIILDPATVKKLVSPLEKCSNSKLRRGFSKVNLKQVERVYLPILEEHHWFLIVIDLHNRIVQIYDSNRNQKESKKAHSLLWDNVSRLWVLCPQNA >KN539237.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539237.1:26381:27268:-1 gene:KN539237.1_FG005 transcript:KN539237.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNYKLDEMERYVGKAKRQEDRAREAMNLVNEDGKDKKAASYVQGVKDWYGNGESTLCLVYNATGATLRHVADHDWWGFVGRTPYPTEIGNGQWAAFHHVHKSGDSSGSEAAVVYRGTNADGVERDLLVAWSTPWSSFYRNKAYCAVGGVDSFQGDWEKLYDKVNNAAYTCNADSDGFKIDASTATGDSPVFTATIKIHFSQ >KN539237.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539237.1:99341:104144:-1 gene:KN539237.1_FG006 transcript:KN539237.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDAALPLLLLAFAVATIVQAYHGNMTLPSAATLAGCKRSCGNLTFDYPFGTGSSHCFRQPDFELICDDTTRPPRLFFRNSTTEIVESPDSVSFEVAFSHTISMEPNVSMYNMSWNAPGKSFTLDYTVMNITGCNFDTYRVLHDHDGDVPAKLCSITCPNEGIAEDIARQTCNGTGCCSIKFYNAANSFKLMFVRHAKGDYKPGAAHSDQSPLWNTINITTLEGDISWSIRDQPTCASALVNRTNYACVSTNSKCMDDDLAAGYICSCDGGYQGNPYIIDGCLRDTGYNRFQRKKNCTRKCGSIDIPYPFGLEEDCSARKLFQLNCTDMSSSSLQLNDNYHLKYIKVNEGLLGIEDTSYIEDMYRMHVLEEPQLYICSGESASMQWAVANLTCQEAQQNKSGYACVSVNSTCLPVDSTYGYIGYRCECRPGFQGNPYVQDGCQGIVIGLSCGFSILFVSLSTMVFIHRWKNDIQKQLRRKHFRKNQGLLLEQLISTDENASEKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSSHFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTRQLNEKSDVYSFGVVLVELLLRREPIFTTVLGSKQSLSNYFLWELKARPIKEIVAAQVSEEATEEEIKCVGSLAEMCLRLREVVAMDKLSGAYVSRCEMVGRCLFKYYVNSTQESG >KN539237.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539237.1:8874:10061:1 gene:KN539237.1_FG007 transcript:KN539237.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMADGGDLVMLMEVSQLKKLALLLRNNEEAQITQAVKSQNERVKYLHSVNHAYNHAVDLLDDGSATRDKYAAAAAGGGEAKASIAEDVLEYVKYGLNMSMQNVRNCCLRVDCIGKIRAHYDSLVADLAGLHAGDVANLRRLAKDTAMFKECMFEHCNKLRSGSARAMSKAFSMMLKQEGIKFPDLVKRHKNKLGFEGEFEHLTDAQKLEVYNSIIEESGRAKMPVTEMVSTAAGVAVLLATAGLMVVVEGAVTEAVADLELGVFVVSMAGFVAGAVAGLLFVAVAGVLVDLIMGTGGNVAPPVTDLKFHTATMPDGMQLAYIISHRG >KN539237.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539237.1:44229:48866:-1 gene:KN539237.1_FG008 transcript:KN539237.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTFLLSADTLEGCPRSCGNLSFDYPFGIGSGCFRNPDFNLTCDNTAQPPRLFLQGGTEVIEDIDAIVYGSTSNYLFMYVTVDFSHAIPVSPGTKVYNMSWKAPGRSFTLDNALLNITGCDFDIYLLDQDRNSAVRFCTVTCPNEEITEKVARQNCNGTGCCTIKLFEATLSAFQFKFVQHSKGGLEAQTNRSSLWDRINITAIYASLSWSIIDQPTCASTRDNRTNYACASSNSKCCESYGLPDLGYLCGCDSGYWGNPYIPNGCLRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTSATSSSLQFDDEHQVTYINISDGLVGIRYTSYYEQEEFKVYVPKQPDLYIGSGESSSVRWAVANLTCQEAKQNYSGYACVSVNSTCLGVNSTDGYIGYRCKCLPGFQGNPYVQNGCEGIIIGLSVGFGILLVSLSATFICRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTKIFSLEELEKATNNFDSTRILGRGGHGMVYKETEVPLLVYDFIPNGSLFGVLHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKVKPIKEIVAAYVHEEATEDEINSVTSLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDEEMQQLLPRRP >KN539237.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539237.1:53327:57745:-1 gene:KN539237.1_FG009 transcript:KN539237.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRISEAAIPLLLLAFAVATIVQAYHGNMTLPSAATLAGCKRSCGNLTFDYPFGTGSSHCFRQPDFELICDDTTRPPRLFFRNSTTEIVESPDSVFINVAFSHTISMESNVSMYNMSWDTPGKSFALDYALMNITGCNFDTYRVLHDHEGDMPAKLCSVTCPKEGITEAVARQTCNGTGCCSISVEIAANSLQLMFVRHGKGNYEPDTHSNRSSLWNTINITTVRASILWRILDQLTCASAMDNRTHNACVSRNSTCIDDLFIPAVGYICSCDGGYQGNPYILDGCLRDTGYHPFQQKKNCTRKCGSIDIPYPFGLEEGCSARKLFQLNCTDMSSSSLQLNDNYHVKYIKVNEGLVGIEDTSYFKQDMYQMHVSKEPQLYIGSGESASVQWAVANLTCQEAWQNKSGYACVSNSSTCLPVGSRDGYIGYRCECTPGFQGNPYVQDGCQGIVIGFSCGFGILLVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKDIKEGEISQFTNEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSSRFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVADFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKARPIKEIVAAQVCEEATEEEIKSVSSLAEMCLMLRSEDRPTMKQVEMTLQFLRTKRLTSSHAAAENDEEMQSLLHTRSEVSCESLANNLGVSANPEYGNSHKCYSLEQEFISSIGLPR >KN540387.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540387.1:50327:52404:-1 gene:KN540387.1_FG001 transcript:KN540387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFLVAAAVVALVAAAAAAARAIPFTESDLSSEESLRALYERWRSRCWAFSAVAAVEGVNKIKTGRLVTLSEQELVDCDTGDNQGCDGGLMDYAFQFIKRNGGITTESNYPYRAEQGRCNKAKASSHDVTIDGYEDVPANDESALQKAVANQPVAVAIEASGQDFQFYSEGVFTGECGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGERGYIRMQRGVSSDSNGLCGIAMEASYPVKSGARDAAASNRVVKDEL >KN540387.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540387.1:2585:2917:-1 gene:KN540387.1_FG002 transcript:KN540387.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDGLEAELLEVGSTGASTWPAVVEERKTAALAMRAGRGDGAGDTRDDGEARGLRRRRAQGEEEAPTTHTGRGGGAGDTRRERGRRWRRTRCKGEPAAALDLEEAREES >KN540387.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540387.1:24455:31684:1 gene:KN540387.1_FG003 transcript:KN540387.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGAILLALLLCAVISPHPVIGKNPICSRATMLEILKLCKIFIKMPPYPIYVVSQNSPCCDAVRKVRDRNMQVVLILLSREKDKHELYSKEKILRLRDLCVPPPPPPHHHHAPPPSHCQGYTKFNNVKILALHVQQVLVGKENRRKKNPRTQPSRSRSSLRLHSQPPHNRGLHAAPRARRIEGGRRCIHTEELYAATTTSVFSVEAIVVSKQGSSSSPPPSRSRFKSQPPPAPYRVSSKQGLSAKLAKHLLSFVDWLIAASSFLLIPLAGVGHPAGAAYPYGGGDGVKSSPCAGMGMGCFGAPKIDVVNERCHSALASVYSDFSPLSVCPCCEAVRKVRNRDMRCVYFLIELDKKKVKLYSEHHILRLGDVCAPVSPPPPHRQVMV >KN540387.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540387.1:14557:15116:1 gene:KN540387.1_FG004 transcript:KN540387.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKLRILVLSSTIIQFFLLIYGGVRRYGCDEIEFMAKHSEGDMISQAGDLARGILNTAKHPWIYRGCVAVLSSPSVCRGYVHARNLGEGIDPLSRVWLLLCLLGMETLPDRLQRTVPLPLPPQKKKKNDNQQLQGEEIIQTE >KN540387.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540387.1:6504:7810:1 gene:KN540387.1_FG005 transcript:KN540387.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGSSSIVRGRGKNKRIWTYFEDEEPIKALFEIALDPNWKSEGGFKNGYCQVLENVLAKKLPSSGLTAVPHRVKMMLTKSGFSWDDNRKMIQCEKQQYDDRCKVKLLKTMRQRVYTLAAIYGKDTATGEGAEGLDIQYKEDEEDEERVSRETPRRSIDSSAPRRSIDSAAPRWSIDSATPRQSIDSTASSSKKRKKDSNKLKNTLSSDPFMDVFANVQGDLRDVTKHVGAMVASMQREAEIQEKAMAEEDPLQKIQNEAILECQKLGLTGTEVVNAAAAFVKVPAQMSMLLALPESQEGVRGENTCW >KN540387.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540387.1:41290:41745:1 gene:KN540387.1_FG006 transcript:KN540387.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCKHDRDREEMREVHQGAASSPHILVYPKQPLLRGGEEGSGVIGRSLSWLMEFEAGG >KN543004.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543004.1:3863:4396:1 gene:KN543004.1_FG001 transcript:KN543004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQVAIKCKNGNGEYESKAAGKLDGSSAFSVPLDTDLHSSDCIAQLHSATNEPCPGQEPSKIVPLSEGTFVTVAGKTSYPSALCASATICGPIKKKIIDHFHKKPVPPKPDPKPEPPKPKPEPEHPILDHFHKKEKHFFDHFHKKPVPPKPEPKSEPKPQPKPQPAPEYHNPSPPAKH >KN543004.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543004.1:7405:11010:1 gene:KN543004.1_FG002 transcript:KN543004.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLHVAIQCKNINGEYESKAAGKLDGTGAFSVPLDADVDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTYVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVLSLRFYLDSVH >KN542649.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542649.1:2175:3883:1 gene:KN542649.1_FG001 transcript:KN542649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAQVQGDGAPDNHDHDPRHLPRQDQRGGAHPDDLHGEKTIGSGSDILDDSKRGMINAGPQHGRITTSNGGSGSDKGKEVRSDQPLFVRWYREKKSVIRKHLIRVLKNLDAVSELRCES >KN543258.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543258.1:200:5256:1 gene:KN543258.1_FG001 transcript:KN543258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVKILALGLAIPLVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRVVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAVQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >KN543258.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543258.1:8303:8900:1 gene:KN543258.1_FG002 transcript:KN543258.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLGLSDIQIFSLQVPFLMEILPTMVMPYVRP >KN542118.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542118.1:9443:10031:1 gene:KN542118.1_FG001 transcript:KN542118.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGDRPSSCGGAGLSAVALPPVRWPLNQEARNLMSSTQMADRNLHAGAGAAELPHGENARPRLVSRRHVGHKHVGLCVQQSPSAHDDGDWRRTVTRWPLTGHPATGSTANADRRGTPSLSSLS >KN542118.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542118.1:10734:11063:-1 gene:KN542118.1_FG002 transcript:KN542118.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSHPSLRQVVAFYSCLIRAEHHVPLVLSTNYTLSFVLVLILALSISNPDVPLGPTVDESYTLSVLLDSRSADISAATPWGAIHSARHRARPLYRQPATLAHLDVPVY >KN539406.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539406.1:49653:51025:1 gene:KN539406.1_FG001 transcript:KN539406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQELPCGGQVLDIDTALKDGILGGGPELGDAAAGDGGKQPVELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTVAALRELRSLAAAHQSVTKAIAEAGGVGLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRILMEEKGFRPDTVASLSLLVGVMRLVRDKRHPDGVAAGLELLNSICAVHKPARSLIVSIGAVPQLVELLPELPTECVEPALDILDALAAVPEGRIALKDCPRTITNAVRLLMRVTEACTRRALSMLWVVCRMAPEECAPAALDAGLGAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ >KN541437.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541437.1:4193:5472:-1 gene:KN541437.1_FG001 transcript:KN541437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFTIMHGSRHYSIGIICIERQSLQFSTVTAGKDDSPALSSTAKTYLKDLTHSVSRYPRKKQISVDPADYIAKRVRGLDGRPIIANKMFDYDRRTFVENKKDYDFGATFQEQFSVVDMQYLDRKNTIVHIDDVVLTGANLECLTKAYCYDDDKKSISPEIIDAFVEHYGHTKSGTEMHT >KN539406.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539406.1:17071:17697:-1 gene:KN539406.1_FG002 transcript:KN539406.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKAIGNMIPATAHATRAAASVVEVPKKRDEEEEEELRAMEEEKARIDAAAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTSTEPSFEGFYRSRLASRQRRLMRARRFDVARYEALKEQVGGGARYGVAARDAIVLRQQHVTHVH >KN539406.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539406.1:56498:60046:-1 gene:KN539406.1_FG003 transcript:KN539406.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MAEKQHAMDQVIHGGETYMSDLNLVLVTAIFQGAAALLAFTQAGDFLAELRSYVWEEDGEFSSEVDSQLPRKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSP >KN539406.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539406.1:90357:107879:1 gene:KN539406.1_FG004 transcript:KN539406.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPDSATTCNFLFSPPAAQMVAPSPGYYYVGGAYGDGTSTAGVYYSHLPVMPIKSDGSLCIMEGMMPSSSPKLEDFLGCGNGSGHDPATYYSQGQEAEDAGRAAYQHHQLVPYNYQPLTEAEMLQEAAAAPMEDAMAAAKNFLVTSYGACYGNQEMPQPLSLSMSPGSQSSSCVSAAPQQHQQMAVVAAAAAAGDGQGSNSNDGGEQRVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGLSTHINFPLENYRDEIEEMERMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGEAARKVKAIEAAPEHVPVGRELGATEEASAATVMGTDWRMVLHGSQQQQAAACTEATADLQKGFMGDAHSALHGIVGFDVESAAADEIDWGRGEFTAGERCHKASYIPAPIIPKGFSCRFPRQCFHVPACSFMMAWPVKLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAAGPTKSPVESGSSSSMPQALCTNPGLPVTSDPHPPPPDVVMAEAFAAIKSKSSFLVGAMKREMENAGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQNWASGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHKLWRVLKDDMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQGESSRVGRNWKESFYETMSTVRFCFDQAFSARTFGCAHEHDSETCVLMIRYMRCMMCKCIYSDQRKDIILADKYTRGNYMQKPVTQPGRWFILLVFHSLLCQYIVIGLSLLWYHLVDLVQDAPAAGIHFDCIIPLPINPYQLLPSAGACCSTTQASASAKDGGNWIAVASVPWFGLHFTVPTAIDLNSENFILIHGCDPAGAWLLAVPTILFQDWFPPLPLRLSIALGLLNFSLWTIFICIPLPAVLLQAAKGIVSRSELTSLLRLTLPWTSTSLSFFLCIMQVCKRGFSFTILPVFPCSNSPDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAAHLASFPQVYIELQ >AMDW01013184.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013184.1:142:240:1 gene:AMDW01013184.1_FG001 transcript:AMDW01013184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASELNLEMRTDGYVRVRDLLKLNLQTFAKIPL >KN539406.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539406.1:8959:15134:1 gene:KN539406.1_FG005 transcript:KN539406.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESISYRGHDRENLIRIALRRDADTEGMASEPRGGTFTTESKAAEPRQQTHRRLTRTKTPRCTLTPLKNRSLSLLSTTFTNHKHRDTPQHQMEHNRLCILAVVIAFQLAGGQAVTDAAARARRFACNVSAPCDTFVVYRTQSPGFLDLGNISDLFGCGCTGARSFANVTYPIRPRDTFFGLAVTAFENLTDFVLVEELNPAAEATRLEPWQEVVVPLFCRCPTREELGAGSRLLVTYVWQPGDDVSVVSALMNASAANIAASNGVAGNSTFATGQPVLIPVSQPPRFPPLTYGAIAADPGAGKHRHGIIVATSIAGSFVACAVLCTAILAYRRYRKKAPVPKHVSPKLSWTKSLNRFDSNSSIARMINGGDKLLTSVSQFIDKPIIFREEEIMEATMNLDEQCKLGSSYYRANLEGEVFAVKPAKGNVAGELRMMQMVNHANLIKLAGISIGADGDYAFLVYEFAEKGSLDKWLYMYQKPPCSQPSSSSVATLSWDQRLGIALDVANGLLYLHEHTQPSMVHGDVRARNILLTAGFRAKLSNFSLAKPAATVDAAATSSDVFAFGLLLLELLSGRRAVEARVGAESGMLRTEIRAVLDAGGDKRAAKLRKWMDPALGGEYSVDAALSLAGMARACTEEDAARRPKMAEIAFSLSVLGQPLSVADAFERLWQPSSEDSIGIGNALNHFDPWNSDELQPFYSSLGFALRKYWVDQP >KN539406.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539406.1:63987:64415:-1 gene:KN539406.1_FG006 transcript:KN539406.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLSALFLTAILLCLICTRSQAARPEPGSSGHKSQGEPDQAVGCKGGEAEEECLMRRTLVAHTDYIYTQGNHN >KN539406.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539406.1:2225:5759:-1 gene:KN539406.1_FG007 transcript:KN539406.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAEEVSPTASSSELEQEDDDDDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVCPPTIHRFILVVFVVRVPIASVVDGFLRLQVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPLSDASKMDCGHNYCNECWTGYFIVKINEGQSRRIKCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTSDERELKQNLFEDQQQQLEFNVERLSGFLERDFQNFSDDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNVCWDSSEQGSSIFGKRQLGSSSNNNGRPHKRERNDAHGGAALFDLNVPAEVADKI >KN539406.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539406.1:28558:35113:1 gene:KN539406.1_FG008 transcript:KN539406.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLVLTIYTATQVPNVVDLQSLQHLPDVLRNADLHKIQTELVACLPSLPHLSDLQKLKDELKSSWNSIEVLPSLSRWHLLELLSSCLPHRFTHSNETSLSVLQSMKEDIANMIAPQLIRPIPRWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSCITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTAYEVLMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHSGLGATYLINQALLFPENNYSVIPQPLPCILCRYLSACSSSMHYINCVYNRSLGSEMNVQMSLVYDPVADDYRNVAGVVTRVPSFASTRALVGWDPLVRQLEAMGYRDGGSLFAAPYDFRYAGMDGLASGAGSGLPNLAPPARTRLARSQQSALWRLPTPMVFGDRPVVVTKNSTYSANNITEFLDAIGFTEGVQPTPETFVYGEAGFEGTPEVVYGDGDGNMNIVSLMAAEKEWSGVEGQILKVVRLPGVSHVGFFSDLALKKVVAEIQKAVSSIEDGDVHMSLLIRANK >KN539406.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539406.1:37903:38695:1 gene:KN539406.1_FG009 transcript:KN539406.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTFNFLATFPWLDLMACRMDEYLSWIRRKLLRVATSLNGLLRMAASGAAAVVAAAPSVAATLSEMVARKLSMDRCGFGRQSARMGGSGCVSGSSDDAGGRS >AMDW01067902.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067902.1:339:482:1 gene:AMDW01067902.1_FG001 transcript:AMDW01067902.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRGRGMVFDGVYVVSDLTRLFAGLDFGHGEWVVSGMAQPMLATFL >KN539849.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539849.1:59625:61281:1 gene:KN539849.1_FG001 transcript:KN539849.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDEEDGRAVAEFQAMHAVAREVGFGRIFRSPTLFEDMVKCILLCNCQFSLPLPLPSLASYLILYSTVLFNCRWTRTLSMSTALCELQLELRSSSSTENFQSRTPPIREHKRKRSNKQNVRVKLETKFNEDKLVCLEDPNLATDTANLQTYESSFSLPSAANETGNTSEVSLDHSELKLGNELCLEDCGGDFPTPEELANLDEDFLAKRCNLGYRARRIVMLARSIVEGKICLQKLEEIRKMSVPLSTTPSTYDRLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVQKELDNIYGKYAPFQFLAYWCELWGFYNKQFGKISDMEPINYRLFTASKLKKATVNS >KN539849.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539849.1:4819:19068:1 gene:KN539849.1_FG002 transcript:KN539849.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALGWVGVLGFCMFGCGAINNYLVRNGYMTAVVAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDEKFFYSIVKDIPVISLHEFCGMEFWAAQACDPGFDTHLRPCEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQISGHDGGTGASPISSIKHAGGPWELGLSETHQLACLQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDILKAKHVSLAKTQHIDLKYLLSISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVIVKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >KN539849.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539849.1:41866:42282:-1 gene:KN539849.1_FG003 transcript:KN539849.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDTHDQAQLLRADLAFLRCLQSSRQTPARDGIAAAAIYRSMCIFGLKTILIPYRTNLVRLQTGPNYADAFADFVKRVASSSGRPTGGNKQRM >KN539849.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539849.1:32711:41047:1 gene:KN539849.1_FG004 transcript:KN539849.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRRLGHRLQTLTPASAATAAAASSSPVSAPLPFRRPLPASSLLWPRLLSTSGRDDDPNKPWAFTPESGDPDPFAAGEGVEVPAGEDPLGSSAAGEDPWAKDFRAEGSEKGDMFEEIFKEEAATAAVASGEKAPGAGADELWTLSGEDEKDPFAEAVLGGGLEGIGGEGAAIDEADAGVDEEEEERKRQERRAREQELMETLKGPNRAFGDLIEASGITEDMIASLILLKDVRGVPGLPPLREIEDETIEKMNATSSRADVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDSYFPILDHRADLLRPFTVTKTLGRWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPNLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKRWFGPADNVSACVLVPALVFFLVMAFCICSWTDIFGRWTFLLVTIYFAFATAISAHGCWVYSKKNLKKADESHEFLSDDVENREFSTSSGEMKRDEEKITNYHEQIANEKRAGLWGRCMQIIYQTSAGATMLTDITFWGLLVPFFYRDKFGLSLVTDGMHSLNAVLLLIDTFLNNMPFPWYRLAFFVFWSCSYVTFQWVLHACGAISWWPYPFLDLSSSGAPLWYLAMAIVHIPCFFLYWSIVKAKQTYFPRLFPHAYVRN >KN539849.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539849.1:61878:63428:-1 gene:KN539849.1_FG005 transcript:KN539849.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSADPVTTATCAHWLWFTATATMGEGSVRVLGWLPSCHREVPSPNIALHSAHCARNLQKCEHCGYMVPKKLMDEHYDENHAPMICSLCQKTVQRELWDLHKGLQCPQRMLACQYCDFELPAADIYEHQNIVSLVGSMSDCGNRLDMTSSSILNPLLLQNLQVTEARWKKKRIIQQKNNQYDPSTLMAYNASNFLSQL >KN539849.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539849.1:20227:28386:-1 gene:KN539849.1_FG006 transcript:KN539849.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFYSVICTLKLDIGCVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDSDGEPSTEDKTLETKVDVNDAQHSHLAENVSVNVQRSPNEKDPPNMVSSQDSPLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAHISSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKATEGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >KN539849.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539849.1:43913:48245:-1 gene:KN539849.1_FG007 transcript:KN539849.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent thioredoxin reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/Swiss-Prot;Acc:O22229] MRQVDESSTPEGTTGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESNK >KN538696.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538696.1:244711:244938:-1 gene:KN538696.1_FG001 transcript:KN538696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQEISVHRVAKIEPTTPTTVANAVKTPPPPAGGGIDAAAAGYIAKMKQRWAAESAAAAASSSSSTRAAATKR >KN538696.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538696.1:211966:212538:-1 gene:KN538696.1_FG002 transcript:KN538696.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKNYSSSGNGEVDVQKVEKIAPVYNLVTKPSVYGANRRATTLVN >KN538696.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538696.1:141865:147266:-1 gene:KN538696.1_FG003 transcript:KN538696.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTSALDGDEKRRSRKESPLPEEKFNIFLLCTAFVYRVMNGLGTLATIWATVVLLGGFSTLVKKQDFWYVTVIAFVQSIGILGGYEDPAHQIFLHAPEALIKNREAEAWERRQSWWRRRGTQQQQQQQQPRGRPKRRKQEEEKARKWCDDIYGFQAFLGIAIYVAMWLGKVAAVATCIALSSKRLEKQDYVESGDDEKGDHQNIKWSLNIFYSLVLAQGIIFICMLLNPLTVYFVLKVRRKYKLFEPSGLKIIYRYKKYNYLGFIAGNVRATLNMHLVTFAKNLAVSNTIDDQLAGIRAMDRILMSVEFRSLALRRLRASMEPDDLGKLIDMLGFVSTMEEEQNIIRGHAARVVLKFSPDLMLQSYPQILYLISSSLLSTSNKRVCKCNMDSDLVWFGLHILDKLTDNPENCRKAKDDDSDLLLPTIIDLANLCGHGHGNSVRSNTTISDSWIEQEIIPLLQKEDDIPLPFINKIDQEIIVGMTLNILSKLVAVPGEAGEKLRKETSKDLHFLTNTGMIMEHVEATRVISCLAVDKEARQDIGKLPKIIKKLKGCLLSKTPYVNMTKVAAKLLLMEYTSEELLNRVLLFIKENRTIEDQSFSLPISAFIEELYLDQLPQSVVLKAVVDAVATLEDPSSPENLNHVKDDLWIKQGKVLESFIGLAVQICRSPNATSDFSTALKDANLTVHTFIKKLKKILEVYRSPSTDFPCIRVSTLELITWMVEENSSYREILLKCGIYEELNEVARTARKLESFKLFHCGVGIPTDGPIECISSRATELQEKLQQSPNFEKRYICYGEHASSISVLIA >KN538696.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538696.1:289764:296837:-1 gene:KN538696.1_FG004 transcript:KN538696.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRLELAALCAYALGFYLVVIRKSLRLSQDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTIVMGAFLMLANMLRYCYSLKGRGASLVWLLLSVTYLCYLHGACVSFILLIALINYSIVKVLEVAYLSIEHMFVDVHNECQINGFFKSLFKGKLRLYVVVLRMISFGCDYCWSLRSSQFDHKKHMQRCQVCYSGKTCYFALQVLSLDISVSYLPLSMERALIGDKYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDAPQKNYSVAQISWYGVRWILSFLLMEAMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGAQRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQPRSALGLFIHRELSAIAGAVTISCLMVANLVGYVVGPSGIKLLMSRMLGKDALPVLAFIFISFYVGVKVIPLLITFFLTLQKKGLIDWGGEDNRAQMEMVLRALFLRVEMQGTLHWIEGISILRQFSYELFWNKAISLAKILWRFASYASIP >KN538696.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538696.1:132210:139177:-1 gene:KN538696.1_FG005 transcript:KN538696.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAADGETKEESESSKVKMLLPEDLLNTVLLCTAFLYKAMNTIGTLATIWATVVLLGGFSTLIKKKDFWYVTVIAFVQSIGFLGGYEDPAQQIFLRAPEALMKYKRTSTLKGLSWWRRRGTQQQQQEPTRRTRGGRRHKEEKIWYLCSIYGCQALLGMTILAAVWLARVAAVVTVLALSWKRLKKQDYLNPGDVMNDQNQNITWSLNIFYSLVLAQGIMFVTILLNPLSYYFLFNAGIKYKLFDPSGLKIILNYKRCNYLEFIAGNVKATLQTHLVTFAKNLAVSNMADDKLLGVGAMDRILRSMEFRSLALRKLRAFMEPDELGKLVNMLGYDKSHKNKTTEEEDIRGHTARVVLKLSPDLLVQNYPQILYAISSSLLSTSKSNNKRVCKCNMDSDLVWFGLRILDKLTDNPENCRKATNDESGGDLLSTIIDLTIPCCHGHGHGRSMRSNTSTISDSWIEQEIIPLLQTENEIPLPFVDKLDQEIIVGMALNILSKLVAAPDEAGEKLRKETVKHVHFLTYTGMILDHVQATRVISCLAVDKEGREYIGKFPEIIKKLKDCLLSKTPYVNITKVAAKLLVLECTADEQLLNQIQLFIEENRTVEDQSFSLPISAFIEELDFDQLHQPWIWNFVQSLDVEDALFAPRVNHSDAAAKALILLTTECASNVEAFLQGINKEELNKIVNALSSEDGDKEKRRALAQFEGRRNLDPETLRRVKKIILAEGEERATRPKEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSPENLNHVKDDLWIKQGKVLESFIGLAVQICRSPNTRSDFSTALKYANLTADTLIKKLKKILEVYSKLNEVARTARKLESFKLFHCGIGIPTESTTECISSLATKLQEKLKKIPDFERRYRYGEHASRISVLIA >KN538696.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538696.1:297928:301302:1 gene:KN538696.1_FG006 transcript:KN538696.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQRTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRAYSSLSPTVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDEYVYIYDVPVELVHFSDTWVICYVTPIACQLSLGIKSISFQNLKLMFFQVTGDIVEKLSWHGSIIRDCTWHPYNPTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >KN538696.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538696.1:282760:285755:-1 gene:KN538696.1_FG007 transcript:KN538696.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPTSSRRAPSSASSSGEVIPPLLLSPLHFHQNFSTNPWLIRSTCSSGTSSLRPKLIPYFDSPGARAATAAVMQANSALVTRLFPPRPAVSKVLWFLYAGEVTKTKGGYEAMAATAWGIRSSGIRGASPVMSACVSPAEVILGADHQQQMYCHLLCGLRRWDAVDCIRAPYAAALARALRLLQSKWRQLCDDLESGTVSADVVTDVAMRRAVQDGVLAGPCPELAGRVRRICERDDWRGVLHHLWPEARYISCVTTGTMEQYFPAIKHFAGEALPVLGTDYLASECAIGINLERTSPPEETTYVLLPTAAYFEFIPFDMDAAGRRAAAAEPVDIAGVEAGKTYELVATTFRGLYRYKVGDVVKVAGFHHSSPRLQFVTRAPPPQEHGEVLTERDVMAAMDTFQLMLKDGGEVIEFAAFIIDGDGGQRRRRRATIAVEVSNGSKLLDHESSGGDSAAFLRRCIAPLEGCLGGAYRLSRATGDVAPLEVAVVRPGTFDRLAEAAIRGGAPANQYKPPKIVRHRHLVDVLQSSVHWGGFSLRFDLQEESLTLYKDHPKLEGIVRQLLSFEMEAASSSGALPLPLPLLVHDLGTHSDDSQTQFSICNQALSTAAIELLRDFRCFETPQGWVLALNPASLQTFLWRPQDSKKIDLPTAKQNFPRSCKCLLSGNPISSSSDCAVLVLDLDTPAMLVCKIGGSEWDSFSYELSIVSKNNKTLEVHMAKLQGIAAVAGKVYYTFSGDALGVIEFSPEVSLSTMDVDMVELPASTPNFSTYLIESCGELFLVVVFFLGHNLHRIAEVAVYKMDFSGPEWCKVDGIGDRVFILGGDFIGASNFGASCSASDHGLSGNCTYFVNNIAAEENFVHVIDLEKGTEEVLRPFRHKGYPLPLRPPFWLLTTHE >KN538696.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538696.1:259617:262733:-1 gene:KN538696.1_FG008 transcript:KN538696.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSSLLAAASSASPVVLAPSKAAAFQAFAVLDMAALIDVQADSHPDSVQLSLGIAEQCSRQEKILKFLRSGSDVKELDVSLLTELTGHQTLPINLGTQPYIPDDKLSALPISMASQPYIPDDKLSIYEFELDEPQQYLPENQLVIPDPLVEFFQSHGSALTIDQNGRILFNGNGDDMRYLLSIVLAFNMSKRETSSCKTAYLVPYFERILKTAASLHAQDAFPVEIQKKRRSRASSHASNSKLASTAVDASKSTANGKSKSSSKKKQKSKNIKERDLYPKNYFHASEAFLSMLLDKDNSSSTIHSLKKAGPEISELLTKCSIGIAGTGLAVLLSVVCKMATGMKSPIAATRLVNTGVGLGLFWLSWAVNGLRDTITSIFRSPGDMNLKDEEVAVRIQKSMNDILFRAITILAITAFKFA >KN538696.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538696.1:208500:208787:-1 gene:KN538696.1_FG009 transcript:KN538696.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSDSEVRVQKVDKLDLVFNILTKPPVYGAGKGNNPPKSPAPRRPAPTGGDHGSGGAVPGRKQPGVVPIEDINKRSENYIRDRKRMFFGQN >KN538696.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538696.1:156565:160193:-1 gene:KN538696.1_FG010 transcript:KN538696.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVESVVVVHNVAKRHNVGMLARSATAFGVAEDERGCDICGVEITHDALPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGGKSGLAIQNEGEKIVQFSSGPYPSCRNVPSPAKLTFQMQDLIKASQSNYPIQDRHLSFPKILEISISDSSNLSRSQE >KN538696.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538696.1:235675:235896:-1 gene:KN538696.1_FG011 transcript:KN538696.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGDGGVPDGEVHVQRVDKIVVVMNGVAPTEPEKNAGDSLMNIDEIAADFIRRKKEAFQRGNNKPADQAD >KN538696.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538696.1:255197:258915:1 gene:KN538696.1_FG012 transcript:KN538696.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEQELLLHLKLAFLAREPPACVLSLARKAGGGSVTPHVQNFILESCTGNNAGGDQNCSYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSNNSSLNRTEKIYKEISFMSPTICNKHLYVDDNKRVSLVARLSCSINMLEGDTGCSLWPSSLFLSEFILSYPKIFSRKCCFELGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVGQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSGATEVPVAYIATVIRNVETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >KN538696.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538696.1:267682:269672:1 gene:KN538696.1_FG013 transcript:KN538696.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDFGQEETLDDFGESGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYMMHISKSEDLLSRLSQQEQRFAKSCTEMMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMGIPFDLVIIPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVESGQIDLI >KN538696.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538696.1:273707:278322:1 gene:KN538696.1_FG014 transcript:KN538696.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETISRIRNEKARRYLNSMRRKKPIPFTQKFPNADPLAMRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPITKLEFEFERRRITKEDIRELIYREILEYHPKMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPCVVYSDNRPQSTASVTEDLSRCIIRDNNLKSQDSASVGASRIPQGAAARPGKAVGSVLRYGNCSTSAAEQQYEQRRVVRNPAIAPNSSVPLGSSYPRRNQTCKSETGDVERIDSSQAGPPKPYVANKLPATVDGRSGHW >KN538696.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538696.1:226562:226798:-1 gene:KN538696.1_FG015 transcript:KN538696.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAGAKNMSSDLPIEGVVRVRKVEKIQAYNLVTKPSPTTSRISPMTGQAESMAVTVVRVGAVAGKPNNIVPVARMNN >KN538696.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538696.1:161051:165761:-1 gene:KN538696.1_FG016 transcript:KN538696.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHPTAEELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVGVIIAMGYSEPTARAAVLRAATQYNWRESLAGFGEAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNNPNSDLSVSITNPQTGITFRGKLTPVPPNSYNTAKADSSATPANLNVPSSKPSVSGKVQSEIPNLKPKENSNPVPDHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDSFHRQKLMSFDKSSRALGSKGSLRSSKHSSSGSAVLDRKCRSFSDSTTSSLKASSKVGKGFSASMKGPEVPPDLSFTGTALPSTPSFDAKLSSNSNPLPAASTDLSLSLPLPSSNDSPAPSSNHDANTEGMDSSSKINLSYDEDQKVWMPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKAESSARRREAENEQLRIQMEAAKRHALVSATNILELSKKDENSHKRSQHWESERALLQDDLAAQRNKLSQVHQQLHHAKEQKDQIQARWRQEEAGKIEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRALEQQISQLKVSLDSSKVGAPPKWGADNRTNALRLSEGRKNGSAQILANIAAVPQDFDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRVCARLAGC >KN538696.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538696.1:238805:239047:-1 gene:KN538696.1_FG017 transcript:KN538696.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVETKDIKISRVERIDDEPPETKTKVIINAARTPRTAGGGGAPPERAAAAGSNIDKASQAYIDRLKQKWAAEEAADSS >KN538696.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538696.1:305671:307815:-1 gene:KN538696.1_FG018 transcript:KN538696.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding RSTAFVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAAQRLDSTVLLEKFFLDADDGDEAVEEGDEDQAADALAAQRKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPRLGRHKYTSKITYSKSLIFSYSNSFNLG >KN538696.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538696.1:180489:181573:1 gene:KN538696.1_FG019 transcript:KN538696.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTPTGAAADDLAAANANAKECLFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSADANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >AMDW01030834.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030834.1:157:324:1 gene:AMDW01030834.1_FG001 transcript:AMDW01030834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATISSTHHLNLVRLISFCSEGRHLLLAYEFMKNGSLDAFLFANAPGCKMPWPT >KN539512.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539512.1:17187:21334:1 gene:KN539512.1_FG001 transcript:KN539512.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNGCDEVKSSDESTKNLQSDDDDVDDRPGWLPDGWIMEVYLGDDGTIYRYYICPMSGRTFTTKSEVLHYLFSEMDQCFTESKNCAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKGLLTIFTMLAAHLQSYYWKSLRLLPQFYVYPPHRVRLFSKDDVLLYIKEMKISGFDTGGQCNTSTQENILAILEFNPKGLPQGWVKEVVFRKTNTGGIRRDRHYTDPIKNYVFRTMRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRRRSSKLEKLALKEEILSDEQGSSSGHGGSMCEAVVKHFQVILSSNSTAMFSLAIHVVGLVRQKFTNHHPTAFRIQVGWTSKMSLDSVDYSSIYVRSSIQVERSLPMRLLSLGSQLVLLRFIGKLCSNLLLSPEKPTRNL >KN539512.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539512.1:86713:87849:-1 gene:KN539512.1_FG002 transcript:KN539512.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAAYFFRLRTNFTSVEEMHEAFSSAIGDIGSLYGRTEVRPNDKVFVYDILCDGCFLMLYMLNCTTGCEDLPEFLVKYFDCNHEIINRCMMLLENQLPWVVIQTLRSFRPDVPVEDFIAKMARTMQIRKGEEDKRSFVLDGSYTLPHLLGLLRFYKLRSTIVANNEQNKRFSLINYCCYRNSGGLPSDGIIRPMSRTTSAIELAEIGIKLTASKTTKFMDMGIKKGLFCNEIFLAPLLLDETRLCWLVNLAAFEVRMATAFSRNTEDHAVCSYLVVLAMLMDREEDVHVLRSKGLVQGELTNKETLDFFKGIVKHISGGPLYIYLMQEIEDYKLKRWLWIKVHKFIYKNYKTIAAVLSIIGALVGIFKALFSLKQH >KN539512.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539512.1:30265:30723:-1 gene:KN539512.1_FG003 transcript:KN539512.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPRAGLPPCRGDLPEEVVVWEILVGLPPKPLLRCRLVCRAWRCLTSTGDFLLAHHGHQPSHPLINGYKYDERILLDTVSLDRRAAAARLQPIAQLDDMCVDASCDGLLLLSVSGIWWSIHAAIWRAHAAQWLHGYGVLQAPPLPPSYWR >KN539512.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539512.1:23966:25507:-1 gene:KN539512.1_FG004 transcript:KN539512.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAARTGLPPRRRGLPDEIVVWEILVRLPPKPLLRCRLVCRAWRRLTSTGDFLLAHHRHQPSLPVIEGYKCNEESLLGIVSLDRRAAAARLQPVAQFDDTCDMMGADASCDGLLLLSIGGIWWFICNPITRQFGALDLLCGFMVMGFYKHPPTGDYRLLLYRNQELMSEHLIPGDRNTCYVYTLGSSDVPRCIGWPETSASGATIVLHGSLHWYQTSQDMILVFDITAESFRWMRAPADRSKCKVDRGNLFEMDDIWVLQDYNREIWSLKYQVELPVPEIRGMLESALMLYKTLCKIVAD >KN539512.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539512.1:88032:88424:1 gene:KN539512.1_FG005 transcript:KN539512.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEKATPRLLQYQIVLKKNCEESGEGGGRGGTGREAAEKPEPRLLQYQIVLKKNYKYFEHTQYAEKEKVSNEVNGQCAKEVEQAGKEVEDKKEADLVKGDSLEKIGLVQWDCDDKAGLVPAVQWVWIRR >AMDW01071234.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071234.1:75:1192:1 gene:AMDW01071234.1_FG001 transcript:AMDW01071234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPYLSFLDLSIHDMSQEHVEKLGRLPALQVLRIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFKINVVDSEDIDFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHVADIHPKRPTLEMSRWDEDKMVLDDEEEQQVIRAVILTVWRGN >KN542880.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542880.1:8525:10174:1 gene:KN542880.1_FG001 transcript:KN542880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIITNYFYGSRNLLSEKNMYPERGERGKVVTIKVIRNRHSGVSEGYGFVEFFSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSELASDHSIFVGDLAVDVTDEMLMDLFAKKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSPPRQSDSDSTNRTIYVGGLDPNATEDELRKAFAKYGDLASVKIPVGKQCGFVQFVNRPDAEEALQGLNGSTIGKQAVRLSWGRSPASKQVGTFDSFLKLLTLTLIDMFKT >AMDW01071234.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01071234.1:1338:2054:-1 gene:AMDW01071234.1_FG002 transcript:AMDW01071234.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ADGASLINNWVKSMTGIRLHRQRPNTFCSMPSAAELHETGIHFQLSATEGLGGGITFIGGVLNIPKIYLDDNAERIFLNLMAFERLHPGAGNDVTAFVFFLDYLITTANDVALLRSKEIIKNDLGSDKAVADLINKTLAKGAVLKEDSSIIDVLTDVNAYYKKPLNKLRASFIHTYFSNPWVFFSLIGAVILLVATVMQTVYTIVPFYKNK >AMDW01012072.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012072.1:9:203:1 gene:AMDW01012072.1_FG001 transcript:AMDW01012072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAAAAEHVAAAGLRDTAAIASSRAADLYGLQ >KN540557.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540557.1:4866:10250:1 gene:KN540557.1_FG001 transcript:KN540557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRPTAKLVTTFTTQAHIRHKLSRKIKAINSRLEDIIENKHKYKIEEANTKTTGTWKASTSISYTHKKLEYLHESDVSIHVEERKKLEKVLLTTPEDLHGKEHNPVIISVFGKSGVGKTTLVRKIFKEMGKQKQFDIQAMECFAPYLSATNILQQIVQQLTKDNKNCPRNMVLKMLEEELKEQKYLLVIDGEVSGTELNNILSTLPIGHVGSRTVHITESLMKTKESPGEWQKVFEYLKSKQSKQIDDMLSICFDDLPHELKCCFLYLAAFPANVTIEACSLVSMWVAEGFLRSKVGKSMEDIGYFYLKELSARNLVSLVQMDDDSNVSNMTVTIQSKVHEFLQFEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAVLANPLPKLRSIFSQFEQEPKEELETMTKSIQAYVCCSPQQGTIASMQKKNIKSHIKELLHGSEFLRVINIQGIEIGNRLTRAIGKAVHLQYLGITSCSLENIPSSIGNLTSLQTLDVRETNVRKLPKAFWMIKTLRHVFGFILKLPKQTVNLKQLHTLDSIELEDFEQGLDNTLGEMIHLEGLVIWNISNGNVEALLSALRKLESLKTLNLQGNNITSSVFTTLFLRRLKFMVLDGELDFSSDQLNNGLALPNLTMLTLRETKVTQEFINKLAKLPSLVTLALCLGSYKDQELVFFSNKFRCLKKLKVDVEKLKKVEIKLTMLPKLKKLEIRTHDSHHYQEHEVTHQEHEQKTVISWKKENAIQVE >KN540279.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540279.1:6157:6862:1 gene:KN540279.1_FG001 transcript:KN540279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDGLDLGDAICFSCFAAWMTMMKAEAIERRKRRTWPPDPLPRRPFITSACIFIVASVGGGGERDGDARCWSLRIKKTRAMGQTEMKGSIVVTGLDINYPTIWILSDDSLKNSGKIILTI >KN540557.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540557.1:36794:41895:1 gene:KN540557.1_FG002 transcript:KN540557.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHHQPAAATTVTTQDIRRAQRADGPATVLAIATANPETRISQDEYADFYFRVTKSEHLPQLKEKLKRISDQMEKENVHVLERGRPEGPSGVLRSQASIPRCQGRDRFQRRAGACRGRRGEGHRRVGCPATDITHLIFSTYSDLKAPSSDRRLASLLGLRHTVCRTILSLHGCYGGGRALQLAKEFAENNRGARVLVACSEISLIAFYGPEEGCPDNMVAHALFGDGSGAVIVGADPIGAVERPLFEMAFASQTTIPDSEGAITAQHKKGGMDYHIAGGVPEMLAGNIERCLADAFGAIGVAARWKDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNELRRRSWTHLGHQV >KN540557.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540557.1:34040:35433:-1 gene:KN540557.1_FG003 transcript:KN540557.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKDHDAGALHQAARNGRTMMCTDMVNELQVDIDAANELGGIGRARHLAIDPEDGNEDGQFSTCLN >KN541117.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541117.1:26829:27125:1 gene:KN541117.1_FG001 transcript:KN541117.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYAIVGRQSREGGDGRPSDGSVMAQSLRHELTVGDCWQSQQRWLRRWLRGRSTVVAKGESGPSDGFLRVEMDGRTMVGRRRHEGGDGRRRDGGAMA >KN540557.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540557.1:26361:27890:1 gene:KN540557.1_FG004 transcript:KN540557.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPCSPSARRTRRRNKSGIEKRFMFVNDDVMEAHPEFADRHLTSLDARVEIVSKELAENNRGARVLVACSEISLIAFYGPEEGCPDNMVAHALFGDGSGAVIVGADPIGAVERPLFEMAFASQTTIPDSEGAITAQHKKGGMDYHIAGGVPEMLAGNIERCLADAFAAIGVAARWRDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNELRRRFVADGAEGADWGALMAFGPGVTVETILLRVGSGLKGN >KN540279.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540279.1:39844:47496:1 gene:KN540279.1_FG002 transcript:KN540279.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESAFRERPRRAGKRSRVASAADGGGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLTGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYQNDFPVSNTYGISPFPALDVFIESIASFGNVSGGLSSSQLSLFLIDNQSIIRTLFHYVSVGLSGIQKGVFMCIVSSNSLVWVKWQKINNAASQEIRAAHFHYILKISAPISAGKIRCWYWFSHYGLMIYMMYIVEFQRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQVGTLFCCLLLLPASISKRAIIETAIHVCAGGQGL >KN540279.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540279.1:16260:17773:-1 gene:KN540279.1_FG003 transcript:KN540279.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTQQASSVCAGVWGLDPQDITRSRKEYQDVGWRCLLNGQNEPRCSVKCQSVLVDGILTWANKWSVLVDALCAFAERGTDRNSLKLLLVIAPVIEWESRTFSRPVIALICFGAIAFFPTAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELC >KN541117.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541117.1:29989:30243:-1 gene:KN541117.1_FG002 transcript:KN541117.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLDTFDRDFLAGVDQDTLFDLLLAANYLQADGLLDLACKKVAAMMTGKSPEQMREIFHIVNNLTPEEEKEIREDIAWALN >KN540279.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540279.1:11315:13290:1 gene:KN540279.1_FG004 transcript:KN540279.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKKDSASNPPGGGGAGGGGGGGEEEEDSSLAVGEAAVGVGEAGGGGEKADREEEEGKEDVEEGGVCKDLVLVEDAVPVEDPEEAAATAALQEEMKALVESVPVGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNAIANEKYCERHMHRGRKRPVQLVVEDDEPDSTSGSKPASGKATEGGKKTDDKSSSSKKLAVAAPAAVEST >KN540279.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540279.1:52021:54506:1 gene:KN540279.1_FG005 transcript:KN540279.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDQLVLLDFWASPFGQRCHIALAEKKLPYDYSEQELLGAKSDLLLRSNPIHQKVPVLLHGDGDDGRAVCESLAILDLHTLAFGEAFASLGLLRSCGGRHALRLLLLMKSEWLADGVRRCLATMTSCSLFQGVVAGRVKEVALWWLG >AMDW01008912.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008912.1:9:221:1 gene:AMDW01008912.1_FG001 transcript:AMDW01008912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKAPAMENVGVVDFPTDVLADILSQLPTTAMENVGVDFPTDVLVDILSQLPTSSQRRCQLVCRRWRDT >KN539144.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539144.1:80123:82103:-1 gene:KN539144.1_FG001 transcript:KN539144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQNNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKMLKTHHGQRLAVLLALIVSFSLVLAYCLYQVFQPWIQKRKLAYAKHKHVISGILRHAQMEALGRLLNEDGTPNEDVIKKLFHKIDMDKSQTLSRAELHALIIGINFEEVDFDKNDAVDKIMDDFDTSGNDIVEEAEFVAGMKRWLNEAKRSVPTSGAYSNKFISDYHARTRQEHDLLVDRSDETVESVENPGWCITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMGLFTSFRTTFPLWTCLVAYMLYPLSLVVVYILDFVFGWS >KN539144.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539144.1:119050:120384:1 gene:KN539144.1_FG002 transcript:KN539144.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMATAWPEFGGGHHHHAAHGHHHQRSPSMGAFLAAPLPPFPLPPPAPANGGAQQQQQQHQPSWVDEFLDFSAAKRGAHRRSVSDSVAFLDPVSDDNAGVGAHDFDRLDDDQLMSMFSDDLQPPPPQQQPTAPAASASSPSDHNSMNDEKQDKGETEEAQSECDGATPGQPASPATVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDGDRHLPPQLCYYSIYRSHNVAVRIQFGQHPCNQNSTAKLTLVLNSLFSALLCSAHQEALKKEIERLRQIYHQQSLKNAESQPADAAPVRGSDNADLIGSEGAAAAAPCPHS >KN539144.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539144.1:36558:37238:1 gene:KN539144.1_FG003 transcript:KN539144.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGTTTYLPGGEAAAGGGGGNSGCCSTTTLFGALAASFALSFFLITIFICLRALRVARRRRDRPLVMEQEQRRPAPPRFGLDAAAIARLPSFPYVPARDDGEVSDSASASSVECAVCLSAVDEGETVRQLPACGHVFHRECIDMWLSSRASCPVCRGKAAPADELADAIVLVARIAVTPDAVAPRVSMSVVVPVEMLEGEMVGASSTSRAASAPGQGTDLESK >KN539144.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539144.1:84569:85045:-1 gene:KN539144.1_FG004 transcript:KN539144.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSFPLVPLLLFLLAAAAYGRLISDGSPASASATSLLSNPVSAVIRLTTSNSASASASSPPAAAPEEKCEQSYGFLPCTTTVLGNLFLVLAYGFLMYKAATFLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLGELVFRFASFVGLFSVL >KN539144.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539144.1:93484:103234:-1 gene:KN539144.1_FG005 transcript:KN539144.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADRLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVRMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPPGDQDLIFTPTSKGKRKVVISTNIAETSLTLEISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFANACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >KN539144.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539144.1:31639:33445:-1 gene:KN539144.1_FG006 transcript:KN539144.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARRTGAAYVDDERERDITFFKRRNGLFKCASDLSILTGASVAVVIEDQNRSKFHAVGTPTGRLAPLERELAWLKGEAAEKDEATKASKARFKMAQKKEENEEEGDTKKKKLFFSKPDKLSSDEMNELLAEMLEIKKELNVRLPPLRRRGGKRPIQGSSVPPPPPPPPPPPPQPEQQLQLPQWPNLSGPHNQLLPVAPPPFVADQPPPPPPPAAGGSLWIPELPPPPVEGSPWAGLLPLRPPRFAGMEPSFLESQQAPPPAQVSTQLAPLPLIREEAPLLQEPFLFADQAPVLAPLPAPLQMPVAEIHLPLQAPLLQEPFLFSDQAPALAPLPSPLQMPVAQTHLPLQVQAPFMQEPFLFSDQAPVLAPPPTPLQMPVEAHMPLEAPWIQEPFLMPDQAPVHAPPPTPLLMPVGADHFPLEAPLFQESLIMADQKSVHALPPPPLQMPLEAHLPPAAQVYNQDLAVQQQPQEYENYDYMFENVGLPQPVAAGAGDNGFAAMGNNDNPFGYQQLVASPLYDGQIYFGSGVDDMGVPPAGDFGGVPEAALPEVEHASSSGWGNNITGDAGAWF >KN539144.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539144.1:133246:133887:1 gene:KN539144.1_FG007 transcript:KN539144.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding METGNLLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVASLWSPESGEPITAEKIAEMKYTQAVAREVVRHRPPATLVPHIAGEEFQLTEWYTIPKGTIVFPSVYESSFQGFPEPDTFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRDRTEGCDEPVYMPTIVPRDSCTVYLKQRCAKFPSF >KN539144.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539144.1:89000:89407:1 gene:KN539144.1_FG008 transcript:KN539144.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEPSTSNSLVLATAASKRSPPSLVAPPPAAAMTRPFLIVPTGGRDTLASVIKGINGRGASPPWRPASPGGQRLRGMSDRGAEGEAQHDERHGGRRSVVAATKVEDGDAAVAGWRPAQGRWPGTLPGERTMTL >KN539144.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539144.1:48371:48778:-1 gene:KN539144.1_FG009 transcript:KN539144.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEKESPMNSSRARVLYLELSANSHELGVFMVRLFLGFSPSKAAAAAAAQQLSLPSQSNEVLWFGIGNGNSGEVDVKAKPEQAAEMDVCFVFWFAFAAGAKGGAGAVYKGGGGSVLAGGGSFSCTVRNSQQKVT >KN539144.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539144.1:23248:23875:-1 gene:KN539144.1_FG010 transcript:KN539144.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLLGSAVEDGVGWAGKGWDLPFRSAAGDWSLEVLPRLNGFNLLKDLCLKVHINAYTYGRSTFHGVSSNKFFFLGGGQAVGACSFPS >KN539144.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539144.1:107222:107491:1 gene:KN539144.1_FG011 transcript:KN539144.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSWACAWPCGLTMARGSREGSGEWQGIGDGVPPNGDRGGEARRKTAVAFVGGGSPRGYGVPRQWQSKHYESDEVEADPVGSCMVG >KN539144.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539144.1:141404:142599:1 gene:KN539144.1_FG012 transcript:KN539144.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSLLYGLLDLRMAAPLLAAAVALYILVEQLSYHRKKGSLPGPPLVVPFIGSATHMIRDPTGFWEMQAARARKSGVGFTADFLVGKFTIFIRDSELSNRVFANVRPDAFFVIGHPFGKKLFGDHNLIYLFGDDHKDLRRRLLAFPVDLPGLAFRRARQAVARLVRMLRDCARESKARMRAGGEPECLVDYWMRETVREIDEAKAAGLPPPAHISDDEEIGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVASLWSPDSGEPITADKIAEMKYTKAVAREVVRHRPPATLMPHIALQDFQLTESYTIPKGTL >KN539144.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539144.1:77549:79048:1 gene:KN539144.1_FG013 transcript:KN539144.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHGGSNHLQQQHQHQLAKYGGGVAGTGVARASRKNKPKKVPQRGLGVAQLEKLRIEEQKKMEGGAALSSHGALGGGEHGPVADGVGAPPNGAPFNPNVQQQRQQEQRRGGGGGEEVLQETGGVDRSWPFMFEGMAAFRTTTSRAPPLVAPFSARTTTTGELAGLADVGPDLSRYEFRATNYFSANANYSDWTSDFAHCKSSKENGRARDPAFLTLSSQPPHLIKQPHVMPSYNDFSAIASQASE >KN538930.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538930.1:110011:117206:-1 gene:KN538930.1_FG001 transcript:KN538930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVVRGAYDKVAVISGGGSGHEPTHAGFVGPGMLTAAVSGDVFTSPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTVLVHKVVSYKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIETQYLPITRGSNVVLLINGLGATPIMELMIAARKAVPELQLEYGIAVDRVYTGTLMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPILTEPQELSKQGCILEAAIEAGAKEIINIKDNLNEWDSKVGDGDCGTTMYRGATTILEDMKKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASVAAVSKYGGASAGYRTMLDALIPACTVLKQSLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAVAAAAWYRAAAHAVKSKLHASDS >KN538930.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538930.1:72443:74126:-1 gene:KN538930.1_FG002 transcript:KN538930.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSLQSVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >KN538930.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538930.1:22374:27832:1 gene:KN538930.1_FG003 transcript:KN538930.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGGGGGGGEAAAAVAVEGDEAGKGRRWWRVKVKLSTVAVVAWVLASAALWAGLHWRFRHTALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKDPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETISYIEGLDVMSGEEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTAVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGIPSPSHTYTLDFGDPLRKHQMVCRYRNKLHVSWSAITTPSGVFVICMLVGYIIYAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQGCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSRDKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKITIFTLSQFTERGHIFVQVHLADHSNLATEAKIEPVVNGMNGHKDEAIAIPTSGSHNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFTPFMQADSSTSRNYGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAISDSKTVALHPLPSSFKGLSALLVDKRPVRATVTKYHLQRLGITSEVVGTIDPTFGVLSGRNGSSLTSIGKKQPCMLLIESDSWGPQMDVSLHARLQEMKQSDRIHVLPKVFLLSAAESDKVKKIHAVDSVIPKPLKASALAACLFQALGITQPSHEKRDDSGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEGKANEQADDSESGSEIAAKTAKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKQLFQAVQKFLGPSVSS >KN538930.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538930.1:172957:179522:1 gene:KN538930.1_FG004 transcript:KN538930.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQLIRTKIDSPIKEKQYSYMFVDPPFLGGESNLVGPGQMSRGDSWFNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPAKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLSLVKSINVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQGYKNIMENCQENAMVLKQGLEKTGRFNIVSKDNGVPLRTE >KN538930.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538930.1:6773:13002:-1 gene:KN538930.1_FG005 transcript:KN538930.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MASTVLEATRAKHEDMERLERLAVRELQREPANARDRLYQSHRVRHMLDLVISTSGKLVEIYEDKDNARKDEISNHLSSTVQAEIFPKFYDRLKEIRDYHRRNPSARFVSATDDFEELVKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGTFSHVEKMAQNLKTSRQYREYLEHILEYLTSFLYRTEPLQDIEKIFAKLESEFEEQWTNGEVPGWESKDPEKESAQESVIDLDYYTTVEELVELGPEKLKEALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKGSHSSVSNATSNGNNFKDTLKKEIALMEVKMRRLCELLDEIIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKELWEKIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >KN538930.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538930.1:183296:186714:1 gene:KN538930.1_FG006 transcript:KN538930.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELHHWCSQAVLKHWAVATRWLIDYVPLLSLRCIEQKVPPQRHLNPVNPTFFRHDKQRYDTEAMYFDEHVLQDTYPTFKKMLEHLHHVVLNKFKNYLEQSLRSGEGFAASARYCAQSSMAKFDAGSRFSTLLSRDKDSMPRTWKGNEDIRAITREACLAALRLMSVMAATRLDDKPDKIDRTLTTALLDGGPLSRNRSTEFTSDPLASSTWEEMLALRFRKL >KN538930.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538930.1:155742:159054:-1 gene:KN538930.1_FG007 transcript:KN538930.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVVRAEREEESTLEQGLLAIPEESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTAWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMAVLTLSASVPTFMPPPCEGSFCPPANPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPVERIQKGSFFNWFYFSINIGALISSSFLVWVQDNIGWGIGFGIPTIFMGLAIISFLSGTSLYRFQKPGGSPITRVCQVVVASFRKWNVHVPEDSSRLYELPDGASAIEGSRQLEHTDELRCLDKAATITDLDVKADSFTNPWRICTVTQVEELKILMSTMFVEQGMMLDTSVGPFKIPPASLSTFDVVSVIIWVPLYDSILVPIARRFTGNPRGFTELQRMGIGLVISIFSMAAAAVLEIKRLDIARAEHLVDQNVPVPLNICWQIPQYFLVGASEVFTFVGSLEFFYDQSPDAMRSLCSALQLVTTALGNYLSAFILTLVAYFTTRGGNPGWIPDNLNQGHLDYFFWLLAGLSFLNFVIYVICANKYKSKKAA >KN538930.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538930.1:130264:136297:-1 gene:KN538930.1_FG008 transcript:KN538930.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLEFLRKNRFAKAEAALRGELNGRGDASNGPTVQRRVAEPKEDDEQDGSEAGSNAGPRGAASVRSADSSREFIVKEIDVGGLPNGSDGRKGLGIGLAQENNTGDLYPWNFSIANSTMEQLAELLVSEEVPRHRRGAVVAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDVAGTGRNEINEPGHSRDKNLVPEKEELLNGCTVKTVLPFSSENPSSSYHTAHHDGNERKDAKKSIDADCVGKATKGQLDEGNRQYYSGKSQENADQVADRCFDLQLIGDNQREELPKLPPVRLKSEDKLVNMNWEEKVNHNGSGAKHPSADHAFMIGSYLDVPIGQEITPSGGRRTISNNWFSVSQGIAEDTSDLVSGFATIGDDSLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMGHGIPDNDLIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRNSQQETEKKRINAKGAKQEQLNFIDNQKGVLPPGASYADGGFSFPPPLHSGKNVESDVKPLWSKKVDMYTINDPDDLQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASSALNNTYDEVDDTMNARHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDMWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >KN538930.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538930.1:47434:50306:-1 gene:KN538930.1_FG009 transcript:KN538930.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFDVNSEPVDMNNTSSKANLENSEPFVGMEFESEEAAKVFYMAYASRVGFSVRISKSRRSRNDESIIMRRFVCSKEGFHHKKQTDTGKRKRKRAIIREGCHAMIEVSQKYYRRWVVIKLIKEHNHAVAAPSIVRYVAPEEYAQLEPLAGMEFPSYESAQTFYYAYASRMGFDVRIRLSRRSPKDETFVMRRFVCTREGATPCEENENRRKRNRGVPREGCQAMFEIVKKDQDKWVVSKLFLAHTHELANVPNKVHYIQSNSENWIAAMGSHHPTSLTTVYNEAIGSAIAKIFPQTHHLYFTADILKRSKDILADIYFRHVSFEREFYVCINEPETIEMFELSWKKVLDKYDLYDNSWLQSLYRIRQKWVPVYFKGVFTADLSASQRPESLRNIFEKYFNRRTALPVFISLFEHLMAGWSEREAVEDLATSFTRPVLRTPSNMMKQVSEIYTTTVFNILEEEFIGSLGYYISSLDNDGLIAVYSVTKEDTEATCRVRYDTSGNIAKCSCCKFESCGILCRHILRVFLALDVRTIPDVYILKRWTKEAKNGFVLDECLRYSELHRDALRYAREGSTSGEVFTFAQQTLQVAFAEVVQMKQETFSQYTIR >KN538930.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538930.1:34310:36250:1 gene:KN538930.1_FG010 transcript:KN538930.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVGQFLSQILATHPHLLPAAAEQQLEQLQTDRDAAKDNGGDKPAPSDGDIVLYRRIAEVKEKERKRALEEILYALVVQKFVEAGVSLVPALSHSISSSGRVDQWAESVEGKLEKMHSQEAYEMIENHLALILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSEEEDMLNQVMTTDSRPSPQTSTSHPEMASWTSPNFSAGGPSQSVKPCRLRSYVMSFDSETLQSYATIRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWDVESFVDTRYHFVAN >KN538930.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538930.1:88644:91104:-1 gene:KN538930.1_FG011 transcript:KN538930.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNDRVSSATNLLEELRAMKAERSKQFTAIRSEIDKISTEISGRSYGYDNSPRASEFDEHDLTIRRLNEYRARLSSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLASLSQVVAMLTSEKTKRAAMLREAVVPLVELWDLMDSPEEERRSFRKATAVLRPAREEALSSGVLSIATIKKTEEEVERLTRLKAGRMKELVLKRRLELESICRSMHVEPDTSSVPEKSIALIDSGEKFRLQSSDQLALCSLTDEMRVRWEMIFNHVSVVNVILLGLVNPSELMASIDEQIAKAKEEQQSRKEIMDKINKWLLACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIINKIPAMIDNLMSRTLVWETERNKPFLYDGARLVAVLEEHKQARLRQEEERRRLRAHTPV >KN538930.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538930.1:121008:123227:-1 gene:KN538930.1_FG012 transcript:KN538930.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLNEHSGRYDHLARRLNDIGVKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKILAENPGLPCFCFGHSTGGGIILKAMLDPEVDSCVEGIFLTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTASHRNGPPVSRDPEALKAKYSDQLVFTGSIRVRTGYEILRLTSYLQQHLHRITVPMLVMHGADDMVTDPQGSQKLYEEASSSDKSLNLYNGLLHDLLIEPEKEKIMDDIVNWLSPRI >KN538930.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538930.1:140642:144575:-1 gene:KN538930.1_FG013 transcript:KN538930.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) UniProtKB/Swiss-Prot;Acc:P14712] MSSSRPTQCSSSSSRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRTTGPEQQARSEKVIAYLHHIQRAKLIQPFGCLLALDEKTFNVIALSENAPEMLTTVSHAVPSVDDPPKLRIGTNVWSLFTDSGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKELFDLTGYDRVMAYKFHEDDHGEVFSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIIEDESLHLDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDDDEVGADQPAQQQKRKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELERQVREKNILRMQTMLSDMLLRESSPLSIVSGTPNIMDLVKCDGAALLYGGKVWRLQNAPTESQIRDIAFWLSDVHRDSTGLSTDSLHDAGYPGAAALGDMICGMAVAKINSKDILFWFRSHTAAEIRWGGAKHDPSDKDDSRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDDIKPTRASSLDNQVGDLKLDGLAELQAVTSEMVRLMETATVPILAVDSNGLVNGWNQKAAELTGLRVDEAIGRHILTVVEESSVPVVQRMLYLALQGKEEKEVKFEVKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPSPLIPPIFGADEFGWCSEWNAAMTKLTGWHRDEVINKMLLGEVFDSTNASCLVKNKDAFVSLCILINSALAGDETEKAPFSFFDRNGKYIECLLSVNRKVNADGVITGVFCFIQVPSHELQHALHVQQASQQNALTKLKAYSYMRHAINNPLSGMLYSRKALKNTGLNEEQMKEVNVADSCHRQLNKILSDLDQDSIMNKSSCLDLEMVEFVLQDVFVAAVSQVLITCQGKGIRVSCNLPERYMNQTVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISCSLTKNSIGENLHLIDLELRIKHQGKGVPADLLSQMYEDDNKEQSDEGMSLAVSRNLLRLMNGDVRHMREAGMSTFILSVELASAPAK >KN538930.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538930.1:151353:153626:-1 gene:KN538930.1_FG014 transcript:KN538930.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNNGGSGQSEADFCAQLSVVSRLKCDHFTQLLGYCLELNNRIVLYEFATKGSLYDILHGKKGVKGAEPGPVLTWSQRARIAYGAARGLEYLHERAQPPIVHRDIRSSNVLVFDGHDAKIGDFNLTNQSPDSAPRLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLISARPGDH >KN538930.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538930.1:83709:84080:1 gene:KN538930.1_FG015 transcript:KN538930.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRLLAIFLAVNLVALHAGVARGQQSPPSSTRGNPCPTSALADLKVCADVLVLLKLKINVPASQRCCPLLGSLVNLDAAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAAGANCS >KN538930.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538930.1:36974:40182:-1 gene:KN538930.1_FG016 transcript:KN538930.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGGSHSGELLGRASHPTYRKILLLGATSGPARTLKQKLVAKSAVSVVEGENAFDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDDITVEEIKKLVNILVTCTPQDSGISLQTVQDLGPSTPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYEEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEITAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCLP >KN538930.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538930.1:100395:107199:1 gene:KN538930.1_FG017 transcript:KN538930.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPADDPLLADCKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIVKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRISRADPFWVTVNHPVLPISITPCKVASDGSYAVQYFEKTFPLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQLVGYGQNSVDSLSIYNTSWYLGGTWQLLRFRNPVLFIGGPLNFHSIQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLSQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENYVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWDSSSSQVASGTQVTDNQCEMQNFIDNHQSLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSEDLTNLSIISTQDSLTNAKNASQKRTNCEDVTAGLDGSVVRSANGLRGEMPPLGQEQDRAVRQKLILTIESDSD >KN538930.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538930.1:188313:189152:-1 gene:KN538930.1_FG018 transcript:KN538930.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRQRAASDQRRECAPEAPQPAVTSPSTEVATVAPPTDSGWITTAVERLVNFFGGGHTRRSSKVASAPAHGEVGVKGATTGRTGLAHGKIGGKDATTNRTGNQAWIHLPQKKRTPAAPPQPQAQRQHRVVNGEELLLHSHTQHNRLPLVPCQAEDCRIYVTTARDLQLHNYFCHTLPVGWWEE >KN538930.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538930.1:44177:45681:1 gene:KN538930.1_FG019 transcript:KN538930.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGDVDCQG >KN538704.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538704.1:56146:64086:-1 gene:KN538704.1_FG001 transcript:KN538704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MREMPRLEMAESGRVEHIEKFSHYVARQMGFQDINECPQLCKLANNYLKRTKNCMDDIDDLFANIPDSESLYVKFIEELDKCILGYFAFHWDHATALISQALTVDCGTASKKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGVPAAAMNGDGEEEPHCTDVMAPVAHDERSPVLLLMGGGMGAGKSTVLKEILQEPLWSKDEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVQQTIAMARDVHRRRYRMGPGYKNATGVHDLYRGGATACGARSIWDDMIASPARADIQRELREAFRSVEHAPPDTNGATTTTTTTTTNGA >KN538704.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538704.1:131328:135079:-1 gene:KN538704.1_FG002 transcript:KN538704.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLKCCDLDLKQPKGLEDPEILARETVFSVSEVEALYELFKKISSAVIDDGLINKVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKKYDIFIAVLSNLTKLPQTQKLSA >KN538704.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538704.1:29028:34662:1 gene:KN538704.1_FG003 transcript:KN538704.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPASPPKADADGDEEEECSRAVVKEEPHHQQEEEEEDDAAAAADGGEDEKEKEEEEVEERGRRRRGRPGRKRGRRSGGGVCRKCIYEKLNDEEVESCPVCKIDLGCTPVEKLRADHNLQDVRSKIFPFKRKKISADEVAAPVLLPSKRKERSISSLVVDTPTVTPTGLTGRRTRAVTRKAAALRGLGPGIDDPVKKEIDNGEKHAQNSSLPTNLGKVPQTRRQMSSNAEASNHCSNKDTEGDRKDLADKTDELWRPLNCLVEAANRTKSSRSSSQSPIVKREQLSDSPGSTSVNKTKSREQKSKIEDDKKDVPLLKRKNQRTGRRRELHAQSDSKPEAAATQNEKKFSSIWFSLVASFEQEGDPPLPQIPSHYLRIKDGSIPASSIQKYLMQKLGLPNEAEVEINCCGQPVNPTQPLCNLVEVWLRGRSTQTTQTMIGSPAKEFVMVLTYGRPKAITP >KN538704.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538704.1:85232:85847:-1 gene:KN538704.1_FG004 transcript:KN538704.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRVVQLAVAIAALVIIITSSSGNHYCDSVGVTDHAASSMAEDQPAAAARRDNNQSSLRHLLVPGGEHGGAARRLLLAAPPPAAATTTTRSDHHRNKLNVVVINHPSNLTEMFVSAKNDDIYWIVALYGVRLTVIEQSIAG >KN538704.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538704.1:113080:118098:-1 gene:KN538704.1_FG005 transcript:KN538704.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIASRAGLLGGRRCYSAAAKSGPSIAGVSDIIAVASGKGGVGKSTTAVNIAVALAKKFQLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMRMIPVDNYGVQCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLQLSGIYKSILGLVENMSCFKCPKCGEKSYIFGEGGGQRTAEEMDMKLIGEAYIQVAEKVTHRLKELAEERQMGPEILL >KN538704.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538704.1:149994:150422:1 gene:KN538704.1_FG006 transcript:KN538704.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFAYGVLNILLDLHRANPLKSSDS >KN538704.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538704.1:10520:15443:-1 gene:KN538704.1_FG007 transcript:KN538704.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFYHASPASVAKSDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGFKITATLLASHFQDLVSNKAVSVLNRAELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGGINGAIRFCPKLPTSKKQSTNQKLIIKHGNLKKLSLWGCSAIDCPKLKNVHASGCHDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKRGIGLRRNQCTVHLD >KN538704.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538704.1:188668:192114:-1 gene:KN538704.1_FG008 transcript:KN538704.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDDECCYYYDAVDSDGDDEEEEEIMLDEDDVGELDGGALPPPPEEEVEHRAVCWAITKESLAAAQEQDLSMVMNLVNVERHNARALLAHHRWKMERIYDRLDMMGREALLRDAGIVVLPEKSSSGMSVAMAKTKPPGSVALTCNVCFEEFPPGSVSAMDCGHCFCNDCWTEYFAAAVISDGSKQIRCMEVKCTAICDEAVVLLLLHGKHPGAAARLARRLLEAYVEASDAEVGAALGSLATMTFVLSSSCTVASF >KN538704.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538704.1:163486:175815:-1 gene:KN538704.1_FG009 transcript:KN538704.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSYVTVTILEISQGLPDSVGGVLSGPVSNVATRCLLWVPERSSCFLENFEFSEDNSKERAPFAIFMGLSDLHCKGMVPIDEDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVVGLQEVPKCDVAQVLQETMAETHILLCQKTMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLADITVWLGDLNYRLQGISSIPARKLIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAVLSSYEALDCIRSSDHKPASLRRYSEELDKGQGFLSQETKEEALAELQGYLDPGLDFVEFAVVRVQEHDSDHEDIDRAIALSLSEEDQRKGKAVDEVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGTTVTLFYLLQLYLFIPLWINVGFHDTKLLIQRSKKASVRF >KN538704.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538704.1:88498:96778:-1 gene:KN538704.1_FG010 transcript:KN538704.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGEMPQNHYNEEQLIPYSDVSHPYNEEPDNLNNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEESDNAYNGEVKQQDSLPVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSVVRIVGKSAILACPTVKPVAFYQLTKNQWQNDKYETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSHLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKHPHYGISAYGREAPTGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPPVDPSYGSYPPAYGTSYGSAAAGAGPAPHNGGSYGGTTYPSYGY >KN538704.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538704.1:46147:47385:-1 gene:KN538704.1_FG011 transcript:KN538704.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRFNEKPRHLYLVLDDWSQGCSICKIKVSHEDIHPPLIPADVARGGDDHVFTGRHTLPSALLRFEARRGEPKSIVGAFDHKILATPPPTHGKKKKKKSLIVNIVDVHARAFVVGSWPMLQDVLLDPIIYIPIDEYRLFTLSVGSFDMLYMPPTYDDANRKKNFSCLDLPKPAFQGDLVTSYAVHPDEQTIFVSSVEQSPGIVVPATFSFRTAEPMMWRRHGQWQLPFTGRGYFDPRLDAWVGLSGDLNTVGHICSCDVVSTDAVVASRPALKISKEKLFSMVPAERHIGATLVYMGGGESNFCLLESIHIEDDIADQSTDSDDETNEVSSVDEVNEIDFDDSNEANDEESVDEVGGELDPKRFLRLTTFSLQYGRNGALTTGNSRRVWYYSMPLQVTEAMLKYPVAFWM >KN538704.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538704.1:6292:8723:1 gene:KN538704.1_FG012 transcript:KN538704.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFDETELRLGLPGAGELAARSSGKRGFAETIDLKLKLQPAAPAAVSGEEGAQEDKEDADAAAAKRSASQSSVVTAEPDPDKPRAPKYALDLSSPRKCGSHQQLKESNKLRDDLEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKSII >KN538704.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538704.1:123782:124093:1 gene:KN538704.1_FG013 transcript:KN538704.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLAKLVRALVMAIMLVILLATAAPAYCPGGVVAARPLHDDEEAGRQASPSTLLIVVGHDQQQHGGRRRRLVVASPDGDVVVGESKDSGASDHTNNPNIHH >KN538704.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538704.1:192681:198330:-1 gene:KN538704.1_FG014 transcript:KN538704.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKAMETVEDLMKNMKLSVAEKKGVKISVDRGSTKGSSLHQAIGKLLSEKPASAEALALTLGKIWCPIKGIDCREWGDNHFLFTFHQISGKKKALDDGPWMLAKELLVIADFDGTKTLEEIDFSSIPIWVRITRLPLGMMNRCAGEVLGGEIGEFMEVDMEDNDPTSGRFLRVKVRLDIRNPLRRGINVIMGEKEEEKWCPLKKRWDNTGASKTSEGRSSSLWKGGNVAWRERLGGSGSRGSIGKSRSDGPSWRKDAEKADDGRGEEEEVQSPLKEKVTESRAGIPKKLMFKSVEVVNQSAGGVILSTSEEMGGGQVEEVLAGSGGNSTMQAMHVDQQNPSTEAVQKGKMISGDKKTNRTFKRIPRDIEKRAKVASKVEEPQKKRGLGEVDMTDSQQGKRVKGGKVFWFEAGWIKEEQCAAIVENAWKLSTGPRGGKVMQACHDVALDLTDWSRNVLGDLEKRIKKAKKALESWRRGERCLSPEEIIYRASSMMNEMAVMEGKQQITRNVGITEVEIETDSLILVSALKSSEYDQAPGSAIFCEAKLLIQLNFIHVDISYVPRCCNNAAHDLAKLGASWDPGQSIVWVDPLPEFVNAFVVRDITEPAG >KN538704.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538704.1:153034:155632:1 gene:KN538704.1_FG015 transcript:KN538704.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAVGAGQVSMLGVYIQRSWIICGATAVILTPTYVFTAGILRGLRQPTDIAAVAGTYTRWVIPQLFAYAANFPLQKFFQSQSKVWAMTAISGIALALHVVLNYIFLTRLGHGLVAAALIGNVTWWLIILAQFIYLVSGCFPEAWKGFSMLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKNAKLQVDVMSVCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFAVAMAVSTSAIVGAVFMAVFFIWRTQLPRFFSDDADVVRESAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVLFGFKLKLDAMGIWVGMSLGTLLQTAILAFISFRTKWERQAMMAEERIREWGGRNDDALPSTTTPTADDHNVDR >KN538704.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538704.1:55203:55505:1 gene:KN538704.1_FG016 transcript:KN538704.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MTLNAICLVYPMFQEANENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEQEKESIVSQMAELKKILYGKFKDAINLEED >AMDW01030196.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030196.1:186:287:-1 gene:AMDW01030196.1_FG001 transcript:AMDW01030196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDIADKEYEGRRAYIADYLDAKGRSILITKPTIK >KN542001.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542001.1:12773:15997:1 gene:KN542001.1_FG001 transcript:KN542001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVGTPLFVSGNPGLHGSCGCGPGSDLDSDDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTGDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGKPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLSKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCNTEDELVVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTFGIAALLCRERGIEALCEPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRDSGATKEPGKPADINKGTMPEPH >KN540665.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540665.1:511:3168:1 gene:KN540665.1_FG001 transcript:KN540665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEDDFYDRTKKKSSSHKSSEQQVETADSLLDKKDTITSDIESKKKLVEEEKNKLAKSENADVGDDLDAYMSGLSSQLGMFCPWSVIASGEVVVNENKIWHNAQFYAYHFSSLTSLNCSAVHDKIARIQKELSDLQTELGRVVYLLKIADPMGEAARKRDLKPRETKSPASNDSLRPESRKQNKVAQNKASTEEKLKESCAEKTQVDKPAEEEKGISTNQENGSKPAFSIPKPQWLGDKRTVESEENCIKEESANEEETDNFVDYKDRKTILSGSASGKDLEEAAPGLILRKRKSDQSAANEVESSVESEASAADAVALLLKHKRGLQTSEDMEDENEPQASKGKSKKSKQKRVLGPARPDFLDAGPDHETWVPPEGQTGDGRTSLNDRLGY >KN540665.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540665.1:13501:17394:-1 gene:KN540665.1_FG002 transcript:KN540665.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADSCDDHRRRLLEPRALDDYCAEKLLGWHKLQAETGRATAAGLMPSIAIVAQGGADNAGAIARGEYGRWQAYSYRSDVYNEIHTTIAQMKVDDKIEEIKGKIEQLESGKSNNLEKNKSSKTLNPITLSDKPLGILVEALRFAPMAPECKPLSSDEEIMQEAVEMLKEHMEAPAGKAAEPLIRLDNTQYELILQKMFPPASTKPPPQSEQAAASTLGITQDLLSKSSKLQLLQGNYVEEQTKQLGMGGHDKISNNAVVDAIKGTKDKMYEMSLEIKDQLFIKGIVDKIKDHLDNKKAVIVLQDEEEYVSQWEKTRNALSLLGCATGSAVIVATKNNQRAKEFCFPPGEPVTYSLVGLYHDRVLKLTNQRENQDDYNRQILRDILDKCDPQEFSMKMFMHALYANPNRSNEELCKLHEALQVPQNSLATSNAKKIFKFSYRDLPREHRTCLLYLAIFPPGHKIRRSTIIARWLTEGLITKEDWPTAVRHAERCFDALINRWLVWPSDIGAAGKVKSCMVCDPIHGFITKMAKKQHILDARLSDLWARHFSIFSGLRLRASDGIDKFVHKLPKYSPQLALLKVLDLENCQCFEKNHYLKDICNKILLLKYLSLRGTNVTHLPSEINNLHELEVLDIQQTKMPEHTTRDVMLLKLRRLLAGHVNQRHSHDMGMPIGDEMSLYSSVRIPRKIEKMENIEVLSNVKASWDGNELRDIRKLWRLRKFGVVIHDKDSHLDKLLRAISDLKECLQSLSITVYETKSEGVSPNKKLLPPEMFGRLVQPPKLLESLSINGLTHRVRLLPLLAKGSNELAKVTLSGTELKQNDLMVMAVLPNLCCVRFQHKAYTERTLTFKVDEFQHLKYFLVEGVNMTDIIFENGAVPELEHIILSFTNICSLCGIGCLPKLMELQLKGNNSILSLPQDRIAPDMNSESRLLTFKKGEFEQLKNFVVEGPNMTNIIFEKEAAPKLQKIVLSLTNVNSLSGVEGLPKLKEIELKGRKFLLSFFANANQITKVTLCDTLLKQEDMHILANKPNMGSLVLLDKSYDESQLAFNKDEFPKLNLLIVECSTIINISFADGSAPKLEKIVWHFSRKDFCLLSGIANLPEFKELEFNGDFVPDKVRKAINAHKRKPILTHKKPRHHRPAPKEEDEARFPWISNLFSKKQEDRR >KN539654.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539654.1:13778:14634:1 gene:KN539654.1_FG001 transcript:KN539654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHDNLVLEPLTMPFDTERVLHVTIEQTPTTSNNSLAPVFPVMKELKADIEELRVVLKELATIIQEKLANEEEWHSKQEVAEEEMHAEMDVAVGMVMPSPIIVPPTQPVGLETCVQRCLFYPLQQRPQVLKWCTRISASRGATHPQGVQPAVGGDSLHGIVQASTVRGYQIAAAMRERHTGDTSKGSSPLALGTTPLTTKGSDADEQGCILLRRRC >KN539654.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539654.1:56910:63466:1 gene:KN539654.1_FG002 transcript:KN539654.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLMICTMYTCTLRCIHEKDDGNSKPSYYWLTKNKDNHVVTPWTKRSPRPPSGVAALERDLVDFTRTFEVPEGLAQHVTSPMQAQVTWYRKLLAAYKDIKYPPKESADAAVLVAATLRGIERTNLEGILAFYGFPIPTISKEASENHPSSIPKGVLFVLKTLPVNAKCIVDGDGFTAYVDTLDPIELRQDCNASCQSRNSKKQKLWDKTAADLQISFENTGQKKMFFGGREILARKYEIRLRGIDAPEIGMQYGKESQDALVKLIARKCVTLHVYGQDQFKRFVCDIHCGGVFIQEQMLVNGHAWHFKNYDKRPQFAKWEKMARDARQGLWAYDNLEKPWEWRKNKRKASRHHNSDVR >KN539654.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539654.1:52713:53344:1 gene:KN539654.1_FG003 transcript:KN539654.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILKCFISCFDGEDEDGGSGHGRFPNSYPPVSSLHYQPLHLDDLQVPLSPPPPSTRQQQPPPRPALSRLHYQPLRLADLQVPLSPPPPSTRQQQLPPRPARPLGYHHGVLWPTIEITPCDDFLNLEFTTKGCHPMTLECIVLFSKS >KN539654.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539654.1:42776:43438:1 gene:KN539654.1_FG004 transcript:KN539654.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRGQRRAILGRLVAQLIAVLPWNIAITGGKTGESAWEGAQRLVPKIKPKVINGGKGLGLVLQDHSCSAETTARLSELAHLDNHLQHFRWRDPRELGFRGGWRHRELRRREVKEVEEWSWLVGRSQWGGTIYGKTRRFGCVARIKAWLIKEEDGGSDSNEGRWGSITMAMCGDLRRHLQRSTIIKWKEAVNKSLKEEGGEGEPSLIHGAWGWRGDAAI >KN544438.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544438.1:44:1482:1 gene:KN544438.1_FG001 transcript:KN544438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVNNKGLCGVGFSLLDLCLSSEDGLKPSKPEPFGPDGTVKTRQVPQSANTDNHCEGSGCSKSSNASMGVLLVGVVAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHENLVSLRGFCCSRGRGECFLVYDYMVNGCLSQYLDVKEGSGANILDWPTRVSIIRGIAKGVEYMHCKKTNKPSVVHQNISAEKILLDHHLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVSTVANDLDSLIDENLNGVFSRTEAAKLAAIAALCTSETASQRPTMEAVVQQLSSCH >KN540341.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540341.1:1072:3252:-1 gene:KN540341.1_FG001 transcript:KN540341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRGLLKGLKHMIKNGLTGVGVAANFVPCRIQLLKSRSHPVWEYTTKNDETREWQETTHKEKAKERLALFFETGTVITTEGCLVLLGTTLTDPRRADESPSGERSLKCTASGTTYAGTPGRVVGGPKGFGKVSTQDDPGRTKSSLGIEGASAQADPKPTLAAAGEQADASGDAAPGTQAAADRVKAGDASAQPGAAGEQANVYGDAAPGAQAGAEGAKAGGPGRHAGKEATGDDEEEVEDILRRQGALPWTNYISPLQASWFQVGQQEREALKKGFDDVADKADAEAMYEAGIMLMQKMQKIAERFEAKSEPLEHVFSNVTEIEGIRREGLPKRRRPVAKTSVAKLTAKLEVALKESNDLRTQLAGNFRLSFALTGFVLALWIDVSFPVVAQATIEPDAAEEEQLAKDLKDKTTAFDNLRAEVDV >KN540341.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540341.1:25740:26198:-1 gene:KN540341.1_FG002 transcript:KN540341.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRSWMYREWKGRLYSQVWATGVDHFVEHAFSLPEALSDGTSRCPCRKCVCSHKRKREEVTMHLLQNGFQQGYERWIFHGEELHPHNVEDDEAVEEVDRMDDMLVDAIAAEGMFPEEEPSPVVAQFYKLLEDADQPVQETYDHKVSLQPV >KN539228.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539228.1:50025:50308:1 gene:KN539228.1_FG001 transcript:KN539228.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPYLRKVDVAAYGDYLELVEALNDMFYCSSIGLMDGYGEWEHAVVYEDGDGDWMLVGDVPWE >KN539228.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539228.1:88736:90846:1 gene:KN539228.1_FG002 transcript:KN539228.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGVAAENGEMVGNGEGRKGAGASVLVTGGAGYIGTHTVLRLLEKGFAVTVVDNFHNSVPEALDRVRLIAGAALSARLDFIAGDLKSKDDMEKVFAAKRYDAVIHFAGLKAVGESVAHPQMYYENNVAGTMNLYSAMTKYGCKKIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLVLENYFRQVQAADPELRVILLRYFNPIGAHRSGDIGEDPRGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGCGTTVLEVVKAFEEASGKKIPIKICPRRPGDCTEVYASTDKAKKELGWSARFGIEDMCRDQWNWAKKNPYGYSANAEQN >KN539228.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539228.1:20899:21607:-1 gene:KN539228.1_FG003 transcript:KN539228.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFATQTKLEPRQKLQLARELGLQPRQLEKLAEMLQEPRGKYGDNAGDDARSGGAAGMKKEEFVGAGGAATLYSSAEGGGTTTTTTAKLMPHFGSDDVDAGLFLRPSSQHHPPPPHAAGFTSSEPAADHQSFNFHSSWPSSTEQTCSSTPWWEFESE >KN539228.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539228.1:53716:55306:-1 gene:KN539228.1_FG004 transcript:KN539228.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEEVAGWEGEKEGEIDYVFKVVVVGDSAVGKTQLLGRFTKDEFFLDSKSTIGVEFQTRTLSLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITRRRTFENVARWVEELRAHADGSTVVALIGNKADMPAGRREVAADEAARFAEEQGLFFSEASALSGDNVDRAFLTLLEEIFAVVSRRALELDEARRMRDGGGAGGEVLSLKGTTLDVGSIMETSAMKKSSQCSCS >KN539228.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539228.1:69995:78015:-1 gene:KN539228.1_FG005 transcript:KN539228.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQLVDRCGGGAPASRCCVPVIACDGGVRGGAGAMGEAFHLMDIVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLILEFQIKVKRINLSEISLTLVIWCVLLAGWLVVDLLAGGVAVLQGSSVMSYVAGANQSAIPLGGMARYSVGMDSGNHGATITESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRLVNKESRHAGSCNLLCFVDFSSPPEARAALETLQGYKFDEHDHESSNLRIQFSLTPRRRPIGWKVYNLLCFVDFATPSEARAALETLQRPLLHVYDY >KN539228.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539228.1:15195:17277:1 gene:KN539228.1_FG006 transcript:KN539228.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQRTLGLLHQLNLNVSSFSSASQLPLLQRLNSLVAELDTMQKHAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATAAAESKRLAQSQSTLPNGDVKVKPEH >KN539228.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539228.1:113385:119006:1 gene:KN539228.1_FG007 transcript:KN539228.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPMCASCGGAAVLGEVSLEEQHLRIENARLKDELDRVAQMDEPLWLPSLDGGFETLNYDEYHRAFARVLGQCPAGYVSEATRESGIAIISSVDLVDSLMDAPRWSEMFPCVVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAVLRPDQNGGGGSSSSSSSYMGCRLLPTGCIVQDMNNGYSKVIVLVLRHGGFLWSRRWRVPRVGAGGAGRDVLPRRRRGGAAAAEEAVAGGRVGGCGGGLRPITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGEGGGGGGGDGEDKVRMMARHSVGAPGEPPGVVLSATTSVRLPGTLPQRVFDYLRDEQRRGDWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQASIISP >KN539228.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539228.1:59525:60781:-1 gene:KN539228.1_FG008 transcript:KN539228.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGQGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATITESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRLVNKGIRHAGSCNLLCFVDFSSPPEARAALETLQGYKFDEHDHESSNLRIQFSLTPRRRPIGGPRVRN >KN539228.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539228.1:32421:32684:-1 gene:KN539228.1_FG009 transcript:KN539228.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAAAAFVRRLVPARTPVISASASAAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >KN539228.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539228.1:2103:3275:-1 gene:KN539228.1_FG010 transcript:KN539228.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAIKLQQQSRSGDSAAGAAVELDPGEEGDEVRELRALVDEGYDLLGQLNWSDHLPWLARFDLQGTRARCSRLVPRVNRFVTGIIHDHRSSPPAATAAAVDFTDVLLSLQGSDKLADSDMVAVLWEMVFRGTDTVAVLIEWVLARLVLHQDVQARVHDELGRVVGLDRDVTESDTASLVYLHAVIKETLRLHPPGPLLSWARLATSDVHVDGYLIPAGTTAMVNMWAIAHDPDVWAEPMEFRPERFIGKAAEFSVTGSDLRLAPFGSGRRSCPGKSLAMATVAFWLATLLHEFALLPSPDPAHGVDLSEVLRLSCEMATPLAVIAWPRRVV >KN539228.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539228.1:82466:85200:1 gene:KN539228.1_FG011 transcript:KN539228.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRAERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEAEGSDSSNSTSNLLLEPSSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAQSDPSEVVVHRAMYLLQNGFGNYDIFENNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPLKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKIEVENLSSHLGRRLIEDEGSVNVRSENPKTLLPMKRKRER >KN539228.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539228.1:129959:130761:-1 gene:KN539228.1_FG012 transcript:KN539228.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPFSRLGASSASRPSTPAVSSCATRTRSTASSTPRLTPRAATALRLEADAIASSLPASIIRIRKLLVLLAPPHHRLRLRLEHIRLLGETRLDAAMLIEEKILPPEAKTSEVSCAKTVDIVK >KN539228.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539228.1:33626:37509:-1 gene:KN539228.1_FG013 transcript:KN539228.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVVQEGEEAEMAGEERPERIVVSVRLRPVNAREAERGDGSDWECAGPTTLTFRGAVPERAMFPTSYSYASIFAYGQTSSGKTYTMVGITEYSMSDIYDYIEKHPEREFILKFSAMEIYNEAVRDLLSSDATPLRLLDDPEVTLFLDMKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETAMNEASSRSHQILRLTVESSAKQFLGKGNSSTLIACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTNAQVNVVMSDKALVKHLQREIARLENELKFPASASCTSHAEILREKDELIKNLEEQLKELMEQKDTVQSQLDNFRKVASDGDINNHLARRWSRSSDSIPRIVSEGAFSSSDTQDIDYQDQTMDELSVPHLFPPSSQISDITEEHEAQRVAHRAESEAPEEHCKEVQCIETNKLRSRRSQEFFQTPEKKTHTDDQKHSESMSNSAENAIKLYACDFDPSFDLEKPETEESLALKRCVVSSRDSALTRSRSCRASFMVIPNSWFDDSASTTPSCETFRYSTRRPEKVRKSLSPDEIADKSTGNAEEDKSTCNAEEETAVNDIGCVTEVKQKTEMNNAPQSPEQHQPKIAKEVATVSLSKWHIDFERKQQEIIELWHDCNVSIVHRTYFFLLFKGDQTDSIYMEVEHRRLSFIKNSLIADGELHATTASSLRNLRHERDMLYRQMVRKLHLAEKERLYGKWGIDMSTKQRRLQLSRRIWTQTGMDHVRESAALVAKLVEHLEKGQAIREMFGLSFSFKPRRSFSWVGVYSRD >KN543012.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543012.1:311:1636:-1 gene:KN543012.1_FG001 transcript:KN543012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLREVLAGRKGYDMGKKMRNVSPDEQLAFKTAEVELSMIYDELYTKSKVIQTRNGTILRGVSLAFTVVAFVLFILMNGNASGLVDIAITYTLFTGAFCLEACAIVTAMVSPRAWASMDARGGGCSMLARATRSISVVIQPETTPRWANSMGQYNFVSSCLDDNKSRIAKMMDAVGAKELIWNNFRHYRHAQVTAEMKKLIHQAINVDKLKPPLSMRRELYDALSSPFEHALLLMHVFTDLFLYKASEAGVTENIQEMQSLVDTCKVISDYMFFLLVTQPAAMLPVGSVDVHNLLKAASISVRDKDASSKEQFLQGLSRSPSHGDSVSAFHLTGNIFQGTAEDMLQRQGFRAALELIIKVWVRLLAYAAGKSRPVEHARRLSMGGELLTFVWLLMAHVKLGDVCAEQLDLVERRKNGQVVTSPGGTASYSHIMVLFECDE >AMDW01040863.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040863.1:833:2788:1 gene:AMDW01040863.1_FG001 transcript:AMDW01040863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGLDKGSHGKTIDFGEQVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKYSNSRASTTSNESLNHPSNIHIVMKYVASLLEIPEIELAEVTYKNATKLFSYHGSKVHNEVEAV >KN543012.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543012.1:9137:9981:-1 gene:KN543012.1_FG002 transcript:KN543012.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRFLSAAMKACFCSASKYLAVFRIYCSHVCQTCLFSPAGSEALKIFLLNYIANLGYLPAEYTFCMTCRYELVQADLAIAKYSELSVINLRRLFAHKGLSFMDLQKQIIERSPPKRKLTVDTIF >AMDW01040341.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040341.1:317:1270:1 gene:AMDW01040341.1_FG001 transcript:AMDW01040341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALCLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQ >KN540551.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540551.1:43966:45558:1 gene:KN540551.1_FG001 transcript:KN540551.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLHLVAVAVAAAVLSTPASSSAKRRRPEGGAGGAARTGFPFDYTDYRGSDSPTTASGLDHAGDFGEPAPFGHDYSAQGEGGGGGGGAAGEQLL >KN540422.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540422.1:48325:49894:1 gene:KN540422.1_FG001 transcript:KN540422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVICHGDASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLAPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKDGLHSIPTTASNKGLKSRWCNRIGYQLPLLNHQRYTGEPSKMFKFEIEIILMLERKGRMCYLGKVAQRGVRERTWQGMGTSSIGKAKVASKTEIEREHHPGEGWRRSVTRKEDQESGNGPNQLETH >KN540551.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540551.1:1233:4528:1 gene:KN540551.1_FG002 transcript:KN540551.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVAAALLRRGRDQASSLMAARLPRGAPAPSPAAPRVGSGSFGGGGGGLLTAPRSTGSVFSASRLASFHAFRSIGSKTLMGQCTRKMTTTAAAAALNSGVASAAANSGLKLLVTKGPQAQKAIGIWLFGCAAWVFGLVILGGVTRLTRSGLSMTDWKFSGSLPPMSDEEWLLEFEKYKLSPEYKRVNKGMSLEDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVSRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWVNSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQLPFYFFQPGYIGNIYTFDVCSYFLGLSSSSWGIDTTVTDDPSHAHFKKTVTSSSEVTCISSEINLTPCHEIFLQAQ >KN540422.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540422.1:35942:37085:1 gene:KN540422.1_FG002 transcript:KN540422.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSYFGICFCNRDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDKEKYCVDFFQKSFDHVYEPRQPESQSVIDRAEPENDNDDSMSSAKPVGLGRTGTTIDRDEHKRFVRTVCKSLQAVFIGTMEVHEEVSEARQKASTMKSRRQEQEAARREKLKQAYLKKRLEKLLAEKRATSATDDLPAS >KN540551.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540551.1:18201:23982:1 gene:KN540551.1_FG003 transcript:KN540551.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPIKNAVHIVEIPVTGDGACPDGGNAEVAAFLDKAAAAAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRARSAWYRGTPVQEAGVAQRRSWPQWETAAGVPPAGTPFIKFFRSGPPQLRDQQFFNAFRWTVNDSLLRKWDVVIYFTGKP >KN540422.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540422.1:15313:28303:-1 gene:KN540422.1_FG003 transcript:KN540422.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MASERPVKKVEQFNALQPLVSMPPLYGSSPLSLNLVALLSDGSLLRFRLPDLKPNPSPSPVPLLPTSSGGVADAAFWGGGVAVLTEDNRVVVTTDIDAADPHPREFADPCVGQDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVLAVDEDDVQTLGLELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSKIIFEYECDSALPPDQIAWCGLDSVLLYWSEALLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNSNMEFLHRVPDSTTSIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDISRQHALLRAATYGLAFCSQFPHERFQEMCKTLRVLNAVRDPQIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKIASAAIPDTVLLEGLLDKIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKSAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQLVISPSMSYFLNYREKLQDAAFLLLKESRELERNPMASKGSPLYGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKSCYKPFVEACIDAGQKTEAVKYIPKLTDPRERSEAYARIKMAKEAAEAASQVKDSDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY >KN540551.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540551.1:16291:16599:1 gene:KN540551.1_FG004 transcript:KN540551.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKYDDDDAAVVGRGAARVTTVPPADDDDGCWESVVGTSPPCARDALLSLVLHAPRLSGECCTVLARAGDKCVAGVFSGLPSGEKYLPLVKRICSLVSVIF >KN540551.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540551.1:46230:48216:-1 gene:KN540551.1_FG005 transcript:KN540551.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALRISCSPTPLLWALLLLTVSPGNAFYLPGSYMHTYSQGEEIWAKVNSLTSIETEMPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGITVQWTGFPVGYTPAGISEDYIINHLKFKVLVHEYEGRNVEIIGTGEEGSGVISEFDKKGMSGYQIVGFEVVPCSVKRDAEAFSKSNMYDSIEPVSCPMELQKSQVIRQQERITFTYDVEFVKSDIKWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCPKLLCVMIGDGVQILGMAIVTIIFSTLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWKTIKGTSEGWRSVSWLTACFFPGVLFMVLTVLNFVLWGSKSTGALPISLFFALLAMWFCISVPLTLVGGFVGTRSAQIRVSRTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLVLLVIVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVAIYVFLYSINYLVFDLRSLSGPVSAMLYLGYSFLMAFAIMLATGTIGFLTSFSFVHYLFSSVKID >KN540551.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540551.1:11258:14526:1 gene:KN540551.1_FG006 transcript:KN540551.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASGLDLSNLDAFAAVKEPIQTVASDAAALKAGLIQHIVDQSSGIDRGTKDNGMVASVNRKVGVEFTKENGLIDGGKLLENKVRAMRNSSGLNITLNKDHTADRPPEKTIDTTSEDSDIRAISNNTSHSTASPDSTIRVLRDQLKRARTYIGFLSSRGNHGFIKDLRRRMRDVQQALSGATNDKQLPKKYYLSHRYTKFFTVGISDDDLCLVSGVHGRIREMELTLTKVKQVHENCAAIISKLQATLHSTEEQMQAHKQEANYVTQIAAKALPKRLNCLAMRLTNEYYSSSSSNKHFPYEEKLEDPKLQHYALFSDNVLGAAVVVNSTIIHAKTPENHVFHIVTDKLNYAAMRMWFLENSQGKAAVEVQNIEDFTWLNSSYSPVLKQLESQFMINYYFKTQQDKRDNNPKFQNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAIQTCGETFHRFDRYLNFSNPLIAKNFERRACGWAYGMNMFDLSEWRKRNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNQTFPLDHKWHLLGLGYKPNVNQKDIEGAAVIHYNGNRKPWLEIAMAKYRKYWSKYVNFDNVFIRECNIHP >KN540422.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540422.1:3559:9850:1 gene:KN540422.1_FG004 transcript:KN540422.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Persulfide dioxygenase ETHE1 homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53580) UniProtKB/Swiss-Prot;Acc:Q9C8L4] MAGYSSGSAAEGRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKVSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGEGDDQPSPRMAFTGDALLIRACGRTDFQIFTLPKDTLLYPGHDYRGFTVSTVEEEVAYNARLTKDKETFKTIMDNLNLAYPKMIDVAVPANLLCGIQDPPPSKTYPAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPFCGLGMGWFLFIIGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTIAASLAAIAILLGVTKGEEIW >KN539332.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539332.1:106201:106854:1 gene:KN539332.1_FG001 transcript:KN539332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDQNSGSGATVAAASHSHVIDMPQLDASSHSAAIDIGSDDIPCCVVCTEPLEWVAVGPCGHRAVCSMCVARVRAGPDADKRCCICRTRCYTVAVTKAATAADTVHAFPKTVPVTSRDWRIGKFWYYAAMSAYFDDRNHYKETKRAVRDFLETQWAQSSPAPPCPDDVTDENLEAQPPAPHRPRVSWCGILFVLVAFAFVCGPPGFLAFCQYYCY >AMDW01037025.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037025.1:72:638:1 gene:AMDW01037025.1_FG001 transcript:AMDW01037025.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQE >KN540551.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540551.1:39355:40104:1 gene:KN540551.1_FG007 transcript:KN540551.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFSVRIAALSAAAAAAPVQIYGFMAARDLYEPLRNYVFHCSRDDPFVLPGHYSDPDSLISLSGPKRGISLQNPAMVEYDLKIKRGEEEQDDLQLIDGVAVFSDLTPFHGVYTQRIHGVHGAVDISLALLREAMECTIKIRVPEVADGGIHLLVSCFVSKIPQKIKLFDGTITEPWKLRNYVVVVQRDTALIVDFKVAPVVADGGGGSSDRVHRFYAFNAEAHDYAFQRIQLDFTTIDMRVNWSNLF >KN540422.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540422.1:13130:14635:1 gene:KN540422.1_FG005 transcript:KN540422.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNGSIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >KN540422.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540422.1:40991:43056:-1 gene:KN540422.1_FG006 transcript:KN540422.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTCYQNCLDALYRIQSSPLNIVLTSLREDFRKTYKEENPSVKSMQEVGKACGEKWNTMTFEERVKYYDIATEKRAEYEKAVAEFDKKKESGELSEESDYD >KN539332.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539332.1:331:4842:-1 gene:KN539332.1_FG002 transcript:KN539332.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPDLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECISIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKLKYSLRVPNPDRIHAIYAAFKKGMRIQDIHEISFIDKWFLTELKELVDVEQFLISRGLDQLSKYDFYQVKRRGFSDTQIAFATSSSETDVRLRRLALEVAPTYKRVDTCAAEFEANTPYMYSSYEYECESVPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEDKKLVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAKCLDIIRSWTTKLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTQEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLNGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTTDASQNLQAAQSAS >KN540422.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540422.1:12086:12307:1 gene:KN540422.1_FG007 transcript:KN540422.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPELREFIMRGPYLGGGPPAPPRYECDHPAMVMTGGDDQQQLDEQRRDDVGDDRAHFDRVDGQLQFERHYLQM >KN539332.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539332.1:81793:85195:-1 gene:KN539332.1_FG003 transcript:KN539332.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLADPTLTVRADQRGPPHMVLVDRYVALVDDIQEVIDEIGALDALPECSDGQEWDEQEQSAIRAEITRHRAEVLEPAFAIFRDRKACSRPAAPGTMRKEPMASRCDSGSYYREVLDGVDPRFSHLTGGAIVNSLTIGVSWPPSHDLWNHPLSASISSCHDCLLVLYFGNYRPGISSPGCYLVLNTWANSVAIVPPLRATCVTTKSHCGIGTGVAILRHNDYYDYVLVELFPHQDSRTHLASNKATLFLWWSPSSGPLADGQWIRKEVLLPIPATSNQDKDDATRPPTYSFRANMVFAVSTTSLCWVDLTTGILECVMKPGLLSRERSAEEHRTMICMDPETILFVSMDSYIQGLPIGDTVLMTWTLKFPLTNHWTWEKNSAPSLCVGDLLNDLPVLKESKDDGKTQHIANCPVSSIDKQNHLVTSLTITKYERKHENGQWGVMGLYEVSIDMDGRTVLEWSSLQSHHSNIFAADFSRFLQQTMSWESLQRSRSTLKSPSEEEVVDKDDEYWEWVFPDEVETSPSSQLIKKRDIKKEVGLEFTTLNNICLAGCMPEIRLHLPDLSLFGDFIISLAQMHEMGGRS >KN540422.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540422.1:32923:34396:-1 gene:KN540422.1_FG008 transcript:KN540422.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSATRLQAVDAAAMRGSRVLPRAAAVSPAQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIAYVATNHNGSSGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >KN539332.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539332.1:50464:59789:1 gene:KN539332.1_FG004 transcript:KN539332.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLLLLLLSPVVVLLSSSTWTARGEDEAAVAVASPEVNPLHYNCSLYGGRYDPNSTFEGNLRALASLLVAEAKASNFASESFGRAPDAAYGVALCRGDFTGDACGNGLRRAFQDAIEYGLFCPGYRDVTVFYDQHMLRFSNQDFRPSRTNAPAWVAWNMNNVTGADDAAARFGSRVMELINATADFAAWNSTSRYATGEAGFVERDVSKVYAAVQCTADLPPADCRRCVDGIASQMSRWFSSASGYRVGGRILGVRCNLRYEVDPFFLESKDTIKIYMPRSSKGGMSKLDIALITISGIVIPVLLLILSGFIIQRIRDYTQTREIGDWYDELVSQQIGMGFLLYPFSIIRESTGNFSAENRLGRGSFGQVYQGILRNGVQIAAKRLDQDAWQGLNEFLNEIKLILRLRHANLVSLLGCCVYRRERILVYEYMPNRSLDYVLSYREGAESLSWLMRLHIIDGIAQGLVYLHNYMEQQTYIIHRDLKLSNILLDSQNNPKISDFGIARMFHLNGTEPNVTQLMGTHGYMPPEYAWRVWLSRSYGELADPYLRGQFEEAELMKRLQIALLCVEENPDHRPFMEEITTMLSKDGVVTHEPQQPAYLNIQLGANRPHTCFAV >KN539332.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539332.1:113605:114529:-1 gene:KN539332.1_FG005 transcript:KN539332.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEIELANAMTDSDRGVSEEEVTDSKTDSSTRPLRRHRLLDDKEEEEEEEETAPGDKKDTTAPGDEKDDTELADVVLTFKDVKNALSNAQFLTKTRFFCSCSIFSGYLATKTQSYLLDEKDVGPNFPPHGAFALSPLQPKKSNKCELLIHVLINGRDFLISLW >KN539332.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539332.1:14898:23881:-1 gene:KN539332.1_FG006 transcript:KN539332.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEECSNNKKNKSNNSQIPSRSKQDSLSSQTTADPSKAPHYPFCEVDGYWQRNCSCFKVWLAKKGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYSFFLPSAILLLSETSTRLKKPYDNCLLKCRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKIATSIEALCRGYPCEFQSYFHYCRSLRFEDLPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQITSVPPRAIVSASQSTPFLQLHTQMATEEGRRSGWSDDPTRRQVPPTGINAGSLSKQKSPVRPDMSTSKDALFSSSTMLGRSSGSLRRPVVSSSRELQSSEAEPSRSRTPDASPGTFQRSAPPRRSSQMLDYSDPRHSSSGRHAANKNYESTIRGIQGLNFDANDRIHY >KN539332.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539332.1:99387:105035:1 gene:KN539332.1_FG007 transcript:KN539332.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHILLLLLLLAVVLLSSPTWAAAGGEDQAVASQEVNPLYYNCSLSGGKYEPNSTYEANLRALASLLLAEARASAFASDSFGAAPDAVYGIALCRGDYAGDACAGGLRKAFRDAIDHAGVFCAGFRDVTVYYDEHMFRFSGEDFRASLTNAPAWVTWNMNGVAGAAAFGDRVMELINATAEFAAWNSSKRGYATGEAGFGELDVSATRLGLVEQQCRSSPDLVIFALVQCTPDLSPAGCLSCLAGIASQMPRWFAGAADYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPRQKGPLSAGKISGIVAGILAFFVIIFALILFGLLKVIQDSERQRKLDNLEAEILEEISRSNTNMTGAPMFSRYTLQELRGATGDFSKENEIGKGGFGHVYRGKLPCGLEIAVKRLSVSSSGQGSEQFRNEIKHMATLQHRNLVRLLGFCIQDEEHILVYEYMANRSLDDVFSGLYGYTAPEVFLNGTISNKCDVYSFGVLILEIISGTKVNSACFHQYGRSDNLLTCAWQLWNARRCTDLMDRSLLPSTKENGNVGDEDVLVRYVQTALLCVQGDPDERPSIDKVVAMMSNKEALDVPNERPAYYNIQVHNAGGRCNTTINANAISPYTVFYTTSS >KN540502.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540502.1:6326:6814:1 gene:KN540502.1_FG001 transcript:KN540502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTKGMAFPTYDDPYNFYKTYAYHAGFDIKKSRTHKSIREVCCTREGKHVSKVADCDRERKKPSKKMGCKAYVKLRHNYDGGVLSSVQYDVVELQHNHPLAPSPSAVKHMRAHKNHDDTAMKIVDTMQESHVPQSCIMGVLSDLHGGLDKIPFTTRDVENR >KN540502.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540502.1:24032:24692:-1 gene:KN540502.1_FG002 transcript:KN540502.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKSLIMCVLVLGLVLQQETIKVGAKSCCPTTTARNIYNACRFAHGTRERCSKLSGCKIVDGKCKPPYIHHTIHPESEELDVLDFCMLGCTSSVCSNINTFAGNEEGNGAVERCNEACYHFCNKEADIVTIVS >KN540502.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540502.1:11884:12544:-1 gene:KN540502.1_FG003 transcript:KN540502.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENMKAVKSPIMCVLVLGLVLQQETIKVGAKSCCPTTTARNIYNACRFAHGTRERCSKLSGCKIVDGKCKPPYIHHTLYPESEESDVLDFCMLGCTSSVCSNMNTFADNEEGNVVVERCNEACYHFCNKKADIVTVVS >KN540502.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540502.1:9669:9923:-1 gene:KN540502.1_FG004 transcript:KN540502.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRMIYVEDLGYMSTPCLSPPLDVNDLNPPEDPNNITVLHPAFIDDGDIDIIQEDIYNFRYDQTPPRDAQSPATRFLRHKRD >AMDW01038401.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038401.1:67:658:1 gene:AMDW01038401.1_FG001 transcript:AMDW01038401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVGEFSRGLSVEYKSKGIDVQCQVPCLVETNMISRAMKGIFLSQFVVTPEEYARAAVRSIGHGRMCVPNMAHQVQLLGMRSTPDFVLNWYRLRLHLQQRAIFRSRRCHPYPLQEG >KN541714.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541714.1:524:1997:1 gene:KN541714.1_FG001 transcript:KN541714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGGRRDVPFLAGGVPNLGLDDLAVDLEGAGGELDADGGLGLEAELVAGEAGEEVGLADAGVADEDHLEEKIDLLERRKRDREEVHWTSQRERQANSASPDV >KN541714.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541714.1:9833:13803:1 gene:KN541714.1_FG002 transcript:KN541714.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLGRLLLGRTHAGALAGAWGLSGDDGCLRQFFVLVFTLMIFSCSRLANPTMSMSFALNAELNTVWYNNRSLLQNTSPVDNFLMRIILPHAIAVPSINDSIVPSFACGFFCAGSSTSCDAYIFSIFFVHAVTDFDGSLLLQLPEIIWFANRDHPVGENATVQFTERGDLVLYDADGTLVWSTNTANKSVVSMNLTGSGNLVLLDRSNVVVWRSFDHPTDTLLISQTLQMGQKLVARTSSTNWTEGKFYLTVLADGLYAFAGIDAPLAYYRSPTGGTVATNRSAYVALKNGSLEVFTSFQETEAPDYHIKLPADPFGQVFARLDWDGHMRLYNWGNESWGSDIFDISDPCAYPLACGEYGICSHGQCICPDVAVGQSGLFELVDTREFNRGCLLTSSFSCGSAGRTWFLAVPNVTHFNFVYNWTTNEEHCKLSCLNDCSCWASFFQHKNTSSGFCFLASDIFSMISVSAQSYSSNFSSSAFLKIQDSTHKSLLSKEKRAIISVAGSFSFVASVIVAVLIVLRRRTEPLEDEYNIDQLPGLPTRFSCVDLKSATGDFSRKIGAGGFGSVFEGQIGDKNVAVKRLDGVNQGKREFLAEVQTIGSINHIHVVRLIGFCAEKTHRLLVYEYMPNGSLDKWIFQNHQADRLDWKTRLKIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGTLGYLAPECSFIYL >KN542970.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542970.1:4571:8640:1 gene:KN542970.1_FG001 transcript:KN542970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPGRGIVTGLVHSIDIHPSRKHICVVQGQSCYFLYYNPIYPPSPPFISNNPRPDNEPRSDVFTDFLQLFLVMYKGFHVGGSSGTIFAWDLRWPQQPIPLSGLGLNGTAQPVSESEVWEVLFDNYTQSTDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPENPSDVVCALEWESIGVLTRGRDTMAEE >KN540799.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540799.1:25720:34753:-1 gene:KN540799.1_FG001 transcript:KN540799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRKLLANRPVSEMMRYINKFKSDFGGNIVSLERVQPSLDHVAHRYVLAEAGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAQDLADAVECVQNDDQKGEENLGTSYREKSKQLRKSKPAAHRGFLARANGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLASSSPSKEPNRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPDNTNAKSDEEKDTKSVSSKENNGEQLVLGVGPVQKSLWRLSKLIPLEGVRKSLSVLQKQTNIFGKAPSQLDNFLQSKVDESEEGLQSLEIQEGSEGIALTPLSDKDGGLTEENNKTDKTNVSEVGGSKRWNRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVITELRERLQSHSMKSYRARFQKIYDICMSANAQLFTGIEQLPQFSHLQELLGLTAADSVELGHIVEPPTIRTATSILPLGWNGYPGDKSAEPLKVDIIGHDLHMCTLFQAQINGNWYSTVIETLPMVSYSSDQEVQPTLQKMRILVGQPLKQPPNYISEDFMVSVATGTGSNPDYGFDSLFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYVDSATVNLQYHQSNTSQPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNALITSAMEAYQASPDMTKVVNSSPFLMPSATNSLRPISSASGSLRNENPSGRAAFYPVNFSLSPFQRKDIVMHVEGVTALRQLVHQVIHSNEEPAFEELSRERLSLELEREKAASLQGKRKPQKRDGSVTAAAVFYCPRTCNVVARELAQFYTTRQRWIGNPDYCGGATNLLPKVNGLLVNPVIDSTGGDDCN >AMDW01040484.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040484.1:50:1324:-1 gene:AMDW01040484.1_FG001 transcript:AMDW01040484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAVKTIDAVFVLKPKVFPMSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAVAVKPPVMPAANATQAAVSPVLTRSLSLQSTSVATGQPQVVADPSSICKLQA >KN538715.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538715.1:331959:334480:-1 gene:KN538715.1_FG001 transcript:KN538715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTWLPSWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKIFKIVKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESASWNFPPFTLFLNCLCTFILNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKPKPQGNEQQSADSKANPGSPQDVETSISTKEAS >KN538715.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538715.1:387815:388969:1 gene:KN538715.1_FG002 transcript:KN538715.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDRREAVSVAWSSASGRWRQEGGRGHGVAWLRVWRLEDDNGDGAFVVLVSNGSLEVPHDLLANRWCKLGNDDLSRTSPFEFLLQCGGSN >KN538715.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538715.1:315651:316028:1 gene:KN538715.1_FG003 transcript:KN538715.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQDIVRDAIKLGFQRADFDDVIRSFCWYYSSFFSKQATATAVAPPKDDEQAKKTGATISYELTLLDCLHASLVPPSEGAATGGGGVKGGKTSRIRTANELRRSGIRLMAMEEGCAWSSSARTP >KN538715.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538715.1:278883:281067:-1 gene:KN538715.1_FG004 transcript:KN538715.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPIPTVRLTRDRGRDRVIMQSVLAGGDLAVCPEGTTCREPYLLRFSPLFAEIAGEVTPVAVRAGGAMFHGTTVRGYKGMDSFFFLMNPAPWYHLQLLDPSKSRLSGNGSGAPHLAGRSRMASPLSPLNRAAAFFTQSQHLVSDDAS >KN538715.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538715.1:359290:362570:1 gene:KN538715.1_FG005 transcript:KN538715.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETRKERSKWDTKGPPDIVEISEDESLPMNMDDHKKGNDDHRKGSDLLPSQDFGHGNDKQIGESLNLKSTVSMHHGSAGHEQDRADGLNKDIKERSSKASSERLPLRMGDEDHNKNDWHNRGFEKAAGNQGMSRYADDRRRGDGWGTTLSRGYSSRISSSGPDAWKRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSIGRGRGRSRSRSRSPYFSDRGSEWRVERGRSSGGPALPCRDFVAGRCRRGSNCRFPHEDGVRRQFDEHYPVDSREKYGHQNRDFMDPREQDDYLRNRPPRGGHYDEGTWERSEPRREYRSTMPCHDFVKGRCSRGANCRYVHDDSTPHGGWRDEVRDNAIGRSGPDSSYGNRTEHRRTNKNPCKFFANGGCRRGQNCPYLHEEASQSQMGLGAPDEPGYTGGPTTRGDYLSWSEQNNSVQASSHVLSRDDRENPVPQGTGRNDSRYENKNRHSKDAGSSQYQIFPQDDFGSVGQNKPEIAASQLPQFIPSVQTGTESINIDKVSDMGGQSGPGTVGNLSMQIGMHSANLLGGHNLGQKAESQDAISQISAAPSLPGTTQLQNTTSSVPLNSQVQQSDFSLHPNRQDQFAVPHATTNNSAPSMQSQPVAPYMGHSQHGYIMGAQSLPDLSVHNGQIFNVGQVPQNLPTIVHAGQNQATSDTPNLGRDSGDQGLQNTHNFQPVAPNEQTQSQTLQGLSVVASSSSVDMAGAPLSHNAVSSQEEVRRVTASLAQYFVPSLTADTSGLQSSQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGTVQPSHIVPPEQPAPQTFQAPMAAGSSNGNPLLLPHSVAPTVPAAALATNETTPAENKKEEPKDTDAEANEDGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSREVHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYAKKD >KN538715.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538715.1:389382:390621:-1 gene:KN538715.1_FG006 transcript:KN538715.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRSHHCCLVLVAVSLAAILLPMAMATTISMSPIGTFCWNPSYNEMSSGEAIARRRSINSVVSDLAAKARAGGGFATSSAGRGIDAFYGLAQCRGDVSGGDCDACLAQAARQMVSNCNYTLDSRIWYEYCFMRYVDFNFFGEMDTRTDASVTLRQWPDMDNPMMFQKAVGKVMGKAMAHAVTVGSGGLGRAKEQYTSFVNVYTLAQCTRDLAPPLCAQCLSTTVSKFAKPCGSGQGCQINYSSCWVRYEIYPFYFPLEANGRATTDLTKYTKVTMY >KN538715.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538715.1:270364:278304:1 gene:KN538715.1_FG007 transcript:KN538715.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding NSRKEVNVMLKREAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAVHIMKCDDDTFVRVDVVVRHIKLNNGGRPLYMGNLNLLHRPLRMGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFVVSQHANQSLRLFKMEDVSMGLWVEKFNSTSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLFLFDQMPSRDVISWTALLTAYADGGDLASARLVFDDMPRRNAPSWNALLSVYLRAVRPRAAHALFYKMPAKNAVSYGAIISGLAKAEMLREAELVYEEMPRQWRDPVGSNALMAGYLRVGELAMALRVFEGMTVRDVISWSAMVDGLCKHGSVSEARRVFDAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLNMRREGVQVNTTTLSVALDACAEASLAREGIQIHNLIISMGFELDIFLGDSIIIMYSRFGWMVDAKRAFNCMQQKDIVSWNSLITGYIQHDMVEEAHVLFKLMPQKDAVSWTSMVVGFANRGWMRESVQLFEQMPGKDEVAWTAIISSFITNGDYLSAVRWFCRMSQEGCKPNTIAFSCLLSALASLAMLNQGRQAHAYSINMGWVFDSAVHTSLVSMYAKCGRLAEAHHVFSSISNPSLIAINSMITAFVQHGFVEDALKLFTKMQNAGYKPNHVTFLGILTGCARAGFVQQGYNYFESMRPVYGLEPNPEHYTCMVDLLGRAGFLAEALEMINSMPQNDHFDAWEALLSASSLHSNLAFAKIAAQKLLEKDPYDATAYTVLSRMLSSAGMEDVEMLKVVKLSNMTMSWKKLNCMRRRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSASLDDDNKEDMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDAVVGRGRMVAESDLGQLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPAQTRIFINTHALGRNTRIWDDVDAFRPERHLPAAADGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPDDIDTQEVYGMTMPKAKPLVAVATPRLPPQMYGRHGKQV >KN538715.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538715.1:366508:369261:1 gene:KN538715.1_FG008 transcript:KN538715.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTELEAAFHALAGDEDFQKELDGILKDYVGRETPLYFAERLTEHYKRADGTGPMIYLKREDLNHTGAHKINNAVAQVLLAKRLGKERIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVENTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDIRMIGVEAAGYGVDTDKHAATLTKGEVGVLHGSLSYVLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLDV >KN538715.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538715.1:346558:349792:1 gene:KN538715.1_FG009 transcript:KN538715.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGALFRGGGHRREMHRAPMNQPGYGGGGENHRRAMLTKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHKIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAAATAAAAPPSPREDQVCPICLTNAKDLAFGCGHMGMWGEPDQMPNLSTDDSVQAEAVLRMIKCLWTDGMELKSVLNVQNA >KN538715.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538715.1:354642:356376:1 gene:KN538715.1_FG010 transcript:KN538715.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASASGEKKRQAQPQPSSSSGAGAVVVAGGGAAQQIILLHRTLHMELLKEAGEMAAAAFAALFRYSKRALLAAAPPPLPIRVFAGCGGGGRRRSQAASPASAPSRLAPVDFVRLGDLEGFGIASPKLRSFMAGSKDGLQSVGSVFSILRVDYVELLSDEKQMRYGPVI >KN538715.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538715.1:393444:394522:-1 gene:KN538715.1_FG011 transcript:KN538715.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLVVLVVVSLAALLIPLAMAAAATYEYCFMRYYNYDFIGEVDTREDASVTMINWQNMDNPKAFQKAAGKAMGKATAQAVAVGRSGLGRAKEQYTPFVSVYALAQCTRDLAPPACARCLSEILSKFDKTCNSAQGCQIDYSSCWARYEIYPFYFPLEAGSHATIDMSKYTKVTMH >KN538715.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538715.1:400130:403771:1 gene:KN538715.1_FG012 transcript:KN538715.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPGQGLGGEAAVGLLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPVLVAVVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVYVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPKA >KN538715.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538715.1:308259:310545:-1 gene:KN538715.1_FG013 transcript:KN538715.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEQPVKEPPASDEFDLVLNDLPESYKKLMEDAIPTRLEQIIIQEAPSNSKGKKLFSKVAERLYTPKGGTFLVKLKPTKSSPDEEIVTLLFRWKDLYFEAFHAKGKWYRMSDAEESLPPRSQLHYSKKEKEGVFNMNNISTSYNDVGGHNIEVGRRAFKNCHQSLLMAEELVRQKRLKEELGSGPLSLPVVTISESIRFPLLQRWVLGTFSAPPTAKSEKKVPKKFSCEFNEWGKYSRALFTQELPVGCELTFAQIAEKLRVLKYRAAWVPQPAQKHVKDV >KN538715.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538715.1:285297:287113:-1 gene:KN538715.1_FG014 transcript:KN538715.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASGLCAMMEPSGSGDEGPAAAAAAAAAVVNHPMQVLDLEGECGMGMAAGKEEKQPTHLKKQQQQVGKVKKKRFPDFGQELTWEEKVVSVLDIVRRYQLTEYDPRLKEFTPTRSWVSDSHYPFKFVMLEGSVNVIAIKVTGSDSGYPISIFGTVLARDKQDYRCVYLFRRDRDRPQLITSPEDTLTLTGPKRGLATKGSMYFEFNLKIKGDGATDKDFSKGFIEHDAVAYEKPLKTLELESFMSRVAFIYTPVPYAVQATLAVNFLEGLSNFTGTVSAWTTGNVENEIILYDSRVEGTETSVRNDGRVTLTRNIVAVVCKHKLVLKVCVFEGGSEVACFKFVLGHRNEECTRKKGPYVLQVKVRWIGIIEHYNRKMWERIGRFGNILW >KN538715.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538715.1:322005:324247:1 gene:KN538715.1_FG015 transcript:KN538715.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MGWGMPTRPPATSTPSRVQALYELCKRTFPSPSSVAASSSPSSPPPDHAIRAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLASGKPVRLGKLHTDDVLNAPCPTAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGRVCTYFHDYPFSSFSAGQAKVVHGPDNYAWLEALNVPVNINMRPGTYTGPTIQRDWLPWCRYGLKFKYSTNLVKMAVELHSQYVD >KN538715.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538715.1:337845:344452:-1 gene:KN538715.1_FG016 transcript:KN538715.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSSGEGELPTVPLFSELSECQVKVSAPPPLPVPSSILGGGGVITAGRDSEWLPRRSRWKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGSKDHVLFSKLDEELEVLIKGMDNAVLKAEPSDTALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFVADSIAFPWHLLKITEDDFLVAERNFETSWILSVSTGQKQEIGRDGQRVLKHNLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGQFQEHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESSIWRQVRGSGAEVSGSDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRVHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >KN538715.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538715.1:352398:354219:-1 gene:KN538715.1_FG017 transcript:KN538715.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 21 [Source:Projected from Arabidopsis thaliana (AT4G04780) UniProtKB/Swiss-Prot;Acc:C0LU16] MGPRASQRVACALSMCWAHQFSLMGLDVIGPWWIERRRREKEREKRRRRRSPAARRGRRSPEMDIISQLQEQLNEMAMVAVNTFGTLQRDAPPAQPPALDLAEHPKAMSHALVLAAKKFDALVSALPLSSEEDQLKRIKELQAENEVVGSELQKQLEAAELELKQVEALFNEATDHCINLKKPE >KN538715.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538715.1:350527:351410:1 gene:KN538715.1_FG018 transcript:KN538715.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASLLTCFFALALFMLHSNDEILSAQNNQLHVFQSSFSQQNAPQSVFHAAAAAAAAPSLYHSQVLAIASMPELRVCSKLASQSLELDK >KN538715.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538715.1:384435:385758:-1 gene:KN538715.1_FG019 transcript:KN538715.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQQLVLVTVFSAAALLIPLAMAATTTTYPIGSFCWEPGMNYGDLNGSDAVVRRRSINFVVADLVAKALTGGGFATSKAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQMVSYCNYTSDSRLWYEYCFMRYDNYNFLGEVDTREDASVTMRQWPDMDNPKAFQKAAGKAMGKATAQAVAVGSSGLGRAKEQYTPFVSVYALAQCTRDLSPPSCAQCLSAAVSKFDKACGSGPGCQIDYSSCWARYEIYPFYFPLAAAGRATIDMTKYTKVTVH >KN538715.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538715.1:316722:319089:1 gene:KN538715.1_FG020 transcript:KN538715.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MADESERSGMVIDDVGGGLNLPIIVAGKRKRELTWEEKALTVLDIVGSQQHPACQPAERDCSLIDSEKDYSSMAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQNDVAPLKEEENWELDSEPELTWDEKVVEVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGAIDKMYFEFNLKIRDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSRIDFVYSPVQLAVEASVAVKIKGVVSKFFTGKVTAWTTGDDQNKIILYDSEVEGSNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVREQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >KN538715.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538715.1:287863:300396:1 gene:KN538715.1_FG021 transcript:KN538715.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MADESERSGMVIDDVGGGGLNLPIVAGKRKREITWEEKAALTVLDIPAERDCSLIDSEKDYSSMAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQSDMAALKEEEENWELDSEPELTWDEKMVQVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESRCPTGQDAAAMGADRQDAADVGHQLISTHRRLPPGEGCRRRWGPADMGSSSKPWEKGRETKKTKKVVGEGEYRCLRVRREDDENLENIELNSHAS >KN538715.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538715.1:396058:397139:1 gene:KN538715.1_FG022 transcript:KN538715.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVAVVSLSALLPLGMAATTYDPIGSFCSETWMNYGDLNSSEAVARRRAVNFVVSDLVAKARTGGGFATSKAGRGSEVFYGLAQCRGDVSGGDCDACLAQAARQMVSNCNYTSDSRIWYEYCFMRHYSSYNFIGDVDTREDASVTLRRWPDMDNPKVFQKVVGKAMGKATTQAVLVGGNGLGRAKEQYTPFVSVYALAQCTRDLAPPACAQCLSATVSKFDKACGAAQGCQIDYSSCWARYEIYPFYFPLEANGHATIDMNKYTKVTMH >KN538715.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538715.1:325612:328362:1 gene:KN538715.1_FG023 transcript:KN538715.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDERWRSPVIGGGGDAALEDEEKARSVLDLVDSETPTECDHEEVHLCPSPCSDIPSSTSGGGGDNGEMGKVNCNEKHTYGEEEEKMKVVETKSELKPKPRRKNWEEEELTWEEKVLKVLHMVRIWEVTEFDPKMERFEPTRLCLFNTAFFDLDKESKAGLGPPIHSLTSSDYRYLETSMNIISIKVVESDVGYPISIFGTVLARDQYDYRWRLETLHLRSWLSVVEFAFVTVQYAVEATLAVKMLGGASVFTGRVTAWTTGNDEDEIVLYDSEVADTRTEITADGSVQLNRGLVVVPLDEELVLNICVFEGEDEAESFEFILGHYDEEFTCKQSTYELQVNIIWTAVKTRRRPNMWKRVGCIVLLL >KN538715.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538715.1:372246:378641:-1 gene:KN538715.1_FG024 transcript:KN538715.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAQELCDNNDEGNNGLRCLHYWCVHIFVYALREREKMMIPGRNMSPAMIGRPNGGGGGGGVAYASSSSALSLGQSLLDGHHHQLPSLLQQHHNGHHLLDQHQQHQHQLPPQATTTSESDGRAPRDELEMSKSGGSDNLESGGGGGGGGSGDDQDPNQRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENNALRAENEKLRAENMRSPLDHMGMPGAGADVFGADFDKSLVIELAVAAMEELVRMAQLGEPLWAPALGGEALGEEEYARTFPRGLGPKSPELRSEASRETAVVIMNHVSLVEMLMDVGQWTALFSSIVSRAATLEDVGVMTRKSAHDPGRPPGIILNAATSFWLPVPPSRVFDFLRDDSTRSEWDILSNGGVVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPDGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAITGDNGVAPPCPR >KN538715.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538715.1:304173:304739:-1 gene:KN538715.1_FG025 transcript:KN538715.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEFNLKIRDDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSTIDFVYSPVELAVEASVAVKIKGVVSKFFTGKVTAWTTGDDQNKIILYDSEVEGSNRVVGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVREQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >AMDW01062481.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062481.1:316:762:-1 gene:AMDW01062481.1_FG001 transcript:AMDW01062481.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YELRAIQKEKVRRMETENRKAKDVTTVEVEMQESKCSRDSQTSPGHAGSIKIHLLFAEWRDVHHCKFVMPECAVNKYGRLESSTVLFAVCTICYWLI >AMDW01075255.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075255.1:86:2807:1 gene:AMDW01075255.1_FG001 transcript:AMDW01075255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGENIGANRNKRQSRKSRDENGDDGDDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRTVPTAGHTQANNILTRMNSPSAFGVHGLLHSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNISNGAPLATANRSLQPLESSNQQHLSRVHSSSADPFSTLVGESPQFPDLGRTTNTWQTAVPSNIQDRGHNDSMSQATLHMNGPKIEPVSSFTSSNQIPLLGNEMQGQVASLASNVPISFNQEASPFNYGSSTNSRDMLNNSHVFSNSSINTSLPNLSLDNPAVPRQTLDRGNTGIVSPMQDGRIHHQVVSNQLNYNDDLMRTTGLQRGLSGGLDDIVVDMFRP >AMDW01037336.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037336.1:11:401:-1 gene:AMDW01037336.1_FG001 transcript:AMDW01037336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KWFVHNTPSQIFISGTMLTHQFLPSGPLYCNVCHGIIRLFQRMDKFMNPGVADHRWRHFFPPQFAQKVWLERFEIQWTEELRDMFLGDHLGYGAEHCRM >KN541263.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541263.1:14779:17059:1 gene:KN541263.1_FG001 transcript:KN541263.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQDGHALVAASVELNVDSLGILAGQLHRDLQGRIVRVSYAHDRGSRAGGYGGGGYGGQGTYGGGSGYGGGGYGGQDAYGGRGGGGYSEGGRGYGGGGYGDGNNYGGYNTSGGYNSEGGRGGYSVSEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKVDGDGKEDGEGKADGAGLVNEDLKGDDGQDELLQSDFKDEDVSDDYADKRS >KN541263.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541263.1:18738:18971:1 gene:KN541263.1_FG002 transcript:KN541263.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGEGKTAVVVEEEAATDLAPNHVVIPVPHRQPRLRPPLQAASASPQQQLLDGGLLDSTPLCRRSSTRVGVLCLPR >AMDW01010907.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010907.1:128:211:-1 gene:AMDW01010907.1_FG001 transcript:AMDW01010907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKSGRNEQSKAAAPAQDAIGGGGDHQ >KN546108.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546108.1:794:1148:-1 gene:KN546108.1_FG001 transcript:KN546108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQSVGSDIPGRVPRCRPRVPCRRGEERRA >AMDW01037173.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037173.1:165:655:1 gene:AMDW01037173.1_FG001 transcript:AMDW01037173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKLPKQYHPSFCLKKTKYFRRFEVAERQGKYLAHLLNHVMKAGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALVDLRQSK >KN541950.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541950.1:764:1880:1 gene:KN541950.1_FG001 transcript:KN541950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGADLAGGEASVPSSPSAAGQQADEADPSELNRLFGEHYDNLMKALQENDPSWPSLMLKLCTALKTADKLVSCASTDAEQLLQKVELLERLLERGDHAVTAIVEELQRSRLSDDSHSSKSKPSGK >KN541950.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541950.1:15725:19492:-1 gene:KN541950.1_FG002 transcript:KN541950.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPALKPQWLVQGQVTTTGAANLWTVASPRPGPDNQGRGGSSRNHSSSGHHRDQNSRTSSSRISSNGPRKHDRDRDGMGKSRGYASFGRNREREREKEFDSRDRESRSITADGFGSFSTCRPERDRLNRSRSRTDSWNKGVVSPNNSNTSRNNTGTGGSFEREFPQLPFDDKRQDINKVPSPASPIQRTVAPDRWNSLLADVPGSSELKKNLGVSSVLRPAPSKQPEAAPNSGISLSMAETVMQVPLSVGPQLSMEAQKMEEISLRQNTLRPMTSPAIKSSVTNSSKTKGVRNGDPSGPIKAHQSLIPSTNGSARAPVKTDLSKVSQAGNLKILTREQNCTIHTAKDCPDNPMSPPPAPVASVEPLKKPCVSQKLKVATHDIPLSILQGAYVDKKLNARDKHRFFESLRIKSSNGSSSTAESGCPSPSSVADVKQDSCLNVGKDISLYHSGKDISLYHSGTKCMGNGKCSCEEANSSDGSQRHLSDNENNSSLDHTADGVSQNLLVESRSISSSEPSDRGDEFRVFLSNNTEGSSSSAPADSDDGYKRSQSGSEEASSSSETTEPGDEEHPAEDSLPADFVAFMISLGWEKDKKVEPLGLEEIAVTVRANEELEQKLLSMEDNANIKIVLLYIYSGRGLDKELMKPNAGAKNNA >KN541950.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541950.1:9961:14305:1 gene:KN541950.1_FG003 transcript:KN541950.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKGKGGAVDRRSSARWRMLLLCAFCFGLGMLFTDRFWTAPDTSNHIMSQRRRQDRELQLISEDCNTKRKHGEDKDIMGEVTKTHEAIQLLDKSISTLQMELAAKRSTLELLRAGGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGCYFLYYNFMDELARRATSNSILDKAIDSEDAQHRDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKEVHARCGEGDSAVWSALI >KN541950.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541950.1:3337:5173:1 gene:KN541950.1_FG004 transcript:KN541950.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSFPAVWAVVGPGVAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGFFASLAALMFNCVKREDANYNYYSPYDDSEWRLKLWLFVSYMVSFVSLAGAVGFLVQDALTDTGPSAWTGVAGVLQSVFVLISGLMYWTCHSED >KN544406.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544406.1:37:1031:1 gene:KN544406.1_FG001 transcript:KN544406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QRHGGRDRHGGGQLKLMNHGPSGQASSYNSIAVRDEIKLSAREQIKLSAREQVTAVEGTVGNFRDVDEPVITSLTFHTNAGRKYGPYGGNGKQGTPFSIPVGKGCIVVGFWGRCGWLLDAIGVYVSPQS >KN542410.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542410.1:10333:14692:-1 gene:KN542410.1_FG001 transcript:KN542410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKATRGRRTRGGRCAAARSVPEELLWEILVRLPAKDLLRCRAVCRSWRRLATSADFLLAHHSLQPSLPLVSFHRSISRLSREVTDASVETFDLRHSPPGRRPVLAFNDYNQRHDFTIHASCDGLLLLTLANHRFYICNPATRQWTILPGLTGGTVAPLYLHRPSGEYRVLFWKDVNTSTIDAYYVLTVGSSEKPRCIGLPVSSESMKPFLTRGIISANEHPPVMLHGCLHWAPGCRFHHKVVVFDPVVLSFIRLIPSPKAFGEANLHDMDGILGLSRTGADMIVATANLWELQDYDMGQGKVGGQILMGWGVSKCHWALVQRKPSQPCTLPDARWCLCERATFFPRAVGYNDASGQPFSLCNGCAFSCQGDLWVLMLSGLSFWYGTSWVFGEVDTASLTAVGEVGMEFHMPAVGNVAIVPPTVVLAARQPEQLLWEKRDLVAEGWGAGDMVF >KN544406.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544406.1:2240:2808:1 gene:KN544406.1_FG002 transcript:KN544406.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVERRFSWLAVVTIVLVSISVYTCSFLAGVALGRALERRNNLHPSSINGAVDDDIEYSVLPGRRSSSSSWRVGKKVGPWGGSGGWHDFGIGGSSSRSSPVLPRRLNSIVLYHSRGAIHSLYYDYYVQLQPQQQGRGHDELKLVKNGPWGQKYSFDSIAVRET >KN540500.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540500.1:10260:15323:-1 gene:KN540500.1_FG001 transcript:KN540500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEGAAAAAAARIRLVRCPKCDKFLPELPAYSVYVCGGCGAALQAKKKYSAQGSDNSDNGHVKYLEVLESVAEAPEAMDGATADGRSIPNRISALHSRSVYNHEDNRMARGPSTSTGEATIRNDGREAKYMRIRNVENADMMKSVRGRGISDISPRSPIDGIPPTSYQAESLVNYQLQSKYRFSNREHANDRDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVTDAPSRSATTNRPADASSSHGAHDQPNQLRHDPSVLHWNGSHHSPSLNVQSPNIPQVHAPLPTRQNLHGYAEPIPHARASSYYAGAGYPCRNVDNFFFGHHDPDPLLSCHHEGLYHQPVCSCFNCYHREFLPVQGTPLGFTDQRAPYLMNSYGAYPVEGPLYGQQRYTSRGTNTSLQRNHLRTNVRKKPAQTCEPIAGGAPFTICYNCYEVLRIPMKHSLLGKEYKLMCGSCSHAIQVNLDGSRLNVSEPAPGINLSAALQNGIGDSMRNNGHANADERLLPQYCFSNGSHESQEKDLESNSSESDSKLTPLGTDSENTPQSRDLPSEANVISHVPSLPHHDRCGFSPSEDSGKGSRSTHSEHEKAILFTESCKRNSIKDVCVANETQSPVDEFDDTLYSQDMLNLPQNVGHTRSTKAGDSFLTNLIKRSFKMNNGTRNGRARVFVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNTGIFINGRELHQKDLDLLVSRGLSDSPGRSYIVENSGKVSDEVSGEELYGLGKLAPTYTHLPSIISKPFTKEYSLTTVELCGENEARIWHASPKNHPVTN >KN540500.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540500.1:31977:34563:-1 gene:KN540500.1_FG002 transcript:KN540500.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRHVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFDLWMAWRPDAAGWNSYIKFELRYGEIERARAIFERFVAEHPQPHTFILYAKFEMKRGEVERARRVYERAADLLADDEHAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLYYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDVERTREVYSECLKLVPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMSPKGKIFKKYIEIELYLGNFERCRTLYEKYIEWSPANCYAWMKYAELEKSLGETDRARSIYELAIAQSALDTPEVLWKEYLQFEIDKNEFHRTCELYERLLDRTKDLKVWISYAEFEASVGLGGEDSQSEEIKNEVSYQEQQIEQVRRCRAVFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGHLGDVTLVQKKAPRKVKRKRPVPSEDGSTTACAPYSIISFFYSFSNKFHFTLCYLPFKGG >KN539930.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539930.1:38671:39738:1 gene:KN539930.1_FG001 transcript:KN539930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPNALTLASVLPACARLGDLALGRAIHGLWLRRGGGHGANPIVDNAVLDVYAKCGALASARRLFDEMPERDVFAWTTMVWGLARSGSPRDAVAMFRAMLSDGDAAPNDATVVSALHAVATSGSLVSCKLLHSYAVKQGLGGELVVGNALIDAYAKCGDAWLAFKVFVELPETDMVSWGTITRAMAVHGRCREALQLFSLMLRRGVRPDGAVFLAMLTACCHAGRADQALLFLGGMARLDRAGEIFRQMPAEYDAEKALGVYCSYAASNGVAGVAGERLPELFLDGEVDAGGGTYAVVSKSLADAGRWEDACAVRERMAERRIEKAAACTWIEV >KN539134.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539134.1:58044:58890:1 gene:KN539134.1_FG001 transcript:KN539134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFLKTVMGRLFMALEKEYNKHRGLAQESHSLQQDLRMIAAAMGDQQLSMGKSDAAARTAVARLHTEEMLDLAHDIEDCVEGEPVQMRVVSVVGFGGLGKTTLAKAVYDDPRAKDKFRHRAWVAAGGSPEIRGILRDVLQQVRPDDAMDVDGQRLEASIKDYLKDKR >KN539930.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539930.1:9305:12207:-1 gene:KN539930.1_FG002 transcript:KN539930.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKLHLASISALSILLYVFAHTNSAQAFPVADGTYPPEAEGPAAESSDMDEQLELTPGPQPRVVDVDDYRARADAGDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATEWITFDNIDHLRVLGGGTLNGNGHQWWINSSGELQALYFRRCNHLVVEGLQIRDSMQMHVVIAYSWRVLVSRLLITAPGWSPNTDGIHVSNSREVLISGCIISTGDDCISIVTGSMFIRATGIFCGPGHGISIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGDGHAERITFQDITMHNVTNPVIIDQNYCDSMTPCHEQGSAVAINNIRYRNIRGTSSSKVAINFVCSNSVHCDGIVMQDVSLVGEGSYVSCSYMNARVVELGYNFPYCRAEM >KN539930.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539930.1:41787:43217:-1 gene:KN539930.1_FG003 transcript:KN539930.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSRAGRHGEVLRLFRSLPFAPTAPLFTTLISSLAASGRPLAARAAFASLLKSGVPPTASAFTALLRSSSDALDFVDSVFRAMEALGCSPDAAVYNWVISMLCDFQLVQEALGFLDHMLENGPRPTARSFTAILRAYCEQGRFFDAGRLVDTMIQNGCPPDVVSYTVLIEGLCRVGEFSTVEMILGESESQGWMPTAVTYNIYMSGLCRMGFLDEAFRQVDIMRYRGLSVTAETVHILFDCLCRNAMFSEAVCLLEHSEELGWDVDVFCYNTLMSRLCDIDDFARVLKLLVDLLKKGIGPDKFSFTIAIRSLCRAGKLRLAKCLIENKGIKYDVVAFNTLIHGFCIAGDLDRVQQTRTDMINRDVIPNNFTDAMLIDSLCKERKFGEAKRFVLDSLVNGLVPDHLIRLNNWLVKAKKMTLLLKLLYEIRCKGIVVDTSIISPLVRVFCWEGYCRRDNFYQISPILDIIVTYVEQ >KN539134.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539134.1:17529:19828:-1 gene:KN539134.1_FG002 transcript:KN539134.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPGRLEGLLRRHGNILPNGAEDELPLIKQDVEKIISILHGYSKPKLEDNAMVVRCWMKEVRELSYDIEYCIDHYEHAMANSRSGFNDNIRRRKFNRRHGNKLPPWVQEKLKQRLWMANKMREFSTRAQEALQRHAMYSNHGVATTAAIASTNTCGIDVSSSSSLSPVRCEERAEDVLVGVDSAMNKLEDYLSGHAGEEKLRVLSIVGFGGIGKTTLANELYSKIGRQFECRAVVRASQKPDMRRILTSILSQLRPHQPPDHRKE >KN539930.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539930.1:68268:73776:-1 gene:KN539930.1_FG004 transcript:KN539930.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding EEKRTLERELARAKVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKAEAQLNDKLRRKLKSLEDDMRNESSNTSASNKDNTTSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAQRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKIVPDFFFWQRIVPELLLQVSNFRVFAQVNYKLYVVLLAYLPEIKDGAKLPWVRPTTRSSRSSCRRTRRKGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEKVPVYASFENLYLWTFYFSHLVRLSSVRSRKQLTSSLFLLLSILQKAIVRWKLITGVVSRFFHHLLEPALESGDLLCGGTVTEGSAGSTAISLATVAPAYGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKSAAAQRESRYKETNGSAHVNTRITHSKITATQGESNKALTNGSANSEIQYKGRCDHDSDSKGGFFADQFENMANYRAHYEWTGPEIWQQTKGALHAFVAAAGTGGTIAGVSRYLKEKNTNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFNDQYLANHGLTPTATGLEFLD >KN539134.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539134.1:67474:79250:-1 gene:KN539134.1_FG003 transcript:KN539134.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAIYISKTTVEALVNKINSAVKEEEEQWQTLQRDLVFITDEFEMMQSFLNSADGELVKTNMVRTWVRQVRNLSYDLEDCIEFILHLDINKRSWWLRLLPSCSCGKEAVALPVDEAVTEMKQLKARVEDVSQRNIRYRFISDPGSLTQQQQLLPSGSAIGAPGFDILAEARGTAARRTGVVDLTKLITEESDDLRLRVISLWGTGDDLGTISIIRNMYEDSRIYGNFRCRAWVKVTNPINPHELVRSLVVQFYTNYSCQQPVGRDTLSWILQNFRKQQRDALSWTETSAGELVKEFLRQIDKHRYLIILEDLSTVVQWDAIRPYLREGNNGSRVLVSTRHHEIASLCTGKPHRVSELQRISTNQSICIFFKPCSVPAEAEGGIMEGGVPTPEYIDWPCKYRLVGRHSEVEKLSNLIKNRSHTNKPHVVSVWGIPGTGRTALVSNVYYYMMTRDKFDQRAIVNTPNMPQFDRRAIVNMPQPYNLMGFCRCLLVSGLVSSASLQPGNPIEQCREVLRRDRCLVVLDEVQSKEDWDSIKDANLISARSKSCIVVVTAEESIAVHCAGADDLVCSIKCLQATAASDLFQQTFQEAFPNNRNSFEVQESQRNGDLYEETLRYIFEGDGQEEQAFQNDGNLFKEQSFQDFPNNRNSFEVQESQRNGDSYEETLRYIFEGDGQEEQAFQNDGNLFKEQSFQDFPNNRNSFEVQESQRNGDSYEETLRYIFEGDGQEEQAFQNDGNLFKEQSFQDFPNNRNSFEVQESQRNGDSYEETFRYIFEGDGQEEQAFQNDGNFFKEQSFQNNGNSIEEQICENNGNSCEEQTFQNNKDHFQGQASQNNEKSFKEQAFQNNGNSFEQTFQWSFETNKQEEHEFENNGSPLGEHASQNIISWFQEHIFQNSKTLFGGQLFQNSKKLFEHKRNLLEEVEFQKKNNLYEELEFQNNNNLFEELEFQNNNNLSEEKTPQNIKDRMSRDDPNVKAILSRSGGLPQVIVAIAKYWANQYMSSIDTRERERKCQYLIANFMQELEISQEFYCLQGLFAWMRSYFRSCPPSLIRSMLYLLIFPRGKTFRRRRLVRRWIAEGYASGSESNSLEGMGELFHKLSSQSVIQQATMDGCYEFNGFFHEYMISRPVEERILLPLEVSVLEGYCWRLTTKGGIGQHLAIWNSWDRNKTVFDSLDLLRLRSLTVFGPWESFFISNKMGVLRVLDLEDACDVTDVDVENIGKVLHRLKFLSLRGHKITYLPDSFGGLRHLQTLDIRCTSIINLPTSITKLKKLQNVRAGNPVPLDVDTSTDGILRLRAPPPEAASATASPSLSEPSTSMSRPHAATAVSRLFELPETLTRRHRSQQPAVAGTCNGGIVVPRGIRKMTTLHTLGVIDVSVAKKGRAILEELKNLTQLRKLGVSGISRRNCREFCSAISGHAHLESLSVHLNKENNRGCLDAISKPPENLKSLKLYGYADDKLPEWITLLRKLSKLNLQMAMLPSGGGLQFHSGFDSLVVLKISCGPSLQAVTFHSGVMPSLECLKLRCCNVSSLRLSGLEALTGLKEVWFGGSYGEAFKQQLKRQIGQLPREMKPVLKEEQRLP >KN539134.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539134.1:132208:135605:-1 gene:KN539134.1_FG004 transcript:KN539134.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKEQYTEFSSSELKQAARHFDCEMIGKSRFGSVYKGTLRNTTVAIKLLDGHSMQGQSKEFNEAVADISRVRHPNLVTLIGACPESFALVYEFLPKGNLDDRLRSQNPLRSRKPPLTWQERTKIIYEICSVLTFLHSNKPPIVHGDLQPANILFDANLVSKLGNLGINRLQLNTASSDVIGLMGNLMYMDPEYFMTGTLTPHSDVYALGIIILQLLTGKNPGDVAVVVNDAIKRGELHSILDPSARNWPLVQAKHLPHLGVRCAKFRRKDRPDLAGDVWKVVEPLMKADSLTSSAQSYRGGDKRVLWYIIFAACLILVFVDCEK >KN539930.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539930.1:1175:5165:-1 gene:KN539930.1_FG005 transcript:KN539930.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGRGDVGVAAALDEGIEDLEEMMEDLRVEKESGRRAVSSRRELQRSNGRNFDRQPSSLRRRLEKMPPADAEPCVKDIREIALPVAPQSPPPPAEHSDVDDDHSNSPNLSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAGGDNNNTADDCQSRKCGSRHSRKPSASSQRSWSGGSTNAGASRDTAASHGRSRHTVAPEKHHHHHQQHKASSIGSASLKSAQLMSEECKMVGSGSCCDCREIVGKIMEQVRTESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRAIASDISLRSLNSQVLEWKHRAQVSEQRVEDLQKKISELESKLHTFKAHFPSPGQPNQEWSEACKMEKPIRSNKAQHPPRPSHEPGGGREKEKHVLICRVKHSPSVAAKRQPFQEIGNISLPRHAPMKR >KN539134.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539134.1:9770:12991:1 gene:KN539134.1_FG005 transcript:KN539134.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFWEAIMGKLFMVLESQYNKHKVLEQEISSLQQEFRMIAAVMDDQLHSMGRSEARTAVARLHSEEMLDLEHDIEDCVDRFMHLLTCKHHRGGVRQMAHEVKKVKIRSSFSEEIQKLRRRLSEVRQSSSSTPYHAAHSLVGIREPMEELLSLLDEVEGEPKQLRVISIVGFSGLGKTTLAKAVYDSPHAKDKFCLRAWITADGSPETSNWMKEILRGVLQQVRPGDAMDVDGQHLEASLKEYLKDKRYLIIIDDIRMDQWRIISSAFENNGTGSRILLTTTIQSVANRCSHGNGYVYQMNTLGKEDSEELAFSVLRSPELENHSESLLGKCDGLPLALVSVSDYLKSSTEATGELCAKLCRDLGSHLTGNHGHDNFSELRKVLLGNYDSFSGSALSCLLYLGIFPNNHPLRKKVLIRRWLAEGYARSDDPWRSEEDTADDNFSNLIDQNIIQPVDTRNNSEVKTRKTHGIMHEFLLNRSLAKRFITTSPHDPRVGINTTNSRHLSVDAAKQTKCMASDEELSRVRSLTIFGDAGDAISYLHKCNLIRVLDLQECSDLNDNHLKRICVLSPWHLKYLNLGGNISELPRSIEGLHCLETLDLRETEIKFLPIEGIMLPHLAHLFGKFMLHKDDLNNVKKISKLLKLFSSNKSNLQTLAGFITDGRKGFLQLIGHMKKLRKVKIWCRHVEGSSNYIADLSKAIQEFTKAPIDMDRVRSLSIDSKEYCEKFLSSLDLEPCSEYSKYHLSSLKIHGKLLRLPPFFTSLSGLIDLCITPATLTQDHLSALINLNRLLYLKLIADKLKNLEIKHGALLSLRRLCFVVKSVALAQPKIEQGALPNLVSLQLLCQGLVGLSGIEIRHLKNLKEVTIDSGVTAQTRQDWEQAAKNHPNRPRVLLLGKVDPVESEEPGRPCAIRGRGKSSIGQESSEDGSDSSLKRMRLAEPSSSSQLQVTGHPHPVVVAATEAASQPSMANL >KN539134.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539134.1:82387:82696:1 gene:KN539134.1_FG006 transcript:KN539134.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKDEGAACHRIARYASAAMLSVKYQLPPYARPTLPGSLRRRARGAVLLDDLKSQHHPVGNVLPLDATRCYLAGNSAGATS >KN539930.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539930.1:65458:67437:1 gene:KN539930.1_FG006 transcript:KN539930.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16390) UniProtKB/Swiss-Prot;Acc:Q8GWE0] MASPSSLLSWPHRAISLSFQPKNPSPSPATARVSVQDPPPPPSDANPSPGRSSNTSRPAAVVLALRWFLRNAEVRKEVILYNVALKALRKRRRWSDAEALWEEMLREGVQPDNATFSTVISCARACGMPGKAVEWFEKMPDFGCSPDMLTYSAVIDAYGRAGDAETALRLYDRARAEKWQLDPVICATVIRVHSSSGNFDGALNVFEEMKAAGVKPNLVVYNTVLDAMGRAMRPWVVKTIHRELVSQEAAPNKATYCCLLHAYTRARYGEDAMAVYRVMKDEVMDIDVVLYNMLLSMCADIGYVEEAEEIFRDMKASMDSRSKPDSWSYSSMVTLYSCTGNVAGAEGILNEMVEAGFKPNIFILTSLIRCYGKAGRTDDVVRSFAMLEDLGITPDDRFCGCLLTVAAGTPADELGKVIGCIDRSSAQLGAVVRLLVDAAAPSEPLRDAAGELLGGARGVVRMPYCNCLMDLAVNLSQMEKACALLDVALRLGIYSNVQTRTQTQWSLHLRGLSVGAALTTLHVWMSDLYAALQAGDALPPLLGIHTGQGKNTYSDRGLAAVFESHLKELDAPFHEAPDKAGWFLTTSVAARHWLETKKSAELVAV >KN539134.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539134.1:1042:8626:-1 gene:KN539134.1_FG007 transcript:KN539134.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMFNLPGRLDGLLLRHDSILPKGAEEEIPLIMQGLEKIISILHGHCSEPKLEDHAMVVRCWMKETQFREHVDNVRSVSVDANGMEGALNDLNKLKNLLAGIPTASLVQFKEHRNKLRGIHTDIEAILTKLKNITTTSTASRGDASSTSSRQPTWFMESTCHVGIDAAMNKLENLLDVCGEEKLKVVSIVGVGGVGKTTLANKLYRKLRWQFECRAFVRTSQKTDMTRLLINILSQVRPHQSPDNWKVHSLISSIRSYLQDKRFLIVIDDLWATSTWDIIKCALPEGNKSSRILTTTEIEDLALQSCSYDLKFIFKMKAFGEDDSRKLLFNIVFGSHSKCPPEVSETLYDIVRKCGGLPLAIVTVASLLASQLDKQEQWDYINKSLGFSLMANPTLEGMKQLLNLCYNNLPQHLKACMLYLSMYQEDHIIWKDDLVRQWIAEGFICATEGHDKEEISRAYFDELIGRKIIQPVHINDSGEVLSCVVHHMVLNFVTYKSIEENFIIAIDHSQATIRFADKVRRLSIHFGNVEDAPPPTNMRLSQVRTVAFFGVLKYMPFILEFRLIKVLVLHFLGDEDSIGIFDLTKISELIRLRYLKVTSNVTVKLPIQMQGLQYLETLKIDGKISEVPSDIIHLPGLLHLTLPAKTSLPNGIAHVTSLRTIGYFDLSCNSVENLWRLGELTNLRDLQLTYSEIHSDNLKDNMKYLGSILGKLRNLISITLSPPGSSCPDTLYIDRDTKTRINVDGWSSVSSPPALLQRFELLPCVCIFSNLPNWIGQLGNLCILKIGIREVTSNNIDVLGVLPELTVLSLYVHTKPAERIVFDNAGFSILKYFKFICSVACMKFEMGAMPSLRKLKLGFDVHRADQHDIIPVGIEHLSGLEEISAKIRVACSAHDHCKRFAESALSNAFMMHPGRPSVNIRCVDWTFDGKDDDNVRTQEEEHRTLQKQHHTAKEGSNEKSPVKQRDPREGAHKSVDGRETLRRIRVKVSSTVDDGFSWVKYGQKDILGTMYPRSYFRCIHRQTKGCLATKQVQPTDDDHQILDVIYYGEHTCDQSARSDDRQLKSSRPAASSNLQEPQQPGLEQSRPAAKRRRKTVRWKTQVRVSSVQDVGPLDDGYSWRKYGLKDILGAKYPRSYFRCTHRNTQGCVATKQIQRRDGDPLLFDVVYHGDHTCSERASLNEQVTWSRSSASSTEQSSTITYTAAAGSVEDDEEGVTSATNFLSMDDMLDLGGGDVIDMDFPSFDFDAIDALLLG >KN539930.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539930.1:464:649:1 gene:KN539930.1_FG007 transcript:KN539930.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVFGDAAKAGRAVRESLSPKQKGDWKDVTLMSFSFAVYVYISQKIVCTYCAWISMINH >KN539134.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539134.1:25097:28328:-1 gene:KN539134.1_FG008 transcript:KN539134.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASAFLEAVMGKLFMVLDKEYNKHKALEQEISSLQQEFRMVAAAMDDQLLSMGRSDARTAVARLHAEEMLDLEHDIEDCVDRFMHLLTCKHNSLSGRTSLVCRVKHEVKKVQSRSSFSEEIQKLRRRLSEAQQRPMEELLSLLDEVEGEPEQVRVISIVGFGGLGKTTLAKAVYDSPRAKDRFSHRAWVTAGGSPETTDWMREILRDVLQQVRPDNAMDVDAQHLEASLREYLKDKRYLIVIDDIDVDQLRIIESIFPDNGTGSRIIVTTDNQQVANTCSHGNGYVYQMKTLGKEDSKKLAFSGLRSVEPGQGPASLLAKCDGLPLALVSVSDYLKSSSEPTGELCAELCLNLGSDLKEDGHYSFAQLRKVLLDNYDSFSGYTLSCLLYLGIFPNNRPLKKKVVIRRWLAEGYARSDDPRRSEEYTADKNFRKLIDRNIIQPVDTRNNSEVKTCKTHGIMHEFLLNKSLAQRFIGTSLHDHPRVGINTSNARHLSVDAAKQTECVASDEELSRVRSLTIFGDAGDTISCLRKCKLLRVLDLQECNGLNDDHLKHMYELWHLKYLSLGGYINELPRSIQGLHCLETLDLRRTEIKFLPIEAIMLPHLAHLFGKFMLHKDDLKNAKKMSKLQKFFSSNKSNLKTLAGFITEEGKEFLQLIGHMKKLRKVKIWCKHVEGSSNYIADLSKAIQEFTKTPIDMDRVRFLSLDSEECSENFLSSIHLEPCSEDYKYTLKSLKLHGNLLQLPLFVTLLSGLIELCISSATLTQEHLSALTNLNSLLYLKLVADKLENFEIKLGAFLSLRRLCFVVKNAASALPKFEQGAMPNLVSLQLLCQGLVGLSGIEIRHLKHLKEVTIDSRVTAQTRQDWEQAAKNHPNRPRVLLLGEVHSVESEEPGRPMEKRRICVGQTSSEDERDSSLKRMRLSDPSSSRLQVIGHPHPVVVTASEAASQPSMAN >KN539930.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539930.1:25056:28765:1 gene:KN539930.1_FG008 transcript:KN539930.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSCVAPPHRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPVDSETNNLPVSGPDKAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLVRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >KN539134.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539134.1:141427:143508:-1 gene:KN539134.1_FG009 transcript:KN539134.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGEEDSRNLFFSTVFGSRPTCPPELSEASYDIVKKCGGLPLAVVTIASLLASQLEKQEQWDYINKTLGYSLMANPNLEVMKQLLSLCYNSLPQHLKACMLYFSTYQEDTIIWKDDLVNQWIAEGFICAIEGHDKEEISRAYFDELVDKKIIQPVHINDNGEVLSCVVHYMVLNLITNTSIEENFIIAIDHSQATTRLADKVRRLSIHFSNVEDATPPTNMRLSQVRTLAFSGVLKCMSFITGFRLLRVLILHIWGDEDSISVNLNKISELVRLRYLKVTSNVTLELPTQMQGLQYLETMKIDGKIGAVPSDIIYLPGLLHLSLPAKTNLPNGIFHLISLRTLGYFDLGCNSIENMQSLGELTNLQDLQLTYSTAHSDDLKNYMQCLGSILEKLKSLKSITLSRADPSDTTLHIESAIRMRISVDGWSNLSSPPALLQRIELLPCVCIFSSIPNWIGQLGNLCILKIGIREITSTDVDVLGRLPALAVLSLYVHRKPMERIIFDNVGFSILKYFKFRCIVAWMKFEAGAMPNLQKLKLGFDVRRADQHGTIPVGIKHLSGLKEISAKIRVACTVDDLCKGFAESELTNAIRMHPGRPRVNIRCIDWTFDGKDDNNVGTREEESTTFEKQHHIVKVDSTVKFAVPEKDPGREADKSIDRRNGFLTLKIPYPLINRTFLVYYDEYCFYYEYFC >KN539134.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539134.1:48304:57011:-1 gene:KN539134.1_FG010 transcript:KN539134.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFNLPGRLEELLCHHGSMLPKGADEEIPLIKQDLEEIISILHGHSEPKLEDHGMVVRCWMKEVRELSYDIEDSIDQYEHAARSQNRPNIHHRKFNRWRGNKIPCIPQKLKQRLWMANKIREFSLRAQEALQRHAMYNNLGGVASTASTTRGDVCSATPLHPTQTQFREHVDNVRSVSIEADGMEAALNDLNKLKNLLAGIPTASLVQFREHADKVRGIHTDIEAILNKLENIPPGITTTTTTRGDVSSTSSRQPTRFMESTCHVGIDAAMDQLENLIDVCGEEKLKVVSIVGVGGVGKTTLANKLYHKLRWQFECWAFVRSSQKTDMRRLLINILSQVRPHQSPDNWKVHSLISSIRTHLQDKRYLIIIDDLWATSTWDIIKCALPDGNNSCRILTTTEIEDLALQSCSYDLKFIFKMKAFGEDDSRKLFFSSIFGSHSKCPPEVSETLYDIVRKCGGLPLAIVIVASLVASHLEKQEQWDYINKSLGYSLMANPTLEGMKQLLNLCYNNLPQHLKACMLYLSMYQEDHIIWKDDLVSQWIAEGFICAIEGHDEEEISRAYFDELLGRKIIQPVHIDDNGEVLSCVIHHVVLNFVTYKSIEENFTIVIDHSQTTIRFADKIRRLSVHFGNVEDSTPPTNMRLSQVRTVAFFGVLKYMPFILEFRLIKVLVLHFLGDEDSTGIFDLTKISELVRLRYLKVTSNVTVKLPTQMQGLPYLETLKIDGTISEVPTDIYLPGLLHLTLPAKTSLPNGIVHMTSLRTIGYFDLSCNSAENLWSLGELTNLRDLQLTCSEIHSDNLKNNMKYLGSILGKLHNLKSMTLSPVGSSYADTLHIDRATSTGISVIGCSSVSSPPALLQRFDLLPCVCIFSNLPNWIGQLGNLCILKIGIRKITSDSIDVLGVLPELTVLSLYVHTKPEERIIFKKAGFSILKYFKFRCSLVWMKFEAGALPNLRKLKLGFDVHREDRYDTVPFGIEHLSRLEEISAKIRIDCTADNLSRRFAESSFANAIRMHTGCPSINVRKTQPTERTKVRMSSMVDDGFSWRKYGQKQIQGDMHPRSYYRCAYSRDNHCPAKKQVQPTKDDPTVVDVVYYGEHTCGYTAHSRPTGSSNLQEEPQQPGLEQIPATSPYYDWIDLRFIIPPSNKKVEKTKRKRVQVRVSSVEDVSQEYDGYSWRKYGQKDILGAKYPRGYYRCSHRNTQGCKATKQVHRTDGDPLLFDVVYLGVHTCSDHIASLNEQVLLSDLSSASPQQSQINLQLSELDHSSATVTCTASSSVVVDDQDHQVVTSAAASFLSVDDMLDLDEDGEVWTTTTDEATAWDDGESIGEVSDMDFL >KN539930.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539930.1:30014:33713:-1 gene:KN539930.1_FG009 transcript:KN539930.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRPRPHRVAVLLLLLVLASSPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPFSLDAASLAVATDGSLTASLSHPSRLRPLLLRLSALPPHALRLQIDEDYSSNTPPHRRFQVPDVLLPDVEARTLHLPQPKTSAAGVSTFALSSDVDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQESKPEGETWEEQFRSHTDTRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIGHGDGPSSGFFWLNAAEMQIDVLAPGWDGASSTENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEVMQRKIADKGRKMVTIVDPHIKRDSSFHLHEEATAKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAVHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAIWTGDNSADWDHLKSSIPMVLTLGLTGMTFSVGALTGADIGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFREASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKSVSVYLPGEELWYDLRNGSPYKGATSYFPLVSVIMMHPMSFFECLLKVIALNSSRAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSMNIAPKNLGNKKFSTECVIERIIILGLSSGSKKAIVEPGNHEVDIELGPISLRSGSSSVAPTVRKPNVRVVDDWTIRIA >KN541429.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541429.1:67:1449:-1 gene:KN541429.1_FG001 transcript:KN541429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKSTPHVVKGAPAQAAMAAAGDEEEQRELPPGGEPHPEVVQALPNHGHHGEDIEAGRNHQSNLPPQINGSSASSIEKKEGKRLMHHYLKLALLFVTISTVPLINILFLRGDALKLPLGLKFAAFFAFTAFVTAISLMFHTLKLMTIKPEDIISAINSQFKVSIVLLAISISSLILSFISITCSLLPKAYYFLPISLLPSIIVGVFHFIYNGKFDVRVRD >KN541429.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541429.1:19486:19815:-1 gene:KN541429.1_FG002 transcript:KN541429.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRQRAEHEQRGEAAVIAIDDDDGVQDAARLILLDAGRALMLCGALASVGSISHDHHGAVVGLLLWLLGVSLSLLALVTAAPAGRFPRRVARFAAAVLAYFLPPPWW >KN541429.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541429.1:13065:15780:-1 gene:KN541429.1_FG003 transcript:KN541429.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKVPKIEVSKRVKGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILKETVKVRAEHEDDMPLIQAISIVLDRNPHLKLDGLQYEVLQWCVCRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSSISDLGIGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIVAAEDCRRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >KN541429.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541429.1:3444:7628:-1 gene:KN541429.1_FG004 transcript:KN541429.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPRSFVLNKYTYTMTETAKDAADLEKAIGVMVDAAAQAEAMAGDQKEALVPADLLVQGGDAKKPNQHARKKWLRLWLIVVWVMILTNHFFIEGGHNVDAESNGLYFALVMFIGLLCAICLMFRALK >KN541429.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541429.1:26482:26799:-1 gene:KN541429.1_FG005 transcript:KN541429.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYYLDEQLREVEIVPEPGDRRPRQLFLDAGRALMLCGALAVVSTTAGNGNPAAHAFIGFLLWILGVSILALLPVARRFPPALLVGFAFSSSLFNYFFAPMELA >AMDW01021799.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021799.1:26:247:1 gene:AMDW01021799.1_FG001 transcript:AMDW01021799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADSEPDDVIAMLNGQILDGRPLRVKFADKDQRGSS >KN539783.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539783.1:36636:43096:1 gene:KN539783.1_FG001 transcript:KN539783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQCSSHSILCEWVQHMDLPGPRWKKGKDGKDFSALAAANPMSVIVAELKASFISSKPVAVLSGPGGGAILGVEPEQAVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESQDKKQMSDKQLWDHFRSMSESFPEMYKAYSHLRLKNWVVRSGLQYGADFVSYRHHPALVHSEFAVVVVPEGIAFGDRCGRLEVWSDLLCALRASGSVAKTLLVLTISISSSCELSSPDCLEQLVVHERTITRWIPQQCREQRSEASRDEANREELISKKESVEFNLWGVILGFSVLSSLLVYKLKFRQ >KN539783.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539783.1:11584:15140:-1 gene:KN539783.1_FG002 transcript:KN539783.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTESSGSLGGSSNAASDKAVDGSLDKRSQEKAPKKSHKAEREKLKRDQLNDLFVELSMLDCAIPILPFYDPKKNGSYTVQFAKISPYPERQNSGKATVLGDAARVLRDLVSQVGSEKNELQEENIMLRAQILELHNEICARMGNNHLNQSNLVMSQPVANNGSNSATQPVPHHIWGNGPNLAMVHPTNTLSPLHNQHHQSAGASQVYASRPQELQLFPGTSVSTERERSQAGSGSTPATSSGLTDSLPGQLRLSLPQSSQEESSSGSKKGRKKG >KN539783.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539783.1:46964:56249:1 gene:KN539783.1_FG003 transcript:KN539783.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDDGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDVFLWIGSCNMDYGVVKINLVASQKRKEEAAAMGPVSTEQTLDTAFGRHAGVGYAAATRSLEGRDELPRRPTEPEPKDEAPRRVRGFGSDDDRGGRRALAEWLCRWWRIGKKSPQYARKNGCQICQLISEWRLVNEVMAGSVGGMIWIKICLDAAGGLLCMTASTGKPAAKKSLKMQGKRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >KN539783.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539783.1:65321:66619:-1 gene:KN539783.1_FG004 transcript:KN539783.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDQRRKFLLESASSSSEEVVSRSRNLAVESSAVAVTAAGVRKGEEVEEEELLNRRSKKNKVAGDSGNSGTQVWDSEMQDADQNGEANTSELIGAIGRELAITCLLHTPRSYYGMIACLNRSFCSLMRSGQLYRLRREASIVEHMIYCSCNVLEWDGFDPCRQRWFNIPSMPPIECFTLADKESLAVGTNILVFGKKVEAHVVLRYSLLSNSWTTGDMMNSPRCLFGSASFGEKAIVAGGIGDNGTLSSAELYDSEAKTWTTLPSMNRARKMCSGFFMDGKFYVIGGKADNHNEILNCGEEFDLEKGTWRLIPDMASGLNGGSGAPPLVAVVNNELYAADYAEKEVRRYDKVNNAWITLGSLPEKYTSVNGWGLAFRGCGDKLIVIGGMSAPGGGVIEICSWIPNNGQPDWKIIGSRRSGSFVYNCAVMGC >KN539783.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539783.1:8157:10955:1 gene:KN539783.1_FG005 transcript:KN539783.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWSANGGMYMMEIDRVLRAGGYWVLSGPPINWRTNHKAWERTEVDLAAEQQLIEECEAFSTYPRTYDLIHGNGVFTLYKDKRCKMEDILLEMDRILRPEGTVILRDDIEVLLKVQRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTADDKSSEPEPEKKKMKMKKKAGSSSQEKSSEEEEESTASSSEEKGTEE >KN539783.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539783.1:59584:60893:1 gene:KN539783.1_FG006 transcript:KN539783.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTKDSQKEKKREHLKNHRVYQISCALCYGPQVKHQGKVLQAHFICLFRALICSPLILSLVKKAFLSSNFSMNQKIKAMKILPPVQNQLDNLKIALIIEQG >KN539783.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539783.1:482:2212:1 gene:KN539783.1_FG007 transcript:KN539783.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding EVSLQGDKNMQTDEEALAWGREACSSEFTSFKLSQVLDATNNFSEDNKLGKGGFGPVYKGQLSDGSEIAVKRLVASHSGQDERRRVTLNWNNRLAIIEGIAHGLLYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLARIFSSNDTEENTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKRNSGFHQCGDFFTLLGYMMRCINIALLCVQENAADRPTMSDVVVMLSSENMTLPKPNHPAYFHIRVTKEEASTALESPSLNDVTMSILCGR >KN539783.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539783.1:26252:28693:1 gene:KN539783.1_FG008 transcript:KN539783.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHAFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGVIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDSTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGLSIDDDSGEIITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSSEQNLRWNYAPELLGEPSLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCRGSFG >KN539783.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539783.1:31592:31833:1 gene:KN539783.1_FG009 transcript:KN539783.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPVGCNLAGLSHPTFSREPVPLFISRRLSNKANLEYAVEAAADFLN >AMDW01056061.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056061.1:792:1064:-1 gene:AMDW01056061.1_FG001 transcript:AMDW01056061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEQIVEWKKLQEVLRNERENIYKIPDKPKDVLKQYYAKGYAEYEVAVDDGDVDEDEEVPARVAHPGRRRFRNGIVMRKNQSGGGSIRKIN >KN545842.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545842.1:11:280:1 gene:KN545842.1_FG001 transcript:KN545842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KLADFLGQPFSSTEEEAGLVTDIVRLCSFDNLKSLEVNKMGEASFAFPNASYFRKGKAGDWKIHMTPEMVECFDTIVKEKMHGSGLVFA >AMDW01046539.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046539.1:1:117:1 gene:AMDW01046539.1_FG001 transcript:AMDW01046539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYGDDVEAAGELGHALEAIKWGTDYFIKAHTKPDELWAE >KN544203.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544203.1:504:3456:-1 gene:KN544203.1_FG001 transcript:KN544203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTEEEKAAMRAKDLNEMFPVADAEAAPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGRTVPSNLRIVQAQMCRKKHNKLEFLVPWWDLQLGISVNQFLSIFASKNSDFRNRAFAFLFVDGASEELTSMQAVEAHAFPHHFSDLTKKLGLAPAAIVSSRGSDSSVLKSLDANRPLRPNYPLIAAKKFTGEKDENFNVPMSNHGPNSTKENNNPDADGYISNPYLSIATARDSLRQREEAKKKQAELTELENEATEMKQKNEEERVAIQDMEALLIKRRRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRRKYQQKDELEKQIKPFTDQARKRYRVDDDGLLEERHSLDERHSERVKYFPGIRLRSRSPLKQELRVFLEEDQRASDAYISLEEEEIAGETSTMGNFRNSPFKVINFPRRSMEDNTVDTERGRASVREKLEHLAIKERQRGRRRERTMSSRGSRATSTPVRSRDGNGKGKAAMVQYEHQMQSRIDDESCRNEITECSDEIVEVCGESATEQQDRCRNSFEIKERGEEKKIWFPRSDSSRGFRSLPSSPSKILGMRRGVECMSRKPKVVGDDNGRYGYEDSVSTSSSKFLTRCKQAIKKAVNK >KN540209.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540209.1:1787:5935:1 gene:KN540209.1_FG001 transcript:KN540209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSEDPFVGEPPCWLDDLLADSGKSHTLPPLRRACSDSDAILDVLTSFQSPIYPIDEGDPQPVGEAGESFNAAAEGGGSGAGIEGSCVYGPNSPRQKTRLTSSESSMVNAVLENVPSNPLQYLMIDATSGVNCNVGAANGTGDTGDAVCHADQEKSLKRKKVARRESHGVRLGGAKRQWEDGEGGAVLQEVKQRNGDESLTPIPEVVSEAGWWAVTGRSMGWRRSGQRSRVRKLQYIADLERTVDSLQLRNALSMENKQLRRQITSLQQAKLIKDGQTQMLKKETERLKQLSVRHRRSRSVTSCFEANSFGGGDPSAINWQMLDMSKLSLNGGAVVPPRGGYGM >AMDW01037075.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037075.1:148:672:-1 gene:AMDW01037075.1_FG001 transcript:AMDW01037075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVEDIFEEFGDEEEREFSYVLDILIVSGIHGTAEDQLYKVCQSLDCPAGYDVFEKLEKKYMKVAEWSRSDRKLIFDMVNTILSEILAPCLDVHPWVKSARKMAPVWGSEGLLEKILQMLVQRREELGLSKTKPEKKALDRKWPDLSDCIDRVGRDVENMIKDDLLEEMLLDLFS >KN540209.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540209.1:43838:45280:-1 gene:KN540209.1_FG002 transcript:KN540209.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPRSGACSSYEEMDVGAWRLAVAQDGAEHLVHGGVAVLLRLVLRAVHPVAPADHLEALYDAVRDNPRRVILMERVDRVDARCHDGIRDAIERGVVRSRDGGGEEAVLGDAIVVLSCESLNPSSTAPATSSKKAKTEYSMEKLDEDGDDHHGKEAVAAAASPSCFDLNMSMDDDDDEAAEERCTGEEEEAGHHHHQLLLKAVDRVLFFRSNGE >KN540209.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540209.1:27289:35002:1 gene:KN540209.1_FG003 transcript:KN540209.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRLFPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEIYAVFFIGCLLDVQISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLCLVLSKIEIHLFFCNNFFFDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVVTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKYEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGQPLLGAKVVAAMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >KN540209.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540209.1:18876:21945:1 gene:KN540209.1_FG004 transcript:KN540209.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIRKNLPLVREEVSREEAQKRIEVLNEPYKLEILEGIKEEPITIYHIGEEWWDLCAGPHVESTGKINRRAVELESVAGAYWRGDESNQMLQRIYGTAWETEDQLKAYIHFKEEAKHRDHRRLGLDLDLFSIQEDAGGGLVFWHPKGAIIRHVLEDSWKQIHLQRGYDLLYTPHVAKAELWKVSGHIDFYKENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGGDDIWEKATVALKDALEDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPIQARILPVTDNELQYCNEVASELKSKGIRAEVCHGERLPKLIRNAETKKVPLMAVVGPKEVQARTLTIRSRHSGEIGTMPVDEFFSRIQLAIANKSSSL >KN540209.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540209.1:57281:60378:-1 gene:KN540209.1_FG005 transcript:KN540209.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding DDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYDEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >KN541512.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541512.1:197:478:1 gene:KN541512.1_FG001 transcript:KN541512.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMRMGKCRAASWRSDPGPTPRTGKLGKFRN >KN541512.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541512.1:3131:3358:-1 gene:KN541512.1_FG002 transcript:KN541512.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWSKEENKLFEQAIAYYGEGAPDLWHKVSRAMGGTKTADEVRRHFEILVDDIKLIEARRVPFPKYNTQGAWN >AMDW01032576.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032576.1:202:387:1 gene:AMDW01032576.1_FG001 transcript:AMDW01032576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAGMAKSGDVVGEIGVLCYRPQLFTARTRSLCQLLRIDRAAFLRIIQSNIADGTIVMNNLIQ >KN542413.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542413.1:6482:7466:1 gene:KN542413.1_FG001 transcript:KN542413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLFSNTLSNILCRGWWWHYHRVLELESQKRQLENQNWQLEQQNSRLSSEKRDLEGRELEHQNTKLSSELVKQHENTKKAGQLFMNAADTYQQVAEKQIRTKEEELANTRKAGLLLINAADTYQEVARKQIKAMVEDLEDARMAVLVVMNAADTYQLEAEKKIKDKMEELRVLGVQKAEMDARAASLESGLKTALAKIQELEADCDKVMIENNKLWLEVERLMMELRVMAHKKEAAANAFGAEKAETMKELENHEMNVEEIPTSMDLMKDENDKIQLEILTAGRNIACLN >AMDW01040394.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040394.1:2:1216:1 gene:AMDW01040394.1_FG001 transcript:AMDW01040394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AFANQKNHEHHEQNKVKPASSSGKVKFTEFKASDIDAAVSKPAMRLHETTDYSVYKSKFGAIMIPKEGASPSMEEFTRALEIFRSIQHKNLANLIGACSLRRALVYELLPDTLEDRLTDIKLKKSFTFRGKKSFTWGDRVTTAASICSALDYLHRNKHKPIIHGDLKPRNVYFTADNICKLRNFGISTLLHPTKHVPSAIEEVIQGMPGEKVIQGIFRTFMDIDDCKIQIQTDVSALGIILLQLVTGHSDAKGLRDFVAQKLGDDSEFQRKSILQKREKS >KN542413.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542413.1:12084:14477:1 gene:KN542413.1_FG002 transcript:KN542413.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLRLYANANMTPRHGCLVAFNSNSEYSYGYSQIFTDDRVRTLSHQVSTLGDKVWELEHKNTRLLGEKGKLEKQLEETKAAVQAISSEKEEVERRLKGENDKLRLEVLTAEEKYSQSAAEVEKLQKELVALAEVKEVAAKAFDDEKAKMMMESVDLKSRLEEIQGNMDVIKSENDKLRSEALVAEQKLNICEAEIERLKMELGALTEANEAAAKAFDTQNEEITKELEDLKTKLEEIKTNKDLAESENGKLRSELLSAEEKYSQSEAEVKYLKQVMGAVVEAKEAAAKAFAAEKEDIMKESDNLKRKVKEIQDSKLLVESENDELRSEILSMKQKHGQFEVEVTTLKKELGALEEAKEITAKAFEVEKTEILKELEDLKRKVVEIQTNKDLVEVENDKLRLDVLSAQQKQSILEVEANNLKMELGALVEAKEVATKAFDAEKAKITKELEDVKRKMEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSELEKNSAQKALDVEKVEACKLKSKFEELENYKAEKDEEAGKLKAALEERKSEIDVLIKDNELLRLAVAEAQEKNKGSILSCLSPCGSK >KN539364.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539364.1:39192:41120:1 gene:KN539364.1_FG001 transcript:KN539364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLSGRSVTAMFIVNLIDKALPYLDEDRNSDELSQPLMMNKLCEDWLMIQPMFDALTANQSGETRSLGDLDVWLWNLRDAIEELEDAIDEHEYYKPREKAKEQEVCELGSYFSKMKQVVTNFLTHSDSTLERLRKAIEDLEIVTSADAARLMGRTTYRQQLDTHVLPQDRETGFTPVVPNKMFGRDKEMEMIVQWLINPLDGNAETQVSSTNPMHVPAMSLVGPCGMGKTALAHLVCTDASVRNHFDVIAWVCISTSFDAERVIDEIVEQITCSPYKCAHVEETHYILRDKLMSTKSLLVLDNVWEDRDISQWERLLSVFSASKTGSKILLTTRLNSVATLARRITGCEERVMSLFVIEQNEILLLFNHFALGSLEVGAPNYAELQPIGAQIAKDLSCSPLGTKVAALHLRDNLTAEYWRKFLQYVDNFRRTTTRDMAVLKVSYYSLLPELQVCFRYCSIFGKNHPFRKEQLVQTWISSGLISAQSRGENKENLGELYLARLTAKSFFDRFGREDDEHAYYVMNDMMYDLAAFVSRGECARLVFAADFKRVNSSVRHINIAGINNFSVGDVEELLRLKKLRTIIVEDCGHVQEEVVSAMAEVVQNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISML >KN539364.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539364.1:86699:89337:1 gene:KN539364.1_FG002 transcript:KN539364.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLDKELV >KN539364.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539364.1:20978:22879:1 gene:KN539364.1_FG003 transcript:KN539364.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLAGRSVSAMFIVNLINKASAYLKKDRKSDKLQPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHDYYKLREKAKEQEVSELGSCFSKMKQVVTSFLTHSGSSLEKLRKAIKDLGRSTARLLEQTKYRPQLDTHVLRQDHEIGFSPVMLNKMFGRQEEMDMIVQWLIKPLDGNAETQVSSTNPMHVPVISIVGPGGMGKTILAHHVCTDARVRNHFDTIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEEMEQILRDKLMSTKALLVLDNVWEDQDISQWQKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEEHLMSLFVIEQNEILLLFYHFAFGGLEVGAPHYAELQSIGARIAKDLSWSPLGTKVAALHLRDNLTPKYWCKFLQDIDNFRATTTRDIAVLKISYYSLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGENEKNLGELYLARLTAKSFFDQFGGEGDEPAYYVMNDMMYDLAKCVSRGECARLVVPADFRHVNSSVRHINIAGINNFSVGDVKKLLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISMLQN >KN539364.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539364.1:48114:50069:-1 gene:KN539364.1_FG004 transcript:KN539364.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLVFAGKSLATPAISFFVNKAFSYLNEYRKAEGLEAVKNRLEENIPKIQSVIDVADPDYIKDKSEALDAWLWQLRDAVEEAEDAIDELEVERDQNKVSHQAGSSSFTRMKHKFVQSVKHVRVLGTTSNSPLKRLKKALEGLDEAAKGVKNFLTLVQIHQNTRSNLNNPEQDDISFRAKGKDLNADRVFGRENEKEHIVGWLTNTSSEDNQDAKNNNHVPIMSIVGHGGIGKTTLAQLISHDSRIKKHFDTVIWVAVSMSFDAKTLFDKIIQSVTLSKPSVDTFEALQQHLKKEIETIKYLLILDDVWEDKDISKWENLFSSLRTGVCGRKILLTTRMQSVADLASAVMRCEREFFPLCGLEEDENLRLFNHHAFINPDPQEFEDFQQVGEEIAKKLRGCPLVTKVVAGHLRAHMKVQFWNTFLHEHLDNFDGSMEDVVKVLKLSYYHLVPGLQVCFRYCSIFPKNHEFKKEELVKMWIAAGLISQTTGEAERAQDAAEEYLDLLNRKSFFELKLRNFRFGRNECHEYFVMHDLMHDLATWVSSGECARIADVASSKKLKPTVRHLSVAGIDGFPVDAIKSLSQFKNLRTIIIEDCHDIQDDTSREVEKVIESLKALRVVQYHMFSRSRFPGKEANLKHLRYVSVSMN >KN539364.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539364.1:66091:66958:1 gene:KN539364.1_FG005 transcript:KN539364.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFVSMKAKLQSFGGLRLLLVGCLAALLLLFAVRTLSFTTSSATATAAEAEAGCGKLPAAVAQAMVHYATANVTPQQTAAEIGVSLRVEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARARTPGAGATEVFVHDVDRHVEDTFSKAFLCDGYLVEQVGRIRRFVIPSHRDKDGTPFCP >KN539364.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539364.1:14090:20009:-1 gene:KN539364.1_FG006 transcript:KN539364.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLVFAGKSVASPTISFFANKAFNYLQNQYRKAQGIEDMKIRLQRNIPKIQSIIDIIDTDYIKESSEDLDTWLWQLRDAVEEAEDVIDELEYYELKEKEKDQKVSHQGSSFTKMKRKCLQSIKHISVFGKTSDCPLKRLKNAMGGLDEAVKGVDNFLALVDQIKRTTLDNSQRVDEMNRDAVEEAEDAIDELEYYELKEKEKDQKVSHQGSSFTKMKSKCFQSVKHISVFSKTFDCPLKRLKDACGRCTRTTLDNSRVDEVNIYREQGRTLTVDRVFGRENEKEHLVGWLTNTSNRDKEVVMSNNHVPIMSIIGHGGIGKTILAQLIPQQSRIKKHFQTVIWVSASTNFCAATLISKIIQSVTLSKPNVETYDALQEHLAGTLQTIKYLLILYDVWEDKEISVWENCLDPKEFEDIREAGEKITKKLRGCPLVTKVIAGHLREHMTVQFWDRFLHEHLGYFDGSMENIMKVLKLSYFHLPPGAQVCFRYCSIFPQNHEFKKGELVQMWIASGLIPQTTGEAKRAQDVGEEYLIQLTRKSFVDLKLRNFHFGRNEGHEYYVMHDLIYDLATWVSSSESSQLRPLKVSASSNICAPLSLKIPMTSRTMLYDNIVRGTRFQSNRFSAIFGYFKTK >KN539364.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539364.1:90387:93992:-1 gene:KN539364.1_FG007 transcript:KN539364.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEKMMVSALTGVMSPVLGKLAGLMEQEYSKLRGVRKKMEQLRKELITINLAVEQYASMDDDPDKLRRAWVKEIRELAYDIEDCIDLFVHRSNHEFSAGGGVRRLLLDSISKLRGLHHRHKFAAQIQQLKNTAGEIHERNLKYKADGCSSAATPPHTEIDPRLPALYEEAERLVGIERQMEEIITRFLVLESTPTNKCSIISIVGQGGLGKTTLARQVYLRIRGEYKCSAFLSVSQRPNMNSLLRDMLSKFQRSSRDQDSDQQIGASSDQQLIDHLRAYLEDKRLKDPQDKGYLVVIDDIWSTTAWKTIQCALPTTIHASRIIITTRINNIAESCCTPCKGFVYKMEPLSRQNSEILFVKRIFGANSTCPSQLKEIMNEILDKCDGLPLAIVTLASMLANNRRKEEWERVLKSIGSTHEKYGEWDTIHKILSLSYNDLPLYLRPCLLYLTTFPEDYEIDKSRLIWSWICEGFITTKQQYSLDEVGESYFNDLINRSLIIPIKIESDGEARGCRVHDIVLDFILSRSIEENFVTVLDDQENSSQLGKIRRLLFQNNDEPAGAISLGTMELSHLRSLNSFGVSRLMPPLQDLQVLRVLDLEDYPEENGQGLYNYLENIGRLLHLRYLALSWIEKLPVQIGKLEFLQTLDLLGTNIEELPETLIQLKRLIRLVGNGLRLPGGFGQMEALQELWDVDVGICSINFVEDLQNLKQLRALGVHFYWLQSGYSKIGLRALASSLCNMGEHKLRYLQISNDTKHGDIDCLVDSWCGPLRSLECFVLGGSYDWFPRLPKWSNPSFSELTNLQCNVELMEKEDLDMLGELPALLVLELIVEKTPKDGLRVGQNGFSCLTCLVFYDIYGPGLMFEEYAMPKLEKLYLGLSAYSAYNAYGGFGLGIRHLSSLNLYSISVGIERKCKYVPETKAAAMSIINNEFKSLPHPPKVEFTIIHAYGDLVEESELETDFSILSKIIKARLSSPLQDYKGYSGSPSTSNEANSMN >KN539364.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539364.1:53974:55878:1 gene:KN539364.1_FG008 transcript:KN539364.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFAGQSATDEVIANLIDKASSYLGSNPDRLQESMRMLRLKLPMMRDTLILAQSRESYSGLGVLLDESLWRLRDAVEELEDAVDEHAYHEKKTKEREVSDGDLGSSFSKMKQRVIKSLANGRTLERRLRKAIEALDMVIVDIAKRLASDVRLTHEQLDEHRPQRYRMTAGFPFCEERVLGREKETDVIVRWLIDPLDDDDAETQIFCQLALADLKVGSEGYAALQSIGAKIVKKLGGTPVAIKIAACQLRLRPTVEHWRRFLHGMDNFGAAVPNIMDVLKISYYRLSAELQSCFRYCSLFPKNHPFRKEELVRTWIWSGLIFPQFGKKDGELYLAQLTANLFLDRFGGENEEPAYYVMNDTMHDFATYISQGECKRLTEAADSRNVKSSVRHISIAGINNFAVVDVKQLLRLTKLRTVIIEDCGNVEEDVVYAMAEVVKNSKSLRLLECSLFKMCHLPDRLSSLMHLRHVKISML >KN539364.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539364.1:26577:26978:1 gene:KN539364.1_FG009 transcript:KN539364.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGRHRRQFSQTVEPTHRVHSPLPLCQHPSGALARRWGGSWLSELGFGGSGAMLGSGIKAGWRRSGRSGGGLRGARQDRRRPGSGGAAGLLTPQWPHRTNGWDGGGVGLSVHVTSGGSTHGGRARGRMPRG >KN539364.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539364.1:6385:12318:1 gene:KN539364.1_FG010 transcript:KN539364.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAWTIVPGIIVSLIVLVVTKLWDPIAQVYGYPFDAERRVQKLVDEFSKLQDQLGELGILDPKPSSAVLSGWLQRAAGCKDKVEEIKRRHESVKSVGVQGLLPRINVVRHLCAIGRDADLELEKVKDLIAKGEGHLKEAGAAPHPIPIPIPLLPPPAAEFDNGQLAQSILDTAAAGTWGVGIQAMKPHLTSVLDFVREDGGGAPGVLGVWGMGGAGKTTLLKLARDPRVQTLDHIVLAEAGKCCDIAKLQDSIAQGTSLVLPPSLSVTNRATVLCNHLRNKKFLLLLDDLWNYIDLEAVGIPLPLGRGNQRKVVLTSRSEAVCVSMARQGVTIRMGCLDQQDAFKLFEDKVGSATINADTRIPELARQVAEMCGGLPLVLCVIGRSMCTKKNYKLWVDAVNRLEKSKVHNNLVGDDDIFNILRYSFDGLHDDEARGCFLACTLFPPFYIEKKRLIRWCMGLGFLDPANGFEGGESVIDSLQGASLLESAGSYSVDMHDIIRDMALWIVRGPGGEKWSVLNRAWVQDATIRKMNNGYWTREEWPPKDTWPELEMLAMESNHSYLAPWKVSSIGQMTNITFLELVSLDTFPMEICELHKLEYLCIKGGSMSRLPIELGKLSKLKQLHLRQSCSLGEIPTGLISQLVNLQVLDLFCSSIDYPYRPKSAAGGLYNFLGELAEARASEKLKILGICLDATRDNRAFLKQLMQKQVRIRSLCLSFINPISPGHDQPQPATSRYMIAELQPFSNDLGELAISSSDILQELVATSDGKELIQNLEHLCLENLNVLERVIWLNAARNLRRVDIKKCAKLTHATWVLQLGYLEELGIHDCPQFKRLIDHKELAENPPDHVIFPRLTYLDLSDLPELSDICELPCEFKSSLALLVENCDKLMNISFHYPPGHDQKNIRVFCDNEWFNRLECKPNIMKSYLSQNMRFSAI >KN539364.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539364.1:73696:77106:-1 gene:KN539364.1_FG011 transcript:KN539364.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEKIMVSALTGVMNPVLGKLSNLMGKEYAKLKGVRKEVELLRKELMAINVMLEKYMAMEKPDVQVKAWTKEVRELAYDIEDSIDLFTYHVDHQEPGGFGSTTTGIKRILHENITRLKNLHHRHKFAGQIMELTVQVNEVYERQKIYKLQEITCSNLHTEIDPRLPALYVEVKTCGHSGPKQRNRQPVNWYLIVIDDVWCQSDWETIQCVLPRKSLKIISRVIMTTRIHSVAKICCASNEDVVYEMRPLSKIDSRKLLLMRTFDVDERCPDQLEGIMNAILDKCDGLPLAIISIASLLSTKARTKEEWEKVLNSIGSLHEKDTGLEVVDSILSLSYCDLPPPIKTCLLYLSIFPEDYKIDRDGLIWGWIGEGFVVEKQGYTLREVGECYFNELINRSMIQPADVGYDGKAGACRVHDIVLAFIISRSIEDNFVTIMDSQEISNRHDKIRRLSFKNKQAYKEATSKKSVNLSHARSLYLFGSLSWMPTLLDQQILRVLCMGDHFALDLNSSGSALENDRLENIGSLIHLRYLRLSLKEIGMLPVQIEMLAFLQTLDLRGSSIRKLPEAIVHLKGLLNLIGNGLVLPDGFGNMEALQELSGLDGFNSCKYFLEDLKNLRRLRVLGWINPSLTELTNLKFSVGKMEEKHLHTLGGLPPLLALSITVRRTPKNGLRVCQGEFPSLTHLHFNDSDGPGLMILEGAMRKIEKLELEFTVEKALSTYSGFGCGIRNLSSVKHFIIWARFKLAEYVFEPATSIIVDYPGHLMRFTINAEVNLLPNDPMVEINML >KN539364.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539364.1:100353:100583:-1 gene:KN539364.1_FG012 transcript:KN539364.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMKTVLDFAEKAASAAATVAGKVLRITGKAAWVVGTTGIVLGAPLIWAMGREQTQVEYESLLEAQQRTLLGL >KN539364.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539364.1:29724:30384:-1 gene:KN539364.1_FG013 transcript:KN539364.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSHSSRRRRRPSIPLIKCPSCGVKQILELTATTEANQGRIFFICPDHVKDGSGCNFWYWEEAYIKFLKRSGFIDEAARAELLNETKMKDGDELKKSIVQEIEKEPDVGHLKQLEDMIFILTKMIGLLKLILAGGKTIQKKCDVSNEVQCAMCCE >KN540745.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540745.1:32976:35369:-1 gene:KN540745.1_FG001 transcript:KN540745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDEASDGSSERKKKKKASSAAGKASCCRHRHAAGAHNLTEKRRRFKITERFRTLQRLVPGCDNKGCHNKHACKSESEERKIKSAKSCFKPGIYFVVKIEVTSIGRI >AMDW01141976.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141976.1:2:1403:1 gene:AMDW01141976.1_FG001 transcript:AMDW01141976.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPNFALASVFSDGAAATGHRVPLLAALLDGAFPGQCIGCLEDWLVCTWLRLSIPLYPTVGADGGCVLVNPFSGEKVSLPSPTATHSCGAIKRSVPVGNGDGEVVCTIHADEYAMALYKAVLSAPPNAGSSSSSELDLGSSCVVAAVSQRKGEYKLAFCTPETPSWCVCEGNCIKSHIDIEFYQGKLYMVDTRNGDLFAFELEAHDRVFPVVSLVERCLIEKLPSAEDGDRQTYNLVQSLGKLLLLVRYFRESWDQFVGVRVFELSFNSNPWKWIEKKSLDGESIFISSSCNKSFAASQYEEIEDDRIYFLDSLCPKFNPKKSDSYSYCSQIYQVLSLEKYTFNDTPFFYVFMMKLY >AMDW01065215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065215.1:108:374:1 gene:AMDW01065215.1_FG001 transcript:AMDW01065215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFDKRQSDSTISCKCTVREDGKLAIHKIILLSMIAECPKNRVWELLAF >AMDW01030299.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030299.1:23:422:-1 gene:AMDW01030299.1_FG001 transcript:AMDW01030299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLSLANTNVSGPVPDAVGGLSSLAHLDLYNNSINGTFPTSVYRCASLQYLDLSQNYLGGELPADIGVGLGENLTTLVLSGNYFNGTIPKSLSRLRKLEWLTLDNNNLTGTIPAELGDLTSLTKLTISTNKLEP >AMDW01039135.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039135.1:326:868:-1 gene:AMDW01039135.1_FG001 transcript:AMDW01039135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >KN544369.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544369.1:31:3027:-1 gene:KN544369.1_FG001 transcript:KN544369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEKEKKKNKQIITEKMEINRLNSTKTNLPAQYLENIKRRTKMAQSGLVSESESLKNTNWQNKETMKKEQSGIKSDGQTKKDMNLQNLENIKRRTKMAQSGLVSESESLKNANLQNKETMKKEQSGTTVTQGADRTKSQVTGNRTEELRRKKIVEGHEAEQDEKRRSQESDKSYIMAKHIEETKSQNTEVVP >AMDW01022801.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022801.1:40:208:1 gene:AMDW01022801.1_FG001 transcript:AMDW01022801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAIS >AMDW01026254.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026254.1:14:241:1 gene:AMDW01026254.1_FG001 transcript:AMDW01026254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKLVVHTANKKKMKKKRDGGGDDNVVTITTHGCGYGVGRYPNHQSEQKHVNLLVDLAKSGEVVTIDEITNKIK >AMDW01030448.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030448.1:1:419:1 gene:AMDW01030448.1_FG001 transcript:AMDW01030448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSIHCEGCKKKVKKVLQRVEVSSFIGEASLETDFFPFSFHFKKAEKNVTKTDRIMCSVDSEGVYRCDVDGRSNKATVTVTGKVSADTLVRKLRRAGKHAEQWPEEQQQQQPNG >KN540301.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540301.1:17978:18756:1 gene:KN540301.1_FG001 transcript:KN540301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGLRRNPVAERREVNGGNRWASAVEVVARGAVVAMGRLVRRRRDGGARRRRREEFEGRGVGRRSIRERSNRVMESLAWDGLGTWAAGVETSGASSLLVNSQLRVFPRMAGSRMEQLIQCTVMHSELIGIEARENHGA >AMDW01058963.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058963.1:38:208:-1 gene:AMDW01058963.1_FG001 transcript:AMDW01058963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTVNASTVFFLLLLLLCVSAMSPPFTPGLASPIYKTGCLSFDSLVISDDAAEE >KN539245.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539245.1:39056:54050:-1 gene:KN539245.1_FG001 transcript:KN539245.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHVARRFGWDCHGVPVERAVDEALRIATRAQVLEMGVASYNDACRGVVTRYVAEWEAVVTRMGRWVDFEDGYKTMDIKFMESVWWVFAQLWDKDLVYKSFKVMPYSTGMKTPLSNFEAGQHRQFVPAETAMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNANFVYAKVKDKSNGSVYIVAETRLDQLPVTVKVTGKKPGPSKGSSGAAKNGLDTESYELLEKIHGSSLVGLRYTPLFDYFSELQDTAFRVVADNCVADDTGTGVVHFAPAFGEDDYRVCLASGIVESARGYQSMLITFNADAISTALISQAISEKLCIPPAEFWVEILPKMTNDNVGAESRVEVLPKMTNDNVGVPNKVVLGCHLPDGQEVPSSPPEGDLEKLTAAPLPSVVSPLSPSAPNTAVALTVSTSHGSVPSSLNEGHGVSSKIAAPKEEHVTPTMDPPSRCEHLPLEVAPSNLVTDKAELLEITKAPAVKNRLAKRVRQKIITATSRRSVPLPSNMKKRRLLIDQMKTYVLMKKLGIITKDEEVDEDAMDRCIAFLKEAYPGHYVQLAVSSVALGNDLEVFIVVPNNNHHGFKSLATGTDLVVAVDDDGFFKDKISEFNGLLVTDKETNKYVINAVKKKGRLVSHGSIIHKYPYCWRSNTPLIYRAVPSWFIRVERIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRTRFWGTPLPLWISQDREEIIVMDSVDKLEKLSGVKISDLHRHHIDGITVPSQRGEEFGALKRVDEVFDCWFESGSMPYAYIHYPFENRELFEKNFPGDFIAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKNNYPSPMEVIDNYGADALRLYVINSPVVRAESLRFNKNGVHGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAVFSPINQAILLRSSNVLDHWIQSATESLVSFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHALVTTCVVMAPFTPFFTEVLYQNLRKVSSKSEKSIHFCNFPSSTGQIDERVEHSVNRMMTIVDLARNIRERHNRPLKTPLRHAHYTTILFTQFLVLWEMVVVHPDNDFLEDITGKLKEYVKEEMNVKMVTACSDPLVYSSLRAEPNFSVLGKRLGKDMGKISNEVKKMTQDQILTFEESGEIFFGSHLLTLEDIKVFREFKRPANVSEKEIDAAGDGDVLVILDLQVDQSLFEAGVAREVVNKIQKLKKTAQLRLTDPVDVYYSSQDSSDSSLEKILQSQDQYIRDALGSPLTSKAQAQPNVEVICEEAYNCVSHYHTFRLLQETVKLVHPFIKIEDFP >KN539245.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539245.1:97063:97848:-1 gene:KN539245.1_FG002 transcript:KN539245.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKAVILDLFSAGSETSATTIQWAMSELMRNPRVMKRAQAELRDNLQGKPKVTEEDLADLNYLKLIIKETLRLHLPAPLLLPRESRESCKIFGYDVPKGTTVLVNAWAIGRDPKYWDDPEEFKPERFEDSKIDFKGLDFEFLPFGSGRRMCPGIMFAQPNIELALATLLYHFDWSLPAGVKPSELDMTEEMGVTVRRKNDLYLHADVRVPLHATTP >KN539245.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539245.1:14015:17754:-1 gene:KN539245.1_FG003 transcript:KN539245.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMNFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQNGQTLHAQYCQQEPEKSSCSICGTSENLWICVICGHIGCGRYKGGHAIEHWKETQHCYSLELETQKMGIWLSTISMVIIQLMIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVSIKVQKLQAKLDKCMEETGFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEEISRICN >KN539245.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539245.1:56137:65098:-1 gene:KN539245.1_FG004 transcript:KN539245.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALGWGGGGERKGSRIDEMRANPALKDDVYTGAAYGDLEKLHRLVEREGRPVTEPDALGYHALQWAALNNRVAAAQYILEYVLSFSVVCFGGMTVLSLLSGQHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSYLYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCVISGQYSSNMTLLFGLFSWLGIFLATAGLVMFYKCSRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAVIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTLQPDEELGMIQMTRSAVSQNGESMSLHANGTDHGCADPQGNSKSHRHSHGSSQCCSHSKRPDKTPLGLGLGLGRNNPSSRYTRSLPSIHSESSAYLPL >KN539245.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539245.1:81852:93211:1 gene:KN539245.1_FG005 transcript:KN539245.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPTEMLVSTSFKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALGHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFEMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMNNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKQEQKAPISGRKHIIIISVDSVNKEDLVRIIKNTIEVTRTEKLSGSTGFVLSTSLTISEIRSLLVSAGMLPTVFDAFICNSGSNIYYPLYSGDTPSSSQATPAIDQNHQAHIEYRWGGEGLRKYLVKWATSVVERRGRIERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNIPANRIHTVRRYPLQDVVALDSSNIVGIEGYSTDDMKSALQQIAVEAAAAMAGDRLLRRARASRKLAGVGSSPAGAKQESTPLLERALEASGDDIDSAIKSLNELCLESAAVGDSDSVLPAALKLSAEGVVSNGHLDVLTENPHATENFQTNHHGSEWVELFVREMMSASDIDDARARASRALEALEKSIMERAGTEAVHNLHKENVMLKEQLAIYLRENAVLKRGVAIQHERQKEFDERTQEVHSLKQLVLQYQEQIKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >KN539245.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539245.1:9817:13648:1 gene:KN539245.1_FG006 transcript:KN539245.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRHELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSGEKTKKKSQILTWTGEENCPEKHTVQRLDLYAFEKPTNDSDGTGRIVLPFISLDIDSEASATVFWRSKWAIKSLSCHKRMMGQ >KN539245.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539245.1:110440:113337:1 gene:KN539245.1_FG007 transcript:KN539245.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQDVTGYLCLFLALLMLLKVEMFGAGSETSANTLQWLMSELILNPRVMSKAQVELSDTLRGKQTVTEDDLAGLKYLKLIIKENLRLHPVVPLLLPRECQKTCKVMMYDVPVGTTVLVNVWSINRDPKYWKDPETFKPERFEDGHIDFKGTDFEFIPFGAGRRMCPGITFAEAIMELALASLLYHFDWKLLGNGISSTKLDMTEELGATVRRKNDLYLVPTIRVPLPADS >KN539245.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539245.1:120781:121005:-1 gene:KN539245.1_FG008 transcript:KN539245.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGRLEEPKKAGTEAHRAMPPSQRAPELRAARPCSRAAAASRACMRRAARTPGRPTHLAVPLFSVIAGHAFV >KN539245.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539245.1:128027:128386:-1 gene:KN539245.1_FG009 transcript:KN539245.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MACRESRCSLQELALGAGLVDDTEARVDGSADVLVPEGGGGGCARAGEQHPHQHGLLGPGLEPAAGDSRSAVLVRDGPTSAITSWSAASDAGVLGANCVEEYILKKIVSVVSFEHRSQG >KN539245.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539245.1:68498:73740:-1 gene:KN539245.1_FG010 transcript:KN539245.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPELATQVVIPVAAAVGIAFAVLQWALVSKAADSDTDKITSSRPVKNLFISWDPHLRFHRATSFLFTEYKYVGLFMSIFAVLIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVSEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALDYKCIQWSMTLVRFAFSQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >KN539245.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539245.1:23914:25231:-1 gene:KN539245.1_FG011 transcript:KN539245.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPAWLEALNTQKFFIACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQVEYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSENLSAPCPNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPHRSPLC >KN539245.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539245.1:2507:5501:1 gene:KN539245.1_FG012 transcript:KN539245.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQQLPCLAPSPLRPAGQRPRKKMRLPEVQQLVRSLAAENDSLREEMRTLQRACAALSKENDKLEFFPNLASGPVMIRLEISSSRNKPMITDDLKGKQQIDQQSATQSIGGGFALPDLNIPVQDVADGSVH >KN539245.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539245.1:94054:94927:-1 gene:KN539245.1_FG013 transcript:KN539245.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEDILDVLLRIQKEGGDDAPLTMGDVKDTILDLFAAGTETSTATLQWAMSEVVRNPRIMQKAQAELRNKLQGKPSVTEDDLVGLTYLKLVIKETLRLHPAAPMLVPRECGESCKVLGYDVPKGTNVLINVWAIGRDPNYWDETETFKPERYENSKYDFRGTDFEYIPFGSGRRICPGPAFAHAILELALAALLYHFDWELPGGVAPGEVDMAEETGVVVRPKNDLYLRPVVRVPPGAASSGNGGT >KN539245.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539245.1:117809:119048:1 gene:KN539245.1_FG014 transcript:KN539245.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGNINAVIYDLFGAGSETSANTLQWVMSELILNPRVMLKLQAELRGILQGKQRVTEDDLVELKYLKLVIKETLRLHPVVPLLLARECQDTCKIMGYDIPVGTTVFVNVWVICRESKYWKDAETFRPERFENVCVDFKGTHFEYIPFGAGRRMCPGVAFAEASMELVLASLLYHFDWKLPNDILPTKLDMTEEMGLSIRRKNDLYLIPTICVPPLAA >KN539245.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539245.1:32740:34529:1 gene:KN539245.1_FG015 transcript:KN539245.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAASPLLLLVLSLIVFAALLAAAAADASAVGRVHGGADVRRGRDLKEDFDCCCCHDVDPSCSWARVLNMRNGVTRLRVGVSWVDSGCSNAFALWHLPSTTQVSKNCYIHLKI >KN541624.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541624.1:16715:20603:-1 gene:KN541624.1_FG001 transcript:KN541624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGIGKSSKAKKGSSTPLQSKEKIAANVETVTAGSNSRQVAPDDNMPLGAAGYASSRDEVFFEACPWLESDCEDEFYSINGDGTPARSFRTNSSNHAIQPEPRKLPTLGAILKAEPLRPPPPPQETPPTPPSPATTMRLADLLRERQESFTCYDGPACAISRTGSSCGAGNGEQWSHCCIPSFVPRTSVSYAKGRRKRRQSGMMISMLLLGLVCTGIDLVSHGMGTGVFLYNMGGGMRRHGSDNAAFYMGIGIGP >KN541624.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541624.1:22919:24448:-1 gene:KN541624.1_FG002 transcript:KN541624.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIFSAIVGDVIGRVISLVVSNFNGDHSTEVKLQRICRMLIKIHSVVEEAKGRQITNHGTLEWLSELIDGAYQGRYLLDTIGCGEPDLDDKNRDEVDPKPFSLSKFNPAKCVRVTAFTVRNILSRHDIGVDEIDRVVESLQSMCGDLKEFMMLLQGCQPIHRPLATNIFIEGQMFGRHVEKEMIINFLMHEDDLPRGKLGVLPILGDIGVGKTTLVQHACDDPRVRSHFTTILLFNFSHTYKMEMCEPKPVLRPKHVIGDVGNSDDPLHELEQSFFNKRFLIVFEDVDIHKKNMLEELLKSLNCGKQGSKIIVTTSNKHVTTIGTVQPIKLKFLPCPEYWFFFKAHAFAGTDVQENPRLVAAGKSIAAKLNGSFFGAKIIGGMLKANPNPKFWCMVLRRNIGGLSLLGDGLGYIADLVENFLPSHLSLKEVFISKKELSSQIELASLQDLCLPSPSSVPHSTELSSAKQTHFERVLLCKAVLPFYSLYYTAHCAVDSENCYSKFTLV >KN539591.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539591.1:55623:62385:-1 gene:KN539591.1_FG001 transcript:KN539591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18e [Source:Projected from Arabidopsis thaliana (AT5G05150) UniProtKB/Swiss-Prot;Acc:Q9FHK8] MGLGFTKFSRDEYVRLKSEGRIMPDGVNAKSFSPMGHVEEASGSDDDGVELLSVSWNQDNSCFIAATTNGFRVFSCKPFHETMRRMFGPNGGIGIAEMLFRTSIFGLAGAESNTEFPPTMLQLWDDYNERRIHKYNFTSEIRAVRLSKDYFVVVLEKTINVYRFKDLRLFYQARTVSNPNGLCCLSHHANASVFACPGTSKGQVLIEHFGLKETRFIAAHDSPLSCMTMALDGTLLATASVRGTLIRIFNTRDGTCVQEVRRGLDRAEIYSIALSPNVQWLAVSSDKGTVHVFSLRVKDAEEDAKKGESATAGAQVNDNCNYGSTVPVTQTKTGSNTSSSLSFMKGILPKYFSSEWSFAQFRLPEITRYIMAFGDQDTVMMIGLDGSFYRYSFDPVNGGEMMLKEYHLFLKASKSL >KN539591.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539591.1:29731:33093:-1 gene:KN539591.1_FG002 transcript:KN539591.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPAAAMVMACVVVVLRLGELPALAHLDLSNNALTGTIPAALCRPGSKLETLYLNSNRLEGAIPDAIGNLTSLRELIVYDNQLAGKIPASIGKMSSLEVLRGGGNKNLQGALPAEIGDCSSLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGRCGCLENIYLYENALSGSIPAQLGGLGKLRNLLLWQNQLVGVIPPELGSCAALAVVDLSLNGLTGHIPPSFGNLSSLQELQLSVNKLSGAVPPELARCSNLTDLELDNNQLTGGIPAELGRLPALRMLYLWANQLTGSIPPELGRIGKIPGLEIALNLSCNGFSGAIPAEFAGLVRLAIAVGVAEGLTYLHHDCVPGIIHRDVKADNILLGDRYEACLADFGLARVADDGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPAFGEGQSVVQWVRDHLCRKRDPAEIIDVRLQGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDVAALLRGIQHDDGAEARKAGNGVGTDVETRKRADPRQPISPTKLMALARPAQAQAQLQARANSGSLGLLNDQE >KN539591.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539591.1:66844:68740:1 gene:KN539591.1_FG003 transcript:KN539591.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVGKGRRHIEEDKLDFKGGNVHVITSKEDWDRKIEEANKDGKIVVANFSASWCGPCRVIAPIYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFIKNEKQVDKLVGANKPELEKKVQALADGS >KN539591.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539591.1:83998:86722:1 gene:KN539591.1_FG004 transcript:KN539591.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTLEGQQKNAEVSPQQVASSLNFIACKWFTISSGIVSIVPTYLISSSKRHILQAKEGDSAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRA >KN539591.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539591.1:22363:27226:1 gene:KN539591.1_FG005 transcript:KN539591.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMASCCFIISYKRPRPIIATFVPFLLLLFFFAVVVAASSSSNGTAAALHPGEELLRLERVRAQLARSPDGDVIDCVPSHLQPAFEHPRLRGQKPEHAVGYMSGGQFYGAKASLNVWPAKVASPAEFSLSQIWLISGSFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTNDAYQETGCYNLHCSGFVQTNSRIAMGAAISPISSFAGRQFDITLLIWKDPKQGHWWLQLGSGALVGYWPSFLFSHLGARADMAQFGGEVVNTRPSGSHTPTQMGSGRFPGEGFLCD >KN539591.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539591.1:73292:75343:1 gene:KN539591.1_FG006 transcript:KN539591.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQKTQSRKSSSWWWDSHISPKSSKWLSDNLEVMETQMKETLELIEEGESSAEKAGVLVTHVQNFQQMYRALAERYGNVTGELRKNIPSSLQSSVSFGISESDSEAQSPSSPERDLQEKMSQKQKPRSDCFDVSIGSGMSSDVSKKGSDGSSSSSESDLELDEAKEENGNSIFYALSQKIIELEDELHEVRGKLDASEEKNMRCHCNFGANSELSEHEEKQQVSDVETSSLQKDLDEVKSEKEALEAVVLVNKDEIDRLKESMVSAAKQFEVELAHRDTEIDKCKQELEVLSEKYLHDISALEAEIGKLQGVIKTFEDDFAKISQEKLMLESRVEELEQSVNSSNYSVSEMVNLQELMKDTQAELEKVSQEKEVLRERVLEFEQLLGDFENSGMEVAKLPETIKNLGAQIEGTLQEKSVLQDRIKELEQAVHDSLQNHSLEKSSLSAELSKLSEANASLEAKLASVEAELKQVYDEKANESLNSEKEISRLNQELANVKTDLELLLSEKSLVDNKVTTLLTDITTRDEKMKQMDDQLNQLQLEHSKLMAQADLARKSLSELHARVCELEKEVEMQKLVISESAEGKREAIRQLCFSLEHYRSGYQELRQLLHDQKRPLVMAT >KN539591.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539591.1:12292:16297:1 gene:KN539591.1_FG007 transcript:KN539591.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFSECWFNLNPDCIAFFGVDWGEASMIEAERVLLAHALKDPLNERFVFVSDSCVPLYNFNYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLTRKHAEVVVEDEEVLPEFQKHCRRRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQHGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKISDATPALVKSIKDIDNIYYETENRKEWCTSNGKPAPCFLFARKFTRAAGLKLLDLSLIAANGASTM >KN539591.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539591.1:39652:44341:1 gene:KN539591.1_FG008 transcript:KN539591.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGYKTKDMKKLNKQDVQQSGDAQQVYQTENADAQVYQTENTDAYMLTKTKLRIKKIIQAMMIDELTERMMSAGMLESHVLKKVSHEEERGNFSAVSIADIDRTMKKYADNLLHALESVSSRLSQLEGRTHHLENSVGELKLTIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQVQLSKLQLSKAEDAQSEKAGVGQADSRQQPTLPQPQHQAPPPSHPPALPALPAPNAPPPPAPQSQPPSQFPGHLPHSQVQSVPPAPPTPLAPTIPQESYYPPPAVQPTDTTHQQYQAPPAPQSQAPPAPPQHYQTPPQYAQYSQPPPASANPSTAVPPSVHQQPEEVAAPYGPPPQSYPPNVRPPSPYVPPPSGPAPPFYGPNPGMYEPPAVRPNSGPPPSYNTGYKPQGGGGFPEPYGYSGSPSHRGNAGMKSPSPFHPTGSAGSGNYSRLPTAQMLPQAASASSTPSASSGNRVPIDDVVDKVATMGFSREQVRAAVRQLTESGQNVDLNMVLDKVMNGADAQPQRGWHGR >KN539591.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539591.1:49017:51676:-1 gene:KN539591.1_FG009 transcript:KN539591.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGWRRRLGGSGGDPTMYEAFWRGGAGERATIVIPGWQTMSYFSDVGSLCWFLEPGLEREVRRLHRVVGNAVADGYHVLVGTGSTQLFQAALYALSPPGPSAPMNVVSPAPYYSSYPAVTDFLKSGLYRWAGDAKMFDGDTYVELVCSPSNPDGGGREAVLKSGDGVAVHDLAYYWPQYTPITSAAAHDIMLFTVSKCTGHAGTRLGWALVKDRAVAQKMSKFIELNTIGVSKDSQLRAAKILKAITDGYDRAPAAASAAGDDDDDSSRLFHFARRKMVSRWAKLRASVAASGIFTLPDELPGHCTFANETVSAYPPFAWLRCGKEGVDDLEGYLRERKIISRGGGKFGADGRVVRISMLDTDEAFAIFVDRLAAMN >KN541040.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541040.1:4532:9446:1 gene:KN541040.1_FG001 transcript:KN541040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDDLLAVLVLSVLVGTSTAVNCARKCGDVRIPFPFGVGVDCAWPGFDLSCNHSFTPPRPYTGNVEIMDISLEAGEMRVYTDVVSNCYTSDNTTDSQGIGSLQLDAMDTAFLVAPEKNEFTAIGCAALAWLQGMDDGRYLTGCITTCVGLQEAARDGDPCTGLGCCQVPSIPPNLNILNISFADSIVNLSWEDSPCSYTFVAEKGWYNFSRHDFSRAGSKSFVNRTGDRCVPTVLDWAIRRNGSCSSATGAPACVSAHSYCVNATNGEGYLCNCSAGYSGNPYVTGGCININECELRREGPAMYPCYSGSRCYDTEGGYKCKCRFLHRGDGKIDKGCKPIIPATVVATIATAVAGGILAFVVLYILKEHRRRQQNRSFDKNGGNILNKMMDIKIFSEEELKKMTKNYCEKRRIGKGYFGEVYKGITQDNQQVAVKRFVRNGEEHDKQDFADEITSQARIQHENLVSLVGCCLHTDVPMLVLEFIPRGSLYDVLHGNGRHTHDLPLPTRLDIAVGCAEALAYMHSNIGHKSIVHGDVKSGNILLGNNLEPKVSDFGSSKLMSVAKSDNWSVMADMSYIDPAYIKTGRFTEKSDVYSFGVVLLELITRKKALYDDRKSLPLSFAKYYKDDYARRNMYDQDMLSSTDDALRPRYMECLDRMANIAIRCLKEDIDERPTMAEALEELKQLSASLNVT >AMDW01077959.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077959.1:15:594:1 gene:AMDW01077959.1_FG001 transcript:AMDW01077959.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLYTLDARKFVVANVGPLGCIPYQKTINRVGENECVKLPNQLASQYNGRLRELLIQLNGDLAGAKFCLANVYDLVMDVITNHDSYGFETASMACCGNGGTYDGMVPCGPASSMCGDRKSHVFWDPYHPSEAANLVMAKYIVDGDSKYISPMNLRKLFSL >KN541040.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541040.1:18898:23818:1 gene:KN541040.1_FG002 transcript:KN541040.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKQDVAMKLQVVWLLVGSVALFSVMASAPAGCSSKCGEVDIPFPFGIGVDCAWPEFDVVCNESFTPPRPYYGNIEIMDISVAEGEMRVYTHVVSQCYNSSNTTDSNGSDSLQLNITDTPFLVAPERNEFTAIGCDTLAWLQGRDDWSFLTGCITTCVSLGEAANDGEPCTGLGCCQVPSIPPNLSTVALGWGNHTENPAWTYSPCNYAFVAEKDRRQDLSRVGSKSFYNRTGEISVPMVLDWAIRRNGSCSSAHACVSAHSDCVDATNGAGYHCNCSRGYAGNPYVPGGCIVIIFAVAVLFVAFLVLHMKHEKKKLQENFNRNGGHILEHLGIRMFTKEELKKITKNYSTIIGEGNFGKVYKGTTDDNQEIAVKCSIKVDEANTNDFANEVEIQSRVSHKNVVRLVGCCLQTDVPMLVFEYIGKGSLYDVLHSNGVNCNYVGKLKISLEVRLGIAIAATEALAYLHTSANQKVLHGDIKSRNILIDDEFMPKVADFGISRILSKTKHHTDMVIGDRSYMDPIYFRTGLLSEKSDVYSFGIVLLELITRKKSKYDENKSLQIDFVTSYKTDNRAREMFDNEITSPEVIGVLDMISRIAFQCLKEDMDERPTMEQVLEQLHSVRKELIKGCEDKSIDQIDG >KN541040.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541040.1:15285:15656:1 gene:KN541040.1_FG003 transcript:KN541040.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSYIDPAYIKTGRFTEKSDVYSFGVVLLELITRKKALYDDRKSLPLSFAKYYKDDYARRNMYDQDMLSSTDDALRPRYMECLDRMANIAIRCLKEDIDERPTMAEALEELKQLSASLNVT >AMDW01036666.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036666.1:12:504:-1 gene:AMDW01036666.1_FG001 transcript:AMDW01036666.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WGTDENALIEILGHRTAAQRAEIAVAYEGLYDETLLDRLHSELSGDFRSALMLWTMDPAARDAKLANEALKKKKKGELRHIWVLVEVACASSPDHLVAVRKAYRGAYASSLEEDVASCSLFGDPLRRFLVRL >AMDW01025397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025397.1:37:276:-1 gene:AMDW01025397.1_FG001 transcript:AMDW01025397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YELFAGGVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGV >KN544578.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544578.1:527:1965:1 gene:KN544578.1_FG001 transcript:KN544578.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDHHPGGRDGAPLVFDELRWVIQIRRSLQEDGGDDDDDNGIPVSVFNVPKQLQAHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRHLRAGVKLEHLVEQFARAERKAKKKSFMPKGILQKSSI >AMDW01032878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032878.1:37:168:-1 gene:AMDW01032878.1_FG001 transcript:AMDW01032878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELK >KN539248.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539248.1:63808:64936:1 gene:KN539248.1_FG001 transcript:KN539248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVVRNHRRWLYVAGVVEEEQQRGERGCLLDEAHWRHWRLLVMNYQNLHIPYSRQG >KN539248.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539248.1:121162:125497:-1 gene:KN539248.1_FG002 transcript:KN539248.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISARVFQHSVEEIDLSGHIAVDAEWLAYLGAFRYLRVLKLADCKNVNSSAVWALSGMSTLKELDLSRCSKISDAGIKHIASIESLEKLHVSQTGLTDNGVMAISSLINLRLLDLGGVRFTDKALRSLQVLTQLEHLDIWGSEITNEGASVLIAFTSLSFLNISWTRFMMENSVKVLSLSALEELKYLESLNLNNTQLMDDVIPPLASLRALKYLFLKSDFLSDPALHALSSASNLIHLGFCGNILSTTGLRKFVPPATLRMLDLSGCWILTGDAISAFCTCHPVIEVRHELIQELQANYGGTSHLHKSSRQPQQMKE >KN539248.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539248.1:40042:49514:-1 gene:KN539248.1_FG003 transcript:KN539248.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAALSLTCAGLGGAEEDDGGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDHLERASFTEDDWKLVQLVLTLFRNILAIQEITLGQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHMDEPSGYLQQENLLLLEIFHYLFLGRDPELIARASTAGSKDGSKSLCKGNPNSASANSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMIRNFLEQFLSGGYNGETTIFHFHVKLGITQCLPVLMQSVCDDIVKEHHSIQKSDITTFFKVARFVLAFQHEKTSNAQKSNAAVQLPEASPSDHLDDNLPFLGDICGPVAATLNEGMFNLVISRWREAYDSLKETHDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKASKNKIENTNLNAEKMEQSNVDSTDGTKSALESLPDLKNVDSVAEPPLTEQEKVVSNGMDAPDELAGTSVNLDSTSHCEGEPSRADNGELKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISTLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELAPMLYQLSLLTTFYDILADQKSSNSKEYTNIVNFLSKIVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADALLSDITKDATNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPHEPYNADKDDDSSSGEHEDVSKRSMGATNKSNRILSFSDSEADDNDSSIQIEKNRNTVSRGSQNSEAPKRRGRSIFSEEQEKLMRDLYEKYKDDRKCTHLIAEALDPSGKISSVQVSRKLTQLGLRNAMKRRTKVPEAPLSAQELATQTDQMLGEHNCDPKPETTRRRRKRQNVSHASYEDNTTDGKSSDEETLQAIKSRTKNKKVPLVGFSPSTSQHQDGLADPDSDDETIGSMLRSGKKKRLLTPDNAMNIEKHQESPDSTNTSNYSPEISQKQEALQDTYSGNEIIDSMHRSGKKKRLLKSGFAANTQEHEEPLKNIGQDDETISSKDNLHHGLNSSNNSGGAGETELLDDFIEPELDNVENTEQRVIDDINITESGDMESSFADQKPGLKRRHKMVIDDDDD >KN539248.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539248.1:78961:81840:-1 gene:KN539248.1_FG004 transcript:KN539248.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTTGAIWLFLAQLFIAATMLSKIATRERTRTTGTKFSRPPPPPMARGAPLVGVLPSLLANGPVEFIRHHYEKMGSVFTVSLLQQKVTFLVGSEASSHFYKGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRHEQFRFFGDIMKPAKLRTYVDLMVAEVEGYFARWGQSGTVNMKQEFEQLVTLIASRCLLGEEVRDKMFDEIKVIWSHLLRNFELQLVSPFPETDWTVVMPGPKGKVTQPRAMCQAVKHCFKQGERRGRSSVIGDDAVAPAQLHHEVCPPVDGSALQASDRDSARELSSPDGQRRDGDGFS >KN539248.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539248.1:106748:107086:-1 gene:KN539248.1_FG005 transcript:KN539248.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSARWRARERVEQADDGRALVDEEEEALAFGDEDVVSIELGEVYLYGFAGADVAEAAARGGCCGGVLPEHGPVARVEAAVNRGSVQHCRHARHTTSTPRRPACPEGDE >KN539248.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539248.1:33228:33764:-1 gene:KN539248.1_FG006 transcript:KN539248.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQSAGMKRLLRDIESRRTPPDHLAPITGRVAKKFSRPSSPFLAAADEPIIKKGTPVSVRTRVGKIGAGLNLHLVLRLGAVVVSDADEEDDGFLDVVYNVGFPPDDPFRPVRVSRDQVKVITPTAAVATAAAPPPPPHPTKSKSNGGGPRPTVAGKSLRLLTKLERERAGAITP >KN539248.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539248.1:103607:103891:-1 gene:KN539248.1_FG007 transcript:KN539248.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKPMTVKYIVTRFVEADAAEFKSVVQSLTGKDSTAATASPEEEGSRRRRTGHHRHVVPAPAPRRWLRRNVDDGGFLDIMPSIEEMDEFLRD >KN539248.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539248.1:56505:58726:1 gene:KN539248.1_FG008 transcript:KN539248.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLGRTADARKQIGMGGLQPDVVELHKLEAVEKHLGRFADARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCSQDMMFCGFQSNSYLYYVHAQVDIAFGRFDSAMSSMEKARKIDSGNVEVMAMHKNVRTVAQARTLGNELFHSGKFSEAFLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWADSVKDYEVLRRELPGDTEVAEGHFHALVALRSSRGEDVSNMKFGGEVEALVGAEQFQMATTLPGVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQYEV >KN539248.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539248.1:27261:29552:1 gene:KN539248.1_FG009 transcript:KN539248.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVAVLGLLWLATVAMADPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSSPQECREFFSKALFVFGEFGGNDYSFAWKAEWSLEKVKTMVPSVVASMVGGIQRLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPDSRIVYADYYTPYIQFARTPHLYGWPATDTNLTVTSLTNRTHHLTHTPTQAVSGWYQPLGEGDGPELIIVVGELEAAEQSRYAGVSGQSNGVCEFTYQHRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >KN539248.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539248.1:118089:120194:1 gene:KN539248.1_FG010 transcript:KN539248.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MASCLARNGAERESLLLIGEMLESGLLPNAYTLCAVAHACFPHELYCLVGGVVLGLVHKMGLWGTDIAVGSALIDMLARNGDLASARKVFDGLIEKTVVVWTLLISRYVQGECAEEAVEIFLDFLEDGFEPDRYTMSSMISACTELGSVRLGLQLHSLALRMGFASDACVSCGLVDMYAKSNIEQAMDYANKVFERMRKNDVISWTALISGYVQSGVQENQVMVLFGEMLNESIKPNHITYSSILKACANISDHDSGRQVHAHVIKSNQAAAHTVGNALVSMYAESGCMEEARRVFNQLYERSMISCITEGRDAPLDHRIGRMDMGISSSTFASLISAAASVGMLTKGQQLHAMSLKAGFGSDRFVSNSLVSMYSRCGYLEDACRSFNELKDRNVISWTSMISGLAKHGYAKRALSLFHDMILTGVKPNDVTYIAVLSACSHVGLVREGKEYFRSMQRDHGLIPRMEHYACMVDLLARSGLVKEALEFINEMPLKADALVWKTLLGACRSHDNIEVGEIAAKNVIELEPRDPAPYVLLSNLYADAGLWDEVARIRSAMRDNNLNKEIGLSWMEVENTTHEFRAGDTSHPRAQDIYGKLDTLVGEIKGMGYVPDTSIVLHDMSDELKEQYLLQHSEKIAVAFGLITTSAPKPIRIFKNLRVCADCHSAIKYMSKATRREIILRDSNRFHRMKDGECSCGEYW >KN539248.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539248.1:60640:61104:-1 gene:KN539248.1_FG011 transcript:KN539248.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEAGKGAEQACAAAAAATDGDDGGERPPRMIRMPQEYVDWVLAQKREAYYESPEDYPLLRTNNPVKVDGLSEEWVEKNRKSVIKTAALLKKADDSFEEFQKQVRKEVEEKGYYEVGMDFFVQRAASRALFDEQWAKIDFTGIDVEEDDDDE >KN539477.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539477.1:54247:58016:-1 gene:KN539477.1_FG001 transcript:KN539477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGGKAASSSSSATAAITLSERTCTAVLTSHPLSRDIHIESLSLTFHGHDLLLDTDLELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPPHMDIYHLTHEIEASDMSALQAVISCDEQRLQLEEEAEILAAQDDGGGEALDRVYERLEAIDASTAEKRAAEILFGLGFNKHMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNYDQYVQTRAELEENQMKQYRWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLTFRFTDVGTLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMEFKEHLRSKAGVDD >KN539477.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539477.1:26435:26833:-1 gene:KN539477.1_FG002 transcript:KN539477.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQQQEGELVAKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPADAHIYARQLHNNNAAASSSSAAAAAARPPPIEFEYLDDHVLQEMLRDHTTTTTNNNNNK >KN539477.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539477.1:1425:1994:-1 gene:KN539477.1_FG003 transcript:KN539477.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQKKAANKKKKKEVAVLVKTKVVQVQLTTTTAELELEPTVTVQVDGNKTGAAAEETPLQSQETQDPNEPKSAAAMKKKEKEKRAGHGRKRRGGALEYGGYKRYVWRVLKQVHPDLGASAQTMDVLDMMMADMFERLADEASRLSKLSGRLTLTSREVQSAVRLVLPGDLANHAISEGTKAVSNYLS >KN539477.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539477.1:81302:87485:1 gene:KN539477.1_FG004 transcript:KN539477.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTATCPKALHAHLLRSGALFADPSAAAPLAAAASLASLPYALAILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSRSRSHPNNYTYPPLLAACARTAAAAGVALHASLFHRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYLQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRLKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVHLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPRHAGAWVFLSNVYAAAGKWDLVQEVRSSMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKLRTGDIFGAVFIACLIALQIMKAQRGKQSEKSFWPLIVIKKWLNIKPKSNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGDKIMPLRGLQRRKSESLRVNYISNKDMRFGLYEFIFNPQRIPCHASGDFIIVVTTRVMIGTWNVAGRAPSEDLDLDQWICSQEPADMYILGFQEVVPLSVGNVLGAEDSRTVPKWEGIIRRALNKSQQPKANCKSYSAPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKVSVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGPDERATFAKGESLKPPGNYVRVVSKQMVGIYVSVWVSRKLRQHVNNLEVASVGVGLLGYMGGHPSLC >KN539477.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539477.1:329:905:1 gene:KN539477.1_FG005 transcript:KN539477.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALDALGIVRCRRELLEECDAGSQMMMVNCFPACPEPELTLGMPPHSDYGLLTILLQDEVRGLEILSNGLYRSVLHRVRVNGRRARVSVASLHSLAAERVIGPAAELVDERRNRPRRYMDTDMAAFLAYLASAEGNHKSFLHSRRINTISSSGLTQRTQQLN >KN539477.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539477.1:89884:92607:-1 gene:KN539477.1_FG006 transcript:KN539477.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGDEAEMVEVTLRAVGPSHSLIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPEATTWWKRKIFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFIQFTDKCHYIIQILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >KN539477.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539477.1:76105:80912:-1 gene:KN539477.1_FG007 transcript:KN539477.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTALGDHGGGGEGANLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQYPWVGIVNRSQADINRKVDMIVAREKEREYFENSPDYAHLASKMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKEVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDHKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALERFREDGRSTALRLVDMEAYLTVEFFRKLPQDPDSGSKVGNNTNESNGSGSGSGSVTVDRYGDGHYRNIASNVSQYIKMVGDQLLHKIPKAVVHCQVREAKRSLLNHFYVHIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTR >KN539477.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539477.1:66190:67011:1 gene:KN539477.1_FG008 transcript:KN539477.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNREFLVEVLMLSLLAEHPNLVTLLGYCSDGDHRILVYEYMARGSLEDHLLDLPPGAAALDWTTRMRIAQGAARGLEHLHDAARPPVIYRDFKASNILLDSSFQARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTCSDVYSFGVVFLEIITGRRAIDMARPHDEQNLVQWAAPRFKDKKLFADMADPLLRGAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGASSEPAPRPQKLQPPEDDDDDQRPAA >KN539477.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539477.1:18929:21399:-1 gene:KN539477.1_FG009 transcript:KN539477.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLLLLSRCRLIAHRRSCHIPFLPAALARYLGSPSNSSPNPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTGSDEAKSSDNIPASTRTFSEILSDLQRSKVQQLIYHLELARGCYKGNATGLARHSMLRKRNVLKFVKDSNILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKDYKLRLVGHSLGGASAALLAIILRKKSKEELGFSPDIISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSTGEVLSPDSKEDVFVPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >KN539477.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539477.1:2739:6682:-1 gene:KN539477.1_FG010 transcript:KN539477.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR10 [Source:Projected from Arabidopsis thaliana (AT2G36840) UniProtKB/Swiss-Prot;Acc:Q9SJM1] MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIIKGAVQEKRLDWIVDMSTDGRWCYIVLWVVPRPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDHVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDARELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >KN539477.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539477.1:41902:42297:-1 gene:KN539477.1_FG011 transcript:KN539477.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQLAELEDEEEPSREADVRAFGLLLLELLSGEQATTYRVREAVVETAAAARANGRVRSWVDRRLGDSFPQAVAERLLDVGVRCASASPPPEMTWVAGKISKAYLDSRAWDHSLQRPQAHLSSVSLAPR >KN539477.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539477.1:61411:64962:1 gene:KN539477.1_FG012 transcript:KN539477.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMLFLERLYMALVVAALWLIRRRRRRSSSDDGAENDQLLQDPEAANSPMVLVQIPMFNEKQVYRLSIGAACGMTWPSDKLVIQVLDDSTDPAIREMVEGECGRWAGKGVSIRYENRRNRSGYKAGAMREGLRKAYARECELVAIFDADFQPDADFLLRTVPVLVAEPGVALVQARWRFVNADECLLTRIQEMSLDYHFRVEQEVGSACHGFFGFNGTAGVWRVRALEEAGGWKERTTVEDMDLAVRASLRGWRFVYVGHVRVRNELPSTLRAYRYQQHRWSCGPANLFRKIFLEVLSSPTSRVSPWKKLHLLYDFFFLRKLLAHLLTFSFYCVVIPACVLAGSDHVLLPKYVALYVPAAITLLNAACTPRSCHLLIFWILFENVMSMHRTKAMLIGLLEATRANEWVVTDKRGNANPKHQQPANTTTRPGKKTTTSSSRFFFNNDVHVAEILLGACLLYCALYDIAYGRDSFYIYLLLQSAAAFIVGFGYVGT >KN539477.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539477.1:71956:72525:1 gene:KN539477.1_FG013 transcript:KN539477.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMLVGMASAATYNVGEPGGAWDLTTNYTNWVAQKRFHPGDQIVFKYSAQRHDVVEVNKAGYDSCSTSTSIATHTTGNDVIPLTSTGTRYFICGFPGHCTTTGTGNMKIQIDVVQADSSSAPAPVATATPPSPPSSAATSLKATAAAAVLLAALLIMA >KN539477.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539477.1:73042:73496:1 gene:KN539477.1_FG014 transcript:KN539477.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAVQGSRDPDFFVEGDVYCDTCRAGFQTNATTAIKGARVCAVALLSSPDPECHETEVGRDRAPVTLVQDAGLATMVRRANPLGFLKTRPLPNCGDLLKSYALGTAPSY >KN539477.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539477.1:38196:38840:1 gene:KN539477.1_FG015 transcript:KN539477.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDVDMHILTALMADMADSSSSSSSSSSDHSDMRASAAAAEPEHRRSPARPPPPPRQQLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAAMAYDQAAFSVRGASAVLNFPLHRVQESLQALALGAAGGSPVLALKRRHSIRKRRKPTKHMLLMQQQQQQEPSTVVELEDLGADYLEELLRLSESSSSSSSSSSSISNFTTTPNRHC >KN539477.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539477.1:9223:15636:-1 gene:KN539477.1_FG016 transcript:KN539477.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYEVEVTVASARDLKNVNWRNGDLKPYAVVWIDDGAKCSTRVDLDNADNPTWDDKLTVPLPPSTRLDDAVLYLDVVHANATDGVKPLVGSARLPLRDVLADTGIGGRASRSLRLKRPSGRPQGRLEVRVAVREPKRYYDPSPAYPAPYQQQQSSRDPYAYGDEGGGEDGEGGAADGAAAGGVGGGVPGDGGVEVAAAVQREGRLVGPHHHLLPVDAGVHGDERACGGIVGHGVHRLLDGGEVGAAGGLVAVDGEQEGGIVLRGEGGRPPEAEAGGGGRSKKTAAGEKKKTRRRKVAVVYYLCRSRQGGLEHPHLMEVEVEAEVGDGEEQVQLQLRLRDVTRRLDALRGKGMAAMYSWSCKRSYRGGYVWHDLSHPDDLLLPTGPHDYVLKASLLHLHHLIDPPPPPRHHPLITSTTSSAHHGHSLPPPHHAAAHVSLVSSSSTDANANIVVVGDDQCTSSCRTQPHSSSSSSSSASSSGSSSSHNNNSKEKQVVGEARRPAAAVVVASAATQTDDDTSFTVTGSIAAPYAQKQGAAGVGRGSNSSSSRSLESLIMAEYSGFRTAAAAAAKQQLQQVESLPLSPVLSPLSHLVNKQQLPHEQDRFSGGTISTSSNANAAGKLKVADDNAPPLVQSQVECSNAPRPEDFVSSAAAAAGNTTNELVHSRPVVVAFRLDKHDDKVIKIEERLASGARVTISSSTVHPAAGGLACSSNYQLHRRRHSGGLA >KN539477.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539477.1:45016:48318:1 gene:KN539477.1_FG017 transcript:KN539477.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQGQGQGKLLYIVVVDDDGATFRYTRSLLHSTLQLMGCKPRHAFEISGRVFDEIRGHMGGDMAMGGGGGVQRYELAADAEAASPRQFQFELYKRRTTLLIPRPLFLRLVCHALALYKIRERKESVTILLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKQNPLLWASTYHAGECLDPVAVADAKARRKAKKRSGISTTSTIDFDKTRPLNDKPDGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVLYDPATNTVAVVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIVNVDGSVSKAWPVESSSGDGKGGSENGSKKYVGDPIYGPLNIGRAESVNLQFGAFGISAWPTDAGCTSQAGSVNESWDNANEGTGSHVPSSGSPKKLDGHCKEIKESAAASGSDDDEEEEEEAADVPPNSGSEEDLSEEDIRAIHEEMEGSVDEDCNRSDEEYDDLAMRDCMENGFLTDDGVVHTVFDGNGQKHSTLRKRQVNLRTLSKIDLDSPDTARSSSALPISASSKRNGTRRWKRSLSESFRSRPRSAPSLVELTPKHKGSAVPEVAPDK >KN539477.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539477.1:98295:102783:1 gene:KN539477.1_FG018 transcript:KN539477.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRGYFLADAADHLFAPTKHLRFLARFLLVALLLARRADTVPRLTTHIRTLLDDSKKTLQEAEYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEIKFTDLTIDVFRMLQCLEWEPCGSFALTNGCSTRDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCPDTNEMVVSSLNKFDISNTSTINVNEDNGPRLWLGCREGEGSNCIYPCDLIPFTRRPLFLVIDSNASYSFKAGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDTYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAAHSIFKPTYHKVDFLPTCTPPLPESVDAESMLSQCCLLRVASFFGATNQFSFSEVTTWPEVDVEEAAVVNPSI >KN539477.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539477.1:17716:18255:1 gene:KN539477.1_FG019 transcript:KN539477.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNPKVFFDILIGKAKAGRVVMELFADTVPKTAENFRCLCTGEKGLGASGKPLHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGDRFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTTRTTWLDGKHVVFGKVVDGYTVVERMEQVGSGSGGTAERVLIEDCGQLADDHAN >KN546584.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546584.1:348:510:1 gene:KN546584.1_FG001 transcript:KN546584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFMGCPFAAAEEEAGVADAIVELCSLDELRSLEVNRSGTDVLGLKNESYFRK >AMDW01035141.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035141.1:3:562:1 gene:AMDW01035141.1_FG001 transcript:AMDW01035141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYEGYCFSDSNEALGVSSSIAPHDLSMVQVQGATEFLNVIPNHSLDSFGNGELGHEDLDSVSGTNKRKHSAEGEFDGQTRGSKCVRKAEPKRAKKAKQTVEKDASVAIPNGSCSISDNDSSSSQEAADAGATSKGKSRAGRGAATDPQSLYAR >AMDW01051955.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051955.1:84:147:1 gene:AMDW01051955.1_FG001 transcript:AMDW01051955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLFSVPWAVASEVTAEEGGGQ >AMDW01010644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010644.1:24:194:-1 gene:AMDW01010644.1_FG001 transcript:AMDW01010644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLEVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHE >AMDW01040847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040847.1:331:2192:1 gene:AMDW01040847.1_FG001 transcript:AMDW01040847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVAVSAMFARREQQQQQRWPVDRGDRRPRRRAPPRLLGMFPLDQEAKKERCARAFADTLLALMLHCPGTVSVPTMSMEMEQVLKLWRIEESGSEFSLYDFDQIADATDNFSDACKLGQGGFGPVYKGQLPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQADEKMLIYEYMHNKSLDCFIFDTEKGATLNWDKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDRDMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQEGQWHELVDQALGEDFPAMEVMKCVQVALLCVQDSADDRPNMSDVIAMLGSEGVTMPEPRQPAYFNVRISSLAVSSSSFGESYCMSNVTLMEEDGR >KN542175.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542175.1:8665:14540:1 gene:KN542175.1_FG001 transcript:KN542175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHHRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATAKRPSQSGGGGDVWRNPHSGGGGGRGGGGAGGPNKWGRGPGGADGGPRHKVPDRPCRYFLAGDCSYGEKCRYPHSYSMSDSITMLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVINMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAATNGFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQVGPSGLFFTGDGTGDGTPLLAYDWRIIEWQQLILERARICFSPARKSCVGCGEKVWKGTAQR >KN540686.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540686.1:7918:8241:1 gene:KN540686.1_FG001 transcript:KN540686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAMKKKMAVVVMSVLMMAAAAAAANYAAEPEEDCATQTTYFTNCLRRGIKEGCCGVVKNHWCLCQVKREAEVKCIPGRRCDVPKALKIADMDLPCMRNLRCSKHA >KN540686.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540686.1:41973:44019:1 gene:KN540686.1_FG002 transcript:KN540686.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIVYSLWFYPFDLIALVDFSLYAANTSEEVSVDGLFEAFSHCAELNPDPNAESDEENGWAHRDEGDEDMTGGSDAECEFSDVNPIGQTDEHDITHAVVEFDLYTKIKLFGSFPCQSHELTRTKPHNVLMQLQINDQRFEDAEEAEHETHGNGH >KN540686.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540686.1:17739:22688:1 gene:KN540686.1_FG003 transcript:KN540686.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGAAAASAAPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVMSIDVPQVWNHQEWIHSFEKFLDSMNIHHRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGNNFDNHPGDNGGPGSGGHDHETQNSGSESHDSDESIPTSTMLANTILGTACKLNLICLRHECDCSIFL >AMDW01039822.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039822.1:49:1119:-1 gene:AMDW01039822.1_FG001 transcript:AMDW01039822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAAGSCRSFKTSIYVIALVGAVLLYIAIQSMVFFSKPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRGRVREKLKQCMPQWLKNLLELEEHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEKKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALR >AMDW01040450.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040450.1:223:706:1 gene:AMDW01040450.1_FG001 transcript:AMDW01040450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IATVVTVAEILKNNGFAVEKKIRTSTVEINDESRVRPLQKAKIEIVLEKSEKFDELMAAAAEEREAAEAEEQA >KN540973.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540973.1:13435:14532:1 gene:KN540973.1_FG001 transcript:KN540973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSPSQAARAFGFPTMTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVRARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMSTKLLLDLPPLGLPCQRQLRPCPLSTERVLPAPFGLLPELSFGSLLVGISCLASRTASMAMDGGFQLSFGRWRH >KN540973.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540973.1:31933:32397:1 gene:KN540973.1_FG002 transcript:KN540973.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQMRPSEKPISTFFTKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKSGRSPVHVKKKVKGPKPASVGQASLHSYFAKK >AMDW01038662.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038662.1:564:785:1 gene:AMDW01038662.1_FG001 transcript:AMDW01038662.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGSCLAFVMALALLGANVSYAARLLADATESATPTASPAAVPGSIPAVPKLPVPTAMPPMPAVPAATVPQ >AMDW01039621.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039621.1:100:522:-1 gene:AMDW01039621.1_FG001 transcript:AMDW01039621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PAIMENTCKRISNTPNSMPVLQGWADLPDDLLQCVLALLSSPSDLAAFIATCPNWHAAFRSAKSTLRTTLFRPLAIRSCASSSDDPVVWELFDPAKPTICIHRVTPPDFLEGMDYECCSFGHAIFSGNAPSLKDTTFAIVD >KN541272.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541272.1:5190:5648:-1 gene:KN541272.1_FG001 transcript:KN541272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVINYAHRVEDGFRACIAVDREGTPGMLTVGEYLGNGEFRFSRIAKQSDCDSPNERLSENIIQLPSYYNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAEPCV >KN541272.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541272.1:21273:22168:1 gene:KN541272.1_FG002 transcript:KN541272.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCYANLIDKLISIAICRGVEHLNLETYLYSAKVLMIKDLAQLRTSFLYPCSLMTLIENCPNLECFRLRLCSGARHLKIASPHLQLREIMVKSCLQITHMELFAPKLQQFTYRGPCISMVLSSVPLMEHACLDYEGRRDGESVKYILGKLSPDFSLLTSLSIVLNTYRLKNPVIPEVVPTPFKNLKSLTLGAIMHCNDDIGWVTMLLEVAPVLESFQIEV >KN541272.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541272.1:13982:16157:-1 gene:KN541272.1_FG003 transcript:KN541272.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVCDPFSGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPATAADDEGEGGGASFRVMYMARGLTKLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHVHGNICWLLDWANKLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGEKHWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGSKSSWQASSRQNGSSQASESSSEAHVLGYFCLAYASCKEVILCDAGQQLGTYRSCYWENDWHDIIVESLSVHQFTQIHVLVHEFYYSAAVRWGIAVICGPAASALALG >KN541272.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541272.1:9523:10140:-1 gene:KN541272.1_FG004 transcript:KN541272.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIHQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGAVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSV >KN541272.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541272.1:27405:31168:1 gene:KN541272.1_FG005 transcript:KN541272.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELTHVLQVQLLTNEKREHPGGVLWEPSDNEHRHLRQVKFYRFRMRQVDVALAGLLLARAPLLQTMTFSRGYVHRSPGQTAQYVEAAADWTAEQRSAITRRLETCNAFGASKVTITSSTAAQLRKQHESTFKDRISELPDDLLITILGHLDTRSSAATSVLSRRWQHLWKSLPKLRFSQHDIVPQTELSRFLRAHEYVFFKPSLCSWKRRVRVNLDRRIRLTDRYRTRIFSSSLTGFLHKSNAGDKNNTKISSLFLSCTMEDRYVNLIDKLVSIAVCRGVEDLNLTTSFYYDGQRRSTTPYEFPLSLFTDGKGLSLTELKLCECTLNIPIGFDGFKSLVKLSLTRMPISEDMIHTIFENCLKLECFHLNHCWGANHLFAGPGANHLKIASHDLQLRDIMVNGCEQITHMELIAPKLQQFRYRGPCISMMLGSVPSIEHACLHYEDSRDGESVKYISGKLSRDFPLLTSLSIDFDTYELK >KN540780.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540780.1:37490:37993:1 gene:KN540780.1_FG001 transcript:KN540780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVRVRQEQVAEFREAFAFFDKDGDGCITLEELDTVELREAFKVFDKDQDGLISAAELRHVMISLGEKLTDEEVEQMIREADLDGDGQVNFDEFVRMMMLSDQ >AMDW01033435.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033435.1:18:476:-1 gene:AMDW01033435.1_FG001 transcript:AMDW01033435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVIKAALSQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTEYEGMKKLRILAKRQEVENMALIK >KN538748.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538748.1:68792:74693:-1 gene:KN538748.1_FG001 transcript:KN538748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACCAVLLLASVLGSTGTDLDPSTAVANSPAAQDQASSPPEPTIALGPIITSIDNCPYNCFVSAPSAPSASPPVAKGAVSPAVPTQPQNAPSPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPMVQPPSVNNPPSRPIGSGNGVPPYPPPQRSLPAIPPSTSGVPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHVRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPEDSRAPRQSGAKRQKYHAPPPMFRGPHISPTMPPIPPQPGTKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFSTFENLWSKKISLKPSAFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAAIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSNR >KN538748.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538748.1:160294:165986:1 gene:KN538748.1_FG002 transcript:KN538748.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIADKSKIAGHELYLDYYFTQIFLKFSAFLKVFQMTNSDVSFLCCYKPLTNQEWTKNEESEGNLELVRRLRGHRRVPAADLIIDVLLRLVQRGVQHDEQDPDEQRGDDVGGGAGEQEEDERDGGERGEHGAVPDDAAEEHDRLVAEEVEDEPGDHADEEHDEGGRVPQEGEEDDGEDHDGVVHGVVGEVGAHPEHKAATPHIHRLIGNGTSAATGLIPVFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMSSHEPKWWLGEPLWVTAADQGIQAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDAILGYFDFPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITEAVVRIDEMIGRLIAGLEERGVFEDVNVILVGDHGMVGTCDKKLVFLDELAPWIKLEEDWVLSMTPLLAIRPPDDMSLPDVVAKMNEGLGSGKVENGEYLRMYLKEDLPSRLHYADSYRIPPIIGLPEEGYKVEMKQSDKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNLEPAPNNGSSSFPDTILLPSE >KN538748.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538748.1:24451:26188:-1 gene:KN538748.1_FG003 transcript:KN538748.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLKKPDREDCKEGWCDSQGTLEDVRVKLQKRQEGAIKRERAIAYAYSQQIEGATKCNFWTECVILIVFSQQQPKPTSYGRLNQSGMLLKHQHFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLLSSKNCEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPVVSHHQRIKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTTEKTEDSIRAKPNYMNMTESIKAKRKACNAQRMTTGKLLEDRKASGVELKVAQV >KN538748.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538748.1:85587:86229:-1 gene:KN538748.1_FG004 transcript:KN538748.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEGAGGGGGERKRKRDGGGVVGDGEAVRHDEEDEEGVYEGIAEESVAELMRWLEMEISDAAPETETKTESGDDHAAGAAPGFPWLALV >KN538748.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538748.1:126566:128863:1 gene:KN538748.1_FG005 transcript:KN538748.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKRREKLNDSFVALKAVLPTGSKCLREQIGDGASLVAMSTSAGSGGRPPSANLTLQLKLQPPGVSAKGAAEGAAVHQLLADSAPFQPGKLISQFFFH >KN538748.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538748.1:10314:13283:-1 gene:KN538748.1_FG006 transcript:KN538748.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQEQGRGKDVVKLEEIGTAMISLEECCWEMQLQQQQKRGATQQQLVVVPIRVRKDGWTSDAMLYVNVELVDANTPSENNIGRTVSFRESRARTTTTPAPTMRDNRKSLEAGTYHEVLDLKQLLDLADREHKDDDLAGSWETREFTSRDSETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRRLCDDEAHMAAFPNRHFDLETVEGDCYHVVDTLGERLFEGCDKAYMLREFIKRFLAAIPLREELEMERKGCADAPHRRLQIEFHFTVLKEEEQDQARR >KN538748.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538748.1:181947:189023:1 gene:KN538748.1_FG007 transcript:KN538748.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAWCRHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASPPRDAIDVLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSSGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >KN538748.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538748.1:200323:202722:1 gene:KN538748.1_FG008 transcript:KN538748.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLVRFFLTILANTFLHSSYQHSSPPESSQCSTPLGSESGGKRRRGRRGKQKGLGEVVSVHKKKEAKTSPFPLLLLCRATRRRITEVYDEMYQIARAKRNDTGKVHEFINCLVDARNELLHKSETVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSQSYKLLIELSALVEKAEREDALAAEAAEMTFEELLAQEKSDAAFWQRHRKLTSISPK >KN538748.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538748.1:203176:204681:-1 gene:KN538748.1_FG009 transcript:KN538748.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARCGKHADVLQLFGEMHRSGCEGNAESMAVALSACPYAGDLALAKGKAIHGYGVVKGVIHGYLFVSNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACADLLALRLGRELHGHAMKAELDRHSLVENGLINMYAKCGKSKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGVLDDLYAEMEDEQR >KN538748.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538748.1:97517:99455:-1 gene:KN538748.1_FG010 transcript:KN538748.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAPGDVRLRSGIGWCTRERKNWDASASKQEEVKRLPGGKVKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >KN538748.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538748.1:31468:35203:-1 gene:KN538748.1_FG011 transcript:KN538748.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLFAASTFQVAELTNLFQRRLLDVLDKVEVDNLLLILCVANLCNKSCMKLLERCLDMVVRSNLDMITLEKSLTPDVIKQIIDARLSLGLISPENKGFPNKHVRRIHRALDSDDVELVRMLLTEGQTNLDDAFALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAARRREPKIIVSLLTKGARPADVTFDGRKAVQISKRLTKQGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQLGEASVSLAMAGDSLRGRLLYLENRVALARIMFPMEARVAMDIAQVDGTLEFNLGSGANPPPERQRTTVDLNESPFIMKEEHLARMTALSKTVELGKRFFPRCSNVLDKIMDDETDPASLGRDTSAEKRKRFHDLQDVLQKAFDEDKEENDRSGLSSSSSSTSIGAIRPRR >KN538748.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538748.1:2757:9546:1 gene:KN538748.1_FG012 transcript:KN538748.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNIPRHPSGKRTPRVPVIFPADMNVAHAGIPETENAINCTKKACGATNNELECSSDGSPGISESAKFVQGQTFLETKGTGSLKKRRIEQSMEQGQTIKDEHETAMVAKEGNDLTEYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYKVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKSSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMHITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >KN538748.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538748.1:146777:146944:1 gene:KN538748.1_FG013 transcript:KN538748.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKRREKLNDSFLALKAVLPPCSK >KN538748.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538748.1:190775:195682:-1 gene:KN538748.1_FG014 transcript:KN538748.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 20 [Source:Projected from Arabidopsis thaliana (AT1G55150) UniProtKB/Swiss-Prot;Acc:Q9C718] MTDEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVTVIDIEVAAIDISTSLLVRPSASYQLFTVPIQDNMKPEFCFYEERPFCCSVVHEYFVNIHNLYESYHHRTVLKQSYNKSGDAFGSLGGLLWWSKSCSCKPYSLAIW >KN538748.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538748.1:58702:60322:1 gene:KN538748.1_FG015 transcript:KN538748.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAEIQQQQLLAAAAAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPRTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVAGQQQHGGGMLFSQGGGRGAALSRPSSHAHMAPAAAASTHNSSSSAATTNAPPPPPTSNVSSTCVGAGGYGLAFEASHFIAADESSRGARSDRDTGNGVAGAGNDGLTRDFLGLRAFSHGDIMSMAGFDPCMSTTSASSAAPYDHHHHSNKPWHS >KN539319.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539319.1:119027:119989:-1 gene:KN539319.1_FG001 transcript:KN539319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAARTGLPPRRRGLPDEIVVWEILVRLPPKPLLRCRLVCRAWRRLTSTGDFLLAHHRHQPSLPVIEGYKCNEESLLGIVSLDRRAAAARLQPVAQFDDTCDMMGADASCDGLLLLSIGGIWWFICNPITRQFGALDLLCGFMVMGFYKHPPTGDYRLLLYRNQELMSEHLIPGDRNTCYVYTLGSSDVPRCIGWPETSASGATVVLHGSLHWYQRSQDMILVFDITAESFRWMRAPADRSKCKVDRGNLFEMDDIWVLQDYNREIWSLKYQVELPVPEIRGMLGEGYRWSAMVIPGRGCACAG >AMDW01039840.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039840.1:538:991:-1 gene:AMDW01039840.1_FG001 transcript:AMDW01039840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >KN541948.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541948.1:4893:6083:1 gene:KN541948.1_FG001 transcript:KN541948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMDLPDDLVELILLRLASTVSLIRAASTCKQWRRIVAAADAGCLKFRKAGLQNEELLEKMFEDIRNTGADHWSLGQGTIPSATTNGTIQIADVNEIDDETDEDEPSAKRKRGDSSKVDKLKKTKSGSQKMVEEMSKSNELSAQTLSSIQSFTKIREDPPGCSIKDVMTLVEECGAVEGTNEHFIATEIFIKKDQREMFVNSLRTPAGRFAWLKKKYDVKYGN >KN541948.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541948.1:21382:21441:1 gene:KN541948.1_FG002 transcript:KN541948.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARVATAALMVAGARMLG >KN541948.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541948.1:12482:14539:-1 gene:KN541948.1_FG003 transcript:KN541948.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWVWQAHPQHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDSMPGARTRGDLPLRFLQSNKSPLEVATEVAKEADEYNGFNLVLADLTTNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFREHLRKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >KN541948.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541948.1:7374:7792:-1 gene:KN541948.1_FG004 transcript:KN541948.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLYRCLRASQTSRLRKPSSACDLAKPKRRRHSWLSMILHDDYGCKPSTMSWTRRKLREKWLSSMAGADTVVTGDGGGVEGMEAAEESSISGNDAVPLLARGGDTMRETVEAMLRRARRGRRGCP >AMDW01012950.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012950.1:18:224:1 gene:AMDW01012950.1_FG001 transcript:AMDW01012950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVRGSTMVRPAEETPRVRLWNSSLDLVVPRFHTPSVYFFRRGEAAAAEGGSYFDGERMRRALAEAL >AMDW01031613.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031613.1:115:171:1 gene:AMDW01031613.1_FG001 transcript:AMDW01031613.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ETKMMKDQEEATIWIQRL >KN539316.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539316.1:101906:109306:-1 gene:KN539316.1_FG001 transcript:KN539316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTVLGFFGFGVGVTMGLVIGYYLFIYFQPTDVKDPVIRPLVELDTKSLESMLPEVPHWVKNPDFDRIDWLNKFVENIWPYIDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSIKWAGNPNITVVVKAFGLKATAQVIDLHVFALPRITLKPLVPSFPCFAKIVVSLMEKPHVDFGLKLLGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPAKAQKKPVGILHVNIVRAVKLTKKDFLGKSDPYVKLKLTEEKLPSKKTSVKRSNLNPEWNEDFKLVVKDPESQALELTVYDWEQVGKHDKIGMNVIPLKELIPDEAKSLTLDLHKTMDANDPANDKFRGQLTVDVTYKPFKEGDSDVDTSDESGTIEKAPDGTPEGGGLLVVIVHEAQDVEGKHHTNPYVRIVFRGEERKTKHIKKNRDPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >AMDW01021700.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021700.1:64:275:1 gene:AMDW01021700.1_FG001 transcript:AMDW01021700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAKKLHPDTNKGDSDAERKFQEVQRAYETLKDDQKRSLYDQ >AMDW01017433.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017433.1:22:261:1 gene:AMDW01017433.1_FG001 transcript:AMDW01017433.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNK >KN543533.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543533.1:883:7070:1 gene:KN543533.1_FG001 transcript:KN543533.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDATKPQTEERIAFRDITNRLDALQTTPITPSDEVLRKREAHNRSQREYRARKKAAANTPCSATLRQPTTASLSKEFEVVNAQLAEATNDAREERNRKQREYRQKKKVGSTNLDGSVTSLTPVQPANEERNRKQREYRARKKAESDIVRGSNSQSTDHIATSVLYNEDGDPGSVISLTTVQPANEERNRKQREYRARKKAESSIVSGSNSELTNQDDGVTAYESIPVQDVHQDILSFDYIEFDSRLFEPALNNLDDEGNVELTQTCDVVDSDDEEFQNKENDDVGDTLYDEDTNEDKESGEHVGSKVSMPNNGVPSNLIRSIIGQTYDYLPQDYVLTNQDVTAQDIILVSSENETLVNMGGFSVKKHHLSCLLTKDEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIIDEHIDNFITNTVKNYLFHELIFLPINRGNIHWYLAVLNPKKKVIHVLDSLCEDFDRVDLHIAFFLWALHAQIYGVFLLEINFLILLHRYKLAGILLCWKTNMAAEVSDVEQVEDTDNEDDVVIVGSRQRERWDMKESK >KN540001.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540001.1:54659:59703:-1 gene:KN540001.1_FG001 transcript:KN540001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRRRSRAAAVLVAALLLAASAATASAASSYPASGDRVAVQHGVGGGEAALVAQIDRHKDGGLLRWSAAAAGSAAAGRSMVKYEGGYAVETVFDGSKLGIEPHDVEVTPSGELLVLDSMNSNVYRVQLPLSRYSRPKLVAGSPEGLSGHVDGRLREAKMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRQVLGMVSTADVHCFKDTEKEPQTPPRPSIASIPPYQKPLKPSLRPPLIPTEDQAGKHEAEEGFFTSIGKLIGGAKSSAVEIFSRKKRPTHQYHHHLQQQRANPWPVQESYAIPHDETPPPLDMRAATPRKNYAFMTKEPEKVHHVRHGRPAFNGWDMQHAPQQQPEQQMYHQQHLQQHRQYSAGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRFTAMRGRHTADMYVDLTCRIMGYVAEKPWRAVGTIAGGQSRTLVQALEVSLMSMKDRHVSGRLLRDKLDDER >KN540001.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540001.1:9757:14685:-1 gene:KN540001.1_FG002 transcript:KN540001.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLEELDCQILLLRRECQDKLPDEITQACKKIQQKYDGKPLAMKSIADTLKDEKPASTAAWLEEICKLDKAPNMKDSCFSTSYDDVPYNMQRSLLFMSLFPAGCKIEKDRLLRRWVAEEFFISHTDGTVTAEEKAQEYLSEFIDRNIIRTEKSISTPDAETCKADYLMVQVMRKKSSSPQRNSMVTSDTIYVLNGSNIVRHLSLHYADTKHKEMLEGVDLSSIHSLTIFDGVLQVPLVKFTHLRVLDLDGWTKLVDDDMIEICNKMSFSLKYLSIKQTSVTKLPREIKKLRNLETLDIRQTQISTLPSELCKLQNLKTLDLRRTKVTALPHKVINLPTLLYLLVGSDDSSERLMMPERIDQLSSLRTLATVDLTDCSSSVVQSLGCLESLRELAVIWSFHQSADKEYQDALRSSIKELRLLKSLTIYGDFGSSMEFLTDGHHPPRQLEKLTVTGKIVSVPDWIEGLRALVFLKVKVCKLDVRDLRTLAELRRLRYLELGLEFHPEQDIVIENMGFRVLERLSVDCRVPCLTFEAGAMPQLVELELKFSSGIAAKENMDISSICNLLSLEKIVLQHAKLNKDHFIVREMRKEVPKHRNPIKLFIGNTELEVKASSTAAQPEQGRTKRMVDDDEKNTMKSQHQEPHTVADRGISIAAAERSNNGEATENQTMNADEKFVLGNNRLGNVVRGIPNQGNSCHFNVVLQSIFALDKLRSMMAVLNAQPETLIFDLKNLFQKTSIGSAGNYDRSSLETETGLVFSKIFSKRSNYEINKMQDSIDSLRFLLTDLKDEEKQFTTIVDTTFCFQTTTTITCKKCKNPSTSRHDDLYLILELPKNKQSAEQNSPPSPTSASVGIGETAQNRDGDITAQNNDNINCGVDDRDGPISIETCLGHFVEEELNDYGCQQCHDNDGNANLNQNGSATKQNFFTRMSPVLIIQLRIYEQSPDGREKKLMTRVKFEELLDMTEYMDPRY >KN540001.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540001.1:39091:43585:1 gene:KN540001.1_FG003 transcript:KN540001.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIMNKLGDMLTHEYTLVLGVHGDIQFINDELASMRGFLDNFNRREGDSNNDEQMREWVRQIRDVAYDIEDCIDNVSYRLGREPLKDDCIYYLRKLWHYLTTLYARHSIASEIQKLKIRARDVGERRIRYGVTTGPNPADPSKAICTSSAEPQVVSIQPTPGPQLVDVIEPARMKSDITNLGRWLTQRDRQLDSKLKILAIAGIDSLGKTTLAVALYNRFGPRFDCRALVQASQRYFLLIDDIWTVQAWEDIWKSLPKSNSHNGPGGVIVVTTRLNSVADVCFHQQVGGTYKHIVGKLSSDESRTLLFERIFGKGITIPLLSQSKANDKDNNNILENILEKYCGGLPLAIVAIAGYLASKLTPIRNADEKEKPEYTNLTFDPNRWEKACNFLKDELEKNPSPENLTKILDVCSNDFPADHKTCLLYLSIFPRGCSISRKRLIRRWVAEGFITEKHGKSAEEVAEECFNALISRNIIRCVDSSSNGKAKTCQVYDMILEYIVSKSSEENFTTVVGGHWPTPAPRDRVRRLSIHSIDHGQAKAALQSLRLSHVRSLSAFQSLKHLPNFSFKFQILQMLDLEGCKGLSKRHLSGICQMFHLKFLSLRKTDIKALPKKIRYLQYLEMLDIRETNVRELPTEVELLKRLAHLLCGNKISRLAVKLPHGVTKMAALQTLSGIEINASSSSKVLDDMQSLTRVKKLSIYNLEGFGEGNKSDDILRSAIEGMISYSLVSLAVDDGFTGFLHSLHYLSTTPKYLRSLELSGKLLKVPEWISCLGQLTKLVLSIMTLSTDTLTTVGKLNTLFSLTFSLHASKLNKAVLDRIHENTMNSDGEIIVPVHYEDEDHVNKKLFPSLRLLRFSAPVIPLLSFQEDTMKDLQRLELRFRTTEGIYGLDKLKNLQQVVFGISRRAPQVAKEKVSQIKTFLSNHTNVTVIDEMYHE >KN540001.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540001.1:21592:22464:-1 gene:KN540001.1_FG004 transcript:KN540001.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVDRFVAALQIHGRISKLHQEVTRLNSLWDNLLAINAPEQSVKQVEELINDCKAVISRFNSDADASGHRKHLESLDLYRCASNLIKRCARLHERQVWPNVNVMIGLKTTNGQGGSSSSVAIARGGRPQADTADAEYVGIDGPKDMLTKWLLEKEKRLRVVSIVGPAGSGKKSLAKVVYRQTESQGKFHYKAELNASQPHDMEELLKKIWNVVKPVEAPDPSKEKPADALESSEEISVEAPGTNSEEISVQASRSSQEKPVEAPGSQEDQKKNQGELIADIREKLQDKR >KN540001.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540001.1:67799:69579:1 gene:KN540001.1_FG005 transcript:KN540001.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPVALARADDKLKAMEALHRPQEALMQCQRGSDLLIVASFLSFPLELFYFATCADLSEVKCAVLHFCAFIVLCGGTNLLTAFTHAHPHSAPLLRALTAAKVGDTCTVPTDDPDVVDVMPSKVAK >KN540001.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540001.1:16453:16680:1 gene:KN540001.1_FG006 transcript:KN540001.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSGTHLLACWAVWWLWKCTIRPSTVAGWQGGCHSLPSGRRSALPSPVFWYLVSCSSSRVTANFLAMATDRA >KN540001.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540001.1:52116:53923:1 gene:KN540001.1_FG007 transcript:KN540001.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRESAAPNPDEPPASPCTTARRRARAAWRKVASLVPRKARSVVLLNLVTIVFASNISVVKEAETMLDPDLFNVLRFTISAIPFVPLLLKALNDVQVFIRGVELGIWVAIGYLAQAIGLVTADAGRTAFISSLTVIIVPFLDGILGAEIPAYTWIGALLSLIGVGILELSGSPPCVGDLLNLLSAFCFAIHMLRTEHISRNMKKENFPALVGCQFPWMSILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGAAFIIGTSYY >KN538973.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538973.1:89973:98310:-1 gene:KN538973.1_FG001 transcript:KN538973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCLISRYSYFLQRKNMRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFSVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGDLVTEYANNGSKITCDPEMQSTIDMYISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQSKSHDRLNLMQALDAKRKGKV >KN538973.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538973.1:164635:167973:1 gene:KN538973.1_FG002 transcript:KN538973.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLVFFIITALLRFDKVTDFAGSTNFIILAILTLALKGAWHFRQVVLTVLVVIWGLRLGLFLLMRILQWGEDKRFDEMRDNLGKLAVFWIFQAVWVWTVSLPVTIVNASDSDPSIEARDIIGWIMWLIGAGMEAIADQQKLTFKNCPSNRGKWCNVGLWSYTRHPNYFGEIFLWWGIFVASTPVLSGAEWLVILGPVFLTLLLLFVSGIPLLEASADKRFGQNEEYRTYKNTTSPLIPLPPAVYGALPGWFKMGFLFELPLYNRVPQRDPVS >KN538973.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538973.1:50352:54678:1 gene:KN538973.1_FG003 transcript:KN538973.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKAAIREIMSDDASSVCEEDGSSSLSVDGKQQQHSNPADRGGGAGDHKGAAHGHSDGKKPAKPRRAAANPKPPRRLANAHPVPDKESRAKARERARERTKEKNRMRWVTLASAISVEAATAAAAAGEDKSPTSPSNNLNHSSSTNLMNFCTDSSLTIDVPLEKVVDHFIDWFKWILGNVYMKNPKLKIQQGLNNLKSHDHSGHMLYYKRTKDKQCAHVSSHVILKYFV >KN538973.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538973.1:118904:120771:1 gene:KN538973.1_FG004 transcript:KN538973.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQEAGGSSGGGSSADTGSCKDKVMAGAAGEEEEVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVSAPGAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAALPPIPPAPPAARHASTSSTVIGGGGSGFFELPAAADSSSSTYALRPISLPVVATADPSAADSARDTKRMRTGGGSTSSSSSSSSSLAGGASRGSVVEAAPPATQGAAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYRFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEADANEEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGQAELSPPAAGGGGGGTDQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA >KN538973.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538973.1:151283:152109:1 gene:KN538973.1_FG005 transcript:KN538973.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSFSRLRLRAGVVVAAALLLFAVVAPPAAALNIGLQSAGDGASKAGLCSRTCESDHCTTPPLLRYGKYCGILYSGCPGEQPCDELDACCMHHDNCVQAKNDYLSTACNEELLECLARLREGSSTFQGNKCMIDEVIDVISLVIEAAVVAGRLLHKP >KN538973.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538973.1:43316:43702:-1 gene:KN538973.1_FG006 transcript:KN538973.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTRTTTAAEEEESDVDGCHGRGPRWWTEVRSTEDELQRKNVMTAVAVEEGRSQGERRRRRRQRLGWMTTMMVRWIKETVTTAQDEGTCAAVAKDGDRRIGQRGCAAYAAGLCNGCGIRRWLGGRR >KN538973.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538973.1:172467:174221:-1 gene:KN538973.1_FG007 transcript:KN538973.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQSPHEARLLYGRGFLAGIDRREQKKVAAAHEKETRAEQRRKAGLDDRPEDDAVDKKEADAAAKYDAFDMRVDRHWTQKSLDEMTERDWRIFREDFNISYKGSKVPRPMRKWSESKLGTELLRAVEKAGYKEPSPIQMASIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDAKTIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMTKESEKMSRLQKILTDLGDKPAIVFCNTKKSADARAKDLDKAGFRVTTLHGGKSQEQRETSLDGFRNRRFTVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGLATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYATH >KN538973.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538973.1:138190:144630:-1 gene:KN538973.1_FG008 transcript:KN538973.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MLSSGSTQSQTFFWNLLVFNLLKVHLQFNAYSNTKTGIRFHVSGYITSFGSLEWAKTHDAATQTSLVVSSLVDGGAMCVGKTVIDEMAFSIHGENKHFGTPTNPAAPDRVPGGCSSGSAVAVAAGIVDFSLGIDTIGGVRVPGSYCGVLAFRPSHAVVPNNGVIPVAPSLDAIGWFARDPSVLRRVGHLLLRLPYADIRQPRHFYIADDCFEMSKVHARRLTQVVTKSVEKLFGIFAGHQLPHVEFFPLRITLGQVLGHVNLENYLASRTPSLRNNSNGHGDSKFSSLLALSRAMQFLHKHEFKDQHMEWINSVNPAVDACIVGNLSDDGESTINNSQDARKEVRAALGALLKDDGILVIPTVLGCPPKLNARELSSQDYNVQTSCLTSLASMSGCCQVTVPLGTHDKCPVSVSLIARHGGDRFLLDTIQTIYATIQEQVDALAKSNVSSKQAMSEEAAEAAKERGNIAFKEKQWQKAINFYTEAIKLNNKVATYYSNRAAAFLELASYRQAEADCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >KN538973.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538973.1:70345:76522:-1 gene:KN538973.1_FG009 transcript:KN538973.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAISWHCCPWKVFNASPFASSWPSSPLLIAFELLLCIYLESLRVKSKPTVDLKIVFLPLLAFEVIILVDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPNPGEASSSSAAIRYRDWESGLLLPSLEDHEQERLCGLPDIGGHVMKIPLVIFQVLLCMRLEGTPPSAQYIPIFALFSPLFILQGAGVLFSLARLLEKVVLLLRNGPVSPNYLTISSKVRDCFAFLHRGSRYGGFKQLWESNQKLPNAPSRNLKGFRMRRFFVGFATRGRYVWSYFLAGTEHYARIVLISARNVQSAVCPLKNACPYMMFKLR >KN538973.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538973.1:13979:15838:1 gene:KN538973.1_FG010 transcript:KN538973.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFEPSTWLRAAAENTYSKPEVAVDDRPAQADIWNAIQADVVDKSATIGAKKAAAKPYVHPLAPYFPVVDAKHDQDEEVAAEVEEDDEVEEEEEEVEVVDRGTVVEVKVSTQPQTLTAAKMTQQSIIP >KN538973.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538973.1:154958:157858:-1 gene:KN538973.1_FG011 transcript:KN538973.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDEQLRFFDANGYLVLGSFSSAEEVRAMRDRMAELVDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHDGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLIHQSFENLSPVSRHALTLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >KN538973.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538973.1:176948:179897:-1 gene:KN538973.1_FG012 transcript:KN538973.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNRDVVFVSEKGPVIELFDEKPLEEEEDPIGAMAGLAAQCAEIVGNVLQLVPLESADSSNARKSAVSYESRGEGKDVIDHVTSKQRNVVLKNMANTSEDKSAVSSDDDDKVGDMVSKMIDEEITALVLSQDCIEDGFSCEIDGETTESLAADHQQFCEKHSGLKDNNQEISIGSEIPENEQGAVKQELLCVLTNSRGNEFGIDNLEGNTETVHQADLNNGWNSMPVEAGVHASETSTENNEEEWIQPGELSQKSNLMPIYSREHADEEIKEDRISLTEIKQGLDSVTIDSWEEVHLISNDGTKENQAEQSELNHQSTFMTVRAIEYVTDLFDANISAGNINPTEAALPSLHQFSYGPSTSLNKLCPDYNDVESESAPDTPIHIEDIDGLHELPDHKAMTSDTKSVDLESIELVSVDQLKSALASAHKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALERLNELVVKREKEKQDLERELELYRRKVHLFEVKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNGSIPTDAVLQETAKHLGTLGCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSVKMDKRLSEENHLMGASNGFSDDDSNFKLHDKRKGVSYRGKKLLPLFDDTTVEDGNDLLTRQDPEADHSTENVVLEPANEQDKLAIAHEIDQVHERLHALEADKEFIKQCVRSLKKGDKGFDLLQEILQHLRDLRRIEQRTRNSGELSPHYLHPYTD >KN538973.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538973.1:86575:88344:1 gene:KN538973.1_FG013 transcript:KN538973.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFVVSAIPFIPFAIRAFGDRHVRNSGLELGLWVSLAYLCQAIGLISSDAGRASFLTAFTVIVVPLIDGIFGASIPKLTWFGAIVSLLGIGLLECGGSPPCVGDVLNFLAAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWFVFKDSYVDVNDTSFESWTFGMFLDTATSFPWIPALYTGVFSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWVGAALVLCGSLTVQLFGSAPEKSKKVKTRSRNTLETPLKRQDYLSLSAIPVDSRKNIGSRLER >KN543873.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543873.1:840:2473:-1 gene:KN543873.1_FG001 transcript:KN543873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLDKIGKLSFTWFGPVPRVMIPDPELVREVFNKFDQFGKPKMIRVGKLLATGVVSYEGEKWAKHRRILNHAFHHEKIKRMLPVFANCCTEMVTRWENSISLEAASEIDVWPEFRNLTGDVISRTAFGSSYQEGRRIFQLQEELAQYLTEALQKLFIPGYWSVRKEII >KN542686.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542686.1:107:841:1 gene:KN542686.1_FG001 transcript:KN542686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRDNSFMPVSAARGTVGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSKQNMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKSHDRPTMSEVIEMLEGDVGGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >KN542686.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542686.1:10705:12924:1 gene:KN542686.1_FG002 transcript:KN542686.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLIFAILAVLAGVVQGQQWCQPFSCGHLSNISHPFWRRGDPHRCGVSSYELECSSGKATIQINTGTYYVTSINYNDSSFWVVDTNLQDANSNCPLPRSNHRPFIWGIPGPSYTRELTLQGIWWATFVNCSQAVKNNNKYIHVDCLNTSSSFVYVLNVAPYYALMENLEPSCGYLAMIPVSWTPASGKKYQYFAELMRKGFSVRFPFVLDHPTAFGLIKQCLNRSIRFVLAPLAVLTFLAQRYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVYVAIKMLDNYNCNGEEFISEVSTIGSIHHVNVVRLVGFCAEEMRRALVYEYMPHGSLDKFIFAPEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLCPQDNNYVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQNMSSSNPSLVYNQLIQQEMGEITNTLNMHELERKLCVVGLHCIQVKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSYRFSSELTEISEEDG >KN542686.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542686.1:6753:10112:-1 gene:KN542686.1_FG003 transcript:KN542686.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAWEEEDFARNCPSSRCSDSGPEIRFPHQLESSNSSSSSSCSASCARLACSGPDTILHHPFLGPCKPGKIVCCSKVLTPSMSPTNDYDGNIADHAVVGPISCLSDPSHFSYLVDAYEDIYSLPLDCKAVSKGIVPISGAVTADGPTFKQLAERILNFAETTVSWSEGGIPDNCVQCEQQGQRCAFSSQRNQTFCMGHKPHGSRVKVIAATSSVAAFVVISLVVATAIYLSLKLRYNEEVHLKVEMFLRTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGTVYKGKLPNGVPVAVKMLENPTGDGEEFINEVATIGTIHHTNIVRLLGFCSEGTRRALVYELMPNESLEKYIFLRDPNTQELLSPDKMLDIALGIARGMEYTMHKFGPYLFFSPSSATGWDPSIENQNEVYFPEWIYEKVITEQDFILSREMTEEEKQMWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >KN542686.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542686.1:2852:4807:-1 gene:KN542686.1_FG004 transcript:KN542686.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSTISTSRRTHHLVTLLLLVAASTNYAAGATLNITNRCSFTVWPAAVPVGGGMRLDPGESWALDVPANGRVGRVWARTGCSFDANGSGSCQTGDCGSVLSCKNSGKPPMTLAEFSLNTVESDFFDISLFEGFNVPMDFLPVPAPEQRHGAPPCSKGPRCPANITSQCPSELKAPGGCNSACNVFKQDKYCCTGPKTCEPTTFSLPFLRMCPDAYSYMDNSSSNLFTCPSGTNYQIIFCPPTDLTSSSPVPPPAPIAIGPSGLDSSSKRGGRLVATIVVSVIGSTSVLTIIIASIIIIKRRIRRHQEMQEEEQEFEELPLQGMPRRFTFQQLQEATDQFRDKLGQGGFGSVFLGQIGGERVAVKRLDQSGQGMREFMAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWLYHQQGSPAPALDWWTRYKIITQVAKGLSYLHEECMMRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEMISGRKNLDTSRSEQSIHLITLLQEKVKGDQLADLIDKHSNDMQVHRQEIIEMMKLAMWCLQIDCKRRPQMSEVVKVLEGTTSIETDIDHDFVATNPVSFGVAGIVDSDPPVASNLSGPR >KN540331.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540331.1:13120:17906:1 gene:KN540331.1_FG001 transcript:KN540331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGFRVESPRVRYGDGEIESEYRYDTTEVVAPPSPGKGWVVHPKSVTYHFKTTTTVPKLGVMLVGWGGNNGTTLTAGVIANRVGISWATKEKVHKANYFGSLTQSSTIRVGSYNGEEIYAPFKSLVPMVNPNDIVFGGWDISSMNLADAMTRARVLDIDLQKQLRHHMESMVPLPGVYNPDFIAANQGSRANNVIKGTKKEQVEQVKKDIREFKEKSKVDKVVVLWTANTERYSNVVAGMNDTMDNLLASLDKDEPEMSPSTLYAIACVMEGVPFINGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQTFRSKEISKSGVVDDMVSSNAILYEPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKYHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >KN540331.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540331.1:18961:23305:-1 gene:KN540331.1_FG002 transcript:KN540331.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAAGEEVCNKVDWEKDTSEVKNTDRMVPVASSTLVLASGAAILPHPSKLIIASTFYILFFGTPFDNTITAATGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKFIMENQGAADIKPEQVLSKAADEAHSPGSSTVLVAHFDGQFLNASNIGDSGFLVIRNGEVYQKSKPMVYGFNFPLQIEKGDNPLKLVQNYTIELEDGDVIVTASDGLFDNVYEQEVATMVSKSLQADLKPTEIAEHLAAKAQEVGRSAAGSTPFSDAALAVGYLGFSGGKLDDIAVVVSIVRKSEI >AMDW01027039.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027039.1:5:134:-1 gene:AMDW01027039.1_FG001 transcript:AMDW01027039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKIGFESSQEVQHRIRITLSSKSVKNLEK >KN538697.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538697.1:291892:295236:1 gene:KN538697.1_FG001 transcript:KN538697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRSSMGSAAYAPERDAMVWKVKSFPGGKDYMCRAEFSLPSITAEESAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KN538697.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538697.1:237480:249531:1 gene:KN538697.1_FG002 transcript:KN538697.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQAATLIASPSYPNAIAWSSENLVAVASGHLITILNPAALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMHTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEYCDEWIEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTKPGLDYTVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEVTTDSLAPVSSISLSAPAQHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCVCCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPAKLVHPDKNPDNPDAERRDNMIDPTAVFGMLFGSDYFEDYVGQFALASVASVEIEEESDNTEARARIQDKIKELQTERERKLVQSLKDRLQPYVDGMQDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGDDKEGQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLRENTVSKDVLKVRARGLKKLGTIFQPRNILRYFRAFDALESSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEEQKQAGHTAPAAGTGTGTGTGTHAAGKHEKKGIVEKIKEKLPGHGHH >KN538697.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538697.1:193334:196848:1 gene:KN538697.1_FG003 transcript:KN538697.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHCVGVLLRTAPVVHSFFLLLLVATAAIPGSVNAAASSQQTDALLAWKSSLAGPAALSGWTRATPLDFAAFPALTELDLNGNSFAGDIPAGISQLRSLASLDLGDNGFNGSIPPQLGDLSGLVDLCLYNNNLVGAIPHQLSRLPKIVHFDLGANYLTDQDFAKFSPMPTVTFMSLYDNSINGSFPDFILKSGNITYLDLSQNTLFGLMPDTLPEKLPNLMYLNLSNNEFSGRIPASLRRLTKLQDLLIAANNLTGGVPEFLGSMSQLRILELEISVNHLSGGLPPAFAGMCAMREFGLEMNRLTGEIPSVLFTSSPELISFQVQYNFFTGRIPKEVGMARKLKILFLFSNNLCGSIPAELGELENLEELDLSNSHLSGPIPRSIGNLKQLTALALFFNDLTGVIPPEIGNMTALQRLDVNTNLLQGELPATITALENLQYLSVFDNNMSGTIPPDLGKGIALQHVSFTNNSFPGELPRHLCDGFALDHLTANHNNFSGTLPPCLKNCTSLYRVRLDGNHFTGDISEAFGIHPSLEYLDISGNELTGELSSDWGQCTNLTLLRMNGNRISGRIPEAFGSMTSLKDLGLSGNNLTGGIPLDLGHLNLLFNLNLSHNSFSGPIPASLGNNSKLQKIDMSGNMLNGTIPVALGKLGALTFLDLSKNRLSGKIPRELGNLVQLQTLLDLSSNFLSGWIPQAAFCKLLFLHILILSNNQLTGKLPDCLWDLENLQFLDLSHNAFSGEIPAAKTSYNCSLTSVHLSGNDFTGVFPSALEGCKKLINLDIGNNSFFGDIPIWIGKSLPSLKILSLKSNKFSGEIPSELSQLSQLQLLDMTNNGLTGLIPRSFGNLTSMKNPKLISSRELLQWSFNHDRINTIWKGKEQIFEIKTYAIYIQLVTGISLSGNSLSQCIPDELMNLQGLQFLNLSRNYLSCSIPENIGSLKNLESLDLSSNELSGAIPPSLAGISTLSILNLSNNHLSGRIPTGNQLQTLTDPSIYSNNSGLCGLPLNISCTNYALASDERYCRTCEDQYLSYFVMAGVVFGSWLWFGMLFSIGNLRYAVFCFVDDIQSKVMQKVSCINQLLSRGNTAQYL >KN538697.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538697.1:344087:346348:-1 gene:KN538697.1_FG004 transcript:KN538697.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFTIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >KN538697.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538697.1:175651:178658:1 gene:KN538697.1_FG005 transcript:KN538697.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLPLLIILVAAAAAIPAPANAATPTEAEALLACISRLRSLTSLDLGNNGFNDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPNIVHFDLGANYLTDQEFAKFSPMPTVTFMSLYLNSFNGSFPEFILKSPNVTYLDLSHNTLFGQIPDTLSEKLLNLRYLNLSMNAFSGPIPASLGKLTKLQDLRMATNNLTGGVPEFLGSMPQLRILELGDNQLGGAIPPVLGQLQMLQRLDIKNSGLVSTLPSQLGNLKNLTFFELSLNQLTGDLPPEFAGIRAMREFGIARNILTGDIPPELFTSWPELISFQVQSNSFTGKIPPELGKAKKLQFLYLFSNNFTGSIPAELGELVELSELDLSVNWLTGSIPKSIGRLSQLTRLALFFNELSGTIPPEIGNMTSLQMLNLNSNQLDGDLPPTITLLRNLNYIDLFGNKLSGIIPSDLGRGVRLIDVSLANNNFSGELPQNICEGFALQNFTASNNNFTGNLPACFRNCTRLYQVSLANNSFTGGISEAFSDHPSLTYLDLSYNRFTGNLPENLWTLPALKFLDLSNNGFSGEISSSTSSNIPLETLYLANNDLRGVFPSVIKQCRSLIALDLGSNMFFGHIPIWIGTSVPLVRFLSLQSNNFSGDIPSELSQLSRLQLLDMSKNSFTGHIPSFGNLTSMFLTEIISGTESFNRLDTPLQLQVEHFSVVSRRTEPNNNRNQDEYGDRVNIFWKGREQIFQRTVDSVVGIDLSSNSLTEDIPEELTYLQGILFLNLSRNTLSGSIPGRIGSLKLLEYLDLSSNELSGVIPPSISNLLSLSMLNLSNNRLWGEIPTGSQLQTLVDPSIYSNNLGLCGFPLSIACHASTLDEKNEDHEKFDMSLNYSVIIGVVFGFWLWFGALIFLMPLRVFVFRFVDQIGRSYAKVVHIKALFRCKSASK >KN538697.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538697.1:349917:364027:-1 gene:KN538697.1_FG006 transcript:KN538697.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLDSNITIPNDASTQQPEILYIGLYLLIWGEASNVRFMPECICYIFHHMARDLYDIISDGRPDFDPPFRREGSDDAFLQLVIQPIYNVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKVKTEEHHDGVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFEPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLAFSFYVEIYPLVRPTRTIMFLGRGQYAWHEFFPYLQHNLGVVITVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHETEEDKIGKFSDIWNAFIHSLREEDLISNRERNLLIVPSSVGDTRVYQWPPFLLASKIPIALDMANSVKKRDEELKKRINQDPYTYYAVVECYDTLYSILCSLIVEQSDKKIVDRIHDSIKDSIRRQSLVKEFRLDELPQLSAKFDKLLSLLLRTDEDIEMIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWREKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIAKLELRRTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMITYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLNFYGPSFIEIDTTNRTARRC >KN538697.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538697.1:336022:342665:1 gene:KN538697.1_FG007 transcript:KN538697.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MNSSKNETTCYEPHQKEQNNHPEYCLRNAALNRVTIVGIQKINQKNTWELGLIDHLSEIIQAGEEDDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGDEADSEEGSNPEHAQEGTIKKDADRRISPTSTLESSFDSLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLSYNLGVYDSCRVLFDSFEAPDKCILSDMQTEMAELIDLSFAKEQIEQMIIQMPLCNDISPTLSNIIYQFDDENRRPPHEAISRQIPVMEDQVVDGNDVANDDITQNDMQNDCGTWDFGGCDDQESVYDEHCDPMDHCSMNGQEETDEYTFESAEGLDLNERIDKIADFFSFGMGFSAKTNAWAGPEHWKYRKAKDLDPVPTKPDDSDAPKKTKKKRGKDEPDIDFSKALEHDMPNIFAPPKNPKSLLLPANRATSNNKLPEDCHYRPESLVKLFLLPDVLVMCLARRRKKPLGGSRENTDDFITSEPWDGDDFCNDHVDEGNGDTDVEDAVDLITKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHINTSAESDDLEDKDTESPLCLSKVLQDLPSCNPDAAATEISPHLYFICLLHLANEHSLTLRDRPTLDEIDIYIPASSLVK >KN538697.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538697.1:305411:307103:1 gene:KN538697.1_FG008 transcript:KN538697.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) UniProtKB/Swiss-Prot;Acc:Q9LPV5] MEVEGVEAAGGKPRFRMPVDSDLKATEFWLFSFARPHMASFHMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSGYLLVRFFTGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYGKLHKTGDMHKDSFGNVLRHALTNYRGWILALTYGYCFGVELTIDNVVHQYFYDRFDVNLQTAGLIAASFGMANIISRPGGGLLSDWLSSRLWGLWTVQTIGGVLCVVLGIVDFSFAASVAVMVVFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPIMLIYFPQWGGMLVGPRKGATAEEYYSREWSDHEREKGFNAASVRFAENSVREGGRSSANGGQPRHTVPVDASPAGV >KN538697.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538697.1:232216:233978:1 gene:KN538697.1_FG009 transcript:KN538697.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVVKQAGGVGMVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKNVGAAPCRYAVSVTEALAGVKVTVYPPELSFGSYGEVREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >KN538697.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538697.1:203782:204042:-1 gene:KN538697.1_FG010 transcript:KN538697.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKATASTASPPTSWRHGSGGGNGDGVDRFTVYFVEAQKLQLLRRDESFELTLEPFTYELLSERWIGFAPIGLANMLNVGGAV >KN538697.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538697.1:276056:277643:1 gene:KN538697.1_FG011 transcript:KN538697.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSATPGTGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIMAQMAVTTAVVTTSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSSSGARGGTLSDDPEMHGANDPLRNRSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLAALPQWRFKEPADVPRDRDHDDQECCICLAQYKEKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELS >KN538697.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538697.1:300121:301199:-1 gene:KN538697.1_FG012 transcript:KN538697.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHLAALILAAVVLAASTSSGVVDARPIHTGPYHVVIRTAANKPGRRLIGLGGNAAICQEVHYKALCGTLTTLPGVMTPQQLLDAALRVAESKAMMAEKRVADVMKSRACMGG >KN538697.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538697.1:308899:311481:1 gene:KN538697.1_FG013 transcript:KN538697.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRPRTATPSPPRCRLSPSAYSYSKARHALRRYGNHDGRFHIKRITSFAAMDKQESITSPTTDALPVEETDNSTEDSPASGSSSYFTERGNGKSGFISFQGSSYQMRSVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >KN538697.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538697.1:319560:319880:-1 gene:KN538697.1_FG014 transcript:KN538697.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEMSERLFPRDEDGDEEDEEEMSPSIGVCGNAAGGYVYNAADPAIGAVLYELRDGVGSAVERWEWVPCAPSVEAEPLGRFILACSPVGLDELARGQPAACTAAQ >KN538697.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538697.1:258113:258466:-1 gene:KN538697.1_FG015 transcript:KN538697.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGHLGGKDAAGFVDYSSVLDVSENLGYGESSSNSSNWNCGVGAPEVNNALESEPLHWATESKVEPFVGYGGEGDAMEHKFGLPCHGQQEQGMTHFDFDVSRSMVVGDFNFEYFR >KN538697.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538697.1:209225:211333:1 gene:KN538697.1_FG016 transcript:KN538697.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVKFLSLYENLLNGSFPEFVLKSGNITYLDLSQNIFSGSIPDLLPEKLPNLTHLNLSINAFSGRIPDSLRRLTKLKHLRIQNNNLTGGIPKFLGSMGQLRVLVLGYNPLGGPIPPVLGNLHMLEELQIWGAGLVSTLPLQLADLKNLAVLDLSENKLSGNLPLAFARMQAIRWFDISDNNLTGDIPPALFTSWPEIEFFDVHSNMFIGKIPPELGRARKLNVLFMGGNRLSGSIPPALGSLTSLMYLDLSANNLTGGIPSALGHLSHLQFLNLSHNSISGPIMGNLGNNFKLQGVGSSGNSSNCSSDSAFCRLLSLENLDLSNNKLTGKLPDCWWNLQDLQFMDLSHNDFSGEISALGTSYNCSLHSVYLAGNGFTGVFPSALEGCKTLVSLDFGNNKFFGNIPPWIGKGFPSMRILILKSNNFTGEIPSELSQLSQLQLLDMSNNGLTGSIPRSFSSLTSMKNKKLISPQELSQWLSSDERIDTIWKGQEQIFEIKISGFNFFQLLTGIDLSSNSLSQCIPDELTNLQGLQFLNLSRNHLSCSIPGNIGSLKNLESLDLSSNELSGAIPPSLAGISTLSILNLSNNNLSGKIPFGNQLQTLTDPSIYSKNPRLCGFPLNISCTNSSLASEERYCRTCEDQYLSYFVMSGVVSGLCLWFGMFFSIETLRYAIICFVDAIQCKVTQKVSYINQFLSRGNTA >KN538697.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538697.1:286018:288240:1 gene:KN538697.1_FG017 transcript:KN538697.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYNVLGGKCNRGISVIDSFKMLKGTDVLNKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHILFENYGKPDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAHPNKAVQNVLKSFLHKIYKRQK >KN538697.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538697.1:370074:372103:-1 gene:KN538697.1_FG018 transcript:KN538697.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQEYYMLKRMERDEDAVESPAVHLEAMVGSTEGAGDGGLQWLKSVSLDMLGGIMDSGPSPGRLEGVRLRRLGCVGPFQNPRKDGVIEDGEDKLGELDIDDEEERRRVGAREEAAEEWHGRSRTEDGELDVPATESGLREDATDDGVIEDGEDKLGKLDLDGSGSLGGGDLVVEVEGVRVATLVGLGVLDGGHEGVGDGGFIGVEAKEESEMEGSLSRETANQRSRALSWMRGE >KN538697.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538697.1:214545:219108:-1 gene:KN538697.1_FG019 transcript:KN538697.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPTGAGLGAGLDALDFAALPALAELDLNGNNFTGAIPASISRLRSLASLDLGNNGFTDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPKIAHFDLGANYLTDEDFAKFSPMPTVTFMSLYLNSFNGSFPDFILKSGNVTYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSMNAFSGPIPASLGKLTKLQDLRMATNNLTGGVPEFLGSMTQLRILELGDNQLGGAIPPVLGRLQMLQRLDIKNSGLVSTLPSQLGNLRNLIFFELSLNQLSGGLPPEFAGMRAMRYFGISTNSLTSEIPPNNSLTGKIPPELGKASKLNILYLFSNNLSGSIPAELGELENLEQLDLSDNSLTGPIPSSLGNLKLLIKLALFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATITALRSLQYLAVFDNHMSGAIPADLGKGLALQHVSFTNNSFSGELPRHICDGFALDHLTANYNNFTGALPPCLKNCTALVRVRLEENHFTGDISEAFGVHPSLEYLDVSGSKLTGELSSDWGQCANLTLLRMDGNRISGRIPEAFGSMTRLQILSLAGNNLTGGIPPVLGKLSIFNLNLSHNSFSGPIPGSLSNNSKLQKVDLSGNMLDGTIPVAISKLDALILLDLSKNRLSGEIPSELGNLAQLQILLDLSSNSLSGAIPPNLEKLMTLQRLNLSHNELSGLIPAGFSSMSSLESVDFSFNRLTGSIPSGKVFQNASASAYVGNLGLCGDVQGLTPCDISSTGSSSGHHKRVVIATVVSVVGVVLLLAIVTCIILLCRRRPTEKKEVESNTNYSYESTIWEKEGKFTFFDIVNATDNFNETFCIGKGGFGSVYRAELSSGQVVAVKRFHVADTEEDDLLLKDILDQRLDAPTGQLAEEVVFIVRIALGCTRANPESRPSMRSVAQEISAHTQAYLSEPFKLITISKLTDYQK >AMDW01014775.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014775.1:51:194:1 gene:AMDW01014775.1_FG001 transcript:AMDW01014775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPTSLKSIIEKHSAMWQDEFVSALDNFKRDMIDLHAKRTCDMISDISK >KN546301.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546301.1:19:936:-1 gene:KN546301.1_FG001 transcript:KN546301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFEAYSVEEAVEVLIPYLEDTSSAAHKSIFFDGWSGLGASADEEDDFCGVEESSRAEVTDIGKEIYRAIKDLSCLLIFHNGSDDTVDTSKLGFPLYDWYNSNVNKVLWTFKGRLRLNPKIPDNVDSSHLKIFIRYYYSTEILAREAEEITQYSGELHLDGTKAAECCLYLLSLSYRGGHIMDYNWATHACNYWVCDGIITVQEEKGGGSLQEDQAWEFAAALHQEIRLEDYSSNREPYFGSALHTPTNRWVL >KN541941.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541941.1:15780:17383:-1 gene:KN541941.1_FG001 transcript:KN541941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEQKKVVVHFRSTGNAPQLKQSKFKIGGNEKFLKIIDFLRRQIHQDTVFLYVNSAFSPNPDELIIDLYNNFGIDGQLVVNYASSMAWG >KN539972.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539972.1:63310:70352:-1 gene:KN539972.1_FG001 transcript:KN539972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVKAKDEAVGVAAAAERGEEVEGEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKVPYPSLMINGEQ >KN539972.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539972.1:11166:20409:1 gene:KN539972.1_FG002 transcript:KN539972.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTMSNHGCLGWNLTCSLHPEVNAWRRGLRLDLGLLLGRKARTRPGGGKLDLANWLRCFVAPPLPQPAAEAEASAEGRDAGNREEEEVGNEEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQCNHSKLTYDGVDLMGERLAEEVRQVVQRRSNLQKISFVAHSLGGLVTRYAIGKLYDPSINEEASLDKENFSNEQRTSDGGKIAGLEPINFIAVATPHLGSRWNKQLPFLFGVPLLERTAAGTAHFIVGRTGKHLFLTDSDDGKPPLLLRMAEDCDDGKFMSALRSFKRRVAYANLQLTPSDKKYPHIIHVDKGNSEHHQQEESVEASLTDSMEEVMIRGLTQVPWERVDVCFHKSWLRYNAHHNIQCRPKKERERKHWWSSVNPAGGVEDAAGYKQPSDPQMKDLFLPFRCFCTRKDIHCKDVVLDDHDVSKAIVEFAAHAAIEKLVVGATARGGFVRFKAEISSSISKTAPDFSTVYVVSKGGKVTSVRQAVRQAPTEDMEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKTEEEQRSHETRLTEESAMALIEQEKAKARAAIEAAEASQRLAEMEAQKRINAEMKALKETEERLKSMGGGGSRGAVRYRKYTIEEIELATEHFADGRKIGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFNQEVEHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTAKPPMGLTHHVARALDHGTIVDMLDPAVHDWPVDEARCFAEISIRCCELRRKDRPDLATVVLPELNRLRALGEDNMQLCNTMSAGGGRSSMHSSPYNSNSSMHQPHRQTDMAIEHSVGRSSYDADTSQQAMQGRRLNYN >KN539972.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539972.1:46056:49090:-1 gene:KN539972.1_FG003 transcript:KN539972.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGAEDGKSQFKVVIWESMIIDLWTPWTSQLDNSILQTLALALASLEAITWDKAATYIKHAQGLKEKYVEAMDRNDVKAIMLARASCSSSFLYRERDELRSAESGGGSMSLWRKRGWLQHYDRNRKDKRYSYSPTFKETAARVRYEVAGRNKRPCAACRKSNDWLQRFGDRRGFSSG >KN539972.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539972.1:43345:44990:1 gene:KN539972.1_FG004 transcript:KN539972.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTGVSGHEHIIDIPRDSGPSTSTSHSVARENHGEPNPVDRPATRALVPALQAPSAVGAPSAGHTSGARRSDNYVRRHRSPLNSGLWISIEVLVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGENEPAHTLQGSSQNNSTEPSASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHSSGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDTRRSDHTDIPVQEIEMH >KN539972.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539972.1:24964:25605:-1 gene:KN539972.1_FG005 transcript:KN539972.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQAGELQILLEYMDGGSLEGRRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGRFPLGENLGKQGDWAALMCAICYSDSPAPPPNASPEFKSFISCCLQKNPARRPSAAQLLQHRFVAGPQQQQQPQPQPLAPPPS >KN539972.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539972.1:57725:61821:1 gene:KN539972.1_FG006 transcript:KN539972.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSTIHEDCVVYTVPLLLPLQPPAYEVVNIIGKGARTVGFWTPESGITQDLNAGSAKTLKQILWPGEPRDTPRGWTVSPSGLPLRVSVPTKRGFTQFVDVGNVTATGRRNITGYCIDVFDEVMKIMPYPVDYVYDPYPDSPESYEKLVDQVSSQKADAVVGDVTITASRMEEVDFTMPFTESGWSMVVAVQKETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWVIEHRINEEFRGTPWQQFGLIFYFSFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELLRRGDYIGFQEGTFIVPVLEKMGFEGRMRSYSTVDQYADALSKGSANGGVAAIFDEIPYLKLFLSQYCDGYTMVGPIYKTDGFGFVFPRGSPMVADVSRAILTLAEGEKMAQIEKKWFGEPGACQSQGSAVGSSNLSFRSFGGLFLITGVVTSAMLLIYLAVFFYRERDELRAAEAAADKDLKSPTFKRRWSDESVRNGSEYAASRTPRWGDESPCNVAGAADADAGRIPEEAVGGMSPFSISTSSEERNGAVSPAAEFDRAAEVAGTSQPR >AMDW01035650.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035650.1:2:517:1 gene:AMDW01035650.1_FG001 transcript:AMDW01035650.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAARVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKELQEKLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKE >KN539150.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539150.1:115731:118695:-1 gene:KN539150.1_FG001 transcript:KN539150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAASTATIDWELLEAAISGDTRSMKMKYMDSHDPTILLGKNPQGNTCLHISSMCGHLEFCKDVLSLPQDPTVKKKLLTTVNVMNETPLLTAITSGHVTLAAFLLKYCHEQGFSEVILKQDKHKCNALHHAIRNGHKDLALELIATQPALSKDVNKYGESPMYIALMMRDSKFTDIFEKLLGIDGSSHSGTYGYNALHAAIRNGNPDIAKRIIVERPNLATEENKDGNTPIQLAMLHIAKKMGGHVFIKLSNQKLVNMRSSKGKTALHYAVQKCDPKIVAALLDKKIDLTILGSDGNAAAWELRDALDSAKTLNWNEVSMLMIKADPPNAKSVYNLHEEAKEKLINASRKDARSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGSQGLPIMARNIAFKAFLISDTLAMCASLAVAFICIIARWEDLDFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAVGICSVAVLVPILTKVLGEWPVLKLRIRLGQAFKSEFLDMV >KN539150.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539150.1:99059:101407:1 gene:KN539150.1_FG002 transcript:KN539150.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKCSITIIIQLLFCYMLCQPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKILSLHLGSMGLKGHFPDGLENCSSMTSLDLSSNSLSGPIPADISKQLPFITNLDLSYNSFSGEIPESLANCTYLNIVNLQNNKLTGAIPGQLGILSRLSQFNVANNQLSGPIPSSFGKFASSNFANQDLCGRPLSNDCTATSSSRTGVIIGSAVGGAVIMFIIVGVILFIFLRKMPAKKKEKDLEENKWAKNIKSAKGAKVSMFEKSVAKMKLNDLMKATGDFTKDNIIGSGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGSVRQRNLLPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQTSEKKALEWPLRLKIAIGSAKGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDHDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNAEAEKLDELIVAN >KN539150.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539150.1:40184:44216:-1 gene:KN539150.1_FG003 transcript:KN539150.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGGDAGPEDEEEKRKWDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTDKDGAQRAIEDLHDKEHKVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGIPPPPPPRRGDRRDGGSRGGEGSHGRRYRPY >KN539150.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539150.1:10937:17718:1 gene:KN539150.1_FG004 transcript:KN539150.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEYACVSDNSECVNSTNGPGYYCKCNKGYEGNPYLVGGCNDIDECARSDEYPCHGDCRNTVGDYDCKCRTGYQPRGGGPKIDECSQKFPLPAQIALGISLGFSFLIVAALFTLMMLQKRKINEYFKKNGGSILQKVDNIMIFSKDDLKKITKNNSHVIGQGGFGKVFKGTLEDNTMVAVKTSIEVDVPMLVYEFAANGSLQDILHGDANRSLPLTLGIRLDIAIESAEGLKYMHSSTNCTIRHGDVKPANILLTDKFVPKISDFGTSKLLTKAYDEVHSGRAMFDKEIAVEEDIFILEEIGKLAIECLKEKVEERPDMKEVAERLVMLRRARKHGQGSYNLSPRHHEEISIETTPTSFGADFSTNSSVSLSATCTPERKELYKL >KN539150.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539150.1:28180:31121:-1 gene:KN539150.1_FG005 transcript:KN539150.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRLRPVQAPSCSSQNLLSSFSPSFGFLSSSVSSWMQLSSPRNLRPGEKFHDFVLSSGRRSSNSSPATVWIRLQADHGIPLPGSIDPILNFWLGSAAAPLVSRQIENTIFTLQVPSVEEVTLLLLLGGIRYGLLLLRFFPDGPSAMAAPPLALPQSSPLAKAASSRLPGGPHLVRAGLTSDHHRLTSVGHSAGPHRASAPAQSPLNPVPDMEAHNLPDDMEYVPEQVQAPPDAEDLMPPRRPDHVEAFMPHVDLELFNNLAFAFIDPPAAEPAGITRRALQLQAHNPRVSLVSSSRGAKLLIFANGAVRDHIVGCAPFIRMEHSIRIEPHEHADNRFQFEHESMAALSIEDYPIEHWFREHITHVVAPFANPHIIVPFCLMGSDYSAVLMTVKAESVFDVPEFLFVKNHCGIGTMAKADPILSRPAKVEVKLFDGFFHILAVGPNGEQGSYRIPMQPRQAAGGRGLLVVNLATCSVGLIHRIALVGPARRPVLSVKVICARDELPGEQIGLDHAADICGLPAGHGGPPIITPIRLPQAQQDPLAFLPIEAPPQASPLECSTTSPVIPLSLGGGDPVGAAAPHVGAERPRRSARLALTEAPQFISILDKAAHRKKLKMEGAKKMDALGALPAELLELANDEPGPWPLTDLKAMGRACEITDDDLASLDATPRVPSSSHA >KN539150.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539150.1:103544:110267:-1 gene:KN539150.1_FG006 transcript:KN539150.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGKWGINQLSARGRVPKSITPTHASRSECSEAGHSGAHSRSPQRSWLLVSMAEELAGQSLGLPTHGQGVVFIQIRAQRSCTTVHMVVRVLGMSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDTGTAAGEGGADEMDKAAGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEGRTLRCSLSQAKHRLFVGNVPKGLGDSNKELPWIIAFSYVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFDGNCFLWKADMFRSFALMILQDLCSQHCYTGKCWKYPWPNLWVTRNLITRSSQQELQIFHFLLMVATWEIHMPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGIPPPPPMRRGDRRDGGSRGGEGSHGRRYRPY >KN539150.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539150.1:24614:25189:1 gene:KN539150.1_FG007 transcript:KN539150.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAIIGENSSSVQCPEEEFALVLGKIVPRVAPKWVVMQVVEVAIVGFFRGVWTMARGDTGVREPRLKVKIKILRGQDTGDRAVSASSVREEDREAAGKGLVVEPSVMPEGGVAAVTYQSASVAAKIGDARGDIAPDRRGVSWCVPQIYVGGPTKSFLKVELPGSIA >KN539150.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539150.1:47871:53678:-1 gene:KN539150.1_FG008 transcript:KN539150.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLLTARCGDVDIPYPFGIGPNCSRGEGFEIACNTRNGSGDLVPTLAAANGSIHVQSLSVEQLPEVKVMLPVAYKCYDSGDNVTRRFYGEVDLNNNGVYRISDSRNMFVVIGCNTLSYTQNGNSGGSNTHYSGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDISPGLTDNVVSFGPWTRGFQVDFSPCDYSFLVDKNEYEFRSADLKMDLNRTMPVWLDWAIRDSVTCPPPEVQEKKPAGYACVSDNSECVNSTNGPGYYCKCKQGYEGNPYDKDQGCKDINECDVSNKKKYPCYGVCNNIPGDYECHCRVGYQWSGEGPKKQECSSKFPLAARLALGITLGFSFLIVAVLFTLMMHQKRKMNEYFKKNGGSVLQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGILEDNTTVAVKTSIEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLPLGLRLDIAIESAEGLRYMHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLNVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIANEEDILILEEIGRLAMECLKQKVEERPDMKEVAERLVMLRRSRKCGQGNYSLSPQHCEEITIEGTPKNFGASISASSSATLSAPATPLN >AMDW01037437.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037437.1:38:669:-1 gene:AMDW01037437.1_FG001 transcript:AMDW01037437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVGDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLTAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNS >AMDW01030873.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030873.1:21:269:-1 gene:AMDW01030873.1_FG001 transcript:AMDW01030873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIYQKLCNLPSDKHSLPLVNQRSLKIRQSLDAYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQADK >AMDW01024499.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024499.1:14:322:1 gene:AMDW01024499.1_FG001 transcript:AMDW01024499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDVALAPFKMAKPIKPLLSMSYNLSTVLTDVAYVGLSAATGPLETSHYILGWSFSMNGSAPSFLNAQLPNLPRRGTTQKGSRRSKVLLIIVPIATATSAVA >KN541375.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541375.1:4139:6421:-1 gene:KN541375.1_FG001 transcript:KN541375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAILQKRKKQAEEMLAPLPFPHLTAAEEIPPNPETPISGEAVAVAVRRKERKRKKEEAAGVGKKEKKRKSREGPPAPEARRKERKRMLMPRQPSHDQIHGIQVQANPPPLAGGRDEAYGRSSCKKIRVLSNREIIKMRIQLRKHQPLPQGIFDPEIIMASNSTQQDPNHSSPFGAFFDQFCYKPTRQDRTPPLPRTPDLLVRPPPRDHLSSASSQLMTNHTCKINSTCKTTTFKTRSGPNQGNTKVKEMARMNKERKPAPLLSRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGKQVRRKVKGFFKRYPDAQTAFSADPEKMAKYLAPLGLQRVKVNRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPADHKLVDYWKYVCFELPMIQLRDF >KN541375.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541375.1:17382:18446:-1 gene:KN541375.1_FG002 transcript:KN541375.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPTTEEEEEEEGPAREKSRRRGKWPLSDQVKILSALAAHRQAGEELPSGRALLDEVKQQLSRERFTARDLDKKVSHLRDRYIDHIYKPPPARRRPHQHHTTLFDLSRKVWPQFQHPPQAQAVHISSHGADSASGPIVISSDDSSGDHIIISSKAAAAMAPTVPLASPTPTIVIISSSSSWTSSSSSTTSTGSVSVGGDDEATSRLRRGPYRCWAVDDEIKIIDTIAALRRNNMGNMPYAAVLLRALQAADPPLLRRGLDAATLSQKVYRLKVKFRSAAMAAATNAGKKRLRNKRNKALYHHSKKAWPEELRQAKATAANNIQVRRLRTSYGGTRVGFSSLSSISPHQST >KN541375.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541375.1:11477:12220:-1 gene:KN541375.1_FG003 transcript:KN541375.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGADNGGLSFRRNLNNQDFELLSNLKEMLNGVELNNSSDCLIWPFDKKKLFSTKSMYRLMKFGGVVDKDMQEIWGSKVPLKIKHFLFLAGRERIPCADLLVSRKWKGGNRFSKFVWCSVIEVIGSDKVVYRSIALANRWKVLLRERERVELNVWLEKLNQKMKELRPGDVLPDNALV >KN541375.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541375.1:8888:10006:-1 gene:KN541375.1_FG004 transcript:KN541375.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFNSVVQRMGLKTKSRLVVDVPHRWNATYDMIHDSLAYKAAINIYATEQHHEAPSDSDWGKAESLHGFLQAFSDATKTFSTDRHPTSHLFLKMVLAIRDVLLDERWEQDQLLQEMANAMYVKFQKYWNVPNIVLLVAAVMDPTQKIDYLRFYFYTIGQNVEEKIKELRTCLNKYYLEYEKIAGSCELPTFIERNEHILANDPSSSSLGGYATEGSRTCDLEDYV >KN541375.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541375.1:23970:24938:1 gene:KN541375.1_FG005 transcript:KN541375.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPTTEEEEEEEEGPAREKSRRREKWPLSDQVKILSAHAAHREAGEELPSGRSLLDEVKQQLSRERFTARDLDKKVSHLRDRYIDHIYKPPPARRRPHQHHTTLFDLSRKVWPQFQHPPQAQAVHISSHGADSASGPIVISSDDSSGHIVISSNDGAGADSDAMVVSLSSNGSAPGPIVLSSDEEEEEPARKKSCRENWSFSDAVKILTALAAHRQAGGELPSGHALFDELQHQLSRERFTARELYKKVKSLKDRYMDELYRPPAARRRPQNSTLFDLSRKAWPDFHFHFQPQPHDEAVQISSSSSSSSSSSSASSSS >AMDW01040720.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040720.1:82:1818:1 gene:AMDW01040720.1_FG001 transcript:AMDW01040720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQSTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >KN542368.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542368.1:1069:10033:-1 gene:KN542368.1_FG001 transcript:KN542368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLTSVDALGVDMWDSNCSNFRQNMSRNSVKLRFIFAGYRTAAELPRKSTSNDKHHNRVNMQRDEASVSSDKERQEKYGDENGISNLQLEDLIQMIQNTEKNIMLLNKARLQALEHVEKILKEKEDLQRKLRILETRLSETDARLKLSAERQFGTEINDSLPVLELDDIKEENMLLKDDIQFLKTMLIEVAETENSIFTLEKERALLDASLRELESRFIDAQADMLKPGPRQYDAWWEKVENLGDLLETATNKVENAAMVLGRNHDLEDKVDKLEASLAEANISKFSCYFVDLLQEKIKSVEEHFQVCNHEMHSQIELYENSIAEFHDILSKLVEETEKRSLEHSASSMPSELWSRISLLIDGWLLEKRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDNFLKITLPGTSSGLHIIHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVVVQSYFEGNLFNNKIWTGTVEAYAGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKIDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVQQLDREDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDSLKLHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDLQGKAANKAALRKQLNLSSTNASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGIADHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPKELQNGFTFVHPDEKALSGAMERAFNYYNRKPEVWKQLVEKDMRIDFSWSSSASQYEDIYQRAVARARAAA >KN541015.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541015.1:16154:19765:1 gene:KN541015.1_FG001 transcript:KN541015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALVAVTAILFFSTLTALADESDTQREALLCLKSHLSSPNGSAFSTWSNTISPDFCTWRGVTCSSKLQERPRVVVALDMEAQGLTGEIPPCISNLSSLARIHLPNNGLSGGLTFTADVARLQYLNLSFNAISGEIPRGLGTLPNLSSLDLTSNNLHGRIPPLLGSSSALESVGLADNYLTGEIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLGENNLSGAIPPVTIFPSRITNLDLTTNSLSGGIPPSLANLSSLTAFLAAQNQLQGRSTLPNIQVLMMSNNHFVGEIPKSLANASNMQFLYLANNSLRGVIPSFGLMTDLQVVMLYSNQLEAGDWTFLSSLKNCSNLLKLHFGENNLRGDMPSSVADLPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLGNNLLTGSIPHTLGQLNNLVVLSLSQNKFSGEIPQSIGNLNQLAELYLSENQLSGRIPTTLARCQQLLALNLSNNALTGSISGDMFVKLNQLSWLLDLSHNQFISSIPLEFGSLINLASLNISHNRLTGRIPSTLGSCVRLESLRVGGNLLEGSIPQSLANLRGTKVLDFSANNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVGGIFSDRDKVFVQGNPHLCTNVPMDELTVCSASASKRKHKLIIPMLAAFSSIVLLSSILGLYLLIVNVFLKRKGKSNEHIDHSYMELKKLTYSDVSKATNNFSAANIVGSGHFGTVYRGILHTEDTMVAVKVFKLDQCGALDSFMAECRALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTKFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNNDDVACVCDFGLARSIRVYSSGTQSISTSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGFTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKTGIMDICALQLLKLGLECSEESPKDRPLIHDVYSEKWNTEE >KN542368.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542368.1:14800:15600:1 gene:KN542368.1_FG002 transcript:KN542368.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSQLMEMFISAAIGDLISRSMSFIVGKYCNCNQATAEENLQRLRQLLMRISTIVEEAEGRHVRNQGMLHQLKILRDEMLKGCYLLDNFRYRAIQDKAKDDEVSHSFALSRFNPAKRLRFPTSKPQQSVFSGGEVEDLQKMVHRLEILIADMKEFIAFLVQYRPMYRQPYSTHLFLDKCMFNRHMELEHAIEFLLQMEPPGSSNLGVFPIIGPRHIGKSTLVEHVCIDERVRNHFSLILFYSGNSCVKRSSGNSRKDETPTTL >AMDW01024215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024215.1:19:270:-1 gene:AMDW01024215.1_FG001 transcript:AMDW01024215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLGCEFFAGESGVVSFDGAEKVAAVAPAGAAAGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAAR >AMDW01021847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021847.1:9:263:-1 gene:AMDW01021847.1_FG001 transcript:AMDW01021847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAP >KN544240.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544240.1:3238:3669:-1 gene:KN544240.1_FG001 transcript:KN544240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQFCTLNGTAFEGNENLCGEIVDKICLMNSNHSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTAFRSRLCSFMDGCMSKMGWILVP >KN539445.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539445.1:52035:52604:1 gene:KN539445.1_FG001 transcript:KN539445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTWIARGLVLGEAATTRRAALRGMLPIGARRRCPERSHLLGATNGGGVNFDVDCSRIGSGRGGDYSKGGATRGTARDGDQLERRDSALKGDQHRHAAEDGGRSLGGVQCPGATMLAVCLLISSGKGHGLWDYWKSSADKTGHGLRVAEGEHSWADAVGRDHGLEHSRVDAIDDCGGVERSSDMPMT >KN539445.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539445.1:26150:28983:-1 gene:KN539445.1_FG002 transcript:KN539445.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDLATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGLAVWPLNFQICVGSSEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQ >KN539445.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539445.1:61574:70353:1 gene:KN539445.1_FG003 transcript:KN539445.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKLMRRKYLSFHLERCRFGPFGLRKVVPTIDRRVVTHKGKKREEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDTQPRSTKEDQAVSFRTATAKEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDRKGNNSLASGDYDEVARPGQTNGSSLKHQFQKDTPPPPAPRNNNLSKNEKQSIPVARADEDDIFIGDGVDYSVPNKEMSHSPVSEDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQPNGYDAIQAQMVAAGYQGEWSGYQYGEQQMPYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDSREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDGGGHYDDDPPSGKKQRA >KN539400.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539400.1:60891:61930:1 gene:KN539400.1_FG001 transcript:KN539400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDFKNKSDLLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWAAYIDEKLFSAWILVFRSKTEEEKAEAVKQTFAVVEKLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAVKEFLWLYLVLLVQPCSSVHIAAPCIFAMCRRSCQVVIVPRY >KN539445.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539445.1:80307:80528:-1 gene:KN539445.1_FG004 transcript:KN539445.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEIHDGMGLELYYN >KN539445.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539445.1:19877:21669:-1 gene:KN539445.1_FG005 transcript:KN539445.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSQQYPMPTYNQSHPTKVGPWGGTGGTPHDIPIAPQRLESITIRHGWTIDSMSFSYTDQTGQWRSVGPWGSSSGGDTTTIQLGPSEYVMEVSGTVRRVQQQRGGDVAEGGHQPEGYYECSGCSVQCDMFWK >KN539445.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539445.1:74695:77681:-1 gene:KN539445.1_FG006 transcript:KN539445.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAADDDAPPSPPPSAFHPASADTPMSDATPSAADTPNLPDTPASASAEPETPFSDAALADASDADASGVAAPPDDDGTNPLGGAMKHMALAPPAPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSGGANGRLPKPSSGEDELVLTPAPRFAAERNDDAPDRPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGQYHTTIVFDSTLFNRLVVDCQPGKQQMLFFMKQLGSEVCYFKNGICQGTAFVDIPGGRYYPAASMYTLPDQPNCQVRFNFGPNFEFFPEDFGGRLIPRPMSDVPYRPFELANGGPAENGTAEKNI >KN539445.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539445.1:4224:6411:-1 gene:KN539445.1_FG007 transcript:KN539445.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAALVFTFLLKENSTSLKAKKEGGGGGFLLAPYKVVVVMHGR >KN539445.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539445.1:32209:39462:-1 gene:KN539445.1_FG008 transcript:KN539445.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQQQREEERSPMGAAQRRLRAVSAHLQPRTESGGVDLAANPTAGEYAHVYNSMCIASPVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCADGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMSYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAIDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLREAEQIKPKL >KN539400.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539400.1:44493:45318:-1 gene:KN539400.1_FG002 transcript:KN539400.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNNSSGEPPPAVRVLGGWASPFTNRVVVALKLKGVEHELLQETVGKKSELLLRSNPVHKKFPVLLHHGKPLPESLVIVEYIDEVWPASNGAAPAILPRDPHGRAVERFWARYVDDKILPGLRVLRGSVAGDKYKTAGEMSTALQRLEEAFVKCSQGKEYFGGDSIGYLDIALGSFLGWIKAVEKIAGVELLNETKLPILAVWADRFCAHPAVVDVVPDADKLVEFTVQYGAVLNTTNVLPK >KN539400.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539400.1:9414:11672:-1 gene:KN539400.1_FG003 transcript:KN539400.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSMRWWWCLAVVLLLSTPLLAAGYLQERKNYIVHLEPRDEAAAADSVEEWHRSFLPQVAKLDSDSDGGARIVYSYSDVFTGLSPEEFLPLATTRSPGFLGLHLGNEAFWSHSGFGRGVVIGILDTGILPSHPSFGDDGLQPPPKNWKGTCEFKAIAGGGCNNKIIGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASSGTQFNYDPIAIAGFKAMERGIVVSCAAGNSGPDPGTVGNGAPWMLTVAAGTMDRAIRTTVRLGNGDEFDGESLFQPGNNSAANPLPLVYPGADGFDTSRDCSVLRGAEVTGKVVLCESRGLNGRIEAGQTVAAYGGAGMIVMNRAAEGYTTFADAHVLPASHVSFDAGTKIAAYVNSTDNPTASIAFKGTVIGSSPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGVGLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGVPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGIGDDGVKEIAHRPVTCSDVKAITEAELNYPSLVVNLLAQPITVNRTVTNVGKPSSVYTAVVDMPKDVSVIVQPPMLRFTELKEKQSFTVTVRWAGQPNVAGAEGNLKWVSDEHIVRSPIVIPATAA >KN539400.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539400.1:81489:84636:1 gene:KN539400.1_FG004 transcript:KN539400.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKLLGAFPSAYVTRVELALGFKGLSYEYVKEDLANKSELLLSSNPVHKKVPVLIHNGKPISESQVILEYIDEAFTGASLLSRDPYERAVARFWVAYIDDKFITSWYPMILGKTREEKEEGLKQTFAAVNTLERALKDSSKGKPFFGGDTVGLVDITLGSLIAWMKATEVLTGAKIFDPAKTPLLAAWTERFAELDTTKKVLPDVAGPFALRVKLALSFKGLSYEYAEEDLSNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEAFPGAGVPLLPSDPYERAVARFWAAYIDDKLLKSWLQASMGKTEEEKAEALKETFAAVANLEAAFEGCSKGKPFFGGDAVGYLDVTLGALVSWVHAGEALYGMRLFDATRSPLLDAWVDRFAALDAAKAALPDAGRLAEYAKKRQGGGAAGEAASN >KN539445.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539445.1:98504:98854:1 gene:KN539445.1_FG009 transcript:KN539445.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASLPFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKEPHKGCVSTMEAVARALRLLEPEGRGEEIEETMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRDARLE >KN539400.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539400.1:161:4754:-1 gene:KN539400.1_FG005 transcript:KN539400.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVPYGPPAGGSQHGGMQMVAYGSPAGGSQHGSVRPSLKVVLLHGTLDVWVYDARNLPNKDLFSKRVGDLLGPRLIGAVGSKMSSANMTSDPYVTIQVSYATVARTYVVPNNENPVWTQNFLVPVGHDAAEVEFVVKDNDVFGAQLIGTVSIPAEKLLFGERINGIYDVLESNGKPCAQGAVLRLSIQYIPVAQLKMYHHGVIAGPDSLGVPNTYFPMRRGNRVTLYQDAHVPDGCLPDFCLDHGMRYQHGQCWRDIYDAICQARRLIYIVGWSVFHTIHLIREGVEKMPSLGELLKMKSQEGVRVLLLVWDDPTSRSILGIKTDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNHKRKIIAFVGGLDLCGGRYDTPSHPLFRSLQTVHKEDYYNPNFATVDARGPREPWHDLHSKIDGPAAYDVLQNFQERWLKASKRHGIKKLGKSYDDALLSIERIPDFISINDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRGAQHFIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKAKERFSAYIVIPMWPEGNPTGAPTQRILYWQHKTMQMMYETIYRALKEEGLDDLYEPQDYLNFFCLGNREVADSPSTSNSTSTPQLISIRGPWKVSEILRLQWVRISHSIHGQVRFLHPVDRVT >KN539445.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539445.1:40929:46009:1 gene:KN539445.1_FG010 transcript:KN539445.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYSEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLALMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFCIIHTCLPKLLVQLGKPPVANNQVAIDKIPLLVEEGAIVIVYFCRDLHLSGQ >KN539400.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539400.1:85370:85756:1 gene:KN539400.1_FG006 transcript:KN539400.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAIDAVAGERGPAALEAKALLQASLPQHLLQIDAEETSGPWRTRWTSWGRLTSIGLKTHLACFSILPCMAEILFVMVNRFGNIGKKL >KN539400.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539400.1:78973:79858:1 gene:KN539400.1_FG007 transcript:KN539400.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELNLLGTWPSDPFVTRVQIALGLKGLSFEYAEEDLNNKSELLLSSNPVHKKVPVLIHDGKPISESQIILQYIDEAFNFNGAFLLSADLYERAVARFWAAYIDDKFVASWVPMIMGKTEEEKAEAMKKTIVAVEMLEGALKDTSKGKPFFGGDTIGLVDIMLGALNSWMKATEVLTGAKIFDPSKTPLLATWAERFNELDATKEVLPDVDGVIEYAKMWQAEVAAAASEN >KN539400.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539400.1:67730:68546:1 gene:KN539400.1_FG008 transcript:KN539400.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKIALSLKALSYEYVEEDFKNKSELLLSSNPVHKKVPVLIHNGKPICESQVILQYLDEAFPDAGATLLPADPHERAIARFWAAFCDDTIAKASQQASSGKTEEERAEGEKKVVEALEKMEVGLSECSKGKPFFGGDTVGYVDIVLGSFLAWVRAGDAMKGVKRFDPATTPLLAAWAERFVELDVAKAAMPEVDKLIELAMARMAGAAAAAAAATN >KN539400.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539400.1:43225:43699:1 gene:KN539400.1_FG009 transcript:KN539400.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTAWVSYRANLASSRPLTPGIFSDRLLERPMTKGGENFGAFWSTRTWGGDLLRL >KN539400.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539400.1:15213:18999:-1 gene:KN539400.1_FG010 transcript:KN539400.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLALVAICSYLAGPFNHGNAKDLFGDGIFAIDGDKWKQQRKIASYDFSTRTLRDFSCAVFKRNAAKLAGIVSNHAASNQSMDFQLARLLNVGVEAMLKERIKVVDEFVYRLIRARSDELSNSHDSGSRQDILSRFLQATTSDSGVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMVCKHPEVQEKICHEAMEATSAGDTASVDEFLQSLTDQALNDMHYLHAALTETLRLYPSVPMENKQCFSDDVLPNGFNVSKGDIVFFIPYAMGRMESLWGKDAESFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLRLRDEKEIVSYRTTLTLAIDQGLHLTATAR >KN539400.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539400.1:51645:52682:1 gene:KN539400.1_FG011 transcript:KN539400.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWASYIDEKLLGAWIPVFRGKTEEEKAEGVKQTFAVAETLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAAKEAMTDIGRLVELEKMRQAQAQAAVY >KN539400.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539400.1:64435:66413:1 gene:KN539400.1_FG012 transcript:KN539400.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDDDLKMLGVYVSPFPLRVKLALSFKGLSFEYVEEDLHNKSDLLLSSNPYLDEAFPGAGAALLPSDPLDRAVARFWASYIDDKLFSAWKMVFKGKTEEEKAEGRKQTFAVAETLEGALRECSKGKPFFGGDAVGFVDVALGGFVPWVHAMEELFGLKQFDAATTPLLAAWLERVDIEI >KN539400.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539400.1:99543:100290:1 gene:KN539400.1_FG013 transcript:KN539400.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYLDEEFPNSGVSLLPSDPYDRAIARFWAAYINDKLMPSWLQSSMGKTEEERAEALKQTLEAVANLETAFMECSKGKPFFGGDAVGYLDVSLGAMIGWMRAGEALHGRRTFDAIRSPLLDAWMERFAALDAAKAAMPDNNKLVEFVRVRRAAAANN >KN539882.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539882.1:66295:75089:-1 gene:KN539882.1_FG001 transcript:KN539882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILPDDLMLEIAVRSDIVSIVRCAATCKSLRGRILEEEFCRRHNNAATSLLRGVSYRLRCDLNTFVGVTQAASSPSSSPLPRFDAGILNTFEPMVSRDGLVVVLEHYVYAGPDRFNMCVCNTITGDVTSLPAMDPAMKVKRRLIYPPALLDVGVAGRSFELLVADNELHTQTFSFDDGGGGWGATRTIRMDAGHRKPSIPMPFTTFPAVVGRAVHWLAGTERYWELQAHGVVILSLRVDAACAATMELPPGSADKMLGCRKDSHDLMLAASRGGTALSLVVAERELISVWTLEEESSAAAATTAARWSRQVVITRLAIDRSAEAARMYSNVFFEGFGERSGVVLLRLYRFGLVQLNLATKEALVVRRVSASSTLPDDVLLEIFVRSDAASIVRSAATCKSLRRRILHQQFRHRHLAGNGNSSLLLGVSYRLCTDLNTFVGVTSSSSPLRFNASLLESFEPMASRDGLLVLKQRVANNAGDGGEHRSNGFFFKGRSYSFNVCVCSIFTGGGDVTTFLPPMDPAMHVNMESHKNIYPPANAKSMSELMLAASRGGAALSLVVAEREVISVWTLEAAAAERWSRQVVIARVAIDRSVEARRLYQTVFFEGFGERSGTVLMRIVNVGLVQLDVATKKAIVVRDSGAGYSLGSWVVSSSCCNGKQIYAPSMASLPDPCSNATSAAVLPWWIRERNDKKRGGADPWRKKPFSCIRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >KN539400.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539400.1:70115:72892:-1 gene:KN539400.1_FG014 transcript:KN539400.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVHRFFLVVLFAAAAAIPASVEAAASSSQTDALLAWKASLDDAASLSGWTRAAPLDLNDNYLVGAIPASISRLRSLASLDLGSNWFDGSIPPQFGDLSGLVDLRLYNNNLVGAIPHQLSRLPKIAHVDLGANYLTGLDFRKFSPMPTMTFLSLFLNSLNGSFPEFVIRSGNLTFLDLSHNNFSGSIPDMLPEKLPNLMYLNLSFNAFSGQIPASIGRLTKLLDLRIDSNNLTGGVPVFLGSMSQLKVLDLGFNPLGGTIPPVLGQLQMLQQLSIMNAELVSTLPPELGNLKNLTVMELSMNQLSGGLPPEFAGMQAMRVFSISTNNLTGEIPPALFTSWPELISFQVQNNLFTGKIPPELGKAGKLIVLFMFGNRLSGSIPAALGSLTSLEDLDLSDNDLTGGIPPELGHLSHLTFLKLSHNSISGPIPGNMGNNFKMQGVDHSSGNSSNSRSGSDFCQLLSLKILYLSNNKLTGKLPDCWWNLQNLQFIDLSNNAFSGEIPTVQTNYNCSLESVHLADNGFTGVFPSSLEMCKALITLDIGNNRFFGGIPPWIGKGLLSLKFLSLKSNNFTGEIPSELSNLSQLQLLDISNNGLTGLIPKSFGNLTSMKNPNTLSAQETLEWSSYINWLLYSDGIDTIWKGQEQFFEKTIELLTGINLSGNSLSQCIPDELTTLQGLLFLNLSRNHLSCGIPKNIGNMKNLEFLDLSLNELSGAIPPSLADISTLDILNLSNNHLSGRIPTGNQLQTLSDPSIYHNNSGLCGFPLNISCTNSSLASDETFCRKCEDQYLSYCVMAGVVFGFWVWFGMFFFSGTLRYSVFGFVDGMQRKVMQKVYCINQFLSRGTTA >KN539400.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539400.1:76132:76898:1 gene:KN539400.1_FG015 transcript:KN539400.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKLALSLKGLSYDYVEEDLMNKSDLLLSSNPVNKKVPVLIHNGKPICESQIILQYLDEAFPGAGATLLPADPHERAVARFWAAFNDDTLLAASQAASWGKTEEERAEGEKKVVEALERMEVGLRECSKGKPFFGGDTVGHLDVVLGSFLAWVRATDVMRGVKRFDPATTPLLAAWAERFVELDAAKAVMPDMDKMIEFGKVLQARAAATN >KN539400.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539400.1:48248:49017:-1 gene:KN539400.1_FG016 transcript:KN539400.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVALKLKGVEYELLQETRGKKSELLLRSNPVHKKIPVLLHHGKPLAESLIIVEYIDEVWPASDGAPAILPRDPYCRAVERFWAQYIDDKFPRGTRVLRGTVAGDKDEVVVEMSTALQHLEAAFVKCSRGKEYFGGDNIGYLDIALGSFLGWIKAVEKFAGVELLDEAKVPNLAAWVDRFCAHPAVVDAMPDADKLVEFAVKHAASMKALDAPK >KN539400.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539400.1:29277:32968:-1 gene:KN539400.1_FG017 transcript:KN539400.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGGVNSSSSSPAAAVGLVLVVAAICTYLAVVATRKQRRRRPPVVGTAFHQLYHVRRVHDYHTALSREHTTFRLLVPAGREQIYTCDPAVVEHILRTNFANYGKGSFNHGNMSDLFGDGIFAVDGDKWKQQRKIASYDFTTRALRDFSGDVFKRNAAKLAGVVSSHAASNQSMDFQAIKTDRNYRWRQWCVVFVSPLRTFVRLLLQGLLMRATMDSIFTIAFGTDLNTLDGSGEGRRFAAAFDDASEFTMLRYLNPFWKLSRLLNVGAEAMLKERIKIVDGFVYKLIRDRSGELSNTKAHDTDSRQDILTRFIQATTSDSGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMVCKHPEVQEKICHEAMEATDAGEAASIDEFSQSLTDEALNKMHYLHAALTETLRLYPAVPLDNKQCFSDDVLPNGFNVSKGDIVFFIPYAMGRMESLWGKDAESFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLLRFFVLKLRDEKEIVSYRTMITLSVDQGLHLTAMAR >KN539400.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539400.1:56136:57095:-1 gene:KN539400.1_FG018 transcript:KN539400.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNSSGDPAAVRVVGGWASPFVNRVVVALKLKGVEHEMLQETVGKKSELLLRSNPVHKKIPVLLHHGKPIAESLIIVEYIDEVWPASNGAPSILPRDPYGRAVERFWAKYIDDKIPPGIRVLRGSVEEDKDKAAGEMSTALQHLEEAFVKCSQGKQYFGGDNIGYLDIALGSFLGWIRAVEKIAGVELLDEAKVPNLAAWADRFCAHTAVVDVVPDADRLVEFTVQHAALLRAVNAPK >KN539400.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539400.1:88192:89301:1 gene:KN539400.1_FG019 transcript:KN539400.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDELMLLGKWPSPFVTRVELALGLKGLSYEYVKQDLVNKSELLLASNPYIDEAFPDAGASAALLPADPYERAVARFWVAYVDDKCLDLCLNNAKEYSMKSRRCLSSVYSV >KN539400.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539400.1:39684:41320:-1 gene:KN539400.1_FG020 transcript:KN539400.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVWFKGPLNSEILNDLFGEGIFAVDGEKWKTQRKIASYDFTTRALRDFSSDVFKRNAAKLAGVVSNNAALNQSMDFKGLLTRATMDSIFTIAFGQDLNTLDGSGEGRRFAKAFDDAGEYLLLRYLNPFWKLARLLNVGAEATLKERIKVVDEFVYKLIRARSDELSNTMAQDHRSRDDLLSRFIQATSSDSGTVDYKYLRDIVLNIVIAAKDSTSGSLAWFLYMACKRPEVQEKIFDEVMEATNAVDSTSVDEFLQSLTDQALNKMHYLHAALTETLRLYPSVPLENKQCFSDDLLPNGFSVSKGDGVFYMPYAMGRMESLWGKDAEAFRPERWLDEHGVFQQESPFKFTAFQAGPRICIGKDFAYRQMKIFAAVLIRFFVFKLRDKKDSVSYRTAITLAIDQGLHLTATAR >KN539882.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539882.1:45342:50692:1 gene:KN539882.1_FG002 transcript:KN539882.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHFCHVTDCSKQFKDVKSRASGEETEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGLEGSGFRGTNYTFEPLAFEIPEEQMKFLVFCDCESTRQAVYGPETPLQLVGERKRPPSKNALSAVFLREDEGSRVEHSTSLGANGITERTKVDQEHKNEACSLKNSDAFVETTNEIIKGPENTDQSQTHLTCLPAISDDLQFMEGLKAEDCQTPSGSHQSSTLPDAMRDDINLVGSKSSPISTPSEATAEIQTPATTHAPDQEELRNENNTRTCSEHTYEAVSSVEASGSCEKLRLESCQPNISDEDFKYAKNDSLVSVELSISNECSLFQSSEGSVSSCNKRRENSSTESVEKYLKSEPLVHSSRKKVLKGNNSEVEFPSLSQWLKPPNPKKVFRDEPLTSDRSHSAKSSEEDRPIIGLVAAHWRDTEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDEKLLSQRKCSSGNTSQLSGLEGEENDTAASTSNYLCVAAIT >KN539882.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539882.1:33345:38620:1 gene:KN539882.1_FG003 transcript:KN539882.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEFDSVHAAKGFYYGYGERMGFKARTGSNRRSVGNGVMIMQRFLCSRGNYANRRNKANGLDELKEEEVQDGAAGKRKRGANNKARNRNPVKNNSEVIEVESSAEKGAGTAVPNNGQEARKMRGSKRGRTKKDVTEKDEKPVVELEAGKEDEVVAQDGDDVEEQKGEGEEEMEEEVQVEVQEKRGRGRPRKADAEGNALQARVLRELGLRASQYTNEERKKIVSKYLSKRQSRPVSARPAKIASRQALAERRKRGDGGRFLASEGLTQPSERRSKRLEKQNLKKEDKNKRLAEQQADYLGVQMAQSVAPLRMLWQAANAYTPTLFEMFSCKKFEFTGIPCCHVLKVLEVRNIKELPPHYILKRWRKDAQSESPRENYGFEAVDEDPRFLLSKRYSMLYRTFYKIAAKAAENIEAYTYMESQCDQFIEQVELLLQAKLHDKSSLSTILKVQQPNLLPNEASNSEPRRVSSKKIKNVEMRRQQQSPFQSNKKKKGRQGLPEPEEAEVPLRVDPPTISNDIPNHLRTPTSQFLAPSHIMQAPYVAQQFGLSSLQGFPGISPFGQLQEPAPAPLQQPHLQQPPFHSGPQIPQVIVITYTHVPFPRDFTFTDSISNY >KN539882.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539882.1:4070:4798:1 gene:KN539882.1_FG004 transcript:KN539882.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKTSCKAAVFLAALVVMATVFSSSHAAEGQGDVGEEKMACKRLQGCNTEMCMGYCQTLSYQGGTCKHNDPDMCSCPY >KN539882.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539882.1:19540:26661:-1 gene:KN539882.1_FG005 transcript:KN539882.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRECPLEVVAPAATPLSPSKRLRRAGLVVMWLRRKPKPVGVEQMAARLIRQMQVQMVKLFMLLMFLVARLGNVERLLLEQPYLIRRLLEEHFGIFQRSLMESIQDTVRKAVHSEASILDLIHMKERQTTLLSGGAYQHPRPNISEGFPQTGGSTRMVKLFFVDVERPEDPLFTGSPVQWQNGANAKVAIFENGSQITQGDLSKLQIEILPVHDDFFTERGPANFTKEEFNKQIYMCKGKESVLKTVNLTNGEANLGSFFFTESSHGKRLRLAARVKYQDLAVRVQEATSYSFVVKDRRSKLNKKSNSPSKEEGIHCLKKISLKGKRCNDLAGKYITKVKHLMRCYHRDPAGLQKLTGMKNEDWNTMISHATTSDPGDEIHSYRVEKNTILFFNDFFALVGMSVDGSYAPYHANNLNQLQQRKMNKWKESAYQKFEELEKLGCLIPDHVMINGQPVPVSPKNDASHSIQANPTCFNHQIALEESGREGPSMQHNGTSYSLTEENILNGLGSGLVQSTILSQNTAVVPGADPRHSGYASTSTADAAGTSCPVTDGVGPWDYPIFSDLYPNVMLFQEPSGLIYGHVVEADQAFLPDSHELANADNQFTGGNDDSAPFD >KN539882.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539882.1:51538:54431:-1 gene:KN539882.1_FG006 transcript:KN539882.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKEFARDQAAMDQKIKSDVGEVVLAGDEEEDGDVVLPGFRFHPTDEELITFYLRRKVARKSLSIEIIKEMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGNSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAIAAADASPCMQEAVYIGNYCQWLNKSWLRRIAVTLVTYSRINSKHPRGDQLDYYQAGVQDNHGSGQPEFVASLT >KN539882.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539882.1:18739:18870:1 gene:KN539882.1_FG007 transcript:KN539882.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MREIIIDVELAVKLLPRGFIWPVAAYRELITGNLVVDDADIGY >KN539882.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539882.1:39434:42167:-1 gene:KN539882.1_FG008 transcript:KN539882.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPATHFRDPADPQRNWIPFDRSRNPVDLPDEVGSVNVFSWISCLDLRTLAVLTNSTLSSSSDPHNISFNFLIPERGTDKSPYYKLKAVRGKEILKLYSYPGHCCTLIPVSLHYSQKKIEDKLNVATPEGNLFWSFPNELSPIIIGTTQFSQKRYVYISADSIVKGKIEDLGRIDLGTYAIAAVEDCTKRIGDYVSIDVLNAVQKTAPKNLVYTEPYDKDSCLLDFDVLVVEPRKLQKDLIDSIMVWFRAFSLANPRDQIRLAVTLALYDNYLKLPSNWKRADANSDILNYNGPKNVCSEGGRQLQEEGNGDEWQLYLDQKSLAVLS >KN541562.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541562.1:1119:2326:1 gene:KN541562.1_FG001 transcript:KN541562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPICTADRLGQLELAFKMHPAPTHGIGAHKNFLTMPSPTREVVATYKIRIEHPSCCYRVGDQNSKVEMEKGQSLDGETVGTGTYHDLTQEELPTWRPPSVEEMCCSRANVEDQRREEFALSPDAGEEAAETGDDIAVQGGMMREGSEEGVEEVGGEEARGVGWVAGGYAAWLGEWGL >KN541346.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541346.1:27236:28686:1 gene:KN541346.1_FG001 transcript:KN541346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVEITKSEVLRPSPASAIVAGMKSPLTVFDRAAMDLYVPSVYAWNADAGGAEAPSNDKIKNGLAAVLARFPHLAGRFGVDEHGRRCFDLNDVGALVLEATASASFAVALSHDVPAHVNELYPKADKERADEPLLQVQLTRYTCGGLVIGVTAHHHVADGQSMSVFFVAWAAAVRTRGTLLPTPFHDRGVVVVPSRLPQPAFDHRNIEFNGGKHGQSNSYGALPLHRIGNFSVHFPEKFIARLKARVGTRCSTFRCLLAHAWKKITAARGLSPEEFTRVRIAVNCRGRASPAVPMDYFGNMVLWAFPRMLVRDLLSSSYATVVGVISDAVARIDQRYIQSFIDFGEVAAGEELTPTAAEPGTVLCPDLEVDSWLGFRFHELDFGGGPPCAFLPPDVPVEGILIFVPSCAVKGGIEMFVALDDSHVEAFSQICYSMD >KN541505.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541505.1:12852:14465:-1 gene:KN541505.1_FG001 transcript:KN541505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAENPVDFSGIDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDRRGGGDDVAFVQFPQRFDDVDPTDRYANHNRVFFDGTTLSLNGLQGPSYLGTGTMFRRAALYGLEPPRWGAAGSQIKAMDNANKFGASSTLVSSMLDGANQERSITPSVAIDGSVARDLAAVTACGYDLGTSWGRDAGWVYDIATEDVATGFRMHRQGWRSVYTSMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLSMSTFPIITVFIFFYNIFPVMWLISKQYYIQQPFGEYLLYLVAIIAMMQVIGMFEVKWAGIKLLDWCRNEQLYMIGSTGVYPTAVLYMALKLFTGKGIHFRLTSKQTTASSDDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGLPTEQGRFAVLGMVFNVWTLVLLYPFALGIMGQWGKRPAVLFVATVMAVAAVAIMYVAFGAPYQAELSGVAASLGKAASLTGPSG >AMDW01039327.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039327.1:3:663:-1 gene:AMDW01039327.1_FG001 transcript:AMDW01039327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVMSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVSARFAAPVENLYFAGEAASADHSGSVHGAYSSGIAAADECRKRILMQKGIPDLVQVKAYEEMAGVIAPLQICRT >KN541505.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541505.1:18511:19742:-1 gene:KN541505.1_FG002 transcript:KN541505.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGDVWFGFSWVLNQLPKLSPIKRVPDLAALADRHSGELPGVDVFVTTVDPVDEPILYTVNTILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPESYFAMKTQAYRGGVAGELMSDRRRVRREYEEFKVRIDSLFSTIRKRSDAYNAKHAGENATWMADGTHWAGTWFEPAENHRRGQHAGIVQMWRNP >KN541256.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541256.1:412:1001:-1 gene:KN541256.1_FG001 transcript:KN541256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSLPVLPTGFRFHPTDEELVINYLQRRATGLSCPIPIIADVEIYNFNPWELPSMALFGEHEWYFFTLRDHRYPNSVRPSRSAASGFWKATGTDKPVQVANMQSTPIAMKKALVFYVGRPPMETKTTWIMHEYRLTNTRGSTASHPSLSSSTAHPSVK >KN541256.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541256.1:9373:21742:1 gene:KN541256.1_FG002 transcript:KN541256.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVALIELDHVINGSSQGLPLLAGVNVVVHLPGDELNFGVDESYNLSVPATGNPIYAQIEAQTVFGALHALETFSQLCNFDFTSRLTELQSAPWSITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMDDAIDIVQYAERRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSCWTSTPRVKAWLAQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLRNIKNPAQQKLVLGGEDTLTSFGVSIWFPYFPHLPHTNQSRKNIIGGGGFYTTISNVVHTCTATPKAASRLYSSEEAISASLHEDHSSARLKNSFVFSSGYLIVSMFYLQATTNMELTSSSAMWLAMAILAITAALTKIALGGGRRRCLSESSDLTCKTPPPPPVVNCIALLGLLPALFRGDVPATMQQLYAKFGSVFTVSVAGLLKATFLVGPEVSAHFFQGLESEVSHGDLFEFTVPMFGKEVGHGVDNATRIEQGRFFAEALKPARLRIHVDPMVQEVEDYFAKWGQHGTVDLKHELEQLLLLISGRCLLGKEVMGTKFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARERLHAIFSDIVRSRKQQQGDQEEVDDKDVLQSFIDSRYKADGRATTEAEVAGLITGLLFAAKHTSTHTSVWTGARLLTHEKFLAAAIDEQDKIARKNGIINGRITDHYGFLMDMHTLHICIKETLRLHPPAPMIVRTALKKFTVRTREGHEYCVPAGHTIASPIVISNRVPYIYKDAHLYDPDRFGPGRDEDKAGGKFSYTSFGGGRNSCVGENYAYMQIKAIWSHLLRNFELKLLSPFPKTDWSKLVPEPQGKVMVSYKRRQLLPTS >KN541717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541717.1:19388:22423:-1 gene:KN541717.1_FG001 transcript:KN541717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLKLGVEVTSAHDLLPKEQGTCNPYVEIEFDDQKFRTAIKERDINPVWNEQFYFNISDPSRLTEKDLEAYVYHANRASNSKTCLGKVRISGTSFVSHSDATPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGQEFDFISTPTTAQEQVAANAIPNPFQETRADQVRQFQHLPKEQHQHRPQPMTAQPYYPESSYGQQQQKTYSAVGNKAEGPPPPVMRMYAQGPQQQPVEFQLKETSPTLGGGRVIGGRVIPGEKAGAYDLVEKMQYLFVRVVKARDLPHMDITGSLDPYVEVHLGNYKMKTRYFEKNQRPEWDEVFAFPREVMQSTLLEVIVKDKDIIRDDYVGRVSIDLNEVPVRVPPDSPLAPEWYRLVGKEGHRDKGELMLAVWYGTQADECFPSAIHAGSEPIDSHLHNYIRGKVYPVPRMWYVRVNVIGAQDIFPMENHIPDVFVKVRLGHQMLKTRPARSPTRNFMWNEEMMFVAAEPFEEDLIIQIEDRVAQNKDEVIGETMIPLARLPRRADHKPVLPAWFDLRRPGLIDLNQLKEDKFYAKVQLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLNPTKTRHERGSCDAYCVAKYGQKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVLTIGLFDNCHITVDSNHSSSPGHMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLLNVLFTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISHADMTNPDELDEEFDTFPTSKSPDLVRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATSMFLLFCLLTAVILYVTPFQVIALCLGFFWMRHPRFRHKVPSAPVNFFRRLPAKTDSLL >KN542519.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542519.1:5961:6416:-1 gene:KN542519.1_FG001 transcript:KN542519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKRRALLQLLRRTGRRAGDLVAAVEAVAEALEDAYMDLDGDKWRGGGDRDKFVEVMVTDGCFLLEVMRTAEVDGEVDDYAANDPVFSHHGELYVFLYVRRDMLMTENQLPLLVLQRLFAVVCGPHKEVGTIRSWNRSIKNSGIIQEPI >AMDW01030888.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030888.1:10:417:1 gene:AMDW01030888.1_FG001 transcript:AMDW01030888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNYKLDEMERYVGKAKRQEDRAREAMNLVNEDGKDKKAASYVQGVKDWYGNGESTLCLVYNATGATLRHVADHDWWGFVGRTPYPTEIGNGQWAAFHHVHKSGDSSGSEAAVVYRATNADGVERDLL >KN542519.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542519.1:13918:14361:-1 gene:KN542519.1_FG002 transcript:KN542519.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGRENKNLLMEKFRQHFASSSNHSCSSQGETLELQLIGQVNSAAQHSAPALVGPGHFSQRCTIASTVQKAVEEASTRDKQYSQENVLLQIDGLPAADRATHQLSKQQMAQLARAHSLNMGQPATASTAQKDRAGLYVISAKKKL >KN542919.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542919.1:4965:11783:1 gene:KN542919.1_FG001 transcript:KN542919.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASGSGRKRQLVLESSDSEADEFFVSTRRKEDGDDDAGNAGGGSGGGGDQGGEKVVTVSPEKVSGAKSTDEGGGSDKSKGSEVGKSVSQPDVKRIRTEAAHGGGGGSGGSVSKDRTGGKMLRPGFPKWRFEKPEVRAGRVLDEKDGVETKVSSSQKVKDHASSSVYERRRPEPLKPEKSMPSKTNQEVIRVQGKSGVLKIRPKNNKVASETGDGKNLPKNAKVDGDTGDGKVLPKKTTVEENGDGKILTKSGVLKLLPKNNKVAKETSDGNPRSKNTKVVGETSDAKILMKNKANRESGDDKAPKNCTVNLETSAGKILSRNTKEDLKTSDVCGQDKEKSDAIDVSQKQGADGEKRITEKLVSPILLRKSDPSVVGISLGQKMKQQNSKAQLKISSLGQRQPSLNLKDEKNKKKRLLDHKMSPENLSKKAKPNVIDQDTSRPSLEKHGIKKGRKGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKNKDYEDSVYVSPQGNGYWSITKAYAVFQEQSKSGKHTGKSSKHKAGVADAACNAISENDLAMLQRNVVKRRTKKELGASKKKYEGSSSRNSKDNNAGRSSGNKHQSSGVRGCALLVRGSTHSMEGNVDGYVPYRWKRTVLSWMIDMGVVSEDAKVKYMNKKGTRARLEGRITRDGIHCGCCSKILTVAKFELHAGSKEQQPYENIFLEDGGATLSQCLVDAWKKQSQSEKKGFYKVDPGDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEITTSSAELLSCLQCSRKYHQVCAPGTMKDSVKAESNSSTDCFCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCFPDKLAAPPKGKAHLIHCNSKTAVAFSVMDECFLPRIDERSGINIIHNVIYNCGSDFNRLNFSKFYTFILERGDEVISAAAVRIHGTDLAEMPFIGTRGIYRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTTVFGFKPVEPSKRQKIKSLNILIIHGTGLLEKRLLATGTINQENTTVNDKMDAQTHVEATGSRTPVHSSCELPVGGDPDIKHHDDSHPLVGNSKVLTLNLPCVPEEKTTELTSPVLDVVKVSTQCMLESENTREMKNGETDATLTSEDIIAEQKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDRNSSMVPVDTTVHLATIVGNHDIQNSVEVKGMEHNTTKDQTFVGAVANNVATTEDPSDSVADCEVPIVRSIQQKDEVIADKNACATIDQTAVDDVANNFVATTENDNDSTAELGVSMERCIQQKVE >KN541859.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541859.1:10772:11926:1 gene:KN541859.1_FG001 transcript:KN541859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASRLRPSSTTRTASSCKPETARGTHVFTIHGYSLQAVDGAGSNKASFILSAAFDVGGFDWCLRYYHNGNIESDDDYISVFLELITNDAEVRTVFDIRMLDQYTDDSSCVLVSTTNNTRRVFGTTNFKSKCLVWGSRNFIRRSELEGSVYLRDDRLMIECDLTVIKTPLVKTEERAAMPGDIIHFQVPPTNLSRDLGKLLEDNVGADLSFEVGGEVFTAHSVVLAARSPVFMAELYGPMRAERGERIAIQDMQPVVFKALLHFMYTDSFSPAINDDLSRDERQELAKHLLVAADRYAVEGLKTICEKALCMSLSVDNVATIVALADQHNCGRLKEACVKFIASSNRLDDVVETEGYGRLKTSCPSILLDVIERATKSRKIN >AMDW01029231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029231.1:100:397:-1 gene:AMDW01029231.1_FG001 transcript:AMDW01029231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQAN >AMDW01040174.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040174.1:53:1155:1 gene:AMDW01040174.1_FG001 transcript:AMDW01040174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLLNSTDSTVGADRKNEQYWIDVEATYNETTPSHRRRNAKQIKDRFHKARMIYTSGYNDQMWIEKAHVFYIKDNEKLNLGPFVLMEVWNTVKTEAKWITYNNGLKAARKRIATKGLGKEKEGEDSSPLYVDELDEQPRPMGQKRAKKLQYAQSKEVDHIDLEELDKFSKLQNEQNANRLKVLEIQQKLSSEKIEQTKISHLAAKEQMEAAKVQREARKLEVEARMYETYNRLLVVDTSLMSDEEKVLEKIACYVTVRGEKAMQLLEIGLK >KN541859.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541859.1:21093:21521:1 gene:KN541859.1_FG002 transcript:KN541859.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKFRIVCRKLYDYIRYDLKEIAFPSSLPDPPHIKKGPKLTWKDKWCILKEATRLYGASWVRDIGPDLRPNDYNYKKAKEEPDINTEERRSEPTTVEDLVGALKGGAEKAKPALQRMYMARASNYTDALKNYVESYKEGLN >KN541076.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541076.1:113:6256:1 gene:KN541076.1_FG001 transcript:KN541076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAVAVGRSFSAINGYRLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTTVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKMDFLTCMGSFLGVLFGSVEIGLSVALLVSFAKIIIQSIWPQVEILGRLQGTEIFCNVKQYPVVRETPTVLTVRIETSFLCFVNSSSVKEKIMGWVTDEREAFRSVVLDMSNVVNMDTSGLAALEELHKELACLGIQMAIAKPGWQVIHKMKLARLVDGVGEGWFFLTDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDRHIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEETAHLLLGKFKWGHSFLSVNRELLKAYSQCENGTEIINVQNSWLSSNSNVPKSPVNAAEKSHQSTEEGSDADSDDGLPPLEENLNHLNFNQDDESEEESESESDE >KN541076.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541076.1:21876:24813:-1 gene:KN541076.1_FG002 transcript:KN541076.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLLAGVLLLQLAASAAGQQQCLSATFQNGRTFLKCNPLPVLGASLHWTHHAENGTADVAFRAPQQSSGWVAWGINTRGTAMPGSSDGSGAVSVLMTVLESTSPSLTNGSLSFDVLSPPAADYTNGVYTIFATIALPNNSTAQNTVWQAGPGSTGNVAQHATNGPNVQSMLRLDFSSGQSTGTASNSRLHRRNIHGILNAVSWGILIPMGAMIARYLRVFEAADPAWFYLHITCQLSGYILGVAGWALGLKLGSESKGITYSAHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSAIVLAAVNIFKGLDILKPASGWKRSYIAILATLAGVALLLEAITWVIVLRRKKSDKSSSPYGATNGNGRA >KN541076.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541076.1:14505:14933:-1 gene:KN541076.1_FG003 transcript:KN541076.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGNPGAAYVDKFSVDDLRVPMAEMSQIN >KN541076.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541076.1:6783:11366:-1 gene:KN541076.1_FG004 transcript:KN541076.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase ALD1 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/Swiss-Prot;Acc:Q9ZQI7] MEKLQKGYLFPEISIKREEHLKKYPDAKVISLGIGDTTEPIPSIVTSAMAEDLCSLTNLSSIMPVNMISKLLEKAVLPALDVAPPVKIGGPRRTSVLRNPNMEKLQKGYLFPEISIKREEHLKKYPDAKVISLGIGDTTEPIPSIVTSAMAEYALALSTPEGYQGYGPEQGHKNLRKEIADKVYPDMGIKESEVFISDGAQCDIARLQTLFGPNVTIAVQDPTFPGYVDNGVIMGQTGKADDGGRYAGIEYMRCAPENAFFPDLSRVRRTDVIFFCSPNNPTGHAASREQLRQLVELARRNGSIIVFDSAYSSYVSSSSSSSTPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWAVVPDELLYSDGYPVARDFDRVVCTCFNGASGIAQAGGVACLSTEEGRGAVARVVGVYRENARVLVETFRSLGKEVHGGGDAPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDKVLEACQRLKSFLA >KN541076.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541076.1:31713:32109:1 gene:KN541076.1_FG005 transcript:KN541076.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAATEVDVEQSKGVKSLPNSNQTNPLIVLTVYKEKLFHSRIQHLKITQSRHPGVGGSGALLGQEVKPLHMLCKFCPDVGCGAIAPVLPGPACHTVFCAVEFIGSATVT >AMDW01038446.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038446.1:91:330:1 gene:AMDW01038446.1_FG001 transcript:AMDW01038446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYGEQSSYIHESAADVTAAQGRRRFDGEGTGRHGSERTTSIRQCRGRQTTGGGGEGELYARFAGDHPAGVPAGRAAL >KN538724.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538724.1:302493:303299:-1 gene:KN538724.1_FG001 transcript:KN538724.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRPMLVTNTLFRMGGAAVLLSNRCAERRRAKYQLMHTVRTHRGGASDRSYACVTQEEDGAGNVGVSLSKELMSVAGDALRTNITTLGPLVLPLSEQLRFLATVVLRRVFGHAAGVKPYLPDFTAALDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELSYCEAKGRIRRGDRVWQIAFGSGFKCNSAVWKALRTVDGGGAGRDAGAWAQDIDALPVHVPKVVPIVDDDDGANGEDGDRHDAASLVRPE >KN538724.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538724.1:270975:273341:-1 gene:KN538724.1_FG002 transcript:KN538724.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPKKRSVIESGDGGLGLGLALFISNGEDLGPIIRHGFDSGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFILAVDELRGVLVDADELKGMLTGENIHLQKVSSATLLNLDELFELYSIKKNIGEATTTLKICVKVISLCMACNGYIAEAKFHPALKTLDMIEKGYLKNIPLKLLKKVVAKHIPLIKMHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASMARQKDEEMRARKREAEAHSDARPDEHVYTLDVENTDEESTLNFDLTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPLLAQVAGFFIVEQRVLRSADGLLSESQVESTWETAIAKITSILEDQFARMSIASHLLLVKDYVTLLGTTVSKYGYQIAQLIQVIAKYKDKYHQLLLIESRKQIDDILANDSYEQMIIKKEYEYNMNVTAFHFEPDDVVPEFPYVAPFSSGVPDICRIVRSFIGDSVSYFSYSARTNIYEIVKGYLDRLLIEVLNDSLLNMVYARSLAMSQMMQLAGNISVLEQSCDMFLLFSAQLCGIPMRIAQRSHSGLTAKAVLKASQNAAYNSLINLANFKIDEFMVLLDDVNWIVEEAPDNPNEYMNEVLIYLETLVSTAQEILPLEALYKMVSGAMSHISDSIMTTLLNEGVKRFTVNAVMGLDIDLKLLEAFAEEKFYRTGLADLGKETTFADCLVEIRQLVNLLLSSQPENFMNPVIRGRNYGSLDYKKVAIVCDKYKDFADGLFGSLSNRNKQDARKRSMDVLKRRLKDFS >KN538724.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538724.1:253678:253935:1 gene:KN538724.1_FG003 transcript:KN538724.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAMVVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSWLQGEGGVAAGELILQVLRVVPSGPPGNDCHQGGAGSGGQCYQPPK >KN538724.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538724.1:359090:361317:-1 gene:KN538724.1_FG004 transcript:KN538724.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVKEEWPPSSPEEGEEGEAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGSSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYFLVRTNYLNKRSHFYSLRFQGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRRPLSYLPGSQQALGTCLEVGQFGLDEEIDRLKRDKNILLAEVVKLRHEQQSTKANMRAMEERLQHAEQKQVQMMGFLARAMQNPDFFHQLIHQQDKMKGLEDTFSKKRTRSIDIVPFLNPREVSQGDQLESTLLFDPRPFAELNDEPAKSELENLALNIQGLGKGKQDVNRTRNQPRNQASNETELTDDFWEELLNEGARDDAGIPGMERRRPRYVDALAQKLGYLSNSSQK >KN538724.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538724.1:247567:247971:1 gene:KN538724.1_FG005 transcript:KN538724.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVMVAAVLLQCCGVLLAARPLGYLGASIYVKMECTLEDVE >KN538724.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538724.1:364658:366535:-1 gene:KN538724.1_FG006 transcript:KN538724.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding IRLGGQLFDLLVGLVLESTPKLGALVYVAWNLLSSPQHGVLVLFESNLKDGKEEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMVCISELVVDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGGTQAVEAR >KN538724.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538724.1:348291:349890:-1 gene:KN538724.1_FG007 transcript:KN538724.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTDSVTAVTNVPVFSVGKRISIHNVRFFQLLGASAVWAKLKPRSAKPPLLARDVFGEMPLGRTAEGVDQDCSVKEQNVLNISTACLQIICLHELMGMEALSVYSIDRLAS >KN538724.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538724.1:323343:325904:-1 gene:KN538724.1_FG008 transcript:KN538724.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLADSLRAAGHDAAVCISRWDKSSSHPKGEHAYLDVLLPPASDRAERERILVDVDFRSEFEVARPTKAYRAVLQRLPSVFLQRLRHHHADGFSL >KN538724.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538724.1:265213:265458:1 gene:KN538724.1_FG009 transcript:KN538724.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAMVRAVLVAVLLMQCCNAIMAARLLEGDFLGSWLQGQGGVAGELILQVLKGGSPPPGNDCHQGPGGGSGGTCYPP >KN538724.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538724.1:256122:256391:1 gene:KN538724.1_FG010 transcript:KN538724.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSSSSATVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSSWLQGQGGGVAGELILQVLDNGGSPPGGNDCHQGPNPGGSGSGKCWTP >KN538724.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538724.1:278366:282157:-1 gene:KN538724.1_FG011 transcript:KN538724.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATLPFSCSSTLQTLTRTIPLRLRLHRRRFLHHLPSLAALPRLPLPLPRPPILPHARRHVSASAAPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGARAAVCEMPFATVASDDLGGVGGTAVPSAVFSQPPIGQVGLTEEQAIEEYGDVDIYTANFRPLRATLSGLPDRIFMKLIVCATTNKVVGVHMCGEDAPEIIQGVAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKVRRSTTDEVESKDKVVTQN >KN538724.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538724.1:305608:306228:-1 gene:KN538724.1_FG012 transcript:KN538724.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRRMMERSGLGEATHFPASLFALPVDMCLRTAREESEAVVFGAVDELLAKTGVPPADVGVVIVNSSLFSPTPSFTSLVVNRYRLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQELIDEFITSRVQLDTSNAILTVYELSMSR >KN538724.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538724.1:332683:333253:-1 gene:KN538724.1_FG013 transcript:KN538724.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSADKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >KN538724.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538724.1:344571:347418:-1 gene:KN538724.1_FG014 transcript:KN538724.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQQQQNAGGGGDGGGDNASPCIVLDGPIIVGAGPSGLAVAATLRQHGVPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRARYDHPASLWRVTTTTTTTTSASSATSVITEYASPWLVVASGENAEVVVPRVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHILPREMFGASTFGIAMKLLRWLPIKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKKERMYDNSGYASGQRSFFLKWVEIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSSEWRWRGPNGLYYVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >KN538724.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538724.1:275891:276940:1 gene:KN538724.1_FG015 transcript:KN538724.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWKGNYFPKEKRRHLYLVLDDWSRGYSIRKIDLSVGNDGDGEHSMPPAIFSFEAPRAGPKYFAGAFDSKILAMQPVDPQFSFNPMAGVPIYDVRMRSLMVGPRQRPDPVDPIYIPVGGRLFALSVGSFQLLYPPPDDESDEQEEEDFVWGWHALPDPPFQHEHVTSYAVHRDGRTIFVSIGGESSATFSFDTAESVRDGFSAPFIQLVTFSVMYGKNGELTTGNSHQFREYKNIPQGVSSEMLENPVAFWM >KN538724.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538724.1:259701:260268:1 gene:KN538724.1_FG016 transcript:KN538724.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVLVSVLLMQCCNAIMAARLLEGDLFGQGGIAAGELILQVLDKGTSDSGGNGCHQGDPDIY >KN538724.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538724.1:283127:301114:-1 gene:KN538724.1_FG017 transcript:KN538724.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPCDCFLHATAPPLNPPSSLAAAAPGARWRDSNLSFQSSAVSAPARNVETSPNLVVPCTVHTQAAERKKSGRWVNYGGSIPAMLEALERNEDIGEALRPWRDTMSNRERTIILKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCAVGRARRWDLVARLWHEMHSGGVAPDNSTYGTLIDVHCKGGRERMALLWLGDMIKRGLLPDEITMSIVLQVHKKVGEYEKAELFFKRWSLESDVKMKGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKAENLLPDVVSCRTLLYGYSIKGMVTEAQALLKEMYERNMVIDEYTQSAVTRMYVDAGMLEQAWRWFEKFNYQLNSECFSANIDAFGERGHILLAEKAFMCCIKRKMLSTCVCNIADGMERYGILPDYLTYSSLIHLMSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVIGSFAKNGNLHMVDCLFREMITSGIQADTYVYSILIDAYAEVGDVQKAEAYFGLLKKAGLCESATIYNSLIKLYTKVVYLAEAQKTYKLLKSLNADTNLYASNCMIDLYSDHCMVKEAREIFENLKVTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSVIQMYVSGGRMEDALKIFQKMLASNTPPNDATFKALKIILVKRGVSNNDIRRLELLRKNRIHDCLRHWYRMLCMAARLPQGCRFHAFPGQLRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNDKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNFTSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALSIITLMITCFSLVVVGGFLFHKFRKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSIAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKENVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVFIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSHEVPLCSSYENGITRIPEETRLRDPETYHPKAVCIGPYFHSVRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDRAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWCWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYHGTTSHVANYAAFMDALNSDHHDTELLRKRSIFNVQFTPAQPELSLRKRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >KN538724.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538724.1:262641:262874:1 gene:KN538724.1_FG018 transcript:KN538724.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVRVVLVAVLLMQCCNAIMAARLLEGDLFGQGGIAAGELILQVLDKGTSDSGGNGCHQGPGGGSGASCHQP >AMDW01037625.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037625.1:54:598:-1 gene:AMDW01037625.1_FG001 transcript:AMDW01037625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CDLLNMPAVAQLEKDEKYQLVYELLKIFLTQRLDSYLEFQSANSALLKGYGLVHEDCITKMRLMSLLDLSSRCAGEIPYHAIIDALKINDDEVEYWIVKAISCKILDCKVDQLNQVIIV >AMDW01005543.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005543.1:3:170:1 gene:AMDW01005543.1_FG001 transcript:AMDW01005543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FVRNGIYIADIGASYAAHAYRLRSTAFDLLALEDLLGNNADRANYVTKYLRLKSTF >KN542738.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542738.1:10386:13064:1 gene:KN542738.1_FG001 transcript:KN542738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNVEHDFHMVGGEGEISYAKNSRVQAKAMIETKFVLDKAIRELYATLLANTMVVADLGCSSGQNTLHFVSEVINIFTKHQNNLGQSDTVDLQFFLNDLPGNDFNHLFRTLNTFTFKGASNHRGDILPAYHIYGAPGSYYTRLFPPQTVHLFHSSLSLHWRSQVPEQLNGKQKSYLNEENIYITKTTPLHVVMLFKEQFIKDFSLFLKLRHEELVDGGRMVLTIYGRKSEDPYIGDVNDIFGLLGKSLQSLVAEGLVEKEKLDSFNLPMYGPSVGELEEIVNRDNLFDMDHMHLFECNWDPYDDSQGDIVHDSASSGINVANCVRAVTEPLIASHFGEGILSALFTDYAHRVASHLEMEKTKFAWIVISLKKRC >AMDW01015211.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015211.1:1:159:1 gene:AMDW01015211.1_FG001 transcript:AMDW01015211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PNAFDNKYYFDLIAKQGIFKSDQGLIEDAQTNRTAVRFALNQAAFFDQFARSM >KN540525.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540525.1:37569:41700:-1 gene:KN540525.1_FG001 transcript:KN540525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLLEAAASGDSRSLKELADQDPSVLLGTTPQGNTCLHIASIHGHEEFCKDVLASNQSLLNATNSHGETPMLTSVTSGHEALASVLLERCRDLGLREAILKQDENGYNALHHAIRSGHKDLALELIAAEPALSQGVNKYNESPMFIAAMRDFTDVLKKLLEIPESAHVGNNGKNALHAAVRSGNAVIAKEFMKKRSWLAREEENEAKATPLHLAAIWGKTDVVRVLLEHDCSLGYVVASDESSTPLLVSAAYRGYTAVAREIIAHCPDAPYHANGWTCLHAAVVEGHAEFVVFILESPHLHKVINMRDSNGRTALHHAVMRDIDLTVEDDSACPAMMMLAADVNYAKTLNWNEVSMLLLEADPQSTTSLYNLHKDAKKKLTDLSRKDAKSLTKTYTSNTSLVAILIATITFAAAFTLPGGYSNNASNEGQPVLARKFALQSFLIADSLAMCSSLAVAFICIIARWEDLQFLLHYRSSTKKLMWFAYMATSVAFATGLYTVLAPQLLWLAIGICLLSVLLPVLSCLIGKWPLLKLRYRLGKTFDSDLLDMV >KN540966.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540966.1:2527:6494:1 gene:KN540966.1_FG001 transcript:KN540966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSACLLICISGAIGLAAAAAGHPGCKTRCGDVDIDIPFPFGIGDGCAIHEGFQLECRNATKGTNEPKPFWGDFEVTKISVEDGKAWVKAYMSTQCYDQSTGGMSYNDASMNLSGSSFWLSDTDNKITVIGCQTLAYMTTDSYVIGCSSACDNKVNKLTPKNGSCSGAGCCQANVPKSIQYYQGYFNEGYNTTKIWMSSPCSYMAVMETAAFNFSTSYLTSTVFYDTYKGGVPVVYDWAITSKTCTEARRNKTSYACISNNSQCIDNLTNAQGYRCKCSNGYEGNPYIKDGCKDIDECLNNATYPCKGICTNTLGNFTCSCSPGSYMMNGDCASIISVVLVITIMCAYLIKERRKLQLIKQHYFRQHGGLLLFEEMKSQQGVAFKIFSHEELQEATNRFNEQQILGQGGHGTVYKGLLKGNMEVAVKRCMTINEHQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGNHGQQISLATRLQIAHESAEALTYLHSCASPPILHGDIKSSNILLDRNLIAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQLCQLTDKSDVYSFGVVLVELLTCQKAFNLNAPEHEKSLSMRFLNAMKNNKLADILDDQIKNSENMPFLEEIAELAAQCLEMSGVNRPSMKHIADNLDRLRKVMQHPWAEQNSEELESLLGESSMVSSRYTSTGNFSIERKGVMELDSGR >KN540966.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540966.1:33633:36784:1 gene:KN540966.1_FG002 transcript:KN540966.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNISVPNGKAWMKTNISSQCFDPETNRTLYNDIWNNFRDSPYWLSNEDNKLIVVGCNSLAYMRSSSFITRQSMQYVIGCSSTCDNVDLKNGSCSGAGCCQADIPKDIRYYQGYFNVNYNTTAIWRSSPCNYMVVMETSAFNFSTTYVNSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEGPFPAILIPLPLQIKRCRKSIIFLSLFLADIDECQDADPCTGICINTQGSYTCTCQRGKHLIDGVCKQSSSSWVIPVIGGSIGVVTLVTIVTCAYLIQERKKLHSIKQKYFRQHGGRLLFEEMKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTVYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIPNGTLFHLIHDGHGRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGEFTAKVSDFGASILAPTDDAQFVTFVQGTCGYLDPKYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >KN540966.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540966.1:27228:27953:1 gene:KN540966.1_FG003 transcript:KN540966.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVSALLICISAIWVVAAADVPAGRRGCQTRCGNLDIPFPFGIGDQCAIHGGFSLDCKNDSGTYRTSIGSFEVTKISVPDAKAWIKTTISWQCYDPATKQMNYSMGWANFTNTPYRFSYQDNKIFVIGCNTMAYMRGVSVACPHARMNQQMVLALALAVVRWMSHQT >KN540966.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540966.1:14928:20632:1 gene:KN540966.1_FG004 transcript:KN540966.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDQYRFDLDCLDVNGTKKPFYNNTEVTKISVQDGKAWMKLCISSRCYDHLTGHMLYDSNATADFGDSPFWLSTENKVIVIGCQTMATMDSNAYVIGCFSTCNDSTPVNGSCSGGGCCQMDIPGRIYSYDGYFDEDYNDTEIWRSSPCSYMAVMEDKAFQFSTTYLNSTVFNDTYKEGVPVVLDWVITLDTCEEAKQNTTSYACVSTNSICSDDPSGGYRCNCSHGYEGNPYIKDGCEDINECLDNVTYPCPGICNNTMGSFTCSCYQGNYMKKATAHKKSLLSTAWWLLLYEEMKSKQGLAFKIFSEEELQQATNKFDEHQVLGQGGNGIVYKGHLKDNLEVAVKRCMTIDEQKKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPILVYEFIPNDTLYHLIHGNYNGWHIPLVTRLRIAHESAEALAYLHSCASPPILHGDVKSSNILLDNNLSAKVSDFGASILAPTDETQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPFNLDALEHEKSLSMRFLSAMKENKLNDLLDDQIKNNENKGFLEEIAELARQCLEISGVDRPSMKEVRDKLDRLRKVIEHPWTHDNPEELESLLGESSCVVISEVESTRNFSIERKVIKGLESGR >AMDW01012437.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012437.1:72:239:-1 gene:AMDW01012437.1_FG001 transcript:AMDW01012437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAAGDGEREPFRYDGEHTVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRG >KN541765.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541765.1:3286:4209:1 gene:KN541765.1_FG001 transcript:KN541765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIKEKVKKMKMFRESDELFENLPFDPNLEVDPHAFDDDSVDKFQRHRAEKIESLKRKGRTTEKTDSVAHKKSKVEHSSFAAFSRALVNYFCSVLANLSKHQRRS >KN542010.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542010.1:11987:13614:-1 gene:KN542010.1_FG001 transcript:KN542010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREGTFLARLVQLLFLGLSTNRAFARWARTIPVGFVETDDYEAADIKVGFYAGNHGDGVPFDGPLGILGHAFSPKNGRLHLDASEHWAVDFDVDATASAIDLESVATHEIGHVLGLGHSASPRAVMYPSIKPREKKVRLTVDDVEGVQALYGSNPQFSLSSLSEQGTSSSSPPPRRCWIS >KN542010.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542010.1:20123:20425:-1 gene:KN542010.1_FG002 transcript:KN542010.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHAARRWTVDLARDAAATAVDLESVATHEIGHVLGFGHSSSKSSVMYRYVRYRERKVDLTEDDVHGIQELYGANPHSSSIKTRSKKRRKRLAAALFGDAV >KN542010.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542010.1:14740:16774:-1 gene:KN542010.1_FG003 transcript:KN542010.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKSGQIHLHAAHRWTVNLAADTAPLAVDLESVAVHEIGHALGLGHSSSESSVMYRHYRGKVSLTDDDVKGVQELYGAKPPLVGLTADSKAQARRNYKGNRTAHPAEKGNSKEKELFFATQNSSAFVLQNMHINVGVLPRQPDLEGCDSAIANSDAANPSISNSPWLFQLGGLVACQPKASCS >KN539526.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539526.1:57265:61564:-1 gene:KN539526.1_FG001 transcript:KN539526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINSQFWPLFFLFFLAWQLPRGVFTCTPADRSALSGFSDSLDAGIAAWPGGSGGAVNSSSDCCRWPGVHCRLFGASELRVVRLDLAGRGLAGGLNLQGGSLARLEKLGFLNLSLNSLHGPIPPELLLRMPRLRVLDLSHNSFTGELGDAAASSDPGYSELVHLDVSFNSLSVLRDGVFRRLPRLRNFSAESNLLAGTVPHTLSSCSELEHLNMENNSLHGALDLNFRRLPRLRAVRLGWNLLRGRIPASLSHCRHLRVVNLRRNFLSGPVPSAFRRLQSLAFFNVGNNSITGIAQALQVLQDCRALSVLILTMNFHGEEMPAGGDGGDAGGVRGFPRLQLLGIASCALRGAVPPWLRVSAHLTVLDLSWNRLTGTVPPWLGGFDALYRIDLSGNALTGDIPLALTRLKSLAAGEDMTASQQKLRLSDYGVRLYNWHVDRGELWYDGNIPPSLDLSQNGLAGAIPPEIGHMRQLNILNLSCNALSGPIPATLASLASLQALDLSHNELAGEIPASLTGLTFLSCFGVSYNRLRGVIPNVSQFSTFPCSSFAGNPGLHGEYCDGDALGRAGTGATGWWSYDTVGEIFGLPFLLGFADGLVATLWEIRAKLKFY >KN539526.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539526.1:26486:28932:-1 gene:KN539526.1_FG002 transcript:KN539526.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHNFIGAAFSDSEIINNVNFSDGYSNFDIESINNSEFTNDYSPLFFEVFMVGTPEDEQARIAREQEEHRAKAEKNAHAKKNRNMHEGIAEYLSAAEATQCQAYVTERIKAAVKIHHSAITTASLIAIASIATTMTEVMTTGTEAEYPVT >KN539526.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539526.1:75126:77952:1 gene:KN539526.1_FG003 transcript:KN539526.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPLLLLIAIVVVSLSRGNGEQTDLTRETFPAGFVFGTASSAYQVEGNALKYGRGPCIWDTFLMQPGVTPDNSTANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGLGKINKDGVDYYHRLIDYMLANNIIPYVVLYHYDLPQVLHDQYKGWLHPRIVRDFVRYADFCFKTYGHKVKNWFTINEPRMMANHGYGDGFFPPGRCTGCQPGGNSATEPYIAAHNLLLSHAAAVRTYRDKLFRRGRLASFSILYGMSHSPTKKRITQLHIEPGSSPLAGDTSYTVHQSLLLHGVRTKVELNFHLKLLLETMQNAVKERLPNFTREQSEMIKGSADYIAINHYTTYYVSHHVNKTSISYLNDWDVKISYERNGVPIGKQAYSNWLYVVPWGIYKAVMHVKEKYKDPIIIIGENGIDQPGNETLPGALYDFFRIQYFDQYLHELKRAIKDGARVTGYFAWSLLDNFEWRLGFTSKFGIVYVDRSTFTRYPKDSTRWFRKMIKSEV >AMDW01064998.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064998.1:193:396:-1 gene:AMDW01064998.1_FG001 transcript:AMDW01064998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAPVTVHELRRAERADGPAAVLAIGTANPANCVTQLDYADFYCRVTNSEHVAGIKNKLDMLCN >KN539526.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539526.1:86522:89642:1 gene:KN539526.1_FG004 transcript:KN539526.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MILEQFDESAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLFQFGIEGNKVALINDNYITIKGEEDPNVSIPVDAIMGALRVILDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDLKFIESFDVNCMTDCLLRLIYHYHGCLQKSKRLAYSER >KN539526.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539526.1:20384:22453:1 gene:KN539526.1_FG005 transcript:KN539526.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLDFLLELGFRFNPSPEQVVTYYLPCLVAGQQPKDTEGCIHSADVYGADEPRDLAGKYAPVARSSNGDRFFFTGCRRMKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKDGKNTDWLMEEYHLCGQESGDVVEPVVCRIYVSPRAAPDSVAHQESAVLQPREPAPLPVPAAPAPPRQVPVVTQQAPPPPRPLAPTYPTDPFESAPLDPFEPPPAAASVTGGHHTPQPSVPVPATPEQGLSLAASNSPELDPANIGIDMDELMRYLGNTPLDGVLPSQLFVLPTNDDEDVELAKVLEDGLGLQGGGEKDGNGNPPLS >KN539526.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539526.1:79211:81469:1 gene:KN539526.1_FG006 transcript:KN539526.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast stem-loop binding protein of 41 kDa b, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09340) UniProtKB/Swiss-Prot;Acc:Q9SA52] MAATASLKSSLLLPSPISDFSSAALSISTQARRRSWQPRGARMQVAAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLAAKGFDVVYDINVLSRDFSRISAHGFTGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLETRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFVLALGNPKASKQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKATLELGWKPEYDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLVSV >KN539526.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539526.1:3734:4410:1 gene:KN539526.1_FG007 transcript:KN539526.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARRRPRRVPAFGEWNYYHGGDELPSAAAAGGAPDDQEASSDVWFRRRQAGACGWAAWASAAELHHAIT >KN539526.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539526.1:34951:38470:1 gene:KN539526.1_FG008 transcript:KN539526.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKEKELKIRDGTKEQDSSGQDSKAERLGIKFQEKHKSEEKTQFPLIVSDSTKKKHDLSEHTGIAEGKKMEGISGANTRESRTVATKEKHDMSEVTEKKKKESMSGVNTQESRTGLKDKKIRRSNVVKIADEKQFETTNLSTANSKGRPRQGYKTIREQIEEKETNHCSHCGRTDHTFPTCPFKHMEFDLPRKKKRKVQNNTKEDGQEQKKNVAPSKRKSQKDNTMGGKGAMMKYKIQARR >KN539526.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539526.1:90681:92232:1 gene:KN539526.1_FG009 transcript:KN539526.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQLMKSSLVCSKPRGLSGASLTRRPRFTVKAIQSEKAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYFFK >KN539526.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539526.1:43372:44691:1 gene:KN539526.1_FG010 transcript:KN539526.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLLLKLGFRFNPSQEEVITYYLPRLIAGHPPKNTEGYIHRADVYGADEPRDLAGKYAPVARSSNGDRFFFTGCRRMKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKDGKNTDWLMEEYHLCGQESGDVVEPVVCRIYVSPRAAPDSVAHQESAVLQPREPAPLPVPAAPAPPRQVPVVTQQAPPPPRPLAPSSVTASAAPPRCAVAPSQHHPPFQTYPTDPFEPPAPAASVTQPSVPATPEQGPAYVPDPADIGMEMEELMSFLDSTPVDAILPSQLYEYDELAKELEDALQGGGEEDGNDNPPRCATPPPPPRPASWPAMAPPPPHPPIPTFPKDPFETNEEEDEGEDDFASMLRGHVFAPSVVAEEVDVTSSRRGATVCF >KN541811.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541811.1:6367:8281:1 gene:KN541811.1_FG001 transcript:KN541811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFLQLLLLSVGTVMLLQLVKVVVLRSTRPRTPPGPWKLPVIGSMHHLVNVLPHRALRDLAGVHGPLMMLQLGGTPLVVASSKETARAAGVGLSSGFKIPDLFPTWTTALAAVTGMKRSLRGIHKTVDAILQEIIEERRGVRDEKIRSSDAESADENLVDVLIALQEKGGFGFHLDDNKIKAIILDMFAGGTGTSASAMEWAMSELILNPAVMKKLQGQIRETFKGKVVITESDLQVSNLGYLKLVIKETLQLHPPAPLLTPRESINTCELEGYMIPTKTRVIVNAWAIGRDPKYWDDAEEFKPERFADGTIDYMGSNYEFLPFGSGRRMCPGFNYGLASMELALVSMLYHFDWSLPEGVKEIDMEEAPGLGVRRRSPLMLCATPFVPAIVSAN >KN543623.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543623.1:71:2830:1 gene:KN543623.1_FG001 transcript:KN543623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLWTSQVRFGPWIGPNVQKIAGLSDVAMYAYPMDVQSLLHEEAEEVARSTGVPKPGMSPEIVKECIMYYKVVRLLDGNHGIDWATHAANYWVCSGIIRSAGNTSAWEIAQALHTNLRLDWDDSLNNNEEKLDPLQVPCDSVKASFFWATSHSNKEATTSCKESLEARMFQHSSVDRLRVINLSQCTFSFTSPPFLGCSSLRFLLLDRCKDKDKLCSGSSPNSTSAGDTEKETSISSGACFQKLWVLDLSYTDWYWLLSVEAQDLMVELRELNVKGVKHWSISHLLRDDNNSSTGVGSSTKPLGLLNLVKLQVATEPITEVQHQSQVLKEDQVAATLFPNLSRCKIVKTIILDGCFELTRIGPHDLPPSLESFSFSSNSNDNDDDVTAKIESISFRGCTLLKSVLLRGVFERLKQLDVSGTCIKTLDLRSMRGNWSLKELLLLGCKELRAILWPKQDVSLEVLHIDTSSTELGHATGVVESSSFSPVEFKWYISLGDDRRLLRSLNDTKYPLGKPCIEISSPPASVATATTDGSELGGTISKRRPIAVSRAEQPWLMSTKSRRPAADNKKLYADVNSTIQHLQLQATMNGNWMWPYKQEGSTSHYISLQDDKRMQTKPLSSPSLPGSICERASGLHVHDSLSIASITSHSNQARKWYNLEWCRVERCPNIEGFVFTPPSTGRDLIFWYLKTFWASQLANAQCIWDWGTRGQLRFEPSYRSFEHLQVLHLDCCPRLIHVLPLYYKEYSTACRRLETLEIVCCGDLKEVFPMDDDHQDQLLEPREFRELKHIHLLDLPSLQRICGCRMVAPKLKTVKIRGCWSLTTLPSLGRHERSTPKPKVDCEKDWWDRLQWDGMNAGHDPSLYDPTHSRYYKNKNLPRGSMLR >AMDW01030466.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030466.1:88:363:1 gene:AMDW01030466.1_FG001 transcript:AMDW01030466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGNAFAREMLDERTKKAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPSY >AMDW01039553.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039553.1:2:788:1 gene:AMDW01039553.1_FG001 transcript:AMDW01039553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding REIAKQVSDARAKLVITVSALVPKIAGLRLPVILLDDDANAAAASSPPDATVTLYTNLVAGVKEADYRRPPIKQSDTAALLYSSGTTGDSKGVILTHRNFISAARMVTSDQDERREGPNVFLCFLPMFHIFGLSVITYAQLQRGNAIISMSRFDINSLMEAVQRHRVTHLFCVPPVIIALAKHGKAGKYDLSSLKFIGSGAAPLGKDVMEVVAKKFPDSEIVQVSIHTG >KN541448.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541448.1:3473:16045:1 gene:KN541448.1_FG001 transcript:KN541448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELWIQDGDDVRLALPHDVEAGTLKKVVLSDGAVVTVKGAKAVSLRLPLELPLPLNRTTYKGRLSSLISIAQTLRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDRLKLKRLAPGQVELSSRAIPAVTDDDDDGGSHAAGLWPLLSLNGSDGSLQGFEELLASVLGKKAGEKGTFKLLKARASAQTYVKMGFAVEKRIADGEVNWSTFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFEADEAMSESVLTGNVSMSKTEFAAGGICSVSAYAVRIFSLAPGGFDWISGRSNGERRCLSVYKRKQNQGPETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDVISSTLENHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRDEIHERDRFSDFMLAILRDLLPLYPHLRLVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQKIFNYQHSETGVTPLMVLAGKGQVGDVCMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPADASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFRKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKGTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSTQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >KN541448.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541448.1:23573:26080:1 gene:KN541448.1_FG002 transcript:KN541448.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAWTFKITELISLFQRRLLNFVDKTVVEDVLPILQVAFHSELTPVLEKCVRRIARSNLDNVSLDKELPPEVAVQIKEIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVVSELLDLRLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGAAVSQLTADGQSAISICRRLTRLKDYNTKMEQGQESNKDRLCIDILDREMIRKPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGIVPAASTSGKLKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDSPDALDLQNGTSDEQNVKRMRFCELKEDVRKAFSKDRADNSMFSILSSSSSSSPPPKVAKK >AMDW01038907.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038907.1:360:792:-1 gene:AMDW01038907.1_FG001 transcript:AMDW01038907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVSSRYVNRLLWLRTLLGHVDADAREATSRLLGITSSALSSTAALDLLSELTSTFDQNRPSRFENYHGLLCAIGYITAGCLKESYFVYVISLLHVLYMKV >KN539717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539717.1:84099:86409:-1 gene:KN539717.1_FG001 transcript:KN539717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGQQPHQVSGVSRAQDGVAKASLGKEHVPGSELWTDGLICAFELIKGHKKLVQHKSWSAIDSMQEKGVPVHMKRHVSRNGHHVATMKPEECDVVENPRQTEFANDPSLFKDRPVHARAILDHKWVPIGWSRIAELVQRVQSDASWESEPAEMTDSEDDYTVADVAAPYWQRPVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSAHEVIAHLISRLSRWDDRFILCSNFYHYHHFWFIFLACLVIEINIYQ >KN539717.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539717.1:61574:63396:-1 gene:KN539717.1_FG002 transcript:KN539717.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >KN539717.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539717.1:30890:37627:1 gene:KN539717.1_FG003 transcript:KN539717.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRISACIWSSGTAAAAADGEDLDFGGNWGRRRLRGRPRLRQWLGMTSSLVWSVGVAAEGDDLAAPSRGGGRIRAERGGGGDSRARKRSKEAREFAGRRGGARRQRGRRKEARAICGAELSQRGGARGWRRRWGFVRRSHRVISESVESLIAAHSEDDSGRMRLDQMADGRDSCFRAELSELVGGVQLNPHEGFIVSSTTLLKMPALRMKRNFDDDVFRDEFDSKPTKSMKISHFQVSELEQSAVLNLPYKDPHDELDLTTQLAGQDIMIMEAASLDDALGGASLLKDLISEVAVSPNMENDMLVNYEDIRSQLNVVNYFDKDEDANFASYNSCTVNCHEESWGSNEGCSLLDIYNPDDAFSFLLDTPSEFLGSFTALYDEVVPIDSLVNISGRCGVFPLTESTTEASIGNEPCRSEGDMLFSNSEVLEWLNPHLAEEDLPNLVDFTELNSHAVSKEQGARKVTLVLDLDETLVHSTTEQCDDYDFTFPVFFDMKEHMVYVRKRPHLHMFLQKMAEMFEVVIFTASQSVYADQLLDILDPEKKLFSRRYFRESCVFTNTSYTKDLTVVGVDLAKVVIIDNTPQVFQLQVNNGIPIESWFSDSSDEALPQLIPFLETLASADDVRPIIAKKFGDKKDIAEIFQ >KN539717.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539717.1:12951:15420:1 gene:KN539717.1_FG004 transcript:KN539717.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEYQFQDELAPLFARPGGGAGEMQMLPSSWFADYLQAGTPMQMDYDLMCRALELPVGEDVKREVVWQRSAAKGGKAGKGEKRARQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTWYRVFYWVSYHFYALTS >KN539717.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539717.1:29422:30339:-1 gene:KN539717.1_FG005 transcript:KN539717.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYMKFIILADKRLEIMLLYNAENCIEIAEEAEKILGYSPDTFFSIHSDQGKLSALIALAAHASGPKETERLTHLASPARKDGSDKNPRGMCISVCANPYCKIP >KN539717.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539717.1:76999:79260:1 gene:KN539717.1_FG006 transcript:KN539717.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSNSISSALSQTTPVLRLRVWVLIAIGIGILMAILFIIALWLSMRRKKKTAVGFDGRSHMEIPIVSKDINVDRVDAQSLHDSGTPIMPVQDKYEQMKGVSHLAESRSVDVDAFSQCSSVYNIEKAGSSYSEDYSSSGPGRKGSSSYGYASASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADETNLVEWLKMMITSKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKRPSSQMGSVDVESQQSAEEISNSADS >KN539717.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539717.1:21767:26583:-1 gene:KN539717.1_FG007 transcript:KN539717.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACYKMDNCNMRNCIKGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNGVTRPNSTDPMKLP >KN539717.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539717.1:38177:44467:-1 gene:KN539717.1_FG008 transcript:KN539717.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTEQQQQRRKWILSSAVAVVLDSHVPFVRKRDFFPPPLRLSLDQKLSELSEGSLFDLTVDAFLIISETFWCWGDVCFLCPRKEMASFDNFSRGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSALDNFRRQFANLEENGGKNGDAVPSDRKHVSLPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPATTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGLSELTAPLTTEMNLVNGYRLTA >KN539078.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539078.1:96623:100174:1 gene:KN539078.1_FG001 transcript:KN539078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAIGVVVAAAVVVSSLLLRWNEVRYSRKRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSVFRTHILGCPTVVCMEAELNRRAPASEGRGFVPGYPQSMLDILGRNNIAAVQGPLHRAMRGAMLSLVRPAMIRSSLLPKIDAFMRSHLAAWSSSSSAVVDIQAKTKEMALLSALRQIAGVSAGPLSDALKAELYTLVLGTISLPINLPGTNYYQGFKARKKFVAMLEQMIAERRSSGQVHDDMLDALLTGVEGTREKLTDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEQLRKEHFDIRKGKAPEDAIDWNDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYIIPKGWRIYVYTREINYDPFLYPDPMTFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWEEEGNNTILKFPRVEAPNGLHIRVQDY >KN539078.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539078.1:32727:35164:1 gene:KN539078.1_FG002 transcript:KN539078.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLGFLLLSLHPPTSYATTDTVSPGQALAGGDRLISNNSKFALGFFRMDSKNSSYTSRNSYLCIWYNKLPMITPLWSANGENPVVDPASPELTISSDGNMVIMDQATKSIIWSTRVNTTTNGTVVVLLNDGNLVLQSSSNSSMVFWQSFDYPTDSLFADAKIGWNKVTGLNRRLVSRKNSIDQAAGLYSLEFDINGVGHLVWNSTVTYWSSGDWNGQFFGSAPEMFGATIPNFTFVNNDREVYLTYTLNNEKAITHAAIDVNGRGCPYFIAMFTQSVDLLQSAMTTMIRSATSDASADGNGDNFYIRLAANEVHEVQSVERKKKSGVIIGVAIGASTAAFCLMILLLMFWRRKGKLFARGAENDQGSIGITAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLNESTPIAAKRLDGTCQGEKQFRAEVDSIGMIQHINLVKLIGLCCEGDKKLLVYEYMPNGSLDVQLFKDNDKLLDWNLRYQIAIGVARGLAYLHDSCRDCIIHCDIKPENILLNESFVPKIADFGMAKILGREFSHALTTMRGTIGYLAPEWISGTVVTAKVDVYSYGMVLFEILSGRRNSSQEYFKDGDHSAYFPMQVARQLINGGIGNLVDAKLHGDVNLEEVERVCKIACWCIQDSEFDRPTMGEVVQFLEGVLELKMPPLPRLLNAITGGSHSTPLSSLDLP >KN539078.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539078.1:106501:110309:1 gene:KN539078.1_FG003 transcript:KN539078.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MPSGYANARSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDGSPEGTKKIKRRGRKKGEDLGEDLVPSNDRQEKISANDLESKIAALLLDDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDEFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEVPMGSPYLKLGKSKISTIPEENTVHAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >KN539263.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539263.1:68564:76441:1 gene:KN539263.1_FG001 transcript:KN539263.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPSSSSLAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIVSGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIETLNDGDMALMDMGGEYHCYGSDITCSYPINGKFNSNQTIVYNAVLKAHNAVIAHMRPGVNWLDMHKLAEQTILESLKNERILHGDVTDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVSSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGSVEDGLLKYRPLKTEKYK >KN539078.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539078.1:150097:151169:-1 gene:KN539078.1_FG004 transcript:KN539078.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDCTPGYVRRVRARFEGRLVCGLCAEALGRAATFHANLAGRAAANECDVIQFLEECGAAYYGFAGAASVSARCLANSAVVKDMAVFVGASKESPFAGRPLPRFPVQRVPLHSTSSFAALGRESGMSHVPEWLPAFPEPHTYVRTELWSEEVAKAGADEVERARQRRKAEKSLLSLQRRLALAGADGFRPGMLVDDAVKVNGLDVVESKANPFHERALPYGEKVVSEVTMPGVGKTFSVVEAFAPAFEESKGGEFDEGMDQGQNDSQTQKRVVPKERPPVYFRIGIDKKSMVMALNSRALVEQKDPWFFKEDKEQRAELILREAMDNPHELTRL >KN539078.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539078.1:9245:9487:-1 gene:KN539078.1_FG005 transcript:KN539078.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKIVQAGGEKEAQRGGERERGKRRTRREGGMVEEVHREGRRGDGGAEAAHLEEDASAARSREGEAALGVGREGREERR >KN539078.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539078.1:130559:130900:1 gene:KN539078.1_FG006 transcript:KN539078.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGTPAEEPSSQLMGDDVPSGELLLVLPLSSAPPSQPRGHGSLARELVLPSSSAPSSQPRWHGSLARELVPLLVQATNASQQSRNHALLHVVASKEVVPIAVVAPSIDIQDG >KN539078.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539078.1:543:2942:-1 gene:KN539078.1_FG007 transcript:KN539078.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRMESVYRRWLQERKEVGGGAVEAADGGGGGGWGRAAGDLRRELHTALGTAKWQLDELQRAIKSNYSVVLAGKDTRARHDDFVSAIGHRILEVENFLKESNTTEGRGPLSWVRLDEGEREELAHFLSAGTYQKRDEVVTITSAGDIEVGSNARRVKKVVSIDSSNDSSGSAESGLVSTKEETAPGHRRTASAYADIGSWTITIPDEANGIDEQSFDDLPKVPLVKSPSSSVLMNAFQSKPRMKTKNEAKKWAGADQQDVVETLPLTNSRSCQGFDGLFQRSKSCLSTSDDEDNCNKKLYGCLGAFRRLLQRSQYQVQYGRPVQLLILAIVVLLVLIYAMKAIL >KN539078.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539078.1:80584:80898:-1 gene:KN539078.1_FG008 transcript:KN539078.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MHGILRVLKSKDEHQYITVGKMVLSLNKGLAVAGPALTGTAAVATAFIGSSEGSARRLWLKGTAAAVDVDKGGETGACEDGGMDDQDEDGRARGCGRGRRDGRG >KN539078.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539078.1:90752:91144:1 gene:KN539078.1_FG009 transcript:KN539078.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIDTNALMQFLFSVSLFYIPDLMIDWIDPLTNKSTFKIKDTRTSFVEEKKNELEKAKSKLNVISSNKICDVPMVEYANQLEPKALSTKRLDLYLLLDFPYQTLCKCGTSKFRNATSINDWRKKLARR >KN539263.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539263.1:120701:120934:-1 gene:KN539263.1_FG002 transcript:KN539263.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVFETRVALQLGRSTSELKQFRRMASPSFKDDDVKDFAGKLF >KN539263.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539263.1:40679:42139:1 gene:KN539263.1_FG003 transcript:KN539263.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLGDMRLKRHGVIPAPEVTSRRVTGADLFMVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWRAKFPTSRKQKYDPVIGFTKEHNLASGIYGGETSVVPSLTIAG >KN539263.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539263.1:87008:91087:1 gene:KN539263.1_FG004 transcript:KN539263.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKVREHHIHTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYILTLFAAKYRDRVLGLILVSPLCKPPTWTEWFYNKVASNLLYYYGMCGLVKEGLLQRYFSKEVRGCSDLPESDIVQACRSLLDQRQSMNVWRFVQTMNMRYDLTEDLKQLQCRTLIFVGEYSQFHTEAVHMTSKLDRRYCALVEVQACGSLITEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPDLLSPESMGVKLKPIKTRVRLEV >KN539263.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539263.1:97878:103931:1 gene:KN539263.1_FG005 transcript:KN539263.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGGALTDSRRLGSEAGLAVAAESVHATERALSLQEFIALLSDCAWVFQVNTRQQTERSAKKKKANGAAIANTSTLFQMLQCVIELLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKEGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLHKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSRKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >KN539263.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539263.1:38543:38916:1 gene:KN539263.1_FG006 transcript:KN539263.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSEQLEHVHETDESIVYVKDEQGRGGRGVESGGARKVASLFSQRGKKGPNQDSVILCQLRSICSISPLDEAAQANCLVLCSKGFRLVQGFRVFLESMI >KN539263.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539263.1:93533:95339:-1 gene:KN539263.1_FG007 transcript:KN539263.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKCKKPKGKHKVVLLGFSRIKRFHSVAYLQQIWCFEVVGEIDFSFRVLTYSLYFRIHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGNFVVSKPDQTIKLKFSMAQIDCTHTQKVVFVSTWRSYTQRAFSRRGW >KN539263.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539263.1:46220:52797:-1 gene:KN539263.1_FG008 transcript:KN539263.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALHHGTLVGSVESLNLCAMMCVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSRDSASRSELERQDYPIARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQERKVKRISVMNLNTSQSSGNGTTSSSRSSSSRAILPNGGCSEKLYNFPNNDLLFPPGGCTSLRLPVVTGQDLNLVPRCRRVYSHAHDYHINSISNNSDGETYISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSAEATTLEASRNPMRRQVANPTRPARTLTSLTRAVRRGGENPGVDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >KN539263.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539263.1:1912:2391:-1 gene:KN539263.1_FG009 transcript:KN539263.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSPPETVHQSCYDLQRATMTYIYGRSQQMETQLTSEANVIPNFSHVETILLKKFSKKLNARLKNQ >KN539263.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539263.1:114226:117227:-1 gene:KN539263.1_FG010 transcript:KN539263.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVTFLMVISSGEKSDELKARLDKLREMQERKEYAELVRDVAPPAKEDSPELFSSYKDQIGFGLHVVLIMFTGYLVGFVAFRALFNNSPVMNAAGGILGLVGGMLMETVLFIIRSSSKEMTTSVPRPKKVQ >KN539263.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539263.1:62296:67428:1 gene:KN539263.1_FG011 transcript:KN539263.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRNLQVTRSVCVIMIVTIILCLLFRKNGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTNVQSSLAPIVLHMGDDRWASPMLLENIDLDVQTIFLQNRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYSQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPATGAAPATTAAPAPTAPENSGAQSPAS >KN540545.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540545.1:39766:41019:-1 gene:KN540545.1_FG001 transcript:KN540545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLELEFPDLFRSAAVCKLWRATARDMRRLGLYSRAQMPCLLYTTAAAGPRAAVLYSLADKTTPYTVHLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDAGNLNNYKLVYYDGGGNSHRSNDDDVHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVMEEDSEHKDVRTTGIGVFKVDYKKQDLDEVDDIGNNALFVGTSYSMCLPVKDYPHLMPNHIYFDDDYGYLVHRKHLCRDVGLYDYTNDTAIDMENPQPWLNWPLAPVWITPSFTKTAKYS >KN540545.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540545.1:3277:6057:1 gene:KN540545.1_FG002 transcript:KN540545.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMSKSDKKRVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPIPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDLLLTGKRVDHFGFTSIALFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEK >KN540545.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540545.1:20783:23082:-1 gene:KN540545.1_FG003 transcript:KN540545.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASASASPCVVVLGDAAADATAVRASSSSSSCLWFGWFAIRCARVPSFAFTLKRIFVWAVAKVDRKYGSMLNAGSPLQTIYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVAKVKKPVSSSEVDAEFGVSAYSKYGTRTVSTSLRVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPRAERRRSSGRSSSAQLKERRMSYS >KN540545.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540545.1:19311:20429:1 gene:KN540545.1_FG004 transcript:KN540545.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTANIPADSSTVIGDDSMRKNKYFMGISLGTPPVFNLVTIDTGSTLSWVQCKNCQIKCYDQAAKAGQIFNPYNSSTYSKVGCSTEACNGMHMDLAVEYGCVEEDDACIYSLRYGSGEYSVGYLGKDRLTLASNRSIDNFIFGCGEDNLYNGVNAGIIGFGTKSYSFFNQVCQQTDYTAFSYCFPRDHENEGSLTIGPYARDINLMWTKLIYYDHKPTYAIQQLDMMVNGIRLEIDPYIYISKMTIVDSGTADTYILSPVFDALDKAMTKEMQAKGYTRGWDERRICFISNSGSANWNDFPTVEMKLIRSTLKLPVENAFYESSNNVICSTFLPDDAGVRGVQMLGNRAVRSFKLVFDIQAMNFGFKARAC >KN540545.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540545.1:16537:17520:-1 gene:KN540545.1_FG005 transcript:KN540545.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIEVNAGAGGAARSVYASDVASMVIAELKARAEARLDGGGKKVHNAVVTVPYYFSDGPREAAMNAAKMAGLTTVRIIDEPTAAAVSHGLHHGRLRDGGNVLVLHVGGGTSAATVLTYDNAVFEAVASRHDAHLGGDDFDARIAGHFTQLIKRDHGGGVDDIAAAKLKSQCELAKRTLSSHGVAQVNLHATNLANGAAFSFSGSLTRAQFEELNHDLFEKVISLVDAAMAEARRAAAGFDVIDEVVLVGGSTKIPRIRELVKNYFAGKEATVKATASIGGGAVEAEEAIVHGGGLLSHPMEDGYHCMGAGGRRQIGSPMDRCYHEF >KN540545.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540545.1:26017:26930:-1 gene:KN540545.1_FG006 transcript:KN540545.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRAAIVLVVAASSVAVAFSHAEGNEGPDFTYIEGAMDGPSNWGKLSPEYRMCGEGRSQSPIDINTKTVVPRSDLDTLDRNYNAVNATIVNNGKDITMKFHGEVGQVIIAGKPYRFQAIHWHAPSEHTINGRRFPLELHLVHKSDADGGLAVISVLYKLGAPDSFYLQFKDHLAELGADECDFSKEEAHVAAGLVREISQEQLHLLMSSLPTKDARPAQPLNGRAVFYYNPPGSAVSFQEFAK >KN540095.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540095.1:9082:15179:1 gene:KN540095.1_FG001 transcript:KN540095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPEPPKGFSKLSVSEAERSFSGNMCRCTGYRPIIDACKSFASDVDVEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISSPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLDHSTLLLSIFIPHWASDCKNEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVDTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSNGMAEADHKIMMEKVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFSNVRVITRRVGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPIIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGETQGSLIAEAVIEHVAAVLSLDANTVRQKNFHSYDSLVLFYPESAGESSTYTLHSIFDRLASTSSYLKRAESIKKFNSCNKWRKRGISSVPLILKVRVRPAPGRVSVLSDGSIVIEVGGIELGQGLWTKVQQMAVYALGQLWPNGCEGLLDRIRVLQSDTLNLIQGGVTAGSTTSESSCAATLQACNMLVERLKPVLDRLQLQSGIVSWDTLISQASQENVNLSASAYWVPDQDSKFYLNYGAGTSEVEIDLLTGAITILRSDLIYDSGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLGASVHCAVREAIQAARIEFAGGSESTSSLLTFQLDVPAPMTLVKELCGLDIVEKYLEDLSSHGVGNCN >KN540095.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540095.1:44681:52460:-1 gene:KN540095.1_FG002 transcript:KN540095.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRKGSSRWWRRQSGDRGGGTSADGFLTAVEEAEWRRRQTGDGGDEAAADRFLAAVEEAERQRRQWRRRRATVEKAEQRAADGLLARWRRRSGSEVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKQLLNFFCEFCLNFSKHCSYTVIKNVAASQQPIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEILYKMDFSLLGFLLCSVILGFGIFEATSLERSKKSPLEAHELSNGSFHNQLPISALPS >KN539570.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539570.1:24569:29948:1 gene:KN539570.1_FG001 transcript:KN539570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVSLNPLSGPLPREIGNLRNLLSLGISSTNFTGELPSELGNLEKLEQMYIISSGLSGPFPSTFSKLKNLRILRLQGNSFQGPIPTSLSNLTRLTTLILRNCKISDNLEAVNFSNLAGLTLLDLSFNNITGHVPQSILNLNKLGFLDFSYNGLSGSFPPWVTGNNLQLILPSGLNCLQQDTPCFHDSPEYYSFAVDCGSNKSTRGADDTLYEIDAQNIGAASYFVTENARWGLSSVGRFNEALDGSYAVYSSQQFHSALGSELFQTARMSPSSLRYYGIGLENGNYTVALQFAEFTYPNSLTWQSIGRRVFDIYVQGKLTDGRVIAVKQLSQSSQQGKSQFLTEVATISSVQHKNLVKLYGCCIDSNTPSLVYEYLENGSLDQALFGDSRLNLGWSSRFEIILGIARGLSYLHEESTVRIVHRDIKASNILLDPDLTPKISDFGLAKLYDEKKTHVSTKVAGTFGYLAPEYAMRGHLTEKVDVFAFGVVALESIAGRSNTDHSLVEDQAFLFEWAWVLYEREQALGIVDPRLEEIDEEEVMRVIRISLLCTQGSPHQRPQMSRVVAMLTGDIPVTVM >KN539570.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539570.1:51932:64924:1 gene:KN539570.1_FG002 transcript:KN539570.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGRFNSLSLCFGFALLLLLHCLSSARAQAPQQQQATTDPSEVAALNAMFQRWGLKAPPTWNISGEPCSGAALMDDDGGLPELSIKCNCTYNNNKVCHITKLRVYSQDVAGQIPMELQNLTYLTDLNLQQNYLTGSLPAFIGEMTALQYLGISTNKFVGPLPEVLENMTKLEQLYIDSCGLSGELPSALSKLRKLKILWASDNDFTGRIPDYIGSLSDLTQLRIQGNNFDGPIPTSFSNLVNLTSLRIGDLVSGSSSLAFMSNMTSLIVLYGGLIVHLALMDSTFAVDSGGNRPIRGSDKSYYEPDDANLGEASHYISSSTRWGISNTGKLMQAPNASFIVYTSRQFTNTLDSVLFQTARTSSSSLRYYGIGLKNGFYNVELQFAEILFPDNTTWTSLGTRIFDIFIQGELREKDFDIKKQTNGKSYTVVLRQYVVRVTENFMEIHLFWAGKGTCCIPEQGSYGPLISALSVSPYGGNNKVDPGPIKNSAELLSVVGRPDVFSYGEIKSATNNFSQDNILGRGGYGLVYKGKLYDGRMVAVKQLSPTSHQGKREFMTEISTISTVQHRNLVKLYGCCIESKAPLLVYEFLENGSLDQTIFATGKTNMNLDWRTRFDICVGIARGLAYLHEESSTRIVHRDIKTSNVLLDGDLNPKISDFGLARHYEDNMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAYGVVAMEIIAGRPNFDESLEDDKKYLLGWAWRLHERSQTLEMLDPKLARFDEEEVVRVINIILLCTVGLPEQRPPMSKVVSMLTEDTEMSEVDMKQFVYFVSSMKIKHCMHMVL >KN539570.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539570.1:48391:50482:-1 gene:KN539570.1_FG003 transcript:KN539570.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAGINDYTGIRDRFLDANTDLILAAGVVLAPVVGHDGRNGDAAKGRHVDPDGRRGGRRHGLQQEGLDCTLLHRRGLHVGLLDGADVGAGGDVEHGGGGAVLIG >KN539570.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539570.1:45843:46225:1 gene:KN539570.1_FG004 transcript:KN539570.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVKCLHQDDRLSHKGDGGCYTGLTDKASGNLEKTIDRSIRLTAREITLGIELKAACKICFTVLVQQQPVYFETFDVWNRTVRKADDSRLLFNMKIKYKPH >KN539570.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539570.1:76808:92558:-1 gene:KN539570.1_FG005 transcript:KN539570.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCGGGHGGKQLLWVVVVVACSWVEAAQAQQAPTTDPVEVAALNAILGRWGTKPPTTWNITGDPCSGIAIDETTDIDNSETINPGIKCDCSYNDSTVCHITKLRVYSLDVVGPIPQELENLTYLTNLGIGSNNFTGELPEELGNLTKLGQLYIDISGFSGPFPSTFSKLKNLKTLWASDNDFTGKIPDYIGSLTMLQDLRFQGNSFQGPIPASFSNLTNLTSLRIGDIVNGSSSLAFVSNLTSLNILILRNCKISDNIRRVDFSKLENLTMLNFNHFTEIFHTTNSLETFLHGLPRAICNYYSFAVDCGSNRTIRGFDNTIYEVDSTNLGAASYYVTNQTRWGVSNVGRFSEAPNGKFAYPDSQTWKSNGRRVFDIYLQGDLKEKNFDIRKTAGGKSFTTVNKVYNTTVSKNFLEIHLFWAGKGTCCTPTQGYYGQMISAISVTPNFTPTVRNGVPKKKSKAGAIAAIVIGASILGSVALAGIFMLIKKRRKVARQKEDLYNLAGRPNIFSTTELKLATENFSSQNMVGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISTVQHRNLVKLHGCCIDSSKPLLVYEYLENGSLDQALFGRSNLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHMSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDNSLEHDKIYLFEWAWVLYEREQAVKIVDPKLNEFDSEEAFRVINAALLCTQGSPHQRPPMSKVMAILTGDIELAEVVTKPSYITEWQLTGGNTSYVSTNYSGSTTGELREHRENTPHTPCPTITGVTYGGMEGECK >AMDW01035576.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035576.1:164:331:1 gene:AMDW01035576.1_FG001 transcript:AMDW01035576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TKDEDGKADKEMDFNDEYGQDTGDGETFEGGYDYPDYNYDGYGDGAYGDYDGVDF >AMDW01080469.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080469.1:23:160:-1 gene:AMDW01080469.1_FG001 transcript:AMDW01080469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAIAAVDYDERLQETVDWLEAHRPRSYTERAAVGLGSPTLHQT >AMDW01035863.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035863.1:60:566:1 gene:AMDW01035863.1_FG001 transcript:AMDW01035863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVEVAELTKNDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERSDLQTLDDFDDEVLKNTQVIKPSKVLVAAAKSNKNK >AMDW01039713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039713.1:122:880:1 gene:AMDW01039713.1_FG001 transcript:AMDW01039713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTGNSLSGGAIAGIVIACIATFIVAIWLIVMFYRWQKFRKATSHPSPEETSHLDDASQAEGIKVEGSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNL >KN542129.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542129.1:2458:5669:1 gene:KN542129.1_FG001 transcript:KN542129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGMRLRLLLFLPSCYARLVLDQALMQCSIRLAVSPAGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKMLMEQDMWKEGMSGEEPLNVVARLMGLNDAAVHQSDLKSGKRSDKEYRSGGFDENTRNLRPKKDSKGHQNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLLSNNNYEFQPVTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTFSEPTRIVVLKPGVVKSQESKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNKKVARGKLDPSGIPSTDDRLEHGNSATVNDFSENADHSALDNPLNCTIQNVDEISMPRPMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFIAASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLSSPLELYLLEKFSDYQEEGTKLRERRSKEKFLFDAVNEALTELTWTAELMAYPWGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTMVLEDLIADAVVDLSA >KN542129.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542129.1:15426:19147:-1 gene:KN542129.1_FG002 transcript:KN542129.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RFGEVSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDSQFADDNVRLRLVEVSSMNNNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINNKLKELGQALSIRPDILSPAAMTELQKLCDKVPSFSDDLAMTLLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTQWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEIGTLPSTSLVPAFPMSISQPEQPVKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLLQIAASFGLGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTAGNNPTTIDGDVNVVYLVQELLPVLPSISSKILPEVMSRLSSRVFARLIREAFL >KN542129.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542129.1:13078:14618:1 gene:KN542129.1_FG003 transcript:KN542129.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKESNEQGAEIGEAESTITEVEAVVKPTED >AMDW01079222.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079222.1:1064:1766:-1 gene:AMDW01079222.1_FG001 transcript:AMDW01079222.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSSPLPATGNLGIITESITDQELKFAISSRYLTRSVTNREGNNRPTSSETNYMTPPWYVCKKLSLQQQQQQQLFSQSDKAGSDLQKV >AMDW01039923.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039923.1:166:892:-1 gene:AMDW01039923.1_FG001 transcript:AMDW01039923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEAVALVRDQLRQHGDVQVACEALGQIALDRRSQDNISIVIADLGRTNWKELPVQRPNLFLELTQAVATVGAVSLGIYISSLLALQ >AMDW01038300.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038300.1:124:691:1 gene:AMDW01038300.1_FG001 transcript:AMDW01038300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGAMGSLLLKLGNLAMDEYNLHKGVKKNIEALRREMESMQVALRKVGDVPSDQLDEQVKLWARDVRELSYDADDVLDTFMVRVDDQGSNSKGMVKKVAGSFGKAKARHDIADEIKDIMERAREVAARRDRYKVDAFVARFDSGVTDLATSAVDPRVYTLFRNEAELVGIDKARDDLIKALTMEAQ >KN538796.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538796.1:247859:251660:1 gene:KN538796.1_FG001 transcript:KN538796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLPYVAAFTQVDKLLGSSLNNCPLSSRQLFRPTRVIGAWQLEAAAGSIAQKIGLLLPSVNFYSIAERFLKELSLPIEKILPHACHIYQWAMPAELWLSSNPGRVPSRVCVMAILIVALRVLYGINGQGIWESIAQTENAVGSDPEASAPHSIEPDSNNSEEFDARELLCTLAASYDKIDVGHDYSKEVHSYLKYCKDVVFTGMTFSLEEEHLIDIFWDMYKGKELCFALDSFPSLDYITAYVAGLQDENAKLCQEKLRTTNGVNKRCRDGRFEDNGINDKHNSIRIVGSK >KN538796.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538796.1:216628:216924:1 gene:KN538796.1_FG002 transcript:KN538796.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEPMLSRKHPRPQQWGSRSSHTELRIPPRRRHSRHRHRLVAIHDSAFVPNPLPATSASPSYLYPPPSTCSCLPRPTATALVRRRQLSALLSCLCP >KN538796.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538796.1:155270:164386:1 gene:KN538796.1_FG003 transcript:KN538796.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEVREEKELDLSSSDVVTKYKDAADIINSNKRLSILPLLLLSCSSSVIRNGGGFVIHALKLVVSLCKPKAKIVDICEKGDSYIREQTGNIYKNVKRKIERGVAFPTCVSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVAHTHVIHDGAVTGKAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLLPSGSQRVTSHSLQELQPTKSIEDNAEIKAWLALGTKTKKKSGGKKKKDIALGSKGGHQLALGVGNQSGMGACRRCAGVAKVLVVMLVCAFFGFDLVALIRAIVCRKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEISESLAKTEGKETEEDSSAAGLLEKLSVTEKKSEEVATKEESTEAVKETDTKSAATTSE >KN538796.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538796.1:126147:130098:1 gene:KN538796.1_FG004 transcript:KN538796.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding DIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENERLRSFDAMPNDVARCNCLNNHCVMQNVSHVFTDGNDFIQVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLFSSGKPYYALALLGLTIPQVVFQASAQPFFVLGLLVTALATSH >KN538796.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538796.1:149600:150058:-1 gene:KN538796.1_FG005 transcript:KN538796.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWKKIRGKEQASSSGSRSNWYPDAELNHYLNTNHTKHDRTLDGENVDLLEWWKEKERTLHVLAHFAQGVLLVPVSSISSEHAFSEVVRIIEEQRSCLAPDTVEVIFCLKDWIKADARTQYRLEDPKIADATAYALVEFGITTDGGGANRN >KN538796.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538796.1:236419:236981:-1 gene:KN538796.1_FG006 transcript:KN538796.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSAMSNIAPVAPTRITGYDKNDKHKPVIVCALAALPIPSQPHQLRLHKNSTAVTPIADVAYHTPNIQGKRKDGEVKISEAFPKPAVEKLLQARMKEILEKKLNDRQAKDEGQ >KN538796.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538796.1:131719:132112:-1 gene:KN538796.1_FG007 transcript:KN538796.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQQGRSELDRMAREGQTVVPGGTGGKSLEAQENLAEGRSRGGQTRKEQMGEEGYREMGRKGGLSTGDESGGERAAREGIDIDESKYKTKS >KN538796.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538796.1:169205:178595:1 gene:KN538796.1_FG008 transcript:KN538796.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRTTTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSRAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMAEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSIGKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENAPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPGSANSSPFWRQVEPFFSYLTTEDIAYLSQQIHLSDDSTASRSIEGDESRKYKGSLEYISQPSTPAGSNKDDHSALQNGYTLNEIDNDVGIAWETSCIEPILDQLVQGIGARGGASVGQRLMQALIDEDKVDNITNNIYRSETYPFDTHEIHFEEGGWKSHSQGYKLESLMNFEAAGKGSNGLMLDSDWKYHDELSHKGNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESQHREFSRRAMERLLLIAYEKYMAFCGSSSSKNVNRAGKHAALSFVKRTIARCQNYEESGACCFDETPFKDMFVSATSHRSDPDSASQDNITVPKSVQRASTSDASRASSHLTDLSFSKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSEREGKGHNRDGSRSGRPSSSNAKGERKNKTKPKQKTANISAPVSSALTRDPQSQAKITPSGNGRDNTSAASARHEEPANASNDAEMPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >KN541929.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541929.1:2814:16513:1 gene:KN541929.1_FG001 transcript:KN541929.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRGGQQHVVVDDDREDASSVASSTERAFEGEPLPSLGETVTARSAAVSGVLGAVVSVVAMRLNLTSGLLPSLGVPAGLLGFFLARAWIRALDVVVTLFKSLGATVLWPIFQWFFAGGKNCGFQIFPTFGMAAYRRGFYFDFSTTNVGIGMICPPMITASMLAGSIVSWGILWPYIETKAGRWFPENLDANDLGGIMGYRVFVGVSMILADGLFTILSALSPAAVVSWWERTRITRRRTQVTNKLRRMQQQTTGGVATRRRLSVPRGAGAAAAASAASVWETRMKMDEVKGGVKVFSAGGDDADEEGLRRSVTRVTTPEKKVTASAAAAEVKEVVVVEVPETNNLDEETEEEDDEEELEEELEAEDEEEEEEEKEVLDQDHMAIDDDEAAKSTAPHQGKYQLLQCCHHQDFLMNLVLILFFPTISQWMTKILSHRQKEGLRLSQLQWRMRERQIRSQSSLQVELGLVLGHFNFTFICLFFFSFVSSCQEFSGDPVKKLAATIDLRAVNPEPVTIPPVEKKAMPVIIHRMTNAEPAKPSPEKKASPLNGRRIPKQDPVSAPPVDLVMWRDVSKSTLVFGLGTFLLISSSYAKDLNYNTITAASYLGLVYLGLRFLSKSILNRGENVDCDDAERSGERYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYELRLLDLVTLVTAFTGPPRHLGMCTGRFWLERFRDGWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEYGWSSTVEESQEAAAAATGDDESPQEETHARSSPAKAHDHEPAQGFGAAAPARHRRTPVSGEFARERLRVRGGIQPR >KN539369.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539369.1:108622:110643:1 gene:KN539369.1_FG001 transcript:KN539369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFADENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRYPTTNSSQLRCTEITAATQLDCLRVIAMGIDHPRTVDRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGEVGPVSPLSWDSRVKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGLDSAHYEHTPRIPDECSWLLIFSTLYRYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRALKKALLVALRCVDPDARKRPKISHVIHMLEVDDFPYRDERRGARAPVQARVADKPVAIEAGDRESDSSGNNSVRQTEPFRWRNPES >KN539369.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539369.1:37494:41791:1 gene:KN539369.1_FG002 transcript:KN539369.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVLERHSIDVVTAQIASDQSRSLFTIHTNVDRERGMFMDTATAEEIYQLAVSEIMSSAEVTGLGDKELKLDEAAAAGGCRYDLELDLVLDQPVAAFHRRTPPHACGSGEVRLFRAGQQGPPLSPLSSPATACRAFPRVALARSASSARGSKLVIPVGGGGNLLGLSLLQAPPPSDPVPPFTAASPPPRR >KN539369.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539369.1:68085:69682:-1 gene:KN539369.1_FG003 transcript:KN539369.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLALGLDTAGVQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRSPLDRAFTLIPNHTLRRLIQDCW >KN539369.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539369.1:52669:54188:1 gene:KN539369.1_FG004 transcript:KN539369.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRAPLRRTGVLAMASATLKRYNITAVTSLSGTDASQTQNMFMFYTICLTETSSMYVPFYPTTVADILGVEFHMNVQIDMPDRQPQFVHPKVFEAMYRAAAADIAAWINCY >KN539369.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539369.1:45294:46030:1 gene:KN539369.1_FG005 transcript:KN539369.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRAPLRRTGVLAMASAALKKYNITAVTSLSGTDASQTQNMFMFYTIVLAPKMVHLIYKNMRILEFMT >KN539369.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539369.1:99738:102539:1 gene:KN539369.1_FG006 transcript:KN539369.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRARGGGHWRSTAREISLWPAPDFKGFGSPRPPNPLDPSIVHDVIKFSDLTLAEFRWTYLDLRTSCYTFLRELGGSAHEAPVLPTDGLPDAFPWMDHDAVSPVKNQGSCSSCWSFSASGGLRPRKLVPVVGDVREAGVITDEVDIIVNSAANNTFDERYDVAMGINTVGPFRIMSFAQRFLKLKLFLQVSTGSFYNECQFILTTVT >KN539231.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539231.1:54244:62876:1 gene:KN539231.1_FG001 transcript:KN539231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGYGAHAVVYRALFVPRNDVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVEHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILVDNAGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMIRDDEPPEIKEDDDTARTIEVEKDSFSRNHLGKSSSTNENFFSGRTSTTAANSDGKGDFSFEAFDFDENNVDTKIMPNGYENARSENSSSPSTSKQDPESKYWRSTSGQKQQTSGTPAVHSGGVNSSTTEKGHGVERDATVQLASDKLRTETRRATNLSGPLSLPTRASANSLSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQASPLKKSASVGDWLVNTKPMSNSHHVKELCNSSVSSSILIPHLENLVKQTMFQQDLIMNVLSSLQQNEKVDGVLSGISPQLRNTDSDTMVVSVNSEKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDDNEET >KN539231.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539231.1:27555:29048:-1 gene:KN539231.1_FG002 transcript:KN539231.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTTVTTAAAAAAVGKEREGAEVVSGAVECFKQSMELMRALGFPEGMMPLRGLEECGLVRETGFVWMRQRAPYEHYFRGTGTRVRYDVEVTAFVEEGRMKRMSGVRSKQLMLWVPIVEMSLDGADRVYFKSNCTSVNGIKRDGGQRGIRVGWKLSG >KN539231.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539231.1:65254:68023:-1 gene:KN539231.1_FG003 transcript:KN539231.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MDGQREEQQQAGRRRQPEPGKNLIKIPSYQEVFGSGVSSSAAPPSYNPPPTTAAAASSSSSSSFSQAFSFLKSTEFYSPPPPPPQTTTPRLIFPTFCGEFRAFWAESLRYHLLHPDYLYYRIRELQKNFKLRVILCHIDVEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVYENKSADSIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKRLHDTFHEPFKRVSSRPNIVVPDTPDREKASGQPSSTNDGAQDAVEKPDASVNKKSSNVRSALTEAFAKYSEKIRNQNRDSTNVAGEGTSKSTMGDEKDQ >KN539231.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539231.1:100360:102463:1 gene:KN539231.1_FG004 transcript:KN539231.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSWSGNATLKVGFRGHVVQPYIHNEEEGVNRGEMVITLFNPVTGRRIPLPPIGFFKKWHDVATIVLSADPDTAEAWSAVAVGFPANCLAYYSSATNDWKPIRFNYSSGYAGVEHFRGRFYVAFKSEISVLEVDVATPAAIKIEIAHDDDDEDADVFDINFNLDHETSNSDDDDDDCADTLAGLGDEHYPLKCLVETHLVDCGGELLVVSMHDEVAYKKPSPESAVGRKPRSHDDERWVDVHRVEWLESGAARLVRMEDLGGYALFVGRNHAFALSPEDFPACQPNCIYSVEQQGHPDGLVRVVNFNDDTTEWACPDEDIFPDDDMRGSPTAGWARRGWVFPKYYS >KN539231.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539231.1:16662:19334:-1 gene:KN539231.1_FG005 transcript:KN539231.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTLAVADIPMLARVAHDAGAKLVVDNTFTPMVVSPARLGDDVVVHSVSKFISGGADIIAGAICGPASLVNAMMDLQEGALMLLGPTMNAKVAFELSERLPHLPLRMQEHSRRAADSTSSEMPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALSLMQQQHPDRDAAAKYCKIVEWHS >KN539231.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539231.1:94542:97360:1 gene:KN539231.1_FG006 transcript:KN539231.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIIAGLFANLLADNFGFGPVAPFDAAACFLAIGMAIILSSWSENYGDTSDNKDLIAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAFTLFLPVVTNFLVPPSSVKGGGISFGGCLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCAIFLFMAAILQRRLMVVSDLHRSTNADSVLKVLIMGKFPSEAVEMTGEDEPLNP >KN539231.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539231.1:11921:16328:1 gene:KN539231.1_FG007 transcript:KN539231.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLCAGGNGGSKSGQYVATGGTNRMGLISQKNLAQVPTVPTFSRQKRWIASQVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDTELQECFNLALKNGINLFDTADSYGTGRLNGQSERLLGKFIREYEGPMKSPDDVIIATKFAAYPWRLTSGQFVSACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYEKGLVRAVGVSNYGPKQLLKIHSHLSSKGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGIRLIAYSPLGLGMLTGKYTTSNLPSGPRSVLFRQILPGLESLLTCLKRIAERKGKTMSQVAINWCICKGTIPIPGVKTVRHVEDNLGALGWRLSPAEVSELEAAAMESPKRMVQNIFQTA >KN539231.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539231.1:29324:34241:1 gene:KN539231.1_FG008 transcript:KN539231.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLTGGLKSPWWRRRRKAALKPHEWVSLFTPEGKLKDGGVKLLKKVRSGGIEPSIRAQVWPFLLGVYSLGSSESERDAVKAQNRKGYLLLRNHCLRKSVYINEENKQSNEAAGAKHVECVSSEKGEDTVNPAGSEEVPDKSSVEEHLVGDDTVGPVVSEEVVEKSFVEDHLVSEEENPSANPGEEVQDDTSKTSPEKLTDENHSSSSSSSSSSEEESESSGLTHVETSHMVVASVQQSLTEDEQESIPRYSNTGGNMENDSELSKAARPVKSVRTIEDFETWQRIIRLDAVRANNEWVSYSPSQAAVSREKAIESAKAVCLKDYEHLEPHRIHHAARLVPILEAYAIYDPEIGYCQGMSDLLAPLLAVLEDDIEAFWCFVGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVTTLHDLLRFGVLPDSKCKPQDQDGDKKLQDLNEHVIALVWVAGRLLGSYMSTLYWDG >KN539231.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539231.1:116881:126214:-1 gene:KN539231.1_FG009 transcript:KN539231.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVVVSEDAAASSSSSSSAAASFSLAETRVICRVCQKQFAQYTCPRCNARYCSLPCYKGHSVQCTESFMRENVMDELKQMQPEDESKKKMLDILKRFHLEEEDMDSEGEDESNLSEELIQKVISGDEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWKKPSARSISLSPDGSQLIRQVSVEDTDTSDPMADPESSISEIPEGPESALPSLKQLTRAEPSPLLAVHLVDVLYSYCFTLRLHNGDWRSDPFGASTVALSVSKVMGEDAKPETVSEALTACIEETCSPAYRHTGGFRFAIALVDDIISLLTLGGNALVCALCDFRRLIHIGETMLKAEKLGKAERARSTQKLRAADRKLYFMTCWVHEQPNEAWSSLARLVEVQKASLEELDCGSQFQRAGRKNDAQSKRAQREYKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLRQIEGPKSPEEMITTLQGAVEECSASLVAARIDAEERLNNQRLREEQDAAYRAALEADQARERQRREEQEKREREAAEAERKRKEEEEAQERAAQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFNSSTTITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKLSQTLEEAGLHPQASLFIEIEQ >KN539231.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539231.1:36880:41983:1 gene:KN539231.1_FG010 transcript:KN539231.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPAEVRTARGGAAEGVGVEEEEEPPRSATVKQEEAKSVLGEEGSRPFAMRELKEDHEVAAGSGVKAASGERNGVGSADAEGSSYSQESMQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYISAIERNHDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPGVSASEFYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLIAPPENSAIAPHKEWERSQFVLNHEELQQVNASDQPPSQSPGHVDSGRKLFRIVVADIVSVSACADLTLPPGAGLRIDTIHGPRFLVADNWETIDSWLDAVRLVYTIFARGRSDVLAGIITG >KN538763.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538763.1:83055:84700:1 gene:KN538763.1_FG001 transcript:KN538763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHSLFSQEQPQSWPWGVAMYANFHYHHHYEKEHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLGAGDAADKGLILSFEDEAGAPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERKPYLLYGPLAADMDGEVSGNIKLKRRGELKRQAESYAIMQCFHLLQSNRFTDYTV >KN538763.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538763.1:146653:147636:-1 gene:KN538763.1_FG002 transcript:KN538763.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAALVYVLYSVHASYDADEGAAAAALDGAKVLDEDCKKVVLYLSINLLLLNWLVGDSPIQWVLGSILVIPLDFVLP >KN538763.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538763.1:35692:40443:-1 gene:KN538763.1_FG003 transcript:KN538763.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELISKVLLVLFGYAMPAFECFKTLETRPDDAHMLRFWCQYWIIVSMVIACESFVSWMPMYGEIKLAFFVYLWYPKTKGSDVVYDSFIRPTVMQYEPNIEQRLEHLRANSGQLIAFYIKNFADKGTAFFMDFLRYVVSERPEAAANSEPHRRQDEREHHGCDGGGSDGWVDVMMAAAGWMRRRQSGGVDPTAGPRRLGCDDGTEATTNGGRGGEDPTVLPWSSSGSRVGARRCGTWRPAMVAAAIRRALSYPNPVFFGGQGRSSAIVVVAFHRELGEPKLEVGGRGRKARSTGGRSSTAAVAAGLLLEPVLLAQPGAVAAGVGARKPHRHHQQHQRSSWSSWNPFASRRQEPSPPPSAPPRERRFSGADPDDEPPAIADVFRASLGGGGGGAMNRRPHNNNNN >KN538763.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538763.1:90770:91852:1 gene:KN538763.1_FG004 transcript:KN538763.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQCSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGHNLEDGEARWADELGLPESRSHFH >KN538763.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538763.1:113547:113777:-1 gene:KN538763.1_FG005 transcript:KN538763.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAALVYVLYSVHASYDAEEGGGAGAGAAALDGAKVQDEAADFKV >KN538763.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538763.1:149106:151012:-1 gene:KN538763.1_FG006 transcript:KN538763.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAVHVLFIMFVIVMGFWRGDTRNLTRPADPEHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPIGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLAFAAREAS >KN538763.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538763.1:21727:23309:-1 gene:KN538763.1_FG007 transcript:KN538763.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRAAGHPPLEAVWELHPVVVPDGGLALSLTCSEASQATHQAAAPTAGWPFVNGAGEAAATMASPTIPPWLRRYQDPDHATPASCGTGLQIQDLWNPMRNGSAPHHTSELTLSFYSPSPSSISGYTSCYNNNNMMSSKPRQLEARQPWPIHGHEGQRMAMASYHDHHPLDTNPSPESNSVSNSSDGGETRRPKFIELNAENLKILCNALESRVPQHSNIVPDIASTVLQCRSGMKKMKLRHKEIIKASSTTWLLFQGRDVDGKKSMAQELAKLVFGSSTEFSSISFDELTSPYSDSSSGELTLKRQRSTDGNEHSFAQRLCEIVSKNPHQVIVINDIEQLDQDSEISIKKAIANGRMRGCTGEEVDFEDAIIVLSCEEEFDSRSRASSSPRVKQRLMNNNDDEESSSTEKGDNSPQCFSLDLNACLEDEEEDEGFLLIDNGVGMHDIVDGVFFFGLMADF >KN538763.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538763.1:181324:184538:-1 gene:KN538763.1_FG008 transcript:KN538763.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPATAAGAGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIELNQWSRKDVEGSLFVVKRNKQPRFQFIVMNRRNTDNLVEDLLSDFEFELQPPYLLYRNAAQEVNGIWFYNQQDCDAVAGVFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPSSTVLVSNAPDESLTDYFSGAVNVGNVSITPMVGRTHQPIESVASSHVPLIIPSAAPTHQMGVPSGAASAPPLPVIDTNVHSSHSTNLVTPAFFAPPSSSSASLVAPASSFMPTTSPFHPTSADAHRPAYGHGTPLLQPFPPPTPPASLTPVHNDEPVISRDKVKDALLRLVQLWLLFISDVPEEIVQLCLDALLM >KN538763.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538763.1:163681:164232:1 gene:KN538763.1_FG009 transcript:KN538763.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATLLLADGLAIAGQALLASVFAKNDHYKVALTTARVLQLAVVLGVGLTAFLAAGMWFGAGVFTSDAAVISTIHKGVPFVAGTQTINTLAFVFDGEWRGMASIRTG >KN538763.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538763.1:99315:109553:1 gene:KN538763.1_FG010 transcript:KN538763.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCGSPVCSKKDVVSCVFKEILDSSTCMNHLVVFGIVAMLTIALALHLLIKIPKSRASARPLVAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQPHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVGEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPRLGSTDRAQNQYLMFLDELNSKKQSEPHATPSVFWTIVSCHKSGILISGFFALLKVLTLSSGPLLLKAFINVTLGKGTFKYEGIVLAVTIFFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIFKKQQKLSNLAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWESHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQRRNKYRAGAEYPIALNSCSFSWDENPSKQTLRNINLAVKVGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLPHGDSTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAVISQIIFICGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCSMFFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNTSFVLSIQHQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLGRGVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPMKLMETEGSLFRDLVKEYCILLGVNRGNQKSVSILKRNSSIWGLKRRQCLSQYSINLYDLFKGAVIVSSNAFSCANFRGKRLPYTLQL >KN538763.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538763.1:60373:60777:-1 gene:KN538763.1_FG011 transcript:KN538763.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVAAHGLRRWDALEELLVWYLRVNGKHNHALIVAAFVDLLVGLAAAATTTGTTPTTTTTTSSGRSTASTSTACDITTTTTTITTSSATATMEPCPCGGGSSDDLEEEEEARVMISLGASSCSLAPSTLANS >KN538763.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538763.1:5354:8646:1 gene:KN538763.1_FG012 transcript:KN538763.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHANLTNFLESILLTNNNFQRKIERQAAENTWNERNTENVIAMNTLELRKNLKDILHNKQDLIKTGACKHDKIKLGSPGWKSRFFKEKFDAETKDEIAKLQNEMVTISCFLNYENGILYLTVQKYLEGLCWVLCYYFADVPSWSWYYAFYYAPFASDVRGLSRSFALPGCYSKLMDCDESAIQAFYPSELDIDTDGKRYLWQGIAKLPFIEDKLLLSVTKTAEKDLAVHELRRNTVRQEKIFLRNSNALAKNEAFAQTSDCSLQKLPIDPATSEIGGWLSPDDDDFSNGFCGSPIENDLSISAKFFNPEAVKPATRLLQNVTVPYKTVTEADICARPLWHTHPYPKHPALSMPK >KN538763.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538763.1:114880:119849:-1 gene:KN538763.1_FG013 transcript:KN538763.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGIDAPSKWRIAVPGLPKGFDEVDLVAVGVILLISVCICYSTKESSVVNMVLTAVHVAFILFIIVMGFWRGDTRNLTRPADPAHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVMLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPRTATPVNASAFLGELISLPLYHHDMHFTIIITRH >KN538763.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538763.1:190866:191467:-1 gene:KN538763.1_FG014 transcript:KN538763.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSTQNESMKKERETVMQEYLSLKETNKQLKEQAQHHLSLSLF >KN538763.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538763.1:154549:158896:-1 gene:KN538763.1_FG015 transcript:KN538763.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYTSGGGRSAISRRCALWIWEDLLNEYVEEMVAYCHAGEYDYLRETCDSLRQIIADQRHHISQLSAQTEAKELQLQANYEALNQSKLDCCNDKAGLGDVLCDVCWGMQCKGYILESGANNLLPNIEAVYVQWCILLSKEYIRVQVTHGIDEIGGIEPPRSIPSIGNFAAHDDVAGELAIFMGTSTSWVVVAGAVSLAPVVVAGAAPIAVEIAVGELGFADNGEWGMGNSDG >KN538763.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538763.1:70603:76117:1 gene:KN538763.1_FG016 transcript:KN538763.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHLVASLLLFFLSCHASIDQDYSGVGQKMTNTYIVLAAWMRMKYPHIVMGAVASSAPILGLNGLSDPYSFYNVVSNDFKSESKHCYDVLRNSWSEMYKALATDAGRARLNQTFNMCKGNVDDIPGLVEKALIYGSMMDYPTPSNFLTSLPAYPVREICRAIDHPTSGNDTVSRIKDAMTVYYNSTGGLACFPGAGAEDDDPYGMFPGWTWQACTEVIMTMSYGIGNATVFPPDPFNLTAYLAGCLATTGVPPRPHWIQSYFGGYDIRNVLKRSGSNIIFFNGLRDPWSAGGILKSISNSIIALVEPKGGHHVDLRFSTKEDPEWLKKVRRQEMRIIADWLKQYYSDEAIDSMMN >KN544460.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544460.1:1431:2765:-1 gene:KN544460.1_FG001 transcript:KN544460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QALQLPFIPPSLPEKDRGQFPHGANFAVLASTALPPEYFRRRNHTVPMPFSLATQLEWFKQTLQRIAPGDAARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISTTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDDFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRNPRRFGINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >KN541328.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541328.1:4932:5188:-1 gene:KN541328.1_FG001 transcript:KN541328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASKTLEKRAKVATFNSCMPEIEGRYGRRYQALIRSDYDPIDDSSKKGRDMVSKLRQNHRRQSRWRYDEDD >KN541328.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541328.1:19806:21315:1 gene:KN541328.1_FG002 transcript:KN541328.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPYIDYIAAEHGKPVLVTGPIVPEPPRGELEERWATWLSSFPDNSIVFASFGSETFLPHAAATELLLGLEATALPFLAVLNFPKGTDAEAELRKLTPPGLEERVKGRGILHTGWVQQQHILRHRSVGCFVNHSGLSSVMEGLVAGCRLVLLPMKGDQYLNAALFARELRVGTEVSRRAGDGWFGREDVRDAVAAAFAGGGGGDARGGEETKWRGVINFLPA >KN540835.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540835.1:1738:2136:1 gene:KN540835.1_FG001 transcript:KN540835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPQIAILEHAAVGAFLTHCGRNSLVEGISAGNPLVMLPIAGDQGPNARLMEARKVGLQVARDGADGSFDRHGVAAAVRAAIVDEETREVFVANALKLREVVADEELHERYIDEFIHQLRLSSPTSPAHE >AMDW01025013.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025013.1:10:291:-1 gene:AMDW01025013.1_FG001 transcript:AMDW01025013.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKLVNNTIHWRGDSALDDGKEAGIDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGDAMRAADQRDSAMDALNWIMDYLVNAHPSDDVLYIQ >KN540835.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540835.1:38387:38578:1 gene:KN540835.1_FG002 transcript:KN540835.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRIAHATLKGPSVVKEICIGLTLGLVAGGLWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >KN540835.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540835.1:28602:34638:1 gene:KN540835.1_FG003 transcript:KN540835.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLLAILASSASCLDMSGGMSPSRSSKPWSQVSTSVMRDRGEMEGREGSRLDNSLTRKRRSSSTARRRPRPESNPVVDVEQQQQQRDGYSSSSSSAIGSDEDANSDGEEHQKREIHLNAPSPDRAARRAALEGGAMSSPPNPRSSHKTKGSNQLHSEGSGGGGGGGSSRKGESGHGAVVSAGNRESSTGDKTRKLKLKIGGIRRSVPAKPSPDMSHSRSLPAKPPRPGDSQQRQKHSSQGHTGSSQISSLYLLQHAEGVKDSSRLASSRDKKTKKEKSIDDALTPEQPAKVQREPSSDPVRKSRRIAKKSILDSELDEDYDTNILDDFGTSEGVEVHTHEPAKKTGSSSKKNAAKKAKIKSTSYEIDNDFVTSRSKRDGNKRSRESTDVDNAEEEPTSDSELDAQNRKQKAVTESPANVRSEPLTTRRRALQSWMDGNSNSAIEFPDGLPPAPSRGKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREDKERAARAQTIPENTIRWVMGPKGTVVSFPEEVGLPSIFNSKPCK >KN540835.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540835.1:14525:16832:1 gene:KN540835.1_FG004 transcript:KN540835.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEVSIREYDQERDMEAIEKLERNCEIAGKGFSIVTNMMGDPLCRIRLFPLHVMMVAELTGGGGELVGVARGCVKRVATGVGDGDTALAGYVLGLRVGAKNYQSLRLN >KN540711.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540711.1:32:9341:1 gene:KN540711.1_FG001 transcript:KN540711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPGVCALVLNLHSTDDLVGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKVSTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSIAEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNICFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESHFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYPSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRFLCQCHDVVDMLYVNVSHLLSLCSMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPS >KN540711.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540711.1:38506:41038:1 gene:KN540711.1_FG002 transcript:KN540711.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPAAAGGLAADGQALLAFKAASVVLYGNELYGPIPPELGDLPYLQILDLSSNSLNGTLPPAILRCRRLRSLALGWNNLTGALPQGFARGLSALERLDLSHNRFSGAVPEDIGNLSRLEGTVDLSHNQFSGQIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPFVPKDGGSGGAPGAGKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSSKEKGNGGAAGSKGSRCGKDCGCFSRDESATPSEHTEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSYDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWDGRLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLLGSNMEPYISDFGLGRLANIAGGSPFTQSDHAGIEKAQSQQSDASVSPLVGKGSCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPVVLLETMQMDLVQWVQFCIEGKKPSADVLDPSLARDSEREDEMIAALKVALACVQANPERRPSMRHVAETLDRLNGSG >KN540711.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540711.1:24216:30138:1 gene:KN540711.1_FG003 transcript:KN540711.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MGLDGLVVVSDPYLQRRFSQADLRALQTQKAGGGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLNTALPIDPATDHLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRAVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILIWANKKVKDSGKHSRMESFKDRSLSSGIFFLNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKKPTSFSLDTENGSSCETSSISTSDDSASESSFDDTGAR >KN540400.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540400.1:43487:47434:-1 gene:KN540400.1_FG001 transcript:KN540400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVYLDHPLTRGPPLPHLLRKVHVDGFVKCENWVLDADGRSKESTTTWLNRLIGQKKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSVFAGSLPTTHPSFSPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALHGRKEVNVELKKEAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSFYIGNINFHHRPLRHGKWAVTYEEWPEEAYPPYANGPGYVISSDIAGAIVSEFRDQKLRLFKMEDVSMGLWVEQFNRTRPVEFVHSTKFCQFGCVDDYYTAHYQSPRLMLCLWQKLLDGKPQCCNMR >KN540711.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540711.1:12977:13579:1 gene:KN540711.1_FG004 transcript:KN540711.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMVFDRMACWNVVSWSSMIDAYSCHGHGEAALDLFKRMEKAAPLVLPNEVTFLAVLSACGQSGLVDEGRAMLHLMKRQYGINLGPEHYACFIDLLGRAGQNDEAWYLYCSFSTTRSELSGSICVAMLNACKANMDVLRGNKVALHLLEVDPENPGSHVLISNFHAVARQWSESDEPRRIILDKGSLGVQLIDFNSWY >KN540400.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540400.1:326:4035:1 gene:KN540400.1_FG002 transcript:KN540400.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRDLKLENILMDSEMNVKIVDFGFSKFFRHNKVLSASCGSREYAAPELLAGRKYVGPPVDVWSCGVILYILFCGRLPFDSADVSELHRIIKRAEFSIPPYVPDDARDLISSMLIVRPDKRLTITEVRTHRWLQHSIPRYLAMPPLNARTQITRIDAETVDKVVGHGFERRYLVESLENRVENEATVAYNLILNKKFDAPTRYLWTIDVYQEAGQSNTTLYKSEGEGNYLMDLKRLSGPQLQYLNICSELSSKLRAIN >KN540400.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540400.1:21806:25664:-1 gene:KN540400.1_FG003 transcript:KN540400.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRKRLGRAALLLAAAAYLAFLLLFELPSLDLFPSSDAAAGGAAMPTHRPRRRELEATAAAAAAEEASSSSTAPTCPTSISVHADGLPGDGVRTVELPCGLAVGSHVTVVARPRAARPEYDPKIAERKSGQEPLMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGQSQRCEGYASRPADETVDGQLKCEKWIRDDDKKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSTNIVARFFVALNGKKEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYIMKCDDDTFVRIDSVLDQVKKVRSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEAYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNNTRRPVEYRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSSRCCNVR >KN540400.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540400.1:8627:14316:-1 gene:KN540400.1_FG004 transcript:KN540400.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVMMAMRRRMRGALLGKRKMQRSMVADNKSGKSVMSEVRTSSGMFLDKRQDPVVSRIEKRIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKVNQALGGHRYATVLMYLSTVEKGGETVFPNAEGWENQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHIDGVPDPLSLHGSCPVIEGEKWSAPKWIRIRSYEHPPVSKVTEGCSDNSARCAKWAEAGECEKNPVYMKWNLSFWQQCYCLFHFNVVGLHNSGFQYSRLVHSHRSLEDFNAGDAFSSMKRRVPNGPDPIHNRLSIPSFIYSGFLMVFTLNSSEELENQEDHQAERRKAHCKFAIEEQECSFEQPKLHPECGLKAKLV >KN540400.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540400.1:4575:5132:-1 gene:KN540400.1_FG005 transcript:KN540400.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVVLLLLCGLAAVAVAAARSMPDDDCGDSANAAGRSRAVRFMWLTIWAHQSASGRADGWARWTTYEPIEC >KN540400.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540400.1:36660:39748:-1 gene:KN540400.1_FG006 transcript:KN540400.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPFNCWSGRYRNGTKWVITNMGVPKRSRKELLASEVRTVELDGKRVKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKVDMDTKRVVSTAQGQKLADEYGMKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAELCSSDKLMDLLLSCKQTF >AMDW01024875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024875.1:1:333:1 gene:AMDW01024875.1_FG001 transcript:AMDW01024875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQKLRAQGKGIFFEPYGALWRQLRKICIVKLLSVRRVSSFHGVREEEAGRLVAAVAATPPGQAVNLTERIEVVIADTTMRPMIGERFERREDFLELLPEIVKIASGFSLDD >AMDW01036698.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036698.1:144:293:1 gene:AMDW01036698.1_FG001 transcript:AMDW01036698.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTKYIRFIQQ >KN539873.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539873.1:74306:76815:-1 gene:KN539873.1_FG001 transcript:KN539873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DTLHHIHALMPMQDAARAACASRCFLRSWRFYPRLNLNVNTLRIDKRAPSNDKLTTDFISRVDPIMLNHAGTGVKMFKLTTHPCFSLHPSYVDRWLQIAFAPGIKEFELEMTRVSKMDYDFPCSLLSRVASSIQSFLLGGCSFHPGIQIGQMSTLTSLRLRSVKITEEELCGFLSKSCALQRLLLSDCHNIVVLKIPHLLELNYLEVLHFRKLEVIDSSAPKLSTFIYAGPPIQISLGEALLQVKKMQMFCDGSPDALHHGSKKLPSIAPNIQKLYLSTRNETVNTPKALGKFLQLKCLEILLLTPDLSPGYDFCSLVSFIDASPALETFILRIERPAKRHDSILEASNGDSMHPMRASEYRHDNLKNMMITGFSSAKSMIDLANHILEKASSLEYLTLDTTRGYDRRNDKIDPCQCLQMSKEALLEAEKALLAIRIYVEGRVPSSVSLKVIEPCSNCHTETRS >KN539873.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539873.1:14885:18185:1 gene:KN539873.1_FG002 transcript:KN539873.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 14-demethylase [Source:Projected from Arabidopsis thaliana (AT1G11680) UniProtKB/Swiss-Prot;Acc:Q9SAA9] MMDLADPNHRLIAGAALLVATLAFIKLLLSSAGGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRGYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGRAEEDMLQCFIDSKYKSGRPTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >KN539873.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539873.1:43233:45653:-1 gene:KN539873.1_FG003 transcript:KN539873.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MSAAAAEATERGEPSGGGGEIAYVSYGGEHHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDPKDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGMHPMMMLGNERDDQHMDSPYL >KN539873.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539873.1:36729:42057:1 gene:KN539873.1_FG004 transcript:KN539873.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELTNSLWLEEPCCITWFSITLVHWIVVDHAITWLQHLAGVMQQNCKKLLWSRTAESKVKFTIDGDFMPIPESSLRSAIKENYSNGKFSSELTLAQVENLRTLFRPIIVQPEPGLPNNFDDRQPARPAEYLPPSTSHPTQPAAYVHHQTSYIPFLTALLCSMSPTAPSLVCDKPHSAAENDENGCSSGSSMSSPAGYIFMCNGVTKAECYRHRVMGLPLGSLDVVSRIRRGTVLFLYDFDAKHLYGPYHADSNGGLTLVPDAFRGRFPAQRKRVFVVTIVHQVKFTVDGDFMPIPESSLRTAIKENYSNGKFSPELTLVQVEKLRALFRPIIVMPESALFHNDSDRHPVPPAVYLPPASHPSQPAAYVHQQHQTSYIPPSTAHLMPPESYAHSYAQMPPPNMQFTTPPYHMSTAEYPYQAAHTAYSSLPSANNISMANNLYGQQSLSDHVSATGYYTAPYYAAHQIGMHPVDPPRSHYLGTTSERITYGTEHEAATTNLQPISHYGSIPSGATAAPEAAATNSELVCNSGATPSASATGAAMTNLQLVRNYGSMPSSVIGAAAHSSEGSQFEQAATYITHAPGTYYCYGASSAIYSYPGNTASCDGNNVAAAPSVYAVAAPPAYQ >KN539873.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539873.1:24989:33982:1 gene:KN539873.1_FG005 transcript:KN539873.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFWGACVQNVLDSLVPALLKDENRKFVYVEQAFFQRWWRQQSDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGQFYFEVDDTSPIVQDDPLLFDYNVEQRVDDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDLTTDGLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAEKLVSSSLSCLSQSGSKSHCPSQTTNFEQCPLLNITYCPPSETDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSKGREVESQLLPIANASLHTREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHPSQGSENSSMQIGQGQLKLQYNAAGALSLYSNSLAFASVVKVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGSILDEVHQQINPWIYQINRVYKGKDYVETEFVVGPIPVDDGNGKELSTEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLIAFAEQDGGNWVNSHVTKFSAMDPAYSFPDNVALLTLQAGEHKDLSALASVDLKRVFPDKKIVKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLINFAPQSGKQLM >KN539873.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539873.1:59363:60430:1 gene:KN539873.1_FG006 transcript:KN539873.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQVAGEILSFFTRNNFTISDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >KN538824.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538824.1:69520:74643:-1 gene:KN538824.1_FG001 transcript:KN538824.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASRHKRLREGLQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSWAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTCAREEASREREGGVALRREVMVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVNHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVFSAKPENWFLQNSYFSLTSYARQVAGTAIIYEVQRSARAEARKEEIRKQEMEARKQRIEEIASEVQMMKERIRNKSRTAGVQRCIILLVNLGFC >KN538824.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538824.1:149719:157522:-1 gene:KN538824.1_FG002 transcript:KN538824.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAKSSSLGEDFGNDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDGAFDKLSSFKMGMSDLDFSGPLKKKVKPNNSNGNDLSEGIKGTEKDNFSFSFDFNELGKFNLDANLGIEENGMSKFVEKVNPVSSEGDKDPRKGLSVKGSDVLGGNISKEQKQTHDACTLRPTHLRSFSPARVDQNKVDLFSTDTHEEKSNETHPSRAAVNKPSQNLPCSSTPGEDPTHVTTTAVAENCREAPLVELSKVHISRGNNDSGQSVSSQFMNTSTTCPSISRKLTAQSDSQNDQNEIVGESACLNAQSPDNQRFRGTSMKLLKKTSCETKKTEKGTSGPKSLSSSMQRDMRNVKPASLNEAGSFSLPPQSSIVKASRPPQLTSETTTLNQLSSANNMVKKMNTHSTELKRESTQANARSERPKFASSKTFCKPALHELLTTSMNAKDHKNSKLSTAECSISTVFYPLEPSTALMVNKLPFPRLESPSTGNASTLNAPSSPAHSNGHKTVASRSLLGITNVFDAGKGTPKADNRPLSQLKAAKITKAGTISSKSDLLLEKDMMETSGRKGSPVTTSNNPKSYGEGKYVLPSPSMMQKIPKESASDPKAPAMLKHIMKSPAVRTSPETVSELGNKTIPGSGTPKARMDNAIASAISCEMGEISELELPVLLENDVNVQKAEACRKELEDKFASNLRSKKVLFEDISAIDSVLDLYSVINYHKDYGTISCSISHWPFPCLLYMYALYISFLSSLIEHINVRLKWSDCASPRKNTGTVNAICYSPVILGSMRNLYLGIWDDSTGPRAEKKKHGSRGACAHTLVRNCVDIVRSVVNCVLVDRTTRVPLVVDPWCSIVCPGQNAIEEVLLHTVLCHGQKLLSNGEFRQ >KN538824.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538824.1:32116:38258:1 gene:KN538824.1_FG003 transcript:KN538824.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLEFTKSPPDNAKNMSALLDSILQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVIVEAAGAGDIISMAGLAAPAIGHTVSNSDILTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDRGERLEPIEEVTVEVDEEHVGFVMETLTHRKGEVMDMGPVPGTTGRTRIFLTCPSRGLVGFKGIFSSFTRGTGFMHRAFQAYAKYRGQLGNVRKGVLVSVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLDINPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVAADELIEASFLYLLLMILLVYLCED >KN538824.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538824.1:2948:8749:1 gene:KN538824.1_FG004 transcript:KN538824.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGWRRRIWQHANATNGGGDAGGGERGGSITGIWKGTAPNGHVWQEGHMNWRWGLRLGEWVNDEFGRIDSATFPDLLPSLPARPSFIRRLAFAPQTRRFSSSALGRLLDAAADRGLSELSVRLPRSAFLPQNLLSIRSLTVVSLDSCALPRWCPAACPGLRTLKLHHVAIPQRMISVILKAAPVLETLEMVYCMGFAGSCSMESSTVRNLLFKSALEQREVTVKMADLRTITLYTRPKVQSVRLDPAPEIRKAYLHIARPRVKLQFRIRPFLDAGTGLTCLTLRGMAIKLLSSEYKDTPNLAVQFEDLRILSVSLDFSNERELIFLLKLLESCPNLQQLTLSAVDAKDDTALPNFADHEERLSKLSCLTKSLEQLKFLGFRPQRYQKELFIFLLTQATNLKKMAVEFPKDQEAAVRRILSVRKAPTQKKTTKYKQYYLELEYPVEPNCS >KN538824.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538824.1:104950:109806:-1 gene:KN538824.1_FG005 transcript:KN538824.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLHHVVEQSIDIETGRPAMPAVCGEDAFLIRVVTPIYNVLKNEVEASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRSFFVEPGKTGRIGKTGFVEQRSFWNVYRSFDRVWVMHILFFQAAMIVAWDGKTPWVSLRFRDIQVRVLSVFITWGGLRFVQAMLDAGTQYSLVSRETKTVAVRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAIVLFIIPWIRNFLEKTNWKILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFSFSYFLQIKPMVGPTKVILKLHDIKRNWFEFMPHTERLAVIILWLPVIIIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDTVHGGIRSKFYDAINRLKLRYGFGRPYRKIEANEVEAKRFALVWNEIIQTFREEDIISDKELELLELPAVVWRIRVVRWPCLLLKNELLLALSQAAELVADDRTHWNKICNNEYRRCAVIEAYDSIRHLLLEIIKERTNEHIIVNQLFLAFDGAMEYGKFTEEYRLTLLPQIHKYLISLVDLLLKEKKDQIKIVRTLQDLYDLAVHDFPKIKKDFEQLRREGLALSRPTESQLLFQDAIKCPDDVDVSFYKQVRRLHTILTSRDSMDDVPKNPEARRRITFFSNSLFMNMPRAPTVQRMMAFSVLTPYYNEDVLYNKDQLRRENEDGISILFYLQKIYEDDWSNFLERMRREGMASDDGIWAGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDNASEVEITEGTKQLASFGSVRYENDVYPMNGGLQQRSRRMLDRGASTVSQLFKGQEDGAAIMKYTYVVACQIYGNQKKAKDQRAEDILTLMKRNEALRVAYVDEVHPEIGDTQYYSVLVKFDPVLQREVEIYRIRLPGQLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYNYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMMVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAVWDFFTMQMMFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARNTLVYIIMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGSIFSKAEHSWEVWWFEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANESRSIAVYLLSWICVAVIFGIFVLMSYARDKYAAKQHLYYRVIQSGVIILAVLVLIIFLKFTKFQIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWASVVSVARLYEILLGVFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTIAV >KN538824.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538824.1:210:1184:-1 gene:KN538824.1_FG006 transcript:KN538824.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACFIQAVYLLELDRQDEKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDLILIRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRYIGALEALKTAVERFGSANVSVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLTEEALPDSTKEEGSAKKKLRADKKWVPHLYVNNSDYICCHYNAPNCSTTTTITDGASDEQRQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLEQWWSDGMELQLAVYDSKLIHRQLKSLYTATAPSPPAK >KN538824.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538824.1:113508:119913:-1 gene:KN538824.1_FG007 transcript:KN538824.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKALVKIKGERMACSVKTRQDFHDSRLGRPFGKAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVHRSIQILRDKQELAETQKELAKFQLTHDTSKKKEDMPTPSFPEQKTLEEKADAPGQQLAIVLPHQVNSSLAPRASEPVQQYNDQTVQQPPSSSVPQQDRYVLSQAIVYYPQRQAPGVQDTQGQQVQPEVQYLPVRPSATQDVPVHASSQQSQAANQTQPQSFPPYQQQWPQQSSQPAPAPVAQPQPTFSQPFPPPVQQPQLSNTQQFPPQPMQQPQLSNTQQFAPQPVQQPNAQQFPPPPVQPQQSNPQLPPQAMQPQQHPPVQNQMRPQTPPNYPHYQPHQSLNPPPETLPGSMAMQGPYNTVAPSGGSRSEVPYSYGGPGMPPPQHNMQRQQLPPPSQGSFGPPPSKGGYAGPPQYAPQGSSYGYNTAYGYPPSGPSAAQAPQMPPAPGNVGMSHPGSHQMMRGHPYGEMIEKAITMGYPREQVMSVIQRMTESGQPMDFNTLLDRLNEAGSGAPPRAW >KN538824.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538824.1:100407:101852:-1 gene:KN538824.1_FG008 transcript:KN538824.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKRKPVFVKVDQLKPGTGGHTLVAKVSLSIFICGRLFDLMKADSTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVEG >KN538824.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538824.1:79136:83837:-1 gene:KN538824.1_FG009 transcript:KN538824.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCIYMLDFVQADAATDEVYARLALVAVGEVFERNLHGGGIEREDDMEDGDEERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEAIFKAFSSESSKMRTLSAVADSLKHGGVFHICYNPRATASEYVVPYWKFVKSFNHPVCIGMRFKFHFESEDVNERRSGMIAGVSEVDPIRWPGSKWRSLLVRWEDATDCNSQNRVSPWEIEIVGGSISVAHSLSASSSKRTKLCPQGNLDVPALYGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQSIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVQYSGYTHQSVSFGESIGFPEVLQGQEISQTVPPFQGMLPDACSAKSRYELKNYVCTPATMNGLSSANEGYCLSLSTVPPSAPSSLMLYQTGVPQLELASKNNDKSGNDSQPALRQHKLLSETSWDQFKIGKASTPGNATKPGNGGREVDRTSCRLFGFSLTEKIIPTNKDGEKEGSYETDCQNPRMLDLFGYNCSTPGALHALCAAPLGI >KN538824.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538824.1:25660:31574:-1 gene:KN538824.1_FG010 transcript:KN538824.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MLSLRIAAASRLRGLLAGGAATGARTRAFSYGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGSARQSFSQYLETCARCLAFSGETSNRFFIVILVTIRKIEDTADAAVLFQPHAVVTVDSKGFSFRLLKQLKCRYNQVARPLHVHYVAPSFWAWKDGERRLAKLHNFVDHLLCILPFEEEICRLNGLPATYVGHPLLDDAIGLNMEKELSSVNSMHQRSGEDFRQEHSISPVAPHRDVRVYVDNVVRSGPFPVVLIPGETLKERYDAFNVCSRFFAVSRIHASRAALCTSGTAVMELMLAKLPCVVAYRAHFITECLIRLRKKIDFISLPNILLNSPIVPEILFRACTMENLAAKLSEVICNDEARQLQVESVDQLLEMLYEPIKQRGGLFQEELHNSSLPSNIYSPSTIAALTVLYTDKHQQAVHQN >KN538824.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538824.1:9185:12853:-1 gene:KN538824.1_FG011 transcript:KN538824.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQANPDEVVLGQETGGARVAILNRPRQLNVISDRVVYLLAQFLESWEKDEDAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMIAAGLATHFVPSEKLEELEKCLLNLNTGDESAVRAAIEEFSTDVQPDEDSILNKLRTDVLFQMLPTINKCFSAETVEDIIKAFESEGSIDGNQWIATVLKGMRRSSPTSLKMTLRSIREGRKQSLPECLKKEFRLTMKTLRSVVTGDVYEGIRALSIDKDNAPKWSPATLEEVKNEDIDRLFEPFSSEKELQVPSDDSNR >KN538824.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538824.1:57387:61790:-1 gene:KN538824.1_FG012 transcript:KN538824.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFEASKQSINKNKPERAAGNNRALSDPPSETLRLLQLAAAEEEEDDATSKLVEQQHPPSANQAHGHAQAQGGQDMKPEPPEEAVASPADLTVPQQPQSPGQGVLASGGGMLAPAAAAVFHDQSVERKLQSLCELWYNGTLLVGWMWILGNELHGWRELLEVCEVEKEHEHGTCHGCGWDGTKQMYYVNQELTVEDFLYDDNYKMYLPGYNADVLNNLESTGQLEYPQFNLPQELPPNAYLDTSNCGQNAGDVFLHMSDLLNTMSPVPAAFLRPKCALWDCPRPAQGSERWQDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKTAKSKLACNPLNEIQQQMVRLSADSPVENKRSARSRTKANPNDINSNIYLVQNTTVQGSIPNAYQAVSQADQMTYLNGNVVYGPHLPYGYSTERSDFYWSSNDGA >KN538824.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538824.1:76861:78266:1 gene:KN538824.1_FG013 transcript:KN538824.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRNSDLAADELLRAQAELWNHIFAYTKSMSLRCAVELGIPDAVHHRGGAVTVPELVVELALPRSREPFLRRLMRLLAHAGIFDAAAGAEDAYGLTAWILHGWGDEECVRILRRCREAVPAREDGGRVIVMDLVVGSSSSSGDGARDTETQLLWDVMMMGVVGSPERDEREFRRIFHDAGFSGYKILHVLGIRSVIEVYP >KN538824.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538824.1:142527:144507:-1 gene:KN538824.1_FG014 transcript:KN538824.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASWPPGGRRSGLGDGVSCAAGTHLSLAIFLRHHCPSSSDDELDGGGARASQDWKKGATTSTTAAHISGGADLEWPRDSIAILEPRTIHLNYQCAEAIGNVVLHCEPSSYYPLMGWRYRIEMIAKNIQG >KN538824.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538824.1:91432:92446:1 gene:KN538824.1_FG015 transcript:KN538824.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPRHLFFLFALAFLAAGAADAWPAWGNGRMFFSKATRPEAVELDKVAFGGEAAGGQEYANGNYQEEFGVGHRAGEQVRRYGNGNVAGQEYANVNDHEEFGIGQRAGVQTGRRYDNAAAGYYDANGQYIP >KN538824.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538824.1:97060:97953:1 gene:KN538824.1_FG016 transcript:KN538824.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSFLRSGVASSSSIRGAAARAASRAGPAPLPRRLPAAAPRLILRSPVEMSSVCLETLMPMHSATASALMTSLLAAPACRSFGWLSEVGIPLTALIHVYCRLVVLVSNSFREYFSLFPGHFGHLFVR >KN538824.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538824.1:50509:53394:-1 gene:KN538824.1_FG017 transcript:KN538824.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRRFSTPEEIAAGTSGRPRTFKKNLAEEEKEEEEDDIEESEEEESEDESEGKAKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEERAGN >KN538824.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538824.1:15605:16846:-1 gene:KN538824.1_FG018 transcript:KN538824.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRFHQYQVVGRGLPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSDIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >KN538824.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538824.1:65052:69156:1 gene:KN538824.1_FG019 transcript:KN538824.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGYGRSIFEPVLPLIIGRDISGEVAATGTSVSSFTIGQEVFGALHPTAIRGTYTDYAILSQDELTPKPSTLSHVDHVNFFTIDLGIEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCSVSATCGTKSIEQVLAAGAEKAIDYTAEDTESAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAVLLKKQMQYRYSHGIDYWWTYMRADSEGLHEIQRLSGAGKLQIPVEKTFPISQVREAHEAKEKRLVPGKVVLEFD >KN538824.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538824.1:123241:124974:-1 gene:KN538824.1_FG020 transcript:KN538824.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFGRNGRFDDALRLFGEMPVRSVVTWNCLISSFAQHGRACDAVIWFRALVRSGDSVSDGSLVAVLPAFDTPEQVHGLVKKIAMDSFSTIANSLLNSYCTHSSTSLAENLFSEFMFRDVVSWNTMIIAFARRSLPQRALEFFWMMEGQSVLPNEITFSSVIYACTTINGHEHGKSIHAKVIKHNLNTRPFVNTSLFDFYSKCGCRKGAHKVLEEAPESSTTRWNGLISCHSDTDVPTSCFILREMLRSGVMPNEVSLSSLLKDPPVFDLLQIHSLVTRLGYDGYDYVSSAIISSYASHEMVSDALAYGGMLDPDSCAVSMNVLAGVYNRVRMYDKAKKLLLHQGCNDTVSWSILITACARNGDYAEALKIFKRMRILGHHFDSYVSVSLLSICTKSNSLVLGRLLHGLIIKTNSGCLDTYVHNMLLDMYAKCGRIEECLKAFKEMEDRNIISWTAIISGLALNGFSRKALAWFKAMEEDGFKPDKVAITAVLSACRHGGLVHEGMNILRHMKSEYSIEPEMEHYICVVDMLCKCGHLKEAEVVIRGMLFQPSAVIWRTFLQGCQTYGMIDTQVLS >KN538824.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538824.1:18457:21349:-1 gene:KN538824.1_FG021 transcript:KN538824.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRKARFIEVLRLVQRADCCDGSDEYESGIRCPNTCRNINDVRKDVMKDDGVDMNIKDVAEDDHHDRKRTLDIEDLIQKLKGLKMAVVIEIGLVICTFAVCFARRRTRTRRRHYILKR >KN540580.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540580.1:33101:37102:-1 gene:KN540580.1_FG001 transcript:KN540580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEAPNGEVAAGGRRPAADWRSAMDALICNCLRPPPQPSPADTSDNEIQVTPVKVTICSEGGDNYGCRLFGVPEHISRTDRAEHEPSYISIGPYHCRSKGLHVRSNQWKRDCKKHVIDRLESPKGEASLLEAMMEIEGEVRKYYDEIISSHVLHESCITFREMMVNDGCFLLITLQGLQVPGTDGIVWDNQLWWHDIFLYGNQLPFVVLRKIYQQLNLPADIENGQEDCPLGRISKVIESGLTSYTNRTVSNPGNADHILHLCHELLKPTSTAEMPPPPASDNQQQVRVWRRATEYSELLVEFKKREFGSEPGDAQCISDVRMVGRVVEIPKLELQPETWRLLRNLMLLEETNKQRLGGHVTAYCTFISQLASTPADVGLLTKKGILVHLENSDEMAAKKLSMLCEQIDYATEDYLIKSVWYKLDSHCSSRWWLWRAKLRRYRDWNNPLVWLGVLAAFVLFLCAILQAAYSMLAYYKQGSQSRST >AMDW01014678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014678.1:2:241:1 gene:AMDW01014678.1_FG001 transcript:AMDW01014678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAASYEEWARAAKVLDKMSEQVSESDFYDEELIRSRLDELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLE >AMDW01004852.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004852.1:55:165:-1 gene:AMDW01004852.1_FG001 transcript:AMDW01004852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDLPFLLAASPALETLAVFGILNTLRARLSSGSL >KN540135.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540135.1:46913:49710:1 gene:KN540135.1_FG001 transcript:KN540135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEGVVPRAQTPLDPPPVPAMDRGVPEILNFSMVPAKSKGEKCSEHSTTIALQSPFAEYNGCFELGLGQSVVPSNYPYADQQYGLLSPYGVRPTKEQPSGRILIPPNMPADAPIYVNAKQYSAIMRRRHARAKAERENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKETNGKTTGGGRKVMDIIIPPLCPAASPSSEQCNPSSVSSLSGSEVSSIYEHEDMDHFHSFDHLRTHFFTPLPSLMDVEHGAGNPFKWTAASDGCCDLLKA >KN540135.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540135.1:15281:20095:-1 gene:KN540135.1_FG002 transcript:KN540135.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGCNSDNSRYYELLGVPRGADGDEIRRAYRRAAVTHHPDKGGDEEAFKEVARAYQVLGDPALREVYDVYGEDGTFRYLVAAGGADRAFGDAVEMLRHLVAGVAAGGGAADGDKAFDEVIVGIHYLMMSGGGGGGDAVEFVDLSLEEFYNGATKKFTLSRDVTCVRCKGTGSTLASPATCTACSGAGYKVVSQLMRLRRRGSEPCAACGSRGEVSRGLKRCSVCRGSKVATDTKVLELAVEKGVPDGHRITFPGEADVKENGVAGDLVMVLRQKKHGVFTRKGDDLVYEHELSLAEALCGFQFVITHLDGRRLLVTSGAGEVIRPGQLKAIDGEGMPLHGMPFARGTLYVAFRVAFPCTDLSEFDVDEVSPLPPMRFTAKAYRPGEASLYILNMVNVLAIRIDDGDDVPFPIAVYGSVIARDDLDRKCIPLFSRSRDHPQLITSKLMYAFVSDAVEATVSVKVLQGHFYGKITACTSMVKDSILLHDSGLVPGGGGVMAADRGNDQFACVRLLRPVMAVCLKETMMVTVLAQVDETEYNGQTMHFKPAVNGEGEARISSCVNSLLVKFLVIRFGILDARNKNGEEEEEEDW >KN540135.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540135.1:51699:52085:-1 gene:KN540135.1_FG003 transcript:KN540135.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGESALSQEILKDAFLPKGSNGEDTRESTTESEIDYDRFMNSQAPDFATILSILEGRKGMKQCNRSRRLKDPDSIPHAMNNTGRDRGRQLLRRAQIFDHLQNLLDYLPFIKLPFLRCSITKPNYI >KN540135.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540135.1:58997:62326:1 gene:KN540135.1_FG004 transcript:KN540135.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKKEKGEGLGRALIRQRNKAAAAVKERGDALAHARRRAQPLESVIEVSDIDAVLERAAEADRLHSALADSVSSSDLVIDLDATGETDEERRRMQKEQEALHAGNLRVPRRPPWNSRMTVEELDENERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMLLVNKADLLPMNIRKKWADYFKAHDVLYVFWSAKAATATLEGKMLSGYSEQDSASLDLDTKIYGRDELLKKLQTEAEFIVAQRRAAAIKEDSRATSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGMVDTENEHEETSRLEGPTTSAYNESGGSDSDERDDTVDPAQPDMRHVLSDLESFDLATEGSKPAGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTVVVRVYQKPAVNLSAVSASDKT >KN540135.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540135.1:8579:9895:1 gene:KN540135.1_FG005 transcript:KN540135.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGQISLLDSIMQKRIQVPVHLLIGREGRGGAVWGRTLTGRRVEPEKRPGRGSPSQRRIRPLRSKRRMRSSSQPPPSIAD >KN540135.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540135.1:57051:57634:1 gene:KN540135.1_FG006 transcript:KN540135.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKRRTGDKARGEKKALKVKINLASPAKKIKKSSKKKGKKGTVAGRIGRKCTLSRDSKGRFLPRESKGGDIGGSATESEVDYDRFMNFQAPDFATILSILKGWKGMKQCNKIRRLKDPDFVPLMNVMSNTGYVTEDDGHYDVLKVLMHADGWSA >KN540135.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540135.1:4568:8191:-1 gene:KN540135.1_FG007 transcript:KN540135.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQCLFIIIFLIRDVHVLPGCIALASDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWHGVSCRSRQHPGRVTSLELMSSNLMGVISPSLANLSFLHTLNLSGNRLTGGIPSELGQLPRIRVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLAFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGIIPDTLGRLTKLNFLRLAFAGLGGAIPVSLYNISSLIVLDLGNNDLSGMLPPDFGITLPRIRLLNLYNCRLHGSIPPSIGNATKLHRIQLQSNGLQGIVPPDIGRLKYLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFQGDMPASLVNLTIGIEKIFMNENRISGAIPPEIGKFRNLDVLALADNELKGTIPDTIGGLHSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDLEGSIPESFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGEIPQALAGCQSMEYLFLQGNQLGGRIPQSLVSLKGLQHLDMAQNNLSGAIPDFFATFQYLRYLNLSYNQLDGPVPTTGVFNDTKDFFVAGNRVCGGVSELQLPKCPDRAGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEKHWKLSYAELHRATNGFSAANLVGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHNGNDFKALVYEFMPNRDLDKWLHPTIDDDDESSSRVLTMSERLRIALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGDVIRELISVRNTYEDTVDYP >KN540135.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540135.1:24861:29657:1 gene:KN540135.1_FG008 transcript:KN540135.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKSLVGIKKHEKAQTSESSGVRSSTAQLLHKRKHSIDTESAAAVEELTVQTEPLARDTNIQAISNITSSPGTTLQARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRISLESQVTQKKASEQNVHEDHVRETEERWCDGIGSAEQMQAKVLKRQEAAAKRERAMAYALTHQWQAGSRKQRAATLQGLEVDENQWSQNWLERWMAARPWENRLLDTNAKESAPTGDDNHADENEAKVPNKPKGKVSISTTHPNGSNKKNGANHKKSHSNISGSSSGQSAGVLPTNSLGSSKLKPKPSDEISEEVNSQPSNLASRSTSNPKERPTQVNASSKKRLSLPNNGTMGGGVGKGAANGRTNQSMSSKNAAKGSSKLESKQQQRPNPPNTTVKRVEFCYISGDNNLVMSKII >KN540135.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540135.1:33964:35034:1 gene:KN540135.1_FG009 transcript:KN540135.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHAASPYVLSLLLLLSIPAVFLLAPRLLPPKTLPSIPDADETDDLALFRRAVLLSAAPDSSSTSLFGRRPQPKVAFLFLTNSDLVFSPLWEKYFAGNHHLLNLYIHADPSAAVDLPATASFRGHVIRGTKATARASATLISAARRLLATALLDDPSNHFFALLSHFIEILDNEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLVRRHAVMVDPQGCTKFTLTRVNWTDSVDGHPHTYRPDEVSGDLIRELRKSNGTHSYMFARKFAPDCLKPLMEIADSVILRD >AMDW01032504.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032504.1:351:452:1 gene:AMDW01032504.1_FG001 transcript:AMDW01032504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGDLGRYDQRVLLGDLLPVALLEVEGAGVLVGVA >KN539847.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539847.1:32370:33494:1 gene:KN539847.1_FG001 transcript:KN539847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKFLPTLLPAIAVLLAMQAISRMTTVAADQRSSRRPIALPGCPDKCGDISIPYPFGTKEGCYLDINFVVLCNLSTTPPATAAGTTILKANGYYFGDQENPVGVRTNKSWWGVDLIDIDVTRGEVRVAVPVSSDCSTNESYHELSIFTQSLNFSTTFLFSATRNVLLGVGQSVRARIDGVMSGTNYSAACNSLFDTLAKAENGTCMGLGCCEAELAPELGMVTVSMYKQSNSMWETFPCTYAMVVERSWYNFSLQDLYGYDVLDKKFPGGVPLVLDFAIRNESCPAEGKPLPTACRSSYSLCVNTTNGQGYVCKCQEGYEGNPYLPDGCQGNLILRE >KN539979.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539979.1:6409:7711:-1 gene:KN539979.1_FG001 transcript:KN539979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRPLVGSHGQRSPSPGGVDESGGLATALIGLRLGRFGDLQGRPDSLLLHVPMTIGGPEQHSLKTPRHPGNGDDGSLTTFLAVLMILNMLRQDGTKMTFSGLTQQTSTRWVKARPDSTTVRVRRMTTHADEGGVRKRSPLAKRGYPVLRWCHGVRKIALALNTVGTGNPNKRCESLGAAVDVHQSIRYFCARADQLPESSGQQELSTFLSVADDKIGIMHTRF >KN539847.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539847.1:71886:72068:1 gene:KN539847.1_FG002 transcript:KN539847.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKPSSPSCAITTDDAVTAHGAAPVKQPTIGEHLAASSPASPVTAGAVYLAGASLFQP >KN539847.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539847.1:35017:39864:1 gene:KN539847.1_FG003 transcript:KN539847.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRNATAAHDDVRELQCCRNHLNGDELSMYQLTDFGQFASMLAMLFIQENSRAGCAGTMEGEADIDECELRDEQHALRDQYRCYGICKNTIGGYDCQCKFGTKGDAKTGTCTQLFPLPAMVATLGIIGLTSIVVVVVLFKLLFDERRKTKEFFIKNGGPVLEKVDNIKIFKKEELKPIIQSCNVIGKGGFGEVYKGLIDDKLVAIKKSINVDKLQEKQFTNEIIIQSKVIHKNIIKLIGCCLEVDVPMLVYEFVPRGSLHDILHGTRKESLPLQKRLNIAAGAAEGLAYMHSKTSTTILHGDIKPGNILLDENFDPKISDFGISRLIAIDKTHTKCVIGDMCYMDPIYLQSGLLTKQSDVYSFGVVLLELLTRQKASSGEDTRLVTTFLDAYTEDHKGAIDLFDREILLEGDTEVFDNLAILVVECLKFEVERRPEMTDVEERLQTMKRSYVPKSISDASSSIDT >KN539979.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539979.1:54114:67719:-1 gene:KN539979.1_FG002 transcript:KN539979.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHQIIKVARTEDFSSIGKHGRYFDLVDFSVIEGFKVPADMTICSFKGKRNANDRAWACHCNVSYSSTDRFNLSQERLTEEFGTPVQCQRLWWWTRRQNNTYRVDRPLTTEEEKIPVVHTLAIPKWLNRDDYLVFLKLYDPEKARLRSVYFFVATSFFGSYLFVHYDLICYSVQGDRYVGTMYVKDSWTVSHVLQTLRNLAGFRGSGRIELYKEIKFGPWVLCEAIDLHRTFSENEIVTGDIICYQKILKTQDLPKYHSVASFLQHICDQKEEEMKRQILEEKIDGLEHQASADRLEKVETLIAYDQMKHERDNAVRQVNELRDQSTHAILKFSRCDLEQATEHFTDACKVGDTEYGRTYKAIMHGTEVAIKLSSTESLFQQEIIGEICCALLFLHSNNKNPPTAALIHGDLRPCNILIDDDASYRSRLCNVGLSSMFLQPGTCPPNLMERLPYMDPEFITTGELTTLSDVYSFGVIILRLLTGMPPLNLSKKVAAELESDNLHRLIDKSAGDWPYKEAKQLAVLGVRCAEMAREKRPDLLNDVWRVVRPLMRKPSSCPYFPPASPEVCVPAPFICPILMEIMKDPQVASDGFTYEGEAIRRQDVLGQFSMVSMELFNIVEDIKKVSKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >KN538890.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538890.1:142039:142865:-1 gene:KN538890.1_FG001 transcript:KN538890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVVDGLGATEEFERLLPTMPWNTLMDTMMGELHARGKTLGDVAAVLDRIRASAGAAGKRVIYLGDGRGDYCPSLRLGRDDFMMPRRGFPVWELICEDPSLLQAEVHPWADGAEMEETLLRLVGRVLLEGRNLPPLDCKLESLPAVAVQDGMPMTLRIKN >KN539979.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539979.1:43311:51736:1 gene:KN539979.1_FG003 transcript:KN539979.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPYRIIKVVRDQDFRSRIGNDGHYFDLVDFSAIEGFSVPDSLTICEFKMYSFTHIPYRFNLSQGKLMEKFGTPVQRQRLWWWCRRQNKTYRINRPLTTEEEKLSVLHPHSQPTEINEDDALVFLKLFDPEKAQLRFPDSQINRFISFVLFSHFDKQEIKFDPSVMCEAIDIHLTFSASEDIKSFDISGKLPCEFYAIWVLRNFASSGAVEEEWRRHFLEEEIVVLKRQATDRLQKDESMTVCDQLKHERENAVRQVNELCDQSTPVILNFSRKDLEQATEHFKNDGDFGDTEYGHSYKGMIHYTMVAIKLSSSQSLFQQEISILRQWRHPNIITIIGVCSEASALIYEWLPNGNLEDRIICTNNSPPLSWHNRTQIIGEICCALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCNFGMSNLFLQPGTCPPNLTARLPYMDPEFNTTGELTTLYDVYSLGVIILWLLTGMPPLTLSEKVAEALESDSFHLLIDKSAGDWPYIEAKQLALIGLSCTEMTRKKRPDLLNEVWKVVEPLMRKPPAATWPYLQSASGDSCVPAAFICPISMEIMKDPQMASDGFTYEAEAIRSWLLGIEETRKDRGNGDFAAGGCGNF >AMDW01054742.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054742.1:174:389:1 gene:AMDW01054742.1_FG001 transcript:AMDW01054742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGESKAIDINPGQLKCCSNCNFSFSGLYTCDDIVKKCDPVCKKCAVVKTYPVKMFKCTDTFLGMCGPSCKH >KN538890.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538890.1:181637:181824:1 gene:KN538890.1_FG002 transcript:KN538890.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGGKRRIHLDPSESNNKDRGTLSLPPLPGLPGPPDECGTPEP >KN538890.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538890.1:120030:128219:1 gene:KN538890.1_FG003 transcript:KN538890.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MGRKLGFEKVLDCFSLALCTNACVCIHSVEDDEEEAIEREALVSSQLEELVKLKDLVGGAKTLAFHLEPKTVELRVSMHCYGCAKKVQKHISKMDGVTSFEVDLESKKVVVIGDITPYEVLASVSKRQATGVLRKLSFEEEASGQEVRTMEQHMPLKVLVSRLPSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIHLTLCQVVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >KN538890.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538890.1:149892:150689:1 gene:KN538890.1_FG004 transcript:KN538890.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPAALAGKAVANAKVFGEGRVTMRKSAAKPKPAAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRVAGGPLGEVVDPLYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KN538890.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538890.1:167072:175093:1 gene:KN538890.1_FG005 transcript:KN538890.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLRMLTEGMYRGHYLLDRYKYPALQEDRKDEEVSYVYSFSKFNPAKRLRFSSHRRTLFFGSNNIKELQGMIATIEKGISDMMSLVVFLRNYRVVHHQPRDTYSVLENCMFGRQMEHEQVLSFLLQTDGLGDEDFPVLPVIGPRKCGKSTLVEHACRDYRVRNHYSSILFLRGNNLKDARVANLTENGVVKHQNYSSCKRLLIIIELACDIIDQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYLFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLLVLGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRFLVTPSKKPSLFHCGSFRMEVLLSAVLGDLVSRSISFLVDKYYQQKMGMGVDLQCLRRLLLRIEATVLEAEGRHITNRAMLRQLQMLREGMYKGHYMVDTIKNGVLQHEMVNDEVGDHSFSFPKFRPTKRLCFSTRTFTMAFQGEDRKEVGKMLCSLQSIADDMEEFLVFLKGYPHIHRQPYSQHLILEKCMFGRQAEIERITNFLLRESLGAESLGVLPIIGPARVGKSTLVEHICYDERVRSFFSSIVFCNGSDIESKSFADLRDSGMVKHQSCVAHERSLIIIEFIDDGDVDEENWRRLYSSKSSIPHGSKIIITSRSERFRNVGTTQPLELSLLPQEAYWYFFKVLAFGSTNPVEHPFLESAAMEMAAEYRCFVAANFVASLFRANFCTQFWRLFLRCHRNIVEKHVILFGEHPYTLLKKNHNIYLVENFRDPKFILVNGYKTCLRNDDPKDITKGTGWSICSFSLPICHSDFKLNMRSRHQRLFLYLCFNQAPVQNEVKDTASKQIEVCKEVCIQQSATATLFITLTPAAKKLEIPGESSEDFTLKVPSTFFFSPEGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRIRTQPYSMHLLLDKLMFGRQKEVEQRVRGRFSSIVCLSREDLEDMGDHRGLTVKHGSHASQGSSLVVLDLAEDEEPLDDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPEDHPRLASIAMDISAEQNGGFLGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKREIPRVTFQEVLSGRVTPHGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >KN538890.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538890.1:109787:116042:1 gene:KN538890.1_FG006 transcript:KN538890.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDDEEGSEERDEFEAGGGGGVGVGGGEALEDEGRYGDEEADGVVAALEDEGRYGDEEADGVVPALEDEGKYGDEEADGVVAALGDEGKCDGEEADVEAAVEGVEAVNKEGEAQAVPTIGAFYMHDDRFRDPENGRHGRQRKNFSGQKLWYPKDDNVWAHDRFYEMNSHHDRLYETNSHNSPNDSGRGPRGSFRAWGGDRTHRYDHGYLERTLSQSYYHDDREEYKYVPKEPRTFFATTRDHISFLKESNNMYGSANNYKRVPSKFHTYYDHGDTKNFAYVQRESHTYYGNAKDFTSAHDGYRGGVSNPSQNEEASSNAEGGKHPSQTLGFQTEKNFPMKQTSPSNLNSASPSFYHSRSSHQEQPFIQRGKARAVMFSKLFTSSVRMAHNSLKPQSRPVYRVKAVVPSGRGNTLDSLSTNAMEEIDNPDSNLSGSASDNYIQYSKSSDKGTVSSAVKTELKEEVEGPSFTYDEGHVHGVTRARGLTLSDKGTLLYGLPSLISLSSTVLQFSGQHPRGPDTPFIGRTLPRFVVRQLVGGSSEMNQMTWLLVSSSSTGAPGATHNPPHFGSYYPQPSELVPSLVSPRDHSVTEDLTHEIPEVVGHQLGQRQNKIRSYIAANFSAFLRPSPRPIPSTASCSLKHLFLFCIARYSRFLIHDEPKDNSYKTTVTLARQQALLLPLSFSQFSVEALVFSAFARLVLLSLALHAWWQRKKNRNDMPCFQETYLHVNVRVGAATGDASHILFMHSYGFSAHLGYWETFNQVLCPQTLKPSFIPLADFLP >KN538890.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538890.1:183352:184881:1 gene:KN538890.1_FG007 transcript:KN538890.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSISFMVDRYKQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDSFRYRIAQAHAQDEVGDLSPFSPLKRFCISTRGRKTVSEILEKNELQEMLGGLKTVVSDMQEFVVFVSGYPRMKRQPYCSYLLLENCMFGRQAEQERVINFLLAPHPPGDERDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVFYGPDSIGDGDLAPLTDTGAIKHRNPASSRQSLAIIELVDEMDDETWRRILQSLRSGDHAAVAPVSKIIITSRSNKIATFGTTKALHLDFLPKEDFWYFFKTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNDLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYYSYITQYEILAQQKLMLPPKRKRSGALSEELV >KN538890.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538890.1:194601:196091:1 gene:KN538890.1_FG008 transcript:KN538890.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSISFMVDSYYQQHQGVEENLQRLHRLLLQIQAIVEEADSRHITNQAMLLQLRMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDRSLGMSPFSPFKQLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVVFLSSYPRMGRQPYCSYLLLENCMFGRQAEQERVINFLLKPCRPGAEGCDMLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSAQFWYTFLEYYRYFTGWYIHIQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSAKLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEVLARPPIIFPKRKRSRPI >KN538890.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538890.1:199402:201441:1 gene:KN538890.1_FG009 transcript:KN538890.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSISFMVDSYYQKHQGVEENLQCLHRLLLRIQAIVEEADSRHITNQAMLLQLRMLSNMMYRGYYFLDNFRYRIVQAHAQDEVRDHSLALSSFNPLKQFCFSTTTRKMVSEVSERKELHKMLGHLESIVSDMQEFVVFVSSYPRMSRQPYCSYLLLENCMFGRQEEQERVINFLLAPHLPGGEKAIDVLPIIGPGRVGKSTLVEHVCHDERVRKYFSEIVFYGLGSIENNGDMAFLPDTGAVKYRNPVSGKQSLAIIELVDEMDDETWKKILHSLRGDHIAPEKQECLLFGEHLSSGEGLRLSPQHWAPGAHHGCA >KN538890.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538890.1:151434:162553:-1 gene:KN538890.1_FG010 transcript:KN538890.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSDAVVRPLLDEGGVVHGFTRHVIALLPAEQPRRIPHRRGPRRHVDEHQRPGPDLGPGADPDIAEDRRAGANEDAVADLGVPVAHGLAGPAQRDVVEDGDVVADDGGLSDDDAGGVVEEDALADRGGGVDVDGEHLGDAGLEREREGAAVLRPEHVRDALGLDGEEALVVEEAVGEADAGGVPGARGEEVGDDGGAEGGVRGEGGDEEVVEERGEQRGGAELVGEVEGEGAVEGGVGEHGGVEERRERRLGVGVAARLGLDLGPDPRLVGVRLRGRLLCGSAQQKKKRGKNIAPKQLSSNAKLLLTTEENGQLPSTSLRTSMQPPQKSTSSEDDTNGAISQRDEKLAAIGNEQQERSKDKHFESGFQLEDFGKMIQNMEKNILLLNQARLQAIEDVDKILTEKEALQKKVDILEMKLSKALSTKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTILKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLEQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAVKSRHQAGHQETNTHIQVYQQLTEEFQDNLGRLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLTLSGNSQCSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVFWVSTILYRVQEYCILHLDCRHLYIGIYMQLEASAQLEFVSLATTSNTKLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDIGTWNPSTDRFLSVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >KN538890.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538890.1:134216:136061:-1 gene:KN538890.1_FG011 transcript:KN538890.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAMLGSAAREAARQGCAYNKAVVEGSRQLQSRACEATRSAAKHGRALHEELMERNKRYVVDPPTIQTCQELSKQLFYTRLASIPGRYESFWKEVDGAKLLWKNRKNLNLKAEDIGVATLFGIELIAWFAGGEVVGRGFTFTGYHV >KN538890.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538890.1:101514:106133:1 gene:KN538890.1_FG012 transcript:KN538890.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding RAWCAVKYFVPALDWVPRYGLDKFKFDLLAGITIASLAIPQGISYARLANLPPIIGLYSSFVPPLMYAVFGSSNNLAVGTVAAASLLLASIIETEVAADENPQLYLQLFYTAAFFTGLFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIILQQFKGLLGMKHFTTKTDIISVLHSTYHYRHEWKWQSAVLGICFLLFLLSSKHLRKKLPKLFWVSAIAPFMVVVIGGVFAFLVKGDEHGIPIVGNLNKGINPLSIAQLTFQSRHMKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLVKVKEFCHLYKVDKFDFCICMVAFLGVVFFTMVAGLSASVGLSVVRALLYVARPATCKLGNIAGSETFRDVKQYPQAKSIPGILVLQLGSPIYFVNAGYLRERILRWVEDEDNLCKSVGHDLQYLVLDLGGVTSVDNSGVGMLLEVHKSLERRGITIALTNPRLEVTEKLVLSGYVRDILGDEWVFLTVKDAITACRYALQISRSKGEDEVFVDRGNGEYSEEDGTSVRV >KN538890.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538890.1:179079:180599:1 gene:KN538890.1_FG013 transcript:KN538890.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIQAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVGDHSLDLPPFNPLERFFISNRASKIASEILEKKELQKMLGRLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFLLEQHPLCGAKGIDVLPITGPGRVGKSTLVEHVCRDERVRKRFSTIVFYSPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIETFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWYNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHANYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELV >KN538890.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538890.1:189847:191370:1 gene:KN538890.1_FG014 transcript:KN538890.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSVSFLINRYHQQQQDAEENQQRLHRVLLRIEATVEEADRRCITNQAMLLQLRMLRDVMYRGYYFLDSFRYRNAQAQAQDEVGDHSLGLSHFCPLKRFCISTRTWKILSQVLEKKELQEMLDHLQSVVSDMQEFVVLMSSYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPDCSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFLECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPPKRKRSRPLSGQLV >KN538890.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538890.1:137234:139592:-1 gene:KN538890.1_FG015 transcript:KN538890.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >KN542312.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542312.1:1384:7478:-1 gene:KN542312.1_FG001 transcript:KN542312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRDSEEERPQRKDNGEETENGEDGGNALSLKDVANELLGCVVHSEEEAYKLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPTHNHQLAKPGERHMLRSAKSLAVGKSGVIDPQASTESHPINGFSHMIGSDIVEPPGYTIRDCYNHVSMQGITIIEAGDSQSLVNYFKRRTNEEGPDSETELEESWAAMLNEYKLQENNWLSDLYRSRNKWCSAFNKDTFDGGINSSQWGEVSNNTFTGISDESTPLTRFALLLEKVVKGLRRNESEEDFRCSQTAPVRAIKHSTVLKQAAESYTHKIYKLFEAEFLDGCGATSCHESSCGGNLLRFEITMQGRGSKECTEAELAYRNRATQYACSLIAKSHELDESRKIFWDTLETGEKALDVFFEIRSLRSQAAKDVSKRDKKKKKSSKGPSTKKAKPTPATSSAVPELLAQTNVHQFQSAQDAQGNTTIGRPLYYQAFSSAPMQPNQIYMHPNMHTMPLCAPQLIGGTNLCCTSSLTCYITHDFQESAKTSFGKCCLDMDAANHLDGFCQPSRRLLHQRERNKKLSRLRSLKGKKRQKFRERFISGNTKYDDAARELLTPKVGYGLAAELRRAGVHVRTVSDKPQAADHALKRQNGEVDEDMLRNGTHMGFRDEEEDEQDDDEFIVDWDTSDLDGVVDDIVTTRTKFFGATTMSAFADEEIMDGILGVGINGGDMLWSSDDEDEDGYF >KN542312.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542312.1:15883:16947:-1 gene:KN542312.1_FG002 transcript:KN542312.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASAAAAATTEQANGSSGGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREHECMKELREVTANHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIDFREGPALPVLDQLVEEEGNHGSFDFVFVDADKDNYLNYHERLMKLVKVGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADHRVEICQLPVGDGITLCRRVK >KN543158.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543158.1:234:7651:1 gene:KN543158.1_FG001 transcript:KN543158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEVYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPSHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHGIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEGGGFFLLNIEPGFSNGMAFKCSIKLCAWGAGNEMGSIFLVLYVLSDCMLLLDDEITG >KN545158.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545158.1:1128:1547:1 gene:KN545158.1_FG001 transcript:KN545158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRLQAPTAAAAAAAALFILAPHLHFQRPNAAAAAPEGYSNGRFGSVPACSEAIAALEETSAGEAKEKDCSVCLEAFEEESDKPMRKMPCCHAFHESCIFEWLQVSRLCPLCRFALPTQAEAEAGLWPLPTPGSGSGT >KN541938.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541938.1:521:3976:1 gene:KN541938.1_FG001 transcript:KN541938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSIAGSFLSAIITCATEKMVSYLQGNYSMSRDARMLVTKLRDSLDGAKAVTEEADNRLITNPHLNQKLRRLYEAAYEAEDLLDECEISQEEEGSAAVSGAGKRKIVGSNLISSLKRLVIPDGSMRRLEEVRQTLDELCAAFVELKKEDDASQQQSSGDAGETSSYLPPDAKVFGRDDDRELILSIILDSTDDGEAESSRAGAQAKRGGRMRDNGTNILPIVGMSGVGKTTLAQVIYNHQDVKEHFPQRAWVYVSEHFSFKRTLQEILCSLQGNDNSSFNCEDSLETVVSKLRQAIHPKRRLFLVLDNVWDDMCKYRQQLLDVIVAPEVRGSVILVTTQSQHVAQNLGTMITMVLSTLPWESFWPLFLYHAFGGIGAARYNNRGLLSIAREIAMKLDGSPLAAKVIGNLLRSRLDEGKWRRIAESDWWTADDVVIMDILPYLRVSYQHLAPRLRQCFAYCSIFPKNNLFDRDMLVQMWIAHDFIEHSKEGGRRLQDVGREWFDELVSRSLFQPTFVKNKYVMHDLVRALAIAVSTNQCFVHHESSVATPTIRHLALQADTAGSEYFAELQKYKNLRTLLIFARVDNDAFFTCLDKMLENSRCLRVLDLSYVEAQKSEWPKPGSMRKLRFLDLSFTRIRKLRDLPCSLQVLHLRGYGSDSLPQSVVKLSELRHLYVDAAAISLIPSIGQLTKIEELEIFSARKGKGFMINELKNLQELRGQLCIRGIDNVRSKEEALEARLVDKKHLKKLVLEGKKAPKVVLEGLQPHPGIQELKIGGYGGTDMPNWVLQPTGLANLLRVELSKCYFLVALPPFGNLPCLKFLHLETLPSVKRVDGSSFGDFPSLEELRISYMEAWEEWSEPADPSAEDDDGHGQFLPCIKRLYLSFCSSLKEVPRLSSLSMLSELELSKCGEYVKRLPRCEQVLASLRTLKISHCDHRVCISAHQLKSLENLELMDCRGLRLTDRFRCFTNLRTAAVLSCPQLLSEICDDQEEEHLHEEHSARLLTSLSTDKSLMTGNCIQMLGRLPSVRELYIYDLDYSQDFSEEQLEAWFQHLISLESLWLAHCPALHRLPATLGRLSSMRSFCIQSCDAIQSIPPDALPRGLDSFYFIGNDAVALRMNSDQAADWPNIAHVPYITINDKVVQNLVNK >KN541938.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541938.1:21020:21352:-1 gene:KN541938.1_FG002 transcript:KN541938.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EAYGLNSQTQEAYITVDDQVVSAKRTISLHPRTQETHPDMCRREEAGRAEEIVSYPRERSDGWMEVQLGHFYNHQEDTGVIVIQMHEHVQLNWKKGLILEGMEIRHNIGP >KN546249.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546249.1:341:550:1 gene:KN546249.1_FG001 transcript:KN546249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWGASNGIKIGMWGASNGTSHDIVEPPKDLISIQIKSIDTIDRLTFTYKDTKDKQHPVSWGGNLGNDHPA >KN547418.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547418.1:3:621:1 gene:KN547418.1_FG001 transcript:KN547418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEFETLHGIVVGTARGVRYLHEECQHRIIHYDIKPGNVLLAGDYAPKVADFGLAKLCSRDNTHLTMLVFEILGRRRNLDTGRPAESQEWYPRWAWQRFDQGRFGEVMAASGIRSKDGEKAERMCKVALWCIQYQPEARPSMSSVVRMLEGEEQIARPVNPFAYMATLDAISSSSSGGGG >AMDW01081364.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081364.1:38:1183:-1 gene:AMDW01081364.1_FG001 transcript:AMDW01081364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLTEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >KN540811.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540811.1:626:5065:1 gene:KN540811.1_FG001 transcript:KN540811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NYVLTNLFRFLFSWIKAHRVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIISKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLFVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQEDPDERNDPDSDMVLDDHKPMGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKGPEPMADDLGSSKQAPDYRLRCKQPSPVEDARKKVLCSSHNINDLDITNIDMRSTPASMVKEMFEEEIEMEMEIG >KN540811.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540811.1:32869:35960:-1 gene:KN540811.1_FG002 transcript:KN540811.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTGSIPSEIGNLANLITLNLQFSNLTGGIPEEIGDLADLVGLGLGYNQLAGSIPASLGNLSALKYLSIPSAELTGSIPSLQNLSSLIVLELGENNLEGTVPAWLGNLSSLVFVSLQQNRLSGHIPESLGRLQMLTSLDLSQNNLISGSIPDSLGNLGALSSLRLDYNKLEGSFPPSLLNLSSLDDLGLQSNRLSGALPPDIGNKLPNLQRFVVDINQFHGTIPPSLCNATMLQVLQTVYNFLSGRIPQCLGIQQKSLSVVALSKNQLEATNDADWVFLSSLANCSNLNALDLGYNKLQGELPSSIGNLSSHLSYLIIANNNIEGKIPEGIGNLINLKLLYMDINRLEGIIPASLGKLKMLNKLSIPYNNLSGSIPPTLGNLTGLNLLQLQGNALNGTIPSNLSSCPLELLDLSYNSFTGLIPKQLFLISTLSSNMFLGHNLLSGALPAEMGNLKNLGEFDFSSNNISGEIPTSIGECKSLQQLNISGNSLQGIIPSSLGQLKGLLVLDLSDNNLSGGIPAFLGGMRGLSILNLSYNKFEGEVPRDGVFLNATATFLTGNDGLCGGIPEMKLPPCFNQTTKKASRKLIIIISICSIMPLITLIFMLFAFYYRNKKAKPNPQISLISEQYTRVSYAELVNATNGFASDNLIGAGSFGSVYKGRMTNNDQQVVAVKVLNLTQRGASQSFMAECETLRCVRHRNLVKILTVCSSIDFQGNEFKAIVYEYLPNGNLDQWLHPNIMGQSEHKALDLTARLRIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDSDMVAHVSDFGLARFLHQELEKSSGWASMRGTVGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTRKRPTDGEFGEAVGLRKYVQMALPDNAANVMDQRLLPETEDGEAIKSNSYNGKDLRIACVTSVMRIGISCSEEAPTDRVQIGEALKELQAIRDKLEKHVSNEGTSSQ >KN540811.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540811.1:19567:23303:1 gene:KN540811.1_FG003 transcript:KN540811.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVPLHIHDLLLLAIILLSCFASYVSSTSLSIPGEESTTKITDRLALMSFRSLIRSDPTQALASWGNQSIPMCQWRGVVCGVSGRRTGRVVALDLTELNLLGAISPLLGNLTYLRRLHLHNNRLHGEIPPELGHLRDLRHLNISYNSIQGPIPATLSTCRKMENIWLYSNKLQGQIPSEYASLQNLQALVLGENRLMGSIPPFIGSLVNLKFLVLEENNFTREIPSDIGRLANLTILGLGHNQLSGSIPASIGNLSALQFLSFFSNNLSGSIPPMQRLSSLQKFELGENNIKGSIPTWLGNLSSLLLVVLGNNRLEGNIPESLGNLKLLTVLDLSDNNLLGPVPDTIGNLHSIKQIHMSHNELEGSLPSTIFNLSSLELLNLQSNNLNGTIPLDLGNSLPNLQFFLISHNQFHGLIPPSLCNATMLRWIQTVSNSLSGTIPQCLGIKQKSLYSVTFEDNQLETRNNYDWGFMSSLTNCSNLRLLSVSDNNLTGELPNSVGNLSTRLEYFITNYNNIAGKIPEGIGNLASLKFIDMSSNFHEGTIPASLGKLKNLNKLSLSYNNLSGSIPSSIGKLRMLMLLSLGNNALSGEIPPSLSNCPLEQLDLSYNNLTGLIPKELFSISALSASVNLEHNFLTGALPSEVGNLTNLALLDFSNNWISGEIPSSIGECQSLQYLNTSGNLLQGKIPPSLDQLKGLLVLDLSHNNLSGNIPKFLGTMTGLASLNLSFNNFEGDVPKDGIFSNATPALIEGNNGLCNGIPELKLPPCSHQATRSKKQKWKLAMVISLCSAVLFMAVVTTSFMFHKRAKKKNADPQTSLIKEQHMRVSYTELAEATNGFASENLIGAGSFGSVYKGRMRINDQQVTVAVKVFNLKQRGASQSFTAECEALRCVQHRNLVKGRDFKAIVYEFLPNRNLDQWLHQNHMEDGEHRALDLIMRLQIAIDVASSLEYLHQHNPLPIIHCDLKPSNVLLDDEMVAHVGDFGLARFLHQDSEKSSGWASMRGTIGYAAPEYGLGNEVSIHGDVYSYGILLLEMFSGKRPTDSEFGGFLSLHKYVDMALPNRTASVIDLSLLAETVDGEASTSISNQTREMRIACITSILHVGVSCSVETPTDRVPIGNALKELQRIRDKFHRELQGAGATIHQGIQIC >KN540811.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540811.1:9661:13414:1 gene:KN540811.1_FG004 transcript:KN540811.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHTHELLLLAIVFLSCFFSHVSPALLSSSTTDRLALMSFRSLIRSDPTQALASWGNQSVPMCQWYGVACGLRGRRRGRVVALDLANLNLLGMISPAVGNLTYMRRLYLPQNSFHGELPPELGNLRDLKTLHLKYNSIGGEIPPSLSNCSQLVQIALNNNKLHGGIPTELSSLHNLEVLDLSENRLTGSIPSDIGNLVNLRVFGMHLNNLTGEIPPEIGKLINLGGLNLFSNQLSGSIPVSLGNLSALTFLARSFNKLTGSIPPLQGLSSLETLALGSNNLKGSIPTWLGNLSSLQLIELQESNLEGNIPESLGNLKWLTDLFLLHNNLRGPVPNTIGNLYSLETLSIAYNELEGPLPPSMFNLSSLQTLGIQFNRMNGSFPVDIGNTLPNLQSFLADENQFHGIIPPSLCNVSMMQMIQAQNNILSGTIPQCLGIHQKSLYSVAFAQNQLETRNDYDWGFMSSLTNCSNLRLLDLGDNKLRGELPNTVGNLSTRLEYFITGHNSITGKIPEGIGNLVGLKFIEMNNNLHEGTIPAALGRLKNLNKLYLTNNKLSGSIPSSIGKLRMLMLLSLGNNALSGEIPPSLSNCPLEQLELSYNNLTGLIPKELFSISTLSASVNLEHNLLTGPLPSEVGNLTNLALLDFSNNWISGEIPSSIGECQSLQYLNTSGNLLQGKIPPSLDQLKGLLVLDLSHNNLSGNIPKFLGTMTGLASLNLSFNNFEGDVPKDGIFSNATPALIEGNNGLCNGIPELKLPPCSHQATRSKKQKWKLAMVISLCSAVLFMAVVTTSFMFHKRAKKKNADPQTSLIKEQHMRVSYTELAKATNGFASENLIGAGSFGSVYKGSMRINDQQVAVAVKVFNLKQRGSSKSFAAECETLRCVRHRNLVKGRDFKAIVYKFLPNRNLDQWLHQNIMEDGEHKALDLITRLEIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDDEMVAHVGDFGLARFLHQDPEQSSGWASMRGTIGYAAPEYGLGNEVSIHGDVYSYGILLLEMFSGKRPTDSEFGESLGLHKYVNMALPDKVASVIDLSLLEETEDGEARTSISNQTREMRIACITSILHVGVSCSVETPTDRVPIGDALKELQRIREVPQGVARSRSDNPSRHSNLLMRSNQPCQ >KN539149.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539149.1:69788:71601:-1 gene:KN539149.1_FG001 transcript:KN539149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYHHQQQHQPPPLFLARGVVVSPETTRAAGMEYHYQQQQQPPLFLDFSHGDGDGGNSRKRPREADAAGAMVAAVKSAARCVPPDTSAVGDLQLKARGA >KN539149.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539149.1:127043:128269:1 gene:KN539149.1_FG002 transcript:KN539149.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDVCSYNAMLAGYARLALAAPAAEVFAAMPHRDLLSYDATLLALAGGGEMQKAVALYSELRGTSTSLGYSDQTFLALLVGCEKLVDRELARQLHAHLILHGFLSDIRIASSLVDVYNKCLCIADAEDLFNEMPVKSERMWTTIVCGYAEDGQLSTARRLFDQMPKKNILSWNSLMEGYVRHGQEAEALSIFQHLIKEGVHPDQITFSSCFRACAAVCALKCGQQIHGRLLRTDFYPNVMILSSLIDMYSRCGYLADARQVFSLTVQEKKDTLLWNALLGALCHHGHGQEVIGSFVQMIRERWKPDANTFLTVLKACCHCNLVEEGIGFFELMTERYRIVPGEDHYVCLVDLFSCSSSHDKMVELIKSSPLLFRKQIWERLAGNCIIHGNSELLKQIEEHMAELVS >KN539149.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539149.1:13871:20582:1 gene:KN539149.1_FG003 transcript:KN539149.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLNAVQEARRAAERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRIPNNGNDLPLSVVRTPAQRERESFPLSKATDREEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGMDETLCPLDFETQGMIVDDEINTALVRPLTPGVKLHALIDACHSGTALDLPFLCRMNRSGQYVWEDHRPRSGVWKGTSGGECISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILTSMRSTIRSTGDSMGGGGGAVTSLITMLLTGGSVSSGGLKQDPQLTANEPFDVYAKPFSL >KN539149.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539149.1:86891:95780:1 gene:KN539149.1_FG004 transcript:KN539149.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MDAAALQYENQKLVQQLEAQKSKMRALEGKFKELRDEQCSYDNTLICLNKMWNQLIDDLVLLGVRTGGDLNGLQALDHEEMSEESLESCPSEEIFLFRLLNSRNFRNNDDSSLSKLVEEALALRYSTTVTLMKSLQEAFAVQQARSESLSLALNGQNSSEDVIVALENHNDYLKEVVDNLRQAVSIINGKHEKYLDEIEAFKNNQSRELHEVKCLSGELEESMAELEESRRKLAVLQLQTGGGSLMNTSAPNGVNGSVSTDKSSDKDQTLAANRLFELHETQEDNLILSKQLEDIQDQLKDENYIVTSKPYTILSDQLHHLNAEIERYRGLVEVLQNEKDQLIQKEEEMLAKAESVDAVQQSITTYKAKIEDLEHEIQKLMAEKNDLEIKVEEALQDSGKKDFKDEIHVMAASLSKEMELLENQMNRSKDAASEALALREEADYLRTLLAKKIDEQKEISDRYNTQVTEIKSLKALIETLDQEKQELQFIVDMLGKECSESRAISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRIKEAEVDGHISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKMKQAYGSLLAEKNMLQKQLQHVNSSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLSISLERTMLEVSDAEKELKWLRSATGSAEKEYEINQKKIAELKMELERERNERRKLEEEYEEVKNEVTELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREEPSILISPHTLLGRCDIQPPVGGLGDWPNNFSTQISFYQWQQRGASIARLMDATAFPANEFHLVCHLAHCDGLVLAPTDTNLYLFNPATRDTITLPDGHGLGLDPVTRKYKVVRAFYRSMDPIRMGMEVFTVGEAGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRFRYPDDTLHGGLLWFSLQDQAFGVTLLPDSLDPALDDKVRPDVLHGELCVLHANSDTMTVTIWTTNSPSFGDWERRYCIYVSRLCHPMGLLGDGGMLLWAKHAIHRYDLWSDELTAVCELGGIRYQGGRPPRWKNLFNFSVMPYTESLVRITAAA >KN539149.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539149.1:117574:118992:-1 gene:KN539149.1_FG005 transcript:KN539149.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLPGPDVDIPTAIDMFAKKGLNSFDMAILMGAHTVGVTHCSVIKDRLYNFNGTGEADPSMDPIYVWILTTFACPKSQAFDNIVYLDDPSSILTKLGDHAATAWMVNFLGTTDFFSSMFPYALNKLAAVDVKTGAAGEIRANCRRTN >KN539149.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539149.1:5274:8954:1 gene:KN539149.1_FG006 transcript:KN539149.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRIKDVLEKHLDRSSPSTSRGAAVAKERDRLAAAGGKLPAPLGKAGKVSDGAHVEPKKGHNCKLTVISVASGQRDMNVSLISLCIDMNVCGFSISEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRGNQIFLGLAQ >KN539149.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539149.1:128985:131013:-1 gene:KN539149.1_FG007 transcript:KN539149.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSARSNISERNRADYARSASMDSAGHSLGARSGSILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDGSVLAQVIDSGDRGLAFENDVDEEEENNVEHHQAVPLPDDTSMRIHGRSSQGTSVVAPVSAMKPKDTNVNGPASSSIKVEPYKLSWMQDYASYLIHLAVFGFLGVFTRYGLQKLFGPSCLALTSDQSPLYLDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVNAVAGIGLGMFIVNESITVGAETGERLRGWILKCIREKSSIGSKCDWEDWRVDTRTKHYALLGVMVILMSLIWILSIVLAIVKVHSLGHGAVLWLGCSVAPPGVWLRWYLARLNGGGIGIGKRRHLKWLPVGTLAANVLAAAIMAALAVTAKAENTRRLTTVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFILSFVLGTLIYSVPVWVEHY >KN539149.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539149.1:139098:142178:-1 gene:KN539149.1_FG008 transcript:KN539149.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/Swiss-Prot;Acc:Q9MBA1] IHDHCGIAVFAAALAHQAFLQVLLQKGNVQTPYLKNCCIEGVGRYGGIKVYAVLGDDGADYAKNNAWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECTSLAPSTSSASRVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVMNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHVPFMHILWSHFAEKASLKNSEQLHTKLLSISCHYSLHLTIVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGVDRLPFSNQSESGS >KN539149.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539149.1:112709:115766:1 gene:KN539149.1_FG009 transcript:KN539149.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAASPVAPQSDRVLVRLEQIPEREAKHLGLGGMLKSVGGVLLPKSAVKFERYLMGEILSVGADVNEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >KN539149.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539149.1:20875:24039:-1 gene:KN539149.1_FG010 transcript:KN539149.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWWGVVEREGPRRYDWEGYGELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPGWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYSDYMRSFRDTFRDYLGNTIEIQVGLGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLQAAAAAAGHEEWGRGGPHDAGEYKQFPEETGFFRRDGTWCTEYGDFFLGWKYSKASYCSSSKQFGFYLFKVADNKVYVEYIGPADGDQIKPADFFFFSLKNQPFTRTLQSQTTTYKQDATAKTCIQTFQI >KN539149.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539149.1:793:4226:1 gene:KN539149.1_FG011 transcript:KN539149.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSTARRPRPGYEDPVGLASETAFSFKLYDMDNTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADTNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT >KN539149.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539149.1:124229:126046:1 gene:KN539149.1_FG012 transcript:KN539149.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVRRVQQRVRKAREEMDRWDDLNSRLLSQFANATAIVARLPVLEEVKNYGVLRCVPSIREDLLGKQMESLEIIFVSMRETVEEFNSIARSLHKALRDTNQMVCTKIISHLFADMDEQCKLFLTLYSQMKSVDVLARLLLEALCPGVLDMLTSLCFDVLACPDGQMNQRC >KN539149.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539149.1:132242:138106:1 gene:KN539149.1_FG013 transcript:KN539149.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAMGRVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQAGNSPVQRQTLYYSLSPYLVSAFQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMGDIQSINNCEGFGHSGKANGRLQTHDPSSVNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >KN539149.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539149.1:30106:62246:-1 gene:KN539149.1_FG014 transcript:KN539149.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRDRQFFFVKLCWYQCLSSSYKCFLATVYAFHGADQPKHALMVGSDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEMKLLESQQQLKSELNSSWLGSFISTVIGNIKLSISNIHIRYEDTESNPGHPFAAGLALSKLSAVTVDDLGKETFATGGDLDRVKKVLNLLESKSVELESLALYFDSDSSPWSVDKSWEDLLPSEWSQIFEFRKQDSSSPPSKTHTYILRPISGKAKYTKIQLDEAKKTGQALQNAAVDLDDVTLSLSKDGYRDVLKMADNFSSFNQRLKYAHYRPSLSVKSDPRSWWKYAYKVVLHEAKKASGNLSWEQLLKNARLRKTYVSVYASLLKSDMGRLVVDDNEDIKKLDRELDIEVILQWRMLAHKFVEQSAETHQYAQQNKQQSWWSFGWTGSSKDEGDSKSFSDEDWERLNRIIGYKENDDYIPVQQDMKLMQFYFEIRMKHNASKLIIDNSEYLADLSCEDFCCNLKMYPEAKIFDLKLGSYKLLSPYGLLAESASVTDSLIGIFSYKPFDEQLDWSFTAKASPCYITYLKDSIDQIVAFFKSSPTISQTLAIETAAAVQMTLDEVKRTAQQQMSRVLKDQSRFSLNLDIAAPKITVPTKFRPDDIHETKLLLDLGNLILRTEEIWDSRASEEQDMYLNFNLVLSDVSAFLVDGDYHWNERSNEVNLLSVIDKCGIALKLQQIQLESALYPSTRMAVRVPSLGFHFSPARYHRLMEIFKIFQDNDSDKNSSDLAHLWDQADFEGWVLETEKLLGNVGTCACLRNKQVHQVPMELTSGVQNILALHDSGQILEDTGALIMLFDNEEARRIWQNRLQGAIYRASGSATLSSFPEVAFPSETHSFKGSFQDVSIEKLFVAGILDELKICFSCGYESNHKLKKVLLAKESSLFEFRAVGGQVELSMKGGNLLIGTILRSLEIEDQYNYPGSPVPRYLARSFINSVQTNEVPTPSRKNSAGPRDIELQSAGFTSGDITFDSFVKAQIVIYDKQSPQYNNLDNRVVISVATLTFFCHRPTVIAIMEFMNAINLANVPDADKNKDTTSDPVVHNMVEEPKSDLEAGPVIKKLLAKGKSRTVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIEAALGNLKISDDSLSSNHPYFWVCDMRNPGGSSFVEIDFSSYNVDDEDYSGYDYSLSAQLSEVRIVYLNRFVQEIISYFMGLVPKSSDSVVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRETNSSDFLELDVLYIKIQNKFQWIGGDKNEMSAVHLEILTVTVKDINLTIGMNMVCGETIIQDVEGLSFEIHRSLRDLMHQLPAVEASIKVDVLKAALSNREYEIISECALSNFSETPHVVPALDDPRYGTTTAESHVSSSSSSESIQDLSQDVETWITNKISVSINLVELSLHSGSTRDSPMASMQASGAWLLYKSNTREESFLFATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSADGYDNPNELDSGERRIQKDLGLEPIPSMLIFDAILRKSSSTVSVCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMTSPLVFSDQVYYQERSTMSISPQKPLIVDNEKFDYYIYDGKGGKIYLRDSEGKILSGPSAERFIHVLCGKGLQFRNVTIVNGEYLDSCISLGSDCWYSASENDHVYLVSENEGLLSTHSEEITEDVVKNTSANRSTEFIIEIQAIGPELTFYSTSRSSGENLALSTKVIHARTDAFCRLIMKGDSMEMSGNILGLKMESNGIRVIEPFDMSVKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLMPQVLRRFILFSRWNDSPTKGVLALNTNIVRVKRPLSYKLVWRSGPPQTNELQHSEKDLKNKPSNVDRFCSVWLPVAPVGYVALGCVSSTGTADPPLSSVFCLSASLVSSCGLRDCIPLSGNANMSFWRVDNAFGSFLPGDPAHMRVDGNAYDLRHMLFNDADSSKTSSIGQDSHNDASQIERSALTSGRLFEAVASFKLIWSNNGMSSPKKLSIWRPMLSDGMFYFGDIAVNGYEPPNSAVVLRNSGDDTFLRAPEGYQLVGRIKKHGGTEGVSFWFPQAPPGFVALGCVASKSSPAKEDLHFLRCIRSDMVKGGQFSEESVWDSSDARTSERFSLWTVDNDAGTFLVRSGFRKPPRRLALKLAGPPTSSSSDSIIIDAEIKSFSAVSFDDYGGMMVPLFGISFNSVGFSYHGGPQHLNATVGLSFAARSYNDKYNSWEPFIEPTDGFLRYQYDMNTPGSPGQLRIASTRDLNLNISVSNTNMLSQAYASWNNISLGNELYRKETSSTSERSILDVHERRSYYVIPQNKLGQDIYIRTTEYRSSDVTLLSSGDDRSIKVPASRDLLDSHLKGRSVRLYRLMVTAIIANAEIKVGEGLATGEYMVALRIYSEDCIASGVQQQSARTCAAAGDQSSQNIRKVEWNEMFFFKVEGEDNYVLEFVVLDAGGGQPVGIYSTPLKQVVQKLPSASGSNYAKFDLTLGGLTSTKTVEHESVKSSGKIRFAVLISGRASTKQGSRANQARSKAGYIQISPSKEGPWTNMKLNYAVPAACWRFGNCVIASEATVKEGNRYISMRSLVSITNTTDFIVDLRLKGRYSRSSQSDGQGDNSNKDDHISVGLLEPGSTAPIPLSGISNPVVLYTLQLRPTNHHELVQYSWSDVQERRSQTEYRTEEILDICVSDLYESENLLFCAQTDGTSSTSQGLWFCLSIEAKEIGKDVHTNPIYDWSIIIRSPLSLSYYLPISAHYVLSASHLDEEDTSCSQGTLNPGEVVKVQNVDPRNPLYLSLVPHGGWTSHEPVPISHPTVAPSKFINLRSSLSERIVQIVLEQSSDKDYLMARAIRIYVPYWISFARLPPINLQLIDISGRKDKRRFLARPRSERSEKILYEINHEELVEGYTIASGLNFKGLGLSASACRHGSGQFGLLKELSPLGDMDGAVDISAYDDDGKCTHILLCSKPSSYQAVPTKVIYVRPYITFTNRAGQDFYIKLSAEDEPKVLHAHDWRVSFMYSEGGTEKLQLRFGYANHCYLKMCSCMVRLVDTDWCQPLDIVKEDTIVIVMRKQDGTQKFIKAEIRGYEEGSRFLIVFRLGPSDGPIRIENRTSSTTISARQSGLGEDSWIQVKPLSTKKYSWDDPYGHRTFDVSIRQGDVTFFQCVDLENPDECSAGFREHHLKLSIVETADVKILKFADYPRQEGGYRSDLGDHQASPVMQNDTDTGAGLLELIVELGVVGVSLIDHKPRELLYLHLQKLFISYMTGYNSGTTSRFKLIIGHMQLDNQLPLSIMPVALATESMPDSNHPVFKANIAVTDQTWRLNIHEPIIWALVDFYSNLRFVSTNNISTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHRSRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGIAHGLGRAFVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHVPQRIRDPRAIHRDGIIREYDNVQAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVQNQKVALVTNKRVILLQCVDLDKMDKKPSKILWDVPWEDVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEHEPQALLLCSSIRKMWRSHQADMKVVPLKVPGGQHDVYFAFDEDMREFHSFARPLLSPRGAASNVEERLINDTVNFQNMWSSEQEIRSRCKLLSKQVADDGRVFSIWRPLCPSGYVSIGDIAHVGIHPPHVAAIYKNVGGNFALPLGYDLVWRNCGEDYRSPVSIWFPRPPEGYVALGCVAVSAFEEPPLDSAFCVSERFAEDAVYEEQIVWASSDAYPWGCYVYQVQSKSLQFMAMRRPKEECELKPKKVSESYAQQALERS >KN547851.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547851.1:166:360:1 gene:KN547851.1_FG001 transcript:KN547851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALP >AMDW01128663.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01128663.1:65:901:1 gene:AMDW01128663.1_FG001 transcript:AMDW01128663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVNHIFSESGALHKYEFSLHTATRASYAEPSIFALGELRDYIYSKAFVFTDTSTGGCIVVLIHEPAGQISFAKVGDDKWTWHPSHSHYSDCIYMDGLLYALTAQGEIHTLDLSGTTITMKTIIGSLPYSRYIVQAPWGGLLLVWRSVEDIEEDYKADLPADDATFVRCTREIKIYSVDTMGKKHEEINSLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >KN539539.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539539.1:9581:13310:1 gene:KN539539.1_FG001 transcript:KN539539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQDGNIRELLHEDDQLKWTARSSHNIKRFSQSEVEAITSQYKTMIGQGAFGKVYQGVLEDKSMVAVKKFNHKDHNMKETFAKELIVHSQINHRNVTRLIGYCLEEDALMMVIEYISGGNLNDILHNSDHPIRLDTRLRIATECAQALGYMHSHMYTQVIHGDIKPANILLDHNLNAKISDFGISRLVDTDKTLYTQHVIGSIGYMDPLFVSSGRLTTKSDVYSFGVVLVQLITRKKVRSDSGEVSLVHRFTQSLSKGHRKVRDMFDVEIADQNNIKIIDGIGRLAGQCLSMDSHKRPEMTDVAERLRTARKALQRREENPLFFWRMKNRPVAPAPKPEAINDKFVKFRSTRAMLQPEFDLEDLLQASAEVLGNGVYGTTYRAKLGETGHTLVVKRLRGEALPEWEFRHVAAAIGEIESELVVPLEGYYFSKDEKFLIYENMPMGSLSLRLHGYTSVSERPDLGWEQRSTIALSAARSLAIIHSAGANSCHGNIKSSNVLLTKAYEARLSEHGVPTLLASSSSSSSAPAGGCRAPEVDDDNRRVSREADVYSFGVLLLELLTGEPPPNAVVHREGVNLPQWVQSVPREQVAKGGGSGRDWFGLSGGEGRWVEPESLDGRRS >KN539539.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539539.1:47254:49799:1 gene:KN539539.1_FG002 transcript:KN539539.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAASRRRGMRCYLLDYVCYKPADDLTLTTELACAIVQRNERLGIPEFRFLPCLASRVVRRYGMREDVAAYNLSGMGCSATLVAVDVARNAMHARSPRPVVALVVSTESLAPHWYAGKERTMMLAQCLFRCGGAAVLLSSDPAHRGRAKMELHRLVRATTAASDDAYSCIMQREDDDGFLGASISKALPKAALRAFAASLQRLLPRVLPAMEIARLAANLAWQNLLQRRRHRGQTKLKINLKAGVDHICLHAGGGAVIDAVKKSFGVEERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKASLMWALDVTKVGSAVKDRGQIVWKCCL >KN539539.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539539.1:33260:34608:-1 gene:KN539539.1_FG003 transcript:KN539539.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAASRRRHTRCYLLDYVCYKPEDDRTLTAELAGEIVQRNERLGMAEFRFLLRLISRAGLGDRTYVPRNLLDGREELAAGQLDAVDEMDACFDGAVPELLARTGLRARDVDVLVVNVSGFFPEPCLASRVVRRYGMREDVAAYNLSGMGCSGTLREDDDGFLGASISKALPKAALRAFAANLKRLLPRVLPAMEIARLAADLAWQNLLQWRRRGQAKAKLKINLKAGVDHICLHAGGVAVIDAVKKSFGVEERDVEPTRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVAGDMADKGAWADCIDAYPPEGKPNPFLEKFAWVNDEVADESSSPF >KN539539.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539539.1:23054:28531:1 gene:KN539539.1_FG004 transcript:KN539539.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHRLDKADTISSSSSSSRGEPCLEACHLGLGGSRGVATLHGACGGDNVDLTWHEDWAALQVEEESARGLGGFAAAATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEEEEEQDNETVEVNPAANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAVEEPPKVNTVATHEAPLSKAPTDKGEEPPIAGDASTVQNEHLHAENNTEPFVEKTQDVGTNESIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELTNEDEKPIAPKPNDQVPEVSPDLGSPIKCESISSDDISTNKKNNIKDNLNANNFDLELEAKPEMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDDSSVDTKEVIAYEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKEIFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTPFLLISITKMCLIMGIMDHFDMGILNMDPVIDHLEMFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLDPPPPAAAPISPATTPKEPPFQQAQANQNMPRQAAAPREHLPPPPPLTKPPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >KN539539.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539539.1:68686:70084:-1 gene:KN539539.1_FG005 transcript:KN539539.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALVTVLLLAHAVAYLAWTAAARRRQSRCYLLDYVCHKPSDDRKVSTEAAGALIERSKRLGLPEYRFLLRVIVRSGIGEETYAPRNVLDGREGEPTHGDSLGEMEDFFGDSIAELFARTGFGPRDVDVLVVNASMFSPDPSLASMIVHHYGMREDVAAYSLAGMGCSAGLISLDLARNTLATRPRALALVRRRHRGQTKLKINLKAGVDHICLHAGGVAVIDAVKKSFGVEERDVEPSRMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVTGDMADKGAWADCIDAYPPENTANPYMEKYSWINDVDGDSLII >KN543913.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543913.1:345:1322:-1 gene:KN543913.1_FG001 transcript:KN543913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQMGLPKQSAHANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTCVIGNRQFLKARDLFDSASEEIQGKIDYRHTYLDFSKLEVKVSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGD >AMDW01007670.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007670.1:22:219:-1 gene:AMDW01007670.1_FG001 transcript:AMDW01007670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTELRADAASIQAKPAKQRRYIPQ >AMDW01040398.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040398.1:57:1431:-1 gene:AMDW01040398.1_FG001 transcript:AMDW01040398.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILLRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSFIYPLCAQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWQVTVLSLYIAVTVAKNCPNFTRFRLCILEPGKPDVVTSQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSNEMKENHGDLPKVEKLYVYRTTAGARDDAPNFVKIL >KN541794.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541794.1:220:7775:-1 gene:KN541794.1_FG001 transcript:KN541794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAPDLPEDEGHPCHIPRRPGTDGGAEAVSSGEMGGWPSAAAEAEGGGMMFLHSIIVIITIAWLTTVSLYPNTHSRNGPILLTVTLNGWLNQTSLFVNDWAIRIVVLCSFTSHLILALFAGIRRRQATGVRALILWLAYQIGGWAGTYALGSMSLSRTTQQQQQQLALWALFLLLHLAGPDNITAYSLEDTVLAGRQVLTVAVQIVGAAYVLYKQIYSSTAGAGSAGNALICVSVVLFIVGVAKYVERALAMRQADLGNMRSSSKKSKLERRRFFSDVRELGNEHALLVAHDLLYITKGAFVDHLDDGHPLDREAVRSGIFRHGWKEMLKVVDMELSLMYDILYTKAAVVHTWFGYGIRALSPVISVTMLLLFWLHGKDEQRRADVFITYILMASTVFLDIRWLLRAVVSTWTYVFLIDRPCYWLHHRLPARWRVLRRFVLSLDPCRLLVKEPTWYRMWSGTIGQYNLLHECTHDTTSMFSSLVKKVASDDHWMEYEYHYSRGIHISEVIKEKLFDCIWKYMKIAYPAVPEKEGKMKGASCSASVEGVRELEEALDFLPEFQESILILHIATDVFYLCAESDQNAASSKQLVKAIKTLSDYMVFLVAVRPGMLPGLKLRSLYEATQFALEKIWSDKISSCNSTRTRERCLANILRAMEEEEGETVVKNSNSWRRGYRTRNWKPDFISKLYDSSIILSDGIKLAELMLRWLRTGYRDFRIPHTKSEKRFKQMFPELMKIMQYKMYNYPTDDKMRKLLECFFAEWVRLLINASVKCTRDSHAKQLSRGGELTSVIWILVEHAGIFRVDRGRITKDLPNDEFGQKIQACDVTCMTISQRAHSLFHICKRGIVDSVIDVDADKDDTGTTKIIRKLRKEPILWKVMELELSLMYDILYTKAAVVHTSIGYTIRTLSPITIATSFLLFHFSGSKDNHRGVDIIVTYVLLGGALVMETTSLLSALGSSWALDFLCAMRWSWLRHAALCTGRWHRLRRMVLSLRRLITTMTAGYLNRSRGWSGTIGQLNLLSFRAAQINATDRCLGKLAMMLGIDEWWDSTCYSWIEEIPVEVKEGAVDMVSRNDLNTMGLLRHRWGEVALDKKHPGLLEELQGWRHGVDFHESIITWHIATDLILAERENKQPMDEMEKTGGNDRAQRVRSIRALSNYMMFLLVTRPDMLPGLPQNWLYQRTCDNLDEIFREHRGHLMSSKGKVNSRIFTALGALLRGHNKIRPFGLKQTNEFAKILLMALKHMSGKFDPLVPRLT >KN541794.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541794.1:13053:13980:-1 gene:KN541794.1_FG002 transcript:KN541794.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYNLLEMSSHDTTSTSLWGSLMKMLALEEWWNKQQFSRTVDISDHVKELLCNHVKNSPEKVNTMGMGKAGYGADNVFLKAVREIFNYMMFLLVNRPYMLPGLPQNWLYQVTCDRLVEVWRNKGPTATMADVEHHDSNIAWSTISNEHSTLQDDDSYSSKLNTRDELAKILFVNRSGLKFHSSKNPRLEYAIFLSHRLSEMREDEALKLLLNVWIDILFYAANRCSRESHAKKLTSGSELTTVIWLMAENHHNYFRMLHKVGK >AMDW01055327.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055327.1:989:1252:1 gene:AMDW01055327.1_FG001 transcript:AMDW01055327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRGASFRVLRTARVAPSSPDGLPSLGERTVPLTFLDAIWLQTPPVDRVFLYRLGADDDDGVDAVLSRLADSLSRALH >AMDW01038569.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038569.1:161:623:1 gene:AMDW01038569.1_FG001 transcript:AMDW01038569.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RVSEGVLLQSLSKKVIKPNTGSGSIIKGEKVPPKLIYPCAAGIFAHGIEDEFHQVRTAACKSLGALSKLSAQYAQKALDLLMGMMNDDTEAVRLQTLQALFHMATYGCLTVQEMHMHMVLTSSTLAV >AMDW01120674.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01120674.1:584:769:-1 gene:AMDW01120674.1_FG001 transcript:AMDW01120674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYGDNHRLICLGSCKFEIGGDGKGARSLGGDGEPRRGVLLVHEKRGIRVMGAVLVERDYK >KN546764.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546764.1:240:587:-1 gene:KN546764.1_FG001 transcript:KN546764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARRRRRRRGPGGVGEWAPLDGDGEGAIPSDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRAREEYQFGAGAGADARLCIPCDEDIFLGVLCHVDPKQEHWRLISFCR >AMDW01036156.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036156.1:141:572:-1 gene:AMDW01036156.1_FG001 transcript:AMDW01036156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IITGQPPAVPINDTESIHVAQWVRQKLSDGNIESIADPRMGGEYDINSVWKVAELALKCKEQPSTDRPSMTDVVAELKESLELEVPHALGYYSLVTTSANNISTTSVDLQTDHAKASDPRQRTAVELGQAGNDSAIHTGPKPR >AMDW01037465.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037465.1:174:685:1 gene:AMDW01037465.1_FG001 transcript:AMDW01037465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKGISSPFQNGGFESAT >KN540066.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540066.1:62882:63581:1 gene:KN540066.1_FG001 transcript:KN540066.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVHVTALDGVVNVNSLFTVAVFVGLSLADPGELRSLAGDASCDAGQGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARLLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCATNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >KN540066.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540066.1:10368:17478:-1 gene:KN540066.1_FG002 transcript:KN540066.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSKSKKAKNQKGNSNAEAKATTTTAGQQPPAAASSGGMSARASPRTNNDVMAAVTAGSLSLCVLEKYKGYGENRWYFFTVRKPSKTKKKDEPNRKVVVDGVEEGSWSATGSVAYICGKDHETVIGTKRVLTYKSARSAEEDKWSMHEYVMLDKSQLVCPKIDSHHSQITAYQMDQYVLCAIQLKQTYEAEKKAQEEEERGVKRKRTATRKRRKGDIDQTTSQEQEDQQQETPPPGDPHDQSVVDAPYYSTQMALGGEEEVAPVPWCADCMAQPDRIEYPAVWYNQQEQQPLQSVDRSMMTQGYIGDLSYIQNQFDQRQAHDHGSINAFDEALDQCHDTNFAWDNAGIYPGNNLLDGNLDDDTQDQFGNQATLGALTGELEYGTGYQFHDALQATPGSDDASAQSMGIQPAAGHSMGDDDETCCNDDLSSLLADISRILLDGNGVINNEGNPEGSNQGLHICKDGHQWPLEESTMPTVECVLQHPGESA >KN540066.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540066.1:37052:42461:-1 gene:KN540066.1_FG003 transcript:KN540066.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRVEKEKDRSRMMGDFLSRVLLLAFGYAYPAYECYKTVELNKPEIEKLIFWCQYWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKGTSYVYETFFRPYISQYENDIDCSILDLRVRAGDMLVVYWQKVAIIGQTTFFNILKYASAQSPAHSSRSRSTQQSYPQKQQQAQPQQPKQSLPQQQQKQSLPQQQQQQMPHKQPTTLRRAASAAARSAGIMQQSEDTKIAPSNPKTRRLLPTKSAPTASTRSTVAATKPVEDLKSSGMKLATEEAPSPSSNAVMPGSEPSAPPLPKSEEDDMSIDEVDIPIEDMDEPVATLEETPMEEAIRVTRGRLRKRIAAVSTADGSAAN >KN540066.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540066.1:30443:31876:-1 gene:KN540066.1_FG004 transcript:KN540066.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVVDSRRCTRHAEGPATVLAIGTANPANICPQDEFTDYYFGLTKSEHLTELKDKMKRISRVDIASIEVPKLAESAARKAITEWGRPATDITHLIFSTYSGWRAPSADLQLATLLGLRPTVFRTILSLHGCSGGSRALQLAKEIAENNHGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGADPLADGERPLFEMVAASQTMILGTEHALGLQATDKGIDFHLSIQVPTVIKDNIHQCLLDAFQSVGNTDPNWNDLFWAVHPGGRAILDNIEGKLQLEPGKLAASRHVLSEYGNMSGAMIAFVLDELRRRREKEEGVQQQPEWGVMLAFGPGITVEAMVLRNPFSTGIH >KN540066.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540066.1:21630:25378:-1 gene:KN540066.1_FG005 transcript:KN540066.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKMENGQQQQQQEVRRRRNGEVVVDGSEILQLVENKEAFGKFVEQKFRLLDADGDGRLSVRELQPAVADIGAAIGLPARGLSAQADHIYSEVLNEFTKGKKESVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEMAAIFSQVESGNSTLQQCMLAALRQLTVDHGMPPASDSWVMENIIEPALQELHGDNLEQPVTQDIFFQEFRKFLAIVTRRLQGHPVIVAHTENTFDGNGIKKLLSNKLELDKLLDCVWRGVPKEKDRTAKQYIRVAFDRMADSINLPPYGAVEQVDAVVNEAFKMAKAEDGKAVDETEFKKLLTEILGAVMLQLDGNPISVSTNSVLHEPMSTSSTLLSPSPPSPMVSSPSE >KN540066.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540066.1:52430:52816:1 gene:KN540066.1_FG006 transcript:KN540066.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAALLAIVITMSLLSVEVANGCGDTSCSNPSPPPPPAVPTPTGATCPINVLNLAVCANVLSLNVPSSQCCTLLQGLADLDAALCLCAALKANILGVISVDALVDVTLILNSCNRSCPPGFTCPL >KN538826.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538826.1:68356:68574:-1 gene:KN538826.1_FG001 transcript:KN538826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWGPVLIALVLFVLLTPGLLCQIPGSNGRVAEFHSMRTSVASIFVHALLFFAFCAIFMVAVGLHLYAG >KN538826.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538826.1:135152:138241:-1 gene:KN538826.1_FG002 transcript:KN538826.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRVFFFIIPPASLTASTSTSSCPDGWQITPALDKCFIYIPTSLSWDRSEALCRNNFTAHLAALSSLQDLNLAKSLCGPSPSGCWVGGHRDNTASAFAWKWSDDSSSWNDTAFPADPLRANCSTTGCALATTNDACTLVTNTHAALTAKRCSDSHGLICMINHEDRCYHDHCHKEYFIVLVVVSGFILLTTLAVVVWLLVYRRSKRRRRSREGSSTSATALVPPLWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSRVAIKRLKRSSLQRKKDFYSEIGRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHVPRGGRCLDWPMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPTQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQELPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR >KN538826.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538826.1:39383:43659:1 gene:KN538826.1_FG003 transcript:KN538826.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At2g01510 [Source:Projected from Arabidopsis thaliana (AT3G02010) UniProtKB/Swiss-Prot;Acc:Q9S7F4] MHPSKTTATVVANVAAAALPRAATPLDARMVKTGFDVLAYRLNLRLRSLLSSGHLHRARAMFDQMLHKNIFSLNLILSAYSSSGDLLAAQHLFLSSPHRNATTWTILMRAHAAAGRTSDALSLFRAMLGEGVIPDRVTVTTVLNLPGCSVPSLHPFAIKFGLDTHVFVCNTLLDAYCKHGLLAAARRVFLEMHDKDAVTYNAMMMGCSKEGLHTQALQLFAAMRRAGIPATHFTFSSILTVAAGMAHLLLGHQVHALVLRSTSVLNVFVNNSLLDFYSKCDCLDDMRRLFDEMPERDNVSYNVIIAAYVWNQCAATVLRLFREMQKLGFDRQVLPYATMLSVAGSLPDVHIGKQIHAQLVLLGLASEDLLGNALIDMYSKCGMLDAAKSNFSNKSEKSAISWTALITGYVQNGQHEEALQLFSDMRRADLRPDRATFSSIIKASSSLTMIGLGRQLHSYLIRSGYKSSVFSGSVLVDMYAKCGCLDEALRTFDEMPERNSISWNAVISAYAHYGEAKNAIKMFEGMLHCGFNPDSVTFLSVLAACSHNGLADECMKYFHLMKHQYSISPWKEHYACVIDTLGRVGCFSQVQKMLVEMPFKADPIIWTSILHSCRIHGNQELARVAADKLFSMEPTDATPYVILSNIYARAGQWEDAACVKKIMRDRGVRKESGYSWVEIKQKIYSFSSNDLTSPMIDEIKDELDRLYKEMDKQGYKPDTTCALHMVDHELKLESLKYHSERLAIAFALMNTPPGTPIRIMKNLTACLDCHAVIKMISKIVNRDIIDHGIGAGFHSRMDAGTNLLGHQCDVKSGDSKGSACGWERRMQVQMQMQQATTVLSSSSSNRPWTLWRHPVPVVSSPSRAKNKKHGLRLRAAMAMASSELPDLSAIQRVVLDIEGTTTPISFVADVLFPYARDNVRRHLAATYGSSEETRADVALLRAQVEEDLAQGVDGAVAVPPDAEGEGAVAVVEALAANVESMIRADRKVTALKQLQGRIWRRGFDSGELRSEVYDDAADALRRWRAKAYIYSSGSREAQRLIFANTAAHGDLRDHLCGFFDTTIGWVGTYLSW >KN538826.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538826.1:10290:13287:1 gene:KN538826.1_FG004 transcript:KN538826.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAARMFASNATLCACEPGFYLSAAINGTCLGLPDGGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEATIAALLSWLAFCAFARFTGHDPTGNKRLFRARFWVSRLDCIYNTTHWADDQQVLRKRKTELGGTCSVASLILFVGLVTVLLYQAIQRRNIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLVAPSTIAMGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVRNLNASLQNPMDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQCEARIKKLRDEDSRMLKILRKRRAQQNWDKVRKFVMYTWGPSNLDPSDRSGKWPESSVMDSLHGSFHKKRKPIRRATSNGNKPKRNPADAGVIDIERVGEMQESSSSRQA >KN538826.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538826.1:102415:104418:-1 gene:KN538826.1_FG005 transcript:KN538826.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNKDPPPSIQDATERINKRGESVDDKIKKLDEELARYKEQIRKTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPDKESDFDAELNLPAAPTAPAAVPVSRQQVDELGLPAVPRASIRS >KN538826.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538826.1:139012:142499:1 gene:KN538826.1_FG006 transcript:KN538826.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKLASAGATCPVKSSSDNKTTINHTDDDDNEKTGNASNTDPRVVPPKCPFGYDSNTFKLGPLSCMVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDNKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAQDIREELKSKQDNQELCSQLGAVLGMLGDCWHRTCLPNKGKLVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAAKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLLVLDFLQKQLDDK >KN538826.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538826.1:337:8913:1 gene:KN538826.1_FG007 transcript:KN538826.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGEHCNNTPCEWLLDLGKGGAHDTSAHHLFDGMPSQPEMPKEDRRISEPVPINTTVNKEEKWLNEALDRILEKFEQMEVKRRCDEKIDRILENLDEIEANRNKFCEEMSASIKATTAALTAASYLPLQEPPSPTPTTCSMRCSNTDHLQATSGSSHIDKETAPSVVAEVGDSKDKDHAPCIVTKDLPKITPARCSTLDLDVNTDIYHAVVVFPLMDSPLELITGFIEPSPVVELKLDSIIGMKKEVPNGCSMKCLKDDNMLLMENPKRNPWPPPWLDGVIRGRDLRPSPWPGFISGGTVEHLVPPWPPPTQISCLALVCYDNGMIFTEMKYINLHWGELKPWPPPNQSDFRHTMVQFEQCQSWKIGVIIGLLAWKKQLSSVNHGSYTTIGNSRMPKLTMEKRSYMLNASDGNGTFWPMGYWQCTSLPIRHIGNYVLHWLSVYMTWPQLRTGRVCSNETKMKMLIYGIHELYKNRCDWQANKIWGTVGIKAFLVGAIYSRFRNNWWLESLSSKPVKLLYELWSLWVSSICVVENKDDFIQLAGHQFTPYMMAQYIEAIEHGLIDGNGWDANNVHDTYGCKERMSVTVILKDGTDIWKLKGIKKSAIKNIISVWKSAFTPAIKSLSQGNKPFCIYKPNVSNCLPCPVAVSTIPGKLKMRNTIVMLVGHHEYYGEGENTKLQLKIVLAGKSNGIMNDLITLIIRVLQVPWDPGGILGILFVVLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGGKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRTLRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQTTAFYLEKTFEFRKYCLKYNLLMNSIQYSGTAFSLTCLCARLQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQTTQLWKMVFFMAWLALW >KN538826.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538826.1:108082:122855:1 gene:KN538826.1_FG008 transcript:KN538826.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPAAADDENEMTSTSPQMQMQMQMRRPKLSSHFQQGDIDDDGGGVGVVDIRGSPMGSAELARTGGWVAALFIFGNEMAERMAYYGLSLNMVIFMFNVMHRPFAASANAVNNFLGISQASSLLGGFLADAYLGRYWTIAAFTTLYLLGLVALTLCATMPALQAPGQDECDGFAKLLGKCQQAHPWQMAYLYAALYTTALGAAGIRPCVSSFGADQFEERSPVLDRFFNLFYLAVTVGAIAAFTLLVYVQRNHGWAAAFGALALAMGASNALFFMGTPLYRHRVPGGSPLTRVAQVLVAAYRKRHMKHTTEVLYEVGGAKSAVRGSGKIEHTEELRWLDKAALQGEEEDPDPWRLCTVTQVEEVKILVRLAPVSACTVMLSVVLTEFLTLSVQQAYTLNTRGLPVACMPVFPCLAILLLLALYYRAFAPLARRLTGHPHGASQLQRLGLGLLLSTLSAFQNGNSLPFYFFKAPYGDHSWYNNPRAVCTNEISPEVERASPEVEAIQVGCNKVHQASIELTKTDSELEDMAVGLARCDGIAASSKTFVRQGSKNVPLHIDIDACRQKSKAKKIEQRDVGMNLASREREEKEERNTGDEPDGEEEEEGGGEVGHQDLGLGQLERHEAREVKLRRRLNAALFAAACFSRLSLDFSYITLEALPSCFHHILRKHFSLLMSQVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQANKITSEHDYSGRFIIDLQWVSRDYQQLPVNSLLGTSNDIVKPETASVKASHMGADFDKLKFDPTEFSSKKEVFIVRNIILSTLKFANACHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWHACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKHLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSRTFLATDHLLSNTSSSHELFFQRWFFSLRASFLEILADFLGILTAHLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHNSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYHLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKRKKVRVEEKEMVTAFARFEASDSGMGLSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRKS >KN538826.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538826.1:73930:75962:1 gene:KN538826.1_FG009 transcript:KN538826.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAWQSSVSCFTLLSPFPSCSSHVALLPHGWVQLVSLPDRSVPAPGPGQGEQCGCAEVPHLTSAAACCKSRSRNPPPAPAPRTSTARVVRSSSSRRRLLLVFFSAEAAAATSGLIQTPCGQAYPFAGTNVKKPQPPSTPYSQSQSQQQFGLDAKGRIRACPSTNPGCVSTNPTVGASCSLASPLIVPANTPTDKAAASLREAILKTQRNAVIKADEETAYGHYIRAEVDGDVMEFLLKESQSQSQEVVAAYRCVATKVIFVYPFTTAVGDSRGQSQRIAAVAQELGWYAPDLLNAATIAADDVDHSILDY >KN538826.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538826.1:100929:101557:-1 gene:KN538826.1_FG010 transcript:KN538826.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAASSVVGLSAVLPAAVKGRSLQIQAPRRVALRVRAAAAAAVAVEAAEVDYSSSISVFPMEACDLIGGEACNVQMYPEAKLSSSAASRAAAAAEEVDRDYLSYDDPTTVFPEEACDDLGGEFCKAT >KN538826.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538826.1:144371:145570:1 gene:KN538826.1_FG011 transcript:KN538826.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLQLPAAACGTAAGAGLPPGFRFHPTDEELLLHYLGKRAAAAPCPAPATGTDKPISISDSETVLGVKKALVFYRGRPPKGTKTSWIMHEYRLANAASASYATSNMKQQLASSSSSSSSSASMRLDEWVLCRIYKKKEANQQLQHYINMMMDDDNDLQVQQQQAQSHRMPRPPSISEYLLDIDYSDDLPPSTHHTPSLHLGFTAVNEQGNNNKRHKTMEEYYSISTAEMLRASSTTSNNKSTQINFSSIFEPPPPAAAGHQLMPSHNDDTSI >KN538826.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538826.1:37387:37896:1 gene:KN538826.1_FG012 transcript:KN538826.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAFGSDSVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTVMYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDASC >KN538826.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538826.1:15830:21823:1 gene:KN538826.1_FG013 transcript:KN538826.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETTTATSGGEHDQVEELASLIKDNLYSKHLVLSTEETLVGILQNQYHNSDDDEDEDDIVAAYRGTNRNIIELQPVSSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYGNKDTSTSVVVKRKDTDLEEAWKKDAQENISAENSHLKNDADLKALQKSVAPPAPSLKEREAAYRAARERIFSAHDAKGNGTAVAKPRHVPAVAQRMIAHALGKKVESPTETAAVKNGKGKEPAESSRNKLNPRTAGGKEDSRYVENGRMRLHTGNPCKQSWRTSNSRAASSVSPDELKREQVGAAKRMFVHALRLPGVEGSDGPVNDISLADYLAVSSTKHATYLPHTAGRYSAKRFRKAQCPLVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KN538826.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538826.1:50884:54988:1 gene:KN538826.1_FG014 transcript:KN538826.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDRDSRRVPTGGARVRVRVSPAAGVGGDDLDGAVKDNGDGSYAVTYVVPKRGNYMVHVELDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEDKDARGKSRSPSPSARRSKSRSRSPIKYRWSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNRGDKDEKYIKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLDSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLFDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPCLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >KN538826.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538826.1:69229:70451:-1 gene:KN538826.1_FG015 transcript:KN538826.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MSGFVRSAVSVSALLTDAVMRGFTPSRLPAFSALASSFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLAADALDYRVYFKTIPTTLFYRRLRTVANAARGTFRRYDAAFRDHARRGLLPALSWNHTLLIITYDEHGGFYDHVPPPNVGVPSPDAFRGPLPFFFRFDRLGVRVPTIMVSPWIRKGTVVGRPPGGPTPTSEYEHSSIPATIKKIFNLSSDFLTRRDAWAGTFEHLFTDLDEPRTDCPETLPEVPPSSSTKKKEDGSGWLSDFQRELVQLAAFLNGDYMLSSFAQEYESRTTMTVKQADAYVRRAVKSFLEASKRAKRLGANDSAIVTMRPSLTTATTCCP >KN538826.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538826.1:87375:95578:1 gene:KN538826.1_FG016 transcript:KN538826.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) UniProtKB/Swiss-Prot;Acc:Q9ZV43] MAMARSILLLLLLAAAASASASADAPFLVAHKKVSLSRPKPGVERLAVSLDLYNQGSAIAYDVSINDDTWPKEAFELVSGEMSKTLERLDPGVTASHAFVLETKVQGRFQGSPAVITYRVPTKAALQEAYSTPILALDVLAERPPEKKFEWAKVTSSMEMEDDDDDQRLLHSLGVTSADIHDIERRIISQATTDPADSSGPTINGGHQPDDALAKLHHKLRSVQIEIDAVASTIKGAKLKQPSGNKPHEHKGKNQPDHHGGGHLQQALAADRLTSLKKAKAQIQKEILQSHPSPSASNRKDKMLAMLVQDEPSRKKPPVGPKNIVKRPMKTVTYDDDNDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPEPSHRQDDSAGQTEEEAMEASRIARVAQSLKQIAQNRPATKLLDSESLPKLDAPAAPFQRLGKPLKRPVSPSSDEQEQKRPRNKTKRPLPGKKWRKANSIKESSLNDTDNDVGEAAVSVSDDDEDQVTEGSDELTDVTLEGGLRIPGTLYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGLYKPSIVVCPVTLLQQWRREASRWYPKFKVEILHDSANSSSKKSKRSSDSDSEASWDSDQEEAVTRSKPAKKWDDLISRVVSSGSRLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANATPLQVSTAYRCAVVLRDLVMPYLLRRMKADVNAQLPKKTEHVLFCSLTTEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKEQGHRVLLFTQTQQMLDIMENFLTACEYQYRRMDGLTPAKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQPSETSNGRGQSLQVGALNGKALSSAELLARIRGTREGAASDALEHQLNLGSASNHTSSSSGNGRASSSSTRSMIVQPEVLIRQLCTFIQQHGGSASSTSITEHFKNRILSKDMLLFKNLLKEIATLQRGANGATWVLKPDYQ >KN538826.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538826.1:130783:134823:1 gene:KN538826.1_FG017 transcript:KN538826.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDMRNIPPPLLHLLKQCLLHLANLYAAIPSADLPDRLALCYVHPAASSSSSSPPQVYVTYVNPDHIEQICRCHGRPIDLANTNKAKWMCPITSRQLTASDVTDTAVKIGEQTVLFLPDSEGVSSLRRASSSISFDVIERTNLASLNEGLIIGTPHIVIPSSNDVEVALDDECSDQNTQRRSNVFYGLCETLFKLDQGLVCSSKCNTETMKIGSLECYYLLQPSEKGPMLLRRLAGSEEILPLPVVSRPCNSTGTKEVKSSIETSLSKIVLKDYNPLQHERGFHSRLNCLVKDSLQFGSIAPACGAKDPRHIDSLSEPQISTFRGPEENKVLRPCREEGGDIQSFSFSEPQAKASPRPSITEEWEQLIIIDDDFTSAVTCSTSTSRANPKLPSPVKPLGLDDKTSRILERLEAPRAKKQRATTSTRTRTGNSNTTPSPPASSIGAGTQIKKPLLPFEPSASQPLRPTFNKLRRKPTAAT >KN538826.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538826.1:79400:86823:1 gene:KN538826.1_FG018 transcript:KN538826.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVPRSLRLPTPKAQQQMDEFWRDRQKEIETTKDFSEHAIPMARLKKIASSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWASAQSHNRCIILDTDIAEAIASTESYDFLVDILHNHRVKHKSTPCSTLTTKRCRLVDQPSTSRLPYQHQLPLFAPTYTPAIPITPSLMPPISHYIPFQYPSLSQEVSMMMASAPIVNRSMLLIHNIARGLDLQGNNISTFANNNIPDNIVGCSSPAVLASMMSTALLDVAGASLNPPNSHSICTMNMINSSDPSGSSIGDIDVANQASLAPSGHFNLAILQESSCPSLLHSNNNDTIVAIPEGVDISGTMDVAAIVINGQEEHERETNVEHHEQNEIYESMDIGIINASVVDGNKCSIRWDELGMADDSLLDKFLEEFQARNDGVLHNGIALHEDPFLGDVVDADGNCLFTAARKAASAKPDARDLRHRIVRRFSHLYAAAQAPDRDAIDAAVRHLYAPDLKAGWGVHVVQELKLLAPKTLRHHLDAAINDLVDLGIQREMAAETIYRERCIAVNNGDSWAKYMSVSGSAEDEHNIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTVAVYAVSCNVSGLKVLTVEEEIQSVRKTAEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALNAFRESDLNGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLYAAPSPTILSYLTSAFSSTLLNYANSLRGLLRHGVSIWIDIPLELVVNDMLKTQATSDPDSFSEAMSRVRQRYDELKERYGVSDITVSVQNVASQLGYSSIDSVTLEDMVLEIVRQIERLIRAKSMMEAAGKPF >KN538826.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538826.1:44401:46688:-1 gene:KN538826.1_FG019 transcript:KN538826.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPHRPYKRPAISDQQRRRDLALQAQSARRADAQARARSLANSLLSPSSAAADTAVEGDSERDHESTVAEAASKLRGSDARRWHVFARPAGKRCLVVSCNGITISRLRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLMETTAGDPPSTYHRYRFSAVPIYECTLQGLQAAYSGSTPYVKDGLLFYNKHAHYLAGITPLALVWKDEACSQYVIDTDSKGQVPSEQHIVLELQEDGKLTTSDDPPVVFGSLDNEFIQKSNLRPGNLLRFAVKDERVKLVDGKMEISELQFVGKPNRARAFADSHSKALFQYAARHAPLRIEDLVASIQSNNMELESTDVEMQG >KN538826.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538826.1:25253:28996:1 gene:KN538826.1_FG020 transcript:KN538826.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIVIVIGSGIVGTVLTSGEAKIALPDFRDVLSGAFKVNHLREELQILSRSNQVAIATVDGRPGPGAYGITAVVAGAIGYLFIRWKGWKLSDLMFVTKRGLSDACNVVGKQLEHVSENVNAAKRHLAGRIDRVDCTLDECQEITESTRKEETKLGRLAYTQVLSSTPLPAIESPERITRAAYLPPSSEPEFSGPRSPVTEASKVVHSPTTMSASGLSMLVETSMPPKRVWHCCYLPKIYSTKCELERCFEPSKFYERRIPGAIKWIVKFRGTNHWEECSKFKTVWRVWFFEEFCQLRYMALPWFYPKELD >KN538826.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538826.1:152536:157340:1 gene:KN538826.1_FG021 transcript:KN538826.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHHHHAHRDDDDDDDRRRHPAPAPAYGHDSAPPPGPYGQAPPQADPYARHPPSHDYAPPPSAYGGGGYGNVVHVSHEVSDHQRPTHYGGGGYGHGGSEYISPVQPHHGGGGAPPVTHRIYCKAGEDNYSLAVRDGKHWVKDMKYSTRVKDEEGYPAMALVNKATGDALKHSIGQSHPVRLVRYNPEYMDESVLWTESRDVGSGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWYVQMPCRRADHKTAIR >KN538826.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538826.1:60505:67614:1 gene:KN538826.1_FG022 transcript:KN538826.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYHPDVSPPDAAAENTRRFIEVQEAYETLSDPSRRATFVRALARGVCRLAFSSSRRVAPYYQLFASSYQHLPNLFESFSCFVIDDGGAAVKDLRLRRVVPLTSAPLDDSSPDPDGAAAKSGSAETTPPEAQDGRERKPVVQRSKLVRAPASFGYRRLLPFLNQLTNTNQESECPSGKDNSKIDAYAESESEAQPDPMHCSISTTKEEINISSSHLSSTKMCLSRCQRSRFVHHPSSFSYKRMLPFVTENGYHIANLSHHVLLSTFSEITSQEGHRTKIPRLVQEKQPSRGENGILTTGQHHFVMSGDSAEECKTAQVERLVEENESKSDRLYPLGGRLLQPAISEAAHLELQVSTVEGQNLTQERVLASDKGECTSKWNDVLPAGQHHPAASEDFSEESNKAGVETVLEEKKSVPDGNSVLDGRQLQTFVSKASPPEGTAEMQKATQKQAVTSDGDGDPLASCKGGSLAKEQPLLHATEELSVKDNAEGDEVQQCQSPELGTSDVCFGGPTKVVIPSVNSHNALEQSDSMASLDEPLLDVEMTCIPLDPCATGVPYSVKETPAGVLCTSDHCSTGTPLTVEETSSSVSVVHIEPMSSKVSPVRQRGSPCLEKRGLSPKKLSPKKGILKRHTRGCKGICMCLDCSTFRLRADRAFEFSRKQMQEADDIIDNLLKEVSSLRNLMEKSAGQFFLHITDGHFHCLKYVD >KN538826.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538826.1:125185:127537:1 gene:KN538826.1_FG023 transcript:KN538826.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANAAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTGRGNAHQSGANSNQSAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLFSAMDLKTAGKDHNMPYLSTTYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITSPKKSTEQQLSNGSPQNVVHLDIFKGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >KN538826.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538826.1:30322:35859:1 gene:KN538826.1_FG024 transcript:KN538826.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIDFALRLRDAANHHLARYEPLVLLAAPLLALLAARTLHAAAAAIADRGLRTVLLALAMTAINYIAGSESEGHFALINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRTKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFAHILTLFPPSIWHWHLGYYHQIQHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMTSLGLNGYMENTAHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDSVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTVIYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDASC >KN541954.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541954.1:8410:16931:-1 gene:KN541954.1_FG001 transcript:KN541954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLFSNKIETGFLKTNIDGAFDPNMRNGGWGCLLCDELGNLLMAAAGNLENLQDTLHSKASARLAAINTTTANIENTREVGQRAGGRATGWLCRLWRRDKGGHTEGRRKLVRSACVVATISSISSSAHAGPILKALKEKSKANIDACRTVIEKNNPKYKLKQDKLLLNCEGGGECGTCIVEVVEGSELLSPKTDVEKELLKRKPKTWRLACQATIGNPDSTGQMVIQQLPEWKIHEWDK >KN538842.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538842.1:201004:202535:1 gene:KN538842.1_FG001 transcript:KN538842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAVKRKLHLHQSSTQPVTCSPPLPFTLAKRRELAPDLESSKGSSTSLRSDASYPTTAEDDVQLSQAVARAIILIAKFPEAIRQLSHTGRRRSSKAFRCMEEIEGRTITSSFSKAQDYVNLQAAIYEKAGKVVNNN >KN538842.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538842.1:112624:113869:-1 gene:KN538842.1_FG002 transcript:KN538842.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDEPSSSFASAPKRQYGAGGGGGGYGGHGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYE >KN538842.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538842.1:140908:148435:1 gene:KN538842.1_FG003 transcript:KN538842.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATTRAKPKPKPKAKPSPSSLLSGGSSPASGDAADDLSFLSPSSPVVKPKPRSPLAAPASSPISPYASPATASASVSVSTVADLRSLAASHLDSLKRRLDALHGDSARDLEASHSRISKRFKMQTQSCLQLADEAEKEHRKMADKISEHAEAVKASYKKFVAEVQASTSRVQIHMLLESLKHCVHVLCSNFPVMAVMDPMDEHDSDELPSGVASDDAHVAFRARTKKRSKVWDEYKPIYVNGVVQSAECRYCHILMSCKGSDGHSNGTSHLWRHQKICRAKEDLDLAQLHDTGFPYVMNDINPVDQIHPDSLDDIKLASHSDNSSAPSFKSGVQDELSPALTNGKVQIAEYASKLLKVNSSADKTPESQHILALPARDNMTKEQNASSTHAAPDVSTSKLDQETSYQELIRMIVLHGYPLSIVEHEEMKRFAKSLNPLFNMASSIDVEEYSTLLYQKEKADLKEKIAALSSRRISLSASIWAPHGAEPTVKYICLTAHFIDAEWRLQRKIIKFGVFWSLPTDLERMILHKEACVLDSESGPYNVIAEAISDWNLDNKLFSLISVSEIRNHEGTTKLKDMLIQKNSLPIRGELYNIACVDDVLNNIVSKGQSMLHLVDNILERFMLAHAYSSLTKQQLFEAVTNMGLKCPQEDAKWELFHEYCGPVDKGVHTSNNEARDVEMDGFDSDSLEDWDQHLSAQSRSQRLSELDNYLEDGLVPRKDDFDILHWWMIHSTKYPTLSVMAQDVLAMPSSALHCKAAFSSEGPVIHRQWSTLNIKTIEALVCTQDWIR >KN538842.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538842.1:131398:139693:1 gene:KN538842.1_FG004 transcript:KN538842.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPYIRRETLCLTALEDVCTAKHNWSSDYTDAVRMLAGNMNNDNYIDLSSDSDIDFDFDSDDSVGGLDQELASSSSRPTENINGQYRTLPPSFTNGRHVDNARHALGSGDRAYPHSSSYRGSPNDSTRATPASNRTDIVVKKHNGFASDENDNGKRILPSSFSNGRTTNAMHPVVASETRKFPPSFTNGNSQRLAENRMGKNVANGIGEPSSSRFPSRSSFGTDNKKVITDSDNEDVYVYGSSSSHRVLPPSFGRNSSANHSEFANGIDMQGRLNLENRIIDSDERAVYQEALQACVPLFLVIEAILILARIKGKMICLRVFCQYLCLGTSVFHIHYIPFLFERKWHWLGWFRRRIALIVQGLGKTVSTIALIQKQRTQQSKFMSVDSDRLKAEALNLDDDDEAAPVADNKGEQTKNDEPRKDLGAGSSSTAAGTGDVEPCASLMNTAPDKTVERNVERKKKSKASTSSTMQSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGSRTKDPNELAKYDVVITTYTIVANEVPKQNADDDTDQKNGEESSAGNKRKQPPKAQSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYNSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETLIDGEPIIKLPPKTINLDKVDFTKEERAFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVGEFCSVCSDVPEDAVVTMCGHVFCYQCIYERITTDENMCPSPNCGNTLSTDSVFSSGALRICMSGVSSSHASGSSSLDDESSSISQTSYISSKIQAAIDILNSIINTYALTDSDTAESNPSRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRI >KN538842.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538842.1:118087:128660:1 gene:KN538842.1_FG005 transcript:KN538842.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAVPPQRAVEGFRAGGLVRRPGGGEAMPDKGERGHHHTTNNNHHSHSHSHRKHQAELEEGELLNGEADNSSSRDLERSMPPKKWRKVLAASSAAEVEPGEIVMPSKKARRNGELEKGEIAPERQRKDKSDKSGRKSNKDEVEPGEVAPPDKKRDRDHNKKLGSSAQVRDDGSKKGSSRDSDEEPGEIRPESSSTGSARKSRATEPENSNHKHQADTCDQTGSKSRRKGEAKSSGRHLSGRNRDISPMTRDRHERSPGILGRFPHDRLRHDRSPSRLEPSPRDRGRHYDNRDRSPYISPRHRMRPSHYRDNTPSRGEMHHHRDNTPSRVDSSPRRSQHEDFRERSPPRRDKSPSERGRTTESHEAGKKSRGAKLENNSLEKAQHKSKSTKQSTKSKSSSNGSNEKISKEKATETIQYTELPPPPPLPPPPPPPPPPPPPLPPNMPPPLPPPPEPELNGAPAEDVSMEEDMDICDTPPHTTSSAPEPTEPPASDVGKWFYLDHYGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSEFPSVYSDVSTQLVSPPEAPGNLLDEAREEASGTDHEQMKEASAEEQEDFYIDDRVDALMDGSIMVDGQELEILGELLNAHFEPVNWESEDLSRFQVKLERDDGTKRSSEFPDSRTAHIYGVVPAERDAYQPHIESSEWYSGRWSCKGGDWKRNDDFSQDKPYRKKLVLNEGYPLCQMPKGNHEDPRWVCKDDLYYPLRAKKLDLPLWAFSSTEENDDTVDDASKSGVMPGRSGQTKQPPKGVKGTTLPVVKINARVVKDQSSSEHRIKPKVADRPPSRSSRSHSIGTDRSSTHEGSSHSKKHHEHDSQSLHKSKSVPNIPKDHVCTVEELSVKVGDWYYLDGTGHERGPFSYTELQELAKKGTILEGSSVFRKIDNTWLPVLKDLKSGCSARNGEAGSSTSALTHSNQSNFHEMHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLEPWIATKQPRKELETFFSHSSASKNFVQEDGGSTKRARLLPDQSDEYTDMSEDILASQKDDCCFEDLFEGAAHVKESPLNSRTESESWGLLNEHVLARIFHFLRADVKSLISSAATCSWWNTAAKYYRSVCRFIDLSSLGPQCTDNVFHDIMAGYDMQNIRTLVLTGCSNLSSLALAEVLKRFPHISYVHIQGCSQLGDLKNKFQHVKWIKSSLNPDASYQKIRSLKQIDDGSNSTSKAGRILTSQMGGSDELDGYFADISNRESSTLSFGQGCYKRSKWLDIRKSSAVLSRDAQMRRLMQRKAENSYRKMEEFVINKLKEIMKSSRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRSDLGDMKQIVVAFIQLAKKLENPRLISDRDGTAVQKDSSDTSQYSSDLKLKKKQSKTTSERRGANWTTAGADPSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSGAWDLKGNGMKLFESSESLTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVLRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPTELDWPSSDKHTFVEELLLNTLNKQVRQFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRTSKMCLGMLKAMRNHPEYNYGLGVVCNKTGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSDDQAPEFYNIMLERPKVKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGHYQIGIYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIFFERQKLPHEIFKHNVDEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLESMEPHVEEDVLTDLKAKIRAHDPSGSEDIEGEIRSSLLWLRDELRALSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVLISPLDLGPKYADKLGPGFQEYCKTYPENYCLGQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGSRTVRFMLARMENQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >KN538842.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538842.1:192485:194730:-1 gene:KN538842.1_FG006 transcript:KN538842.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTAPEMGAAVVAGVCVGSQGPLRQTLLLQCLGPNQQVVFFRIVRLTDVGSTLILMARSNGSKYEPMQLTVDPLHFTLQCRGYQSMQQRGRGRGGVRGRAVRMPNQVAVDLGVPHTNKESDFARRQME >AMDW01033178.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033178.1:34:284:-1 gene:AMDW01033178.1_FG001 transcript:AMDW01033178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTG >KN541102.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541102.1:10556:10756:-1 gene:KN541102.1_FG001 transcript:KN541102.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSSWTELVGVLATLAATQIGKDRPDVAVEVLPPGAPLTPDFNDKRVRVFMDDNGIVAKIPVIG >KN544216.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544216.1:1002:2342:1 gene:KN544216.1_FG001 transcript:KN544216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRASTRDVCIDINHGLSNISTTLSLNRKCSVYRIPAHVRELDKAYYEPRMVSIGPYHRKEKHLQAMEEHKWRYLRDFLSRGLVNETADHRMRRYTDMIRRLEPEVRECYFESTDLDSTEFVAMLLLDASFIIEFFVKWFSGEDYPLFSVSWSLPLLLNDMLMLENQIPFFVIERLYDISTFDPDRPEDAQPKPSLIGIITDYLRGIEDAEVRHDRENVHHMLHLYHCCFVQPLELSRNANEEGGNANNIGNPFQFLPKMIPCATQLREFGVHIKKNKHARSMFDISFRNGTLEIPRVAIEEMTRSRYMNLIAFEQCHDNSKYLTSYAVFMAYLINTAQDAILLQRYDVIDNKLANEEEAAKFFSQLHACSYINYDEHYLAPVFRDINTYCRRWWPKRRARLCHDYFASPWAVISFLAALIFMGFSIFKIVIMILSVFFHFHERK >KN545470.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545470.1:1:863:1 gene:KN545470.1_FG001 transcript:KN545470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVVCSAGNAGPDAATVVNAAPWILTVAASTIDRYFQSDVVLGGNNTAVKGGAINFSNLNKSPKYPLITGESAKSSSVSDTESASHCEPGTLDASKIKGKIVLCHHSRNSDTPKTEKVGELKSAGAVGAVLVDDLEKAVATAYIDFPVTEITSAAAADIHKYISSARYYT >KN541102.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541102.1:30215:34286:-1 gene:KN541102.1_FG002 transcript:KN541102.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent glyceraldehyde-3-phosphate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT2G24270) UniProtKB/Swiss-Prot;Acc:Q1WIQ6] CTQEEVNKVMETAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAISEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADIVVEKVKAKLAKLTVGPPEADSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQLFVISMGFGLQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >KN541102.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541102.1:25087:29467:1 gene:KN541102.1_FG003 transcript:KN541102.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-6 fatty acid desaturase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/Swiss-Prot;Acc:P46312] MATASGVSTPLQLPSTRRVGGCCSRPGSPAPGKNAFPRRAAAGSPADDEEKRKQMCEDYGFKQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKSWTSVLISVTSYALGIFLISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLNFGHSNLYLLHNLQADRRYCMATRFFKGVQNKFLTEESNDICLWSYQAMDVYCSLITTLCALSTPGNGSDSVLVFITVFGYITRQYMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYINEASWNWRLMKTILTKCHVYDKDRYYVPFDEVAPEESQPIKFLKKVMPDYA >KN541102.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541102.1:18311:19594:-1 gene:KN541102.1_FG004 transcript:KN541102.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLGHGNFGRVHVARDLRTGRSVAVKVVAKEKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFGRIVRLGRVREDVARHYFRQLVSAVDFCHSRGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYDGAKADLWSCGVILYVLLAGSLPFPDDNIVTLYRKAQRGDYRCPAGAERGGAKGRLAVAADIFSVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALQDIVWGAAADPTPTAAV >KN540299.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540299.1:4386:9125:1 gene:KN540299.1_FG001 transcript:KN540299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVARAHGDDAVRQFLEQYPLPKLLGALQSEADVPGMDETIAACLDKAFIQAGLLANSKNIRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLDKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESQNTDEAESALEALDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRLVYTTARNSPKLTPSALLLSVLQQDPDIRIAGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLVNLAPPFLVFQPKGDTAWKHGMTVVWRSARHYHHHIFFMNQAFLNSLESEVLTTKENIL >AMDW01034487.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034487.1:51:128:1 gene:AMDW01034487.1_FG001 transcript:AMDW01034487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYIQQLESSRSKLMHLEQELQRARQQ >KN540299.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540299.1:21045:31311:-1 gene:KN540299.1_FG002 transcript:KN540299.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYAIDSDTESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVCSAADPSGQLGRVVGVDMLVDLETSSGDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGARCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVRIVHPSISKSGTWLCGSWKASRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPPNFQDPKKLTLLSCFPYANWQLGDWCTLSDCEGSLWENSDKSCFMSMTWKSSSDTQTAIGTYGSDYSQTYVVAKTKSSVDVLWQDGSTSLGLEPQSLVPVSTLGDHDFWPGQFILEKLTVEDNGRCQRTGIVTSVDALERTVKVKWAVSVDSDTVSYGDGLTEETVSAYELVLHPDFSFCTGEVIIRSAVNIENSEANLTNGTVAVSRESLDTSSAFLSCIGNVLGYKDEGLEVQWASGAISRVQHFEIIALDRILDDSLESMIEEQTTDDLVDMAEQEKMHLEDTKNALEESAGDCTGSLRKATAFLFSKTAFNFLTNVASSLFGAHDSTSSSSVNADSQYQIVTTAELQPSAEDISEEKQTMELMTQFEKPTLASENAVTKGFDVVTDCSDHHFVKEIGHENVKRGWVKKIQQEWSILQNDLPDGIHVRVYEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGNEVWDPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHKHRVADISKLVFSFSTAVNVMGYLCAGSSSFDDPDVVEVTPAAAAAGGWSSGHQKRKRSQVVPHEVIELDADDDPDGVVIIGEKSSVDKNKQAVGYPIDWLKHAKSSLAGEIAGPSSYPSKNPDILLGGLKIFQENPFYNNIDDYAYEAFEEDYGYDEDEYDDYDYDSTLYESEYNFTLAAKFDGLDIPPGVEAPLPWLQTTAAEMANKTKPVNMMDDKIDEKYSAFKQFDTVDDHSDHYYSKPDLRKVQVVKKPSKEWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVITGPAGTPYHDGLFFFDIYFPPHYPSVPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCERWNPSNSTMLQVLVSVQALVLNAKPYFNEPGYAMHANTPHGEKSSLTYNEDTFLLSCRTMLYSLRNPPKHFEDFIAGHFRKYGQSILIACRAYLDGAQVGCLVGNGVQDVDEGDKSCSARFKTALKRLFEELLMEFTVKGAECDKFLAEKAKAAASRAPADTTLRL >KN540299.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540299.1:17104:19812:-1 gene:KN540299.1_FG003 transcript:KN540299.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDPNPNHLLLVAVLLLLLNTGYFSGGGARLFGPDLSIPPRSFSLLPSSVVRTTDASLLHVSATLTVSGGRRRRPPNDGRHLLVEYDGQAHRFRPRLPRFAGRRGSVTFGLEGLESTDSPSFFEPVSLVTYAEEGYAYAESASCPPPPTGRLDALQVFEGSKFSCAHLSSLFKATFRLDYTNGSSESTASSLGLHQRFMFINRMRCADDGAVRAYVVFANQTDASTYYFMLGEKAMVVEGFWDEKRSRLCLKGCHVVNSGPSRADLAVGECGIGMSFWFPAVWSLQERSFAAGLVWNTSLESGEGIAASSNTIAPYFRGSLSGLKYNYTKLDEAKKYYEKYGLNKKRKGKFPDSNSYRDLTFRFFLQKGGGSGYASPVTIGSMLYDGNSLVDSDHSYHIMTETNHRLLNVSYDIHYVGNWSLETFRRQHISAEGVYDAKTGSLCMIACRVVNISLDCEILVTAQFSPLDTKVAQHVKGTIRSLRKKSDPLFFEPLDIASYGLYIDKVDESIWRMDLESTMALISMTLSCLFIAVQLFHVKKVPEALPAMSITMLVVLSLGYMIPLVLNFEALFKNSNKQTFPLSGGGWLEVNEVIVRIITMVTFLMQLRLLQLACSARSMDVSKDQSWAAEKKVLWICLPLYIIGAVAAWVVHMQFNNNRRMLRKVARLPRVNRHAFWEDLVSYGGLILDGFLLPQVILNACLGSKVKALSPGFYIGSTMIRALPHVYDVFRAKHFVPSLRPFYRYANPRDDLFSLAWDIAIPCGAILLSVLLFLQQRFGGTFFICSKNRKASEYEMVPTVSS >AMDW01040308.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040308.1:553:861:-1 gene:AMDW01040308.1_FG001 transcript:AMDW01040308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEDLMKRMKLTVAEKKGIRVGSAGSSGASSQEPRAIGKVLAKKLVNADGLANSLGKIWCPIKGVGCKDLGENHFLFTFYQASGKRRAMEDGPWMFNKDLV >KN540299.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540299.1:12290:14916:-1 gene:KN540299.1_FG004 transcript:KN540299.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein NSL1 [Source:Projected from Arabidopsis thaliana (AT1G28380) UniProtKB/Swiss-Prot;Acc:Q9SGN6] MRVLGFMGDGGGGMMTAQAAAEAAVGAVGCGYDLTSDLRLSRVKEGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGSLCFDGRFVELYAVEAPRAHLALLDRVKRDVPPFWDPAALAESVRLPPSRAARPAFVAFAATPVATDSSVLTWSLPNFLFRFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRSFRPSVVSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSTQMQQQQPPVGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEVLDYPE >KN540299.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540299.1:43001:52153:-1 gene:KN540299.1_FG005 transcript:KN540299.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQQQKHEVDRATVAGAVAALFKWMRARAAEAPPNLLTDERDDLLLLQLSLRRIPPSPTTKPRLLPLPHPVIVPGESASICVISDDRPKSRSPAASDLLDASRSQNLPVSEVIPLSALRTDYRPYESRRRLAASHDLFIADRAVLPLLPRVLGKAFYSTKKAPVAVDFARTGWLEQVRKVMSSTFLYLRTGTCSGIKVGRLDMKEEDIVENVMAAVEAAVEKVPKKWANVRSLHLKAVDSVALPIYQAVPELGMKIEVKVAQLEGEVGSGEVIDASEAGAAVKGVGKKKVKKTLKHVEEENAGEEAAQESGKRKRGKKQQAEDLMEQDIQDVSEKRKKVKEGTPAAETPKVSKKGKEKSKRALDKEGEDASVEEASNKKKKGKKEVVSDVGEKNSKVKKSNGGEKEKRRTRLRFDKPTSKTLKIWKLQFLMGNSAKAQRLQMPNGRRFPSFLHDFRLGQQLAFRLRELCSAVASEIHAKLARFLHRFWTTLQGSSKDIGWLKRTKTLPCSVDGLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYSERSDGLVTRRDAEVPGSVVAPRVLASPRLFPSRCHYASNRSSGDEEEGDDDDHYDEEGSGDEWGEEEEEAVAAKPPSGKTEEEKVAEAAEIGYTVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQSQTVIGRPTLPDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSEATAVAA >KN541619.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541619.1:106:7528:1 gene:KN541619.1_FG001 transcript:KN541619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDAPVGGEESSVVRKEVGSQRNFNFTIRDHLQLGKELDLFDFDAAAELLYEQLYFFSLVHFTKVVYCHLQVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGAATRTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPPANAKKGKGSSGPTQFVHTLNATAVAVPRLIICILENFQQEDGTVVIPEPLRPFMGGLEVRIPLENFCGTLKLYSVVYDEAFNARAPDGSVLHKVRAALDLDVDWKTNWWMGNKNHGESPKRKFEDILDEEDDEDDEPMPVGTNPLGRPGLVSAYDFSRQIRGYVRNLPTYIQCMCCKLVIVRLCYELTSYVLEERYLCADERINRDNRSLVDLLNDIGRSRRCLIRFVDKRGSLGRAGKIKLKGINKKVDDAEKLSKDISKRGGTPKPIPQLFLDKKVFY >KN541619.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541619.1:20535:20957:1 gene:KN541619.1_FG002 transcript:KN541619.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLPLAEVKWILAQKREPYIDPDEIEGFRSSSNPSDNDGFPEELKASCRDSIRRSNILRKVADDRFFQYQSKVRAAMESDGCFMVDADYFERRARGRAKANEAWAKLRDGLPLSDSDSDADEEDDEDMALLAAMGLEFD >KN541619.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541619.1:9839:10428:1 gene:KN541619.1_FG003 transcript:KN541619.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFKKNSIQPHQPVVTPRHLPFTLDDNVFYSDQSSPTGVATEATTSREIPSLRIVTDSKTTDLKRKRANNWYSSLSTEQKDWS >KN543338.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543338.1:796:1209:1 gene:KN543338.1_FG001 transcript:KN543338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREGAMVAKGHEEGMKMAASLLEEFGLPLGLLPLADVVEVGFARANGYMWISQRRKVEHHFRMVGKHVSYDADITGYVKPRCIKKLKGVKAKELMLWPPVNEITVDDPPTGKIHFKSLAGVTKTFPVDAFAAGQ >KN543338.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543338.1:2499:6688:-1 gene:KN543338.1_FG002 transcript:KN543338.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFDGTENGGGGGGGGVGKEQDRFLPIANIGRIMRRAVPENGKIAKDSKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLRLYREGDTKGSRASELPVKKDVVLNGDPGSSEGEPSFLGKERSSILLCWEDEAKPVTVKIIETVYVEADTADDFKSVVQRLTGKDAVAGDAPELNSAQRFGSGREASRHGDHQVRIYE >AMDW01074893.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01074893.1:164:425:-1 gene:AMDW01074893.1_FG001 transcript:AMDW01074893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDRNGCLKSYNSLSSYHNTLLKRSLSNLQRTYPHARVMYADFYSQVTAMVRSPQNF >KN540520.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540520.1:44115:47230:-1 gene:KN540520.1_FG001 transcript:KN540520.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSIMEKQEEELKELEPLLESVSKLLKGGRFTDAHERHGLAFLEMELSAIVPYLRMPMSPSIGDHDDGAHYDLMTWLSVLIGVRNTVTAIVKFLSNQPCVPLRKAMRRFRPRRSFGYDIPRKVYPLYLVFNGLRSELMPSPPQAAQVEAAAVHDHLVGIDGTANELLGWLMAADESLRVMAIAGPAGIGKTTLAMELHRRLRCQTHFQCHIVANFSRRRHRTKLLPQTILKQIIEQLEAPSSPNSSEITMLGDDLELLARNISERLKDKRYFALIDNIFNESDLELIKGAFLNNNCGSRILFTARDERIPGWCLSNYNGIVHKLKPLSHSDSEKLLHIKAFGSMDDCPPENLKLLYDEILMKCRGIPSFITGMADWLKQHQQQHGSSAIPRVEEVHLLLKQFEHWLSFDYSDELRQSSLYLSMFPQGYVFEKDRLVMKWLDEGLLSELHFSEMVDRNIITPAARNCGPNLDEDDLCLWEVNPFILRFLAYRAAERGLVFTSSTLTSLPSCGGNNTRIARRLALHHPDPQLPEMLQEMDLSHTRSLLISGAVDRTTVPLDKFGYLVSLDLQGWENLKDEDLLQICKMFVLSYLSVSNTKVSKLPPQIKELRTLNALDISHTHISEIPSELCEPGSLRMLDLRGTQIKHMPEQIVRLKMLKHFLVGGSGSRMINSDEIVPTKIPEAICHLRQLKTLATIDLSEFPARLVQSLGDLRILEVLAITWSFHQCTDKAYQKALRSSIERWRKLKSLTIHCGLGCSMEFLGSLTKPPEELEKFKVIAGRFASVPQWIRGLVHLTFLQITVCKQVAGVVKILAGLVKLQRLELGLEFIPEEAIEIESKGFKQLERFSLDCPVPWLTFEEEAMPKLTYFRLNLHASHASEMSVPSEVNQAGEEEAESATRFNHVNPPKDVVQAADEAALRTETEFQSKIEDEGETECYA >KN540520.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540520.1:777:1571:1 gene:KN540520.1_FG002 transcript:KN540520.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKEEMEGVLRAMDRLPGRISDLLRSERGHAGLSVLARRGFVFTETELSSLVAALRQLLASAERIGPGHVDSQTVNHCAYLIDLARWIDDLIDGYAPDKAETELRELNLAWIEQLLLVTDAPPAAPDVVVDVGPPSILVGIDVPRKKLARWLTASDDGEAQLKVLSVVGPAGMGKTTLAMDVFRQIEGQFQCRAVARLSARPPHTDKLLRHIMSQITGSQADAATLDGDDCALAYDIKQYLQTKRYCSSIPSILSNTLSSF >KN540520.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540520.1:35207:36640:1 gene:KN540520.1_FG003 transcript:KN540520.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGVNETVAVAVAIDAPGVGQDHGAAGEVRRPSARRLAPAGSGGRLMAELLGVFNGLTERMEEDMATSSSSQFLVRALKLALPTLRDGGGDDGGQSVSRALVVAASLAVLQMDAEKGPLEWPN >KN540520.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540520.1:19209:23568:-1 gene:KN540520.1_FG004 transcript:KN540520.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGAMGSPTTAALIMEKREELLKMLEPLPGMISELLEAGRITDSRERHYLAFMEMEVSTIVASLRMPLPPPRDRRGVDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLDLDRQADWLSSVEYFGWSTVKSLGNPHGEDHAPRALLRKAMRCFRRPRSFDHYIHFMAYLLYCLCSNDPRLELPSSELMPSPPQAAQVEAAAVHDHLVGIDGTANELLGWLMAADESLRVMAIAGPAGIGKTTLAMELHRRLRCQTDREYYFQCYVVANFSRRPHRSKLLPQTILKHIIEQLETQSPNSSEIMMLEDDPELLARNISERLKDKRYFALIDDIFDESDLEMIKGAFPNNNCGSRILFTARDVRVAGWCLSNYNGIVHKMKPLNDSDSEKLLRTKAFSSMDDCLPDNLRLLCDEILNNCRGIPSFITGMADWLKQQQHGSSAVPSVEQVRLLLKQFEHWLSFDYSDELRQSSLYLSMFPQGYVFENKDHLVTRLEDEGLCLPWWDLSSRGPSFQEEHFSELVDRNIITPAAENYGPNLDEDDLCQWQVNPFVQKFLASRAAEMGLVFTSSTLTSAPSGGGNTTRIARRLALHHPDPQLPAMLQQMDLSQTRLLLISGAVDRTTVALDKFGYLVLLDLEGWENLKDEDLLQICKMFMLRYLSVRNTRVRKLPPQIKELHILWTLDVSRTNISEIPSEVCDLNYLEMLDLRGTRIRHLPEKVKQLTSLERLDISHTKISDLPSGVCRLPYLQTLDLRGTLICQLPDQFVQIKRLRHLIVGSAGAGSGMIYSDQTVLTKIPETIHQLRYLKTLATIDLSEFSVKSVQSLGDLQQLEVLTITWSFHQCSDKDYQQALRSSIERWRYLKSLTIHCGLGCSMEFLGSLTKPPKGLKKIKRLVLGLEFIPENPIVIEKEGFKELERFSLDCPVQWLTFEEEAMPKLTYLRLNFHGSPASEMSVPSGIRNLKMLSEVALSYNVRYINSPNVKMTVEAVRKEVTEHRNPIPVDFFINGIRDRADVIQASDKEAESTTGFIEDDVSEDGGVPLRRATQFQSEIEVEGNDVLVSRGERAIKRKGGRPVLLQLDQEQT >KN540520.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540520.1:48038:48802:1 gene:KN540520.1_FG005 transcript:KN540520.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASTEAVKSLTGKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLSKLKRRDDVDHDEQRQDWMKQNYDRGQEYRGQEYVA >KN540520.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540520.1:6229:17244:1 gene:KN540520.1_FG006 transcript:KN540520.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVSKQMQEEDYDDEEGDQSGVTMDISRPCVAAYLPSGIRELKQVEDTLSPSYDNLPCELRLLSLYMSTFPQGYVIDKHLLIRKWKAEGLIAVHTLQSGFEERAEECFSQLVQRCIIRPARTTRRRACDCECNPCSYQVNHFMFQLLASKSAGKNFVTTSCCDAGALRGSSGLQIRRVFLHHGQQQQQQQQAADQEVPAQMEEEMFSCTRSLTVSGEVDGISLEMFPHLVVLDLQGWEKLKDDDLPRIFSSGKLFLLRYLSLRNTRASELPPEMGMLSSLETLDASHTRIARLPPEVCTLRSLEELDLRSTRIQQLPERIDDLVALRHLRAGDGAASTRIPKGIDWGMLRDTLETLAAVDLRECSADVVRKLSLLRCLEVLSVSLSLRQCTDKEYQDNLSFLVQRLKCLRVCKLAPEGVKILGHLHRLECLELGLDFLPREAIVIQGQGFMSNSQNRSNRAIHEEEEDDDEKNGIIIYPFRELLRLSVDCRVPWLVFKEGAMPKLTDLELKLSTGPASHESAPSGIANLLSLEQVAVQYDAWYINSRSVRATVDAIRRQVAELRYTVKLVNNGVEEDVEARSTSPGGEDEADPDEGSRATGTSSSRAPRITPPTSEFADDGERLGTYRGHTGSAEQTAMLEGSLPHPASMPPRAQASSPSAMASPSSPPTASWRRERAHRSAQVKRIAEDLDDHWIIGTCLKESDKEQGHQKTITSLPKSVDLSHFLTGSLDKSAKLWDPGILTLIKTYVTERPVDSVDISPLLDHILQEDIGGVKGYFWTNYALAFNPDGQSGTVLVFYV >KN540520.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540520.1:37607:41304:1 gene:KN540520.1_FG007 transcript:KN540520.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSGAVKSLVNKLGSLLAQEYTLISGVRDDIQYINDELASMQAFLSRLKRDVAHDEQRQDWMKQVREVAYDIEDCVDDVRHRLGGEPRGTGTVVSLKRTWYLLTTLYQRRCIAADIGNLKRRAQHVSERRTRYGVENLPANANGGGNNNSGSPRDHPAPLPRLIGTVEPVGMDDAMNDLQRWFMVSKQNSQISYLAIVGSGGLGKTTLAMSFYRKFGDEFDSRAFMLASQKFHLPTVLRSLVSQFHQKQVSASEDALHGIQEWGVEALKKKLADQLQGKRIEKQILIPKLKRFNNMFCAWEEYYFFILLSSNIKRRRYHILIDDIWSVSAWESIRDSLPKNNKGSCVIVTTRFNSVAEACRRQNGHVHKLKQLDLENSYNLFLQIISANDLCPSRPINVSIIMRICGGLPLAIVVVAGLIASKLKSKIDLTLDQHLVDVDEALSAELGNNLTTEVVQIINHCYKNLPPDLKTCLLYLSTFPKGRNISRKRLIRRWIAEGFVTEKHGQTAEEVAEDNFNELIGRNLIRPINNSSNGKVKSCQIHDMVLEYIVSKSGDENFITVIGSHWQTPFPSYKVRRLSVHKSDRQETVLVERMKLSHVRSLTVLESFKALHSTMLKFQILQVLDLEGCKDLSSNQLKKICNMHQMKYLSLRGTEIHKIPKKIGRLEYLEVLDIRDTDVTNLPASVERLQRMVHLLAGNKTKRRALRLTEGITKMTTIQTLSGIEISGRSTKKAAREQAQVTEVIRDASTTDAKDGDITGLQGTHKEGSKVDMPKQLRPLAALEKLTNLKKLAIYRLVNFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLNRSLSSSQAQPEHLYTLELSGSLFKVPEWIDRLHNLEKLTLSLTSLTTDTLVTLSRLPELFSLIFSLDAAKDISNILKTVQKNTLESGGKIFVPDGGFTKLRLLRFTAPVLPPLSFLEGAMPELQRLELRFRIIECVYGLENLSSLQQVFLTFSSQAPEDAKEKVSQIKGLASKIRKADSSNISVVIDEYNELSKEQ >KN541797.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541797.1:2601:6240:1 gene:KN541797.1_FG001 transcript:KN541797.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVPGGILAQGVNALATCSSQARECERGDEEEEPKAKRRCSLAETVNFDGETPVAGEDSGEGSEKEELAADAKLRQENGGIQATSEVAKSTNLKRARNLAVSMAGRAAALARELKNIKSELHFMQERCGLLEVENKRLREGYDNGVPPEEDDLVRLQLEALLAEKSRLAQENANLSRENQSLIQLVEYHQLTSQDLAESYEDVMEGMRLDFSSPLGKIDSDEEGERENRRVAVTPSDKLEALGSSDE >KN541797.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541797.1:7429:13575:-1 gene:KN541797.1_FG002 transcript:KN541797.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLLLHPLLLLLVVGGVAGGGGGGGRLRAPSLEFHHRFSSPVQRWAEARGHVLPGGWPEHGSADYVAALNGHDRRRALSAAGGDGGGGGDKPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSMSSTSQAVPCNSQFCELRKECSTTSQCPYKMVYVSADTSSSGFLVEDVLYLSTEDAIPQILKAQILFGCGQVQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQEETPLDVNPQHSSEDRIQTPSISLRTVGGSVFPVIDEGQVISIQQHEYVYCLAIVKSAKLNIIGQNFMTGLRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSGFSPSAPENYSPEETKGGNPASVTQLRPLSNSNPVMWYDSLVFRFLLVNLAALILF >AMDW01025245.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025245.1:59:325:1 gene:AMDW01025245.1_FG001 transcript:AMDW01025245.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLYNETDTLDPAYAAALEEQCPIAGDDEALASLDDTPTTVDTDYYQGLTQGRALLHTDQQLYQGGDSDELVKYYSENPDKFWEDFGAAM >AMDW01032311.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032311.1:205:384:-1 gene:AMDW01032311.1_FG001 transcript:AMDW01032311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YMTKFLCMAFTDVMKNNRMSKKQLDQKVQDELDKIWTGMDCAATRKAKGCFLTGHETNP >KN539046.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539046.1:115935:118566:1 gene:KN539046.1_FG001 transcript:KN539046.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVAAGGRRPPCRRAPPAASPPARLSCRSATHTVPRRNVLSTMLSTSTVFLFGPKQITLAETTGGTFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFFLDENMSVEISSPSSSKYMTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEKVFKEEEGDLRRVMDSFRVNKTA >KN539046.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539046.1:73840:82564:1 gene:KN539046.1_FG002 transcript:KN539046.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAQPPGQGGGGGGDVGGRYGVLLYYKYAEVPDAAALAAFYESHCRALALIGRVRVGPDGVNATLGGRMAALEKHVAEMSSNALFDGTDFKLASCDDPVDERVARECGFTSLSVRLVKVFLLSPFRNSMLPRSCPNYIYILSCFLQLAGAGNALRKPVVGNPADYMRREAFVGCGVPFGTPKCWWGFVIAGATSDSEATVEKSEVVVLDARNVYETRIGKFRVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLERFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSPRCRCSHCRMLVLVCSTCQDSTKEYVCELCQKNGKQCCQTSPRQDCKTESELIDSSDFGIPMIINQSATSTIPRSNGSEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIADLVFIDAPHELSFVYKPNPDHCSGRSSLPSGTPKRKYAWLVAPNSICYAEHDWKIADAPFDPLQYQQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMAALFCRQQQKTCGSLKFRFGIFCSGYPAPIISDFDAYRITASRPKIRMNLTFDDWLQLSELLMQDPLYHVKLSAMLRDLAAPRFLSASQNLVRRVANNTRSVDVGQPTPRSHPEDTKIKSTAVTILSNSWANGDRELLAEGEITPGITSDEYISRRKKLLEVLPEKSLAIIASAEQQMMTDVVPYSFRQNGDYLYITGCAQPGGVAVLSEETGLCMFMPDTSKEDVVWQGQTAGVEAAENFFKADKAFPLSEMQKILPEMIERSKVVYHNVKTLSPSYKNLDSFRRASLNNKVKDIAYYTDELRWIKSKSEIGLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDGRVKAGELLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVKLSKDKPLEPGVIITIEPGVYIPPVPILKENAPDRYRGIGIRIEDEVLITESGHEVLTASVPKEISHITTLMNMGSNSMMDAHELRAACS >KN539046.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539046.1:13354:28149:1 gene:KN539046.1_FG003 transcript:KN539046.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDLARRAFDAGVAGGYGNTVFAHSALISAYARSGLANEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLKDGLCPDRKTFNSLLAACSRVGHLEDARAVFDEMIHLGIGRDIYTYNTFIDAICKCGNMELAMQVLLDMEAKGVKPNVVTYSTLIDGYSKLEKYEEALKLCEKMKSMRIQLDRVCYNTLLAIYVKTGKYAEIANVCDEMEELGIEKDTVTYNSLINGYGKQGRLDIVSILVQDMRKRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLIEWALSLLNDMTEMGIKPNVVTYNAIIDAFGKSKVMMEDDPEVGDMGIVGVYGGQIVRVANPVSRGGRSATDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLLGRMDSPTSSAFYNALTDVLWHFGQRRKAQQVVFEGINRRVWENTWAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSRIAGASTLRRVIEALLNSIGAPFQVIFQWFVFGLIPEGEIKVIYRWDNLLADYSVDYGNNSSNYQWIMAGYRICFAIIDYMPFCFQTSRVLVFLLLLLRIASHRIASRYPRGENIASNARFSPPLLPIHPIHPWWNAPLITTSSFLQPQIGRRKKKKQEARSEKKKETPSMGSYAYKYVLYFIKQMKACQFCPVHQDMSAPFSHYYIYTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSAKNNIDILHGGTLTAPVQIIKCLKSIKEYAFCASPYPLVITLEDHLTPDLQAKVAEMLVKTFGNLLYIPSSDPINEFPSPESLMKKIIISTKPPQEYKKFLKSKDNQNINGGLANLAEEGSLRRIDSNAEESDGKDELDDQDEDSSDEDDPKFQQETACEYRELITIHAGKPKGHLKDALKVDPDKVRRLSLSETQLAKATASHGADVISVLSKSIYVFMIEVDTRTTGSSWFTQKNILRVYPKGTRINSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLRTGPNGEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTRTIEDQWVPMWDEEFTFPLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGTSLDDFEMNEVSRFVMMRWSRPVMGKAPVSHGGGAQINPESYYTAVLKIIMMPEMGSSQMMTSIAKASMTMKQQLTWKVLFLETHLLHFLQFKATIALHPDILQDPRPCYQQNLLVEIRRNKSVSKIGTDTVSKDHPKNLVPFTEECLAVMEAFGEVNLLDIIDF >KN539046.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539046.1:152665:153516:1 gene:KN539046.1_FG004 transcript:KN539046.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGVMTSVINKLTALLGKEYMKLKGVQGEVEFMIDELSSMNALLHRLAEEDQHLDVQTKEWRNQVREMSYDIEDCIDDFMHHLGHTDIAESAGLVHRMAQHLKTLRVRHQIANQIEKLKARVEDASKRRLRYKLDERTFNLSTAGAIDPRLPSLYAESDGLVGIEQPRDVVIKLLTEGEGASSQKLKVISIVGPGGLGKTTLANEVFRKLESQFQCRAFVSLSQQPDVKKIVRNIYCQVSQQEYGNIDIWDEEKLINATREFLTNKRYAHYLVSKISSL >KN539046.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539046.1:4213:8303:-1 gene:KN539046.1_FG005 transcript:KN539046.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MTGYYFARLSNFVPRCYITNVEVDVSHTNEQEALDDHPPLLPACAIPVVHLRDVPDASPFPLRESASHPTDFEELPVLSEGELHTIAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVSFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVLKPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLVCETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVVLGQLINRFSCHALDSSRTPSDESICANLLDVLQNGLSAIRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFIPSSLVERVGILKAGAAGLIVQASLLSVALVVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQWLGNPTDEQRELFIFDPHFHVEPMLELLNTGLNIKILKFKQTAMKKTEYCLNFGTVAV >KN539046.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539046.1:155058:156332:1 gene:KN539046.1_FG006 transcript:KN539046.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQNQKLVLQGKVRRLSLNYYTQDHIMVPSTAIITHCRSLSIFGYAEQKPPLSMFPVLRVLDIENGEDMESSYTKHIRKLIQLKYLRLNVRSVAELPEKLGELQHLQTLDLRRTNIRKLPESFIRLQNLTYLRVNNLDLPEGIGHLHALQELTEIRISQDCLASSLLELRSLTKLRFFGLHWCITQAHIDYKVFADNLVSSLRKLGRLNLRTICILGYYACSIEFLLDSWFPSPHLLQSFAMGMNYHFPRVPSWIASLDNLTCLEINIDLVDEKVIQILGDLPVLIFLWLTSKEAGPNERLVIRSNMFVCLKEFHFTCWRNWEGLMFEAGAMAKVEMLWVSFDAGGSVLDFGIQHLASLRHLIVEIVCGGATLREVEALEDAIRHSADLLPCHPAVEVRTWDEEKMVKEEVQIMAEEKIHTNS >KN539046.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539046.1:141853:143193:1 gene:KN539046.1_FG007 transcript:KN539046.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEFWRICARENELRLPLVTPSFPVVSLVDLHAISFLLKDGDNGLYWLVEVDMRNKAMISPAALYINEEEEEGRCCGDDEIWKPFDGHYFIPSWFTSYLHEDPIQSRQLSQMMQKTKYERIMHKIGCGGRGKMTQERKQERATLPKR >KN539046.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539046.1:128462:131551:-1 gene:KN539046.1_FG008 transcript:KN539046.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSASEGAMGSLLVKLGSLLSNQYKLVARAKDEVVLLKAELESMHAFLKTMSAVEDPDAQNRCWTKEVRELSYDIEDSVDEFMLRADQERNNSFKPSGLRGLMERTMSLLTSIRTRHRIAMEIQNLKGRVVQVSNRRARYKVDHSAVSKPVSATGVDPRLPALYADVAGLVGIDGPRDEIVDLLMEKDVGNRLKVVSIVGFGGLGKTTLAGEVYRKLEGRFQCQAFVSMSQKPDMRKILMNILSQVSQQERVGNEAWNEQQLIDALRKFLKDKRYFIVIDDIWSASVWRTMKCALLDNDCSSRILTTTRIAAVAKSCCSSQHDCVYEINPLSAAESEDLFFKRTFGSAGRCPHHLQEVCDEILKKCGGMPLAIVTIASLLADKASNREEWMRVHNSICSSIGKHSEFEEMKKILLLSYNDLPYHLKTCLLYLSVFPEDYVIKRDRLVRRWIAEGFINTDGEHDLQEIGQCYFAELINRGMIQPVGIQHDGQADACCVHDMILDLIISKSAEENFVTLVGDQNHQSAWQDKVRRLSIDCRSSRHIMLPPMTVASHVRSLTIFGSADKVPPPSNFRSLRVLDLESKDQLENKYLKHIGRLFQLKYLRLSIRRITALPEQLGDLHHLETLDLRGTMVKKLPTSIVRLQWLACLFADGLELPEGVGNMKALQELSFIEINQCTSASSLQELGSLSRLKFLGMNCFFSVYTDHLVMSLSKLGMCSLRSLKVQIDSCCYLDPLFSSWSPPPHLLQEFQMTTDYYFPKIPMWMASLDHLSYLDINVNPVQESVFQILGNLPALAFLWISSKEATTRGRGRLTISSDKFQCLKEFNFTCWNSREGLVFEAGAMPKLKTLHIPYNAFDAGSMHGEFDFGIQHLSSLKHLYVEIVCYDAKVWVVEALEHAIRNAAGQLPGISTLQVRRWGSKDMVNDEKQQIGEPEASSNN >KN539046.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539046.1:70241:72306:-1 gene:KN539046.1_FG009 transcript:KN539046.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAKKRRGKGGEGEKEDERVDTHSFAPKAGEATGPFPEAVLLRKKMVKDGGQVAPEFADADEVRHYEVVYLIHEDRVEEVEDVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKARHANYILMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHTLRAQQQYMDDEYIDDEDDGEEEQQEEDWDDNGEMETAGYEDDDAEADDEPEIILVDEVECDNNENIRRRNRTMKVEKYAAEKVLR >KN539046.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539046.1:33788:52081:1 gene:KN539046.1_FG010 transcript:KN539046.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSAEKLYGYPASEALGQDGLMLLIDSCDINVVNDIFRRISLGESWTGKFPVKNRAGDRFSAVATNTPFYDEDGSLVGIVCVSSDLRTMEEIISGPSICARPHPESSRTYCEASCSNSNRKASLLSRSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRADENGIEREGGSGESHCSDRDAKEEPTSSGTTTPRGDAPRGAFATEESSPGKTAKMNSDESEGKVGLHRILSSKAEALLNKKGISWPWKGRDNDGPDVKNQATWPWLHGEQDGSQNHQKISDSAITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDWRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPIETDPQWTSLILSCWETADTVYKATGLHILLKNEANHHDFDSKRSVSPFSTAGFFSKLLFLWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKTSIFWIIGSCYKREILVSGFFALLKVLTLSANPLFLKEFINVSSGKEVFKHERYVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSAAIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQSIQNKLMEAQDMRLKTMSESLANMKILKLYAWENHFKGVIEQLRESELKWLSAFQLGKAYTSVLFWAPPALVSAATFLACYFLGVPLDPSNVFTFVAALHLVQDPINHIPNVIGSVIQARAAFNRLNRFLGASELQKDQVWMEYSGHSQYPIVIKSGCFSWDSSENSNLRNINLMVKSGTKVAICGEDGDNDDEEEKMYPFLNEVDLGAFTKRSSALGTLKPSRTVLRLFIDSETLTMMEGPSQTVLRTFIDLMTTTMVMVKDLHKLFFGLSLIDAILGEVPSTDGVIQISGKIAYVSQNAWIQTGSVQDNILFGSTMDKPRYEETLKLCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYRDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIMHAASYQELLLSSREFQNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVPFTLSFSISATLNAYINLGVLCFFTWPILFIAAPIIVMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTVRAFKQEGRFFARFLELIDNNASPSFHCFAATEWLTQRLEIMATAILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGISCTFQGGDKIGIVSRTGSGKTTLINAIFRLVEPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWEVLGKCQLDEVINEKQGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTEFKDSTIITIAHRIPTVMDCTRVLVVNDDIKSRLADFLLKKCHEWDPLAPDNEWMNLILTHTI >KN539046.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539046.1:90270:93195:-1 gene:KN539046.1_FG011 transcript:KN539046.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISPSSSSNNNSPVLATFLVHYVLSEQKYAGKVKALDAELSAAEAGAARYVVSGDGKGKFRTITEAIKAVPEYNKKRVILDIRPGTYKEKLLIPFTKPFITFVGNPRSPPTIMWDDRAATHGKDGQPMGTMLSATVAVEADYFMASSIIFKNNAPMAAPGAHGGQAVALRVFGSKVAMYNCTIDGGQDTLYDHKGLHYFKNCLIRGSVDFIFGFGRSLYADCTIESVTKEVAVVTAQQRSKNIAEAIDTGFSFLRCKISGIGQIYLGRAWGDSSRVIYSYTTMGKEVVPIGWDGWEVQKPEHSGIYYGEYKCSGPGALSSKRIGWSLVLSDIQAKPFTGSHFVYGDSWILPPPKSM >KN539046.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539046.1:119137:126256:-1 gene:KN539046.1_FG012 transcript:KN539046.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMNAVDDKESSQVTPGSIDAQELSVIPSDGVPVTDKSEEPSTTTGKKSASKNKQSSSNAKASNNAQSNKSTLKTLLGEALAYGPALAEHIILDAGLLPSTKVGKDPESSIDDHTIQSLVESISKFEDWLVDVMSGQRIPEGYILMQNKAAAKKNLTPLEGSSASQKIYDEYCPILLNQFKSREFDEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDHSIKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTVTAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLPLRFSWKLLVYFAYLDSYVHAELHGASSTIIKNHKPDNPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEEALPDVESQKPESNAELDGELDSDSETGKEKHDDESSLDNINVKKIDNPIPSNAPYVKDNADSSEQLSEIRTVVNSTTSTSKVQTSDRTVSSQLEDLLDKNLGLGPTKVLGRSSLLSSNSARVAEDTDDLDTKKTSVRDKPYISKADRRKLKKGQNVGDSTSDSPNGEAAKKPVNSQQEKGKTNEKLANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLASSGRASQKDKPSEDVDGATAAQSKPSTAKDGMDRSSAPAGSSVTMDEDDIHELGDEEKEKLIDLDYLTGNPLSSDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFLHTADATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKEN >KN539046.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539046.1:133948:136994:-1 gene:KN539046.1_FG013 transcript:KN539046.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVCASHGVIGSLLWKLSALLSDEYNLLTGVKSNIMFLKAEFESIDVFLKKMYEFEDPDEQSLFWMKEFRELSYDIEDIIDASMFSLGYESNRRPRGFKGFAGRCMDFLTNVKTRHWIAKKIQCLKCCVIEASNRRARYKVDGSVSKLSRTNLDPCLPAFYTETTRLVGIDGPRDKLIKMLVEGDDALVHQLKVVSIVGFGGLGKTTLANEVCRKLEGQFKYQAFVSVSQKPDIKKILRHILSQICWRECISDEAWDEQQLIHTIRQFLKDKRYFIVIDDIWSTSAWRTIKCAFPENNCSSRILTTTRIIAVAKYCCSPHHDNVYEIKPLGAIHSKSLFFKRTFGSEDKCPLHLREVSNAILRKCGGLPLAIITVASLLANKASTKDEWESIQNSIGSALEKDTDMEEIKKILLLSYDDLPYHLKTCLLYLSIFPEDYEIKRDRLIRRWIAEGFIPTEGVHDMEEVGDCYFNDLINRSMILPVNIQYDGRADACRVHDMILDLIISISVKENFVTLHGDQNYKIVQQNKVRRLSLNYHAREDIMIPSSLIVSHVRSLTIFGYAEHMPPLSNLQFMRVLDVENKMVLEHSFLKHIHRLSQLKYLRLNVRRITALPEQLGELQNLQTLDLRWTQIKKFPSSIVRLQRLVCLRVNSLQLPEGIGNMQALQELSEIEINHNTSVYSLQELGNLKKLRILGLNWSISDSNCDIKIYADNLVMSLCKLGMLNLRSIQIQSYHSFSLDFLLDSWFPPPHLLQKFEMSINYYFPRIPKWIESLDYLSYLDIYIDPVDEETFQILADLPSLIFLWISSRAATPKERLIISCNGFQCLKELYFTCWESKTGLMFEAGAMPKLEKLRVPYNACDICSLHGGMDFGIQHLCSLKHLHVEIVCHGAKLQEVEALENAIKSAAGLLYDELTFEVSRWDEKEIIDMDHEQAEVNFGIIN >KN539046.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539046.1:140817:141524:1 gene:KN539046.1_FG014 transcript:KN539046.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPKGRRSPPDLVHGWIILDRFVHSSDGDVDADDDVTASEIALTCSGPRVRVVAAHRGSILFWARVPFADDEFVVPGYFPVDYFVYTAGGAAASPPSLTRLPPCFIGGAAPDDEDHYFKPYRKQHQRIMLDENVGFLSGGRDGEFTVADITIVDCTSLHLCILNHHHERSPSPVQWRMHRLEMQRTPIRKKQMRRKLSK >KN539046.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539046.1:86831:87445:1 gene:KN539046.1_FG015 transcript:KN539046.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEVYVGDEAGQEKTRLLLEETGLPSGLLPLRDIIECGYVEETGFVWLKQKRKVDHFFAKAGRHVSYAAEVSAVAEKGRLKKITGVKAKELLIWVTLHEIAVDDPPTGKLTCKAIGGLSRSFPVDAFEAPPPPPKNPSPAAADAAKKEVTEEKKEEEVAGDAAAAAIDEIEGKMKEMNSKEVQVQAEGVAAKN >KN539046.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539046.1:54248:58449:1 gene:KN539046.1_FG016 transcript:KN539046.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSSSSAAATPQGVTERRGIPAASFVEDVETYLRQAGLDVNSGLAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKKALGEALTADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHLRRSKQAIKET >KN539046.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539046.1:101064:102955:-1 gene:KN539046.1_FG017 transcript:KN539046.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSRSTAAQLDVDDQAAADQLMTMMRRPAAALQDEAAAEEEAADLQLELRRGPWTVDEDLTLVNYIADHGEGRWNSLARAAGRTDNEIKNYWRTRVQKHAKQLNCDANSARFKDAMRYLWMPRLADASQLGDHHGYNGTTAMGDAHGMPVMTSSSSDSFATSESYDGGLYANVQDNEMVNGGDYYWMQGANQGFCSNYESEQQLHPHEHSQFQDPDLVGWVQGFSEGISENFWSLEDIWKM >KN539046.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539046.1:159886:160188:1 gene:KN539046.1_FG018 transcript:KN539046.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGAMTSVIIKLSALLGEEYAKLKGLQREVEFMKDELSSMNALLHRLAEVDSDLDVQTEEWRNQVREMSYDIEDCIDGFTHRLGHIGIAEAAGPVQR >KN539046.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539046.1:65644:67605:1 gene:KN539046.1_FG019 transcript:KN539046.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPAAGGGEVVVAAREMKVVWGFYTGVMEDGGEVAAASPEVRRAMEGVDDVIGFSGGSMDLLRSPLVSFCKRRVAEALGYESTMLTRKDKYLMLAGNDGVVRRRGDVNK >AMDW01039403.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039403.1:258:820:1 gene:AMDW01039403.1_FG001 transcript:AMDW01039403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YYISQQLTEKSDMYSFGVILLELISGHEPISNDNFGLHCRNIVEWARSHMESGDIQGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSVHDLEQNASFDELLMRPGL >KN546471.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546471.1:352:483:-1 gene:KN546471.1_FG001 transcript:KN546471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNTVTCTLFRDEGYNDLTASLQQTPLFREVYIKADLVQKKK >AMDW01033391.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033391.1:35:232:-1 gene:AMDW01033391.1_FG001 transcript:AMDW01033391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAGNEQCYCPECHRTTVVVVDHATGDTICTECALVLEERYIDETSEWRTFSDSGSGEDRDPNR >KN543368.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543368.1:8004:8279:-1 gene:KN543368.1_FG001 transcript:KN543368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VWVLHKGRRKQRWINQYRVVGMDTYHRQLTRPYFFFSHGQHYMTITWRFPYISMRAHRLKKGDGEPKEMALLEQGTSIQVFSYVETTETLR >KN539785.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539785.1:41296:51888:-1 gene:KN539785.1_FG001 transcript:KN539785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASIWTFMPGKKGSVVQGPNTRTLTNAHDGILDDINCAQIAGKHVGDHSNCANVIKENPCLSSSKHYVGFCSADRSAGPSCFIYSFRPDNERLESSLLGKLVQYPERPGEPFCRYYMKFGECKHMTFCKYNHPKYRFSCKTTNTIRSDSLCLHDQQITILENQFGLPSLVDKATANTTNLVASASSSMTPDEIGEGKNNPDEVFVCICGEKLLFHTNFNTTAVKELVVFALQRRNIKYCDIYVTWLIPTEYERMDELIDRAVRDNNNDLFYYVNLPPELINPYKDTWRNITWYGTFDLRDVLISSGHVRISPHIVENTYTPIGGRLDYLKLVSILKDKFVDNLQGSSPCHLNNLLSVLCTTSHETYGRSDLFITFLINHPCLLSCGERVARYLQYDHMITNLVQRDLRKFKQYLNDTFGDPPSWIADISWVPDMWKTYNYSPNNNSTLWTPRYTLDLNSCSHFARNFLSHFGREAAEAAVSQNLEFLLPTIMMLMPVYNGPHHWNNDFMEIDLRFDTCGVNSTYHFAAILLSEVEEDASAPRVVRGLNFIGPQKDKVLSASSSSRNSQVSCVRGGTPANFGDRKDDEAVNELDKDDMCGAAETLGKGVQQMQVEDIGPSLNLAARGPCSREKVSMSSDYGLSDDKADEVDSVKAGGALMQVGRKSPDWLIKKKQGLPSREVEKLSSARVFIRKRDIGKPRKMNIKLSASDLEPFNRMIQPDAKGEEDEFHAVISCTRPRALRETMRASWRLPDERSLLKLGPGWLLGGLDKLSENERAQFLLVLWRAWYLRNDCVFGSGQATVKGSASFLLNLWNSLCPSGSAMLENEKGKTVSEGRQSDVTGCGERTQVAGVWQAPAMGWVKINVDGAYVPQTSTGSVGIVIRNSEGNVLLTSWKLLRNCASAEFAEAAACREGIRLANEWVKEPCILETDCAGVESYLRSAVANRSQIWPLIREARAGLRAAPEARTALIRRGANKVAHELAQLAIRNREAVVLRLQAPVCVAEQLAQDCNPSSEV >KN539660.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539660.1:88653:90390:-1 gene:KN539660.1_FG001 transcript:KN539660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSGNLPALLPYQVRKLKQLSMLTLAESTKALVAPASLIAHNAEVEGKWWFRRSRTVFQVPSVRFFHDLAQYLLECGVFRPEDSVHDELLAAIEDAEEELLHLKRSRLHSKQTGLTI >AMDW01026179.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026179.1:4:342:1 gene:AMDW01026179.1_FG001 transcript:AMDW01026179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHMLVPSQGLPTELVSILSRAKDYFSDLEKNWCGDDFDVLDWCNGQVLISVENSITDFQQRLAICTPLNPAKDFTFIPHRQLDVPQGYIKMDIYNFFYEKGVDGQMSIYR >KN539785.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539785.1:78560:81192:1 gene:KN539785.1_FG002 transcript:KN539785.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNVRGYAPLPTEDRDDSNFTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPDSRQTSLLLYPFSEKDYIVLTLFCRFCRMQTIPLSPFSYPKSKFMPTKPKAIAAQPGGEEFRVGRRPSQAAAPCREEATVVAQPGNGSGSSSQGGGIGDSLATGGSGSLQGGGDGGDDSA >KN539785.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539785.1:12789:13236:1 gene:KN539785.1_FG003 transcript:KN539785.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPLGGVTVCEINRDLGMGMVFSPIPFQLDALVANHEPPAVNEAEIVPGQV >KN539785.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539785.1:60855:64877:1 gene:KN539785.1_FG004 transcript:KN539785.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGGVTICEINRDLVVADALSDDRAKDAYGDVLGMVFSPIPFQPDAIVATHEPPAVTEAAELAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIGTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPSSGKVIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFVLIDSLRGVP >KN539660.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539660.1:66052:67095:-1 gene:KN539660.1_FG002 transcript:KN539660.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVARMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWRLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMVDVDNNIESDEEEEEDLFDSICSICDNGGDLLW >KN539660.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539660.1:48517:51937:1 gene:KN539660.1_FG003 transcript:KN539660.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRPSPPPPWAIPRRSSGERTKPCRSRSRSRTGTSKQTFPVPLLVGKVGRRPFPVQCSIVRCCLSSTDAIHSTSDDIHEDNGHGHFLMKSTSDLQKVISSCFGKACLLSSVMLVLPPSCFAEPCEPEYSLPNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKDRLISYLRAGKNYLRNQSPDKAFPEFKAAFDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKLTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >KN539785.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539785.1:73703:75433:1 gene:KN539785.1_FG005 transcript:KN539785.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRRSAGSMGCWRCGKRCDGLAGGHARSENNSPNPLPKLGSHDRTVGSKMCDDMGERGVGGVMNVARGWTISFRTLLSPREPVDRDRVVNNQDDRVQHNRSRFHNGLLHGVVNAFFLFAIVLVTASQAQVAANADSFMSDACKTVAGSGGGVISVTFCMDALGSDSHYCDLAIVTINLLTSNVTSTKAKIDNILKDDGNGLKPGNATMVCFQSCRATYANVLQGQLGIFYNMQASRFPETISALEKVASMVEKCEKGFGKSNVRSLIAHCRGRRQL >KN539785.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539785.1:7033:9887:1 gene:KN539785.1_FG006 transcript:KN539785.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMKQAVGGAFLSAVVDGAITKAVACLESNYNVPERAKELLAELEAKLTMVKAISEAADSRLITNTNLLHWLMRLHAAAQEAEDVLDDFEVDGSNITRKRKASDLILSSLRSLKNLVIPDESLTRLEHVVKTLTQLCATSATFIELIKMDDTKTNQLHKAAEASSHLPVDVPVFGRDEVKEFILNVMIGSPSHDHPESSTGTGKVRAARHSILVLPIVGMSGVGKTTLAQVIYNHARVKQHFEHRAWVYVSEDFTIKRTLQEILHSFQGHDGAIFNGDESMEATITKLRIKISGGCKFFLVLDNMWEEMCQEWSTLLTALSDEARQHGSVLLITTQSQRVAQIVATIYPINLKALPWESFWLLFQYHAFGGVEIADDNQNMLLIAEEIANKLDGLPLAAKIIGNLLRYRFSWDNWRRVAESDWWNLDEALQGILPYLRISYQHLSPKQRQCLAYCSIFPRNYLFDKDRVVQMWLAHDFIQWNNIADGTRLEDVGKQLFDELVERSLFQATFVSNKYVMPDLVRGLAIAVSLNQCFFHGERSTGVSYLAPGNIRHLALQVNSLEQCQELHKYRNLRTLLIFGRCESDAFFNLLDGMLEKSPSIRVLDLSYVEAPGKVWPKDARPLRKLRFLDLSFTKITKLKDLPTNLQVLHLRGYDADCVPQSITKLTNLRHLYVDGSALSKIQSIGQLTELQELESFIARKGQGFMIRELKNMRELTGRLCIRGIENIRSKDEAMEARLMDKKHVGALVIEGKRVPKFALEGLQPHPNIQELTIKFFQEQDFPDWVCPDNLVNLLQEAPSCILPITEMFPASSMLICIERAKDLQAWKLDPGITSMFSSLVIPNNLGSRVLQPQCCTVRATVQVPRKY >KN539660.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539660.1:10884:13252:1 gene:KN539660.1_FG004 transcript:KN539660.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDKAIENVEGMMRSMKLSTVEKKGVKITVEEGRVKEGSLCQAIGKLLSEKPAPPEALSQTLGKIWCPIKGIDCRDWGENHFLFTFHQASGKKKALEEGPWMLAKELLVVADFDGSKTLDEIDFSTIPIWVRITWLPLGMMNKVAGEILGGEIGEFMEVDLEDNDLISGRFLRVKVRLDIRKPLMRGITVVLGEKGKEKWCPLMYEFLPDFCYVCGIIGHTERLCGQKVKKGEAYQYGKELRVIPQKKRWDSANAGKGIEGRNGPLWRGSAMSWRERSGGSGSKGSTEKSRSDGPTWRKNEGKKVDELGEEAEVESPLKEKTGEQREGVPKKLLFTANTTVQQATEGSKMSNTLVDGEESGEKMQLGTDVNSTMQDMHLDHSVVANVSEAERAELGAGEKKKINRTFKRISRPGDKMTGEAKSAVGSQKKRGAREGDELVVQGDKRMKGASSGEAVSGWAKEEHCAAIVENAWKLSTGPRGRKVMEACKDVALDLLDWSRNVLGNLEKRIKRAKKALEAC >KN539785.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539785.1:82071:82655:-1 gene:KN539785.1_FG007 transcript:KN539785.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNANNGVSVLFLFAVLLIASSQLAAGINSFLYGACKTIAGGSELLSVTFCIDALSSDNRSSNISSYKEFAVIAVDLLTANATSTKSEIDGKLRNGGGGGDAATTRCLQSCQAVYGNILQSQAAVGAAVKAGRFQETISSLEKSASAVKECEDGFGKSNVTSPLSAENDDAFQLAELIVLLIRDEQINNVLP >KN539660.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539660.1:32553:39656:1 gene:KN539660.1_FG005 transcript:KN539660.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIANLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEAFPPFSSNIVSFLALSILSLGWFSVLVSKTQAKNNSIVLDHNLTTKTDAYASPLPVYGFASGDPLRFKRAVGHKDLFYIDDREIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPVDAIVAPTENKRTEHGKDDGLPVDIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVVILLLESILCELALPYNLHQLMPSIITCMVAKRLGHRLSDNHWELRDFSANLVGSVCRRFGHAYHNIQTRVTRTLVQGFLDPQKSLTQHYGAIQGISALGPSAIRLLLLPNLETYMQLLEPELQLDKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPNLLSPSTRPLLRSNKRVVTNNPNKRKSSTDLSTSQPPLKKMATDGAMNSMTSAPMPGTMDGFSTQLPNPSMTQTSSSGQLVESTASGVIRRDQGSNHTQRVSTVLRLAWKEDQNAGHLLSSLYEVFGEAIFSFVQPPEISFFL >KN539785.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539785.1:65369:71960:-1 gene:KN539785.1_FG008 transcript:KN539785.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSCKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEISEHYRKLTMPELVRYGRSELVSNRGFESGGPHTPSNIDPWSIIGDESSIYITTDRSSCFSKNSVALRMEILCENCPAGGNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQGIDLSNWTKIEMQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANIFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDRTLVPPALLLFISNVLVGHNWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDAEDIFLRVKIVNFGPNAVNLTISSRGLQAGVNTAKSTVTVLTSGNFLDENSFSEPNKVVPVKSELPNVAEEMEAVLLPYSFTSFDLALDQYSKLVAEM >KN539660.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539660.1:78639:83333:-1 gene:KN539660.1_FG006 transcript:KN539660.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MRGKKPHKELKLSVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETADEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQNPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAFLPFFLVQPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPAERMSASELLNHPFIKKFEDKDLDLRILVESLEPPMNISE >KN539785.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539785.1:14442:16733:-1 gene:KN539785.1_FG009 transcript:KN539785.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGREKGGDNVTVRTNKKAEYISGLSEDCLSLAISLTTPMDACRCCAVSRAFQKAANSNSVWRHFLPEDYLSILARADDRVHFTSEKKLLVSLVKDHVLLDQRSKSLVGKNKPSQVLLAIIEIISDSMGRPSPEMEMDLLTRFQKPKFSPCFRFEEVAELLKVCWLDLCGRVNCRELSPNTEYAAYLVFKLTDDSYGLDCQTQVADITMDDQVVSAKRTISLYPRPRPRPRPRPSTRETLSNMGRIEEAGQAEEPSYPRERGDGWLEVQLGHFYNDLEDTGVVVIRLKEHIQLNWKRGLILEGMEIRRNI >KN539785.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539785.1:3658:6452:-1 gene:KN539785.1_FG010 transcript:KN539785.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARKDEGDDDVSVGKKEEEAEYCSSSISCLSEACLAHAISFTTPMDACRCSAVSAAFQTAASSDAVWEYFLPPDYHSILARADDPVDFTTSNKELFLSLAQDHVLLDQRTKFLVGKNKRSQVLHAIIEIIMDNMGGSSPVLETGVLTRFQKPKLSPCFRFEEVAELVAVCWLDLGGRVNCRELSPNTEYVAYLIFKLADESYGLDCRTQEAYITMDDQVVSAKRTISLHPRMQDTHVDMGRSEKEGQAEEETVSYPRERDDSWMEVQLGHFYNHQEDTGVVAIHLYEHVQLNWKKGLILEGMEIRHNIGP >KN539660.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539660.1:24013:25241:1 gene:KN539660.1_FG007 transcript:KN539660.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEGCTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAGPDHLRPAVFRSLLYEACGRIVNPIYGSVGLLWSGQWQACQAADSHVPPVMYLCDVCLYRLSQIRL >KN539785.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539785.1:76117:77004:-1 gene:KN539785.1_FG011 transcript:KN539785.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSFYYSTMEDHKDTEVSMVQGRICYNMEMSLGDEGEKGDKLSTTEATVRWGGGRPDSGGGGSRGGLGGGAQAAVEVEIGGGAEEGEGSGGSGVGKRDRQGD >KN539660.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539660.1:53428:64085:-1 gene:KN539660.1_FG008 transcript:KN539660.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPGGPRGRVLCGKGGSHISCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVFLCNNATCGHFYHPKCVARQLHSKNKNEASELEMKIANGHSFTCPSHWCFHCKGLEDRTQEELQFAVCRRCPKSYHRKCLPRDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKSSARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGNLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCMMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLNQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSPKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKKQAGYGQVKAKEYHRSDSLPVKKQAEINYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNARNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGDVYSLQSLRDSDRFYRTQRSEERNTNALESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHAGGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFMAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >KN539785.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539785.1:21103:22494:-1 gene:KN539785.1_FG012 transcript:KN539785.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNRSVLLVNEVTDVMKEKGWSLELDEKAISDACDGITDWQEKEKARQKAIRAEIKRFRANQDAAEAILRKRKASMPPSTVTKFCTDTDLRKVLDGIELDPQVHDIPGLSFFRLRLSSARHPPDLQGTSVAGADHNILVFYVGGPYRPGFPAPGFYLVYDAWANSLSANSLSAIHQLPYLGGGSIGSEVAVLRHAPPSDYILAELLLTGELPKASLWTWCSSGPSARQWIHKPIILPPEVCTPTYIFHADTTFSLGKLTLCWVDLLVGILTYDILAPEPVFQFIPLPDGCYMEPPDPQDGRQVPQEYRSMCCGNDGIIRFISIDGYHQDLSINDMKNMFLRTWSLTLNPKEWKQEAALCIGDLWSDTTHQKLPALMPTWPVHSILHADVVFLYLSGPNTGNNTGETERYMVSINMQHREAISISKLSPDDSSPPTRYFPSSFNSYINKVTLAHFASKQQEI >AMDW01040010.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040010.1:84:743:1 gene:AMDW01040010.1_FG001 transcript:AMDW01040010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWTASISRMGALYSLIVRDEEIYIAQRKHSNDNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVEELLRRCIGRNPFVGEPHLVLAQVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSLGLVK >KN542385.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542385.1:7716:8495:-1 gene:KN542385.1_FG001 transcript:KN542385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPASRAAKPASAPKKQKAKPSAAAGGFSHPPYFEMIKEAITVLKERTGSSAHAIAKYMEEKHGASLPANYKKMLSIQLRGFAAKGKLVKDLYKGCLGYVSLFRY >AMDW01025897.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025897.1:2:313:1 gene:AMDW01025897.1_FG001 transcript:AMDW01025897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QYREIEHTTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAAA >KN540997.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540997.1:12109:12609:1 gene:KN540997.1_FG001 transcript:KN540997.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSRRPMRLARYAAASISGRTGTSLSSAYTELVKSNTRACSSEGGRRMSMTMLHASLSMGRPSRSPRRAMNRINSGAARTVAAAHQSRLGAPLQVPPSSGEPSPSSSRGNAPAEYEYEYHEDQTPPSPPGTPGEESGSDEQVQEQARHDVPQPPPPSPLRALG >KN540997.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540997.1:28899:32502:-1 gene:KN540997.1_FG002 transcript:KN540997.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVGHRVCVTGAGGFVGSWVVKELLHRGYVVRGTARDPSAQKYPHLQTLEGAAERLSLCYANVMDYNSLRVAFDGCDGVFHVASPVSNDPQFVPVAVEGTKNVINAAADVGARRVVFTSSYGAVHMDPNRSLDTVMDESCWSNLDFCKRKGLGFNASNPTSG >KN540997.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540997.1:13610:22355:1 gene:KN540997.1_FG003 transcript:KN540997.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCLHLLIVLAVAVAGGLLQAARGQPDSNGFISIDCGLSGKASYVDNATKLSYSPDAAFTDAGTNNNISAEYLSPANSRIFDNVRSFPAGAAPRSCYTLRSLVPGLKYLVRASFMYGNYDGLRGPPVFDLYAGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISSLDLRPLKNSLYPQANATQGLVMVSRVNFGPTDTFIRYPDDPRDRGWRPWIDTMRYVELVQGNAVRAFNISLNDQWLDIGMTPDYLYADASFNTVPFRGSSRYNLTFRATANSTLPPIINALEIFSVIPTTNVPTDGKDVSGITAIKKQYQVKQNWMGDPCVPKTLAWDWLTCSYAISSPPTITGVYDNNPDLCINDTCPSPNGKPKLAIYISVPVVAVTAQQIILSIHTMSQQAILTEVAAMDMAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDHNKRNLTWTERLRIALESAQGLEYLHKGCSPPVVHRDVKATNILLNTNLEAKIADFGLSKAFNRDSDTHVSTSILVGTPGYIDPEYVISKFFFICYIQSLTTKELVTGKSPILRTPEPISLIHWAQQRMQCGNIEGVVDARMHGVYDVNSVWKVAEIGLMCTAQASAHRPMMTDVVAKLQECQDLEHGRAGSVAEPSIDHVSKTNTIFEIDRLERIPLPTMSSGPSAR >KN542186.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542186.1:17155:17814:-1 gene:KN542186.1_FG001 transcript:KN542186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKNILVQATEVGHLRCQCYISVHLSFMTCSVEESQLHCLPPTLLKFSSSSHASCCPAALLVSCLIRSLPPHCCRRIWIPRGRFSLIQPLRFPLKTSLSSRRHLDRPLSSGAAQTIGGGPHEGGILGVAEWPQRWRILSLRRCASPLAIFLRYYRPPFVRERTRWWRCEGPPGSVLYSSLAGGPPFPAGDDDGGTRGWRRRFGVVKVCSSFPPFSFLP >AMDW01031715.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031715.1:20:442:1 gene:AMDW01031715.1_FG001 transcript:AMDW01031715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQETLRLYPPASVMMREALTDVKLGSIDVPRGTIVQVPRLMLHLDKEAWGADADEFRPERFANGVAAACRAAHMYVPFGHGPRTCIGQNLAMAELKVVLARLLTKFSFSPSPRYRHSPAFRLTIEPGFGLPLMVTKLP >KN538963.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538963.1:89666:91210:1 gene:KN538963.1_FG001 transcript:KN538963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPARWALLLLLAVALLMPAALAAGGGGNGGASASTPPNNGNGGNNAREVPAAAVPRLATAATCFTAPARLLAAATTAALIASTTACLLAATTGVIASSTRTLAATTRVIASSTCTLAATTGVIAASTRILATTPGVIATSTRIIAATTGVIASSTRTLAATTCVISSSTSQRRGLLHEHDEVPHLHLAGVLPQQVPQILPHGLRHLQDHCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLYVGARRTAVWDDDSDRLAVVFDGETVELQRVAHARSSYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGRAGDAGAVAIASEELVDVKCSTGLDGVGVVCKK >KN538963.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538963.1:72609:73643:-1 gene:KN538963.1_FG002 transcript:KN538963.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLYKQLGLGAGSPVSASHLLLLVLGAGFLALTVFVVHPNEFRIQSFFSGCGRPPGGGGTCAAAAATTAARSPDDDVRVLIGIQTLPSKYERRNLLRNIYSLQAREQPSLAGSVDVRFVFCNVTSPVDAVLVSLEAIRHGDIIVLDCAENMDNGKTYTFFSTAARAFNNTSDGTPRYDYVMKADDDTYLRLAALVESLRGAARRDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVQWVATAEESRRDHVGPEDMWTGRWLNLAGKAKNRYDMSPRMYNYRGASPPSCFRRDFAPDTIAVHMLKDAARWAETLRYFNATAALRPSHL >KN538963.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538963.1:8064:11594:-1 gene:KN538963.1_FG003 transcript:KN538963.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKKKLFSSSSKKTKLLPPVRTQKFSPGRPPAGGEKTPAAKMRTLLLDAPYDPNEVTSRLPPPGQDNKSSEMCTPGVVARLMGLSAMPATSHQKAVKTMDSSELGGHRNECSYGSIDNSHQKQQKPGQIRDGRHDNGNQLDADAQPVWSRKHAAHKLASPIKSPRSVSSRNKARLIEAAVKVLEPGLQSRHRHQARRQARLEYRCNSSDVQCAAEAMHNFSDQFAREMCDVDASRSGAQNVGATSLHNSTSNQWSEEDTMRNASVRRPNQNISCQAQSEGNHKGQWNRFKDNGQRTSDAVLVSSQGVQKIQPKNISRENVDCRPLKQNNLKQNALPETYRAADTGHMVQRQKHRAKEQNVANTANDFVCSNRGMNNSASLRSKGKVKDKIGMPHGSAENRNLSTKCHRTSGLHGDCSNKQISKTATLKATEKDMIIAKGAGLVSEKPKSTSPNSVRNDSRRKVESRIASRGNNSGIISISSNSPRKAVLALLNGHTKGSDSVVLGSPTGSCPKRDYRRDCQNISSEKELVLSEALQGISSLESAESVCFNRNELRNREILDDRVMSSLFRKTSAINVMEESPSDEFLWQCNFMDSLTFGFREVPRSGKLRETHKKHEVTTNASEPSYYADDECFSGSLLKTAASEAGFRDRRRSEIHTMQQDGTNKRNSRCSETNFGQDSSNLIHPGEVAATVELLLTNVRRSTSTTPPRTSKAPFKAFLVKTFESALTTVTTTSSANKKKKKNGFSFNAGVVKATEGEKRRSPLGSLAFDSVMECLDSLFTQFCDSGYRSFSRVPLICPEERLAAQVDREIARCSGMAGKEVDELIASDVQHSGVVIGVDVLHEAFEMGVQIERDLLQELVAEIGMDMLRRL >KN538963.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538963.1:148856:152269:1 gene:KN538963.1_FG004 transcript:KN538963.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPLLSKRFPADGTAGVGGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPVISHEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCKVTRSPPTIEAFRGVGLFLRIALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGVARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGLKMEGRESEGENFRRDTVREATFGA >KN538963.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538963.1:1018:6930:1 gene:KN538963.1_FG005 transcript:KN538963.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSFSWTLTNAAAINLDHVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIIPDEKLLQQVVFAWIGGEVVKTVPYDFCSPCSKSNVRYKDAIDQADKDGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEEQRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIIEKIERHFKNEETKVLPQARIHFSSEKQRELLYKSLCVIPLKLLERILPWFVSKLNDQDAEAFLQNMFLAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDHNGCTVLPGCCPSYRDPENQIFGCFVMIKLVTIQWKEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKIDRADCSTSD >KN538963.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538963.1:158984:165870:1 gene:KN538963.1_FG006 transcript:KN538963.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNYRRDLMELTAVCIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRNPLVVYRLILWWLIAIPTIREYNSYLQDSKRVKKVGAFCWLSLAICIVELLICMKFGHAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPDRKTTIPQVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDILREMKELPIGDSDGTAEKSSNGAVDTTSCSDRDGSSSDLELDEDFTEEEVAVAKLVVTVASDALVVVKETIRFITCLLKSSGNRSGANEEKVETMEQLLSCCRQAADQINDLGASVYPPQDLSEMKSSVKRLYGGTNAMRREIGSLGGSPEGAFVALERFEKSLGALEVEIADDVANEMENLTISSS >KN538963.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538963.1:142222:145544:1 gene:KN538963.1_FG007 transcript:KN538963.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGGEGSKPSADQ >KN538963.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538963.1:116183:127205:-1 gene:KN538963.1_FG008 transcript:KN538963.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKELRGIVVTRSGNPKERLLPMKTLCGSLMHELQVIWDEVGEPEAARDRMLLELEQECLEVYRRKVDQANRSRAQLRQAIAQSEAELAALCSAMGEPTVHVRQSNQKACGLRDELTAILPYLEEMKKKKVERWNQILDVVGRIKKLSSEIRPANFDPFKVSVDQSDLSLRKLEELRVELKSLEKEKGERVKQVMEYLKTLHSLCVVLGVDFKKTISEIHPSLDEAEGPRNISNTTIEMVALAIQRLRETKMQRMQKLWQLQDLASTLLELWNLMDTPFEEQQAYQNITCNIAASEAELTEQNTLSIEFLNYVEAEVLRLEQHKASKMKELVLKKKTELEEHRRRAHLDGEEGYATQFTIEAIEAGAIDPSLLLEQIEAYISTVKEEAFSRKDILERVEKWLNAREEEAWLEDYNKDDNRYNAGRGAHIMLKRAEKARVLVRLILMLEEYTVVRQEKEQERKRQRDQKKLQDQRKAEQEALYGSKPSSSKSHSTKKVPRNCTPGVQPPKSEILHSKTIRATKKTEDISTPSPGHKGLDTVGLPIRKLFPFSNSSTLLEMETPRKPFSQITPGNISSAPVPAISTGGTEENRTPKTFAPIPTTPMTVSPHMQMAVTPVLTAKAVSVLSYDEPELTSQEDTEYSFEEKRLAVYLAAQVA >KN538963.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538963.1:65101:67714:-1 gene:KN538963.1_FG009 transcript:KN538963.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPGEPSKHSQWMSHWTKGSSSAEPQVGRRSNDSPEDAKYDICTDNSGPSNFEIMKSRLFERLMVGISQERASLEHGQQLNSNMKVVVKDARRHAVQNQIDQGDGPIQKSVMQKDVLYAKAVVSKSLSIQKLSELSVDFQKLAGSDDLSSEWNHFPMLAINRKIDSILNPKRKSAKSTGPNDVLVPKQTLKLNMTTANLMAFSSQEYELHSHRTTDETMDHCKHAGGIVSRLEDHAGVMLNPAEQKIKGQLLPATSCSCSKDDSNSSDNLLDEQHTSRYIADSDQEPTCRSREKRLKSSENNDTNCKIGSCSQNQKSRAPGHHKHKGSAGVMFRTSVPGKEFEAAEINCSDKINQRHLNTQRIVSAANVTGSCIPDPAADISTVNGRGEAVTQPSGISGDSTKRKAPYLFEMLTIPSKAQNMNPEDSLPSGNSTAFGVHMYGTNIGSHLFGANNKSSTETEILSGDSQHVSKSSAGIASLLAQKAKSEQLATLYMKGAPGCNVNEHQGVSSKAIVANKQQCYNPRTARMDLDLMQFQLSRMRNQESQARTEPGDRWLKRLQIDSKDPHHLPCSKRSKAGDGSGRPVTGGASSMAPRCDGSNDDDDIVDRDHKEEQGLDEGVEIQGGREASPVPAKSDDRWIGRWCQGGVPVYHEDDHDQRKEVTKPDLAAGDSGGLEGQFPSIKAMAMMGRAMSKVRPCQQERRGSFMVWKV >KN538963.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538963.1:31926:32165:1 gene:KN538963.1_FG010 transcript:KN538963.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRHPPAAGRSKLPNNPGSLGLGAATAGASDIAAVAGVIVAAGEDSIGKKTTRADKERGLTRMRLVCRGAEQLRFAIA >KN538963.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538963.1:78756:80245:1 gene:KN538963.1_FG011 transcript:KN538963.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIGSEYNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTSPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGVIYCRIVALTLLMLRTAGIVIPVYIILLSLTELLHRRNQRQFNAAEQSMQLVSHCNLTVRKCNCQISDAAAAVNINQQAPQSPRRQHVISIQ >KN538963.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538963.1:95062:96551:1 gene:KN538963.1_FG012 transcript:KN538963.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPARWALLLLLGVVLLAPVTLAVRVEDGPKPPTHPNNGDNGKHEKYPPPPPAHHDSPPPPHEEYHRPPPPKAASPPPPVYSPPPPPRSSPPPPVPTSPPPSPLPSPPPPVPSSPPPPVSSPPPPVPSSPPPPVVPSPPPPVLSSPPPPVVASPPPPVVPSPPPPGASDVVYCTNKTRYPTCTSPAYCPSRCPKSCHMDCATCKTVCGKCISVHDCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLYVGARRTAVWDDDSDRLAVVFDGETVELQRVAHARSSYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGRAGDAGAVAIASEELVDVKCSTGLDGVGVVCKK >KN538963.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538963.1:132389:134908:-1 gene:KN538963.1_FG013 transcript:KN538963.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDEQLRSYVHSHGIGGNWIALPQKAGLRGRHEKIRQKPSRTRPKDGKKPVQSSTVVLFGK >KN538963.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538963.1:138071:141125:1 gene:KN538963.1_FG014 transcript:KN538963.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDSICKKYDKYDVERLNCANVAGEDPFARLYGSVDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLIEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDVSNFYFPYALVVLDCNGNFDDEYFKGTEESNQFRREYEMRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIVLLCVILGIAAYLYNVLKK >KN538963.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538963.1:75650:76762:-1 gene:KN538963.1_FG015 transcript:KN538963.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLTLAGVLRGHNDMVTAIAAPIDNSPFIVSSSRDKSLLVWDITNPSTAVATDPEAAPPEYGVSYRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGRTTRRFVGHTKDVLSVAFSVDNRQIVSAARDNTIKLWNTLGECKYTIGGDHGAGEGHTGWVSCVRFSPNPMAPTIVSGSWDRSVKVWNLTNCKLRTKLEGHNGYVNAVAVSPDGSLCASGGKDGTTLLWDLTEGKMLYKLDAGAIIHSLCFSPNRYWLCAATEDSVKIWDLESKLVMQDLKPEVQAFKSQEPL >KN538963.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538963.1:40945:42321:-1 gene:KN538963.1_FG016 transcript:KN538963.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVGATGTGKTKLSIDAAKVIGGEVVNADKIQLYDGLDVTTNKALLDEYLDRRVDDMVDAGMVEELREYFATTTAAERAAHSGLGKAIGVPELGDYFAGRKTFSEAIDDIKANTRVLAAAQVSKIRRMSDAWGWPIHRLDASDTVRARLTRAGSAAESASWERDVRGPGLATIRSFLADQSPPPRSEGTNSHYLILRQIMSTV >KN538963.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538963.1:82008:86055:-1 gene:KN538963.1_FG017 transcript:KN538963.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVNYGKISGAFTVMMKEELTGLCPACRNYKPHYEKVAKLFNGRDAAHPGLILMARVDCASKHSSFSLEDKKYENENMLPKNASDPEQIVQAIYDVEEATAQALQIILERKTIKPKNRDSLIRFLQILVAHHPSKRCRRGSAELLINFDDHWSSNLSLSSQEGSKLLESVAEENHWICGKEVPRGYWLFCRGCKSETRGFSCGLWVLMHSLTVRIGDGESQSTFTSICDFIHNFFICEECREHFYEMCSSVSAPFRTARELSLWLWSTHNKVNMRLMKEEKDMGTGDPLFPKVTWPPNQLCPSCYRSSKVTDGAVDWNEDAVYQFLVNYYGKKLVSSYKETYMESLQQQEKKIVSEDSSISNAASVPIGAALGVAIASCTFGALACFWRAQQKNRKYSHHLRSLKKI >KN538963.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538963.1:177889:181720:-1 gene:KN538963.1_FG018 transcript:KN538963.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASLVVRLHDKLFVLPPDGSTVTIAFSERWFRVPSSWTEEKLYARVGHDFINITAALEDLARTLYQMYEQEEQEKMVLQEKQEQEKRKQEELNREREELEMMPLAYIPLGHGDMQWESPCEAMHRRFKLSMGTDGEVRCDFVEQECPRVMRRRLACDGRFILPLTTMKLQVVGFVEGYSDPETIGFTEQRSDIDTLRPVAMVSVLPQEHTQVHQILSFKFLVDNVPIRLNDGIILTGWSGITVGIHSGDDGDNCTFLPCTSAESWTHQILLEWRVDDNNPISCSSLLVQLNRKLCRLNAAMTEREEHDLGLSAIFALEAEEEQGLLYHQEQLENHDFRLNELSLSGEPSGGGEGEAEYSLLFESPGESDWVKVSEPYVPKFPTEEEIRKREEWRKEQLKLVMAPIIRPVQEPRRGLNYFMSEPRSRSTREAELPMHEPFSVLLYYWTLSDKSESKLKPKRKVYPRLVCLDWCTCSPSSMLQVYTLEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREMDHPFTVVSQHGVLQLPTLSPRRAIHTNPKILLEFNLKMKRTGNGIHSYHDLIQGVVEHPSIYRRDWSRVNELSIVPCGNNSTPMMRLKLAVISKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDTSLQFVVATELHGNMEIHLEAVCNGVSQRWCIGFVPKFHALFSQEASAKEKSAVFIVAKDLPKFTFLTLSARWCEGIQVDDRTS >KN538963.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538963.1:57514:62544:-1 gene:KN538963.1_FG019 transcript:KN538963.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREQMLPRYGALYGLLVLLGASLVVWSVAGNAKPPAAYALAGFLLWLVGTALLLSQLTVPLVFPVAMAAAIPPCPEKLKQFFLFNRQPPAPAPQFAASSFDESVLPWERDEVARGRENEEDGVKRRRVRAPSLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSETLDGNSSAVKGADGTLFIPDASSPTEHDSQGKDVNTEREIAARLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPFRLLPTGMRLTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNVEEQKRCIPVVHSMDDSLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSVKEKLFKRLEHKLSIAQAKIHRAERLLSKIEASMVLANPSDDKEMITDEERSVFRRIGLRLKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLPFVEETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAELENNIRQMKLDLGIEVDEEYEEDGSDSENENNEAVSSARYDEVSNLLKLMLSVLEPP >KN541980.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541980.1:1114:3339:1 gene:KN541980.1_FG001 transcript:KN541980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQEGAQGFFFDMSWAAIENDPGVFTELLQQMQLKGLQVDELYSLDLDALNDLQPVYGLILLYKWQPPEKDERAIKDPIPNLFFAKQIINNACATQAIVSVLLNSPGITLSEELKKLKEFAKDLPPDLKGLAIVNCESIRLASNSFARPEVPEEQKSSVKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDIGWLRMVQPVIQERIDRFSQNEIRFSVMAILKNRREKFTLELKELQRKRENLLAQMGDPSANRHAPSVEHSLAEVAAHIEAVTEKIIMEEEKWKKWKTENIRRKHNYVPFLFNFLKILEERQQLKPLIEKAKQKSNSSANPR >KN541980.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541980.1:4348:20202:-1 gene:KN541980.1_FG002 transcript:KN541980.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LQNLRWRELLEERRVLLPVEGIRMSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGHKLSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTEHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKIASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRRRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRDRDRYRAVDFCTDLVQLINMGIYLSTPKTDKFSEDGENDKLKFGLSSMQGWRASMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKIGGMIEGLIWSPRGSDSNNGQDDWSFEEAYNLSRDHKPELEAERERIVKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIHKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMVLVQFKKPITQNKRADVGEQSAKGVEEAEIK >KN539648.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539648.1:80010:82331:-1 gene:KN539648.1_FG001 transcript:KN539648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAIVLAAAAAAVADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPGDKRLNWTAGPVGDLKAW >AMDW01040441.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040441.1:65:1486:1 gene:AMDW01040441.1_FG001 transcript:AMDW01040441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NFTVPEDLSAYDGIELRVKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAPPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFEQGDNITGKISREEIALICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYEVYFKELKAGIT >KN539648.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539648.1:15697:17008:1 gene:KN539648.1_FG002 transcript:KN539648.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGASILVITVDLKCCRCRAKITKVLDCLKEEYCIEKTEFEEKDDKY >KN539648.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539648.1:62198:76839:1 gene:KN539648.1_FG003 transcript:KN539648.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRMAVTAPSRYFDSPGEFEARIEGNIELEALEDELRDSCGSYMRRFFALLDAAVAYHAELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMVLLMEHRISGLLRERLLVAYLRHERCFSFPNVERICELCRRHVSTPPSPGASGSPLHSAEIISVQKPEDLLRRFQFPELVVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIIDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFIRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSESWKLLTILYVSSLDYAAIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISIFSVITDAKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYERYFTTLLFSNKVVTYLTSEFQLSKLDSPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIAVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQKYLLVYTSLQLIQPRHLLQYIVHSTDPSRSMFIEAMMGWFDAGGDELLGMRFFHLLESCVGQVGLACLDSLIHVLVKQSVEHAMKDLHTLVDVKCREELNKLDDLLGPPMSIPLMGWPSYKEMVKMLHSSWGPLVQKLATIGQLQLVRNLVSFKLRSACKVRANTISSAVDILSSSVCLQNGRFEAGAEDHNIKLFLNNIKDQQNFCGLLSPLQAIYISEEPLMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKRSAHSSEAPKALYWVMSFCKYMDISMDLVESCLPSSSLAILQS >KN539648.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539648.1:47699:49258:-1 gene:KN539648.1_FG004 transcript:KN539648.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSKKEEEEVAVVAARKGRLRQRYDGEYRLVAGCVPYRVVAGGELEVLMVSTPNRADLVFPKPKNHVDDTSATYAVFRQKPDHLSSNQS >KN539648.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539648.1:85102:91685:-1 gene:KN539648.1_FG005 transcript:KN539648.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding ISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGIVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVAGWGNDPLLGYHANSGMFRIAYNNVLHDSMEEANSYAQQVGFSSSETLVPRNQDTGLAELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIALANSVDVGSLRHPQQDAERVKNPEWLVVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIALANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >KN539648.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539648.1:40735:42075:-1 gene:KN539648.1_FG006 transcript:KN539648.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRRVVDSFGEYKQQLQQVDRDGSSSPDVFGLFAVALMSIKDALSYMRDDESYGLSGMWPAEYRSKVVFEILEIELGLVYDYIYTKAAVFQTRGGIVLRCISQSSFLASFLLFLVTSKQRYNSIDTAITYVLFVGGFSLEACAVFNTVMSPWTWAWLESRHCSFLASTIIRMQRKRVWWSNSMGQYNPRNRLGKYDEQEKRPRSSSWKQGVMAMIWKVVYSVCGDEAEFWIRKQLDIKFIEVDEEIIRCIFNKVVECAHAYGSQSRFLPQQWPNLGVVLEDILQVREGTLGWVAIVNLYAYTEAQLMLYSPSPDDVDGELTRVLVRKLSDYMLYLLATWPGMLPVSETNNESLGGNIADLAYKETPESMLENIRAPVPSCCTKEQLLETKEAWLRLAPHLHRRQVAARDARGAAGRRRRAPHLRLVVHGLQTSRVRRPLPDRHN >KN539648.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539648.1:78841:79530:1 gene:KN539648.1_FG007 transcript:KN539648.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTIPVIFGILFYVLAGTATATDAPDYVVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHFGTDKVERAIDGVTDETGTYKIELKDSHEEDICEVVLVHSPLANCSEIEAERDRARVLLTRNVGICDNLRLANPLGYLKDIPLPVCGALLKQFDLADDDNE >KN543694.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543694.1:1259:4089:-1 gene:KN543694.1_FG001 transcript:KN543694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQAVLLLLGGRELAPGSGSVPSSSAAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKAEEATSAITSLEGSPNWGAVEGRPPSAAECHHCGISAASTPMMRRGPDGPRTLCNACGLMWANKGTMREVTKGPPVPLQIVPAATNDVQNGIVEATGVEQHNSAVEEAVSAANGHESQSGVA >AMDW01023722.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023722.1:24:317:1 gene:AMDW01023722.1_FG001 transcript:AMDW01023722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIRAVLVEHALASGPAEKDDGSSVFSKITAFEEELREALPREMEAARVAFETGTAPITNRIKESRSFPLYRFVREELGCVYLTGEKLKSPGEECNK >AMDW01032629.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032629.1:117:401:1 gene:AMDW01032629.1_FG001 transcript:AMDW01032629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WIPSQASGSVKVPEVGWFNAAVAKILAALVEHAWATTTGNNSTTTIKYFATGEEDFDPKIYGFAQCVPAMSPEQCKECLRSLHDNAKTVYMGNSL >KN541504.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541504.1:188:709:1 gene:KN541504.1_FG001 transcript:KN541504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVSLSPYFTVSPRSTTTNMGLFNNLPLHTLVSLSPYFTVSPRSLRYLRYTGLAGTQEQRMMEVEAEVGGARNSQQKELEQQRLRRSKGRHANAKKALDSIRISKRECGFR >KN541504.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541504.1:21021:24607:-1 gene:KN541504.1_FG002 transcript:KN541504.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASASPAGTASPTAIATPRSAKRRLTSPRRAAGSPDASQFTSPHKSPNVGIVGTPKLLSASPRSSRKRLYGDFVAAEKPKWNPRGKSPESHFSRAQSSDWDLTKEFICSADPAQMQVVKEALHVATVPSCGLVCRDDEQSRVLEFCKGCVEQERAGSLYVCGCPGTGKTLSINKVKESVARWADETGMETPDALSINCTSLAKTHEIFSKILAKFQTRKKATGKLSPLQQLQTMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIINHRLKVLEYDVFEPLALEFCARVTHFSCSDNYYVFALTRCSIIRSAVEVFEARLQESSDQEFGLVTFDHMDIALSKAFKSPVVDSILCLPQHQQMVLCALANTFHHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVMLQIDSSDITFAFKDFAFYWIKPT >KN540855.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540855.1:31246:35805:-1 gene:KN540855.1_FG001 transcript:KN540855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEVVQWDKMDGGEVVNGGGGGGVGKLERILVSVRLRPLSDKEIARGDPSEWECINDTTIISRSTFPDRPSAPTAYSFASIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVKDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLRLTTKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSSLESLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHVHVSKQSSVTGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQGQRVAQRERKPQQAENNVQFTTPSRYSVSSPPFSGMLPTNRRDDLSQISNEDSDDICKEVRCIETNETGGNECLESSAVGCNSLQDPNAGSSMHINNDSNPSVNSRLRDESPVTLEQHLENVRKPFANIVKDLGSSTRNSSSSKVLGRSRSCRSLTGSSLFEDLEKDDCTPPNRSFIDFAGRPQNCQRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANSSVAGDTEFTGIGDPADSIYMEVELRRLSFLKDTYSNGAIASIPNTSLVSCAKKLQREREMLCRQMQRRLSIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >KN540855.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540855.1:928:6189:-1 gene:KN540855.1_FG002 transcript:KN540855.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIDSDQRSKVSISSLSTHGDKRRGEKEAPPSVEKRRLPVSKSSREILKHGSCGAAASACRCPTLSTGRYLNRYNLYILALAKRIWSMAFCSYTIDLYAYRQVPLTDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIIANLGAKPLVIQLPIGSEDNFQGVIDLVKMKAIVWTGEELGAKFSYQDIPADLQEMADDYKAQMMETIVELDDDVMETYLEGGEIDEETVKRLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPELILERKPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVAGDIVALAGLKDTITGETLSDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKISEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMKVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELSAAKQEEASIA >KN540855.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540855.1:22571:22795:-1 gene:KN540855.1_FG003 transcript:KN540855.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDPQVWLLASIQFKNLLLCLRPPGDNANNLSPFNYITIKANLLQLLLTTSSLIHAQLSKALFAATASDFPAR >KN540855.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540855.1:20327:20925:1 gene:KN540855.1_FG004 transcript:KN540855.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPSSMLWIHLWTLLITAALSSLPDPLLGSSSMGVGFGDPGTWRRPLLADRGNVLIGNSDDDDSSPCAAHSARSGGTWQRQVVPSSSAPPPTSKGF >KN540855.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540855.1:8718:9059:-1 gene:KN540855.1_FG005 transcript:KN540855.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPASSSPFGFYDAGHGASSSGGSRSHGCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >KN540855.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540855.1:27051:28882:-1 gene:KN540855.1_FG006 transcript:KN540855.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLRSVYATLRFLPAYRVFRLLCANQSYNYEMVHRVGSFAVPLSRDEEAAMRSYHFVPVETQHGRLVVSVQYLPSLAAFNLEISSLSPSMLIADYVGSPAAEPMRAFPASLTGATGSAFPQALSNQPQRPHSWAPPALWPQAPRPQARFSPPHLLNASPTPSPPNFPSGYLQSRPKGGSAPMSIPQGKDTKDESGRFSALSSCDSPRQDDIDDADYPFAVDDVDTPSSQPGYDEFRHLLGQ >KN539227.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539227.1:80801:83302:1 gene:KN539227.1_FG001 transcript:KN539227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKLRSCDVYIGFHGGAGAGAALTRFCKWLKSELELQGIASFMADRARYSDAQSHEVADRIICSVAFGVVVVTMASFLNPFSLEEIRFFAQKRNLVPILFDTEVLDIAGLFDDDKFEGNKEGVEAFEGLMRCHEFKLETDESNWRSCVSRTAAVLQSKLGRRCIGEKESHGVECLPFPRNKHFVGREKELSEIEGMFFGRADDVGEDLGCPRGAMTTGESSVGASDGFADEDSDTVRTSNGRFISLDLRKCKQPMLEAFVDPVIGKFSGKGRSIQRQRSKNKKSRFRCSSKSHGNAVVICINGVSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMYLGLDISAEAEKERGRIRSFEEQEHDAFQRVKRELFRDVPYLLIIDNIDNERDWWEGKDLHDFIPRNTGASHVIVTTRLPVVMNIEPMQLLQLSFPEAVILMKRKMKEDYPSEEIEVLRKFDERLGGLSFGLWIVSSLLSELMIAPSTLFEAVDQISLSDTMLALGANDESLWQNNLFLIKVLVFCFALMDRVKGGSLALRMITAGSWLAPAPMSSTLLAAMASKLPTKANSIQLWGESLKTALLCGTHCFLAPQAKKAEVESSLLLVKLGLARRTTHHPGFWIQFHPIMQLFGKIRGGLAPATAAVSGVIRSRNISVYSDHMWASAFLVFGFKSEPPVVQLKAADMVLFIKKMALPLAIQAFMTFSRCSSALELLKVCTNILEDAEKSLASRIQDLKQGPLCWKKKLQTNSHADEFIWQEVTLLKATLLETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHSQTLAAQETLAKLVRYRSKI >KN539992.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539992.1:68577:70313:1 gene:KN539992.1_FG001 transcript:KN539992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGYEHPAVLLSVEISEVVQKLRRYLGKSSDSFKRTTKKLDVVEDKLRFLRLDSLQRVKPAPAWLQDLNKAAQDAKGLLDDMESEVKAPDSSISDVMNWLSSDDRNMIRMVYVISKLASACSQGKSIVDTPPLNESALGDEKEDKIASSSVAMTHQDKSFLGDDFLIGRDEEIAMIRDMVLDNAQYVPTEITLKIREEAEKLHVPHKGWITETLHKIDMSKWTQQAIEVSPHPENEKSNKVEYIRHPHDSAVTYLRNPAVIPIVGISGVGKSALAKFIFDDANVREHFGDISAWVYMTNRTDQLVTIEQIIYSFNPKDNISYMTSLDSAYSQLQDIIEGKRFLLVLDDVWNEICVLWNDLRSVLSKGAPGSVVLVTTHLYSVANFVGTAGPVILDPLQSDDSWSLLRRYAFVEPGRSLSTEGLKEIGRKISHRLPGLPLSIKVTGATLRSQLEEADWREILNSWWWNISDDNFAIRIISSLGSCYSALPGYLRQCFVYCSIFPRNYVFEKDKLVQMWIANGFIQLDSSSGVKKVGGCRWGEWFYELVNRAFLQPSARKTEYKDEFDILRSSLRELSA >KN539227.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539227.1:47493:47849:1 gene:KN539227.1_FG002 transcript:KN539227.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGLGRPWFMALCMVVVCSLTVSFAVFLCGHSSGVHDGDLLRKKKPMKPPPPSSKKKEVSTSMSGTVVDTTGMYTAAYGVAVVGGHGGHGGGGGGGGCCGGGCGGGGGGCYTCWM >KN539227.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539227.1:4271:7513:-1 gene:KN539227.1_FG003 transcript:KN539227.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKAELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKNPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSEGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVHDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAEDGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELQQCGMDIIEKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNRVEKILNLSYKYLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMPLSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLRNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIECLSSVKEAYFTRMHSDFVRNLRTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWVFI >KN539227.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539227.1:114452:125473:-1 gene:KN539227.1_FG004 transcript:KN539227.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAAAPAPPHDAVAAVAVGMVGAAGTVDEQKAAGVGILLQISMLVVSFVLGHVLRRRKVYYIPEASASLLIGMIVGGLAKISNTQRSISLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIVYKLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDASLILSNLFKYAALGVENLHNLESCLFVLFPYFSYMLAEGFGLSGIVSILFTGIVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFVSLYYLQGLRGAMAFALALQSIHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQHNFDGNNAGYPGQRYGEETSTSSNFTMKLKELQRRWRPPITALLVCPILHGRGLGHVEALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQNKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSEIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDESAEADSEMPPLEDDAGESKMEEVD >AMDW01040231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040231.1:2:1150:-1 gene:AMDW01040231.1_FG001 transcript:AMDW01040231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KGGGGDVLEDDPTGKLKVFVYEMPRKYNLNLLAKDSRCLQHMFAAEIFMHQFLLSSPVRTLDPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAVRYVAATWPYWNRTDGADHFFLAPHDFGACFHYQEERAIERGILPVLRRATLVQTFGQRHHPCLQPGSITVPPYADPRKMEAHRISPATPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPATYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWGEISVFVAEEDVPRLDTILASVPLDEVIRKQRLLASPAMKQAVLFHQPARPGDAFHQILNGLARKLPHPKGVFLEPGEKGIDWDQGLENDLKPW >KN539227.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539227.1:1180:3061:1 gene:KN539227.1_FG005 transcript:KN539227.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding DLRGKYSKAESNIMELKRMGATVLHGIDAKRMKDHTSLKLRRFDRIIFNFPHAGFKGKEDDLHMINLHRELVWGFFQNARHLLRPYGEIHVSHKIGWPYDRWCIEHLAYEFSLTMIAKVDFRKEDYPGYNQKRGDSAKCDQPFELGACCTFMFMRDLARLKRARRNRIDASSLGIQAQHDMPFHPRPLVPAYPQPHFPSQVNAAHRQVPPEHYPLGIAHGQEPGFPDNFGGIERYPYQRGAIGTVIGMPGTPSPIRRITRSSFPAPQEQPWSQERYIMDPEVRDDHYHFAREYPRNLQEEYEMERQVMPGGTRLRYVDFLENRYEESVRRQEHLRRLIAEYGGYD >KN539227.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539227.1:130029:131760:-1 gene:KN539227.1_FG006 transcript:KN539227.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPLICFVLALLLAAGASGGGGAAAGGGNGGGGERRGVYVVYMGAVPPRTSPNILQETHLRLIGAVLKRGQPAAESVVVQQYKHAFSGFAARLSAAEAAALRRKPGVVSVFADPVYHLHTTRWKGVCMAGDDFNSSSCNR >KN539227.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539227.1:112345:112644:1 gene:KN539227.1_FG007 transcript:KN539227.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYKKAPALSILDTCYDFTGHRTAQIPTVELAFAGGATVSLDFTGVLYVSKVSQACLAFAPNADDSSIAILGNTQQKTFAVAYDVANQRIGFGAKGCS >KN539227.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539227.1:85719:88345:-1 gene:KN539227.1_FG008 transcript:KN539227.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWMPPPTPSPRTLMSSFLNEDFVSGSFSNIFSDHESNKPQDQFERSRELVDLSKEVPSQSARPAFQRDASLDHSLVSPTQRSNSHGGLAERRAARAGFSVPKIDTSRGGSSTVIRSPVAIPPGLSPTTLLESPVFLYNAMAQPSPTTGTLPFLMASNAKSTILSATKMDEDCTFGNDTFSFQPHVGSRRPNFSAAEKGPNACHQNQSLSNIHQRESSLQSSFTAVKDITDEKNIKTKTSDSMFGDNHSSDEQDDETNQNGEYSMPPPNHRSGVPLSHTNDPEVNVLENRGSQTCHNSASLWDNAKNDCLQDVQSEVIETRTAACLPVSTNCDTSIMESQDAVDVSSTLSNEEDDRATHGTASIECNRDGDETDSKRRKLDALTAATAAITTTSNIDMGAAASRGVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHGSSGSGNAPSAPQSNGSQRRQEQGQASFGQFGGAAPFSSFVLPPRNQFGPSASNFPFGMVPPGMAIPMPSLGSLATAKMAGHPSTMQGYQGLMIPEGEMKTEPMSQLGFTAVNQSSSSFQQMMNRPPSFGPQM >KN539992.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539992.1:4708:25952:-1 gene:KN539992.1_FG002 transcript:KN539992.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGIELPKIEVRYQHLDIEADVHVGKRALPTLLNATINTLEGLVSLFISSNKRKLKILNDVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKPDKNLKVSGEITYCGHTFKEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELTRRERNAGIKPDPEIDALMKATVVEGKQNNIVTDLVLKALGLDICADTIVGGAMIRGISGGQKKRVTTGEMLTGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNATVMMSLLQPPPETYALFDDIVLIAEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFLEQDHYRYVSVEEFAQNFKKFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSLESLKAVMSREWLLMKRNSFLFIFKAFQLFVLGFITMTLFLRTKMPHEKFSDTSKYVGALTASLITIMFNGFGELQLTIDKLPIFYKQRDFLFFPAWTYGLANIILKVPLSLMESSLWIVLTYYVVGFAPAAGRFFKQFLAYFWTHQMALALFRLLGAILRSMVVANTFGMFVLLLIFLFGGFLVSRKDIKPWWIWGYWTSPMMYSNNALSVNEFLASRWAIPNNDSSISAPTIGKAFLQSKGYFTGEWGYWLSIGAMIGFMIVFNILYLCALTFLRPIGSASTVVSDDDTKSELEAESNQEQMSEEMKAQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESIVYSAWLRLSSEVDKNTRKVFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLLKRGGRVIYAGQLGLHSQILVEYFEAIPGVPKITEGYNPATWMLEVSSSLAEARLDIDFAEVYANSALYRSNQELIKQLSVPPPGFQDLSFPTKYSQNFLNQCVANTWKQFQSYWKDPPYNAMRYVMTLLYGLVFGTVFWRRGKNIESVNDLNNLLGATYAAVFFLGAANLLTLLPVVSVERTVFYREKAAGMYSPLSYAFAQGFVEFCYSAVQGVLYTILIYSMIGYEWKADKFFYFLFFMIAAFAYFTLFSMMLVACTASEMLAAVLVSFVLSSWNNFAGFIIPRPLIPVWWRWFYWANPVSWTIYGVIASQFADSDRVVTVPGQSTTMVVKDFLEKNMGFKHDFLGYVVLAHFGYVIIFFFLFGYGIKCLNFQKRVAALAVSSGEHFVEQLEMGSAQLSALAVCVCIFGCLAMADGQNVTGGDGSRPAELRIGALFTFDSVIGRAVMPAIELAVADVNADPGVLPGTKLSVIAQDTNCSGFLGTMEALELLAKDVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMSAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLAVFAAAKSLGMMSTGYAWIATDWLSAVLDSSDHISTDRMELTQGVIMLRQHVSDSGIQHSLVSRWNNLTRNGGHSSFSSYSMRTYDSVWLVARAVEDFLSEGNAVSFSADPNLQDIKGSNLQLDSLRSLNNGERLLEKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNISGLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIEKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSPSSIGYQVGSFARSYLVQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLTGTECSADDNEVGSNRLSLSSFWGLYLICGFSCVLALLIFFLRICCQYSKYNNQVGLDSPEPEIVTRSARLTTIKSIISFVDKREEEVKNALKKKPNDSLQPRTGSTGEQSTLPP >KN539227.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539227.1:42896:46574:1 gene:KN539227.1_FG009 transcript:KN539227.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARGRRCRGVVLLLLLASVLAPLVLYGGSPVSVSTLPDSTVASGVLDREGEYNLVVAASDVSLTKDLTIERLGEHKNRVLSATEDWQVVEAASKNPAFEKPDASVSRKDPGSGDANVVITEGNGAAQSGRDGVIREVVSRDRGADGFTQPWEINGGEERDGERVDRVNLGVSVEEQNDGTGETGVNNIAGTHTSGNLNSSLEKESIIHSHSICVPSTTQPSVIVFQERITGRLSEQVTKAIPKESYTPTTNSNSALPTSVSAGHSTTSPDATIRTIKDQLTRAKAYLSLVASRGNHGFARELRARMRDIQRVLDNVLAAAVVVNSTIIHAKKPADHVFHIVTDRLNYAAMKMWFLANPLGEAAIQVQNIEEFTWLNSTYSPVMKQLESQSMIDYYFKSGQARRDENPKFRNPKYLSMLNHLRFYLPEIFPKLSKVLFLDDDTVVQQDLSAIWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIASNFDPRACGWAYGMNVFDLSEWRRQKITDVYHNWQRLNENRILWKLGTLPAGLVTFWNRTFPLHHSWHQLGLGYNPNINEKDIRRASVIHYNGNLKPWLEIGLSRYRKYWSKYVDFDQVFLRDCNINP >KN539227.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539227.1:14849:17989:-1 gene:KN539227.1_FG010 transcript:KN539227.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLFVIKKIGIAVAGDTLKLAIPLFAKKTELKKVELVTALPVNMRQIKKELEIINAFLKELGMNGYKGEVVETWIRQVRRLAHDMEDVVDEFMYVVGKNKHKKSWACVKKIIKKPKPLFSLDEIATKADMINTELVELSKRLDRWTRPLSSGIYVPPTNYNSEQQLYLPGYDYSINDDELVGIDKNRQTLIESLRLEDCSLRIIAVWGMGGLGKSTLVNDVYKNEAIVSNFNCHAWLCISQSSKMHDIWQNMLKELCGEDNRGVDAENMNNRELRLELTKILRQKRYLIILDDVWLAADLLKIREVLVDNGLGSRVIITTRIEEVASIAEDGCKICLEPLNNHDAWLLFCRKAFPKTENHMCPPELHQCGMDIVNKCGGLPLALVTIGSLLSLKPRNKKEWRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNYLKNCFLYCAMFPEDYIIQRKRLIRLWIAEGFIEQKGTCSLEDVAEGYLTELVRRSMIQVVARNSFDRIQCLRMHDILRELAIFQSKKESFSTVYDDTHGVVQVGSDSRRVSVLQCNSEIRSTVDPSRLRTFLAFDTSMALSSASYFIFSESKYLAVLELSGLPIETIPYSVGELFNLRYLCLNDTNVKEFPKSITKLLNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESLEPFEGLWNLKELQSLCEVRATRDFVSKLGNLSQLRSLCITYVRSSHCAQLCNSLSKMQHLTRLHIRAMNEDEVLLLDDLMLPNPLEKLDLLGQLSKGTLESPFFTTHGNELLQLELSRCQLTVNLVAWLSKLSNLTELRLTRVYTGQQLSFHSNCFPNLKKALLWDLQQVNQIYIQEGALSSLQYLHIDSLMELRDVPTGIEFLRSVKEAYFTMMHSDFVRNLRTGKGCLRNLLTCLENPAPTHNGAC >KN539227.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539227.1:68829:69256:1 gene:KN539227.1_FG011 transcript:KN539227.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSPPTVAGLRLAPSPRVRSPSLATRENVAKQVPEQNGKTHFDAGDERAKEFAGLLRSNDPVGFNLVDVLAWGSLGHIVAYYILATCSNGYNPNFF >KN539992.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539992.1:54502:58266:1 gene:KN539992.1_FG003 transcript:KN539992.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MILDDARFVADESVEERASADGSPISRKGWIIDALRSIDLSDQRNQPAESAAAYQKEMGSRVKYTRVQSSTVSTMCNPTVIPIVGVGGVGKTALAQFIFDDERVREHFRGNSAWVYFTDNIRKEEPMAQIFVSMQPEHNMLDHAFSLNSLRVQLQSVIEGKRFLLVLDDVSDEIRAMWGDLRSALKKGAPGSVVLVTTNLYSVASFVGTTTPVFLDYLHYDDLWKLFKHHAFASYQSTEALEPIGRKIVDKVHGSPLAAKFIGASLRNCLDEAYWKRVLESWWWNVSSCSFDIHVISSFGICYGELPAYLRQCLVFCSIFPRNYLFEKYELIQMWIANGFVELDNTTVARRMEDVAGEWFDELVNKCFLQPTVWKAWYVMHSWVRDFAIALSSNEYQGVDCTMGNLPRSVRHLSVDMDAMNVPWTEYSIKQLRSLILFGGFRHNNSSKGFNNIYNILEGSYDAVDSISERSYNTTDNDTADNISEWSSFSFDDGEVDVVAIILKRYCDIIGNILNRSTSLRLLSLSNLRANSATACIGDYPLEEDGIAQFVEFTTTHQMLPYLTHLRYLDFSHSGITKLPDSLCSLCNLQVLGLRGCRFTQLPRRMNSLVGLRHLHADADTVALIHGIGQLTRLQDLYEYRVKAEDGHTLIELKDMRYIQGSLCISDLQRVANREEAIQANLGRKEYVTCLALKWDRNQSSRGKYNLYGKELSQFDRGQKQPLQASLVEKNYTSDVSGYLMNPPEVIKPDQDMEILECLSPPRNLQTIKFFGYTGLAFPDWVVQLRYIKVIEISHCTELQVLPPFGQLEHLRKLILHELSSMKDVSSDVYGTSNVAFKSLEILCFESMGKLENWADSQNEESFSNLQNLQINRCDNLRELPLMSLGLAIRKLSLTGCGSYAETVFRQLPSNLVTLSSLKKLNMQSCFQIHSLPLKGLPGNLRELQILGCSPILEARCQKEDGETWVKKKRGEWQKETINEYRQKKTCEFWEGWLKYEEEWVESATDHLNDKGEWLENEEEDWLKNNSDELENNEDVWLKSRGEDWPKIAHIPYIRVNGDIIQNFYL >KN539227.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539227.1:84045:84284:-1 gene:KN539227.1_FG012 transcript:KN539227.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTNTPFFADVLGEEEASHEQIVMEHREFREAEGEETGLFGKSAFAALKAATFEICYLPLKAASGNTPSWTDSARREL >KN539992.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539992.1:47844:51163:-1 gene:KN539992.1_FG004 transcript:KN539992.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKAKNPNHGGADLNPTIASTASRLPALVNCPLRPPPRARGMVGAELTVGGWFAGAVISNLVAKVRSAMEHHAALRAAAGDMLYGVEAALPRIRILVEATERRAISRASFAAWLQQFKDAVAEAEDLLDDLETRRIRAALRARGSKLGSATSLALRFLRNLVLSDGDLQRLKDVLAKLNRITSDATGFHDILKLADDDVGAMRSVLPVPATPPAVIGRDEEQQQLVKMILRPGAPPCPQDGAESCSGVSVISVVGAAGVGKTTLAQLIYSDPNVKEAFLLRGWVFTSRSCSRTGLEQDIIESFASEQEENLQRKSVSSESSLIDVVRNKKFFLVLDDVQHNLHSQWDSLRSTLARGANGSVVLLVCQSKEVANSLGATAQVPMGYLPSPVLWRVFEHHAFGNQKRASLESIGKKNMLIHMWIASFMQQHDGIGMKEMEKEWFDELFRRSFFQPTIWKNRYIMPDMIRKPLCSIAGKECHAASELGEQKRWLQDYRHLAISFPDFNVHLDLRKDNKLRTILLFDGRKTIKPHEAFANILSHLSGLRVLDFSYSEAKLEKAPDFIYKFTHLRFLDLSFTGMTVLPDSLCKLHLLQVLGLRGCQFKELPRAINELVNLRFLYAEAHTVSLIYKIGKLTNLQGLDEFLVGRMDGHKITELKNLNEISGQLCIGNLDKVASTDVVSDAELFKKRHLKKLVFRWGLTACKPLAEADGFMRTLAGLKPNTNLEELKIQCYMGVGFPSWMAEEQYFINLRRIHLIECKQLLTLPPLGQLPSLVVLILQGLTAIEKIGYEFCGKGYRVFPSLKEVTFLDMPNWRKWSGIEELQDLQIPPFPQLRKVQIKNCEVLIDMPVCCLKASLEELEISGCNEIFACNPSCLDRLTSLVRLKIHHCLGKIYLPCRLLESIEVLNLQRCEVYFQGGKEHLMKLRRTVTNDVHELNLDESKAISTELLVLKLSEGYHYLSSCFLNKWNFEASSVS >KN539227.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539227.1:100350:102105:-1 gene:KN539227.1_FG013 transcript:KN539227.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALRPGSFPFCAVVSPVSDESIVVLQQVEGPVSPSELVEILQRTIDEQRASSRQSWPDEQLAAAVRASRADEEERMRSVALRLRQEQDAAYLESLRKDQEKEKSRKNVQEGSAKPKASNGLRPRYPGQSATETRKAAQARAPAQSQNGTAASHRAEANTKIMIRFPNGERRQQAFHHTDTIREIYRYVDSLGIPGIGNYQLVRSYPRKTYGRQQLEMSLQDAGFYPSVTLYIEQLQ >KN539227.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539227.1:103296:106325:-1 gene:KN539227.1_FG014 transcript:KN539227.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEHHPIVSVNIFFSNSDRSVCSSEEFDVTLVCSTQALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNVMNKQKPIWLRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSEIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDESAEADADMPPLEDDAGESKMEEVD >KN539992.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539992.1:33664:35533:1 gene:KN539992.1_FG005 transcript:KN539992.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPPPGDDLGALVSAAIAAAAKKLRAFLGDDYGRPNRLHKYTANDTPGPRRKEDPDSVSPTFCIT >KN539227.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539227.1:56102:67733:1 gene:KN539227.1_FG015 transcript:KN539227.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAIAIAMALMVVQPGRQMTAFAARTSPAAAAEAFWRAAMPGAPMPDAIVELLHHELQSQSVLPSIQQQQRRTTGGEVVALSICADWIQKIQDPNLIQKRGNVRFLKARKFDSEKAMQMWAEMLRWRKEFGADTILEEFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDKSPNIRNAVHGRLLGMMSDISNAESESDVDEFSLSAVLRSTDYSFLTPVSEEVKGSDSSTFCSCESCDRKGLPDVTPESSQSVQQSSEMVPNQLTSHEHSSTIGWMNNLGNTAISLHGTLTGRSLGNFVRGVGTLMIKILAFFSIFVSRRGNMIENVHPSNVEDEPQPRSAMEDKMSACLQRLEKLESLCNHLMSKPPDMPKEKECLLLQSFDRIKTIEADLERTKRVLHMTLVKQMEMMETLEAMQHHHQSSSVRNLPYDIILATFAEEIVLFISKRLLFHGVWLALSDHED >KN539992.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539992.1:62513:66350:-1 gene:KN539992.1_FG006 transcript:KN539992.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLTIGGWFAGAVIANFVGKARSILEERHELHGDVADMVFNVEASLVHLQAVLDVAERRPVVDDTFTGWLELIKKIASEAEELLDDFEIKRIQKSQQNKVSGFLAYMMKNLGFVDDDIYRLKTLLARLDKIATQAEHFPASRMQEETRGTATVKVVCIVGEAGVGKTALAQVIYNHPNVKKAFNQRGWVSLSQRSDSEDFIKKIFCSFAAEQHPFDSEMGLETLQASSEHDLSRTIQNKRFFLVLDNAKDNLQREWKTVRAKLTGAAAGSIVLVTTRSEVTHAIPGGIVITLDKIPTSVLSMILKHHAFGLTRKDSLESIGDKIAGKLHGLPLSAEVIGRLLRTKLDEDHWRNVCESNWWNDYEDLVITNPALPSVTIALEFLRNDLKKCLGYCSMFPSSYLFDKRKMTQMWVCNSMQQHQESAYEITDIRWLDELLNRSLIQSTVWKGKYTVNEMIKNVVASINQTGCYTIDDLHSPRQNLSNIIHMAVDKYDFEVSLDLRKQSKVRSILFFDGRRTTMLNTALNSILPVSSSLRVLDLSCIETKMERPPDVISTCSHLRYLDLSFTGITMFPDSFCKLLLLQVLGMRGCRFTELPRDMNKLVNLRYLYAEACTLSLIHSIGQLSKLQYLEEFAVSEKEGYRITELKDLNYLGGHLCITNLEKVACVNEDLEIHCYMGVKFPGWIADDQHFTTLRYIKFSGCKKLVELPPLGNLSHLAVLILQGLEQIKDIGKEFYGSYDRVFPSLEELTFRDMENWRRIPRTGRIVRTEEAEEENMTCLKVHDCLAIMIDLPNHLLASTEVSYCSY >KN539227.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539227.1:24179:26652:1 gene:KN539227.1_FG016 transcript:KN539227.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWWEEEHAGEVLTVKYIDPTYMIRAVRANAGDNLYCTLLAHAAIHGAMAGYTGFVSGTINGNYAYIPMDEVAEAKNPVDTKDHKWAWSFVVYLGGHSHGSGGAALASNQERAMNSHHEFLGSFLGSKEKARDAIFYSYTKYINGFAATLEEEEAMEISKHPSVISVFPNRGHRLHTTRSWEFLGMEKDGRIRANSIWAKARFGEGVIIGNLDTGNLTSA >KN539227.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539227.1:70185:75457:-1 gene:KN539227.1_FG017 transcript:KN539227.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MITDGERRFSLILCQYVLESTVFPREPDCLRELRLATANHPMAVMAASPDQVQLFGLLIELIGAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDEVGAPVIDKAGVAHKVDFRVGLAMPVLDELVAEEGNKGRFDFAFVDADKVNFLGYHERLLQLVRVGGLNAYDNTLWGGSVAAPAAAADEAVPSGRDRSLAALAREFNAAIAADRRVQPCQLAIADGVMLCRRVASPPQHTDPLSMDPIKQLFYAIMAFVAIIYCKVQIADLLIEIQKFIEIRNETCENSANNHSETEWSSSCHFDESTEELSEKLKLLESRLYEASTLINDKDSEILELDALNHKQPKQHVLCNTELLSLQSDMDQLFLEKMEAETQCFILTRASQAWNPLTEDQAAIFDIQKSLPEDHKQLEAKLRHTENRAMMLEEMVEKLEAQCKDLARTSEILKLQARASRASLFCSVQFVLLFIAVGTFLVRLWPSSSEFVPT >KN539227.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539227.1:31695:34995:1 gene:KN539227.1_FG018 transcript:KN539227.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVFHSSEVDQLMRKLIGARYFNKGYLSTVGQAANPASTRDTDGHGTHTLSTAAGRVVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVDVLSVSLGGAPAAYLRDGVAIGSFHAVRRGVTVVCSAGNSGPGAGTVSNTAPWLVTVGASTMDREFPAYLVLGNNKKIKGQSLSPVRLAGGKNYPLISSEQARAANATASQARLCMEGSLERGKVEGRIVVCMRGKNARVEKGETVRRAGGAGLVLANDEATGNEMIADAHVLPATHVTYSDGVALLAYLNSTRSPSGFITVPDTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGQAGPTGLAFDSRRVLFNAESGTSMSCPHVAGIAGLLKSLHPDWSPAAIKSAIMTTARVKDNMRRPMSNSSFLRATPFSYGAGHVQPGRAADPGLVYDMNDTDYLGFLCALGYNSSVIATFMASVTMTFYREVWVAFPSNRGVGFISGLRCAGLFGLVVP >KN539232.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539232.1:63754:67549:1 gene:KN539232.1_FG001 transcript:KN539232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGRRGKHQRKDAALADDDDAADASGDAGDCVDGRWDPLELDYLLSLWVGVVYATNCLLKSFSSVHIRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTENTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >KN539232.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539232.1:115037:116492:-1 gene:KN539232.1_FG002 transcript:KN539232.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLADDGDGIVDRAMWLACAAPNSARPPAVGSVVFYFVDGHAAQFCQFPAPLLEQLAVPGPRVFLCTVAAVRLRADALTNEAYADITLDPVADYDTLMISDFDFRIRFSAPMADAKGVFPPLVDAKAVQPLLVKDLHGSPMTFDYGRKGKRVTLAKVWKKFRDDMDFVDGDSVIFMRRRDDDDDDGELYVGVRRQRTLERPLRNTMRRSRPPTPPQAAVQEADGDEFVVPREAVEEGLRARLTSLTEVEFVWAVEDGAPPIVGPRGKVTAIATGQLWRNLEIVWDGNSEMDMSANFWQVRPVEEVDISPSTPPPKRLKNCEIDDTASSSVSVDNGDEQVPTMRQRLEALFPDNI >KN539232.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539232.1:23006:30200:-1 gene:KN539232.1_FG003 transcript:KN539232.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERVWYEQLELEGKLLEGEDLDFAFDSISAFSETGENQEDTFLTEESLEHIRDSKLTLRAEVSDLERQLASLEWQLDMLTAQATTITQGKKSRVSAKTNPNIQISRLDEKLAKRSLEMNSLLGKLAATTQELSYYHSEADIGIYLSYSDFQSYIIQNLACIKELNRWFSKKFEKGPLQFVAKEDLSRGDYEGSHHLSVELKRINSISIRFARSKRQYIEAQTEYAKEEAILSTLRTQLASQQSLVHQDVHSLRRRNSEFAEELRDLSLQVKRCLSEIITSLCADLVQLEGANMLQGDHNLKVLRQECYISQQKRFINYLVNQLAAHQFLKIACQIEGRAKISSAYSLLKAAAMELQGYFSVVDGRLDRYHLIDQAASVMLEEGSIDDRDTFLHAVRDILSTHLGAQAMTPSYVSAYGLVEQISDLQNELEYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTYVQPTLTPWPVAQSLEELEIINQQVSACVNEVTMARDKKAKMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALQE >KN539232.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539232.1:68535:72229:1 gene:KN539232.1_FG004 transcript:KN539232.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSTDPSPSPSARGSRARRYTALAPSAAAAPKSWGAASTSTCCHGEGRCVAAELSRVCRMMGALQQQSNGHGHGVLLLADAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGELERLYGEGIKPAALYRVGDPVVRQFIERCIAPAARRPAARELLDDPFLLPLEDDGFFSGDGGDGHGGVGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVGDNDVDAAVPPGDDDGDNWLRDIHMLFDEDDADADADADERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAMTVAAEMVAELDITDHEVTRIAQLIDGKVAALAAERHGCRRCAELHGRFEEITFQADDDEEEQHLQGSSSDTGGSNHEQLAMGKDKEIMNINGIAQDGTVQGSEQP >KN539232.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539232.1:94147:95699:1 gene:KN539232.1_FG005 transcript:KN539232.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVETEVAAGAQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KN539232.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539232.1:15798:18886:-1 gene:KN539232.1_FG006 transcript:KN539232.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETHKETCRQLDELKNSKNGSGNNTAVVMRWRPSAPYRPAREHLQPRRWHQQLVLQHAANASPKRSKVAGTGDDGDGNAAATEEKPEPAKDYIHVRARRGQATDSHSLAERVINYLINQLIN >KN539232.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539232.1:117136:119724:1 gene:KN539232.1_FG007 transcript:KN539232.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPDAAAAAVPPPVVIDRDVWHACAVPYSGVLPGVGIPHGHIEQCAEDPALLLSRLQDPIHPVPAHGEPGFRFFEKKLSPADVTSNALVLPAGAEHVLPPLDIAAYQTARLLDVRDLRGKRFEFVHIWDKKRLRYMLGDLGVNDNDGWRGFVKAKRLATRNSVGVTPFEVTYYPREGTFEFVVSRDEYIGFSFSPFYPFVPGTTVHLRMNPLQIAQSVSGTVRTFDHLRPWRMLEVDWDQAASPISYSIHRQVNFWQVLRQPQPAATTSAVRIRDAIVATPQVQIMAPPPPPTTTTGMVPVIDHDIWLACATPYSGRLPVVGSAVYYFPQGHAEQCHTCTTCLIPDNRHRLRCTVTGIDFAVDAQSDDSYAMISLFPGDCYVTHRPLPAARDPAGGQRELCFFDKKLSPSDVAANGGGSGALFVIPKPSAAEHVLPRIPDLRVTDLQGGRWEFGHTWSDADTDRRSSSHTLAAGWSAFVKAKRLCVGDTVIFMRRRPGGEPLAGVSRKPHGGMPVGIPDKHVADAWLDASSAQPFRVTYCPWQGTAEFVVRREEVDGSPPLAPGTRVRLLMNPDDTRRRSQPPVYGTVRDVDSRSEWRMLEVDWDRDSPLAPTMNRRVNSWQVQPVQLSLPAASTSTAHAGDATTSAPSLALQVQTMASSSSSSAPIIPSRGSAFRIVNPRDGSQG >KN539232.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539232.1:75875:85211:-1 gene:KN539232.1_FG008 transcript:KN539232.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVAPEVPVTEVEAAAAEEAVEETTAAEAKPAKEKKKVGRPPKEKKEAKPAKEKKVKEAKAKKPRVAAAPPPYAEMIMEAIVALKERTGSSSQAIGKHIHANHGANLPPNFRKLLSGNLKKLTAAGKLAKVKNSFKLPAPRPAAPAAADAKPKAAPAAKPKVKTTKAAKPAAKAKAPATKAAKPATKTKTTAPAAKPKPSPKAKAKSATSPVKPRGRPAKSAKTSAKDSPAKKAAPAAAKKKATAAAATKKKAAAPARKGALASRSFWKVPEASSRSSAMDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMSLFYAAERSFICNDTGALLQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMRRLGREYISLSHRLKWFVSLAPFSELFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGMHMIYLPYSDDVRYPEEVHVTSDDAPRASDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPGVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALVSRILTHLGK >KN539232.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539232.1:34079:34321:-1 gene:KN539232.1_FG009 transcript:KN539232.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAVVAAVVSVIFLVFLVSGGMAARPMVNVEKTTAVPVARKSGVVVESWTMESSSLPSGCTNGNGAGGYCRPPAPAGH >KN539232.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539232.1:8101:8364:-1 gene:KN539232.1_FG010 transcript:KN539232.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPMSSSSTYFPIDLFPPLALGSPCEIKSTNPWPAPTNQLAVDASGGGDELDGAIALRWMLRGRRCPTSWELPWEAEAEAEEEEAL >KN539232.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539232.1:98160:99260:-1 gene:KN539232.1_FG011 transcript:KN539232.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPASRAFLSLADGRFHDIAFPHARGARCVGSSRGWLVMLREGPEGVVGAAATATVHIVHPLLPHPEFRLPDEFSLFEIHAAADLEEHVVRLPLSKEARLRAGLPLAERLQRVYKPDEKNYPYITMVALSCSPAGSDDDDCVALCVYRCGRCLAIARPGDASWARVEVGWEYMEPTECNRKFVSVVHLNGSFYAACYDGTVLRVTIPPAGSSSSSASTPPRVEKFADRPYRSKWSMWRSRWWRADDGAGSLVFIGTERCLNPWDDERYLSVFRWDDELRFWRRPKSFGGRALFLSAGTAFFADARILPWCAGDCIYLTDDESVVTGENVTVRCYDMRSRKLYFVADAGAKVALAPPVWVMPFHE >KN539232.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539232.1:127786:128355:-1 gene:KN539232.1_FG012 transcript:KN539232.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHEYRREFLSVVHHKGSFYAECYDGMVLRVSIPPPGSASPPRVDKFADAPRRESIRWARWWLAVDTASSSAGGGALVLVATERRWWKQKMYMCAFRWDDELRFWRRPKDLGGRAVFVGRGTAFVADARQLPWCAGNCIYFTRDERVRTGDDVPMRCCDVRRQKLYSVHNAGPKVAMAPPVWVMPFHE >KN539232.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539232.1:38313:42835:-1 gene:KN539232.1_FG013 transcript:KN539232.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDESDCVVICPPNGKAGHTEVMSGRHDEDSSRGQETPSTIDSHMNGNVQDGVPADQDVLKLVDQQKSSLPSSPINHGIAEQEESNHTVPQPFAPATEREDSGEGDCTPVPHPTSNGEKHSDKSSTSLASMAKKKYIMFSSFLLGFPELHSSRTVTSARTGKIKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKEEQEEALKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAACCRLHRHSIGNSKEVNSRTQCSPKSAPKTGVAAKPRATKGVMKNVGKPEPWYRDHVVFRVVNLSRFCKGVTESVLSYGIVFKHQLVIVDIVAKSVKNACKFEFRIELLFVAKILGKSIEKH >AMDW01039164.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039164.1:650:910:-1 gene:AMDW01039164.1_FG001 transcript:AMDW01039164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEARLPLPYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMIQMQKIREAQEAKSKGAATIGVPLIPSTAKFS >KN541310.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541310.1:172:432:-1 gene:KN541310.1_FG001 transcript:KN541310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTANVSDVFPPVAALDLQGLRRRMTDLLTIMYRHFDDQVALRRRSRDAGEARKNDVLDTVLDKEESEWKQEGSLLSHDVMRVLLS >KN541961.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541961.1:7408:8655:-1 gene:KN541961.1_FG001 transcript:KN541961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACRTLELTLLSASDLRGVNLVSKMEYSDF >KN541310.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541310.1:26067:27951:-1 gene:KN541310.1_FG002 transcript:KN541310.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPNTSLLSATISIVLDGIVYRITLVPGYIKSGIIVIRSITYCIMLTSTYNIPLKEWEKLLNEALEKARSLVLLLATLAATITYQAVLDPPGGYWQDDKDGHKPGDPILLTINARRYKTFFYFNSTAFVASLLAIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSINVIAIAGAVLVYVVIHIVFFTLDHNDGSTLGEDNALLEKRRKRLLLFAILCATITYQAGLTPPSGCWQDNDEKHGYKAGHPVLFSNHPRRYKAFFYCNTTSFMSSIALIILLINPNLYRPAIHSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIYIFVLLFIFLVLLLVAFVVHRKSQGKQNKTTNEAEVPDTNDIKRKQYTKRKNLMLLGILAASVTYQAGLHPPGGVWQSNDSAGHAAGDPVLHDMQRLRYHAFFYSNSTSFMASIIVIILLLPESLKLNVNEWLLKAMNTTVVLDMIGLLVAYGTGSSRDWDTSGYVIAMAIFVLGYIAIHAMLSKLSQVANHRVASKDPESQVLGNGLHQVGGICVGLHPSINAVQ >KN541961.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541961.1:17904:20228:1 gene:KN541961.1_FG002 transcript:KN541961.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEIIVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFVAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >KN545661.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545661.1:157:675:1 gene:KN545661.1_FG001 transcript:KN545661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSAAALMAAAAALLLLTWTISYEEQETRRMFVEWKAKHGKTYKDVGEEECRYAVFKDTRRRRIDRYNAAPFPEEIIPFRINQFGDLTREERRGMRVGEEEESWYEEETRRMFVVWKSKYGKTYKHVGEEECRYKLFKGNRRYVVDRLNAAADGDETAYGLNQFGDLTNDE >AMDW01032588.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032588.1:3:278:-1 gene:AMDW01032588.1_FG001 transcript:AMDW01032588.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKGVKGAEPGPVLTWSQRARIAYGAARGLEYLHERAQPPIVHRDIRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPE >AMDW01035861.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035861.1:305:578:1 gene:AMDW01035861.1_FG001 transcript:AMDW01035861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNVRGYAPLPTEDRDDSNFTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLP >AMDW01040839.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040839.1:5:2596:-1 gene:AMDW01040839.1_FG001 transcript:AMDW01040839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIEILRGLRERYEIHHKLRYTDDALISAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAETESGETGPLVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHQRVIGQDEAVKAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYSRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIAEIMLKEVFDRLKAKDIDLQVTEKFKERIVDEGFNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGQSGLPELSTPAVTV >KN540142.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540142.1:6998:8975:1 gene:KN540142.1_FG001 transcript:KN540142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRQVSVPLSFHCNSFLVFSYVVVAHMNFTFFILMSFMQVRVYVGNGAVEPAIVGNPRCINMCPYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRCNLVNGKSSNLSFFRLREYP >KN540142.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540142.1:42547:44267:1 gene:KN540142.1_FG002 transcript:KN540142.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEQSAPVANNNGGTTTRPPGITGVPVGQVQLTKYHNAEAPLDPNDQEVLLELKGTSSATSRAALDLIAVLDVSTSMAGDKLDRMKAALLFVIRKPADAIAGKSREGMFHYVADGVNLTAPFSQLLGGLLTIIAQDLELTVTRVDGEAALKKARRFDEDPGGAALPSAEEDVRQEVAANPLAPVAGQIAFYVRSAIQALQAIDKIFASVATSTST >KN540142.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540142.1:35318:37672:1 gene:KN540142.1_FG003 transcript:KN540142.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRGSIAFFATYRPPVPLDVFSCPVAPLLSSQRDELHLTDGVSYNHSCRPIPAAALRALLQRPKLATEATTADVDAGRVTGLVFVSERDSGLETLHVALRFDGGKQVKVFGLADIFGAGGDFSGVRMEDSGCFGGGYRERGRVVDHTLVVAVASWQGKPGLWDGEIEDLSTDIYVMNVHKPPLGRTGPVVKNGGWPTWGSDDVIFFHRRVGTTWGVFRFSMSTGEEARVTPEEFDAVTPAAISETKVAVATIRRKSQFSDVRVEEQYRHIEIFDVASPEKPVKSPHKDVGLFRVSGVCPTISKDGSKLAFVDNEFKAVWLADSHGLRVVYEKKGPNSVFSTAWNQNPALDTLYVCVGPSFSADKPLQIYAIHDFLSIPTDPSELSARSAAGYMK >KN540142.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540142.1:12674:24938:1 gene:KN540142.1_FG004 transcript:KN540142.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQCYSLGVEDLSLLSGEEIHASGSFLVMFNGLILGKHRQPQRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQHEDFPFSERGICPDLIMNPHGFPRCKINLSKRFFQPYINNTSSRVEGYTGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSDRYFKTVPKSLFCLSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >KN541965.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541965.1:6576:9356:1 gene:KN541965.1_FG001 transcript:KN541965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MRSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSVAQKEDMAWASYVLLRNTNTTSVHRYLKKEKTSITGHSPTMKYRKLCIRGYWDPPEDISKYAMIEWFKSQMQKAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNGEIKAEGFILLASNSSYAYSEKDRLYELEILQPFILNTAVATYPILGRCNKLLTKCIL >KN541965.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541965.1:16167:17169:1 gene:KN541965.1_FG002 transcript:KN541965.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPANARDIKKDDKSVPNLVQLLEPSPQNTAKKYAISCLLTLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFSRNYMTTLSVFMAQKHQSYCTTTNHCQWLQLHDHIVSVHSTETPKLFVSCYKQIAE >AMDW01040535.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040535.1:90:1665:1 gene:AMDW01040535.1_FG001 transcript:AMDW01040535.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGVEFRRKRFVHCTLSLDDVKLVKNAMKCTVNDVLVGVTSAALSRYYFRKENDTNSEKRTRRKHIRVRSALLVNIRKTPGLHVLAEMMNSNKNNVARWGNLIGYIVLPFRIAMFHDPLEYIRQGKRTVDRKKSSLEAIFTYWSGNLIVKLFGIKTAAALCHGMLANTTLSFSSMVGPAEKVEFYGHPIEYIAPSVYGHPHALTVHYQSYMNIIKLVLAVDDAQFPDAHQLLDDFAESLRLIRQAASTKS >AMDW01033262.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033262.1:73:456:1 gene:AMDW01033262.1_FG001 transcript:AMDW01033262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TWEHVCLVNKEDEDGRKLVDGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLVFLKAIMEQARNLQTVILKEEEPCEDCEALGTPLSCIKDHDFPKSKDEQDNVVEQLREKISSDSQIIFQCL >AMDW01040365.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040365.1:75:595:1 gene:AMDW01040365.1_FG001 transcript:AMDW01040365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVENEEIILQVKVLPGSRKGTKITLPYEGSHFYGQLPHDLILTLDIAPHETYILYGNDLVVHWVLRLVDALAKCTINLKTLDGRYLKIKVDEVVYPGTTTAFNQTGSRSVTGGLVIEKDSLALLHSCKLAASCCGDGDG >KN542651.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542651.1:597:1986:-1 gene:KN542651.1_FG001 transcript:KN542651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPARLVVLVLVAVVVVVVGGDGGAGVIRQNNKKLWTCTD >KN542651.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542651.1:6780:7817:-1 gene:KN542651.1_FG002 transcript:KN542651.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRDYTNKWGPQHQTLSADQSSLTIWLDKTCGSGFKSRKSYRNGYFAARVKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTADFHHYAILWNPDAIT >KN548822.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548822.1:81:248:-1 gene:KN548822.1_FG001 transcript:KN548822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLINFAPQSGKQLM >AMDW01025180.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025180.1:19:336:1 gene:AMDW01025180.1_FG001 transcript:AMDW01025180.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKK >AMDW01038247.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038247.1:39:221:-1 gene:AMDW01038247.1_FG001 transcript:AMDW01038247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVIGPLVSMVKEKVSSYLLDQYKVMEGMEQQREILERKLPAILDVIEDAEEKGAFRPG >KN540302.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540302.1:5758:10357:1 gene:KN540302.1_FG001 transcript:KN540302.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPHSALCPVTVRSSPLLTEKSKNKTSAILAISIPTVAVLLAIGVGVAWFCSWRWRSRRLAAKTLRPDSSLDDMQSFGSLILDLSTLRTATDDFSEHRRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILVYEYMPNRSLDTILFDAEKIKELDWERRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFGRDQSQVITHRIAGTYGYMPPKYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDHDVDLIYVAWEHWTSDIAIELIDQSLGNNYPIDKVLKCIHIGLLCVQPKPADRPLMSAVNVMLSSTVRLPSLSRPAFCYQEIGASSDVNSEQNLLGPYNSTKMYQNEAPVTELEPR >AMDW01034553.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034553.1:108:503:1 gene:AMDW01034553.1_FG001 transcript:AMDW01034553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAINGEWKFR >KN540302.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540302.1:50914:57088:-1 gene:KN540302.1_FG002 transcript:KN540302.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DAILVYNQCYAQFSDSGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPTQCRICLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPAPAPAPDVVPATTLVKKNSASKILVIVLPIVAVAIVAAISLCMWTLRKKSRAAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLITKILFVLWVFAWKMERDAEQRRQLDWATRSRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGPYFAEQNEDLVSLVWRHWTEGNIVEMIDYSLDRKYPEAEVLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSSLPVPLAHRPISLSDGSSGYSQTVTQLSPR >KN540302.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540302.1:16426:34602:-1 gene:KN540302.1_FG003 transcript:KN540302.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSHQGLQELKNELILVAKLHHKNLVRLVGFCLEKGERLLVYEYMPNKSLDTHLFDTEQRKQLDWATRFKIIEGTARGLQYLHEDSQKKIIHRDMKASNILLDADMNPKIGDFGLAKLFAQDQTREVTSRIAGTFGYISPEYVMCGQYSTKSDVFSFGILVIEIVTGQRRNSGPYFSEQNGVDILSVVWRHWEEGTTAEMIDHSLGRNYNEAEVVKCINIGLLCAQQNPVDRPTMVDVMVLLNSDATCPLPVPAPRPTSSIDGSSGYSTGKNNSASKVLVIVVPIVAVAIVAATSVCIWNVRKKRRSRKAEHFSELDASEDLESVKSTLITLASLQVATDNFHESKKLGEGGFGAKRIIYLKGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGESGYMSPEYVIRGQYSTKSDVFSFGILVIEIVTGRRNSGPHFLEQNEDLISIVRRHWEEGNIVEMIDHSLGRNYPEAEVLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFATHSPTISIEGNSVKNNSANKILEIVLPIVAVAIVAAVSILLWNIRKKRRRGKAEHFTGPDAAEDFESVKSTLLSLASLQVATDNFNESMKLGEGGFGAVYKGLLFGQDVAVKRLAKGSNQGLEEVKNELVLVAKLHHKNLVQLVGFCLEEGERMLVYEYMANKSLDIFLFDEEKRRQLDWTTRFRIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREITNRIVGTL >KN539515.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539515.1:71353:72516:-1 gene:KN539515.1_FG001 transcript:KN539515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVDEQISAGKQAKHKHWWTRNWKWMVMACVPHVVRGDRPRGFDCGIKLKIPPRATRLAVHRSIAPRRKTIDDHPYFVASTDHHGRLLLCASQGPEPEPPVLDAFYRGPLGVHHGFPMAYFICDTRTRRCTRLPDRRLPILHPGNVCLVGTASGGFIVTDLHPTPGDQQAILFMYKSAPGVWKDRVVNYPPRDRPWGGNGVVVHEMMIWWVDLSYGLLAFDQLRRVVPGIHHYLRFIPLPDGCELPPGTADLDKCRCVGLRRDDLRYVQIHKRDGDGDPVVSMWTLDQLAGTWSFDCEASFKAIWNDEGYKATKLPPEVPTVALIHPEHPGEVAYFFLHSRLFGVDLRACSVLECEFFAMLNPPMRYHSSRFVRAWRLPVSNSGT >KN539515.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539515.1:98062:99225:-1 gene:KN539515.1_FG002 transcript:KN539515.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEAEAFEALEMHWGSNEIDGLWLVLVCMPHVVHGDYFVAPGVENGAKVGIPPRATRLIVHRSIAPRRKTIDDHPYVAGADSHGHLLLYATQGPQPEPPVLDGFYRGPLGVHHGFPKAYFICDAHTHRSTRLPDPDDRPILHPGNAALVGVSREKFFVADLQPTVGADHSSLLLYSSVSQAWLECELNYPPHDRPWGANGTVVYQKRIWWVDLSYGLLGFDLSAPHRGLHFIPLPDGCELPPGTADLDKRRCVGMEMGDLRYVQIDERDGDPIVRMWTLLIEDTGTWTLNRAARFHDIWNDEGYKATKLPQEVPTVALIHPNHPGEVAYFFLHSRLFGVDLRTSSVIEWHFFQMLNPPMAYHSSRFVRAWEIRLTPTSAVDDSGT >KN539515.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539515.1:43956:45121:-1 gene:KN539515.1_FG003 transcript:KN539515.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAQPSASLLLFSLGLVLLYFSSGSTIRLAEGQKTWCVAKPSADDKVLTANLNYACSQVNCGVIQQGGPCFNPNNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPST >KN539515.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539515.1:75442:79936:-1 gene:KN539515.1_FG004 transcript:KN539515.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPGADDHNAAAPQRVTKKSIDLAFFLRDPYLHSIGRAVGHAIDGGGGTEELDLTVEADIAVADLTDEHQVSLGRRFWSFFQACPVVFRWLTRLTVDDCVSGPDDIPTLVNTCGRLRFLELRHCDVVDDAVLEIDAPRSPLVCLKLHHCNFRRVDLIRVPKLRRVYCDTWIGDNPPEPFVLSEWLSDARSLSILYLNFRGQKIWVKPEDPKLLSPIFGNLRDVHLRNIFNGCDLNWTLFLLDAAPSLNSMYISLCRHTCEACECEYGAEKTNVTRKTSDFKHHNLSLLEMKGFEVEKRVMQYLRLVIQRAVCLKRICLLGKDPCMECDNVNKHRSLPPIKRRFIDETQKSLIRARLKNGLSTYVEISIG >KN539515.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539515.1:90411:93441:1 gene:KN539515.1_FG005 transcript:KN539515.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLLEWCYVSVPMVVVTLSRLVLAARQATTLDIRLIAVTAVMLAVDVAAIGFLECRSRREMPKPRGTRANASEIVTSFVMATTLDIRLIAVTAVMLAVDVAAIGFLECRSRREMPKPRGTRANASEIVTSFVMATTLDIRLIAVTAVMLAVDVAAIGFLECRSRREMPKPRGTRANASEIVTSFVMATTLDIRLIAVTAVMLAVDVAAIGFLECRSRREMPKPRGTRANASEIVTSFVMATTLDIRLIAVTAVMLAVDVAAIGFLECRSRREMPKPRGTRANASEIVTSFVMQIIRRKQPAFVFVVDP >KN539515.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539515.1:13254:18013:-1 gene:KN539515.1_FG006 transcript:KN539515.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSSSSPWAGRMAAAFGAPFLWLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSVAYFPWSIKPVYGLYINYFWKLFYFSGKWINMCTAFVDPTVNVKRILSDCIPIKQRKRTPYLIISSCLSLFPWLILGMSEPLRSSSNFLTALLIVQNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYIIFSALPLFQLISCIFVEESPKGFESRMDNAAPKHIEDQTIDASPGKSSSESYKYEGTRRRKGARRNNKRKSLSKRPEDHDKNKSTNLRPYLSLKSAFFSLCTAFKQRTILRPMAWFFFSNVAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYFKHKKLRNILMFAHVGLAIIGVLDILLVLRLHVHYGITDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALSISSAQFDNLAIGLGVQLIGTLLPVGFLFLIPKEVTGLTS >KN539515.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539515.1:87440:88742:-1 gene:KN539515.1_FG007 transcript:KN539515.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSRERDTAAAAVVVVEEEEVGDAQPQQEWILLAAVPDVRRSSRMFPPGTDFVLALKKPPHVSHVTVAARIAPGLQATPTRFPYVVAVDAGGGGGGGGGGVAYAIAELVPMLGTDHATLRLYWSATGLWLSKEVKYAGLGHPESWANDAVISHAQKLWWVDLSCGLLACDPFTEHQDLLFVPLPDGCVPPVAGTENDLIKHRCVTSSGGKLHYIQIHSRLGVPIISVWVLADPEHATWDCECHLPLSEIWSRRWVSRITRKKSLMVAAAHPVHTGMLLFVHGKNLFTVDVKARRMIRCTDLHATHCNPSCFFHSWPLNQPLNTEQHDTSANSSGMAFS >KN539515.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539515.1:49364:55735:-1 gene:KN539515.1_FG008 transcript:KN539515.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPPPPPSTWVILGSIPRVCGADEEGAGGGIPAGADLSLALKAPPRVSLLTIPSRIFPSATTSDNFPSVMAADPSGLLLLHADQGRAKGPTVIDRPGRQEFMWRQFVPGYFVLDAATATAVALPDPELVMHMGHMGLLASPDGGGRYVVAELQPILHADHATLLCFSSDVGEWVEKEVAYPFPPRQLAPNGAVSHSGRLWFVDLSWCLITCDPFAPAPALRFVPLPPGKELRCREAWGVLDKYRCVRVSAGKLRFVDMYKATAPHQRGPHKISVWTLADPDTEEWTLEHEASFAEIWADDSYKATGLPDKIPVLALIHPENPDVVYFFLEEHLFDVDVRARKVVGCEVYELVAPPSEVLATRFVRAWELPPALSSGLVCIPRVSAGENELPEGADLSVALAAPPRVAILTISPDVFPEPPTPRFFPFVLAADTSGLLLLQANLGIPMSREVVDRPRRQGLRWRDATSRYFVLNATTASAFHLPDPEEPILHQALLGLIASPRGDGHYMVAELQPLIGCDKATLLCFSSEVGEWVEKTVRYPLPPRPLAPICVFSHHGRLWWVDLTWGVITSDPFADEPVLGFVPFPAGKVLQCREAWGVADKYRYVGVSAGKMRFVDTYTAPRRGVPPKVSVWTLADPDSTEWTLEHEARFDDIWADESYKATGLSKKIPVLALIHPENPNVVYFFLEEHLFGVDVRARKVVEYEAYELVAPPSYCIANRFTRAWTLPRALSSGISNWSNATNLAEKAKSRPSRRAAKKSSRMMGSPGDYHLVVSNELNYLSYGVGVGHWEMTSTLMLACFWLSRCTVAKCRWNIVSFI >KN539515.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539515.1:3566:11905:1 gene:KN539515.1_FG009 transcript:KN539515.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRRVSFRDGRLASRKAEEAALRRHQAATWLESVIGPFGLSRCPSEQEFVAAVRNGIVLCKAINKIQPGAVPKVVANASCDSQPSTAFQYFENIRNFLVAVQELKLPCFEASDLEKDNIDAGSVGKIVDCVISLKSYHEWKQRGGSNGHLKHLKSPLATRSASHVQSEYVCSGSSATPKRLDLVETDTERQPNQNVGPNCQEAMERLQKVILDCMISCKENLDNDSLKKDPYKLVGTILSHQLEKEQFEPLLQLFSPEGVTVKNGSCPPIESSNSQVENRRRLLQAQESELLELKSMFQEVKIDFRTLKTQFQDDIIKLGDNVQGLSKAALGYNQAAKENKSLYNLLQELRGNIRVFCRIRPLINSESISSIEHIGNDGSIMVCDPLKPQTTRKIFQFNKIFGPTTTQDEVYKETQSLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLSSQDLGISYMALNDLFKTSTSREDVNILTVHVIGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYVETLSTLKFAQRASCVELGTAHANKESNEIRELKDQVENLKRALAAKELEKSSFKLKENTVVRERAKQVPERTPPRPRRLSLENTGIGKGSIPDRKGPKSPLSVTKLNRDHATIHDSIDGFNHHIMHQGSVMQMSATSSEDPVREETEKIITTVDTVPFCGLHPDAYISSKQSGLDTLLRTPCRSRNLNLEVGQTDEPSSSAKLEKMTSSNATRKGSHLRKSIQSSIGKLIHGSERRNVQHLGQATPAKIANSTNNDGPSSITPDLRLRRRQSLTGLPPPPSTMSRRSSLGGKSDIGSDKRGAKTPPPANSAAKPKRWL >KN539515.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539515.1:93740:95560:-1 gene:KN539515.1_FG010 transcript:KN539515.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPPMEKVDAAVKDNRWRKKDGRKWVLLACVPHVVRGDYFEAGMDNVIKAALAPRATRLVVHRSIAPRRKTIDDHPFVAGADCHGRLLLYASQGPEPEPPVLDGFYRGPLGEHHGFPKAYFICDTLKHKSTGLPDHGFPILHPGNAGLVAITKTIFHVADLHPIVGSDKAALLIYFSVPEIWATHVVDYPPRDRPWGGNGVVVHKTIVWWVDLSYGLLSCDISTRQATISGIWGYIHEREYDGKPVVSMWTLVDQDAGTWRLDCQPLVKDIWNDEGYKATKLPREIPTVAFIHPELPGNVAYFFMRSRLFGVNLYTRKVLEWQFFAMLNPPMRYHSSRFVRAWACPNSVLPCFTGLLILLVMTALMSQGILPNHFSTEYCTESVLA >KN539515.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539515.1:67017:68365:-1 gene:KN539515.1_FG011 transcript:KN539515.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVATWKRWKGQWVTEDGSELWMAYFICDTRTHKSTRLPDPGLPILHPGNACLASISRDAYHVADLHPTVVGADHATLLQYSSASGAWSSLELNYPPRDRPWGGNGVVVWPKEIWWVVLSYGFLALDLNAARRELRFVPLPVGRELPPDTGRDLEKSRCVGLNFGELRYVEIDERDGVDPIVSMWTLLDEDAGTWSFDCEASFKAIWADEGYKATKLPPEIPTVALIHPEHPGEVAYFFLHSRLFGVDLRACSVLDKRINCQRIHLIVCLLLAMAGEMRSDMLTLNTVTAFRIG >AMDW01035827.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035827.1:44:491:-1 gene:AMDW01035827.1_FG001 transcript:AMDW01035827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTSNSSSVMGLADDQSPQMSVPPSLICSNAMTDDAPFDNRPGQKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNVEEPEASLEKEPSLTQSVTAPDEQDKGALFYEPPRFPSLDVPFVSCDLVTS >AMDW01136924.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01136924.1:1516:5115:-1 gene:AMDW01136924.1_FG001 transcript:AMDW01136924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVIGKAAGALVQSVTRMCGVDDDRRKLERQLLAVQCKLEDAEAKSETNPAVKRWMKDLKAVAYEADDVLDDFHYEALRRDAQIGDSTTGKVLGYFTPHSPLLFRVAMSKKLNSVLKKINELVEEMNKFGLVERADQATVHVIHPQTHSGLDSLMEIVGRDDDKEMVVNLLLEQRSKRMVEVLSIVGMGGLGKTTLAKMVYNDTRVQQRFELPMWLCVSDDFNVVSLVRSIIELATRGNCTLPDRIELLRSRLHEVVGRKRYLLVLDDVWNEEEHKWEELRPLLHSAGAPGSVVLVTTRSQRVASIMGTVPAYTLSYLNQDDSWELFRKKAFSKEEEEEPEFAEIGNRIVKKCKGLPLALKTMGGLMSSKKRIQEWEAIAGSKSWEDVGTTNEILSILKLSYRHLPLEMKQCFAFCAIFPKDYQMERDKLVQLWIANNFIQEEGMMDLEERGQFVFNELVWRSFFQDVKVESFHVGIKQTYKSITCYMHDLMHDLAKSVTEECVDAQDLNQQKASMKDVRHLMSSAKLQENSELFKHVGPLHTLLSPYWSKSSPLPRNIKRLNLTSLRALHNDKLNVSPKALASITHLRYLDLSHSSKLENLPDSICMLYSLQTLRLNGWEKLQHLPEGMRFMSKLRHLYLVGCHSLKRMPPRIGQLKNLRTLTTFVVDTKDGCGLEELKDLHHLGGRLELFNLKAIQSGSNAREANLHIQENVTELLLHWCHDIFEHSGRDFDIGVVNNKKEILEFSLPPSRLETLRVWGSGHIEMSSWMKTPQIFLCLKELHMSECWRCKDLPPLWQSVSLESLSLSRLDNLTTLSSGIDMAVPGCNGSLEIFPKLKKMHLYYLPNLEKWMDNEVTSVMFPELKELKIYNCPKLVNIPKAPILRELDIFQCRIALNSLSHLAELSQLNYVGDWSVSKDLQVIPIRSWPSLVTLALASLGNSLLPDEQQTTMPPLESIRKLSIRYSSCFFSPNSSNWPFGFWDCFAFVEELSIILCDDLVHWPVKELCGLNSLRCVRFSYCKNLTSSSSEESLFPSGLEKLYIEFCNNLLEIPKLPASLEILCINECTSLVSLPPNLARLAKLRDLTLFSCSSLRNLPDVMDGLTGLQELCVRQCPGVETLPQSLLQRLPNLRKLMTLGSHKLDKRCRRGGEYWEYVSNIPCLNRDFIEERPNKGFAKRVLPCCSTLN >AMDW01040425.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040425.1:698:1534:-1 gene:AMDW01040425.1_FG001 transcript:AMDW01040425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYWTAVAPNVEEYGGKVANAIATGAGHLAKGILWCGELTVDRLRWGNEVLKRRMQPGDADAEVSPEMLRRIKRVKTVTKMSEKVATGILSGVVKVTGYFTNSIANSKAGKKFFNLLPGEIVLASLDGFGMVP >AMDW01038797.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038797.1:186:476:1 gene:AMDW01038797.1_FG001 transcript:AMDW01038797.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYEIAPVECPLQQNELYMHLYLRQADRGPNRDQEVILNPKVRPNDFGLTATTAWTISDSLDPSAKIVARAEGFHMQTSYNNTSWYASFNIVFEDD >AMDW01038928.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038928.1:180:647:-1 gene:AMDW01038928.1_FG001 transcript:AMDW01038928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKPDENGEAHIVLCRILMGRPEAVPAGSPQFHPSSDQYDSAVDNLENPRWYVVWSTDMNTRILPEYVVSFRWPNLPQIEGSSELGSKLKKPSPGATRDMFPMLLTEIQRFVPSPKLQTLQRTYNCFK >AMDW01031071.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031071.1:253:381:-1 gene:AMDW01031071.1_FG001 transcript:AMDW01031071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGL >KN544639.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544639.1:263:2997:1 gene:KN544639.1_FG001 transcript:KN544639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCFEMALKELLYEEIDTDDDGMDDIDGAQEEDEVALQSDGCSVDYIADGLRELDMENYDDEDGVIKDLCSGSSDLYYPSNDMDPYLKNKNNGLDEDEDDEKIEDKTVKPTDSMIVTAHSKDYNNYLKASIAATNKKCRYLKKWRMDIHICTLMMRLFFWASHYAFHGRTVVLWMVRK >AMDW01123463.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01123463.1:55:1099:-1 gene:AMDW01123463.1_FG001 transcript:AMDW01123463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSRLLCFLLLLLLLLIFLIDVAVGGVDDGFVYNGFSSSSSNLLLDGAAYIGEDGILTLTNTSEYNAQGHCFHPKPVPLFSYSSEQISTHTLSTMFIFSITPDRSSISVSGEGMAFVVSPSHHFSHASSGMYLGLANPGEPYNSNHFLSVELDTVHNMEGDLDENHVGSLSGTRSGARTEHKKKRITES >AMDW01036532.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036532.1:127:413:1 gene:AMDW01036532.1_FG001 transcript:AMDW01036532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVDPKQKKNEGLALPTGNKSMHALMNVVQALNSNQRFVPYRQSKVTRILQDSLCKSKTSGSVLIACLGCTKENSYQTSLTLTH >AMDW01040561.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040561.1:1137:1527:-1 gene:AMDW01040561.1_FG001 transcript:AMDW01040561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IYRLKCHVAGRKGVRSCSSAPPEAIKKCKDALDQVRITKKARKEKEQEVRDSINLDADGDEDEVAQSEALDEIGGSARRNVGPMDKFTLPMEPSDLMNTRTAVQQKQVSAIVKKRQHALKRFIAKWVYVH >AMDW01035713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035713.1:51:301:-1 gene:AMDW01035713.1_FG001 transcript:AMDW01035713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQ >AMDW01040864.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040864.1:351:2655:-1 gene:AMDW01040864.1_FG001 transcript:AMDW01040864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSIDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMNAPHEDGKWMQFLNEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKTGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARLQVEITDMEVFKDDKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHGLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVSELLQIMSLLLAPKQTNGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLASALAISTPAECDH >KN539375.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539375.1:72473:74551:1 gene:KN539375.1_FG001 transcript:KN539375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKETLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRGQEVGTGTFIDLLLMSSGPNTNTPCSICNNEGATTNTETNGVVSSCLNCFLSGGALYRFEYAVNPALFLAKARGGTCTLAPTDPDEVVVRRANHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAEQGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLASGRIRAVEDISQLVAPAEAQGLAVTAPVAA >KN541434.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541434.1:10:3898:1 gene:KN541434.1_FG001 transcript:KN541434.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDLIARQIRVTRQEAPLCAAASFDRDSANAFQLHVAESLAFATKRAGFLYGRVDADTKEVFVDFIYEPPQVGTEDVVQLMRDAQEEARVDAIAHGLGMRRVGLVFTQAVGRKTSDTGEYTMSNREVLQATELQAEGGIPEWVTAIVKLEVGDDGSGDVHFEAFQMSEICVKLFKDGVLETEIGDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSTGFPIENRGNPVAMSALKSHLDRAKHLPFVKRISDFHLLLLVAAFLDIKADVPALTACVKNQSVVPEGYQLLIESLAGA >KN541312.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541312.1:2721:2948:-1 gene:KN541312.1_FG001 transcript:KN541312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGDDDRVELVVDGSHEDTRMPMSDDERGYFRLVGEITSPASMTIMETLRSCGSESMTGDGDESEVVPTTVYI >KN539375.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539375.1:44052:44366:-1 gene:KN539375.1_FG002 transcript:KN539375.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRRRRRREAAREEEDEEEEGAAAVAVEVESAAPYEDFRESMVAMVVEKEMYAWEELNALLHQFLTLNSPRHHALILHAFADLWAPRSGLFCPPSPCQAL >KN539375.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539375.1:80305:80565:-1 gene:KN539375.1_FG003 transcript:KN539375.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARCARALGELNFSVDQISCYTSLGLPTTDGGDLILPSTLDQHAKYEPFDSLPEDALSLHDIISGDDDVWCNALGCQGLEAALCRP >KN539375.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539375.1:105024:106676:1 gene:KN539375.1_FG004 transcript:KN539375.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MPPPSSSAPRQLEDAVMARLRACVTFRDLLRVHGHVVRLRLSQSSYLATQIVHLCNAHRRVAHAARVFAQVRDPNLHLHNAMIKAYAQNHQHRDAVAVYIRMLRCPTSPPDGHAGGDRFTYPFLLKACGGTAALELGKQVHTHVVRSGCDSSAIVQNSLIEMYTRAGDLALAHKVFDEMRERDVVSWNMLISAHARLGQMRKATALFNSMPDKTIVTWTAMVSGYTTVGDYPGAVDAFRSMQTEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCKRHGMLTSTHICNALMEMYAKCGCIDQALQLFDGMADKDVISWSTVIGGLAAHGRAHEAVRLFTEMEKEGKVRPNAITFVGLLSACAHAGLVDEGLSHFDRMGDVYGVEPGVEHYGCVVDLLGRSGQIRRALDLVRDMPVPADAKVWGSLLSACRSHGDVDTAVLAAERLVELEPDDVGNLVMLANVYAAARRWSDVASTRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEGLSSELGGLAGVLDILASHLADDDEDIDFTDSDCTVYAKLTND >KN539375.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539375.1:27173:29274:1 gene:KN539375.1_FG005 transcript:KN539375.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRENSRYIRKAIFLSIYIFLYRISLTNMKVNKGVKYDGGGDDDDDDDAASFGGGVAVAFDSEDPYEDFRESMAEMLAAHGVGDWGWLEAMLGWYLRANGKETHAAIVAAFVDLVVSTAARGSSSSRHSSFTLAGTDLESSSAGGGAAGHVTFRLR >KN539375.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539375.1:94423:96010:-1 gene:KN539375.1_FG006 transcript:KN539375.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGTPCNNNNNNRRRPRRPIRQGYNGHDQRGQQQVNNYSHGQRQVYGINGQDQRQQLNNNGRDQRHQVYSSNGHDQRQPVYNNGHDQRQQVYNNGQDQRRQGHHGYRKPESYQGGQGQLQYGYSAPNRQRQQQQQQQGYSSGRPSTGVQYAGEESYGSRQIPANQQHQHFRGQQRHVVKLYHPYARGFDASDDMATNAGKQARTNERRVGERRSRVVEVVASPLPPRPPLQGARAGAQEWRRSHGGKQETAGVPGRPANDGDDDPNLTYPDLHSLLELVGQVPPRSMSLELVGRLEPCHREVTDRLNFTHPDLCLLWISLALSRLQIVGRRRGSSARLIDLRRREEERKHSRID >KN541434.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541434.1:11618:12813:-1 gene:KN541434.1_FG002 transcript:KN541434.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAAGLKKGFYKKSCPKAEEIAQRVVWNRVAGNPELAAKFLRMFFHDCFVRGCDASVLLDSASNTAEKNAAPNLSLAGFEVIEEVKAAVERECAGVVSCADIVALAARDSVSYQYRRSLWEVETGRRDGTVSSDQEALADIPAPTSTFPILLANFSAKGLGLQDLVVLSGTYTISCIACIDH >KN541312.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541312.1:14488:17771:1 gene:KN541312.1_FG002 transcript:KN541312.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPLLLFVLFFSALLLYPSSSDDDDGGDAAGDELALLSFKSSLLYQGGQSLASWNTSGHGQHCTWVGVVCGRRHPHRVVKLRLRSSNLTGIISPSLGNLSFLRMLQLSNNHLSGKIPQELSRLSRLQQLVLNFNSLSGEIPASLGNLTSLSVLELTNNTLSGAIPSSLGKLTSLTDLALAENMLSVISNKLSGTLPTNAFSNLPSLQEVYMYYNQFHGRIPASIGNASNISIFTIGLNSFSGVVPPEIGRMRNLQRLELPETLLEAKETNDWKFMTALTNCSNLQEVELGGCKFGGVLPDSVSNLSSSLVSLSIRDNKISGSLPRDIGQIPIEIFSIPALSEILDVSHNNLEGSIPKEIGKLKNIVEFHADSNKLSGEIPSTIGECQLLQHLFLQNNFLNGSIPIALTQLKGLDTLDLSGEFDSQDGEITSLVAVKVLKLETPKALKSFTAECETLRNTRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWLHPETNDQAEQRHLTLHQRVTILLDVACALDHLHFHGPEPIVHCDIKSSNVLLDADMVAHVGDFGLARILVEGSSLMQQSTSSMGIRGTIGYAAPEYGVGNTASTHGDIYSYGILVLETVTGKRPTDSTFRTGLSLRQYVEPGLHGRLMDVVDRKLGLDSEKWLQARDVSPCSSISECLVSLLRLGLSCSQELPSSRMQAGDVINELRAIKESLSMSSGM >KN539375.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539375.1:10444:12586:-1 gene:KN539375.1_FG007 transcript:KN539375.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADGQRKRGSGDGLRAVGDGGWHAAAARQLAALWWILCAWPVPFGAMVKMATEDGGFSLSPGYGNSNILHLHVVDVGAMDPCTGEERAKVVQHTVQLSWRSALQCWLAVTLAKQQLLFMST >KN541312.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541312.1:7914:8459:-1 gene:KN541312.1_FG003 transcript:KN541312.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAAELHEAGIHFKLSDRKGFAGGVSFEGGVLSIPRVLFWDNAERVFLNLMAFERLHPGAGNEVMAFVYFMDNLIDTAKDVALLRSKGIITSGLGSDEAVAKLINKILTKGAVMSPDSSINDVLREINAHCKKPWNKWRATLMHTYFSNPWVFISLLAAIILLLATLMQTIYTVVPFYNK >KN539375.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539375.1:47586:52595:-1 gene:KN539375.1_FG008 transcript:KN539375.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDIFLFYHRDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLDNAERNFGPGYRSKQPTEYGPAIAHRFSDLMNQQYLQDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPNPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSVVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNISDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVPKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIRLGNSQAMPAPLEFMTAASKKDISFYSNSVVDCVEKVEPSELFESNSPAYLTPPWTGPVLDAKGENGLTAISCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKNSYQSFKKQSDSHSGPPVDDPVQTIRLNSFLASDEWPTSETVQGEARDTAASRLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPEANLASNISIPSIPEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >KN541312.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541312.1:5014:5235:-1 gene:KN541312.1_FG004 transcript:KN541312.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRGSKKATNSDDDWQLETSMIGRRQERRRDFGGCWVMGMGKVVLSPQQQKKCGRKKWLRNAVNANDMEEE >KN539375.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539375.1:111028:112350:1 gene:KN539375.1_FG009 transcript:KN539375.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRLHHSARGLLLVAPAAVPPGVAGALPAAENGRGGGPLAVSSFNTNTIVLLALLVCGLVAAVALHVVLHLFWVLNS >KN539375.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539375.1:68350:70220:1 gene:KN539375.1_FG010 transcript:KN539375.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIARFISWHSGRPAHAAELLSFHSAEYIEELVQANAVGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFRPELLVFVVGQDSSTFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQALLLDDPIAYYPEDEKYTMKVVDIMKKCWKESIPFLKDI >AMDW01039366.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039366.1:117:569:1 gene:AMDW01039366.1_FG001 transcript:AMDW01039366.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AFFGVFDGHGGKSAAEFVAENMPKFMAEEMCKVDGGDSGETEQAVKRSYLKTDEEFLKREESGGACCVTALLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDERERIENLSVLI >KN539582.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539582.1:35787:38314:-1 gene:KN539582.1_FG001 transcript:KN539582.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEARGGGGRWYAGGMSTADNIKGLLLALSSSLFIGASFIIKKKGLKKAASSSSSALRAVVVGEVANFAAYAFAPAILVTPLGALSIIIRHVFHLRAILAHFMLREKLHIFGILGCILCVVGSTTIVLHAPAELVLAMVAILVCRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFCGTNQLIYPQTWAFTLVVLSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEACGFVTILSGTFLLHKTKDMADGLSTSSSFRLPTSSSFRFSKQTDEECEGIPLRSSESFRSPP >KN538782.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538782.1:108878:110151:1 gene:KN538782.1_FG001 transcript:KN538782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIARRRRGDHQGGVAAAGDGGGDEAACGFSSGDSSATTTLRSPASSSLTDDGGEVTSWTSADGGGGGYCSFSCSSESELELESDDDDEEEEEEMMQLDGGGHAAGGPLYELAAPLLAQLPLRTGLSKYYQGKSQSFTSLCNARCVQDLAKKTTPYITRMKLQLRRGHGVADRLSNSRRAPGPCSKTMAKKATRCSSDRLLSRAREHKPLHSSSRTPAQQSKKELSRC >KN539582.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539582.1:73651:74123:1 gene:KN539582.1_FG002 transcript:KN539582.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGAAPVGNAASGEKIFRTKCAQCHTVERGGAHKQGPNLHGLFGRQSGTTPGYAYSTANKSMAVVWEEGTLYDYLLNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA >KN538782.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538782.1:162154:165527:1 gene:KN538782.1_FG002 transcript:KN538782.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVGATLYLYLFLFLLLLHLHCHQCKPAAAAAAASFVVHGGGGATARAAAVRAVFVFGSSLVDNGNNNHLNGSGAVRADYAPYGVDFPLGATGRFSNGRLGEVLSLKQQITNFEAVTLPDLRAHLQGATTATTTTGHKMKGQDFFDQCYLPKSLFIIGTGGNDYLLNYFNAGSGPTRAPLSEFTSSLLTKLSNHLQRLYDLGARKFVLFSIQPLGCTPVVKTFLNATSDACIEPMNHAALLFNSGLRSIVKNHNGGVRSHMPGASFVYVNSYKIISDIIQHPAKYGIRKTSQPCCEVSRGGVLCQKEGPICSDRTKYAFFDGLHPTDVVNARLARKAYGSNSPDKVYPINVKKLAMR >KN539582.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539582.1:18317:25732:-1 gene:KN539582.1_FG003 transcript:KN539582.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICGDLDDDPTPPAPTPLAAPTPNGLNDRLLRLTRTHQRGPSQNPNPNPNPKPPPPPPPQEPEPAKVKLAGRRRLCKLSTAGDESAGDDDSIRDILDDLTTRLDSLSVDRPTARPRPHVSPLPCALHADPDPSQSQLNDGTKPSSSFVDCDDDDDDGGAYGGFGVKEEVTRKVFKASSSSFGGRGNDDKMKAKGAYAFDTVSRKTTTESMASKFFGDYDDEDDIDQDAENGKENHADDVGWEKTEDFKMEPTGTGVTRKPYKLPGRIFNMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLVVAPKTLLTHWTKELSVVGLKDKIRDYSGPNANARNYELKYAFKEGGILLTTYDIVRNNFKMIKGNFTNDFDDEEETLWNYVILDEGHIIKNPKTQRAQSLFEIPCVHRIVISGTPIQNNLKEMWALFYFCCPEVLGDKEQFKARYEHAIIQGNDKNATNRQKHIGSNVAKELRERIKPYFLRRMKNEVFLDSGTGEDKKLAKKNELIIWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDAMLNNQEMGMVEKMAMNLADMAHDDDDVELQVGQDVSCKLSFMMSLLQNLVSEGHNVLIFSQTRKMLNIIQEAIILEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQMKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEHKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLNENDGLDSNRRAMPMAKHYYKGASSDYVANGAAYAMKPKEFIARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPLERKGPTEVICLDDLSV >KN538782.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538782.1:52741:54521:-1 gene:KN538782.1_FG003 transcript:KN538782.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTTSAPSVPEVAAPADGGGHHVYVSLPQCTDGGDVEDGHCRLAVHQVKCRGGGDNGGGGGGGGGGGMVMPAAGETVREAAALCRLACPIALTALMLYSRSALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGARQPRLLGLTLYRSVLFLLCCSLPLSALWLNMAKILLFLGQDRDITAMAQDYLLFSLPDPFSFSLIHPLRLDYLSPNCN >KN538782.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538782.1:119646:121486:-1 gene:KN538782.1_FG004 transcript:KN538782.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGHLAAPLLDGGDGGGGVDDAALLRRLYVGHFLARWGARMWEFSVGLYMIRIWPGSLLLTAVYGVVEASAVAALGPVVGAVVDRLSYLRGGGDRRRTTGGGADGDQLGDPADRPELQAAGAGAVRDGVPESDRCVVGGVQNSLQSMFDLLTYVMGIIVSDPRDFGELIVLSFFLVTCAAVMYTMHVYRVRKHLFHLDRILSKMNWIKVS >KN538782.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538782.1:142070:142534:-1 gene:KN538782.1_FG005 transcript:KN538782.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVAEEAGGGGGGHRQLIGSRIEEHRKYMSEESCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRPGGEAAAHPLIDEFIPTIEGEDGICYTHPEKLPG >KN539582.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539582.1:38798:39747:-1 gene:KN539582.1_FG004 transcript:KN539582.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSERERERSQAREWRSVARRRSWETSSVWAWAWAWKRTGRIRIVTGGLASPNTEPKASMARPACLTRLWSGNSGGFLHGGATASLVHLVASAVFHTTGNSSSSSTSSSPLEMNISYLDAAFPDEEIEIEAKVLRAGKAVGVALVDLKKKSGKLIAQARYSNYLAPSSKL >KN538782.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538782.1:23842:25869:1 gene:KN538782.1_FG006 transcript:KN538782.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMFFDLSGNHLSGSIPSWIWSLKRLVTLQLYANHLSGQINAPIEATNLVEIDVSSNNLSGQIPEDIGKLEELERLFLSNNHFTGSIPDSVGLLPKLINVQLSQNSFEGILPQELGKHSLLFNLETHYNNFSGTLPEGLCSKGALAYISMSANMFSGELPASLLRCNSLNYVWLFNNNFSGTFPAGLTEVQIQEVNLSGRLPSNWASNLVEIDLSNNNFSGRFPNTIRWLKSLGVLDLSENRFSGPIIPEIEFMNLTFLNLSDNQFSGQIPLLLQNEKFKQSFLSNPGLCSSNPFADYPVCNERHLKNRLLIIFLALGLTSVLLIWLFGLLRIKVLPRRQNENTTTPRWKLTAFHNINFNYQDIICGLADNNLIGSGGSGKVYKICLHNNSYRFVAAKKIVSDRSRSNMLEKHFQAEVEILGSIRHANVVRLLSSMSSTESKVLIYEYMENGSLYQWLHQKDMRNNNEPLSWTRRMSIAIDAVRGLCYMHHDCSPPIAHCDVKPSNILLDYEFKAKIADLGLARALAKAGEPESISAMVGSFGYMAPEFGSSRKINEKVDVYSFGVVLLELTTGRFANGGGGYENLAQWAWRRFQDEHFQLIDAIDGDIQDPAYLQEVQLVFKLGLICTGTKPLSRPSMKEVLQVLQR >KN539582.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539582.1:49062:60428:-1 gene:KN539582.1_FG005 transcript:KN539582.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTLDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWVYAADTERCSAMSAIMWELQRKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCVLESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDNIHTQQLAEIISCFSTDLLNSVSRDGSLGGGLMQPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHLELETRFEQGSLVDICNTTNATISNAACSASTVGVDFKVKMVNTGGKKLKLAIWDTAGQERFRTLTSSYYRGAQVQIDFSVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASSCC >KN538782.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538782.1:135267:138840:-1 gene:KN538782.1_FG007 transcript:KN538782.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAHQGTVMLRQPEPPYNYHHQEDEQPHQPRKFDGRSTSGSGLEEVIMGCTSRRSKGGETSGGKDGTEWQYPSFWPSDSQDHHGWKFHLSAQIYMSKETKMILKQSKRERQKKKKERKNKGDITRKI >KN538782.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538782.1:80927:86227:-1 gene:KN538782.1_FG008 transcript:KN538782.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNATAAAAVAEQPQKQYGITKPISLAEPAEVDLQKTAELEKFLVEAGLYESSEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEDSSLMMSICLMVELPNNAKVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDISKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDIGMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHPQQSNKNDVEDGTANRSPDGQPKRKHDTAGVYDSEPGRSVKRASISPSISPVHQKTSSPPSGNIADASGASGGSPVSLANGNLEQANCLNSPLASEKSLDSVTSGSKCVGVEAVCPSDATKERDNCGSNMKNCTTTTVAVSLKRVAEKVVSELVGSESLGGNKSGELLERAEDMGSALVENVHFGGNGVVQTGLPEELEVFSGSLLFEIKMSLYMI >KN538782.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538782.1:6773:8068:-1 gene:KN538782.1_FG009 transcript:KN538782.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSNACLLPVVIGGVLLLSAGECSSGQLTAGDRDTLVAIRKGWGNPSLLTSWDPASASDHCSWDGVTCSDGGGGGRVVTELSLSKMKLNGTVPAAVCDLGNLTRLDLSFNALSGVFPAVTLYRCSRLRFLNLAYNALDGALPRDIGNLSLAMEHINLSWNSFSGAVPPGVAALPALKSLHLNSNRFTGVYPAAEIGKLAGLECLTLADNAFAPAPVPVAFAKLTKLTYLWMSDMSIIGEIPEALSSLTELTLLDLSSNNLTGAIPAWVWRHEKLEYLYLYESNLTGELPRNVMTENLIEIVLSMNQLRGEMSEDFGNLRNLTLLCLFMNNLTGTIPASIGLLPKLSTIWLDDNNLFGELPPDLGKHSPLSSISISNNNLSGPLPETLCANGELYGIYANNNNFSRNLPANLGDCVLLQELVLDNNRFSGDFP >KN539582.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539582.1:12000:17073:-1 gene:KN539582.1_FG006 transcript:KN539582.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAMKMKMKIPRRVLGSLYPHQRDGLAWLWALHCTATGGILADDMGLGKTIQEGGILLTSYHIVRNNYMLLRGNGNGNNVDNNEEEPLWDYVILDEGHIVKNTKTQRAQSLFQIPSAHRIVLTGTPIQNKLKRRLYEAFLNKDPVRSQTGALKGSSLEASTILRKICDHPLLLTKRDTDDFLEEMGAMLNNRDMCMVERILEDNLYADKRLQIVQGASCKIAFILPLLRNLVEEGHYVLIFSQARVMLNLIQDAVSIEGHKFLRIDGTTKISERKKIVKDFQEGLNSPILLLTSHVGGLGNTLTKADRVIVVDPAWNPSIDNQSVDRAYRIGQTKDVIVYRLVTCGTIEEKIYKQQLYLQNEQEYSSLPPHGFDASLTQHKMQVENGQQLVMDESLKKHIQFLEQQGIAGVNHHGVLFRKTETTATLGDDGAINRKVRDIMVRRCYAPWEHICRDVEKKSLIDQVKEMSKKMDGLGDTMGRIAALEEEYTDELIGMLHENRWEKSHLEKIRMQIDDLHEEHMAKFDEMLERIKRMELADEGELIAKFGEMVERMKQRCDMDRLSLPLLSSTLVA >KN538782.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538782.1:154117:154689:-1 gene:KN538782.1_FG010 transcript:KN538782.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRERGVFNASEMVVEIQSVEALGNKDLFVVGRSPECIPPCCSWSPLLSVSIGLLLNTKWTIELVILNIARNKGIMSDQSFTVLGAGVRAGAESLITAMVSPFLAMVVKPRRGASCSTSGARWRGLTRNRNRSSASWHASTCHVMCPRY >KN538782.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538782.1:96379:97199:-1 gene:KN538782.1_FG011 transcript:KN538782.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIVPQVEEKSSLLLVVVDGVGGEQQGGGGQEEAGAAMETGSRGGDGGDGGAGGGRAGAAMWGGARCKRRFSGGTEKLGIIEIHGPKPILRAYTEEKWWLC >KN539582.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539582.1:75854:76027:-1 gene:KN539582.1_FG007 transcript:KN539582.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTMQSVAPKNVVSSVTYTEKMIKSVVSTTEYGMKLVMTVYKKVAGARTVIARKI >KN539582.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539582.1:81634:82095:1 gene:KN539582.1_FG008 transcript:KN539582.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGLQAPSTDGGSANGWCTARASVGNFVAAHACAAWLTIQGRWEWHSATTWRQRDSWRGASASSGSGANSGCDRYNLAQEGGRALASLSPCGARIWCTVFSTTPSCMWRRVEQMVGRRFLKDLGRLGGSGIGGLLLQG >KN539582.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539582.1:85352:89457:1 gene:KN539582.1_FG009 transcript:KN539582.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNTERARKALEAMKQLGFSKKEATPVLKNLLRLFGNNWEPIEDECYRALADAILDRHQVSLSPKPTFFFCLHFDSTYLAAGVLQETAADRGCSATRPTPDDDHHSLTLCGASRDVDTETDEPRTKKPRTTNSAPQSPPSLTDDQDVPAAISPPSHGASPQFRPQTRASARLRQASPSSVTAAHKRPRQMMDEDFQDSAFLREPKPEPDIDMDAIQGTAATSDCPNAHLGLIDYPLNASSSRVALPLALLPPDQNVPQISGPKKKAIQPCSKVNTGEGSSVIDVASSTMGEVKMSLKCSVDPKFRMPSLEAVFKMVEDKYLHSYKILPPEFSIGSLMNEICQCVVQLGSDHIAEHNTESDVAGNGRCSQNEPMTGSIPFVKPIACEDGGNRKCKSAGESFIVEDSENSTVAKQQTHLALANLKPIHDVTDISKGEERVRISVANEFASEKCPPSFYYIRGNLVFQNAYVNISVARIGDEDCCADCFGNCLSAPIPCACTRETGGEYVYTPEGLVRTPFLDECVSMNRFPEKCHKFFCKSSCPLERSRNEASPEPCRGHLARKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTGEGKGWGLRTLDELPKGAFVCEYVGEVLTSTELHERTLQNMNNGRHTYPVLLDADWGSEGVLKDEEALSLDSTFYGNVGRFINHRCYDANLVEIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFGDGKDPVKAFQCLCGSRYCRGIRHPRKRGKAAAK >KN539582.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539582.1:44355:46583:-1 gene:KN539582.1_FG010 transcript:KN539582.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEREILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWMAGLRRTAAAFGYFVVLVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPSCWVFMHYLSLFKWPFEALLVNEFAGGGRCVARVMGACVATGDEVLRREGLGDECRWRNVGVMLGFVAAYRLLGYAVLRARCTLALRPRPSRPTLGLMSTGSSPSSTSPS >KN539582.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539582.1:28547:31365:1 gene:KN539582.1_FG011 transcript:KN539582.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MPMPILLLLLLLLPQGQGHGGAGDICIVGSGISGSSTAFFLTNYTTALSGAQLRVFERRAKVGGRLATITVSGDHFEAGGSIIHPRNLHVRRFADLLGLEAKTDGDDDWLGIWDGHRFVFQTLRPLPPGTSWLRRKLHALVNSLRLFKRYGLSLLKMDRFVQEMLQRFMLYYNGFESRPVFDTVEEMLKWSGLYGLTRRTLEAELLDAGLNSQTISELVTVITRINYGQSVSISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLEAANATLHLQEGIDSIEYAGDHYILKSNKGLEYNCVATVVATPLDEVNITFSPPISIPVRKTQHTHATFVRGILNPKFFGLSSVSDIPKLIGTMEVPEIPFSSISILKKYSEQDMTYKVFSRVKLNESLLDQIFSGRKETIRINWPAYPHYEAPEVFAPIILDGKQLYYVNTFESAASAMETGAVAAENVARLLIARLRLPLPSPPAAPAPDHHDQRADL >KN539582.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539582.1:2839:11493:1 gene:KN539582.1_FG012 transcript:KN539582.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSHTRLDVRRAQQQHSSFCSPSAAAAVLVALALVAVWMASSTLVTPADFSPFHPTTTTTTARPRNRMDPVTVEEDADDPPPLTLTQTETGPGGDNGSHSHSLETWPTQAAQSNSNTKDTPHNKQQQQTASPTPSSYAWKLCNTEAGPDYIPCLDNLQAIRNLRTTKHYEHRERHCPQHPPTCLVPLPKGYTNPIRWPNSRDQIWYNNVPHTKLVQYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKRTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRVNRVGIAIFRKPTDNSCYEARSAANPPICGEYDDPDAAWNISLQSCVHRLPTDPAICGSQWPVEWPLRLEKPPYWLKNSEAGVYGKPAAEDFQADYEHWKRVISNSYMNDLGIDWSAVRNVMDMKAAYGGFAAALRDLKLWVMNVIPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKRYNLDLSVNVNTKPKIYYHFGSTGTGAQYSNVTKSLYGCAERRIMWCKLVAVMVEVDRILRPGGRLIVRDSMETMHEVESMAKSLHWEVRKSYSQDNEEAHQIGRQAAMRVAAAAAAAAIRPTAALLLLFPPHRRTASLRRSSLPFARPRRHSTTTTTAESHPKPNESNAARRRRARDSPEGLLKAKLDMCSRDNDLPTALALYEAAISPDSLIPLSLGHYNCLLYLCANAAASSPDAAQHEKVRRMDGHRRDPGMAFSIVRRMATAGTAPHLRSYGPALFAYCDAGDADGATEVEAHMDASGVVPEEAELAALLRVNSARGRPHQVYRLLHRARVLLRQVADATAQLLESWFASHAASEAGLDHWDATKVKQGLRNGGGGWDGQGWLGKGQWTVARTEMDKDGTCHRCGEKLVCIDIDPSETHSFAESVAQIAINRDANFVKFQILIWSTVINFVEFPLQGHAMHYYFTFLLGRIASQPFVLLLVLTQIYCIKWLECHGPFDAVIDAANVGLYNRNSFSFNEVNRVVNGIQRITKSKKLPLIILHKNRVNGGPAKLPQNQKLLESWQRAGALYATPPGSNDDWYWLYAAVIYRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRNLKREAGMYQQQMVMILRNHDSGFVLLGKIQGNRLKHLQGQLGDGFKSPSLSIDGIGAWEWQPNLGPESFIVLVGRKETRRARRREGGGILAYTDTGPGEVWRRALHEHDDANRSCGGRFFTDGVAQRRPVLLLRRRCPEPEPEPEQEPARQDGRQLRRRGLRPALFLAGKAAKLLGPPTSFDAGKLTVEFAHSHGNRFPRAYTLTHCDFTANLTLAVSDTIAADRRLRADDVFAEWKQQQQQHQEGMALHVHCFVSGANLLHGLAAGFRYYVFSKELPLVLKAVVHGDALLFAEQPELLEAKTMHKRHALQLDGRLEQLHNAITKGTRRRRRKWSSPDAIFSALLALLL >KN539582.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539582.1:64537:72754:1 gene:KN539582.1_FG013 transcript:KN539582.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDGGNLHGDGTRNQIQRVGDSSGTLALLRLWPTGRRGAEVEEVATLVWQQEEGDDVEDDGAEAAQTLLSLGQVGRVRALLGRRSSEQRPAHGWVLGGGADVHEGIVVGLLLDGLLRGDESLSRVGVPCGARAEQRRLRLRLLVAISKLDTLYVVVDLEAGAATATDSGRALSSSPPCWLLPPDPIPSHPMGCVGSKQFHAANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQIGEGTYSSVYKARDLENGKVVALKKVRFANMDPDSVRFMAREIHVLRRLDHPHVVKLEGLVTSHMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVFFSRLVLVSMLFHLHCPHVIHLSCFFQGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEVEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPASRGTAASALESEFFTTKPHACDPSSLPKYPPSKEYDAKLRDEEARRKRMIALKGQNNETRRRKQPQAGNGDLQQRRAQANRKGTRMDDGIRGFRIDPPARVGENGIAQRVPLLHAGRSSSTLGRSNETDEKTQRFYTSQMSNLSCAAEPRGSATQSSNHGDGATAKRPHLREHPSRSRYRQLTAVDSSGRSEWAHQFQERQSSSHRKEGGASNKEHNVVNGSKKNRIHYSGPLMPHGGNMEEILKEHERQIQQAMRDMKECMKNCYRRGDRCKVYN >KN539582.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539582.1:78030:80801:1 gene:KN539582.1_FG014 transcript:KN539582.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MAPSLSTAVSSLLLLLLAAAISVSSSPPMPEDSIRIISAEKRIDLTSPIVKVFLTLKVENDATAPEASQVLLAFTPTEVEHLAIVKATRAEGKRKKKIYVPLSVKASDLAAAPNGARLYSILLSTPLKPAEVTTLEVFYALTHSLEPFPAEITQSDPQLVYYRDSAVLLSPYHVLEQVTYIKMPSNRVESFTKVDPTSRAGNEVKYGTYNNQLPNSYVPILVHYENNRPFAVVEELVRKVEISHWGNVQITEQYKLKHGGAQHKGVFSRLEYQSRPSISGVSSFKNLLARLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRHYINLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLEC >KN539582.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539582.1:32575:33934:1 gene:KN539582.1_FG015 transcript:KN539582.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPPRLLRGLIPQLLSVDAWLPCTCSSRLQLLLSHFHCRLHLRWPSCADAFKLLLALLLVSAALAEVRYIASSSMAPTLRPADRAVAERNYGVNKDVVFIKRILATPGDFIEVRQGQLIINGVARKEHYTASHASYTMEAMDPVLKPCMILQRLPEGHVFVMGDNRNNSCDSRAW >AMDW01040429.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040429.1:2:657:1 gene:AMDW01040429.1_FG001 transcript:AMDW01040429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGGGIGANAGVGGDAKANADANASGGGGAVGGQAGANANANANAGANVGIGASKHIGFGFGAGGSFHFRASAKAHANANAAISGSEGSNIGAGASASKSVGAGIGAGVGVHTGMNIGFHGGIGGNANVGSNAGIGASVWRSYDKIRLSLTT >AMDW01035537.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035537.1:7:540:1 gene:AMDW01035537.1_FG001 transcript:AMDW01035537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPLDSITVPRPVLSQTDANSNSPSNSVNQAAVDSLPPSLEHYYCISKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFV >KN542144.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542144.1:12710:18237:-1 gene:KN542144.1_FG001 transcript:KN542144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLGDGVGAVLRVQVSQVLYPVTGEVLHQVYNTYGAVAVQVLTMSPWGVEASVWFRSSCDAERARSVTHGRNIYDGCCLLDVQHAQSFKGNGADMMPTKCSTPVPSCGITKSDAESTPTTLEHVFLTTMNPSTPSAASAAAVPPVSLTATKEDEVDMGKVEDKSDKTFHDLCVEIKEMINQMLETCRNSKVEPIAGDDSTGVAVVPCSVTNSVPIALEASQEIVADESDGNNLAREEDCVEKTAEGPGFGEHLTFCVGPLHAEPLAVTSVAQPQGRHCMRARKMKEQKMQMARFELIVFILY >KN543011.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543011.1:6523:8387:-1 gene:KN543011.1_FG001 transcript:KN543011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTILPLCLGLLLFFQVSMAQFSFGGSPLQSRRGFRGDQDSRHQCRFEHLTALEATHQQRSEAGFTEYYNTEARNEFRCAGVSVRRLVVESKGLVLPMYANAHKLVYIVQGRGVFGMALPGCPETFQSVMSAFEQEMATAGEAQSSSQKMRDEHQQLHQFHQGDVIAVPAGVAHWLYNNGDSPVVAFTVIDTSNNANQLDPKRREFFLAGKPRSSWQQQSYSYQTEQLSRNQNIFAGFSPDLLSEALGVSKQTVLRLQGLSDPRGAIIRVENGLQALQPSLQVEPVKEEQTQAYLPTKQLQPTWSRSGGACGQQDGLDEIMCAFKLRKNIDNPQSSDIFNPHGGRITRANSQNFPILNIIQMSATRIVLQNNALLTPHWTVNAHTVMYVTAGQGRIQVVDHRGRSVFDGELHQQQILLIPQNFAVVVKARREGFAWVSFKTNHNAVDSQIAGKASILRALPVDVVANAYRLSREESRRVKFNRGDEMAVFAPRHGPQQYAEWQINEK >KN541242.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541242.1:20:466:1 gene:KN541242.1_FG001 transcript:KN541242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIPARYPPALFVQMPKDGERAREVAASMGKLRGSRVSVREIQCGEFTVSAQFLAARIPGLTLAVADGLVDVLRRKGFLDEKGFLKNDGRSTPWKKAAEEAKILPEEFRLERHVTEELNLAYAYHEFTSLKNGEIFDWFESHMDHKS >KN540762.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540762.1:34:952:1 gene:KN540762.1_FG001 transcript:KN540762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQREEHRRELEELKKADKEARDVDKQELLSMSTRKGKSTSAASYIGPHQLKEQLAARKRSFANKKKDQGGKSQDKAI >KN541242.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541242.1:12917:13853:-1 gene:KN541242.1_FG002 transcript:KN541242.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPPSAGGGGGGGKPDRKTTERLRREEMNKLYSHLDSLIGDGYGGIERVVQRLKEEIRSN >KN541242.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541242.1:2031:3065:-1 gene:KN541242.1_FG003 transcript:KN541242.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLLISAVLVGLLSLSSCRSLGELSEQKTYSSTPQYGGSPTPSYGSDGGYKPTPTPTPAYGSTPTPSYGTTPTPSYGTTPSTPSTPDVPEVPTKHDFCGSCDFWKNHPDVIIAAIGSLGDIGKTLGTACSLITGKKLENLHDALSNTGTDGTGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAMTSDGAASSQAEIFKKANDYHYKY >KN540762.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540762.1:39864:42550:-1 gene:KN540762.1_FG002 transcript:KN540762.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AALNTILGRWGKKASLEWNISGELCSGFASAEIDWDYYPTINPFIKCDCSFSNNTLCHITKLCSTIPVDAMPDRIYAKKHERSLIISVSKALKNHNSLYPKFGTCCIKTEVLHVCYAGGQLASNRLSGPLPKELGNLTNLLSLGINLNNFTGVLPEELGNMTKLQQLRASDNGFIGKIPHYFGSMTNLEDIMSFSGFEGNSFEGPIPENLSNLTRLENLILRNCKISGDLRALNFSKFRTLISLFIGTIYVRMHDSKSFSHRAMYVKNNNQRSSIAQIF >KN540762.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540762.1:15245:16493:1 gene:KN540762.1_FG003 transcript:KN540762.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRRQYRPESICWDDIADKEYEGRRAYIADYLDAKGRSILITKPTIKGRVSGKEQIKHFVYLLESLAMNSADEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKIIKHFLEAKMNEKVKFVYTNNPESHKIVSEIFNMDELETAFGGQKFNYH >KN543329.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543329.1:2402:8696:1 gene:KN543329.1_FG001 transcript:KN543329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRRLDAAIRKEEEEEEAAVSAAAKEEADAGGVVDGEGNGEDKRKRKRRGGGEDVDNSESDAARLEGMSYRELQALAKSRGLAANGSKKEVIERLLCAPSDTDGGVQDKKKIAKGFAGGDDRVEECRKEKIVTATRKGAAVLDQHIPDHIKMTYHVLQVWFLLKGDEIYDATMNQTNVGDNNNKFYIIQALESDAGGSFMVYNRWGRVGARGQDKLHGPFSSREQAIYEFEGKFYGKTNNHWSDRKSFECYARKYTWLEMDYGEADRETNKKVSPSTDQIKETKLETRIASFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREFIIDTPQKLKAKLEMVVCNVEALGEIEIATKLLEDDSTDQDDPLYARYKQLSCDFTPLEVGSEEYSMIKTYLANTHGKTHTSYTVDVVQIFKVSRHGEMERFQKFATAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEACRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQTEPNTAESKITDDGVVVPLGKPKAEPSKRGSLLYNEYIVYNVDQIRM >AMDW01030361.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030361.1:65:283:-1 gene:AMDW01030361.1_FG001 transcript:AMDW01030361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLNPEAFLISNSYSYSSSPFMASYTPELSAAAIDADLFSGELDFDCSLPAPAFAGAGEEYPENENTM >AMDW01039432.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039432.1:297:842:-1 gene:AMDW01039432.1_FG001 transcript:AMDW01039432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPFTVDDFPRLSSDHSVQTDALYDIPYLRLRGPSPLSLFRSFNDPLTDKHRHWFGSYYRLDDESEISVDNAGTVDCLNGCWCLSMNLLQFIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHGIDSYEAVSVKPKT >KN539378.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539378.1:106105:111207:1 gene:KN539378.1_FG001 transcript:KN539378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVNQNSEEWPEVRYINSHAVFKEYLSRVVAGLGVLIFTWSTVVLLGGFVSLLDKKDFWASGAITGGVIEGFSGVTRLLATAFSTPKSPPTSTEQKAETTAETLAYSSLRKIETDPAETPAETPAKTPAETAAEWLQHYILVHGPIVAYATLQLFRLLVFTTIVVPLVFVYTLGMYISTAISLFRLTRRDLGFGMANAAADGGANLQKPALRVLYLMALAQGVLYFYGMTFITTGRKMERKVADKYNLNYGAKSPV >AMDW01034860.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034860.1:54:428:1 gene:AMDW01034860.1_FG001 transcript:AMDW01034860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKLCARVRNRDAAYAACLHIACRNEGNPRTLKELASVMRDCQDKKEIGRMERIIRRHLGEEAGTAMEMGVVRAADYMSRFGSRLGMGKPEVREAQRAAQTLEDKLDVRRNPESIAAAIIYMV >KN539186.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539186.1:94896:96733:1 gene:KN539186.1_FG001 transcript:KN539186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFDKLQVEFIDQDESVQVVADTIGSSGEVPERYVRHEIEANIVIIDNANCYSLPVIDMSRLINPDFSEEEIAKLGSACEEWGYFHLVNHGVDAGLLQQIKADITEFFRLPLQEKKAVAIPPNGLQGFGHHFFFPKEQKLDWADLLFLVTRPVEERSTDFWPTKPSTFRDSLDKYSLEIANVSTKLFKLMAINLGVDEEALLGVFKGQTQSVRINHYPHCRQADKVLGFSAHTDGVGLTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVAMSVTFLRVSCFRSHQILTNGKYKSIEHRAVINPDKGRITLAAFHKPPLSCTIGVGPLQELLMKGEARYKTVDVVEFTKGYFTAKLEGRSYLESLKLGV >KN539378.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539378.1:90279:92766:1 gene:KN539378.1_FG002 transcript:KN539378.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGEICPFYQEHGPRIFPQRWGKFASTVAAVWGPKYDGRYLRDMVQEVLGETTVDGTLTNVVIPTFDVRLLQPVIFSTYDTMVAMTMVTEKMIAEEKAPLLLTKPPEKECGRFLVLSIGTGMTSDEGLYTAEKCSRWGALGWLRHRGMAPIIDIFMAGSSDMVDIHVGVKFQLFHSEGNYLRIQEDQEDSLRSTAAALDEATPENMRKLVGVGERMLGQQVTRVNVETGRYEKVPDEGSNADALVRMARTLSEEKTARLQRRMDEVTAAGNATGF >KN539378.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539378.1:37601:39199:-1 gene:KN539378.1_FG003 transcript:KN539378.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFTTARLLQPRCRRLYHGCSDGAAACSVVGERVTVLTIDGGGIRGIIPGKVLEFLENELQQLDGPEARLADYFDYIAGTSTGGLITAMLAAPGAGGDGRRRPLFAAKEICPFYQEHGPRIFPQRWCKLASTVAAVWGPKYNGRYLRDMVREVLGETMVGDTLTKVVIPTFDVRLLQPVIFSTYDAKHSPLKNALLSDVCIGTSAAPTYLPAHCFRTHDGAGETREYNLIDGGVAANNPTMVAMTMITEEMIAEEKAPLLLTKPPEKECGRFLVLSIGTGMTSDEGLYTAEKCSRWGALGWLRHRGMAPIIDIFMAGSSDMVDIHIGVKFQLLHIERNYLRIQEYQDYDPLKATAAAALDEATPENMRNLVGVGERMLEQQVTRVNVETGRYEKVSDEGSNADALIRMARLLSEERTARLQRRMGEVTAASACATGF >AMDW01022556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022556.1:2:272:1 gene:AMDW01022556.1_FG001 transcript:AMDW01022556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITHKTQAITSVAAGWHADATINAFDNDQEDPNPDLPRIVGYLVPVNAYWMASGESSSDSSSSSDDDDSGHPKSKYIPFQNNHCFQQRQAL >KN539378.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539378.1:1249:13336:1 gene:KN539378.1_FG004 transcript:KN539378.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGKPVMLVGIDDSDHSYYALEWTLEHFFTPGQPQQYHLVLLTSKPPASAVIGIAGLGTAELLPTLELDLKRSATRVIEKAKEMCSQVIDASYEVLEGDARNILCEAVERHHADMLVVGSHGYGAWKRGNGRRWQVVPEIHAKAITCGLGKDRIVGNLLIDLYSKNGLVLPARRVFEELSARDNVSWVAMLSGYAQNGLGEEALWLYRQMHRAGVVPTPYVLSSVLSSCTKAELFVQGRLVHAQGYKQGFCSENFVGNALITLYLRGGSFRLAERVFCDMPHRDTVTFNTLISGHAQCGHGEHALEIFEEMQFSGLSPDCVTISSLLAACALLGDLQKGTQLHSYLFKAGMSSDYIMEGSLLDLYVKCGDVETALVIFNSSDRTNVVLWNLMLVAFGQINDLAKSFELFCQMQAAGIRPNQFTYPCILRTCTCTGEIDLGEQIHSLSVKTGFESDMYVSGVLIDMYSKYGWLEKARRVLEMLKEKDVVSWTSMIAGYVQHECCKDALAAFKEMQKCGIWPDNIGLASAISGCAGIKAMRQGLQIHARIYVSGYSGDVSIWNALVNLYARCGRIREAFSSFEEIEHKDEITWNGLVSGFAQSGLHEEALKVFMRMDQSGVKHNVFTFVSALSASANLAEIKQGKQIHARVIKTGHTFETEVENALISLYGKCGSFEDAKMEFSEMSERNEVSWNTIITSCSQHGRGLEAFYLFDQMKKEGVLAACSHVGLVEEGLSYFKSMSDEYGIRPRPDHYACVIDIFGRAGQLDRAKKFVEKMPIAADAMVWRTLLSACKVHKNIEVGELAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMRDRGVRKEPGRSWIEVKNVVHAFFVGDRLHPLAEQIYNFLAVINDRVAKVGYKQEKYHLFHDKEQEGRDPTDLVHSEKLAVTFGLMSLPPCMPLRVIKNLRVEKYTSLYVNFLHQKRTNGQVGSIISHWHIQLNELNLVLCLLYFGRQIEKTERNERAGGMKGKQLETPISHLKSGAHRNILKPASAAAAVMELTCSNQKMAYQQESLGYGKGIRCLLEREAGAYCVCFNRESAFYMSEAGCLYISGALSLWRLVERDYIVGAGELMPVIHEVGLREHHRLMKQTAADWWRHHPQTCADAEPQIKPVQVVDSADQEEASDHRHTTAHGRDAPGYTELMLLVGLRMHPHEARRRQGQLPCHEPHDTDDLLTAMIMAPMPLARRRDPGWVRRQVLLVLDPQVVIVTCHGAPAMRLPFLAALPLCLGRPNGGGAAAGWGCCAQRCEWRQYCVMCGHARPPNVSRLGVIVMPVPLILLKIRQLRPRRPRHLRFHPAPHVLFLLSTTAATHDAGPLGVALAMRWAVGCGGKVNVQDWQLTGWGLGARRCAWMRRKPCEGKAEGD >KN539186.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539186.1:64177:65652:-1 gene:KN539186.1_FG002 transcript:KN539186.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNILCQSWRRGAYALQEGNHPGALHACWSRFHSGQMLSSSRSFFGVEDFMDEDNSKSYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRTTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKVYLD >KN539186.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539186.1:10513:11415:1 gene:KN539186.1_FG003 transcript:KN539186.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKELQETSSSSSSSAASTSSCSSAVTDAWSSPARPNAVAGGKRKKEVVGEADEAAGGGAGEEEEEEAAAAAAGKSSGAGDGPFGFPAMWAPLADVDEVNAELRLEEPLLWDLGVTDA >KN539378.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539378.1:25917:31766:-1 gene:KN539378.1_FG005 transcript:KN539378.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRKEIIPVAVGLQRKMSREQDNNWPANSGESDGGNVSPSDNPDNMEDVNPSATTHSGDTATSSIRRGRGPTKMPSGLYTITALDADGNPTAPESALTPYSNAIGVIVKDDIPIKYRHWKTRDPDELEWMISEPPSLKKPKKAPLPNMLVPSLKEKKEIYGSITLLLKHKGDKIKEATNVKVPVAVHDRFNRMARPLAPKPPISDFRRTTRKKRLKEFSKKEFEKDEASKNVQEFLEGAGLQSLSDVDNIAKSPLAAQFKLGYSLTTDEYRKVIGNCTQMRRVEEWYLQMAKEGKEMFPVFYRDEDFHHCDGIVWVPFKELFQLYNLKEVDLSLIQLWVLMGALECRTTHSKLGFLDPQIVNSTKIEGGEKSEKEVLDYLYTSFVKLQDMNTILLPYHFKPHWILLAIHLNDSKIVVMDGMRTPQAKFQSLVDTLDKALVKYKKGTCEDPGTSTCGFYVMKFMRVFMEDGNWNITDSEDVNVEDVCDFCELFMTGAEQAGFQYSCTERVVLLCCAVGRFAVYKVLKRFLRYRVIALACRSEFSLLYKALVYIFASVFYSPRQIYTFQLFSVGNVKYMRVGRQPDSNVFSFIADKMGLLLKHLTADMGLRQLPDGRAPPWRLYQSSPGIDEGT >KN539186.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539186.1:127392:128498:1 gene:KN539186.1_FG004 transcript:KN539186.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSTGSLAVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETAKLGSACQQWGFFQLINHGVSEDVIRDARKDIAEFFRLPLETKKAYSQLPNGIEGYGQAFVVSHEQKLDWADMFYLVLRPGESRNMALWPAHSPSERVILVLGDDLPVTRNSIDRYSSETARVARCLFEFMEKDMGVRPGSLQERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAAGLTLLLQVNDVPGLQIRAPGGRWLAVGAPPDGAFVVNVGDILEVTD >KN539186.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539186.1:2007:7328:-1 gene:KN539186.1_FG005 transcript:KN539186.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPRLAGRVRSDNPFRLLDPSVRFQLPGHVAHSPRALSPGGEASGQEEEVDEKLILFSGNDYMGLSSHPAIRNAAVKAAEEYGMGPRGSALICGYTTYHKMVEESLAELKKKEDCLLCPTGFSANMAVMTALGNISSLLAAGRKPAEDERIAIFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMSHLELLLSNCSMEKKVVVTDSLFSMDGDFAPLPELVRLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENEIDISVGTLSKAAGCQGGFIACRDMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTPWLPHKHDVQIYAVASKL >KN539378.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539378.1:101299:101824:-1 gene:KN539378.1_FG006 transcript:KN539378.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAPPTTSHQPKSGQYMDMDLLAALFSLTATIFEVSQVQDLVQLVDAIAPVDAAFTFAGKLIEMGVMSYHGAKQPFKTRASLVKEAQELWDKKKEDASSVERNQIT >KN539186.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539186.1:132405:133946:1 gene:KN539186.1_FG006 transcript:KN539186.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQVPNVQELARTCNRPDQQIPDRYIRTETGTEEVICGQGINTAIPVIDLAKLLNPQSSQEECAKLGSACQHWGFFQLVNHGVPDDVISNVRRDLTEFFRLPLEAKEVYAKPPDKYEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDLRFWPAHPSSFRNSMERYSLETAKVARCLLEFLAMDMGVDPESLLEVFRGQPQNMRVNYYPPCRQTGKVLGLSPHCDATSLTLLLHVNDVQGLQIRKDGKWLTVEALDGAFVVNVGDMLEILSNGRYRSVEHRAVVHPEKERISAAVFHQACRDATVGPLPELVTKDGGRPVYKSMAYEDFMKRFFSAKLDGRANVEGMKI >KN539378.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539378.1:19530:25151:1 gene:KN539378.1_FG007 transcript:KN539378.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGMSVLVVLWTTVVLLGGFVSMLGKKDFWSLTIITLVQTTGVFDVFLKEKLQHVGKSFYGLGGTISGMLMKDYSSLLSGRRLVLAYVLLALNLLLIAVILCPLALFYLTGLFITTGLSLWRLIQRDYAMSDGDGSSSANLEPALNVLYSLVLFQAMDYGFNKDDRAGQALVMKYMRETKTGCEKDPSFAKGRNMVKFAVALMKPESSSSSGDYMSGAMILDKILGQEELQGQHALIRKLVGRASSSKCGLVILDKLAAEEHNRRLIIDNDQGILSKAMAPVTADLLHCIDHATWSDVSAASMQFMCRLVTAPGTTGDTLRSQIFENKGAINTTEKILTYHHKAAMDASSSINTKSREKFTKLLVHIFTRSTSTDEKNKDASKRQLAGEALAMLSDQSESSAAAIIKAEDTVVRDLCKMLLDDNTDREYRISASEILEHLYIRYTKKDGYLKELTEALNDVLPMVLKEILLFPPKQEEKKTEKAEKETDGAKLPAQNPDPEKGPDPVVSQDEGNVNEQKDDINNKNVDRKLHAALLSLSAALFEKSITDDTALTQLADKIVPGDSAFSFPRELKKMVEGNSDATANCLRIMKITSRMIISLIKLNGGYVQAEVESLLESLSKASDNMLELEGLMMFSSSDHSTTKPFNSLVKETQDCLEEKKKHAQILVTTPAL >KN539186.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539186.1:117247:119847:-1 gene:KN539186.1_FG007 transcript:KN539186.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330 [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MPAEQQQPPPPPPPVSPAKATFSRVFQSCAQAGREALAAGRAAHARMVVSGFVPTAFVSNCLLQMYARCAGAACARRVFDAMPRRDTVSWNTMLTAYSHAGDISTAVALFDGMPDPDVVSWNALVSGYCQRGMFQESVDLFVEMARRGVCPDRTTFAVLLKSCSALEELSLGVQVHALAVKTGLEVDVRTGSALVDMYGKCRSLDDALCFFYGMPEKNWVSWGAAIAGCVQNEQYVRGLELFIEMQRLGLGVSQPSYASAFRSCAAMSCLNIGRQLHAHAIKNKFSSDRVVGTAIVDVYAKANSLTDARRAFFGLPNHTVETCNAMMVGLVRAGLGVEAMGLFQFMIRSSIGFDVVSLSGVFSACAETKGYFQGQQVHCLAIKSGFDVDICVNNAVLDLYGKCKALMEAYLIFQGMKQKDSVSWNAIIAALEQNGHYDDTILHFNEMLRFGMKPDDFTYGSVLKACAALRSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGIIDEAQKLHDRIGRQQVVSWNAILSGFSLNKESEEAQKFFSEMLDMGLKPDHFTFATVLDICANLATIELGKQIHGQIIKQEMLDDEYISSTLVDMYAKCGDMPDSLLVFEKAQKRDFVSWNAMICGYALHGLGVEALRMFEKMQKENVVPNHATFVAVLRACSHVGLFDDGCRYFHLMTTHYKLEPQLEHFACMVDILGRSKGPREAVKFINSMPFQADAVIWKTLLSICKIRQDVEIAELAASNVLLLDPDDSSVYILLSNVYAESGKWADVSRTRRLLKQGRLKKEPGCSWIEVQSEMHGFLVGDKAHPRSGELYEMLNDLIGEMKLSGYEPDSASFVEVDEEGSAPEHDDLLGVVGG >KN539186.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539186.1:105459:107850:1 gene:KN539186.1_FG008 transcript:KN539186.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARTIGSISVPNVQELAATCNGTVEQIPERYIRPEASSDEVIKSNHGDMSIPIIDLDKLISPQSSQEECVKLRSACQYWGFFQLINHGVPDEVIANLKNDLVEFFGQPLDAKKEYSQLPNNLEGYGQAFVVSDNQKLDWADMLYLQVCPTDSRDLRFWPNYPASFRHSIDAYSSETENIGLCLLQFMAKAVGVEPKSLLSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDPGGLTLLLQVNDVQGLQINKDGKWFSVNALNGALIVNIGDTVEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLVISPLPDFVKDGKVKYKTISYQDLLTEYFTTELDGRNRLEKMKLEP >KN539378.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539378.1:73721:77957:1 gene:KN539378.1_FG008 transcript:KN539378.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRCEDDKFDSGIQLWRSRAGSLVTFIQAVVFAIILCPLAVLYLSGLVISTSLSLWRLIQRDYGDGDGSANLTPALNVLYSLALFQGVLFFYQWVSYFAGKSAQNFISKAIQPLSKDLLHVHSNGHDAWGDIVAESLQLMIQLVSVPGKTSDKLRSQIFKTNKDAITITIVEKIIRCEQCRCNEKLHVQAIKIRTQQKHPTEASSTMTASNMGTEHSKTKIEMLVNIFLCKENKDASTRKMSGETLATLLSDQNKSNANANATIIFRASDTVVHDLKKVLLDVREETEYRICAAEILEHLHRTKEASYLKKLMEVMKYVLPEILKEILPSPSKQGEKQAEKAEKGTNGTKTDPDIEEGAGAVASKDNGDVNDQKEDIDKKNLDRMRKLHAALLSLSVAIFEKLISDGKDLDQLAGKIAPGDSASSFADKLKKMVEQNSEQTVNCLRILKIATRMIISLLKLEGCYPKKELENLMISLASFPHALRCISSLLDATTTEQEQEQQDGDSAPSGHYRELMVLGRVILQKLAAADDHNRFAIGTEQSTISKAMEPVRNDLLHIHNNGHVDAWKDIVTESLQLMSQLVSAPGKTGDNLGSHILTIHKDDISVNNIIICKQCQSNKKLHMQAINIFTQQQHPMEALSTTSSNIDTTYSETNIRLLVDIFLTNKDASTRKMAVGILAILLSDQNKSNANANATINFKASDTVVQDLKTVLLNVKEETEYRICAAEILEHLHRTKEASYLKKLMEAMQNVLPKILNEIFISLSPPKQGEKQAEKAEKGTDGTKTDPDIEEGASAVASKDNVDVNDQKEDIGKKKKDRTRKLHAALLSLSVAIFEKRIRDGKDLDTLAGEIARGDSASSFVGKLRTMVDQNSEQTVNCLRILKIATRMIISLLKLEGCYPKQELENLMVSLSKASKHMFELEALMMLSSSDHTGKKTESIGSLVIEAEGLMKNKKEKNVATTPASTMNGNQ >KN539186.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539186.1:53928:55619:-1 gene:KN539186.1_FG009 transcript:KN539186.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERAWKPREIADTFGIEMDEEEAAAAAIPPPQTPLEPMEYLSRSWSVSASEISKILFNGSKKSFAAKRLPEMTIPENSVVAASIVPSHLQHIDTRRNSISSHHLPIGRWFQHKEASRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATTSSDIQTSKMAAAMVSATELLASHCVEIAQHAGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGATTMKQRVQREMRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKKNKSVVFGVYNELPTWVEPGKHFTEERCCFGLSTAQGLVEFECENSTSKQRWVDDVKNLLRQVAAEEQIENKLGSVKLS >AMDW01026935.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026935.1:166:243:1 gene:AMDW01026935.1_FG001 transcript:AMDW01026935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVAVAFLACAALYLAFAAYSRRE >KN539186.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539186.1:25395:28707:-1 gene:KN539186.1_FG010 transcript:KN539186.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGSSGGRNPGQKPESSLYLKLLYSYLKEFVPSGCVPPRNMGGTLLHRTVSDGMDAAESFRRAEFFVHTLIQFWLVGDDFSPLPVQTCRAYGLPLLSLQSHANATLVERPPAPGLGDAVKLFVMYMNSINASVDIDAPNVFEGISSWKEACNSPVGYWNPLMQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWIVYMEPWKAQKEDLDAYDLPPPGGRNVHRVTEGKRQVSEAVYSPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLASSTELLGLIYSVDAAYHHRFFGSASCYLDHVLKYVPSIREQLQDWEYGLSESDADGSFLHERRNFNLRLFSFDEEGAYNLLQLLLLRAESEIQRLPGDAMQSLQTLDLIKSHMKKIFREHIESSQPMNLAERECSQHHGRGEVFAPKHPRPWKHSLANVNWMTRPISDSEVAWLARLLIRFSAWLNEILRLDRDDSDAIPTGPTNIKFDGNELNGVGGPKDAARMVFIGACSLLVLVGQSILHFMRTHSIRINLRILASKKLLTAVMLYALFTVARNALS >KN539186.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539186.1:77582:87380:1 gene:KN539186.1_FG011 transcript:KN539186.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDSDFQSQNFQLAGEDNNKFPAGLRQFPLPKLDIDDQLQSHLRFDNLIDSEGLFSGQGHGNSWIEVLSTGSSVVDFSSSAAESCSINRTNNVWSEATSTESVEMLLKSVGENEMTGNMDENAHHQISGMDSKTDPSNMPSKTSDSPTGNIIVPAENDKSQSTRSEMAEDPSRIQPQLEHIRPFSVDDKAEQAVGSTLSDRKSNYTLESVSERCIVSGRSSSPKNTSESCPDVGSYFEVVHDDDSLDNLNIQSDGVGSRKLNNEPFSDLAPLQNIYTTSSYHFEQDNQESGVGVTTQGSEICHTNENKDGLHDLQNLSCTSQHLGSSNLTSEVSNEALLSGSSDGLLEAITNPVKMLHRSDDTSKRASATLQSSFLQVEHASEGTKGSIDRSSEPTMKKFGASEEPNSAKSQGEPDLKNSSPHLVIPLPTISGEFIQSPKGKQLAHVAGVSEETKYDRVDDTNHSTSDDSKLAMLEQLQDSVDNLSSGVMEEKTIRGQISAVSGNVVHVVKSDHCEKVAVSTSTTDDKFESPGDIVPDNSSACLPDEKDPSITVNHEVSFKEGVVPALEDDPEKMSSMNHEEPLKEDDKSTLEVGEHNTTSPVSEPMLMGSTGSVNPNIDTICSSGTDAVAETPQCEEQATSSGSLTTNATQDKLGDHPDACPPKVLTTGPLMQPEDHEDLVAPSSVLGASSEKGEQNNGKVPLNGMDDSGVQLQDKVLSHGGDRTLVTVSSENKNGLEHGTGDGSCTDATCGSPTVISCNESCPEEDGQGSNALLHHKQTEPPKDPKDRTAATNNSHVSKEGSSRNVKPTLTSEETHTAEDKSFSFEVGAPLNITEKAHAPAWSPLPRSEVAQSPEVTTGIPKPGNPSNHGSDESKNLAIVETSKEQLSGRKVVGSAEGSSVSSHIGHITKAKSTPLEQEQQHPTPDVNALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAESYMVSAFGEPACGRKPPWGTLLQAALERYNSQRSSLTGLETPTSSHIGSRVTEKASRSTAVKTAPASKKGGKTVLPAHTAVPLHLPTLNMSPLGSSALSLPRGTHLDFGQAVSPVFPYSSQTRQPTSGVASWFPQSPGGRAAPWLVQPQNLIFDSSMKPPVPASADETAKGASSKNISISQAVSPVAFPPNQAPSTISPLAVIPEEKQKASVSTSKRGATPQKSRKRKKAPASPEQPIIAPLLKTDIASVTPATQHTPGFTLSTHSPSNILASGLVSNTGLVTPVPNYQITGIKDAEQRIFSEQISGAIEQSMGQAKGAGVHAMDAVRHAEEAALQAKMMAEEVLSSTYANSSQKHDAGEFKVSNNLASFSSLTPTSSWKTKDDISKGSIISVAREVARKRVEEAAAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKATKLEVPTDFSKSGRKRGGKAKHDHAIQNLEPSSSGKGLQLDVVHSGNVAEDVPTIAPVNGNRNNAAPNIIWNGIEKGSAVEVLVHKGESGVAWFSAKVLDINNDSACISYDSHTEETGLRKEWVPLRQEGEKAPQIRLAHPATVSRLKGTRKRRRDTSGNYSWAIGDHVDVLIEDSWREGIISRNRDGDETKLTVQFSAGTSDSLVVDAWNLRPSLVWNDGQWIEWSRGKTIDCNKGDSPHEKRQRTKGNDHVPIGGAAAGPSMDTSTNAAAKPEEPKPLALSDRDMVFNIGKRVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKISEGNASTRPVKHLVPNVPRPREGTSKVDQKGKRIGEMRSRVPKSTKSQDGATNIIPGKGPLSMSAPSTGVFESSHTFAGSTIGSSNNMNLSVEKNSSVHGVGLRSEDSSVSEPHIQAASAAPTSRKNLTTTDRAKRKHVPSMDNSNRTTNKTSEIPGKSADSTEPRRSNRRIQPTSRGQFPI >KN539186.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539186.1:97674:102402:1 gene:KN539186.1_FG012 transcript:KN539186.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRTIGSLPVPNVQALAGTCNGSDEQIPERYIRTEATCEEVISNYHGDMVIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQRLYANAPYTLVLICGTKLQLINHGVPEEVIDNFRSNIIEFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDSRDLRFWPTYPASFRQSLDAYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQADKGLQIKRDGKWFSVDALSGAFIVNIGDTLEILSNGKFKSVEHRAMIHPNKERISTALFHYPRDDLLLSPLPEFVKDGKWEYSSETASLSLCLLEFMAKAVGAEPESLLGIFRDEPRGMRMNYYPPYQQFDKILSNGKFRSVQHRAVIHPNKEHLSAPLFNYAREDLMISPLPEFVKDGKVNYRSISYRDLLSQYFTSQLDGRNRLERLRLEH >KN539186.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539186.1:110593:112177:1 gene:KN539186.1_FG013 transcript:KN539186.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAIGSLPVPNVQELAKTCNGPDEHIPERYIRPEASSEEVISNYHGEAIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPDEVIANLKRDIVDFFSQPLDAKKEYTQLPNSLEGYGQALVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLGIFEEQPRGLRMTYYPPCLQSDKVMGISPHSDVVGLTLLLQVNDVQGLQIKKDGKWLSVDTPNGAFIVNIGDTLEILSNGKFRSVEHRAVINPNKERISASLFHYPYENMVIRPLTEFVKDGKVNYRSISYHDFMTQFFTQQLDGKNRLEMLKLE >KN539186.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539186.1:113331:114945:1 gene:KN539186.1_FG014 transcript:KN539186.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSVGSLPVPNVQALAEICNGPDEHIPERYIRPEASSEEVINNYQGDMAIPIIDLKKLLSPQSSEEECVKLRSACQYWGFFLLINHGVPDEVIANLKRDIVDFFSQPLDTKKEYTQLPNSLEGYGQSFVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLDLFEEQPRGLRMAYYPPCRQADKVMGLSPHSDAGGLTLLLEINDVQGLQIKKDGKWLSVDAPNGAFIVNIGDTLEILSNGMFRSVEHRAVINPNKERISAALFHYPCENIVISPLPEFVRDGKVKYRSISYLDFMKQIFTQQLDGKSRVDTLKLDQ >KN539186.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539186.1:69355:73470:1 gene:KN539186.1_FG015 transcript:KN539186.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVQGGGGGGGGAQGPRGQSGGGGKNGGDASPRKHAAAALPRHRRSKRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSASGASSGQSSGLSSPAHTKSISFRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSESGRSKSMLKTKIKHESFSSETLDIHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTECPENPEKVRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSETNIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCCRSPRIGRVSPLLVIVLNSEENGKLKRKMFQLKVYTAKNISEELERAKREFLQASVVVRKSKKVFLPRLVERIGL >KN539186.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539186.1:29966:48623:1 gene:KN539186.1_FG016 transcript:KN539186.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKLYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDASKPEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAVQADDLLIEELIKLLDIRAHDLRQGKPQIGHTSKSVCQVFNGVSTVNYLQMSFCGSTFTDAASSIPKDHAAVAAVVANGGLSGGGGDPAMDREAKVMRYKEKRKRRRYEKQIRPRKQETSRSVELAFGRIAPTHRAVVALVGVAPPRRRPNKILGEEERRDRDSASALLSGRRWRRRRRRRPASATRRGWTGSFFPCVLFGHNVEALREDIPWTTPCTCHAVCVEGGIALAILTVIFPGIDPSTSILIGEGLVFSWWLFATYTGIFRQQLQRKYHLKDSPCDPCLVHCCLHWCANCQEHRERKGRLADNNANRNTIVNPPPMQEMSVVGNHPSITPGNGAA >KN539186.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539186.1:89469:91128:1 gene:KN539186.1_FG017 transcript:KN539186.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFDKLKVEFIDQDESVQVVADTIRSSGEIPERYVRPEMEADPVIIDTDGYNLPVIDMSRLINPEFSEKEIAKLGSACEDWGFFQLVNHGVDGELLQQIKDDITEFFRLPLQEKMSVAIPPNGLQGFGHHFVFSKEQKLDWVDLLFLTTRPVEDRSTDFWPTKPPTFRDSLDKYSLEIANVSAKLFKFMAINLGVDEEALLAAFKPEQPQSVRINHYPPCSQADKVLGLSPHTDGVGMTLLLQVNDMQGLQIRKDGRWFAVKNLPGALVVNVGDVLEILTNGKYKSIEHRAVINPDKERITLAAFQSVPLSGTVGPLQELLMKGEACYKTVDGVEFTKGYFAAKLEGRRYLESLKLGV >AMDW01022040.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022040.1:3:302:1 gene:AMDW01022040.1_FG001 transcript:AMDW01022040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HAAQVIHDAVARADAAYFRSFVDFASSGAGEGEGLAPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGCPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVP >KN539186.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539186.1:136618:137418:1 gene:KN539186.1_FG018 transcript:KN539186.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTLQPRPEDNNNDSGAGPFTFFPGKPRWTRPDRVLTYAVSPTATAGHLPRSAVRAALRSAFARWADVIPMRFLEAERYDAADIKVGFYLYTDGRCDGCACIDSDDDDDDGDDCEGVLAHSSMPEKSGQIHLHAAHRWTVNLAADTAPLAVDLESVAAHEIGHVLGLDHSSSRSSMMYPFISCRERKVRLTTDDVHGIQELYGANPHFSFGAYFKQDSLSRIQHMKKEKKKKARGSSFWQRGLALTCAVIVTMIPLPLTLNKLL >KN539186.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539186.1:50869:51372:1 gene:KN539186.1_FG019 transcript:KN539186.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRTERATANGRYTYMASAAAGVGVNASRPSWKINGRGGPAPSFGVLFTTSLNLPMSSPPPPSMTPGKLTSLPTTASNPTYSFKNVSTITVGNNLLAATTTVITSLRAEAAASISASTSAASRKKYLGSTTLPALAAAARRSPRKQTMAMAFTRAPSAVRRRSAG >KN540462.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540462.1:25334:27890:1 gene:KN540462.1_FG001 transcript:KN540462.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAAAREAERWEGYVDWRNRPAVRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMNFMHYSPSQSATMVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFVEFLVVSFARISLRVVK >KN540462.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540462.1:44167:44490:-1 gene:KN540462.1_FG002 transcript:KN540462.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYRSDGDRGSVAFVLTSYIDLVLLFACLRLFEKAPRDSSRREYLKVFVWLLTTLLTVMFSYKVAAIMPPLVAVIVWAMAFATIGGGFYAFFVHQDKAALLEQANR >KN540462.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540462.1:39352:39798:1 gene:KN540462.1_FG003 transcript:KN540462.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPHRPPPSSTFSLTCRGTSGQSPELINRLTELVVFRPLSGEQHWKVARLQLRGMAACLAEKGIGLDITEAFNAASASASTAPPSSSVEDSVETLQLCSREVSKSNRLLAFVRSRSSAAGAAPPPPRPERRLELLAVATFRSVATN >KN540462.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540462.1:32287:33925:1 gene:KN540462.1_FG004 transcript:KN540462.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQICIGKLQQKIDASSVVACIWAWTGLVVLTIQARTPSLMPPGCAKGAGAACEPVSGPKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKPGDICGVADGAAEVATEPSQELVFLNRALSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIILLAPVYDHIIIPFARRATGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDAAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGGNLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >KN539906.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539906.1:70107:70715:-1 gene:KN539906.1_FG001 transcript:KN539906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSQGGDQKLSAMEHVKKRHEEKGFLYACLFMLCCCFCCYETCEHCLECFCCCCKKDD >KN539906.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539906.1:53243:57268:1 gene:KN539906.1_FG002 transcript:KN539906.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVNEETSVYVGGLPYEANEDMLRDAFGRFGTIVSVKVINDQRVRGKCYGFVTFTHADAAQHAISGMDGKRINRRVVRVNEVRTRGAREFGREGFRREAGSARDAYWDRRDRERSYDRDRDPYHDRDSDRSRDRDRDRFYEPRGFDQEIDYPMDQDHGDERRRDYDRAAEMHNVDSDNDREKENSKDYDSEKEKEKEQRSRKRFSRPKDHDSRDLSVSSDDLHSDAKRQLNKAIQMREDLENEVSQIKDKVAAKEQHIADLQKRSQKLEDELSAARKVSSERQLVVTKLYKCFLQLQDYNDRVKMSEKELQSLIDDAMGEVDIGEDATTKDGSMYENGVA >KN539906.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539906.1:25870:27712:-1 gene:KN539906.1_FG003 transcript:KN539906.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVEVTKDTQLGFFNTPGWEDLRDAAMEAMRTADGGVVNTFLDLEDEFIACYEAALGKPVWTLGPFCLYNRDADAMASRGNTPDVAQSVVTTWLDAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDSGKPFIWVVKESEVAMPEVQEWLSALEARVAGRGVVGYIMLGVGVPVGATASVLLFGDEAAMQVGRADVARAVSKLMDGGEEAGERRRKAKEYGEKAHRAMEKGGSSYESLTQLVCLSFS >KN539906.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539906.1:23:394:-1 gene:KN539906.1_FG004 transcript:KN539906.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVVPGMPVRVTVTKGTVPGFYNAPGCEALRDEAIEAMLAADGVVVNTFRDLEAQFVACYEAALGKPVWTLGPLCLYNRDDEAMASTDQRAITAWLDKQATGSVVYIGFGSVLRKLPKPFLWV >KN539906.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539906.1:15907:16113:-1 gene:KN539906.1_FG005 transcript:KN539906.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRGDVARAVTALMDVGEEAGERRRKAEEYGEKARRAMEKGGSSYESLTQLIHSFTLQGAKNALEQ >KN539906.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539906.1:59983:66394:1 gene:KN539906.1_FG006 transcript:KN539906.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDANAGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >KN539906.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539906.1:4259:6297:-1 gene:KN539906.1_FG007 transcript:KN539906.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDAPFEAYVRALERRPSCIISDWCNTWAAGVAWSLGIPRLFFHGPSCFYSLCDLNVVVHGLHEQIVADDEQETTYVVPGMPSIAHGVPVATWPHFADQFLNERLAVDVLGVGVPIGVTAPVSMLNEESMTVDRADVARAVSALMDGGGEEADERRRKAKEYGEQARRAMAKGGSSYENVMRLIARFMQTGVEEH >KN539906.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539906.1:48684:50925:1 gene:KN539906.1_FG008 transcript:KN539906.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNKFVIDKSAAPVVERKKKDKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMATLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEKEKMLKAKTVETTAQVVGSGNGSLQETLASENSANGSAIKQSQEPESTTSEKSGQQSQ >KN539253.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539253.1:29354:32977:-1 gene:KN539253.1_FG001 transcript:KN539253.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLAVLAACLSSAAHVGAVTSAEVSYIAHRQLLAMKEAGVSEEGDLPSDDFDFDNRVGVAVGDFPNPRLRKAYIALQAWRRAFYSDPKGYTNNWTGNDVCSYNGVICYAAIDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSLLHEFDVSHNRFVGVFPHVCLEMAVLKYLDIRFNDFEGELPPALFDKELDAIFVNSNRFVGYIPGNLGNSTASVIVFANNAFVGCIPKSIGCMAKTLDEISFMNNKLDGCVPMEMGYLQNTYVIDISGNVLVGTLPTSLSNCSKLEQLDVSRNVFTGIVHESICELPVLVNFSFAYNFFNSESAPCMPSESSKVNLDDKDNCLGALRPAQKTTLQCAPVLARPVDCSKHVCPGHPTPGKPSEPPEKPPLISVPPSPPPEKPPLISVPVGPPEKSPAYEEPPAAPSTPTSHGPPPPEEESPEEPPEEPTPSPTPSSPESPAKMAPPPAPAIKGETSPPAEYGAPPPPSSGWLPKSPERKKAPPPQAEPPTEYSPPATPESSPPPEGKSPPTPTASHSPPPAPEGHTPSPPKSGPPAGESPPTPESKASPPPTPEEYTPSPPKSTPPAEKSPPTPESKASSPPPPTPEGHTPSPPESTPPVEKSPPTPESKASSPPPPTPEGHTPSPPTEKSPPTPESEASSPPPPAPEGHTPSPPKSTPPVEKSPPTPESEASSPPPPAPEGHTPSPPKSSPPEEKSPPTPPTSHTSPPTPEEYTPSPPKSSPPEEKSPPPHSPEKSPPSEAHPTSPAPSEKSPPTPAEESSPPTPEKSPSPPLGHEGTPPSPPEAHVSSPPPEKSSSPPPEAHVSSPPPEKSSSPPPEAHVSSPPPEKSSSPPPEAHVSSPPPEKSSSPPPEAHVSSPPPEKSSSPPPEAHVSSPPPPEKSPPPPEAKSPPTPTPEISPPPEGKSPPSHTPESSSPPSEEREVPTITYSGELIPTI >KN539253.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539253.1:82222:84348:-1 gene:KN539253.1_FG002 transcript:KN539253.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNLNNNMDDLENPNADDILDLEKGLNAHVDEGTSTRKKKKKKKKNDDDDDDSADTQSAMGLMMLFLYYVFYVFYMMATCEEWWHAALGIGIATAFLLLSFCCLMPRNNKPANSQKKKKTSTQTDLSTTLLSHP >KN539253.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539253.1:18520:21902:1 gene:KN539253.1_FG003 transcript:KN539253.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQIEETKVLQKENEDDPDMAEMIDSEMESLSNQLEELEEKLKLLLLPNDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSSSEAEKGGFKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCSSMEQKELLEEMATSVGAAKMYMKKSEDTYTYASVAHEHD >KN539253.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539253.1:41297:44913:-1 gene:KN539253.1_FG004 transcript:KN539253.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMGAIGSVLPRLAGLLKEEYKLQKGVKHDVESLSRELAAMHVALERVAKVPREMVELDVKLWASNVRELSYAIEDAIDAFVVRVAEGSNHVDPVDQGFFKRILRKTSDLIRKGKARREIADGICDIKELADEVAELRARYKFDAAAAAATTPATATVDPRILALYKDIAELVGIEEARDELIGMLSRPADDDQLNIVSVVGFGGLGKTTLAKVVYDKLKGQFDCAAFVSVGQNPDLKKVLTDMIYDLDRQRYISIHNSRMDERLLINELRDFLQNKRYIIIIDDIWDEKLWEYIKCAFYRNKLCSRIITTTRKVTVSKACCSHDDTIYRMKPLSDDASKRLFYKRIFKHDNGCPPELEQVSIGILKKCAGMPLAIITIASLLANKQVQTRDQWHDVFNSIGRGLTQEPKVEDMTKILSFSYYDLPCHLKTCLLYLSIFPEDFIISRDHLVRMWIAEGVVQKTTNQKDDVLVELGENYFYELINRSMIQPWDENDFMYYKDGYDNAIISCRVHDMVLDLILSLSNEENFVTILDQERGASSLSKAHRISLRDCNVVHTIPEATVPKESYHLKHLGNLFHLRYLRLHCGCITKLPNEIGNLQFLQTLDLHRSGSIKELPPAIYQLRRLMFLYFPENISLSDRIGELTSLLELSPVDVFRRTSSVDVNGNSFSLLKALGNLTELRDLTIQVWSSEVSSIGRILGEVLCNLRKLRRLILLGAHRIIHLDSLAEFLDLPQHIHVLGIKPMYFFNVLPVWFNSPLDLPYLSFLDLSIHDMSQEHVEKLGRLPALQVLRIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFKINVVDSEDIDFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHSEDPMEDSDMEENRAPDSMASESS >KN539253.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539253.1:71303:71865:1 gene:KN539253.1_FG005 transcript:KN539253.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQKVNRGYRQRLFAVPDDGDSPARTPCPADEKTDEGGEPERRGKGGELEGDLAEAAPSSSSLAAGDDDDDPFLLASFVSAFSDFSRERERERVEGRGRQRGVRWHERLCIVAVAADGAGVGEGGGSGGGGDDDDMKETGQHHAGMVEAQGAYPAPARRRMVMEAERSGG >KN539253.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539253.1:86606:89333:-1 gene:KN539253.1_FG006 transcript:KN539253.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLNPNSIVDLEKGTAAARRKRTACRDEDDDDDESTSTDAHTGMAVMMLLMYYAFFMFYMIGTCEKWWHAALAIGIASVFVLLSVCCMLPRNNTDSPADSQKNTQTDIGTRLLAAHQ >KN539253.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539253.1:12841:17210:1 gene:KN539253.1_FG007 transcript:KN539253.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAVMDETQKAR >KN539253.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539253.1:100782:103680:-1 gene:KN539253.1_FG008 transcript:KN539253.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVALVLLAVVQLVHGGSGDGRQWAPGSRPHSVTITEFGAVGDGKTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEEAVIIGTKLLWMDRRKNLVKISRSVGFKLALAFSCFVPNFMQTWSIWKDPSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLSDVVITGNNGVIDGQGSVCNVKVHNVTIKTSLDAPLTDGIVPDSCSNVCIEDSSISVGHDAISLKSGWDNYGISFGRPTSDIHISRVDLQASSGAALAIGSEMSGGISDIHVDHIRIGSSSKGISFRTTPGRGGYIAEVVIADVVMDSVHLAIEFTGNWSSHPDDHFDPSFLPVIDQITLKNMEGTNISVAGVLSGIEGDPFSAICLSNLNFSIADSAPSSAWTCSNVHGYSELVFPKPCSELHDTSTNSSICFSLSSYSALAVL >KN539253.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539253.1:94844:98447:1 gene:KN539253.1_FG009 transcript:KN539253.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENSSGRHVTYSKRRSGILKKAKELSILCDIPLILLMFSPNGKPTICVGDHSSIEDVITKYAQQTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGFRAQTSDQSIVLCQIQFQNDMQLPLGLTGDPNTSSWFHGGGSAEAQQPMMLPEDPSLLHQRDIGCSASTSLQSYPGYFSMGKQSTDNAGGGEQHHHAAVQQQQQPEFSQADCLTSLQLGAQFPYPSAFDNAGLLSDRLFDNAAAAAAAMDFGGHYDLPRPGDEASFQNWASAACGATMYDHQQQQQQQQQPAQIMTESLTPHQLPAAATVEAPSFNHPSPHRQLMI >KN539253.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539253.1:68145:68432:-1 gene:KN539253.1_FG010 transcript:KN539253.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVTTSGVSRGLRRRPWPPEGPNAFGVTQGLWSSPRPAEGTTASGAVQGLQSHPRPSGPPEAFGAMQDLQSQPLFLGRATAFRASKGSEACGGL >KN539253.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539253.1:22740:23477:-1 gene:KN539253.1_FG011 transcript:KN539253.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGDLPSWAVELSALIHEAVCVGDVDVGCAVELKNEDEDVCPLQSDLLWRKPLFDQMIANRYEPGEGICAHVDLMRFDDGIAIVSLESPCVMHFSRAEQEVPICETLESVHAEPTKIPVYLNPGSLVLMSGDARYLWKHEINRKPGAQQWGGRELEQQIRTSITLRKLLPSPN >KN539253.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539253.1:3686:4015:1 gene:KN539253.1_FG012 transcript:KN539253.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVARLASERAVVVFTKSGCCMCTAVTTLLGELAVSAAVHELDRDPLGKEMERELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPMLKSAGALWL >KN539253.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539253.1:74894:75055:-1 gene:KN539253.1_FG013 transcript:KN539253.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADSVAGAQSSLFAVVSPSSVVFLVIIGAVGGGVAIGGGAAAKVHKVEEKE >KN539253.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539253.1:113247:119803:1 gene:KN539253.1_FG014 transcript:KN539253.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQKKARANLSVIQPKAQISVQGPAHQINHIEPFKHIGWIQVCTAQQSEKGSNQAKQGNLQHEYTGRSCVPLEGPVTRQELIAILRYLRLCMFFAKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTIVRDKSTKSFILFIRGATSVKDRLTAATAAEVPFHHLVLKEGRVSNVVGGHAHCGMVAAARWIADQAIPCLSRAVEQFPDYRIKIIGHSMGAGIAAILTYMLRENKKLSPSSCIAFGPAACMTWDLAESGKEFVTTVVNRNDLVPSFGKVSAANLHTEVIGSSWAHDLLEQIQQTRILGFVNRSVTFMQSQFPFISNPRSKVADVDLMLSGTSEAETILSADARAAIKKHSTLSFWSSAPSNRKTLESSLVNPTQSIAALMSTYVGTDKDSKEHNDHKSGTKEIDKQDDKQADEENLERFLEALRSSPSTSEEPLQFYPPGRIMHMVVLPSPKEPSSIDQCSQDECVALYETPRSMYSKIRLARSMIRDHYMPRYIETMEMLIDKLAEEDSHR >KN539253.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539253.1:91199:92140:-1 gene:KN539253.1_FG015 transcript:KN539253.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLNYSSHAVVVDLEKGMDGGGRRGTAPLPKKKMTTTEQRSHDSSSMNGLIGMSLLGLYLYYIFFVFYMVTTCEKWWHAALAIGIATAFLVLSFCLAAMQSNKSSSSSSSRKIQPQVDTTQTDLGRRLLESSYQ >KN539253.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539253.1:24770:28954:1 gene:KN539253.1_FG016 transcript:KN539253.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKDFASPLIMLMLANTEEYIDGQFSGNLGEILIRFLVDLDLNYGHDMVILGIETDFILTSVIAQMCGASTSFAGGGNDSCFGGGRETCGTGGGRGFTAGGGTLTGAGGGRGFTAGGGRLTGAGGGSDFTTGGGRLTGAGGGSDFTAGGGRLTGVGGGRDFTAGGGKITGAGGGGDFSGGGGEMTGAGGGGDFTGGGGKMTGAGGGGDFTGGGGEMTGAGGGGDFTGGGGKITGAGGGGDLTGGGGEMTGAGGGGDLIGGGGKITGAGGGGDFSGGGGELIGTGGGKGLTVGGGKLTVAGGGRDFSVGGDEALGTEGGVDSSGVDGG >KN542509.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542509.1:2196:7508:-1 gene:KN542509.1_FG001 transcript:KN542509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFRDIDPGIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSAGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKEGPVPVEGKPIDGHPTTENGNGDGASGEAAETQTSAQTSQ >AMDW01076817.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076817.1:220:384:-1 gene:AMDW01076817.1_FG001 transcript:AMDW01076817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEYIPPKEDVIVQNEAPDDVYIVVSGEVEVIYSDGEAGERVVATLGTRGVFGE >AMDW01026890.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026890.1:43:271:1 gene:AMDW01026890.1_FG001 transcript:AMDW01026890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAV >AMDW01040508.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040508.1:130:1514:-1 gene:AMDW01040508.1_FG001 transcript:AMDW01040508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGLVVSCGFEAEVPVGNALVTAYFECGSPASAERVFHGMAEKNVITWTAMISGMARAELYKESFRLFGQMIRTVDANSATYSCALLACARSLAAREVIKT >AMDW01023507.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023507.1:99:206:1 gene:AMDW01023507.1_FG001 transcript:AMDW01023507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLVELADPRVSRIYGVAPDAETIGILTSAANQKG >AMDW01142763.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01142763.1:438:611:-1 gene:AMDW01142763.1_FG001 transcript:AMDW01142763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFAILLQERDQQLISLQALLQ >AMDW01142763.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01142763.1:1737:3753:-1 gene:AMDW01142763.1_FG002 transcript:AMDW01142763.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPMQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNRERLVAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQETNMARFFG >KN539663.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539663.1:22476:25236:-1 gene:KN539663.1_FG001 transcript:KN539663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLQDIETVEESAGAQVKEWVNQVRELSYDTEDCIDEFIYLVDHLGEHPNDGSRGIAWRSFIQGIVDKPKILKARYDISDRIQELKARVKETSERRARYRLDEAAATSSSSSRSVSIDPRIPALYQNTANLVGIDKPKDELISWLMDAEGELKVISIVGFAGIGKTTLAMDVYCTLKTSFQCRAFISVSQRPDLKNLLKDMLLQLYEKGTPEDWGLDLLQMVTKIRDYLLHKRYLVVIDDIWCLTAWQTIKCTLPENNRGSRVIITSRIKSIANLCSPSNLILKLEPLGELASKILLLGRIFASVDECPSQFEQVTKKILTKCGGIPLAILSVGSFLASQESMVIEHWEKMCNSLSTQQENNPTLEAMNQILSLSYDNLPYHLKACMLSFSIFPEDYVFNITILLKRWVAEGFATEKHGLTAMDTAESYLNELINRRMIQPFQFSYDNKVYTVRVHDLMHDLIVSKSVDQNFVTRITSQQLTTISREKIHRLSVFCTEQEDISCIPERTEMTHARSLVIIGCIKQMPSLSRFRFLRILEIRCCEFLRNEDLNNIDRLFGLKMFIIFYVPISRLPMRIGELQQLELLFVQKTKVKEFPNNIIQLKKLTYLQLDKSKLPDGITNMQGLQTLVNVDICSSSTKAVLELGDLINLRMLTVFWNPRDADGCNMHCECLTTSLKKLSNLQELYIRGPVGIALEFLLHPWPHPSHMLKRFAMKISYWLPHIPKWIKFQSNLSYLDINVEEAREEDLKLLGLLPYLLHLELWTRSTIDKSIVIPGKGFSSLRYFLLGFRMLRLTFQPHCMPQLQKLHLWGKSSVCVLSTMENLPSCLKEVYVKIHCNDMNYQDVRAAKDAISDAAKAHPSQPKICIETIGSLCHFPL >KN539663.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539663.1:73976:75100:-1 gene:KN539663.1_FG002 transcript:KN539663.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCILKNLDGGSGGCGNNPDGQLVALINKNIQVLHSKNHTEVGAAVSGTSSSGPYFWCVLFSSGKVDGGVPKSVRPGCFSGINDNCMGANAAVSISAGSWRLVAALLFSVACVLLVILDLIWNFASENQ >KN539663.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539663.1:54125:55547:1 gene:KN539663.1_FG003 transcript:KN539663.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLGPPTVLQKIHGQSMMFSKISPYSSMKNPALYNANTSYSVPLKSYNGMDGNNGFSSVTSVSPVFASAPKEKGLSGFMIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSHPYKGIADCFGRTIKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVVVFGKKYGSGGG >KN539663.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539663.1:40235:44814:-1 gene:KN539663.1_FG004 transcript:KN539663.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSGIYPTITRVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAGPTPGADAAAGSAGPSEKPGGEEGDVIDADFTDSQ >AMDW01040620.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040620.1:303:1256:-1 gene:AMDW01040620.1_FG001 transcript:AMDW01040620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPLAAAAAPRSPFLTHHYLPMNPASSAADRDNKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNSRTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGAPVTGGEAGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAVAAGEGRKSNNGRGSPPPAMYFPNGFA >AMDW01032115.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032115.1:134:425:1 gene:AMDW01032115.1_FG001 transcript:AMDW01032115.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKE >KN545736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545736.1:4:231:-1 gene:KN545736.1_FG001 transcript:KN545736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGCFLDGFEVTCNHTFDPPRAFLAWGSPDSAFQQNADGYYLSYESAVTLNNVWSHAVELVDISLARGEARAYGA >KN541732.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541732.1:20923:21276:1 gene:KN541732.1_FG001 transcript:KN541732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPQAEIDFILAWRREPSPYPDDDHWALLSPEQLQLREEMAAIGKEFEDSFEEFQAEVRREVEEKGFYEVDESYYADQAEMQAQLKEGWAKIDWSDVVCADWDDFNDPNCCRSLY >KN541732.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541732.1:623:1264:1 gene:KN541732.1_FG002 transcript:KN541732.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FWATLNPIKGKAYAQPNRNSPPLQEVNDEFEEFQKEILSEVKETGRYMVHESYFTEQSEMQARMEKEWAKIDWTGVKFGDWDYDDPTCCQPL >KN541732.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541732.1:14744:15178:1 gene:KN541732.1_FG003 transcript:KN541732.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGTKKAVTSAGGGGVDGRDVEPKHAAAVEEKVPMPQEYIAAILSMKRERPLSPEELARLSPEELREEEERKELDDSFEKFQAEVRRDVENNGCYMVNESYLAESAEFQRELKEGWAKIDFSGVIVGDWEYDNPDWCTSL >KN541732.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541732.1:7418:7681:1 gene:KN541732.1_FG004 transcript:KN541732.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVAAVASDAAAGGEEEGDQKKPSAKVPMPENFVAMILALKREPWPTSEYLDSLSPEKRGEELKCAERRSKLDDDLGEAAEGCP >KN541732.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541732.1:22603:22815:1 gene:KN541732.1_FG005 transcript:KN541732.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEYLDYILAWRKRPFPLPGDGENLSPEHRKMRERMAATCNELGDGFEEFQAEVRREVEEKGFYEVDES >KN541732.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541732.1:2108:6691:-1 gene:KN541732.1_FG006 transcript:KN541732.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTEEMYPTAEELERLSPDERLAAAIRRESDDKFNKFHAEVGSASTPACRGPLAGTLSQPMTLAMLIVVHELEWRRVGDDERDAIHGVPALPPPASTCAELATGKHQQQKLSPPAAFSAAAIGAGRVTGSLQAHYSTAPVGSLNLRRGEKQNFVKIQVLLIHSNVHIKRHWNATIKKMTTDINLIVEALAKVF >KN541732.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541732.1:18384:18569:1 gene:KN541732.1_FG007 transcript:KN541732.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHKALDDDLAVFQAEVSRQVEDNGCYVVGESYLADQAKLEALIEEEWAKIDWSAFEFK >AMDW01040834.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040834.1:13:1636:1 gene:AMDW01040834.1_FG001 transcript:AMDW01040834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKESDERQATLRITDSEENALMELLSFMYSGKLTSTDPTLLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEYLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLICTDLDHEQATKCVTEALLYKADAPHRQRALAADVTTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFLDGVLHLRAELTIKQPTV >AMDW01036918.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036918.1:3:620:1 gene:AMDW01036918.1_FG001 transcript:AMDW01036918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAELGRAFALAAAGELELGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGAGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQELVPRMLKRMIVEMKEKGVLVAMEGDELWEITHIQIQWIAPAVMEELFPD >KN539458.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539458.1:26854:32318:-1 gene:KN539458.1_FG001 transcript:KN539458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPKLTANELRRQRDRQRRVNLIDISPVVLGDITSLSLHNGSQTVGCQPSFQPEPSSTPVSSCTPGVLGDITNLSAAERRRKRARDKYASMSPEEKERLLQKNREYRQRKKVGASTNLAASTTVTGVQGHQAMLTPPRQPFTDLTNQGFNEADADSVITGASQSMAHIFTIISISAPPHLRILKRRGMGFIWEKELAGAGPMAGMATGTAGVRAQMTTRKGREEGKYRR >KN541229.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541229.1:8595:9369:1 gene:KN541229.1_FG001 transcript:KN541229.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHHGGSGELDVFGATRYFAGVATAARPIAVVVVREPEDMIIQVKTTTTTSSDKKTTEEEGHHHADQLDVVGVAKTTHRSKLAAFLGSLVSPESTSFRKKPPPAASSETTTYNYNDDDNLPKMQDRRLQGVRVVRCGRCDEERWVVRCGACCAWEEEEEEHHHGHEKKAILAAAATSTRYGSHQVLAGDREVVGDGACSDWESDSSSDLFELDLEIT >KN539458.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539458.1:89561:92198:-1 gene:KN539458.1_FG002 transcript:KN539458.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRGEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGNEGRRSSYYGHTLLLQDGEMTTQLPHRERIRTPQNLLGVSQKNMMKTRSGDPTLLPVEMATLVMLITVMRRGRPHLTAMDPLHTGGLLGTPQGRLQDPALGPPMFPLPAATENFEPTQWNSCMISSSPPILVSLYLPGPTKLFQLKPKP >KN539458.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539458.1:12633:13321:1 gene:KN539458.1_FG003 transcript:KN539458.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGGGCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEAGHGCSCGSSCKCNPCNC >KN539458.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539458.1:45608:45799:-1 gene:KN539458.1_FG004 transcript:KN539458.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGIKSTSPTPNRVLLKARADKLDSGGGGRGVVDGDRLHPKLVDAAFPPTSTAATFPLMSG >KN539458.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539458.1:63487:64964:-1 gene:KN539458.1_FG005 transcript:KN539458.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPMRERQRWRPEEDAILLAYVRQYGPREWSLVSQRMNRPLHRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWEMEEGQRAWAAHRKEAAWRMKRVEMQLETERACRRREAAEEFEAKMRALREEQAAAVERVEAEYREKMAGLRRDAEAKEQKMAEQWAAKHARLAKNM >KN541229.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541229.1:25609:25830:1 gene:KN541229.1_FG002 transcript:KN541229.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQEDHAYPFPVVPPHEEEVSAHESSPIAWVRGIFGRKEEKHAAAGGDRKSDVLESFETPSPPIPSFDYK >KN539458.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539458.1:8331:11333:1 gene:KN539458.1_FG006 transcript:KN539458.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAPAANAIPATAKGDGDLHQHADDMYKMMYRDLPENNASSTPGAMVLGVSPDKGYKISDHNKIYCKYQARRSV >KN541229.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541229.1:18928:21478:-1 gene:KN541229.1_FG003 transcript:KN541229.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFTAAPALALVLLVALSVAATANVGDSCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVSDQLNEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRDSQILLITDGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSCL >KN539458.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539458.1:96932:103803:1 gene:KN539458.1_FG007 transcript:KN539458.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGAGGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEIAEERERFQLEQRGNDALLEAMKEELVAANNELEAAKEEISRKNNELESVKKQLQESEARNIQAEQQSGIVVELMQPRGVKKRLVQKQKHLSQGLPGDAGELQLMEGHLSDPNAGEASGALGFLDIDNGGRKLGIKEMGQLNEKVFQIACLAKLPPEEVGEASYELYSSWQKQLSDLSWNPFKTITVDGNCKEIVNVDDEKLQELKRDYGEAAHKAVMNALMEMKEYNVLADRSIAYELWNYKDGRKATLRECVEYVCNQVKQLTVTKRRKTRRVVNDLASTDQKFTREVDLLVAPQQHKGGSRNPEEPDRIKQRMENYELLLLKSKPVIPVQDDPKFYLKEREGRVSSHKKVSKKVREHTEVGGESMAEPRNAGDDLGGRMKLIASMVNSKLFFHKDAIEEYMDIKKLVGDLAEEKEMMEQERHGSKEELDAANRELLRAKQRITEAMEELAAAKQQLGAKCRELEQKSDELEDLRRKKKIQESETDAGVHQQQHHEKKSSPELVQSKGVQTRSMRKREKQFQADLGNRSLKHDPNARQSGRRQLMKDPTIGHRGSRDDDLEMVREKLIKGFIDIDDGYHIGIKEIGVLNDNPFYSACNEKLPPEEAEMMASELNSQWQELLNDKSWNPFHTITVDGDRQVEVIDADDDRLKDLKMTWGEGPYKSVTDALVERKEYTINGPGVFDLWNYKEGRKASLGECIDYVFDHVKQLKIVRRKSPRLQRNVCR >KN539458.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539458.1:53858:62153:1 gene:KN539458.1_FG008 transcript:KN539458.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLSTFPTAAKYWKQYVEAYMSAKDDEATKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSDGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKVINANDQYLTGRGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYAMWHAKNGSVDSAIKIFQRAVKALPDSGVLKYAFAELEESRGAIQPAKAIYESLIAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPGCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTYGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGDKSVVLTGGATLDKGDIRVGSNKKSFPQSSKVVRPEISRMVIYDPRQMKGPDFSTTASGYTKEIDEILKRISPQMMSFITNLPAIEGPSPDMDIVLSVLMQSTLPVGDKPGSQVPGPATSDLSGPGKSGLNQNGSIHRPPRDGQPTKRKNSESTVSYLQAILDKLL >KN539458.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539458.1:15026:15658:1 gene:KN539458.1_FG009 transcript:KN539458.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTPTTATTVLGVAPEKGHSEGVGKAAESGEAAHGCSCGSSCRCNPCNC >KN539458.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539458.1:1558:5095:1 gene:KN539458.1_FG010 transcript:KN539458.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRFDTQNPGCLEGLFNFLALNQRLQMPKAIAYRKHNEGSSNTLRVKVPKPKNRSENDESVPKGTNSPKAKVGTFIWRTLMFKKKTLKKDQKKSDLPASSPSSSRLTRSRSIHHSKCFEYVVPDELASQYHTMIESSSNEVDSFHSAPPLVQESPKVPNFQESCRSSGAKHSFDAEAPCETVPQSSNDETEAASKQKSRDAATHHSKEFMDFLELFNAHRELFLKILHDPSLLVPLENQDQEASSSGAVPLNKSDSFPRPGGSSGKRNPIFDRNDSENNRRSEIQKSPSRLKSDIETAKVIGTRMPDGVEGSSVSLTESKSLRKSGSTSNRFKAIRKKIKAAVKENRKELARITKDGVFHKLPYGQKMAGFMKSPSTDKYVQEEKQMRKSYSIAESIDKYSTLYESISRDPKISPERPSTMFEGDAKLKDKKPPLSMKRITSLPEMRLYSPQRDVLSEVSDSQIVPKTHDLESGCFSSQQTDPFSICTDGSFYPDDITERTADIYSEHNYGESSLLGSLEEDLRSILRSPSLPSVAQSFSHRRINSLPSFDRSFFQDRVTNFTEHSIADSEPTFEHMQLEDDDWLVKPPHPPGPYAASLKDDEWLVRPLKSSGVDTTDHEDEEWLVSTSQLPSGNAADFEDEEWLVKPVQSSSADALDSEFQFIHEFAEDAGSLHIYVNDKNEADFHYVKDILKKSGFSCGEADWYASNQPLSPVIFEEAEFSCQETYTANDDPHSVVRRMLLFDLINEVLMDIYDSSLVTGPWHSRFDSRTRPIPMGSHVLGEVWGKVSYNLSLQWKPDLTVEDVVAHDVMMKDRCMNLVYDAECLALDLEDMVVDDLLDDIVLQIVLISIDA >KN539458.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539458.1:68692:69304:1 gene:KN539458.1_FG011 transcript:KN539458.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTHTAAAAAKLECTWTHGDGDAGDVHGAMVHAAMDVRNFLEKMHGEMESTPTNLAATQPRSQYNVCKKISNSPLLNKPDA >KN539458.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539458.1:16559:18023:1 gene:KN539458.1_FG012 transcript:KN539458.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMVDQLQIRDSIHLMVGSTPISFPIAKFCSWSVCRGQKLVKLQLKDADSFGLSMHSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >KN541703.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541703.1:17720:18276:-1 gene:KN541703.1_FG001 transcript:KN541703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTVAAVGTFGGTVGTLMEITEAPPKRLASITVYVTQNDGGNARRVCAISFTYFDADGKDHKVGPWGCEITAEVTPNQVNIKGDERVIEISGTADGNIKSLNISTNYGITYPFGDKNVGKEFRIPVHNSAIVGFFALTSGIGLNAVGAYVIPENKH >KN542111.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542111.1:13144:13407:1 gene:KN542111.1_FG001 transcript:KN542111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRPDLFSLVSGLDHGGVPGGESVECGSDGDTRLREEAGGTERGGVIARGRRHRAVVEVRAKIVVERMGSRWNRWAWAVGTGAVE >KN539098.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539098.1:41787:42641:1 gene:KN539098.1_FG001 transcript:KN539098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKNPLDPAPKNRTPRVRIPRVFKKAVEAAAGEQRTTRLTRSRSGAAYGPLASKALEALPVPLFPEGVASFLGAEAATSSSAVGVGVGHVGGDHNKMLCGGKMARQGAGKRGNMQDEASAFGDVAQAQEGVAGRDMPAGAGVEHLPCMAAGFRDVPQEPWSLQAPQESAGNSQESVAAVGVEADAGVQHMAGQDASVNDMPGAHRGFHDKPQESSEEAGGEQEGVEVGSSPAILGVARHATEHNLQDFFFCSLQKRKKSITGDDHNLLPPNLGAIPLYIVP >KN542111.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542111.1:18357:18653:-1 gene:KN542111.1_FG002 transcript:KN542111.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QQGGYPDLHGSGDGAAVAAVRARPSRAAGDWATWWGGAAMAWWSSAGMPSDLWPPMAVTTRSSRSIIPSPIAFHCSGRPGLMASHPWPLPHHRHHCGR >KN539098.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539098.1:83788:86753:1 gene:KN539098.1_FG002 transcript:KN539098.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/Swiss-Prot;Acc:Q84RK2] MPFGYLVREEHAVQLLLANFASTTLGRLWNDFQQLHACNVLTLAGAMAIVPPLENISLKMLAESMALGNIKDYVSRPMDKPYLEDKCIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKGVKVMRVKNLDLYAFGLYLQPNTISEKLGPKYASVPTINLKDNPDFYDDLLRENLPMRVRLVLHYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLQAKKDIAQNVAGLIGKC >AMDW01017441.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017441.1:98:268:1 gene:AMDW01017441.1_FG001 transcript:AMDW01017441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTRVGPPLATVDLMLRSGGNWTFFGSNMIVQVNEETLCFAIVEMGPTPAMEEAPA >KN539098.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539098.1:15217:15603:1 gene:KN539098.1_FG003 transcript:KN539098.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYFRVYNGRAAAANRRMLDGACFAVCASASVLLHLIFFLQPGAMDCADQDLLPLAAAVVRALLPASAAVTFFASIMLIYAYLRSGGAGAGTGTSVKLLTKVTNSAALVTIVLSLVVAAIVVFYSQ >KN539098.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539098.1:46736:49745:-1 gene:KN539098.1_FG004 transcript:KN539098.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLFACFGRGGEEAEEEAGKRPALRRRRTVNLRSLSLEDLSRTLAKTNLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGELRPGALESQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDKDYTAKLSDFGLAKEGPQGDATHVTTRIKSIDRFN >KN539098.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539098.1:64297:65347:-1 gene:KN539098.1_FG005 transcript:KN539098.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRSPQWMVDAGLVWGVNLQNLTTSRLAEVMVHKPEMVTVKANGDVLQEAHTYAEAWHGMVPGYSFSQSILACRLGILPKRFYDRVDDDSIVLRRRCVHPRIPQMAIIGYAESAANIYPYEMMAKWVAHLLDGTFQLPSIARMEKSVVEWVGWAHDMRRCSGNYFRKSCIRTITQRGIMISYAAIWDTTQEERKG >KN539098.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539098.1:93386:95784:1 gene:KN539098.1_FG006 transcript:KN539098.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCEDAAAAAEEEEESDGEVESSYRGPLDTMDALQQALPRSRRRRGTKFDNSKSSFLVSAKDDVLSSQHTKPEVPSPKKRKGLLPSSVDKNKSQSKKLSPVDDATSSPTNCRKALYPAVDSSPGKNRGYDEHECCKNRPCHCLQTKSINVMDAFASPPIRLLPELTSVQTKLVMISLNEVSELTDVISPSEKRRKN >KN539098.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539098.1:12675:13007:-1 gene:KN539098.1_FG007 transcript:KN539098.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRLSEFRRQITAELQPGRHLISKTSPMYYGHAGAGGRAKYEDFPKYEWYWTTSISSGIGVLHFFPFLQRDDVQFSPKRIILEKRTRTQSARLRLSWEAGYCGWTAAA >KN539098.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539098.1:143649:144383:1 gene:KN539098.1_FG008 transcript:KN539098.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFALVLRFIGSVLRTSRGCSRLRALLAADTSEGKSTGKENKCINTGRFDRVNNIVGGLHDVFSGLADAVDCVGGIGAITSLVRHVYGVFAASVRRWATLAFRWIYHREQILGQQQTSSLPISFTAVDHTIISTVEDAQIAAAQWNGKELIDVPEDITPELAIEIWQIFLEHAAGDVIDKAPSLSVKVGQQIILREKIKAASMKLQSKKAAIEVLENHIKCTEGDRDACVRLLQNLRGQRQHL >KN539098.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539098.1:133753:140192:-1 gene:KN539098.1_FG009 transcript:KN539098.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTAITLTGFMFLVVSALLGYIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHFFTFLTGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYIIVMILMILFAVIPTIGSNVSNVQKVVEARKGSMVLALAMLLPFIALLTGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCMVNYLRGSIKRYIIITIARIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >KN539098.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539098.1:59851:62966:-1 gene:KN539098.1_FG010 transcript:KN539098.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKKRVAIVGAGSSGLTACKHALAKGFRPVVFEAAGDGVGGVWRRTLASTRLQTPAFAYRFSDFPWPADVSGADQVFPRHDQVVEYLAAYARRHGVTECVRFGCKVLAAEYAGVPDEEAAAWERWSGNGKAFGDGSGEWLLTVQHRGSEATQIHRFDFLILCTGRFSGVAHTPTFPPNRGPEVFHGQVLHSMDYSNMGHAAADELIRGKRVAVVGSGKSAFDTVAECAAANAGGRYPCAMICRSGRWMVNGGFVWGVSLGHLFCNRLAELTVPKPGEGLALALLAILLTPLRWLLSKLAETYFKMQIPMEKHGMVPEESFAGSMSGCRLGVLPDKFYDRVEEGSILIKRARSFSFCTDGLVLDDDDAGERVDADVVVLATGFRGDQKLTDMFVSATFKQKIVAAPLYRQCVHPRIPQMAVIGYTENLTSIYTFEMMANVVRMEASAAEWDEHLVTRRHGEGGGGGDKPCLGAVSTWYNDELCRDMGYEPRRKKGILAEWLQPYGPADYAGIL >KN539098.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539098.1:29077:29900:1 gene:KN539098.1_FG011 transcript:KN539098.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVPSVTAFFGLLVSMYATGFFPYVDPQSGGESCVVRARPPLDAAVHTMLLTAAVAMVGFNIAVALIYARLGGGGGGGAVHRRIPRVVYLILFASSGVLHVFLLQLFDTY >KN539098.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539098.1:4283:4588:1 gene:KN539098.1_FG012 transcript:KN539098.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAAVFSLLVLCIAVGILDAPAQRLLAFAFKNPPATVPVCVATATIIGVTVVTLFFRKAKNADAAAAAAPTAMAMALFHNHKMILVMITPFVVIFFLCS >KN539098.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539098.1:77572:79034:1 gene:KN539098.1_FG013 transcript:KN539098.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPDVLTEKKDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFGFIHLSAGELLRAEINSGSENGTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNKENRAVFESVINISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIEPIRKRFKVFVESSLPVIEYYESKGMVKKGQDLKFTVHME >KN539098.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539098.1:145391:148698:1 gene:KN539098.1_FG014 transcript:KN539098.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGGGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALEYLLTAIPSALPSVQNLTIEARILQESPWFVETSCKFSQLKCLRMLLHHRFSDNHNTLGLASFLKAAPLIEQLELDFNDDGYMSDVETEALRSLPKWSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLVII >KN539098.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539098.1:104482:111321:-1 gene:KN539098.1_FG015 transcript:KN539098.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPAAASYCALVALLLVAVAVADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGILCDNVTFAVAALDLKSNGLSGQIPDEIGDCSSLKNLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSISPDICQLTGLWYLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPEFGKLTGLFDLNLASNNFEGPIPDNISSCVNLNSFNVYGNRLNGTIPPSLHKLESMTYLNLSSNFLSGYIPIELSRINNLDTFNLSNNGLVGFIPAEIGNLRSIMEIDMSNNHLGGLIPQELGMLQNLMLLNLKNNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCRSSGHQQKPLISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLTVTILGLLLQMQILSKTANNAVMETVDPDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDPPPKSAQQLAMPQPPAVPSYINEYVSLRGTSVLSCANSSCTSDAELFLKFGEVISQNTVE >KN539098.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539098.1:33590:38346:-1 gene:KN539098.1_FG016 transcript:KN539098.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLIHRTKRLKQCHQQPFKQSRIKVQFADLPEDLLCTIFSKMPLKDVVRTSVLSRNWRHMWTCCTKLRFDGVTISSNNINAVLHQHSGKIVEEFEVKFEFDSKLVDHLNGLVDFAVSSQTKKMAFDLVPTNPVGLLDRYRFPFERLDNGSISRLQHIQLSFASLELPPHFSGFLNLRNLDLHLIRSTQKDLQDLLSQCFNIEWLSLVRCHIGGEIKVTHPLPRLLYLRVKYCDITKLQLNAINLKAFMYDGMRHPIDLGHALVLKEASLHFFGSIHLEDALTTLPSMLPCVQSLSLDAYVPLTTLPSVPPCVQPLCLDVYVPLEVSSLLKNTCKFSHLKYLQLKLRLYYDDSGNILSLASFVRASPCIEKLEIHFNSYALSHLYYQPIRRLPQARISKSLVYMVMPSTQFSHRKDVRSKHSFYFSNITLCRKPLCCPHVLHWPSGGQGLVSGTTFGVNVSELAVEELSVPEYLEFMEQLVDGQQPGCCKRNYEGNAGQIYHFSCQLTADLIAMTHRLHIMTSTFQVTARSKDTVRQYESHIAFTLPGLTRGLLLGCRFSLALTRCSCNKTVRPSHITLEVHKRMQTIR >KN538892.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538892.1:188303:190358:-1 gene:KN538892.1_FG001 transcript:KN538892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFKVADEVHHAGGSLMFHSSGHGTQKLELSGDEAICPVATGDVEQNGRIMNHEINELDHHRPPAQGFSSEQAAAAAAIGAMTYSFIRAVESEPGTTYGRLLAAMRATIREGQQGSGVRRLLPGRLGSFVRKMIPSGGVQEPQLCASEVFDIYRKPFLL >KN538892.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538892.1:105618:109397:1 gene:KN538892.1_FG002 transcript:KN538892.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSGIDSPSSKLLQFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKNPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPMQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENYEIGKRSLGKRGLGHSDVTMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >KN538892.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538892.1:165599:166226:1 gene:KN538892.1_FG003 transcript:KN538892.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHNQEKTELLHTLINGRKLSDLPKISQPTLIIWGEQDQVFPMELAHRLERHLGEKSRLVVIKKAGHAVNLEKDKEVCKNIVEYLREPILSALNGEK >KN538892.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538892.1:133956:145783:1 gene:KN538892.1_FG004 transcript:KN538892.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRKFLMPNQPPDISQMVLEARKRWLRPTEICEILSNYRSFSLSPEPPNRPGSGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGVKQSFSRSKEEIMQLSGADSPSCSNSITSQNQMTPQIMDAAESPISGQISEYEGAEPAKFGAADNCRASSRYNPLIEMQQPLDGIVMDSILYPSASAICNQVSGYHGELPPGTSNLNGHTFTHSDIARMFDDSSSGLRDISRTLFDSMPYDEHLSGYANGFMEPTLHSSFSMIEANNLEDSSLLETFTSEALYTNNLSQKEADALSFAGISSPEVNGNKYTEGSTKHPLLKQLPLDLFKIESSGLKKHDSFSRWMSKELGEVVDLGIKSSSDALWSSTENVNAADGPSAPINEQLDAYAVSPSLAQDQLFSILDISPSCSYIGLKTKVLVTGTFLASKENVENCKWSCMFGDVEVPAEVLADGSLRCYAPEHQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYMETSHSQANGINEMHLQIRLEKLLSLGPDDNQLLVCGNEKLELINAINSLMLDEKWSDQGSPSGSKDVVTPRNQSLKKLMKEKLHCWLIYKINDCEKGPNILGKEGQGIIHLVAALGFDWAIRPILVAGVNVNFRDAHGWTALHWAASCGRERTVGVLIANGAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAESALTSHLSALSLKDSKDSNVEEARGLTIPEDIPEMYSGQLAVQDSHAESLKDSLSAVRKSAQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTFSLISLQKVKQGQHDTRLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYKKVVWSVGIVEKVILRWRRKGRGLRGFRPEKQLEGQTQIQPAKTEDEYDYLQDGRRQAEGRLQRALDRVRSMTQYPEAREQYRRLTTCVAEMQQSRMMQDEMLSEAAGADGSDFMNGLEDLICRDDPQMSAIW >KN538892.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538892.1:193939:197779:-1 gene:KN538892.1_FG005 transcript:KN538892.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGRKRALLVGVSYQDDSNSKLTGSAKDVESMYNLLRDRFRFPEKFIHMLTEELGAKDPLKAPTRENIMKEMRWLVEGCSAGDSLVFHFSGHGRQRKDDNGDEVDGQDEALCPVDYKESGNILDDDINDAIVKPLTQGNGSMFKIQAGGGVMTRSFLEAVGSRRTMTYGELLDSMRAKVHHRLQQSSSGKCLVTSCLGSLAAKCLPCCFLSVQEPQLCSSEEFNVYEEQFIL >KN538892.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538892.1:111853:114013:-1 gene:KN538892.1_FG006 transcript:KN538892.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAGTTADDLLALFDRCGEVLDIRIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRTVDGRDIMVQFAKYGPNAERIHKGRIVEEISKPRGRSRSPSPKRRYRADYRDRGYRDDYRDRHYRDDYQDREYRRSRSIERYERERYQEKGYRRRSRSISPDYDRRHRKNGYACGDALPVSFLSYLTASMFKL >KN538892.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538892.1:167418:168538:-1 gene:KN538892.1_FG007 transcript:KN538892.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSQLMRGPVNDVKCMRYLLCERFGFPNDCVLILTDEEKDPSRLATKENIRMAMNWLVQGCSSGDSLVFHFSGIGVQVPDDDGDEVDGYDEAICPMDSFSQGPILDDEINEAIVRPLVHGSKLHAVVDAEHSSTVLDLPFLCCLSSRSGGWQWEDHRPPTGAYKGSSGGQAMLFSGYSDGNNKHSLLPEASTVGAMTHSFIKAVECEPRATYGSLLTTMRSIMRDGGVTCNLQGPIGAPIRKVANFSGIQEPNLSCSEMFDIYRKPFVL >AMDW01078773.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078773.1:710:1179:1 gene:AMDW01078773.1_FG001 transcript:AMDW01078773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQNDERKNKYAVGCSIIGSIISVLMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTGVI >AMDW01038825.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038825.1:178:528:1 gene:AMDW01038825.1_FG001 transcript:AMDW01038825.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NKERRAAPISQLELPTRNAKLRRRMRQFTLQALPLSGVTRRRRPCRRASPPPPARRMNGAETEVKLEQERGREREKRPGDRPPTPTSVTNAMDEQFGRKEGKLRGEGDDEEKRGLG >AMDW01040835.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040835.1:44:2440:-1 gene:AMDW01040835.1_FG001 transcript:AMDW01040835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RGDGEEDDEPGVQFFTPGNDYLHDFSDTDSLSVSTPNGVARSLTPSPLESPTWMVGHNDASPTSKRNERLSLDSLGCDTRLNGGIADRSGGDMTRYPADFDANVWLPPSPEDEGDDVEARLFGFDDEDDEAGDSGKLLSLGSFNTNKIVGVDTITDIAHKEGLRNAVLGHFRALVAQLLKGECIDLENDNGSKSWLEIVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMASEHVNAKLLILGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCSGAQIASSIDNIASARLGQCELFKVHKVSESSSGKQTNRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVVQLAVFAAYHLSLETSFFADEGATLPKVPSRPMVVVNDIRSDPSNYFAGSAGVGIPHGLKPVQGKHSEATRVNGMFKENSISPGSLSLNEEGEGVISEHRESKIPVEHMNCHDHDSFHATESCKGHKIFPCSLDHDIRTSDMVMQYQYLNDSTQLPINDDRQGMVSGKKFQEVDHYGPKPHDDYLMGDADGPNELS >KN540637.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540637.1:3560:13118:-1 gene:KN540637.1_FG001 transcript:KN540637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVGLLITKLSAVLARDATTLLGASLLLKEVSALRDLFSEIHDLKEELESMQAFLQGAELFRDTDETTGIFVRKIRDLAFEIEDVVDEFTYKLDDKHGGFADRLKKRIKHVKTWHRLAHKLQEIKRRLEAADRGNVREEDLVGIKENKEKLIQWLTVEFDAAAWIAVSKSYDVEDLMKEMAKDFGLRIDIVNMENRSLIEMMHRYLQGKRYIVIMDDVWGVDVWFKVRHVFPTNCISRFIITSRIHEVALLATRNSVIQLEPLHEHHSWELFCKEAFWNNDNKTCPLELKYLAQKFVEKCNGLPIAIACIGRLLSCKQLTYSEWENMYKQLEIQLTNNAIFDVSNILKLSLEDLPYNLKNCLMYCTIFPEDYLIHRRKIIRHWITAGFIQEIGNKTLEQVAEGYLNELVNRSLLQVVRRNEFGRLRQCQMHDIIHLLALNKSNEERFCQIYNGSRKVLVAGTRRLSIQNENVEQLDRSGATYLRALHVFKSHINIDLLRPILKSSNMLSTLDLENVQINMLPNEVFDLFNLRYLGLHNTNIETLPESLGRLQNLEVLDAFETNLSYLPKHVVKLEKLRYLYAGTFFRDHEIIGAFGGIEMPNGLRHLTGLQALQCVKASSEILHEVGALRELRTLGVANVKSEYSADLCAAITKLSHLIHLEITAPGEMEVLHLEGLHLPMTVSWLGIEGILEKTSMPQVLSSWSHVSTLTRLRLAFCRLDEDSFSSLLVLHGLYCLEISTKGFIGKRLKFSAGSFPNLRTLIVWGAPELNQVDIENGAMQSLDYLLFIECPNLRDLPHGIEHLTVLEKLCLLEASEELIQRLRKMRDSDECDEDLMKISHIRNVTVGQIQKQIWERIR >AMDW01023710.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023710.1:54:323:-1 gene:AMDW01023710.1_FG001 transcript:AMDW01023710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAGAGDHNVVTNALPDGFVAETKGRCFIAEWCAQEEVLRHRAVGGFLTHSGWNSTTESLAEGVPMLCRPSFGDQMGNARYVEHVWKAGFE >KN540637.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540637.1:45682:45987:1 gene:KN540637.1_FG002 transcript:KN540637.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRAPVAVPTTCINGVGVPTTEKLVYWDGDFGKDPRVVYGDGDGVVNSASILALDTVIGNDPKQVYYRSVKIAGASHVGIVSDAAALRRVIAVILQDNFV >KN540637.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540637.1:30341:33103:1 gene:KN540637.1_FG003 transcript:KN540637.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLILKLGFALGRETSIMGAKKLFHEATALSRLFQGIHEVKEELEGMQSFLRGAERFKDTDETTVNFIKKIRDLAFEIEDIVDEFTYMLEDRSHGALASKIVKSIKHIKAWRHLASKLEYIKLKIESADRRKVRYDMRGISSIAGNIDDCSTSSGNFAREEDLVGIGKNGELLTHWLKNNLEQQRSIITTVWGMGGVGKTTLVAYVYYAVKTEFDAAGWVTVSKSYLIEDLLKQIIRGFINNDPQGDLYNHIDFSTMTITNLFEHIRNYLHGRLLSFKEPSYDVWENLYKDVQSQLTNNVILDINVVLKVSLEELPHDLKNCFLHCTVFPEDYLMSRKRLVRHWLTAGFIRETSNKTMEDVANDYLHKLVNRSLLQVVERNRNGDVHTCQMHDIIRILALAKSEEECFCKVYDGSSAFLAEGTQRLSIQSSNVNQLSRSGAPHLRHLYIFGSGLSIDSLTTFLKSLRLLSSLDLEGINIKSLPRVVFNLYNLRFLGLRDTNIEVIPRLIGRLRHLEVLDARNTKLMTLPKDIVQLQKLRYLNVDTIPEEADRKVVFFGGIRVPTGIEQLTRLQTLQLVEASTETLCHIGSLTQLRAFAVNKVRNEHCVHLCNAIMKMSHLVQLKIKGIDEKEILQLEELHIPPTLSTLSLGGQLSGKSLPHLILSHKSSSNITRLSLAFSKLSEDSFSCLLNLDSLYVLHLLKAWEGNRLYFHATSFPKLKRLLIWDAPYLNEIEIEQGAMPRLVKLVLRDLPKLKTLPHGIEHLRVLEELELRDTSEELIEKLQQKGQHMACEAHKSIDHIKSISIHGTR >AMDW01064310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064310.1:108:402:-1 gene:AMDW01064310.1_FG001 transcript:AMDW01064310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHY >KN539618.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539618.1:41113:43906:-1 gene:KN539618.1_FG001 transcript:KN539618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETFDMICEALGSAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLERFQAAYGVPGVIGAMYTTHIPIIAPKISVAAYFNRRHTERNQKTSYSITLQGVVGPDGAFTDVCIGWPGSMPDDQVLEKSMLHQRAAAGMMHSACLVGGASYPLMDWVLVPYTHQNLTWTQHAFNEKVGDLRRGGGADDHVLKVAVRKADGTTLELYRSFAVDRTPICERIWAVSTVLVVANVTKAMSKQGVLLYLLVEVCCYQEDFPWAMDR >KN539618.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539618.1:17011:20327:1 gene:KN539618.1_FG002 transcript:KN539618.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPSCAGDHLASAAASRQAEGEDRIWIELEDPRPLQGSFSKLTVLNLGDGQYELLWMIFFLQAAPFLQNFNLSIQKDMRSRHGRQQETPYCETTCSEFKHKHLKSLKIAGFKVEEKYMEFVRMVMELAMALQTIILTDEESCNYYRPTPTGSRYPKGDREKSSIVKQLMDGITSKVQIFIA >KN539618.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539618.1:50528:53783:-1 gene:KN539618.1_FG003 transcript:KN539618.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEGARPPAGDNLGESSHRQICPSSTGCPALLPTPNLPPRLLIPAAPLRQPHTSKEAVAVLRESLDSLEVAAESPGLEVAEPPVQECATEAALGSPQAATSEAAPVTSTGVALVAGVVPEVPAAAAAAVEMSGDSEAAAITVPATVPVKQKSLWLNQWSSLRQNDVSPTSSLPAPASHQTATRPKNKKDQSPPVSQIPEPADRADDNSNDFEEYASRDTNSPPAHAASPPAQSDFDEVFVPFTDNRLVNHFATAYINPPCANPAGLIRELMTEHMPGLFFNMFASGRGAMTLRFTYRAHRELAFQHQSVLDSGAHILVLERPEDSEVRFVQHLGKLSQLEITDFPPELLFPGRIRTVLIPIGFVTEIDDECLFGYEQSSLRLVIQHYPGKIFKPLLLIRFQDDTVCMARVRVRATWDLGENIDEDGLHVRHFPSFRLQPSPGGIPRPQIARPHDLQQAAPAPFGAQGGGYNANHAQHAGNLLLLGVASTVAKQTEGPDSVDLSAFDGEIHESSIRKITHRKKRQIDQQQKLRKSERLAQKEAANFLTIEDKAMRAKKLKEQLAQCSSKLNDAIVKHKLLENDFKASPQALEDVAMACCLNDQDVSELRKVLLSVA >KN539618.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539618.1:74075:75454:1 gene:KN539618.1_FG004 transcript:KN539618.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLSALASDLASRIISYLLLKYQKKTTMDMMDRLHQLLLRASTIIEEADGRYISNQGMVLQLRRLREVVYEGHHILDTFKGHTMVSRSFNLKKIQVTMGSLESTIDDMKEFDVFLMDCPRILRQPYITYLFMERCMFGRHKEKAHIISFLMQPSNSTLEVLPVIGPREIGKKTLVAHVCNEEMVKKQFSCIIRLNGNDLNSLENGSAMKRHNLMSFSERCLLVVELEHDTDLVAWKRFYSLFSKINHLNKVILISCLQKVSRLGTTQALRLKEMHPDEFWYFFRTLSFGSENPDEHQVLLSIAIKIAALMSGDFVCANVLSRLLRANLSAEFWSHILRFLNKAVQWHFHVFGEQPLYCLRKGLPYYISNYNDGTFILCNSSYSTTRNSVECGTRKIASVDISLRGVAAPTEGIFELVKWRSPIPPYYSYLVKECVIQKASEVVPEDRGLKRKRKRRV >KN539618.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539618.1:4747:9309:-1 gene:KN539618.1_FG005 transcript:KN539618.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESAAAKRRKRKKEKERKATSKVAEADAKKPPRHVRGMLEVLAKRKEAEELRKREEEQRQMKAEEERLQREEDERMVEEMKMQQKERDKGKTMKKRQDGKTLSGKQKEEARRLDAMRRQFLGQSGILAHSDLGNDGGANERKKRPIYDSKRKKVQSKADEAANGDGGHMQELHKVNKEEEEEFAIMEEQPHYCVEEDGEKIKLEEIKGAESVQVSKEDDEESWEYKWDDKIFSDDVNVDVNLFERINFEERFTKEEKNEALKSSNEQVVSLVTGWKNGIEEWDDDVDENDKETRVLTPKKDPAKVDKAEKYMDLRSPICCILGHVDTGKTKLLDCIRCTNVQGGEAGGITQQIGATFFPIENIRERTKELKADAALHVPGFLVIDTPGHQSFSNLRTRGSSLCDIAILVVDIMRGIQAQTIESLNILKRHKTDFIIALNKVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDFKMQGINTVLYYRNKEMDCTYKNIVPTCAISGEGIPDLLLLSVQWAQKKMKERLIFSNNIECTVLEVKVTEGHGTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMKELRIKCPYQHHKEIKASQGIKISAPGLEHSVAGTSLFVVQPGDDQEKSVNKAMAEMAVLMNRIDKNSDGVYVQASSLGSLEALIEFLKSPAVNIPVYDFNIGPVHRKDVMKASVMLEKKKEYAAIFAFDVKVMPDAREIANESGVKIFVADVIYHLLDQFTTYIEGLREIEKDEKIVEAVFPCVLKIIPDCVFNLKDPIVLGVDVLEGVAKVGTPLCLPSKGFTRIGKIASIQNSSKQVDVARKGEKVAIKNTVLGVFDRSQEALQMSKRNVSGDLTLKEWELVRTLKHIFRIP >KN539618.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539618.1:59264:63542:1 gene:KN539618.1_FG006 transcript:KN539618.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAVRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKGDIEGKSKKKAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEALDEQLTKEQEDKKKETVQSVREALVSKKSDFRQLEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSSETSKKTDKAHRKDKELPVHRSDDDNDDDNEDHQLTKSRKLSMKKKGIGSEATAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKTDEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >KN539618.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539618.1:1396:3805:1 gene:KN539618.1_FG007 transcript:KN539618.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding AIAGEDRISALPDDLLVSILEKLGNTRQAVATSVLSRRWLHLPWRIRRPFLSIFQFLPRSARAGGEDGFKNLEQQQQQQQRDLNRAIPPFIWIQPEDSNRFVAAFGRLTSLSLCRIFDECDLLWTLYLLKAAPSLRKFSIGHYHLAQLEICGFEANDKYMIFTRLIMEQAKNLKVVILSDEKTCDECDFQDDGTSSTGSSYPKNKEEKRLIQEQLTEGISSPVRVLVL >KN539618.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539618.1:21114:23533:1 gene:KN539618.1_FG008 transcript:KN539618.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDDLKKPKLAGHFRSCSAYRVCSPSPLLSVARFGLDRLELSCIDCGEFFTQETVQGHTQCISEAEKYGPKGQNKASNNAQGKQDKPKPNADVDINVGLSTYPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAYHASQKQANGVEQTPKETVGAPMTESSQVNNERSTENERGVDKDAAKRKRANDTTSEEPDNTKRPNNLSVNSGEVVQSANGEAETKAKSKGTKDELVSSANLKGSKKQKIKWKKIITKVLQTNPDGALKIKKLQKLVTKELLECGLTEDKEQMHAILMDKISSSSRFSVDGKRIRLVAKD >KN539618.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539618.1:78385:79686:1 gene:KN539618.1_FG009 transcript:KN539618.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLTALASDISSRVISFLVAKYRKQNTMDKMIRLHRLLLRVFTIIEEVDGRYISNQGMLLQLRQLRIVMYEGHYVLDTFKGHAEVSRSFNLNKIQVIIENLEPMMGDMKEFIVFLMDCPRILRQPYNTYLFMERCMFGRHMEKDHIIDFLMQPSNFSLEVLPIIGPQEIGKKTLVEHVLNEEMVKRKFSCIICLNSDGLRNLLGDGSPIEQNNLIYSNGKCLIVVELQHDNDLIAWRRFQSSLSMINFVSKVILISSVQGVSRLGTTQALRLKKMRKDEFWYFFKTLYFGSTNPDEHKELIPIAMKIAVLINRDFIGAHVFSRLLRTNRNAQFWRRMLVFLNKVIECNLHVFGEHVSDSVGSNRPYYVLSNPDDAPHIWCTSSNTIPGHLVDWGSTIITMEGIMSEGVALPTAGNFQVIRWQSPIAPYYSY >KN539618.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539618.1:25310:30727:-1 gene:KN539618.1_FG010 transcript:KN539618.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVVAVSRFFDIPLWMLTGNSVVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRERLHAALVEELSRIEGSVSGANLGSVEFKKKWEQAFVDCFSRVDDEVGGNASRGEAVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGNTVSCYRLTVNVHSAPTSANSFYHAIFFSDQEYTVQKHFSLTYCVVSVTGDRYLKPWIIPVPEVTIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGTNPASAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >AMDW01066906.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066906.1:190:390:-1 gene:AMDW01066906.1_FG001 transcript:AMDW01066906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMRTVLIEHALANSEEERNVNISVFAKVAMFEEELRAALPREVEATPCGRGEWHRHKGEQDHRVQ >AMDW01040468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040468.1:83:1594:-1 gene:AMDW01040468.1_FG001 transcript:AMDW01040468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DRVSAVWLDGAELLRTTTAEPTPEGVRWTVRKDVTRYSALLRSPPGGVLSVMLENVVNDKYTGVYSVNVSLEFHGTPPYLSDAASSSPAGVASNDPKEPMLPESYFQPADLIVPISDVAGNGKGGFWFRIQNASDSHSRLVTIPSSTYRAVLEVFVSPHSNDEYWYSNPPDIYIRENNLTTRRGNAAYREVVVSVDHRFVGSFVPFPVIYTGGINPLFWQPVAALGAFDLPTYDVELTPFLGLLVDSNAHEIGLSVFDGIAEWLVDANLHLWLDPSTSDVHAALGAYQTPRLKISRHYSTRLLEGKFKIKAKRKSSFSGWVKSSFGNFTTEVEAELKATSLVEFTGDGRNKTVSLEAKQETKTLIRAGDTRKVIGRVEREAKYPLSLFAETEDGENGTSVVTVSLTHGLSVETEVETKALESKEKLDDAQAAQGWMVLLDHDVLNGSVTTTQAYRFSDDEREYERAIDVVDGAVLSDNVTESFSALAAAAADARRRRFHAGIAA >AMDW01033228.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033228.1:66:371:1 gene:AMDW01033228.1_FG001 transcript:AMDW01033228.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IIENAGTDVDDIDVFERTHRHAKGKGQYANKKAEQLAVVYNDRVKEGENNQVDKQHVWVQLTKGRKRG >KN541683.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541683.1:720:1143:-1 gene:KN541683.1_FG001 transcript:KN541683.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRREPEAGGGAAALGAVGIATVSAATTLAAVFQPPPGGLLADTFYRLALSGTFLGGMTLVGASVWVADNPAARRAAGKKLLYTAIPPLLAAMGLSVAALLWSM >KN541683.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541683.1:16951:24691:1 gene:KN541683.1_FG002 transcript:KN541683.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGWAGEAEPRVAFRNIVQRPRHRSSGETVTVVGDTDPALMKYFDCTRSAIRSPFDDDVVYQFEYMEYILDFGFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIGNDTYLFVPLLSIVHGSFEVRIVDAKDVSSYPGMIGIDQAGIDEMVSISLRRLMEDEAVKERLCQSILVTGGCSLIPGMIPRLESGIRQFRPYLSPLKLVRAADPLIDAWRGAAAFAASSKFGRHTFSLADYREHGENLFHRKLKRGISTKRGDHPVQNGQNPVDEWEEQQRYWPSPRAPPVSPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMMWTPRAGPSADDSKEHNDADYGSPSTARHPHSSCESACAPEVFLEASSRQNSPLPSPTAHKEQPYFK >KN541683.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541683.1:10974:13230:1 gene:KN541683.1_FG003 transcript:KN541683.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAREGEPLAWLVLPADFEEYLNLQSATFAQLYRCLPISLLKKENADDGNRVFMPVSALDRLGYLHIEYPMQFQIQNATTLQTSYCGVLEFTADEGFIHIPTMMMEHLGLRENDLVLLRSTSIPKATFIKLQPHTSDFHKLSEPRYLLEYNFRNYFCLTTGETIAVAAGDRFYYLDVVETRPADAVCVIETDCEEAKSGEKDDGNRFTGKKYSLQF >AMDW01040499.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040499.1:43:570:1 gene:AMDW01040499.1_FG001 transcript:AMDW01040499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAASDVSYNIVVLFFGCRDDREALSYATRMVEHPGIALHVIHFVPQSGGGANDKAAADDAFLAEFRGKVAGGNDSIRYEERTSGGKVDVVEAIKAMGPTNLFLVGQGSPCAPLVEPSAECPELGQVGSYLAMPDFSTVASVLVMKQYDPTAEHYELVEEVTDTAVDIDTPGPRRG >KN543953.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543953.1:2694:4923:1 gene:KN543953.1_FG001 transcript:KN543953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANASTVKPVVAACYDNNLVNSQGMFLGDEPLRFALPLLLVQVSIILTLSAAAHHVLRRLGQCRFVTHMLLAVRLSLSSFPVVADALAELDLLNSELGRVALNASLITDVTSWFLRACFAAAFLITQAKSPLFTAKVLASFAAFVLFVFFVARPAGRYIARKRTPPGDLLSEGSFVLVVISALLSALVTDVIGFKFMIGPMMLGLALPGGMPIGATLTERLDSFFIALFLPVYMALAGYRTDLAELSLIGVSAEHEEKFCALELFVALCVAGKMVGCVAAGLFFSMPFREATVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVIITAVATPLIKLLYDPSGRFARAKRRTMEGSRPNAELRVMACLFSEDHAAPLLDLIEASGSSRDAPVSLIVLHLTELVGHAASVLKPHRKSRSSCGNPTPSDRIVNAFRYFEQQAPLGAVTVSPYVVASPYSSMQHDVCLLAHSRKANLILLPFHKSSDGARSTANNAIRVINRSVMQYAPCSVGILIDHGVAAGSACATASNSTLQRVALYFLGGADDREALAYVARMAECGVVAVTVVRLKLRDWVGMGGRDEMRDEEALQEFWQRYSSAGAERVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRREGGGDGAEGSSAAALTSGLSEWSECPELGVLGDMLASADFAAKISILV >KN540569.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540569.1:22311:29264:-1 gene:KN540569.1_FG001 transcript:KN540569.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAASSTTGSGGRRRWGGTVGIISGATSTRTPSGAPGYIAADPKKFPSGIKALADYVHSKGLKLGIYSSAGSRTCSKTMPGSLGYEDIDAKTFASWEPYITDSDGKTNEKAITIDDDSEESLDDYFDEEYNEKVTQESTKTNPSKRRVTRRFKTSNKKNKGTQPTSLLPLPLAHAFISSTSPAYTGQRNVATWGGQYGNSWRTTGDINDSWASMLSNIDSNDASASYAKPGGWNDPDMLEVGNGGMTNDEYVVHISLWAIAKAPLIIGCDVRSISRETLEILSNPEVIAINQDPLGVQGKKVRKYDNEIEHATAPGRFTGSLTAEVAAHSCKLFVLTPVGRAGEERIELGS >AMDW01025491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025491.1:15:289:-1 gene:AMDW01025491.1_FG001 transcript:AMDW01025491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKQATDKA >KN540569.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540569.1:43636:45748:-1 gene:KN540569.1_FG002 transcript:KN540569.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLISTSLCLLATTIVAALAYASSTTTSWSNRERLLPPGPRLRLALGVDDGVLRGLRVAHAEILSLAVELGAFHLMPMVLMAAYAHRCWKLSGLQRRHHDIVAALISARRRRMEKTSTCYVDTLLQLGLGEDEMVSLCWEFMNAAAKTTSTALEWTMARLVHHRDIQHKLRHDIARTNNGGLSVISPSPYLKAVVQESLRRHPPAHYLLAHTVDRDVPVDGGYVIPRGSIVNYAVAEIGRDATAWTDPDEFVPERFLEGGEGAGVDVVPSGGAEIRMMPFGAGRRACPGSNVAVSALRYFVGRLVEQFEWWPQLTAEVDGSSLGGAAACSKVKAEASATFSAYQLHRCYRASPSAVQLRRARQSSHTRRRQRRALNTKSVGRDGATAVLTL >KN540569.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540569.1:35075:35719:-1 gene:KN540569.1_FG003 transcript:KN540569.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCWEFMNAAAKTTSTALEWTMARLVHHSDIQRKLRHDIAKATNSGGVSPSPYLKAVVQESLRLHPPAHYLLAHTVDRDVSLAGGSYVIPKRAIVNYAVAEIGRDATAWTDPDEFLPERFMEGGEGAMVDAASCGGAEIRMMPFGAGRRACPGASFAVSALHLFVGRLVEQFEWWPVAEEEEAAAVDFSEKTGLVTVMKTPLRALLVPTTSS >KN542278.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542278.1:15583:16822:1 gene:KN542278.1_FG001 transcript:KN542278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHKLQLEDLSQTCRRDHYCVRCVHAFCSHCCDDHHFVPLGSHIVIPIAGVDAATGKPVIPAHYPRRPDQPITDFVIGLINANDFAEEHPRDAYCMYCFMAFSTALCHHHHTCAADCVLRITYYPNKGVSSG >AMDW01039105.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039105.1:27:155:-1 gene:AMDW01039105.1_FG001 transcript:AMDW01039105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVATTLPSLAGAAPAARKRSGVTYVEGMNAYSGLKALNK >KN538825.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538825.1:154110:158618:-1 gene:KN538825.1_FG001 transcript:KN538825.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMESMTALMESTGSKLQLLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKEQEKEFEETVTKSEQMLEQQEAAVVAKELTSLERLQQKRDAALAIIFGKSKLNLSMPVINPISKCVSNNAVLNGNIASLWPKPATAHGAYLQDGNTAVKPRSQLVILCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISKGQMLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFAIFSEFAEDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLIENGRTIDAINLAYAFELTGQFEPVELLKAYLKEVKSMSHVKTGKISPGVQNEINERELSALKAVIKCIEEHKLDEKYPIDPLQRRVIQLEKAKADKRRAVEAGKPQSKRPRANGSAYAPHITSFSDKSFYQAAAPQRHSYPYERQYVYGAEAHHHPTMISSAPYGMSPAHTTYYGNGYQVQYQLYQSTS >KN538825.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538825.1:131712:145260:1 gene:KN538825.1_FG002 transcript:KN538825.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDHFTLPTIRHILSLHILVDYYGSKKLHALSHGATASSSMFQATGSAPGTTGYVNITSHKGGKIDFISEDADESAKPSRYVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPAPVNLTELLSKKYCKSFAGLLAANADVFRAVNETKDNGLTLFCPVDAAVAAFMPSYKNLTAKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATASESKKDYSYDVQNKGETVTLDTRVVNSAVTATVADAEPLAVYAVNKFLKPKELYKVVEAPAPAPEPSKKHKSAADDSSDDSSDDSGDVKAHKGAAAPAPLARNLNMN >AMDW01036758.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036758.1:143:259:-1 gene:AMDW01036758.1_FG001 transcript:AMDW01036758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGLNLAICDPLTHSSDPYVVLRHGSQ >KN538825.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538825.1:63461:82353:-1 gene:KN538825.1_FG003 transcript:KN538825.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNQWELSRDWIFLLVMLVILLSLFHVQIRIKVFAFENKLANIGNFLPIKLAIAMTSRFFIDFVSTSDPSIPFGFENNMKCEVSTVVELSVPPSFIEGRYTFVLVEQVESTVVEIVERSLEFCLLYLEKSSYACEDYGLLNEVAYFMECVLLRGTPSKVYSLEPSVVNDVIEQWSSVQVDSERISPQEKYFCYLKGFNCSNSGDDLQRFRLTLSPECLQQDYVIAENTESSHTASPNGMVSIAQHFAVVHLHCIPLLLTLVQKLCQSPALDVIEDTNFNMRLSFGQRILKLVHGLAMEFPCDASDAMMLCSVARCTDSLPVLFKLKFKFANHDRVFSGDGVGTVLLQILDEFLQLIHIIFCNSDICCTVQVCILASLLEIFSPEKWKYDRSAACLMPPLVYSPHIVQYVLKLLNDTKRWTSHVDRDRPGKDVLGYSCNSETDGLSCHARSKKVPLLKKYTSEEYLQLIFPSEEQWLDDLVHLIFFLHEEGVKSMPILEKPQMSCTKQVTLSELESVASHEEEALFGNLFAEARSTGVADSVEQPISLGSGPSSSQHGPIQLAADLICFMKMSIFSPEWCTSIYVDACRKFHSNHLEQFLSILQCPAFCSDESIATTSLSEVNSLHINTACFELLQMFLISHECPASLREDLVDKVFNAENGMYTYNNYTLALVARAIISGTSSIYNLGRKVFVQYVGYLLEKANDKSSSSLDLNNFCRILPCAFHLEILLVAFHSTTGPEKADLINIVLSSLEKMRQPPLGVNASGLTRWALLLSRLLLVLRHMLLYPVTHPSWLLMRLRSRMRDILLKEGQSRSMNDCLPSFTAEVVEGIFADTVKEYASTSSLFPQLIDVTPAHAEIYFDKSALEALGLNFANLGSNISEILGVWKGRKAEVAEDLIAERYLFLICWSTLSGIGYSGGYEGLLNPDFADVNFFISFALSVSDDASSLLDANLPSVIFGFLKLLQSEILCGPSVLESWDFLRKGAWLSLILSLINTGFWGHQTSGKPDVDLQGKQVVQDAEIFGKSLLTFISENSGHCLHVLSSLLETYLHAFKEAYISFVEKGRVCEDHCYPSWLLKHSAFDKSKHPLLFEKVGSNIGMLEPICDLSSRVDRVATKLGDGRKEYFLLKCLLHGFPVNSASNNSAILSCVLVINEIIYMLNGCIKIMQPNDRDLVDVGVISKLLSMIMTIKSDGMFTSIHKLCDSIFMSLIDQKDDLAGYSDLFVLKQLEGYLADINSKEIMDNEVKEIIVFTIVDLVEDLRSKTNVFKFFLGEAEGTPEGANSLFALEQADMSVFIDVLDKCQSEQVNLKILNLFTDILGDGLCPDLKQKLQHKFIGMDVSCFSSWLEFRTLGHSMKIESTNSTTSGPTALRELTMDFLMRLTCPSSETLAKELQHHLFDSMLLLLDKAFMSCDLQIVKAHFHFIAQLSTDESHFKQLFEKTLKLMENMVGNEGLLHTLKFLFTCVESVFGDAGSNRSALKRLSSKSSGNSFGSGSLIPKQLKNSDSLVLRTNQESNSTVDCDASSGEEDEDDGTSDGELVSIDRDEEEDGNSERALATKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTSSVSPPVTSSFQPILPYHEDVEPVADSGSDFEDDISTEAENCIKLSVPKGFSDELPVFLKNLDVEVRMLELCKKLLPMILSQRELNLLKDRKVFLGGEMPMSQASDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLSISIRGKLAVGEGDKVAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLVEHYLSVAGCEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNKFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVALAGDVGAKTLTDTVLVKDAVSMHKGLSLYFSSTYRLLFVSHQDGTTYMGRLDGDSSSITELSYICENDQDGKSKPAGLYRWRELIAGSGALACLSKFKSNSPLAVSLGPHELFAHNMRHASGSNAPIVGIAAYKPLSKDKAHCLLLYDDGSLNIYSHTPNGSDSSTTLTAEQTKKLGSSILSSRAYAGTKPEFPLDFFEKTTCITCDVKFNSDTTKSSDSESIKQRLSSDDGYLESLTSAGFKVTISNPNPDIVMVGCRIHVGNTSASNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTIIVGRTFDGSSIPRIDSIEVYGRAKDEFGWKEKMDAALDMEAHVLGGSSASGKSGKKAQTMQAAPIQEQVLADALRILSRIYLLCQPGFCTDTIDADMELNNLKCRSLLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSLPSITSRIGVGGAASSWVTKEFIAQIHTVSKVAVHRKSNLASFLETHAVAILNVTRKLGYAECLALHSNEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPDNHAKASAASNSTTGNAQVMIEEDPATSSVQYCCDGCSTVPILRRRWHCNICPDFDLCETCYEILDADRLPAPHSRDHPMSAIPIELDTFGGEGNEIHFSVDELTDSSVLQAPADRTIQTSPSSIHVLDASESVDFHGSMTEQRTVSISASKRAINSLLLSHLIEELSGWMETTAGTRAIPIMQLFYRLSSAVGGPFMDSTKPENLDLEKFVKWLIDEINISKPFPAKTRCSFGEVSILVFMFFTLMFRNWHQPGTDGSHSKSGGSSDLTEKGPVHVQVSTTTLQSSNDDHDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHVFKSSSINGEGGSSSSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKSADKDKSCKVPNTKDLKLDGYQDVLCSYISNAHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHTDLLPFLMDNFYYFSEECIVQTLKLLNLAFYSGKDANHNAQKTESGDIGSSTRTGSQSSDSKKKRKGDDSSEGSEKSCMDMEQAVVVFTGKDGDVLKRFVDTFLLEWNSTSVRHEAKSVLFGLWYHAKSSFKENMLTALLQKVKYLPMYGQNIIEYTDLMTCLLGKANDSTAKQSDTELLNKCLTSDVVSCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTGKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSNDTDALPACSIPRSPSSCYGCSTTFVTQCLELLQVLSKHATCRKQLVSAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNNLIQKKVMYCLEHHRSMDISQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDSGEKEPGMGKSSLMQAKNDDTVGHSVTNLSTSKTQSELSGKISDGSRRRQDISLLSYSEWESGASYLDFVRRQYKVSQAVKGLQKTRHDSQKSDYLVLKYGLRWKRRACRKSSKGDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDTEASRLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDNLLSDVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTRNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTQHSLSNASQLSAAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEDEREESQDPEVEFAIAGAVRECGGLEIILSMIQSLREDELRSNQEELGSVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTTTEETGAGEEAKKIVLMFLERLCPPDGAKKSNKQQRNEEMVARILPNLTYGEPAAMEALVLHFEPYLMNWSEFDRLQKQHEENPKDETLSKNASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAAVGHLRESFASAGQASFRTSAEWTVGLKLPSIPLILSMLKGLAKGDLPTQKCVDEEDILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLAEKIQELRHATRDEMRRRALKKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPPGQYTRCLDQYWDQLNSLGRADGSRLRLLTYDIVLVT >KN538825.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538825.1:34783:35295:1 gene:KN538825.1_FG004 transcript:KN538825.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPEGLLVAGGRGRSCAVLGILTTGGDGFECKVVSSLFHLVSQASHLADAAPSRGVGGGKERRRGGHRWRLGGRACGGGVAVELPAEGDDRGEIGGDAEEDERGSGRVAARSRSSRRRSWAAFAAAAAVIRAWGREGWRRVVRGVHVEAWGRKGWRRGGGMVRDDHG >KN538825.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538825.1:58071:58250:-1 gene:KN538825.1_FG005 transcript:KN538825.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMVALSFNARLKRLIARLNWELPGAQVEYVDQYSILSAIIAKPWEYGQYPVMHFASY >KN538825.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538825.1:145643:149905:-1 gene:KN538825.1_FG006 transcript:KN538825.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEEEFEETVAKSEQMLEQQEAVVVAKELTSLEKLQQKRDAALAVIFGKSKLNLSTPLINPISKSVNNNAVLNGNIGGSLSVKWPKPATAHGAYLQDGNTAVKPRSQLVILCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISEGQVLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFAIFSEFAEDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPPQRHSYPYERQYVYGAEAHHHPTMISSAPYGISPAHTTYYGNGYQVQYQLYQSTS >KN544144.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544144.1:2:2147:1 gene:KN544144.1_FG001 transcript:KN544144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PDATSPDAKDLHKEVALFRYGLIADLALEPEHTRGLYLRLQEKAAQDYTIPGTLRRRVAVETLRGWLRAYRRGGFDALLPRQRADHGSARALPPAVSDLLCQLKDDEPKLTLTALIKKARTEHPTIVTDEVRLAESTVHRLLARRGLMKKRPDEPTAKDRRRFEHESAGDLWMSDVMHGPKVKDGTRQRKTYLIAFVDDATRLVPHAAFALSEGAVVYLSMLEQAVRKRGIPKRLYVDNGAAFRSKHLALVCAKLGIALIHARPYTPQGKGKMERWFRTVRMQFLAGIAEPEKMTLEELNRTLAVWVEGEYHHAPHRGLGGESPADKWARSSEGVRIAEADIQHLFLAEQRRRVQRDRTVTLDGVAFEVDAALVGERVRLRYDPARRPDKRTVEVWHNQRRIEVARRVDVLANSFVKRRNDTREIVMPTTTADELPDGLAMRHLADDHFALTRHPFDKDLTPEDLFLSASLAELKARLKHLVDMRGIGLVTGDSGSGKTTTCRSAVADLHAGLYRPLYVSMTTGNVMDMYKSIAWELGLPTERNRAGLFRQIRAEVSRLCAENRLRPVLFVDEAHHLRTELLEDLRLLTNYAMDSENRLTVVLVGHPELRRRMGMAALDALSQRIVVNALAHHALFAAAIQKAKSINTEHVQAAMHEVT >KN538825.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538825.1:44066:44350:1 gene:KN538825.1_FG007 transcript:KN538825.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPHNFATICGHYYTTSYQNRIENLNKSVHNSPRQFNSSVDNERSHTFDPQMDGSRTGQA >KN538825.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538825.1:101160:107247:1 gene:KN538825.1_FG008 transcript:KN538825.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVYTVLFSDKEKEGMESFTNGDVRLLKHEHSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVESFSLFSSTVYMFFVLDFQEELKVLWKGLGLDEGNTVGSWQGNTEKVLLGIGGGHYAPRHMDIVIKDGVWVGHLLSGYSLPMEVSPQGNGKSSSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQKSFKGWQKNAIMSYLQELNIRIGKPNDFI >KN544144.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544144.1:2421:3774:-1 gene:KN544144.1_FG002 transcript:KN544144.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREEFVMKRLVRTKKLFAFLRLHRHELFDDSFQEELESMYRTTGAGTEPLPPALLCMVVLLQAYAGVSDAEAVELTVMDARWQLVLDCMNAESPVVSQGALQKFRERLIGAGFDRRLLERTVELAKATKEFDWKKLPKELRVAVDSRPFEGAGRVEDTINLLGHAARKIVMLAARLTELPMETIARQARIPIALYASTKAGLDRDWSDPDQRGQALEELLTQVDSLHGWLERTQLENEHPLQRYIEAIAQIRAQDTEQDEKGRTRIKQGVAEDRRVSLEEPEMRHGRKSKSKRFNGYKQHIATDLNSDLILACAVTPANRPEEESTPHLKVDLDLQRASIGRLYVDRGYINSELVAESCSRRRTSTSTCEIGQSPVPLDTSKPSSPGKWSSSTLSCAAPVHSEASARIPHPERDVPSASPRTNASRRS >AMDW01006288.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006288.1:74:196:1 gene:AMDW01006288.1_FG001 transcript:AMDW01006288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILINVPFWYYAFSTLFYPFMTQRTKSKFVIARPSKVTETLL >KN543499.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543499.1:3718:7426:-1 gene:KN543499.1_FG001 transcript:KN543499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAVSSFLKTVMGRLFMALEKEYNKHKALVQETDSLRLYLCMIAAAMDDQIHDFGTNDARSSMAVARLRSEEMLDLVHDMEDCIDRFMHRLTCRQNHGGASARASLVRRVTHELKKVQTRSSFADEIQKLKKRLDEVHQRVLAINPPPFVIASGGQPVGSSSSSSSSTAAAPCQAVGIREPVEELLSLLDEVKGQPEQMRVISIVGFGGSGKTTLAKAVYDDPGAKEKFQRRAWVDAGGLLEMRGILSEVLQQVRPNNAMDVDGQHLEASLKEYLKDKRYLIVIDDFRMDQWKIISSVFQNNGTSSRIILTTTIQSVANRCSHGNGYVYQMNTLSKENSKKLAFAGLKSPELEQGLASLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRSLGFHLKDRDGHYSFSELRKVLLDNYDSLYGYSLSCLLYLGIFPSNRPLKKKVVIRRWIAEGYARSDSVRSEEDIADENFSKLIDRNILQPVDTRSNSEVKTCKTHGIMHEFLLNMSLAQRFIMTLSRDHPRLISNARHLSVHDGELIGYVTSDEEFSRVRSLTVFGDASDTVSYVRKCKLIRVLDLQECNDFADDHLKHICKLWHLKYLSFGYNINVLPRSIEGLHCLETLDLRRTKIKFLPIEAVMLPHLAHLFGKFMLHKDDLKNVNKMSKLNPCKKQKKGMNILPKFFTSKKSNLQTLAGFITGENEGFLQLMGHMKKLRKVKIWCKHVAGSSNYIADLSQAIQEFTKVPIDRDSNRSLSLDSEECSENFLSALHLEPCSEDFKYNLRSLKLQGRFLRLPPFVTSLSGLTELFISSATLTQDHLSALITLNRLLYLKLISDKLENFEMKHGAFPSLRRLCFVVKSVTSDLPTIKQGALPNLVSLHLLCRGLVGLSGIEIRHLKHLKEVVIDSDVTPQTKQDWAHAAKNHPNRPKFSWPRKVDLVESEEPAKHLKTEKRKYCSNYELDYNLQEMRLSESRDHKRQKIGEGDTSKSSVGLVYPMYGDVETDRTQVHLFNQETRRYDRTEVDQKCPEMLQEYKDKHSMVVDVDLRSDEQVNPPHPKLKNLMPGKEYDRQVLIPTEGAKVGQCQSGGDEDQIVHNTNGKKVVVQANHFFEQEDQGSQVTMSYESSSLLSHMDTKSL >KN540216.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540216.1:2045:21124:1 gene:KN540216.1_FG001 transcript:KN540216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQRHAVDDITVGALNATMHNTTSNHLESLITPSVQEKGQSLLLMHTPSLLQEETFEIQWPEQPQRRTREPLRRGTVSPRLPVPDHIPLPPYAGTNRLPDVDPNRQLHDCESIARMRAACELAARVLEYAGTLVKPLVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRVLQNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGASFKTIGQRISDSPASTNNFMISDFSEYVDEYGYSIDPFVGHGVGKIFHLEPIIWHTYDYEPGYMVAGQTFTIGRAYSVHGRHPVHTVGRRVDGCHGGQQPHRAVRAHHLGHRRRRGDPHHAPAAASHAEKIAETQVFLTLPTLPNERKRRKSEPTTLVDGDGGTNLGKGKRKNHQNQAAVDSILDLQQNVVPLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKKNLLWGTFSLLKYFLKWESVFITNYIDIDTALEYIASRTRTNYYESRSKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRVWQ >KN540216.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540216.1:37648:38088:1 gene:KN540216.1_FG002 transcript:KN540216.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRSGFPLAAAARKEQALAMVDNMVESEVDESDQSLPPPELQRSLADALASSFGYCSLAHEHAMDPLAGSLALGFACMAV >KN540216.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540216.1:33088:33678:1 gene:KN540216.1_FG003 transcript:KN540216.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLPPPPRARCVVRLKLPPAWTPEEDARLQRLAKENGFRRWSRVARSMPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFPAAATRKEQAPAMDADDMVESEMEESDQSLPPLELQHSLADALASSFGSCSLAHDHAMDPLAGSLALGFACMAV >KN540216.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540216.1:25103:26537:1 gene:KN540216.1_FG004 transcript:KN540216.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVIMATPPLPPPPRARCVVRLKLPPAWTPEEDARLQRLAKENGFRRWSRVARSMPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHARQQRQHRLPHLTESNAQQRQHRLPHLTEQHGMEDLPRSLARNTLTQSWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRSGFPLAAAARKEQALAMVDNMVESEVDESDQSLPPPELQRSLADALASSFGYCSLAHEHAMDPLAGSLALGFACMAV >KN540216.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540216.1:51189:53615:1 gene:KN540216.1_FG005 transcript:KN540216.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDVIHSIPDRLIMDNGSQQESSNLHVLHLPFASVIGVEDHTEEADDAAVDYHDDSSEGQDEVSNKRMKRHTDDQIKHLESVFERCTYLGGNQRVELAKKLGMEERQVKFWFQNRRTRKKMHDERQEGMWLQEENDVLHAENKVLKEAMWANICFTCGSPVVPAIPTVQHRYLSFQNMRLADELQHATAVFNMVAQDADVGLATSVPFD >KN542662.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542662.1:373:6967:-1 gene:KN542662.1_FG001 transcript:KN542662.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSICICISKLLGNHEKDSRESLTARDDAHAVEKPEKKISWLLILEMFFANAASSVGTVGFVWATVVLLGGFVTKLNPVDFWFITILSFFQAIRIIGGDWNAEQKIICDLLISTDSFKTNSGKGNRKKSKFGSLLQCSKDKLARVVACCTPKSLDYSTLLSLGRNLCKTSASIVRLLRFIVPMVWIALSFPHLLLQSFGDIGRSAEDINMKAALNLYYGLAVGQAMLSFIAINYSYSRSKLLEDVAKAYGLNNPEDKSCHKTMDLYYRHVKRICRDEVKSLTSSDQEAQKAGLTTLHSLLEAGSCSSKEALLAVRDSPDATESLSNMIASTSDDEMLTRKMAAFVMSRLGIHLRVPEVPFAMRAVCTLLETRDGDQVTPYAAYVKLTDRGLKTLEQLSCNTCNLSVIHSSHELMAKLTGFVHAAALSDSFAFRKANCAVTVFSRLAACTGIHGINTRHDILENAFLLSNIEEILQCSTTCYLLREGVIRIVDGFALNTASRDCGSTRKLSVMLLGVFCSRDERTNEVQVQLAAGRALARLTTESQNNCHAIISQPEVLEAFKSMLSGQHGTSRRVVVANILKNLCAYAKPDSDCQYSMQKFSVDNISMALRTMYQTDNLIGEDMEAFLGLVLQFSKLLRVTDFSEAVNASGIGLRSFIQKLKLILKQANSHRTEANVHPGIRRSAIEQVIWMAQLEPELHCIDHFIDCEMRDDLVMAQQTARRAWQENFKLSSGGVPVLEYEESLRSVASRALKLILGEHNAR >KN542662.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542662.1:13003:13453:1 gene:KN542662.1_FG002 transcript:KN542662.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPAELRRGVGEGTAADLPSTTSRLLAFLEALPLFRQVIGELTDPELALCRKDKVRAAELKGKGNACFSKREFEQALGFYSQVVK >AMDW01040516.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040516.1:705:1600:-1 gene:AMDW01040516.1_FG001 transcript:AMDW01040516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FTPQRGGRFEIVFVSPTGEEIKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKAFDSPEGEKIPKRSRNSSGRKGKQEKKEATENEEAKDAEADKEAPSEDAPKETDVETKPAEEAKEAPSEDAAKDTDVEMKAAEDASKTADADAPAPAPAETEKEDTKPAESEAAPPAPSEGGEKKEDAKPAEPEAAAAPPSHPTEPSAPKAAAAAPVENSADKGPHQDSQPPSAAAKESSPVNNGQLPAGASAVKCT >KN541401.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541401.1:183:1177:-1 gene:KN541401.1_FG001 transcript:KN541401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKK >KN542524.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542524.1:8480:11430:1 gene:KN542524.1_FG001 transcript:KN542524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISRVLRKSSSDRGKAPPAEDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLACGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKISSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >KN541401.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541401.1:16111:19026:-1 gene:KN541401.1_FG002 transcript:KN541401.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTATNTATNTAAAMEVTKAVTQLCAQGGGGGGRRRGRGRPAVKYVGDDEEQNGLQMTGLNLMDKTLAVRGARIAFSIWDVAGDSQFLDHVPIACKDAVAILYMFDLTSRCTLTNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNEARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >KN541401.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541401.1:3833:7606:-1 gene:KN541401.1_FG003 transcript:KN541401.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >AMDW01029199.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029199.1:119:395:1 gene:AMDW01029199.1_FG001 transcript:AMDW01029199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYNDGPVIQASAARALAAGATMDGIMSMLAEVTPELSCPVVLFSYLGPIVRR >KN542178.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542178.1:4249:5640:-1 gene:KN542178.1_FG001 transcript:KN542178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTSLVKLEQDGSLFLPPGFRFHPTDAEVILSYLLQKFLNPSFTSLPIGEVDLNNHKDMKYPTGMRANRATKEGYWKATGKDREIFNLQPTSYGGSSNNKSNKQLVGMKKTLVFYMGRAPKGTKTNWDEWVVCKVFHKKGDDREAINKQQQQQQQAQAAAVDLYSAGTPNNGSSVVEAGDDDDDDLFQLDSIIDPSIYFSNSSAANILSAPPNMSNSVVAANYGASTTTTSTASAGSFQQQPNYYSLINKSSSSNVSSWNNMPPPPVAGGSVHRIGSSYSLQHQAAMVKALGDAIRLPNPLGMPQYKLDDPYLWDSLK >KN542178.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542178.1:12614:13914:-1 gene:KN542178.1_FG002 transcript:KN542178.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTSLVKLEQDGSLFLPPGFRFHPTDAEVILSYLLQKFLNPSFTSLPIGEVDLNKCEPWDLPSKAKMGEKEWYFFCHKDMKYPTGMRTNRATKEGYWKATGKDREIFRQPAAVNTSSYGGSSNKKKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHNHHPNLRLNPKDEWVVCKVFHKKQGDEAINNQQQQPQYAAVDQYSAETPNSGSSVVQAGDIDGGDDFFQLDDIIDPSIYFVSNSSNNFSAPPNNNNNAVYSVSATGGAHGIGSSYYNLQQQQAAMVKALENVIAVPNFGTLLPSSNKLKGLSRSAMAGVTQQNPLGVPQYKIENYGDHYISRE >AMDW01022872.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022872.1:24:146:-1 gene:AMDW01022872.1_FG001 transcript:AMDW01022872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRALVLGVCAAVLLVNVLAVAADGDAAAAASMVVGLA >AMDW01040225.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040225.1:44:943:1 gene:AMDW01040225.1_FG001 transcript:AMDW01040225.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FRCQVHSDVKVGPSSGLKDGENSSGSWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPQDNQNLDYET >AMDW01033693.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033693.1:6:296:1 gene:AMDW01033693.1_FG001 transcript:AMDW01033693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLKADPKDEFLGTLRAIIRCFTCPDRYFEKVIRLALGGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >AMDW01037077.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037077.1:140:400:1 gene:AMDW01037077.1_FG001 transcript:AMDW01037077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQG >AMDW01036024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036024.1:10:495:-1 gene:AMDW01036024.1_FG001 transcript:AMDW01036024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAAFDGAAAAATGGDPVALTPSLSVSSSTSNTIYHGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAG >AMDW01038580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038580.1:119:794:-1 gene:AMDW01038580.1_FG001 transcript:AMDW01038580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSE >KN540024.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540024.1:55811:56801:1 gene:KN540024.1_FG001 transcript:KN540024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLFTAATDITHLIFSTYSSCRAPSADLQLASLLGLRPTVSRTILNLHGCSGGARALQLAKELVENNRGARVLVAVSEVTLICFSAPDESKIVGHGLFGDGASAIIVGAGPLANGERPLFEMVATSQTTIPETENALGMQATSSGIDFHISIQLPTLIRDNIQQSLLDSFRSVGNTDPKWNDLFWVVHPGGRMILDNIEGKLQLQPWKLAASRQVLREYGNMSEATIAFVLDEVRKCREKEKQEPQQPEWGVMLAFGPGITIETMILRNPLPHGLMEN >KN540024.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540024.1:637:5785:1 gene:KN540024.1_FG002 transcript:KN540024.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding IYLLIEREQLSQKGCNAVWCENSCLLIYLCPISTFCQPVTQDMKEIADKDSEEAQDEDKHGDNHKGEVAAQLSNDEDVGGRENDESPNDELDTSLLVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEIESIKIVKKRKRIAFVRYADISAAKKALTEFKDGIKVNGKSVRVSVAEPHRKSSEQKALTKVKTVYLEHFPRSWDERNIEECCKGYGNIQKVNILRSKKKVVSFVEFSSRKSALACVKGISKAEINDREVKLAASLARPQSKVQLANESSKGGFNVHSGATSTSPDKSKMKKDQRDETIVKKSQLKLLKGDESKLPSKDDVEVPQTSTHSKGKAKVGKRQNTSIDERPSKKSRESGDDVKLPSQSGLEEPQASNCFKRKRKVRKSRSTTVNERPVEKAWKNRNMKHPAGSRYATSNQAYPTVGATPRSKPNSHDLAPHAGFIPPSSRVQRTPARDHQRTAPYNNRQISGSPYARERVAPRPAYSVHTSNTAGYEEIALKPDEIQFLLPINF >KN540024.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540024.1:23733:26318:1 gene:KN540024.1_FG003 transcript:KN540024.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIFFLLSKNNNARCNGINHDSSQDDFADYYFGLTKSEHLTELKDKMKRICQKSGIERRYIHLDEEIIRAHPEIINKHQPSLETHVEIAAVEVPKLAESAARKAIAKWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKELAENNRGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGPFSDGECPLFEMVAASQTTIPGTEHALGMQATSTGIDFHLSVQVPMLIKDNIQQSLLESFQSVGNTDPDWNNLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLREFGNMSGATIAFVLDELCHRREKEEDESQQAEWGVMLAFGPGITIETIVMRNPLARGLKQN >KN540024.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540024.1:18513:19601:1 gene:KN540024.1_FG004 transcript:KN540024.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSSSSIISHQQQTADAFNTKNKHADGAAFMVQEKLQLPDPIFSFKAQVAEPDYGWNIDCFPLADRKVVCVDQSGRAFLFDAETHQVETMPSLNKPKWTPFSLFVPGTDNDEGSRLYIMEKSPKSEAGCSARCSDQFEAFVYRKPTATASFKSWYCQLLPPPPYVRDYAYRQRRHRITSYAVVGDDDNGSGRILVSAEDAGTYCFDVASNMWSRVGEWTLPFLGKVEYVPELKLWFGLSAEDQLLAAADLSAMDSQPELVSSWKELERSRVWQVTQDPQLVNLGSGRFCIARFIEKMELGGDFDNKLIWQDFVILTGVEVTKVVNHGNRSGSRNGRVELQMTTHKSRFHLANGAYIDAVF >KN540024.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540024.1:7900:11179:-1 gene:KN540024.1_FG005 transcript:KN540024.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MTCGPPRLAKCDGFFSGSLTGGPAEIPALVDAHNVVPVWTASTKMEYSAKTFRGKVSKVMDEYLVEFPELPAVVPWDREQPEGVDWDALIARVCSEAENVPEIDWCEPGEEAAMEALLGSKDGFLTKRIKSYETDRNDPTKPRALSGLSPYLHFGHISAQRCALEAKKCRHLSPKMFEVTCVLKQSVDAFLEELVVRRELADNFCYYQPQYDSLSGAWEWARKTLMDHAADKREHIYTREQLENAKTHDPLWNASQLEMVHHGKMHGFMSKPLVHIFCLILGKPFTLFLFNFCFGAGKKEKMYWAKKILEWTSGPEEALSTAIYLNDKYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLAGQSKKRNAEESPNPVVKLSKSQH >KN540024.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540024.1:34697:36232:1 gene:KN540024.1_FG006 transcript:KN540024.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVDSRRGTQHAEGPATILAIGTANPTNIVPQDEFADYYFGLTKSEHLTELKDKMKRICLKSGIEKRYIHLDEEIICAHPNIIDKHQPSLETRVEIAATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLALLLGLCHSVSRTILSLHGCSGGGRALQLAKEIAENNHGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGPLADGERPLFEMVAASQTTIPGTGHALGMQATGSGIDFHLSIQVPTLIKDNIQQTLLDTFRSVGNNNPNWNDLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLHDYGNMSGATIAFVLDELRRRREKEEHELQQHEWGVMLAFGPGITIEAIVMRNPQLSGLKEN >KN544246.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544246.1:172:930:1 gene:KN544246.1_FG001 transcript:KN544246.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSTILGDPELKSLWLKEVKGMADRIIGMRTALKENLEKLGSPMSWEHITNQIGMFCYSGMTPEQVDRLTKEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >KN541862.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541862.1:15966:20369:1 gene:KN541862.1_FG001 transcript:KN541862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLWKDIHIQTEDVDAFIKDRVSVYQFCIFDPTYMILYYAWQMLEESIKERRDKLVTKVHCMIQGYTSSKIHLSHGMKIVDAIERLGVGYHFHEEIGMFMRVLNDTPARENDMAEAALRFRLLRQHRYNAPSDVFGCFLDKNGDFKETLRHDVDALLSLYEAAHLGKCDEDLLKSAVVFTTGCLSAMAENDQLPQPLLEKQMHRDEARRFSLWYKELNVRSTLGPYIRERPVECYFWSLCVFYEPQYAKARMMLARLIKIFSLFDDTFDSYGTLEELHLFNNAVQSWDEGGAKQIGDYFGYVMFLLSKTLNEFVVDGASPLGIDCTKKTWEKDGGGVPTAVECYMKEYGVTVQEAKKALWCLVEEQWRSINQEFLSNTTVPVPLLTRVINLARLMETLYKTTNGYTHCSGVTDAISNVLDTCVSH >AMDW01037534.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037534.1:265:672:-1 gene:AMDW01037534.1_FG001 transcript:AMDW01037534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKLVATCPVEKLFGFAYLVNCESEVLLIGHTDRSYSQAVVYRLADIILGRFIPLTRFGDYTIFMDERSLCVSSKAVPGIADGSLIYRHHGKFLAQYHVRSGTLSTAAEGRIEEGYRLAPYSLIHHLFACCRYDFW >KN546156.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546156.1:132:1093:1 gene:KN546156.1_FG001 transcript:KN546156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNVGGLENVLKAARRTPTVKKIVYTSSFFAIGPTDGYVADETQMHQGKTFCTEYEKSKVLADQIALQAAAEGMPITIVYPGFMYGPGKLTAGNLVSRILIERFNGRLPGYIGHGHDRESFCHVDDVVAGHVAAMEKGREGERYLLTGENTSLVQIFDMAARITNTKAPRFHIPLWLLEIYGWISVVVSRITGKLPFISYP >AMDW01020957.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020957.1:46:279:-1 gene:AMDW01020957.1_FG001 transcript:AMDW01020957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRVSKMLATAKKAAPKLETAFSCPFCDHGGAVECSIDLKHMIAEASCFVCQARYSTTAHALTEPIDVYSEWID >KN542438.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542438.1:5229:5597:-1 gene:KN542438.1_FG001 transcript:KN542438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHADGKDWFNALPDDIVLHIMTFLTTRQAVRTFLDKVLKLRDPAASICTFCFKFYRLTRIEGASASELGRYEQMD >KN542438.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542438.1:12488:14635:-1 gene:KN542438.1_FG002 transcript:KN542438.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRM >KN542438.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542438.1:11206:11640:1 gene:KN542438.1_FG003 transcript:KN542438.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSSSSSPSATGAAPASSYYWCYSCDPFVHAAPHEDSIIACPDYGGVILEEMGAPPPRTACLRHPREHHTNDLRMRWTRRAAAAATASDRSPFNPVIVPHRSPAAVAAGDDDGAGSGLRPLPETMSDFLMGYAFERLLD >KN542438.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542438.1:7525:9601:-1 gene:KN542438.1_FG004 transcript:KN542438.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPSKRRGVTAPVHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRFLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKPEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQVQIIRWC >KN540927.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540927.1:4330:6360:-1 gene:KN540927.1_FG001 transcript:KN540927.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFHMAIGEAEANYANNSRLQRKALIKTKPVLEKVVRQVYMALLPPTMVVADLGCSVGINTLLFVSKVISTVADAQCHNELGCHIMELQFFLNDLPRNDFNQVFQSLQQFTKSIAAGHPKGVALPPFYISGLPGSYYSRLFPCQSVHLFHSSYCLHWQSQLFIVTQLIKDMNKKMAYLNGENIYISKSTPQSMVDLYQDQFQKDMSLFLKLRHQELVPGGKMLLTFLGRKEEDVLDGDLSHFFGLLAEALQSLVTEGIVEKGKLESFNLPIYGPSIDEVKTVITRNKLFCIDHIELFESNRDPYDDLEHDGMHISPHRGMNVAKCIRAVSEPLLASHFGEYILDKLFQRFAQIVERHLAKENAKYSVIVLSLNRRD >AMDW01141828.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141828.1:900:1262:-1 gene:AMDW01141828.1_FG001 transcript:AMDW01141828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEWRPRLLASQRLGEGDVDRIAAAIAEVHATYQYVGSTKGNIGYVAFLFVLGVLFLCVAGLFFRENNYLNGALAVIVAVAFIVIIPMIILLYEFHRWRANMLMAQTRTVLERFLLPPV >KN541192.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541192.1:7569:10254:-1 gene:KN541192.1_FG001 transcript:KN541192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFSLAAPNQYSAAEFYYNGAGGEQNIEEFYYNSTSGVQNMVPPYMDTLTANAFSFTTPGHYSATEFYYNNADGEQNIEEFYYNAVGHEQNIVSPNMDTLTANTFSFATPIHYSAAEFYYNGASGEQNIEEFYYNDASGVQNMVPSTVDTLTVNTFSFTTPGHYSATEFYYNGAGDEQNIEEFYYNGVGGEQNTVSPNMGTLTANAFSFATPAHYPAVEFYYNGVGGEQNIEEFYYNGASGEQNMAFPTTDTHAVNIFSFATPAHYSVEEFHQISAGGEQNMVSPNTDNLAMNAFSFAAPFNHSAAEFLHHIGEQSSSSSSPPPITLEINFKINIKARKYNKKSIAFLSRQGHDLREHRRRGVSPRRVYEGLLRHLPFGDGRSVTWLAYHGDYDLSFLLRLLQRGGRRRGGGDLPRQLATFLRRLRENFPAFYDVRVIRQMLEDHGFSGKLTGLAEHLGIRRTGGGEHHAGSDALLTLSCFFKIFRSLSGPQLHQLDARRGLLAGLEEWNMAIKCARHIDDHTRNIKVIEVVAENLGEEARRIGELVDSNFSIIGVEVNQVVIHPQLDRKAYEMVIAFMNPEGMLAYGRAWKFCISRFTSDNGNVLNLKQLAELVQSCGATDNPDVSWVTFHGSDVICRLIRSANGGVIPSSISGESFLPSLYDVALIVRRFLGIGTLPTTERNGGIFDVARALELKAIEADKEAERVLLTLRCFMRLAEMESAVQW >KN540927.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540927.1:7191:18151:1 gene:KN540927.1_FG002 transcript:KN540927.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQIGKEFEAQAQRVNRKRCHGEKKYRGLPHNQSSKMLTRELGADGDGDGDGDRDARGKAVSVAEGNLQPRKTALAELPTLLANRRRLDRLLHELVRSHRWGDAAGVISALVSGTRHPESFDEMRSVFAVGMEIHRRLAENSGIQQNTRSRYYLRTQKLYDVWMRRLMWLPTCERKYMVKLELALFYLSQGCIDRAYNTTKTLIAKDGLKTPIVNLIHGLISYDNWYSGLPEDMQLEEFDVYCESRTVSMATHHCDENGQQDTSDDNCSIDADSSSPGCSSKSSINNWNIDKQRKFPEKPGFVHSAREDDSVGSQVDEKMVDTDFRSVFFNTANSPTCGLEKSLLPLRLKHSDGTPNACFDSYWKYKSTPNAFYEDSEKCLRVALYSTPPIMAALLPLIQILLLGDKLKDALAELEKICHSSTTALPFRLRGRLLEYFDQNQVSIISSCYAEALRRDPTCTYSMERLTRLHRKGYYNTIELLEAIALHLDSVNGKPCIWEELVSCFLRLFSEWTTDYGDCMSCNVQGDATFTASSKFSCVFFEQNTRETWKVRCTWWMNRHFSQSICTSETLTGDCKLLASKAACACHLFGPEFEYVEAVESYLSGQKADDEIAFLSRNMQNSDEKCIKYSVV >AMDW01039073.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039073.1:168:900:1 gene:AMDW01039073.1_FG001 transcript:AMDW01039073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIAVGTAAMAAIAILVIISMLLLPRKWLRNRKPKKLGSFIKKSHPLVSYEELNQVTSSFDNRNLIGTGGFGSVYKAVLRSGTAVAIKVLDLHKMGALKSWTAECEALRNVRHRHLVKLVTMCASIDFAGNEFRALVYELMSCGSVEDLIHKGRQGENVAGVNADMILSIAIDVASALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHVLKGSIGYIPP >KN540162.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540162.1:55986:61138:-1 gene:KN540162.1_FG001 transcript:KN540162.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GMLLFLIGDDPQKRPYSKASKALFSDIEHGCLPQVILGDMPCKFINGTIVCEVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLASVVNASWTYHDRLIAESTILRALQPTLNLDPTPCLERLQNSVKKIDLGLNKGRQQTKATSIDNTSADPPENCKPKEFITCEGAVVCIENEAPEGLPRGTFNVLSTDCPLALQIKKAQSAAGSDPDNAIQYSSTVMNSSALCNSKQSASCTPAPDLLLQNQQAQVAILQVDHENRQPQKETVQLQNRKEHSNLPREIHDCQSCRPSNKHSMLSSESSKCHFQKSIRSSNNKGLNLVSPNQQPVKVNLDQTTGSKDMRVQQQKSLSAFTANCPHPSPRTNNSCVEKIPKEVNYSTVRLKDKHLPSSVGPDNYCVEELKDRATPSVISCSASSRKAPSKPPKVVTEPQPSSSKRKVLGVYTYLNQEIDSTEKRQKKADMQSNTPCENVSPGEPDVTDGISTELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGLERSWPLPPSKFFLSENTADIAYTQNEIMSYYPTGRTTNTRKIRRLSFHPVQYLCRGVVDECHYTLRLLESEAPDDHQIAVEMIYGDEHVYISTLPTSHHANKLVDQFISLMRRDGYTLCNDIREQYEDAPQLGYLTGGYPQYPIARTMVINGSHNIGCTFHNGPPDVHANAQQQWMQAQQCPTTLPSVQTNFWNPYHPGQQHYTGGILDHGGLYANRVFSMDLDQHQHVQQRQGVGLFPNGVFSMDLDQYQPVRQRQGVGQCWHCRHDTPGFSERRYFPNASTGSYNQWRQVSAPTGGKVYQWDLPAFDRRVCGCPPVNYAGSSTPLSTLHPVGSPPMSSQSFGSNDGSLTSTPVQLQILVHKLDKDFRELQRAYRAPQDKSWWGINRSNNRIR >KN540162.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540162.1:40339:43187:-1 gene:KN540162.1_FG002 transcript:KN540162.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAAYISCKHSGFNPRFFLERNIPRNRMQKSSFIQVSVGAAGGIHLPCPQVLENKDHDRRGREVSPNAADDWSDEVCLHRYIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDENFVPKVTDFGLAKLYPRDKRFVPLSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGSDELQVPPRPFFCDDGQFHGVESYNMPSDLTVISEEQEDDDDSILVCRTVFVPLVVLTVITYKLWKARITIDAVEKFLRMQQDLGPRRYAYTDIVAMTGHFREKLGNSGFGSVYKGTLRPSGIHIAVKMLEGKSSCNGEDFISEVSTLGRIHHVNVVRLVGFCSEGMTMALVYEYMPCGSLDIRQVHLLI >KN540162.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540162.1:21558:28127:-1 gene:KN540162.1_FG003 transcript:KN540162.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLYAFQVKAPIPTKLILLGKANPARLGAMAKSNASRPSGTPCRKLYFLQKVLLVSSLLVVVAADSGAEQNQQCLPSSCGDLGNISYPFRLEGDSRQCVATPRPWYNLSCSSGKVTIHINTRKYYVTSINYTLENLWVVDAIMSIQDDTNSSCPLPRYHLPYYMYYLSRFWWESPDSYGFLDLVTASYTWACFVNCSRAIIDIMPSYRPVTCLLPNNSFVFVSFNGCAVWELQPSCRYLAMIPFESWHISDNSSQLQNASYTDIIGFISKGFRVWFPLGPQQSAHMSAMECLKDSKRYYKQHTSRASIKDLSRALFWSETYPEVECGDEGASKKDMVFLGTIVSAIDITKLHFVAAADVGGGAQNNLQECLPSSCGDLGKISYPFRLEGDSRQCVATPRPWYNLSCSSGKATIHINTGTYYVINIDYDGEEFFVVDATMQDDDTSSSCLLPRSDHTPNTDLASMQDTRLADSYGFIDLATAIRGESPTDSYGFLDLVTALDTWACFVNCSRAITDIMPRYRPVTCPLPNNLFVFVSFHNCAVGELQPSCRYLAMTPVDSWWNLPENSLQNASYTDIIGFIRDGFRVYFPYRPYQGPHMSATECLKDSNRYFKQHTSRASIKDLSRALFWSETYPEVECGDEGASKKDMVFLGTIVSAIDITKLHFVLFRLVLLPLVVFTFLAYKYWKTRITIDAVEKFLRMQQMIGPTRFAYTDMIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEQMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPTKPHDRPAMSEVVGMLEDGADSLQKNA >KN540162.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540162.1:1058:4554:1 gene:KN540162.1_FG004 transcript:KN540162.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAATLLVLSLLLNLHTAASVSAWEDKDFFSYCPPSRCSEHGPEIRFPFKLESKNTPSSCGVPCMKLSCSGQYTILHNKYLGRPYKLTAIDYKHALLTAIPLADEDNYSSPSPCPLLKSISIRPNLITGPGYGYYNTGYQNPCQTYDTYYAALVSCSTEFALASVPGTATDNDYIAGPISCLSNQTHFSYLVAYRVTMSLLPLDCEVISDGPIPIPAFYYSGYIPSMFTTSADRILDYPSGYVLSTFRESAERILNSSETMIWWYFYKYDGRHCEQQGRRCAFSSQRNQTFCMGRGSHVKVIAATSSVAAFVILLLMVATALYLSLRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIASRFKVKVGQGGFGSVYRGTRRALIYEYMPNDSLEKYIFSHDSDTSQELLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTTGQDLALGREMTQEEKATTRQLAIVALWCIQWNPNNRPSMTKVVNMLTGRLQNLQVPPKQFFSADSHPTL >KN540162.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540162.1:16148:19779:1 gene:KN540162.1_FG005 transcript:KN540162.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVGAAVLLVCNLATASAWKDKDFFSACPAKQCGKVEIRYPFRFEPSNTSSSCGLPCMKLTCSDRQETILDIKNYLGRPYKVTAIDYKRATLTIVPLADDSSLPPTPGCPLPNLISEGALDHRCEPYAMWYAALVSCSSEFAAPATIPDAADYIAGPISCLSNKTHFFYTLSAYAPMSFLPLDCEAVSGNLIALPRGNTYSPLVDSSTLKDVAERIFSFNETPISWISVMSSPDQIDLATDCRDCETQGRRCAFSSQRNQTFCMPHHHGSRVRIIAATSSAAALVVLLLLGATVLYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYSFSEVKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPAL >KN540162.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540162.1:52419:55494:1 gene:KN540162.1_FG006 transcript:KN540162.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAAALLVFSLLLNHGISNLDTAASAWEDKDFFKSCPPSRCSEHGPEVRFPFQLESNNTTQSSCGLPCMKLSCSGQDTILDIKNYLGRPYKVTAIDYKHALLTIVPLVHDSSSSPCSLLKYMSIRPGEMDYRCRHIYATRDAALVISDGPIPIPAFHHFPYVRATLKESAERILNFSDTMVRWYFHNCSWQCENQGRRCAFSSQRNQTFCMRRGSRIKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVATGQDLALGREMTQEEKATLRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVL >KN540162.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540162.1:8947:13008:-1 gene:KN540162.1_FG007 transcript:KN540162.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCVAAGPNPQECLPFSCGDLGNISYPFRLQGDSRQCVSTPRPWYNLSCSRGKATIHINTRKYYVISINYTRENFLVVDATMQLDDDTNGTSCPLPRSDHLPYMIYWSDLSSIDSYGFKDLVTASDTWACFVNCSRAITNDSRYKSVTCLSGNNSFPYVYVYIYDDYGCCPVKRLQPSCRYLGMIPFDYVYQPGSYDDDIMSNVLQSASYRDIVGFIRKGFRVNFPLYNNDQRAPTIVERCLNNSMRFVLAPLVVLTFLAHKYWKTRIAIDAVEKFLRMQEMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDIHVAIKMLDGKSDCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNRYIFSSERSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPDNILLDDNFIPKVADFGLAKLYPREKSFVSDRALRGTFGYMAPEMACRSFGVISSKSDVYSFGMLLLEMAGGRKNADPNANSNSSRAYYPAWVYDQLIVDQQVDEISSAIDMHEKERKLWLVGFWCIQMKSYDRPTMSEVIEMLEGDVDALQVPPRPFFCDGDSTPPPPVPVMDSICLYSSELTAISEEEDVDGITQLDSSCLLGYDERSI >KN540162.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540162.1:29449:31731:1 gene:KN540162.1_FG008 transcript:KN540162.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVATALYLSLKTKYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARWFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQELLVPKKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFLEWIYEQVTTGQDLALGREMTQEEKETMRQLAIVALWCIQWNPKNRPSDKGGEHANREVAKSTGEANYIFIVLQGYGELHWYHSSLPSLPAEAAEWPPLGGLVVISLGCAARGRGWLNSASTFTLRAEPPVSEAGSHLECAINYPGGEDLRSPYSPGGEDSEGRPGDPLPGVPSRGSGASFRSTGSRGILEAPRPGSLSPRKGAPVQEDGGGTRLPFVSCRGYLILLLLRYMIYPHHDLFCGALYAPLKFSEVLVQFMNHDLVETSQEDCINCVSKTHLSHHGIERSSSKIWIEQNKSNQKRYLSQRLSKSSSEHRGKTEDLDSLAESSFPPLFPSKPEASTSPRRSREMRLGLRCRGHGERVAEESGRRLRRPASAPGK >KN540510.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540510.1:9366:9683:1 gene:KN540510.1_FG001 transcript:KN540510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVFRRSSLLEPVTLGQRAKKEVAKAWGRPNLVVSNHLETRSGAKELRAAKRWRPATLGRRQKEAAIGAWGVSRCYGPQHLETGSSAKELGAAKRRRRATLGDG >KN540510.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540510.1:28923:30737:-1 gene:KN540510.1_FG002 transcript:KN540510.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGQKTQWVMHEYRLDGTYAYHFLSSSTRDEWVIARIFTKPGVFPVVRKGRLGISGGGGDTSCFSDSTSASVGGGGGTSASSALRAPLAEASLSRISPEVTDQ >KN540510.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540510.1:14696:17494:1 gene:KN540510.1_FG003 transcript:KN540510.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGALDSLLGRLTSILVSEAQLLGGVRGDVEFIKDEMESMNGLLLHLTDSQYRDHQVRAWMRQIVGLTRDSGHNVELYVHYVGGATSPSGSGGRILGCLRRTARFVRTIVVRHRVATRIRELKVRARDVGDRRHRWLLDGESPSRDGVREGRPNVICITGQGSVGKTSIATRAYNYPSVDSLFDHKVWINLGGISRGYNYLLQEIKHKLPPVLIWSNKQVLFVLDAAASDVFEDKEISKSFWDLLRQLYNADPKYKDDFCRRCAIILTTRTMLMFCYNDMPIKYKTCLHYLSIFPQDHIIRRTSLIRRWLAEGLVTDRTNMADEAERVFDALVARGFIHPEETSVAGKIKTCTVHSKIHDFIATDVSFTDTTLPLHLAHHFSINSGIALEEAPSPCESDHAFHGIMSLLDSLPRSDQWQLLKMLDLEGCKGLKKKKHIKNICKILLLKYLSLRDTDVTHLPKKFEKLQCLETLDIRQTEIRAFATKSVFFPMLKHLLAGSRVSPSSNDNNSCRSEESLFTVQLPGGTCRMKRLQILCHVDASNNVDDLIGIGQLLQLRKLGVVLDGKKAGTLALLFKQIEKLHGCLRALSIQINHPATGEGTVPETQQLDALVSPPKLLQSLNISGITSGLPDWITELNQLTKITLSNTYLGEDAIRVLGKLRILRCLRLRHKSYTGTELTFNKEEFQHLKSLVVEGCDITNISFTNIGAAPKLEMIIWFFASVQTLPVSGIDHLPNLKKLELNGDGDMVAVRQAIEAPPRHPLFKHKPSHQRQEAGTD >AMDW01035100.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035100.1:9:323:-1 gene:AMDW01035100.1_FG001 transcript:AMDW01035100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESFGHSDCQVVINMIEHQKALMVELRGMVMQILPSDNEQAKLALQLLGDVLSCSDKAISMLELGGDTNKLTNLVGGKRKGDKHSMDNHNLEEEAKESVSKR >KN540079.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540079.1:4844:8968:1 gene:KN540079.1_FG001 transcript:KN540079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSELLLGSYSYAALCGVTLIIGWLAHWVYKWMNPPCIGRLPPGSMGFPIIGETFQFFRASPSIDMPSYYKQRLERYGPLFKTSLVGRPVIISLDPEVNRFIFQQEGKLFQSWYPETAINIFGKKSLTTYNGTIHKFIRGVAAKLFGLENLKESLLPELENSMRESFASWTGKPSVEVQDGVSDMIFDLVAKKLIGLDVTNSRELRKNFQDFFQGMGRRNVRNTLTDIMKERLSAPGKKYGDLVDLIVEELQSEKPMIDENFAIDALAALLFSSFATLSSTLTVAFKYLTDNPKVVEELKEEHGTILKKREGVNSGFTWEEYRSLKFSTQVMNEITRISNVTPGVFRKTLTDVQVKGYTIPSGWLVMISPMAVHLNPKLFEDPLKFDPRRWRFQTVSKSIIGTKGGKSKASEARAPPLSSIRTLCNYAVGY >KN540079.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540079.1:50739:54093:1 gene:KN540079.1_FG002 transcript:KN540079.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYRERHCPARGEAPVCLVPPPRGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLAQYVPLKTGLLRTGLDMGCGVASFGGFLLKENIMTLSFAPRDSHKSQIQFALERGVPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWAELQAMALAFCYKLITVDGNTAIWKKPTEASCLPNQNGFNIDLCSTGDDPDQAWYFKLKKCVSKVSLADEIAVGSILKWPDRLSKPSARASLMDNGANLFELDTQKWVKRVSFYKKSLGVKLGTAKIRNVMDMNAYLGGLAAAVVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADRINSLIRDPISGKSRCDLFDVMLEMDRILRPEGIAVIRDSPDVIDKAVQVAQSIRWTVQVHDSEPESGGTEKILVATKTFWKLPLT >KN540079.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540079.1:38265:40613:1 gene:KN540079.1_FG003 transcript:KN540079.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRWLRGLLGGGKKPNSGSGDPKPAREKKRWGFGKSFLTVLGSSSSNGGGGWHVNLWPAARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQRRARACRAIRSQHVSAHPGPPTPEKYDQATHEGVPKHGRSGSLKGSSSKTPGSERLTRERSESCGRNWLDKWVEERYLDDEKNAKILEVDTGKPGRHASRRRSGSHHHHSSCSSMTSEQKSRSYATMPESPSKDSTTAQQSVPSPPSVGMAEALSPLRMAVDIAELCDSPQFFSATSRPGSSRSRAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFGPGSCAPVAQRTTASLHSKFTNKAYPGSGRLDRLGMPVKY >KN540079.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540079.1:25435:29163:1 gene:KN540079.1_FG004 transcript:KN540079.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAYIALLYCAALAAVVALLRWAYRWSHPRSNGRLPPGSLGLPVIGETLQFFAPNPTCDLSPFVKERIKRYGSIFKTSVVGRPVVVSADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKSVLLAETDAACRGSLASWASQPSVELKDGISTMIFDLTAKKLIGYDPSKPSQVNLRKNFGAFIRGLISFPLNIPGTAYHECMEGRKNAMKVLRGMMKERMAEPERPCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTENPKVVDALREEHEAIIRNRKDPDSGVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHSLVTKYSWRTVKGGNIVRTPGLSFPDGFHIQLFPKN >KN540079.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540079.1:54991:56432:-1 gene:KN540079.1_FG005 transcript:KN540079.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGGPAGREATTGIKPPPGRLRKYGALDWYGVVHGNQAWRLETSTWLHAGLIHLAANMISLLIIGIRLEQQFGFCKPESVKSRKGKVGLVYLVSGFGGSVLSVLFISRNGITVGASGALFGLLGAMLSELITNWTIYSNRCAAMVNLIIIAAINLALGILPRVDNFAHIGGFATGFLLGFVLLIQPQFGWSEQASSAKSKYNAFQIILLTLSVALLIAGFAAGLVSVFKGVDGNAHCSWCHYLTCVPTSSWKCDK >AMDW01025868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025868.1:41:346:1 gene:AMDW01025868.1_FG001 transcript:AMDW01025868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKFKVRSIVTMILFFCLTTPVGIAVGVGISSVYNESSPTALVVEGILNSVAAGILIYMALVDLLAEDFMNPRVQSRGKLQLGINLAMLAGAGLMSMLAKWA >AMDW01038957.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038957.1:603:797:-1 gene:AMDW01038957.1_FG001 transcript:AMDW01038957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTSESAITSAGSASTSGFDCLPDDLVHHVLSFLPAPDAARTSLLSRRWRNLWVSMPCLNID >KN539067.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539067.1:95198:96980:1 gene:KN539067.1_FG001 transcript:KN539067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLDAGGAVPAAAYGGELTLSVLVTCLVAASGGLIFGYDIGISGGVSQMKPFLAKFFPKVLRRMADAKRDQYCVFDSHALTAFTSSLYVAGLVASLAAGRVTRWLGRRGVMLMGGALFFAGGAMTGGAVHVAMLIVGRMLLGFGAAPLYLAEMAPPRFRGSLTVGFQFFLSLGILIANLTNYGTARVPWGWRLSLGLAGAPAVFIVVGAFFLTDTPSSFVMRGKAARGSEDVGAFRRLVTWREYRPHLTFALALPLCHQLSGMMVLTFFSPLVFRVAGFGSNAALMGSVILAGVKFASLILSTLVIDRYGRKVLVIAGAALMIVCQVANAWIMGAKSGKHGEVAMPRAYSVALLVLTCVQGAGFGMSWAPLIWVIPGEIFPVEVRSAGQAVSVSVTLGLTFVQTQTFLALLCRLKYATFAYYAGWVAAMTAFVLVFMPETKGVPLESMGAVWAGHWYWRRFVGGGDGKPEQR >KN539067.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539067.1:153926:154465:-1 gene:KN539067.1_FG002 transcript:KN539067.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGVEGEGLGIPYRRRFIPFANDPIHTTKDNIISMDKHFPITQGKDSAATKEFISSYGHCRPTTYGDEDASRTAVEVTGGKQDPRGAVQNLIDGEVAVQELIDGEAIARNQRASEVSDNDGYEEFNDRAVNYHCDCHVWTPLIASSHRDGSIYDTRGTFGCGWKWDYRIADRNESK >KN539067.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539067.1:65018:67125:1 gene:KN539067.1_FG003 transcript:KN539067.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDREPARVTANVLTTARRREDQMVPTMTSSLNRAETEISADFMNSVDSRAEVPTRVNFEPRTEREENFRTTAGNVARTNGIHEHNNFQQPQHRMRNVLAPSVSEASSGWTGREGGVVPVWSPPAASDHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSL >KN539067.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539067.1:16463:17872:-1 gene:KN539067.1_FG004 transcript:KN539067.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKVSEMEEELRKEKDAAEKVQLLQREVEKAKESERKMLESLIYQTKQLEQTKISLEEAKLEITTLQQANASLEASAAAAVSRRGGAVEQRSVKDLVFGGGDDEIRALRCELRTAMQGEEKSRKALDDLSVALSDVTMEAKQVKMWLSEAQTELEAANAEAERLRHELDAAEARLRDVSDEHDRCRLDAEECAAAWGDKERVLLDCVRASEEEVNRERQENTKLVESQRVIRDENARLRDILKQAVAEANVVKESLELARGENARLNDVVAEKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTTATACSTPASARTASVADYGFDQHLPSVRLVSSAKGTPEAASHRWTAADKSRTPSSRRYSIGEPAKFKGGFSQSARMGNLNHKDRVFASLSNIADLKSAAEAAMSDFDDEFDHVDESHYDGMDQSMKQKKKRPILRKFGDLFRRKSFYKANLAPVHT >KN539067.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539067.1:134091:136246:-1 gene:KN539067.1_FG005 transcript:KN539067.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPLLLIGRAVPTIGGAIPIIAINYGILVAFWMLHMFDVVQSKLICRAMALHSSWRSASPFLDTVCSSVYDLYNSSAIHRASCGEQLVVNGMNSGEPGEKPVVNDGDLGDYWQHSPTPDFLDGDRIYAVLVLECVCSSGGCGRGEAHAKQGHDVSGEKRPSRRDGLGRSFWWIMVVARKYEWCVTYAVVVATLQLFLRLTGANVTTLFLPMLSQATGCGGKAALAGHAVLVLANAGGALGGVMTFSVGDVSQQQPKSRKVSIRLQMLSSQRLPLRSLTD >KN539067.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539067.1:11208:13680:1 gene:KN539067.1_FG006 transcript:KN539067.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTASLSSLGIVSGSQKGGEKELEVAAGFGIWRAREAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KN539067.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539067.1:139859:140131:-1 gene:KN539067.1_FG007 transcript:KN539067.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDERMAAGEAKMAATATEGEANQDATTNDAPNNMPLILFDENEAAASGAAGNEAAAEESKTSKKKKASGVERVITKVLAGKAAATKKARG >KN539067.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539067.1:125684:126334:-1 gene:KN539067.1_FG008 transcript:KN539067.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGGGGGGLTFPVVVTCLMAASGGLIFGYDIGISDSQHME >KN539067.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539067.1:111972:113674:1 gene:KN539067.1_FG009 transcript:KN539067.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAKYKWSTTSTVVPTTFFSLSLSLCCTGGVTQMQSFLTKFFPEVVKGMRGAKRDAYCRYDNQVLTAFTSSLYIAGAVASLVASRVTRMVGRQAIMLTGGALFLAGSAFNAGAVNIAMLIIGRILLGVGVGFTTQAAPLYLAETAPARWRGAFTAAYHIFLVIGTVAATAANYFTDRIPGWGWRVSLGLAAVPATVIVVGALFVPDTPASLVLRGHTEKARASLQSVRGADADVDAEFKDIIRAVEEARRNDEGAFRRLRGRGYRHYLVMVVAIPTFFDLTGMVVIAVFSPVLFRTLGFNSQRAILASIVLTLVNLCAVVVSSFTVDRVGRRFLFLAGGTAMLLCQVAVAWILAEHLGRSHAAATMAKSYAAGVVALMCVYTASLGLSWGPLKWVVPSEIYPVEVRSAGQALGLSVSLTLSFAQTQVFMSMLCAMKYAIFLFYAGWVLAMTAFIALFLPETKGVPLEAMRAVWAKHWYWKRFAMDAQVNCL >KN539067.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539067.1:48469:53829:-1 gene:KN539067.1_FG010 transcript:KN539067.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCCFGAGCSEFSGHASTSSGKGKGIQGQVKVSYGFYLVRGMTNHPMEDYHVAELAEEKGNELGLFAIFDGHLGDTVPAYLQKNLFANILNEEEFWTQPDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILLNGRKLWVANVGDSRAVLLKGGRPIQMSTDHDPNVERGAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDIKVEDIDYTAELLVLASDGLWKVMNNQEVVDVAKRFKDPQAAAKQLTAEALKRDSKDDISCVVVRFRM >KN539067.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539067.1:21683:26341:1 gene:KN539067.1_FG011 transcript:KN539067.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGCGSESGASGGGGGGDRFLAHFLLFLEAEAPNGLMGALGLTCGLHLPVVVQPFDSLSMEKKALLVSDLLRKCRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENGTSNEASLTGMLNVLDDLLQRQGLMTDQLGTLLCTWHQQLYDKSIKISIEDVMEAIHLKSFDYRVLNLLMYRLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHSYGTWNIPPLIRDEEFFRLERSNRCDASAITIT >KN539067.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539067.1:73684:79611:-1 gene:KN539067.1_FG012 transcript:KN539067.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQLSWNMMNKFIFYHILVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVTNGPVQPLANGVRKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSMTSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >KN539067.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539067.1:101885:104199:1 gene:KN539067.1_FG013 transcript:KN539067.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFLRRFFPGVVRRMAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGFAVNIAMLIVGRMLLGFGVGFTNQLTGVIVISFFSPLVFRTVGFGSNAALMGNVILGAVNLVCLMLSTLVIDRYGRKVLFMVGGAIMIIAQVGVAWIMGAQVGKNGSEAMARPYAVAVVAFTCLHTAGFGWSWGPLGWVIPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYGTFAYYAAWVAVMTAFIAVFLPETKGVPLESMATVWARHWYWKRFAPQEQLKRSADEPTGTY >KN539067.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539067.1:145962:148552:1 gene:KN539067.1_FG014 transcript:KN539067.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPASSGSPSRRFDFPDSVLLDTVAHADGCGDDATIARAETSDGLPIEVSFVAADPPAFTRCVVRCSGLTAGEFSKGPPCIIGADGAFLVIRVIFPCRSDRRCFTDFFVYRSGPGTPLLELLRRPYPVKHLSDHLGILSCGEHFLVVNPKWQFHADGQVRCDLHVFSSKTASWESKVARLPCGVEAYIGDFIPTKVLSVEGRSIAWVDLWNGILLFDSVTSDPEVRLIQLPPLMPINGRFIEMGFPLLDGSTGQLNFRWQATMFKRLVRPEECQWEPCGTETDSAELVCADSCSLDLLPVIWDSKDNQLTFTNLICTYPTMDLYDDNILYVMAKMKGTDPSGWVLSVNTANKKLEKVSPFSEEILFFRRIYRQCDFLKHLGKAPEYHLTKVCHLLLLLNYVREMIELLDTNLLAALEELQNIGQLSKNAKRTPTILMALLCRLMGDLTLQLLAPIDVTKSKIRVAHGALLRDKTCLNMQTH >KN539067.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539067.1:117058:121298:1 gene:KN539067.1_FG015 transcript:KN539067.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAAAGGGSARDYGGGITFSVVVTSLMAASCGLIFGYDSGVTGGVTQMESFLSKFFPEVLRGMKSARRDAYCKYDNQWLTAFSSSLFIAGTLSSLVASRVTRAVGRQAIMLLGGAMFLTGSIINAAAVNIAMLIIGRMLLGFGLGFTLQSAPVYLSETAPARWRGAFTSAYNAFVVIGILSATITNYFTNRIPGRYRHCLAVGLGIPVFYEFTGMIVISIFSPVLFRTVGFNSQKAILGSVINSMTNLASTLLSTSVMDRTGRRPLFIVGGVGMMLCEVAISWIMADHLGKHQGVTMPRSYATGVLVLICLCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISVALCLSFVELQVFIALLCAMKYGVFLFYAGWLLTMTIFVAAFLPETKGVPIEAMRSVWERHWYWKKFVNDGDHHDGRVVADEGTD >KN539067.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539067.1:121528:122989:-1 gene:KN539067.1_FG016 transcript:KN539067.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLEKFFPGLLKGTAHASKDVYCIYNSQALTAFTSSLYAFGMIGTLVASRVTRRTGRQAVMLIGGSMFLVGALVNAAAVNIAMLIIGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLVLRGKHDLARAALQRVRGKGADVDAEFNDILAAVEHDRRNDEGAFRRILRREYRPYLVMAIAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIFGIVGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGHGSKMAKGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDHKFGDVST >KN539067.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539067.1:68580:72119:-1 gene:KN539067.1_FG017 transcript:KN539067.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNAVKSEQLGKSDKRQLTPSPNDPIHTTNGIINSMDKHFPITRGMDNEENGAATEFIARYGDGRPANVEENGRKIVNAMYSDEVFCRTTVKVAGVKQDPRGAIKELTDGEEDARNQCASEASDDDDDEYEEFNDRVDNYSCYGPVSWTTFKMSSHRDGYIYDTTFGSGWKWDYRIADRNEREFSSSSVNIRDNPIIVEQGSIIEMTGPKRGIDLSRAVLVEYDMRIKTGERDENDLQLIDGVSCVNEILTSSNPVINRIHGDYGAVDITRACLDYAFEATVEVVISEVQTGFNLRVGCFTSGLHEEIQLFDGVIGESRGLRRHVVAVMKNKCMDLKFKVGSDCFAEHCLSFKATNHGCASEEIKIGFASISVKVTWSALD >KN539067.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539067.1:30696:31863:1 gene:KN539067.1_FG018 transcript:KN539067.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVDAAREFRVPCALFWTASVCGYMGYRYYRSFLDKGIFPLKEEQLTNGFLDAPVDWAPGMSKHLRLKDFPSFFRATDPDEYMFHFALHVTERLAEADAAVLNTFDELEPEALDAMRAMLPPSVSIHTIGPLGFLAEQVVPKGSPLDALGSNLWKEDDSCFGWLDGKPPRSVVFVNYGSVTVMTNEELVEFAWGLANSGHDFLWIVRPDLIHGDAAVLPPEFLESVEGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTVESLCGGVPMLCWPFFAEQQTNRRYSCTEWGVAMEIDDDVRRDAVEAKIREAMGGDKGREMRRRAGEWKETGLRATRPGGRAHASLDALVADVLLSGGKAR >KN539067.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539067.1:83645:91247:1 gene:KN539067.1_FG019 transcript:KN539067.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPLSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTHTKLRRATEQINLLEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEILGKNSKALEEFNCLLHDSSIKLECAEQMIISGKQEMLRIKQEKEEMDQSYKEQLYASDTTIREKNSLIKQLEDSIEQNKARLLYVDSRLECMEKELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSGFTVKVTELDKEHTSISSHVTQLISSFERYDGKVHEEKMLMIKSAKDKFEHLQNQYVNLISENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNISQLEKVASDLEGRVQKLLEDSRSAENHKQELLQKILKLESDNQELLGRVQSVLNEKSNDTESLQGEIAKRDQQVETLENQVNQLRSIIDEKEQLHTCAVEREKNLEEQKLQVQASLAATESQLTEAKKQYDIMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINIEKEKAEKLIKEMENKCNEKISENRQDSESSFEMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLVSPETKRKDVNLSGIIQSPITNMLSKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGVQEPNTQKSLHDTADKDPTKMKKECLFS >KN539067.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539067.1:141730:145425:1 gene:KN539067.1_FG020 transcript:KN539067.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFPVAKGAPPGDNGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLAAFFPGVLRRMAAARRRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLAANLTNYGAARIPRNASLMGAVILGAVNLGSTLVSIATVDRYGRRVLFLTGGLVMIACQVAVAWIMGSQIGRDGESAMARRYSVAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVLTQTFLAMLCSFKYATFLYYAAWVAVMTAFVWAFLPETKGVPLEAMGAVWARHWYWRRFVQPPPAAKDAMPEVLVN >KN539067.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539067.1:45794:46060:1 gene:KN539067.1_FG021 transcript:KN539067.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARAMMLPAAAEAATVDVVGDGEERPWSGNGGNGGGGDSSSATVVGAVSVDLPTMWGDERRMKRELVAWAKAVASMAIRESCNSR >KN539158.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539158.1:48505:48879:-1 gene:KN539158.1_FG001 transcript:KN539158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSANSKKRPVDDTAAAAEHAHTYPVGRRRLEQQEIIAIEESSSSSLKSSRKRAMAGGGGAGGLRGDGDNRSVRGSVMPKRFKFPGALDGEDCRCTGVYVRGMLLVDAMKREIEAKAAARGRR >KN539158.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539158.1:106706:112430:-1 gene:KN539158.1_FG002 transcript:KN539158.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAVEDWRRKQQDIEVNSRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTAGLNEDHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTNQIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIASFGSVMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRATLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRPIEVQMPYGGIEEECVDIGQKGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRTSGGMSYEAESPDEGALVAAARELGFEFYHRSQTSISVHEYDPVFGRKVDRTYKLLNTLEFSSARKRMSVIVRTEEGRLFLFCKGADSVILERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSVHNDHDVAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGLVPYVAYVPDNYACNLLRKGMEEVYITLDNPGTNVPEEHNGESSGMAPYEQIGRKLEDARRQILQKGTSAPFALIIDGNALTHALMGGLKTTFLDLAVDCASVLCCRISPKQKALITRLVKNRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFHFLERLLLVHGHWCYRRIAAMAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGHVAGFDILGVTMYSCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPPTISTSAYHVFWEACASSPLYWLSTLVIVVTALIPYFLYKITQSLFYPQHCDQAQRPNSK >KN539158.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539158.1:18725:20150:1 gene:KN539158.1_FG003 transcript:KN539158.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSSTIYDDGDEEGDWEDDDEEEEDALGVIADLSASVNTLDATTKDDTLVALNTVTTVVDSDPAMERRKAKKSIKKKKKEDELSVIFEKSNELALAEQEMFQRQIENFGYAFISGMKDVILDDENEEGGETVTYDSEGGDDIFISLEL >KN539158.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539158.1:59055:59935:1 gene:KN539158.1_FG004 transcript:KN539158.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLLPTGRNTEGNAAVVAQCSSLSMDAQMAIWHGVFATLRTKRLVPNESLFWTMVIKLYQWQRIWRSQQTKGRLKRSREIRE >KN539158.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539158.1:99304:100540:1 gene:KN539158.1_FG005 transcript:KN539158.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWAYDEYGDGSVLRLNDAAAVRDIADDQVLVRVAAAALNPVDAKRRAGKFKATDSPLPTVPGYDVAGVVVKAGRQVKRLKEGDEVYGNISEKALEGPKQSGSLAEYTAVEEKLLALKPKSLGFAQAAGLPLAVETAHEGLERAGFSAGKSILILGGAGGVGSLAIQLAKHVYGASKVAATASTPKLELLKSLGADVAIDYTKENFEDLPDKYDVVLDAVGQGEKAVKVVKEGGSVVVLTGAVVPPGFRFVVTSDWSVLEKLNPYLESGKVKPLVDPKGPFAFSQVVEAFSYLETGRATGKVVISPIP >KN539158.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539158.1:11895:15197:-1 gene:KN539158.1_FG006 transcript:KN539158.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MAWVPALVRAGWMAGWVWGGFLMRGQCGSIRLLNPFLLRKIRFSWQTFLCLFLVVANTEPSRHPKRNSFSWKECAISVALSAGLITGAPTLGWSAHASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILGGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLHVEEDMVDGFPYEVPEEYSSMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYSAPVTAGNFLDLVERKFYDGMEIQRSDGFVVQTGDPEGPAEGFIDPSTGKVRTIPLELMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKIVG >KN539158.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539158.1:119206:129692:1 gene:KN539158.1_FG007 transcript:KN539158.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEQPGACAPAPEPLPSQLECAGLRVFFYIPDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMNDIEVIDLVYHNFLLEFPLFYGYWIKYAAHKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPGHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDAMQKNVKILPDLYTNFAQFKYAITYVGYTLVQYSSLTTFCIFHYASSCFSADMLYILQGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTVLSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTENYIVSQDDSSKDAITLKQLSKSDTSLLVDKVVGLQVDKSTVDSGKGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICPRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLAEQNTQQQGLAYEIPQNVQASSQSQAQIFAQPNQGDQQHLQTMQGTSKAGGVAAGCLVHLAM >KN539158.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539158.1:63600:67554:-1 gene:KN539158.1_FG008 transcript:KN539158.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSPDLNVVGDSFRRYGRDSTRRADTFASYEVEGNVVTANFTSYAGAATGGSGSFSAYAADTNVPDSTFTNYDAEANGRRREFTSYSQEANHGSNTFAGYGKNGNGLRETFTTYGNDSNVIASGFANYGESGNGATDTFTAYGKEGNVPDNTFRSYGAGGNAGVDTFKGYRFESNVGDDSFASYAKGANGNAAEFQNYGGSFNPGTVTFKGYGEGSNPNHHIGFKEYAGSNNSFKGYAKSGVDFKEYHNTSSADAATTMSLEAVSSGHLHLKWSPEPGKFFRETELVSGNTMPMPDIKDKMPPRAFLPRDIAKKIPFKPNAVSEVFGVPLDTAMGKAVTSTVAECERAPSRGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGQIRLGNVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAADSNQKINHGVAICHLDTSDWSPTHGAFIALGGKPGEVEEKSAGSSPNVNSISRKFGGVVTGFGHNAGLLIPKPCMLMLMRVLLEKRACALKYNGSLSELMR >KN539158.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539158.1:43681:46497:1 gene:KN539158.1_FG009 transcript:KN539158.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGGGEAADRALVGGTAAADRAVVGGAAAADRRLVLYNTDTEPICSVQEQEYHTESESDYMPGDESPSDDEEEAANIRKEYKEVKKKIKTGLMSGLDDVGLEGSQSNPNVQADPGKCGNETPYEESDSERSIDELGSDGEVTTRSSKFPRYKKKHAVGGSTNTAPQQDNNLVLSNTPQSFVQSKKRKAPATATTSSVSLTHSNNSTRQKGQHDQIVRMNAKAKVATQPGGSATVNLHAIVPYSQGSTSASIQITSGKASVSVSAQEPTKKKAKTSVGPTPSNKAKTPGSIIMLPPWETDKL >KN539158.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539158.1:5689:6990:-1 gene:KN539158.1_FG010 transcript:KN539158.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEFKTYKKRQRGQQQMDFELKTYHRKRQRRRGHQQTVELPDEIVREVLIWLPVKSLARFKSVCKAWLSIISESCFIREHLQCSKLKRYWNPSSFLITPHIPLKPGDSIFAAFSTDIRFYQWSLQEDTRTAATLLYRRHFPAGEFEPVLPMAHCDGLVLLPTKTKAYVFNPATRDVLALPESNRNMRQRDICPPIGLGFDASTGKYKVARSFYRSREYNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLYSKIVTHCKGCLFYYIDKKNQQNPPQALLRFSLQDETFGVTPLLTDTYPQVEDDEVTITELGGQLCATFFCNTLQQVCIYMSGDVMDPDWNCWHIINFMNCYPIASLGSGRILLRRGSLVFCTNLKSYSIEDKLDIDDIRYLGPNEDNLGHAWEDLCWYDILPYTESLVPIIPRATLQAL >KN539158.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539158.1:100850:104299:-1 gene:KN539158.1_FG011 transcript:KN539158.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/Swiss-Prot;Acc:F4J117] MNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >KN539158.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539158.1:47129:47741:-1 gene:KN539158.1_FG012 transcript:KN539158.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSDGSSASSRRTSASPILYRVGSLEYEPAVACRCRNKAARWISWSPDNPGRWYFKCANARSGGCDYFAWVDGPSSSFLREVLNDLRDEVWKLRREKADLSAAVEEGRFAQSQLVVARNELAASRKAVGDKEAMVGMLKDRNSRLEFERCVMLLVVLGLVIVVFAMLMGRK >KN542840.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542840.1:4425:4745:-1 gene:KN542840.1_FG001 transcript:KN542840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAAVLRRLPPRGIAASRCVCKEWRSLVDGRRLLRADLLPLSLAGILLNYDSTWFTQFLSRPTAAAAASTTPCLHRLPTSTSRTTATASSSCSARSAAWW >KN542840.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542840.1:7830:9262:-1 gene:KN542840.1_FG002 transcript:KN542840.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLENTKNDFKKCKTLIDKYEECSNPPKEPRLCPAHELAFEKCLQKNVGEIKVCQFWMDMMSKCLRRNKQWV >KN543305.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543305.1:6231:6581:-1 gene:KN543305.1_FG001 transcript:KN543305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGYNLPTFLFNPPQVSLAPAIDVLLMTKKARRSIHAASSFLKAGMGKVLKPHKERMEKLFEQLSPWTPELYGHERDLICNCKGYISHFEQWEHVKEQFRGIGKSAPAVVEAGS >AMDW01019800.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019800.1:11:287:-1 gene:AMDW01019800.1_FG001 transcript:AMDW01019800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPDSRIVYADYYTPYIQFARTPHLY >AMDW01040470.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040470.1:70:483:1 gene:AMDW01040470.1_FG001 transcript:AMDW01040470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTELALVGADIQEVIGSAIAMKILSAGTVPLWGGVVITAFDWSSFLG >KN548154.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548154.1:202:581:-1 gene:KN548154.1_FG001 transcript:KN548154.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALDLYPDDATLFSNRSLCWHHMGDGDKALLDAYECRKLRPDWPKAYYRQGAALMLLKDYESACETLYDGLKLDPGNSEMEDALRYPFCLFWPHHGSV >AMDW01023697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023697.1:123:239:-1 gene:AMDW01023697.1_FG001 transcript:AMDW01023697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEYLHKSCRPPLIHRDVKTKNILLSANLEAKISDFGLTK >AMDW01040378.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040378.1:2:900:1 gene:AMDW01040378.1_FG001 transcript:AMDW01040378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SILNPSSPSTPLTSRQKSRLAISLLKSTPPPPPDQILSICRAAALSPDSHLDRVALSLAASKLSSAPDSVRDLASSLLTPHHAPHAIALFGQAGLLPDAVSTFKSSPSTRSLNALLFACLVSGNHAEAARVFQTFPDAYSVKPNTDTFNAIIKSFAESGTTRSFYSVLDEMCKKGVKPNATTFTTAISGFYKEERFDDVGKVIELMKKHGCGW >KN543624.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543624.1:1900:3261:1 gene:KN543624.1_FG001 transcript:KN543624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDGEHEPGWRDVPVHDPVVKDAADHAVKSIQQRSNSLFPYELLEIIRAKAEVVQDFAKFDILMKLKRGNKEEKFKAEVHKNLEGAFVLNQMQQEHDESSSQ >KN543624.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543624.1:3715:6116:-1 gene:KN543624.1_FG002 transcript:KN543624.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGLVKMITGMFASIRMASASSLVSVQSEVGENQTGQQEWEERNLQELESEELVAHMIALGGFDPSIIDNKYFRALYSRSLRTNSPEEPSCVDIEKMCDCILDKARRDVSERLSHTPGRVPLSTCSIPVGDLESRLFMMTWGIQPSYESKHYQHRREMEVLKGHSDAIKRKLDNEFARTFPVRMGLVSTTFIDQVLEPTARCLRFVFVGFRGSLAQELLGLHLTRQTRQQLLQSSDSGLESPSAYNKDWYRTYCALRILKDKGSTLIDEDMELAQLLIKVFGAIYDAIKEISSPNHPTSNHCLPELFKVREILRSELGRHGPANENVYSIVPEPQIIMPEHITRCLKDAKSTLDHAIEESYLIWSVPLFLDPRYKKKGIDYLFKRAYEPKKANKMSSEVFEKVKELCTEYSQGGVGVTTNIDGAGPSEQAKTELEKYLDAPRIGSFKGKGIQDPNFD >AMDW01035912.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035912.1:106:466:-1 gene:AMDW01035912.1_FG001 transcript:AMDW01035912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKWKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEK >AMDW01039558.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039558.1:254:1001:-1 gene:AMDW01039558.1_FG001 transcript:AMDW01039558.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKP >AMDW01039776.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039776.1:96:1060:-1 gene:AMDW01039776.1_FG001 transcript:AMDW01039776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYDITREVSSRCYKGYNGLNELHKLEWNNRGFSTFHALVAAVVSFYLLVISDLFSKDVHGAIIIDRKSWMSDAMFGVSLGYFLTDLLMILWHFPSLGGKEYLLHHGLSMYAISLALLSGKGHVYILMVLITEATTPFVNLRWYLDLAGRKDSKLYLYNGVALFAGWL >KN543319.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543319.1:3950:4205:1 gene:KN543319.1_FG001 transcript:KN543319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNFSTSVQLLLALLVLLVFVGGILGEEPSTCSKSTAQRPCPSIPGQGQ >KN539165.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539165.1:48251:52752:-1 gene:KN539165.1_FG001 transcript:KN539165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTAPGTCAPVDTERSRWEGNIHAWPWSTALLFSKNKCVWPNTFRLDWESLGQQPAGPVRSNSWGSCLRPMKQTTSDTCAIVACTVALEGMHRKVYEESNGVGTFPVAWQAAESWNEQLRLACERKGVWKAREGANVGDVLIKIQELAGVVTSIPGLLMPLRSWEKHSAGLTRERVAELIDLGPCIGRLWVCPWYHHFNADNGWVYRGCGRDKHVRDECKELYRNQAMGSHAIVCFAYRFCENGEMHVLVLDNHDDDGPQRWIDVEELDAIFTLSVECLTNGGASPPKEVNFPSGHTHTPYGLTRVVLLVPGRQLAA >KN539165.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539165.1:86425:87790:1 gene:KN539165.1_FG002 transcript:KN539165.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASSPASAAGGVLREFSFEEEAPGPKITDPAALKKEALELVRGWPEDLLAVMRDTPDDAVWGNPAVCAARDGVVIPRLVRLGPFLEHTNFDCGLLEPPP >KN539165.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539165.1:27092:31939:-1 gene:KN539165.1_FG003 transcript:KN539165.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVETADEATQAPVAPTSITAAAYARPVGTLLDPKLFMAARRGDNNRLKELLGPDDDEVVIVDVDPVPAAPSSSSSASGSSHHQLQQLDDHGVTSNEGDSLLHVVATRGGGGDGDRFVACANTIYHHGSSNGRGALLAARNHKGDTPLHCAARAGGARMVACLVALKTAEVGDGPGVEEFLRMRNQCGETALHQAVRAACTACIDELLLVDPMLATVPQEGEGGASPFYLAFSLGKLDIARHLLDKTNGQLSYSGLDGQNVLHAAISRGQALPVLLEWLKDVTVDVQQGDRAIRVPLVSHLAQQRDHQTGSTPLHLAASLEGWPYVGILSKWFPDVWPRPKSAVALLLEANTCAAYQPDAEGLYPIHVAALADSLDVVRTMLQKCPDCATLRDAKGRTFLHAAVEAEGYRVVEYACRRMPKEFSSVLNMQDNNGDTALHRAVHLGNLPVFNCLTRNPHVHLNIPNKYELTPLDLSWITVPSSFYYDSNPRGLIQLSLQFVGAPCGASRPDLLSQKHIPKIDNDKVSAHLTNASQMLGIVSVLVATVTFASAFTLPGGYQTGPLNAGTPLLAGSYAFDAFILSDTLAFICSCMATFSLIFAGVPAMDISIRCRYFEISALLLRSSGRSFVVAFALGLYLVLAPVAHTIATAVCVIISVSSLYGNSEAWQILRVADMARARLGTRMHVAWTLGLTFYNVFVNLFLNFWSFIIIFGLPAVIRKVHARE >KN539165.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539165.1:57580:59537:1 gene:KN539165.1_FG004 transcript:KN539165.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCIPGRLPLACGHLAVRPSGQAATGKTVIKQNKMNLWHGSRSTCGSHKGSPGQKARGSSYKEPGTAQELPHQLLHKSKAMDMFAQMLQVKWMRCYYWVMSLCDALGSSCCC >KN539165.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539165.1:68028:80146:1 gene:KN539165.1_FG005 transcript:KN539165.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MDEAFLREVDAICEEHERSSARKDKEAGEAPPSIPSEPESGVSGDAFRKEENDIGEEGDAQPFATSQEEMEDADEEEICELWFGDDSLPPAISIATGGGEFEDAFWNVSDITEEVHHTGSSAKCQEDMDRKNSDGPSVPSVICHEEREGELVDAFLEDLDAIHQGDATKGQEEPQETELEIEENEGCVPKKYYEYLQSLNDRQREAACSDVTIPLMIVAGPGSGKEFPPSNILAMTFTTAAASEMRDRIGTVVGKAVAKEIVISTFHSFCLQLCRTHAEKLGRTSEFIIYGNGQQRRAVIEAERLLESDKNNGLGDANKKCDGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGDLTGASILRHYNEILRSCNALDYHDFINSSITLLTKFPEVYDECRNTWQAIVVDEFQDTSAMQYYLLKTLASHNRITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRQSHKLVETDNPSGNKIIVKECHSEDSQCAFVIDKIIETTSSSVEGCHFGKIAVLYRRQITGKAFQASFRNRKIPFNIHGVAFYRKKVIKAIMAILKTTLPGCDDDAPWHQAFKAILPGDKEEKKKIIHHIEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSALDSLSKLVEREQSVSVVISSAGDMLPQKYLLEKRAIVDADGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSVDTSKTEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQKYAVLCCDDKKMCKLGMANDSEIPLLHEYNGTVKEAGSTLELLQPSRFLREIPAHLLEVQGEGTVRRTPEQPVNIPFDQPEGDTSVERPMVVRNETSPFPEMDQPCLANDFLKRFEIEDRAIISHIFHQWAKKQAFQNPKRLLDKIGFVIDERLRGKGYKRKDVLCKLKSFLSGDEAFGYAQYVIKWEQIPIDKRSHLMRERQASS >KN539165.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539165.1:4165:15222:-1 gene:KN539165.1_FG006 transcript:KN539165.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEKHKYNHIFCVTDMGDWYDKLSQSFRDTAKEVLAKADIDPNVRCFPRRQMKRITNNYSTTLGRGGFSVVYKGRLDDGRSVAVKQYNWRTQRKEFTKEVIIQSQCSHKNIVRLLGCCVEAAAPILVTEFVPNGNLSDLLHGNSGLLPVTLETRLQIALDVAEALVYMHCSQSYPILHGDVKPSNILLGDKGVAKLCDFGISRLLSMDSDEYTGFVIGSKGYVDPVFCQTGRLSQKCDVYSFGVVLLELFTRKKGIDDMKVCLAEIFACASRKGDEHKLFDMDIVTNENMEFLQGIGRVALECIKFEVEERPEMRLALEQLLSLKRSRDKSIHEMLVVRKEIEVFLRGCGFGRFILSKESVDDLICNLKIVLKECASGKAYIGKFRGTPLMAIKMPTAVTEKWKDILGNEIAVQSRIKHMNVAKLIGYCLDHSDGTVLIYEYGAVSLYDVLFGDARKIHRPFTCDLRLKIAIGAAEGIAHLHSLGVAHGDVSINDILLDHVSSSLVKIAGYGTSGLPDIDKALDSLETGHGKKEHDVYSFGLVLLTLFTWKKVSLPDDLKSEPDRPVLLHQEAIRGRRCNHLEMIKGLASRFYLSCGLQVDAQYNHIFCATHMGDWYEKLSQSFRDTANEVLAKADIDPNVRCFTRRQMKHITNNYGTVLGKGVSSPAALLQFFILLFLPHALVKPVTRGIQEVRLHFITAHRASVQAQYNHVFCVTHMGDWYDKLSQSFRDTAKEVLVKADIDPNVRCFTRKQMKLITNNYSTTLGKGGFSVVYKGMLNDGRSVAVKQYNWRTQKREFTKEVIIQSQCSHKNIVRLFGCCVEADAPMLVIEFVPNGNLSELLHGNSGQLPVSLETRLQISLDVAEALVYMHCSQNHPILHGDIKPSNILLGDKHVAKLCDFGISRLLCMDNDEHTGFVIGSKGYMDPVYCETGRLSPKCDVYSFGVVLLELITRKKGIDDQSRNLAGMFARSSRDKRHELFDKEIATDENIDFIEEIANLALDCLKSEIEDRPQMKEVLKQLWSIKRSEILRQERRLAELRERRIMTLREIEVMLRGSGFERFVTKADIDSIIGDPEQESTSETFSGKSDVAMGKVYMGRLKNTPLIVIKMSVEVDEDWKQTFFYEMIMQSRIKHWNVAKLFGCCLDHVDAPVLVYEYGEMGLHDALFGKAWQSIEHPFTSYVRLQIATDAAEGLAHLHSFDMVHGDVRTANVIVDVFSGSKLEMPRISTFPAKIAGFGTTKLLSLDKAQYARFLTENIHYKDPHFLITGLMTKEHDVYGFGGVLVELFTGNKIQMHDINTADKWLITPEL >KN539165.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539165.1:89747:90850:-1 gene:KN539165.1_FG007 transcript:KN539165.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRYVGKIDGEVRRHLAARWAGRRTVAVFPLMKTLAFDVIATLLFGLDRGAIREQLADAFDGMHEGLWTVPVDLPFTPFRRGLMASARARRLVEATHEEIAKGKRGGEALTWEDVAKMKLTWRVAQETLRMVPPVLGSFRRAPVDVEFEGYTIPRGWQIFWSPSVTHMDPAIFHEPTKFEPSRFDGAAAAAAYSFVPFGGGPRICPGMELARVETLVTAHYLVRHFRWKLCLGEEKNTFLRDPMPTPNDGLPVELDHIAPLC >KN539165.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539165.1:118214:118669:1 gene:KN539165.1_FG008 transcript:KN539165.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGALSGAGRSISSTTKKKAEAASRSPQQQQPQPDHDVEAMEPDAEWEILRPLSPDPPELEEALRRLAEAERIAGDERAAAAARARPGEKRSVPELPAGWKWEWDDSVAMAKRYKCNYWDDPAYAGVLQDIGPLLARGAAVINYADRDP >KN539165.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539165.1:135301:139304:1 gene:KN539165.1_FG009 transcript:KN539165.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAERRQAELIEQFSAQAAALSSSAPQLAALVLEATSHPALFAFSELLSLPALSKLNNCQKKLAVKGEKKWPHLDRQALLPYDQLMQELDVSNVRELEDFLINECMYSVQFATGRDLTPDQLNNMIDTLSDWLGTSDNLLHQIQEKIKWADTMSEVNKKHQKEFEDKVEEAKKSIKADIDLRGHDDFLSEPGGIMDFEEDRIRPKRRRQPMA >KN539165.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539165.1:60508:61395:-1 gene:KN539165.1_FG010 transcript:KN539165.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWIPSMEAGLKDLNDPGDQATGDATACAAITASLRTIAVTSGANALIIMYGKTGNSDLAPKIFGPVKTKTYVVRLTTLVLYDQMPTEGILNPTQQFWSLSFQLLQIKPNSLTFLAILSACCDEGLADEGKKLFTRMEEYSLEPNLKHYACMVDRLGRASSRGRRYGLYNANRT >KN539165.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539165.1:41119:41454:-1 gene:KN539165.1_FG011 transcript:KN539165.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKLLALGVPLGMNKDSLYTSPSLAAEAVARLHMPPMLLMVAEKDLLHDPQVEYGEVMARVGKTVETVVIQGAVAAHVFYLNFFAVESDPLTAERTRELIDTIKTFIDRH >KN539165.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539165.1:308:2750:1 gene:KN539165.1_FG012 transcript:KN539165.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding QLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAVHIILQSLRTDFTFGEAIGGMEMHYFFHLRLPSVSSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTFFQAAICLHRKVATKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNPNASYSYLQKLNVLEQPRTQQGPENGLTSSEKYNLEAIPGL >KN539165.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539165.1:82549:84611:1 gene:KN539165.1_FG013 transcript:KN539165.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVATGRRIIGATRPWEAAPGTIRADYAVEVGRNVIHGSDSVDNGKKEIALWFPEGLAEWRSNLHPWIYES >KN539165.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539165.1:97571:115472:-1 gene:KN539165.1_FG014 transcript:KN539165.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATVELLCCRDAVFGLPPTMCCHRCRDVTSVAPSKARADGYGESSAAPSFGTEASSATPSLAALGLAEELLWAWKAMRLAGVEPSRLIYNCLLDGLVNAGLLDTAVNVFDAMSTEDQVRPDVVSYNILIKGYCRAGRAQDAMARLGEMQEQAKLTPDKVTYLTLMQCHYSEGTFPVCIGLFQEMEERGMGKDIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCAANAAIYTALIDSMGKFGREKEAMALFERMKNSGLKLDSVTYGVIVNCLCRFGKLDEAVACFRSCQEKGIAVNAIFYTSLIDGFGKAGMVDQSKELFEEMIAKGFVPDSYCYNVLIDGLAKSGRMDDACALYKRMEDDGCDQTVYTYTILIDGLFKEHKNEEALKFWDAMIDKGITPTAAAFRTLASGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKAGRFRQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFQKIHKNIICIIGGMLGLYRHYMSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFHNAIEIIAGTKRHFFGSFLSRDEAFRIIVEGWEQHVSDARLLLERQDAKSGNSSDENGYVLLEGAKETKQDDDSSPLDRSVNGTAVTSGSNDSGDSDVNISKRSSEVLENESEDKCTAATALNPFILGPFDDEAPNVPEPFALITESKFQVPVEVLFNMLLSDSSFGFLDDFHKKCGDKDEQGGLIRDVSFLHPIKIYLGAKFGSCQEVQKLRVYKNRHLMIQTSQQIGDAPYGDHFTVEGIWDVEQDSLDESSCYLRVYINVAFSKKTIFRGKIDQSTKDECRDVFGLWVKLGHDLLKQDSSCHSRGPSSSTNVDDPSGTTLSSENPLENTDPGSSSAPDEPVVTIIVLLLRSPKVYMVSQETSPSSFSYSKESIEWVQKRLNLLGEEMRMAESHLEMMQHEFAWLKSHLERLQRLRSSSS >KN539165.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539165.1:47380:47631:-1 gene:KN539165.1_FG015 transcript:KN539165.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPKTGKAKEAEAALCSAKDRRIHRATSQTSTTTPLSLCFPRFREPKEFLNGGKANMKCGEGGLRRDVLGKRECRASSAKS >KN541600.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541600.1:13:4737:-1 gene:KN541600.1_FG001 transcript:KN541600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEANELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRARRPYQTKTFKVELCFAAKIPMNAIAQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDALRRSNYDSDPMLRASGISIAQNFTQVEGRVLQPPKLKAGNGEDIFPRNGRWNFNNKQTAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKLGGINSLLQIEASPSIPLVSKTPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLVSKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFSNILDVHLLKLLTEAVPATDSGSPDNVPPGIREAQQPYQL >AMDW01057609.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01057609.1:2116:3147:-1 gene:AMDW01057609.1_FG001 transcript:AMDW01057609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSIARPRADTRVAPDQGRISLTAPTQHDSMDTFRCPPRPLPWDDPRFSHHTEHHPLVGGHDKASATFHKSGSLGESKNADSISNSKAVKDDGPSTAVKDDGSSTAVKDDGSSVKHHSDGLHIGKEQVHDLFDFEDDCPICLEEYDYENPKMTLQCNHNFHLCCIYEWMERSQACPVCSKVMLFHEDA >AMDW01039775.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039775.1:164:565:1 gene:AMDW01039775.1_FG001 transcript:AMDW01039775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWVWTGLE >AMDW01077259.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077259.1:335:2360:1 gene:AMDW01077259.1_FG001 transcript:AMDW01077259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIGWTPLVEMKNIAKKEGVQARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPAIGFNGMMDKVEELMKSIPNSHCLNQVTNPANPEAHFMWTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMNSDLFAPVREECDNMTF >KN544856.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544856.1:282:1990:1 gene:KN544856.1_FG001 transcript:KN544856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KDCIYWTLEWKFNSTDVVLTDHNIDEHTSLLSSLEKHLSPGPWKNQLTPYHNTDLRDLKLFIQKSAKESTSPYRQLNIEEPLGPQLRSIKIVEYPTINVFLPSDSCDFEVEKFVNKLPASEKPPGSSTDSPDLEGTEFHEEEIEEGELAPETQVIDLKECGASHASNLASAKDTSGSKVDTKRDSSVLSYILSLGLDGQQKALTERSKMAPNTTSGASKTKNCMKVYPMDMEESGDAGVISERQGIDCKNQAASHPGNLTPVEGTTVSKIDSNTDSLVPSSISIVASDGFSCPQVEHNQQSRLTPNSTPEALKRKSCMKVYPLDTEKNLGLFSEVPNLGFEQEIGNAYSDLFGDINPDDFLNFDLEMMDEDELAGIASPLKLWDDLEEGEIPTA >KN538824.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538824.1:176783:186628:1 gene:KN538824.1_FG043 transcript:KN538824.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEGMLRDMKLSMAEKKGIKIVGVEESAWGKVPVQAIGKLLSEKPTPPEALVQTLGKIWCPIKGETLGAEIGEVLEVDLDDNSPMSGRYLRVKVRLDIRKPLMRGITVVLGSKEEGRWCPLVYEFLPDFCYVCGIIGHTVKLCEIKLKKGEPYQFGKELRVFPQRRRSDSSGGSRGSASRGGGVWRGGSTIRKDKQSGSGSRESLGKSRADCLSWRKSPVQALEDKGEEDEVQSPLKGKQMEARESGPKKNLFAADGSGVDAVGDGCSREKEAEVVSAQVVSAKGETEGNVKTSRKFKRIGRQERTSKEEIVADATSNKKRAVRDEGVKEVDGCSKVVRGRGRNKRKWTADEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIENILAQRLPGRGIRSSPHVDSRLKVLKRKYYAIKDMLVLPGFSWDGMRKMIQCEKELYDAHCKDHPRAKSLYGIPFPYFDTFDVIYGKDRAGREEEELSDEVVADMENENTDEVGDEDVDKDRESAGPSGRSLDAASSCKRQKKHSNGTKRCRTESNFPSPRMLKDVHSHFQSSIQHVSTMATAMELFKDVHNHFQNVVQHANAMATAMEMFRDAHDHFQGAVQSVSSAASAIERFKDAHDRFQNITHHGSMVAAVMECGTDHTQEKKMCEEPQQKAKVTAIAEIQKLGLTGSEVVFAASIFAKEPNQMEMFLALPEIYKRDYIVQMLNGMLRDPQIPHKFHDCSSLHSKNDEGVGQ >KN538824.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538824.1:212418:215809:-1 gene:KN538824.1_FG044 transcript:KN538824.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPARPSSQELVRSIKESLLALDTRTGAKALEDVEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHFDLLDFLVVCYKNLEVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLCSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRLADKDRTLECHIGHKVFFMALHILSLWLN >KN538824.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538824.1:188002:189485:-1 gene:KN538824.1_FG046 transcript:KN538824.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWGEGFVRIALDCSRDSWLGGWEDSCRVATLGEGFVLMARVQLMRDAPKFRVLTMTEAPFVPREKLFKQQQYFQNLTKHTYLKGRYDVITSVAIPLALAGTSLFMIGRGVYNMSHGIGKKE >KN538824.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538824.1:195031:199815:1 gene:KN538824.1_FG047 transcript:KN538824.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHAAVAHSFALIHASTRARGFASSPYNAGGVIGYKGQSPVYTVKVLELLLQINHTRSMSTAAQAELPSLSKAPTPSQTSSKVPLGARKVGMKVVMMSPGFVYEPYSIREPIPFWKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKQFYDQAFKIYKETIKNELKKRQSMWSSVHWELVEPAVCIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNTKGEVVSGDKSKELIIVW >KN538824.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538824.1:219723:229629:-1 gene:KN538824.1_FG049 transcript:KN538824.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding DFGTNQAYVYYGLREDRYGLCEREFEFMKGSDLRLCERVIGELPQPRSMSLVDLQCWVIKLLRLHPETQDLQIKGFFSEGLPLEFRPEWFYKGDWETHHMYNDDSWASYVKKVKRRYGMSMFVLCVDCSEIKHYRSLVKAGYGVYAQVGKVADHECMPTEVLPGQKSLSSKFPAQYLSYRLKDEHNMSMTAEEIVPFIADRYGDKISLPEAWRIKMKALEILFGTFYDSYNSVPRLLKDIGYKNYGNYVNIKDTEVCLCAPLTTDVFRSFKAIWITFFHALICMNGLMPNYVERWRAGGYTAKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNAEGKCRSLHPGPVSLLHWSGKGKPWLRLDSRKPCAVDYLWAPSAGGSAVGKRCDDDVRARAEVAAAVLARKATCGISPLLHLFTRPHTTISTFVDLEAWFRDHSATMLFEKAHGIFDGLNSLVDVGGGHGIAALAITKAFPGISCSVLDLEQAVLHSWDDDSCVKILKHCKHTIPAIADVVGRRHRNIKLSNRLKFYSIYIYMMRGSGFERDEQERKNIFILARFSDYTVMPILVPYLSSRFLYEEPRR >KN538824.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538824.1:191815:193665:-1 gene:KN538824.1_FG050 transcript:KN538824.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEIISDSYGKDAIAQVAAAAAPATGHSDGGESEPNSASGQVRYSRFEAQLRALQSDPATFTSDPEDADDFAAWRVGFSVDERSGEIEALCYDSDALEGMVEKLVPGTVESEVFWARYFYRVHRLKQQEDARAKLVKRVFAAEEDEEDLSWEVDDEDEEEEEQQRVEAKEEGSKQEPIKEDVKHVAEDKESERIVEEKEVEAVEESRGLEKEQQNADAPQPEVFGSSMVVVDKREKEETSVSNIEESSDKKAVTEEPRSSTGDDAVKDGAKHETSDSSKDSDYSMVSRQRTTTEEEDLEWDEIEDLGEHEEKRGSNNDSSAALKEELRKRLSVAEDDEDLSWDIEDD >KN538824.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538824.1:116248:119913:-1 gene:KN538824.1_FG052 transcript:KN538824.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKALVKIKGERMACSVKTRQDFHDSRLGRPFGKAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVSKSLF >KN538824.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538824.1:209175:211027:1 gene:KN538824.1_FG053 transcript:KN538824.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIWFGRTPRLVVSEPELIREVLLTRADHFDRYEAHPMICQFEGYGLSNLHGERWARRRRVLTPAFHTENLRMIAPFVAGTVTRMLDELAERAGGAAGEAEVDVAEWFQRVPQEAITFAAFGRRNYDDGAAVFRLQDELAGYATEAHSKVYIPGYRFLPTRKNRRVWQLDREIRSHLAKFVTGLQSCSSSHGDDADDGGGAGMREFMSFMAPAMTAAEIIEESKNFFFAGKETLSNFLTWTTLGMILNETLRLYPPAVAMIRTAKEDVELGGCVVPAGTEVMIPIMAVHHDAAAWGDDAAEFNPARFAADDDARRRHPMAFMPFGGGARVCIGQNMALMEAKVALAVVLRRFEFRLSPAYVHAPRVLMILSPQFGAPVIFRPLTSAAA >KN538824.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538824.1:167787:168455:1 gene:KN538824.1_FG054 transcript:KN538824.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAASGAGSFLPHSLGADRVSDSVKSLFPSSSTASGAASAGHDEYRGSPPDLLSRTTSNQQPQELCLTLQSNQHQIFSHVSSNHHGMISSAGVPGWPDHSQRMQAWHAPENSTGDGRGGGNGDGYMFAMPSRQGLDHSQLFSHGEPLQSSGSGWASARAWLDPLAVAAIHHQPSTMAAGQVGFGHLVGGAGGGGGFMGFLAPAAQRLEGEEEHGSEVMRRD >KN543710.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543710.1:138:392:1 gene:KN543710.1_FG001 transcript:KN543710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLFVLLVFINLCTGMPRNMAKDGYHKADLGLKVMRNLMSGTDGRTGPPSNDHQCPLGTYPNCQGVSQSTQGTEQDVGGN >AMDW01031123.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031123.1:10:280:-1 gene:AMDW01031123.1_FG001 transcript:AMDW01031123.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNKNVENNVGMPPQTIHHNITGIPCQECDHGAASFRIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRK >KN544917.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544917.1:528:1892:1 gene:KN544917.1_FG001 transcript:KN544917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVEAVKKLFEENKGQIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVSTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYDYLDKITGDLVRGVLDAGAKTGHEMCGGHIRGMFGFFFTAGPVHNFGDAKKSDTAKFGRFYQGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAEKVLRRI >AMDW01028791.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028791.1:11:367:1 gene:AMDW01028791.1_FG001 transcript:AMDW01028791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVAPSHSAASLQRTPTAPRTLLPAGGLLLLRRPHPPLHQQHRSRSSFRCRRRLLTARGDYDYYTDEEESSEVVIGGSFDTAVALFNDGEFHACHDVVEELWYTADEPTRTLLHAIL >KN539118.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539118.1:10523:95608:-1 gene:KN539118.1_FG001 transcript:KN539118.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVLSLLPHSLTLLLLSLADLTASDYLDDNRWILVSDRETGKSPTRDRGVGGYARQATSRHPDPSLVIMGQVRRGVRVFKNVPLKFNPEHHAVYSGRIVTLNKSSVAGHRPAPPQVLQEAQILDVDDLTSLALLPPSLEPSLVLRRRGKRIMTPGYSSGGNSKGTRRDSTGDAFNRLADLRVQSNESRARREEQKQAKSARACMEMLKADGISMQDPIYHIVLRMFRDGYLRDFFIEDCTTPEDRLYFIQLHGAPDLTPDPLLFAPPPPPNCSGHVEGWYKRFGPDDDDGSDGANGGADGAAVF >AMDW01033392.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033392.1:47:241:1 gene:AMDW01033392.1_FG001 transcript:AMDW01033392.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPVPARTDGFVYGGKAPALGETVVVEAYFDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLP >AMDW01040716.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040716.1:1129:1930:-1 gene:AMDW01040716.1_FG001 transcript:AMDW01040716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEASSCPNEGCNVRIHGYCLKKKFSKRKASRACGCGTEWPRLEGEDDGAEDVEEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRSLRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >AMDW01061941.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061941.1:624:1175:1 gene:AMDW01061941.1_FG001 transcript:AMDW01061941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLKNTLSMGKACDELAQVVGSRRRIEESEIGQLPYLQAVIKETLRLHPPVPLLPHRAKMAMQIMGYTIPKGTKILINVWAMGRDKNIWTEPEKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLIHFKWRLPVEVERNGIDMTEKFGLTLVKAIPLCAMATPT >AMDW01023619.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023619.1:136:267:1 gene:AMDW01023619.1_FG001 transcript:AMDW01023619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAASEEPAAYGELMSIGGIGPGVNGKLSAALAEILETKLSVS >KN542333.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542333.1:4685:6404:1 gene:KN542333.1_FG001 transcript:KN542333.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGTFTYEQLAAATEGFAEENLVGQGGFGYVHKGVLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRVLVYEFVPNKTLEFHLHGKGLPVMPWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELVTGRRPIDAGAADHPASSSFMDDDSLVEWARPAMARALADGDYGGVADPRLEGSYDAIVRALEGDMSLEDLNEGMRPGQSMVFGTAETGGSISEASGSYTFDMDRIIQEATAARLESGRRDNACFSGEMSAEWKQPPHRILNEKQNLVKG >KN542333.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542333.1:7139:13162:-1 gene:KN542333.1_FG002 transcript:KN542333.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALICDTEQWKGLQAHVGEIQKTHLRHLMHDVERCKAMTACLLIDSEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVIESNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKQFTGYFQTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >KN540116.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540116.1:50437:60407:1 gene:KN540116.1_FG001 transcript:KN540116.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAAPPWEPSVGTVFRRLAGAGDSGRSPEASLPSPSSSGNGVATRISNLHGVKRKPFVARLTADIIQTFVRCNPEFKYSESLNPKIFLTNPSTPAHNDGLDNANWDLILFIVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQALVEVSLLRALNQTHDPDDQYNIVRMLDYLLFQNHLCIAFEMLGQNLYELLKRNSFRGLKMKFVRAFSKQILDAMVVMRGARIIHCDLKPENILLTPSVTTDAAVKVIDFGSACLEGKTVYSYIQAFPYFPEHQNMMCSSAWGQPPDYMLREAKNSAKFFKHVGSIYRGNEVHDGLGSSYRLLTEEEIEVRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTVVQSRITITVRYSPRNEKERKVLHFDSETEKAERVILVDFLKGLLKFDPNERWSPLQASCHPFITGEPFTGPYEPIPETPKIPIGRAAAVEHNPGGGHWLAAGLSPQVGSVNRSLPPNNPYPPKIPYSYGSSYGSFGSHGSYVGNAGHASSYGSFGDGNAVNMYYSPLGPGFKQIESSPDVRLRPRFSHDRGIRLSPGSTGPMSLGASPSQFTPPNYQMQIPSNSTGMHGSGSPASGGIHGSPLGKTPSSYSKRRGLPMPPHEYPSQHGQGRHGDGVGFSHSDANVRGHPVYSQNSIPSSGYSSWRPQIGSGSFSLEASSSHGPSQTFHSHFAPRLQTLDNLSDSSAASTLDNAYWDPYFSDESLLHEDNSLSADLSSSLHLGDSANPTSGSARTANVQSHIFMGSNPLPAGESYRADHFFHASSRGSLGGNTHSAVPVNYGGYNPELLAAVDNRPWKSLAKRRVQHYGYEFLYETRNVDSKQFLGELPPFVSTILDKIMSFPGANKCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSMVSGTDKDSIEEPQCIRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNTRRVSFTFRKVRMGLCNCEYGQFCDSQSK >KN540116.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540116.1:36643:41277:-1 gene:KN540116.1_FG002 transcript:KN540116.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWPPTPRSPRPQAPSVERAKGPSGLDKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTSTAAKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPGGDLALTSRIRNTNTDGRPFSFTFAYHTYFSVSDIRGHKHDLCAFVPFKSAANYLSEVRIEGLETLDYIDCLRGKERSTEQGDAIVFESEVDKIYLDAPAKIAIIDHEKKRTFVLRKDGLPDAAVEKPITLKPGEEWKGKMDLSAVPSSYCSGQLDPNKEHLMSLILSGADAAS >KN540116.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540116.1:21310:22588:1 gene:KN540116.1_FG003 transcript:KN540116.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPARRAWAAVSTRVRARKPGFQEFVPKIPAFAQPPKLNALLKVNLPGGYNATVKRQRPTRDYQQCTARYCHW >KN540116.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540116.1:1317:2980:-1 gene:KN540116.1_FG004 transcript:KN540116.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSPSRDSSGLADVYWLNMSSTLTASQSAWTRGSRNCHRPLVTGTARPLHGAHSPLRRSKPAVQAGRPRRRRRLDDPADDGQSKHVAPVEVEQQQDRPTPDEKSKRA >KN540116.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540116.1:9359:16874:1 gene:KN540116.1_FG005 transcript:KN540116.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFSPLVLSPDSGSSLLSPQGFSNFHCHQEHTGFSGLEVWRIENFKPVPVPTSSHGKFYMGDSYIILKTTALKNGSFRHDLHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGGETEKLLSYFRPCIMPQPGGVASGFNHVEVNQQDHVTRLYVCQGKHVVHVKEVPFVRSSLNHEDIFILDTANKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADTEAGEFWGLFGGFAPLPKKTSSEDNGDDKETVTKLLCFNQGTLEHISFESLEHELLETNKCYLLDCGAEMYVWMGRGTSLQVRKGASEAAEKLLIDENRKGSNVIKVIEGFETIMFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKAAPEEEEPQPYIDCTGHLQVWRVNGDGKTLLSSSDQSKLYTGDCYIFQYTYTGDDKEECLIGTWFGKKSVEEDRTSAISLASKMFQAAKFQAAQARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKNFIAVNGTDDDTYVEDGLALFRIQGSGSENMQAIQVDAVSSSLNSSYCYILHNGNTVFTWTGNLTTSLDNDLVERQLDVIKVKEIHHFTQDDLMAEDIFVLDCRTDLFVWVGQEVDAKLRSQAMDIGEKFLLHDFLMENLSQDTPIFIVTEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAIVKGGATPSLDKPKRRTPAFSGRNAGQDKSQQRTRSMSHSPERHRIRGRSPAFTAIASAFENPSTRYLSTPPPAVKKLFPRSGGSELPKTSSKQSAINALTSAFEGPTKSTIPKSVKASPEAEKAIQEEGSTVGESANEPEDDENSTIYPYERLTTTSDDPAPDIDVTKREVYLSSVEFAEKFGMTRASFKNLPKWKQNRLKSDLQLF >KN540116.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540116.1:42896:45839:-1 gene:KN540116.1_FG006 transcript:KN540116.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAQAWLFAAALVLFHGSEYVLAAAFHGRRNVTATSLLISKQYVLAMSFAMLEHLTEALLFPELKEYWFVSYVGLVMVIIGEVIRKLAVVTAGRSFTHVIRIHYEDQHKLITHGVYRLMRHPGYSGFLIWAVGTQVCLPPAGIIIDVPEYRTKQHAMRR >KN540116.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540116.1:7605:8642:1 gene:KN540116.1_FG007 transcript:KN540116.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDAGVPFPIYQPMRLAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDGYPKECGLQ >KN540116.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540116.1:32981:34686:1 gene:KN540116.1_FG008 transcript:KN540116.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRNGCSSKHLAGKDKQTHRAATAAKDASETPRRSQIFRKPSTRTPQGSTASDNISRNAASSYSENDNRPRETPGRDLIARLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRNSSGDTRRNSDRDVDDFLLVEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELYSASQLENYFIFNDRHRGMRMDIDGMSYEELLALGDRIGTEEYSAGEEVGKMVCKHYYHFSCIKNWLRQKNCSQCALVQYEHGKEQEITPEK >KN543015.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543015.1:643:1635:-1 gene:KN543015.1_FG001 transcript:KN543015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTLVLINVRYIYLAIIPVIMLVFISALCNEISLKSKLNRISSSAEAREVGGSGSKKADENCDDATKQDCNNSNEDEHNHGNDKKAEVMVTIAVMPYWVLCLMGQFCGADNFVTSYFLLFLSSSLGALTLMIARLASEIAPRLAPVLDLLHKSTLLVLLTAHTIATEFLGQDMVVAFMPELLAVILWLGIHLDNGGSSTMSIQKKVTSSQEKEKKIDITSYANGVVAILIVVTAAALAYLAGMDGLELEGRKMWSSWVRRVFPAGCISGLLPYFTALMISHWPGLSPESSKGAVQLLWFWANVSLAATTMMLVCACTLLAATYISSRR >KN540634.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540634.1:6309:12642:1 gene:KN540634.1_FG001 transcript:KN540634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGSSSKRRRLPWRMTMSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSDTNEEILGVLSFVFWTLTLVPLLNVLGGIRAGIVHGKASAQISYSLGLWQRIEFTLGRNMMWRFLLLVLYWFACFVCNTMVLKQCLQIWGILISCRYSFPSSEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIVHTSDKVHGQIYIPEINWILMILCLAITIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLAFIFMAIMCIWHYGTVKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQIGELGVARKVFDGMPQRSVVAWNAMISGCARGGAEARAVEMFGSMRAEGMRPDQFTFASVLCACARLAALEHGRRVHGVMPGNAGKYVVLANAYAAREMWGSVAGAHEAMRSLGIKKDPAWSAVEVRGKRHTFLAGDSYHDERSAIYEACNALAAAVAEQSVPPAATAKVASWRNLMHEKIGSKINRWEKVRSKRHEDLQSHDTNPHCSRVTCRSSNVSSSVLESNVSVRIARRQKYRQADRQY >KN540634.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540634.1:32778:40899:1 gene:KN540634.1_FG002 transcript:KN540634.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MPFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQAKNKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAIREIEAVFEHTLPEGEVAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTGRKHIDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEEMTDDELDMGLHGMGPIGGRGLSTMTRPTT >KN544054.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544054.1:61:1347:-1 gene:KN544054.1_FG001 transcript:KN544054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPPGQRIYQPGFKREYPLAQEVSEHRSRLLHKIHLSYCKALERLSLRVRPGMAASFVVGGGGFCLGLLDPVSNIVANTLISYGGAVGETRIECDDLVYIPEEKLRDLENRSLDGMVTFLTRFFPYLADAEAVRFLLYAEADLLVATRIVAFDIGMRRFGSSEPDIVNEALEMALKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAEDDDRWAPWRLMDSRLPPPRTVPYRQSPALKATLQDAIHGFYLKALARLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDVIGTMGLHRIENRSLYGLVSFLCTHGYLFLADLYLDDEAAGFTTTVDSPLLTGLDSAFMAAATAACHPDPDAQAK >KN540634.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540634.1:24003:24293:1 gene:KN540634.1_FG003 transcript:KN540634.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNTTKLVDGNDEAAKRVGAVLDLHVGDNLNHHASDHASKGLESTKGAGLGLTGTFSSFRVRGYPFRLQLRRNRRGECALRLRAQRHGAALGARN >KN540634.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540634.1:13295:17517:-1 gene:KN540634.1_FG004 transcript:KN540634.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQVKAPVVVPLLRLSVAACLAMSVMLFVEKVYMSVVLVGVYKLSIGAACGLSWPSDRVIVQVLDDSTDPVIKEMVQVECKRWESKGVRIKYEIRDNRVGYKAGALREGMKHGYVRDCDYVAIFDADFQPDPDFLRRTIPFLVHNPDIALVQARWKFVNANECLMTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMLVEIATNKKVTLWKKIYVIYNFFLVRKIIGHIVTFVFYCLVVPATVLIPEVEIPRWGYVYLPSIVTILNSIGTPRSLHLLIFWVLFENVMSLHRTKATLIGLLETGRVNEWVVTEKLGDALKLKLPGKAFRRPRMRIGDRVNALELGFSAYLSFCGCYDIAYGKGYYSLFLFLQSITFFIIGVGYVGTIVPH >KN540634.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540634.1:41565:42176:-1 gene:KN540634.1_FG005 transcript:KN540634.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAFDVADGLNYLHNYTRPPYVYKNLKSSNVLLDADFRAKVSNFGLARAVAGAGGQMTSRVVGTQGYMAPEYLEHGLIGPHLDVFAFGVVLLELLSGKEAAPARRDGGEGGEDLDLLLWEEAEGQLVIDGDDDDDARGKVAAFMDSRLRGDYPSEVALAMAALALRCVAREPRARPSMVEVFLSLSALHGTTLDWAPHATLS >KN541472.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541472.1:17556:25413:-1 gene:KN541472.1_FG001 transcript:KN541472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINSTVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLTLWLSLAPVVIGVSMASLTELSFNWTGFVNAMISNISFTLRSVYSKKAMTNMDSTNLYAYISIIALLVCIPPAIIIEGPQLVQHGFKDAIAKVGLAKLVSNLLVVGLFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIAFGNKITTQTGIGTCIAIAGVALYSYIKAKIEEEKTQMKSA >KN541807.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541807.1:2140:5388:1 gene:KN541807.1_FG001 transcript:KN541807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNVGFFSHSGDNAAGDFTVADINMHKGGRAVELCVLNHYHHAPDCPQQQPQWKVDILEMQQQPNQNENHHLRGWWTDAVLPLHERYLACVDCYHGIILIDVKNQRYFNYIPLPAEAMRGRRVDKYSPDPARSASINSAGNITLVCIDDNAFIESWSLVDIHESRWILDFTMEAGKFWDICSAANQPLLPHEPPTFPLVSMTNPFAISFLLYDKANNFLLENKGNGLYWMIEVDMRNQALLSPATLYITEEEEELFINGKEEEEQERCCDERYPPMKYFHGHNFIPSWFPSYLKGGDTTSNIGIAGSKNYSINSYCFSAGKQSDEEYNDAAGKTRKCNRKDWTLGEPSKMKGKQQMATESSGMEAHLSRPLAGLKIA >KN541807.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541807.1:20126:21415:1 gene:KN541807.1_FG002 transcript:KN541807.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLIADMSWTVFDLPSHGDESEMMAQLFSAFPIHGEEEGHEKLPWFDQSSNPCYYSCNASSTAYSNSNASSIPAPSEYEGYCFSDSNEALGVSSSIAPHDLSMVQVQGATEFLNVIPNHSLDSFGNGELGHEDLDSVSGTNKRKQSAEGEFDGQTRGSKCARKAEPKRAKKAKQTVEKDASVAIPNGSCSISDNDSSSSQEVADAGATSKGKSRAGRGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGLDLNIDT >KN541807.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541807.1:14635:16529:1 gene:KN541807.1_FG003 transcript:KN541807.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFFALSRRPYIDFLYGGWGVVWNLGIMFQDITTMLLKPDAFRDTIELFVERYKDKGITVIAGVEARGFIFGPPIALALGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEPNDRAVVVDDLIATGGTLSAAVKLIERAGAEVVECACVIELPELKGRDKLGNKPVFVLVKAD >KN541807.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541807.1:8686:11473:-1 gene:KN541807.1_FG004 transcript:KN541807.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVADIRNYDGNSLELCIFNHHATSPSPSPEQSPEQWRIQRVPKIADDDELPKWVNDLVLPLHGHYLCCVDFYNGILLIDADDLQSFSYIPLPEEAMNGCRVDDDEEGPTQLAASPSPAPASSRSSASTSRKRSETMQKAKQERTMDKVGGIGCICLERMEMLRQEREKQEFAILDSIDWELMATDPMKGRDYIASGCCIV >KN542700.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542700.1:2440:3630:1 gene:KN542700.1_FG001 transcript:KN542700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPAPRAQPSPRRTGDPDLDAAKVSLGVLDAISQVTLALQPLFKRSVAFVNRKDSDIAILVAVWGGLHEFGDVAWLPRRRMVVYLEDDRVDVATPGDGHSDYPVFRPTPTLPLVASRLAAEWLEERGGSDAARCAASRVLPATLEHLNWDHQRRRGLHQVSGGGLLASHPGVELVHRHHGGRRAHLHLPLERPCPGTPILQLRLQHRAVARAGIRRRRREAPRHNPSAFCQIDSKMGLLMRYVRASSAYLGKAEDLVEFNVTFYRSNARGAPRAHADVFDEIEQMAHRTGARTAIRNYAFNDAIAKYPNAGEFMKVKDRFDPDSPAIVGDGYAMEWLCVCSDDSHCAPEKGYFCRPGKVFTAARVCSSSRDAANGGDDKILLGDVAMCSCVDV >KN539389.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539389.1:87045:97655:-1 gene:KN539389.1_FG001 transcript:KN539389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKARHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQYTCYSPENDTFFTLQLALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTVDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHQLFYHSVTPSLGPLHGIVLDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDLELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >KN539389.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539389.1:67465:68892:-1 gene:KN539389.1_FG002 transcript:KN539389.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSSPNSKNQPWEHGEASKADSSKKLQMSAPPLSGGYDHPGLIPGLPDEISLQILARMPRMGYLNAKMVSRSWKAAITGVELYRVRKELGVSEEWLYMLTKSDDGKLVWNAFDPVCGQWQRLPLMPGISHGGECKRGIPGLWLGDLLSAGIRVSDVIRGWLGQRDSLDRLPFCGCAIGTVDGCIYVLGGFSRGSAMKCVWRYDPFVNAWQEVSSMSTGRAFCKASLLNNKLYVVGGVSKGKNGLAPLQSAEVFDPRTGIWVEVPDIPFSKAQALPTAFLAELLKPIATGMTSFGGKLYVPQSLYSWPFFVDVGGEIFDPETNSWAEMPVGMGEGWPARQAGTKLSAVIDGDLYALEPSTSSDRGKIKIYDPQEDAWKVAIGQVPVGDFAESECPYLLAGFLGKLNLIIKDVDSKINIMQTDVLKPVELSAPGNGPTCQNQQLSSEQETNLWKVIVSKNLAAAELVSCQVLNI >KN539389.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539389.1:41521:41745:-1 gene:KN539389.1_FG003 transcript:KN539389.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRLDLELGRAERYSMEIGDCRTGEVEMVPPLAGAGLPCARAAVLVQQFVAAAAATEGEGTRTREVEKNTPM >KN539389.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539389.1:14765:15031:-1 gene:KN539389.1_FG004 transcript:KN539389.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWASADWDWLIESSGVEAKQTRGGAVKTGGGAAYDTRSREEKTSIWLPPYWPAHGLTTLTGLLFGLWVHYIGLRAVHIGPRYGGNN >KN539389.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539389.1:28575:28817:-1 gene:KN539389.1_FG005 transcript:KN539389.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGFSYAQIHVQQDRCRRTNEEKMKKMMAEEEVKSKKGMCEGQEEEKNKFMAADEKSCHSWTSERVHPCSFPASKIGR >KN539389.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539389.1:80581:81609:-1 gene:KN539389.1_FG006 transcript:KN539389.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGAGRTTVSFNTLIAAYCRDGVDAGPALQLKRKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVELDIVTYNALILGLCNEGKMKKVDHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYATYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDEVINRC >KN539389.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539389.1:25450:26753:1 gene:KN539389.1_FG007 transcript:KN539389.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEECAKEIHLVQHWYIEYNIVHAICLAGCARLVSTQVNNTTAIFSNISFRHQLWRLPDQLYQNMDLQMQMPYLGSSFKEKQMCRKIVSKLVSLSSGISENMTRHHAIRALLAWLCSAHHCRALHFLLSANPFWICLSSNPERTIAFS >KN539389.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539389.1:55401:65428:1 gene:KN539389.1_FG008 transcript:KN539389.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHVWEFEQQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEVSSFRATMSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNTSSKFGNDILADTSVRDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQIGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLDANKERSLYMSDHESQASSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACAEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALRSRQDKSELELLDMKQKYQLDFDATKEKLNFSEEHKEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAGIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQPTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKNADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGIDKSRDIVKSIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASADKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >AMDW01015948.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015948.1:101:172:1 gene:AMDW01015948.1_FG001 transcript:AMDW01015948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYMKLEEKHQALEEEKNQLEAKLK >AMDW01029964.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029964.1:2:400:1 gene:AMDW01029964.1_FG001 transcript:AMDW01029964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEPPIDAAAPLPQYARLRAAYGRHLGTPDSYGSPLPFLPVDAAQRDRDRVEMDAIMWQPVACSGSDVVGGRDARCVVLLRDRYGRYLRGSNNLLAPRRSVPVKPYVVNEHMFRWEVVRVPLSQARPELPIAAQ >AMDW01040822.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040822.1:35:385:1 gene:AMDW01040822.1_FG001 transcript:AMDW01040822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRQLLEHGACVDEEAVKDIGGEAELGENQATLLLPVAVPCASASHEVAAGVDGGRQGDELAVLHGIELQLILCSAAEEFVVEAEDVHDVLHAPLFLRHWHRPSCTPTTNCSRN >AMDW01040822.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040822.1:960:2222:1 gene:AMDW01040822.1_FG002 transcript:AMDW01040822.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQHTQEELQKRNLRDELEERERKHYSSKDKSYAEERDRRKSTSLLLEGSRREAEDKIVPREIDADDSDVEPRSDDER >KN539522.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539522.1:47583:49274:1 gene:KN539522.1_FG001 transcript:KN539522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTQELKSKLKRTRRNQAAYLDAGSVQGGDDAGAASSQRSVGIDLSLDADCWLRGRSRRQPPSACAGTASRVLTRTAGEGHNGREHLRGTLTLSALDFPLS >KN541360.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541360.1:1793:3603:-1 gene:KN541360.1_FG001 transcript:KN541360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRPLLPVCPKREVKRFADLSSDETSDLWVTAKEVGVRLEQYHKASSLTFAVQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRSMEEMAHEANEYRALFS >KN542729.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542729.1:2435:3055:-1 gene:KN542729.1_FG001 transcript:KN542729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITSVVIAAVVALAMVSLVAADSGTATFYTPPYTPSACYGFEDQGTMIAAASDVFWNGGAACGQQYVVTCTGPTNQGVPQPCTGQSVTVKIVDHCPSGCAGTIDLSQEAFAIIANPDAGKVFIDYQQV >KN539522.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539522.1:32088:32585:-1 gene:KN539522.1_FG002 transcript:KN539522.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIIATLLLAAAIAPGLTVGSPSLINETCTATSNYGYCLGVLSADPAGASATNKRGLAIAAANITMRNVTSTVRVLTELVEELKLCIKYYQDMDDLVASAIDDLRVGRPAVTSFYKLHRASDEPGNCDIMLFEGSAHKNPVSSENMYNEAISKLTSDIAYQLVH >KN539522.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539522.1:77474:78670:1 gene:KN539522.1_FG003 transcript:KN539522.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRPQMFAGVSPEVYFPSGGASGINRRNKRSREAIAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPAALVSTGLRLALDEQQQQQQQQESKRLKALCYSSSPMPFVSFSDELAGQMKRQDEELDRFIKEQVIDDFAPHTIRLFRWHLSLCGDCFAAGDVDVAMACPVCHCVRTGGVEAILC >KN539522.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539522.1:90384:90864:1 gene:KN539522.1_FG004 transcript:KN539522.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFYFSATLELEPAGNLESVSPSPSPRRTTSRDVDVAGELCGRHHHYLDACFLCGRMLAGNKDIFMYRGDTPFCSEECRQRQIDADDASEMMKKRAKKQPAAARGEQQPQRRQSPHGIPVWAR >KN541360.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541360.1:25638:28258:-1 gene:KN541360.1_FG002 transcript:KN541360.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VIPLFLPVVLVAVRDNEFRRHAATNESRLRRKTDGSSDATNKSQLRRMTDGLPHAVELAAPTRCANKELLSGLLPFSSIGLDWKIHPGLDWIDFFFCWFSFCKSQQRLSPHYSQMDQGVDKNVIDDSLVSNCDFPVVKKLEKCVDEEASVQCPFENKEDTRSLGMVCDHENNKGGVAEVITPPEKEAIESSSSINVADEDPSYGCQTPRESIFDPFAPGPEELACAPKKKMIKAPELPPRRQLSFDSDDYPVKRLSFEFDDAEEEDQFLERICMMFIDLIISNQALETTGKDLIGSNSPGSCETPSSEPLLTGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPRCLFVKENK >KN539522.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539522.1:24315:29629:-1 gene:KN539522.1_FG005 transcript:KN539522.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MACNTLSVSTSVCCIVVIVAILCLPVFTDSSVRNNPCANFQPPSDAAALSIYCVDSNGCCNFSSVQSAVDAIPENSLTRSVVWINSGTYIEKVTVNFSKPNVTFQGQGFESTIIVWNNSAKNTGTFYSATVDVFATGFVAKNISFKNASPAPKPGDRDGQAVAIRVSGDQAAFWGCGMYSYQDTLFDDLGRHYFSDCFIEGLIDFIFGNGRSFYEKCILNSVATGDGINGAICAQGREYAVDDTGFAFVNCRFNGSGLILLGRAWRPYSRVVFAHTDMPGIIVPEGWSDWDDPQRDATVFYGEYMCTGVGANMTGRVPYAKPLTEQQAQIYLDASYVDADGWLKPFNDYLIWALDYPPFFAYFSRLLALPAPLVDASLVSLPVPDAPPSFAYLLYLRLTVAFSDLLLLLASVLLLATDALTRRRRPFLSLALVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFLYLFRHYCCGRGVVRGLGRLVLMGASVAAVFAAAFVPFLYYGQVSFARLIYLWNGRIGCIIGTISSYLLQQIILQMQQLFNRLFPFGRGLCHAYWAPNFWVFYILLDKILAFLLRRLGFNIQIPEASFTGGLVGNSSPFAVLPKVTPITTFLLVILAMSPCLMKAFSKPQPRHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLALIAMDSLEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVMLLLTYATLMWVGFSSHFAAKSPREGKKVNESGNVVRKNSFIGWISFSYLLGIVAIELWSQVFHHYVFGSRFPFLSLMMVSLYCGVGMMYSWMWQLAWIVRH >KN541360.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541360.1:23785:24486:1 gene:KN541360.1_FG003 transcript:KN541360.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAGSGNGSILPTHTTTAPPFRTHKDVDLESRRRRRRRRCLCCCLLVTLVVLLVLAITLLVLFLTVLRVRDPTTHLVSTRLIGLSPRLSFPAMSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVADVTAGSVALEASTRIPGRVAILGVFKRHAVAYSDCHLVFGITEMGVRSQQCSDRTKL >KN542729.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542729.1:12432:13101:-1 gene:KN542729.1_FG002 transcript:KN542729.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding IFGRERLNGHYGVASFVIANTLSSTPYLALISVVPGAIAYYLTGLQSSGEHFGYFAAVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPKYNDQAGAVAMITGEEILTNYWQLIEKMMPMVQRLRFRSDMPSVQVTEQGFGSP >KN541360.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541360.1:12421:21193:1 gene:KN541360.1_FG004 transcript:KN541360.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAASIASQQQQEDEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDSTRSRVLNFLKALKGNFMCNFFWTCALLLFKEKGRSSANIIKGDAAYEVLLSKHHHAQYVMSVILVVIFSNQTCTVNSIVNTMGKPSAADADALVSARPQLVGHLVHLDRLRFARPVPRAVGIRPVPSSRSVSFVGNPEPLVARPAACSRGYVIQPGSHSDAAPPLMPSSSGNAVQSDATDAVKRTVLAPKNVSEAAPPPVVSATKRRFSSPAPSKQRDPSPSVKGAASRPSSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLAAAKEENRRTAREPAIIVPSRYRQPSPVGGRRGAASPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSIAAAAGSVMKSKVKVDKSTILRTQEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKMKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSKFSELSSASKTSNPLPTVDIFLAVYDDTLKWKKIAESISTNGTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKALSVVEPPRTTMSKRQTHGTSAKVQSKVSPSSTVSCAWNKTQGVNETAELATTLCREMHMWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSVSSRS >KN542729.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542729.1:6635:10010:-1 gene:KN542729.1_FG003 transcript:KN542729.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVAGISGTATFYTPPYTPSACFGFQEQGTMIAAASDVFWNGGAACGKRYVVSCTGATNQGVPRPCTGRSVTVKIVDHCPSGCQGTIDLSQEAFAIIANPDAGKIKIDYRQELAINPLFMAVKFAKIIILAESGRVFAAGLNDFGQLGIGSSVTHPLGTIDLSQEAFAIIANPDAGKIKIDYRQV >KN539522.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539522.1:83143:83613:1 gene:KN539522.1_FG006 transcript:KN539522.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSFFDIEPLDGGEACLSGHAMDACSLCRKPLTRNCDIFMYRGNTPFCSEECRDHQMEMDEAAVRVSATNARERAARNEQRHRLDAGSVAVAANVPVLS >KN539522.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539522.1:4481:9931:1 gene:KN539522.1_FG007 transcript:KN539522.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGLLDIMIIYIADFIAAMLIRATGCRLQIARNRNLKSLELTEALLIVLIDTVPAVNLLRTKFFFQIILLLGYGPDAPPTKVFRIKSSSASKTDVSDNDKSSSSRDVQQFLWKPVFHFILWMLFWTFYVLLLSSIVLNKVGGLQEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFIYTAIVAILKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESGFLPFNSFTEIYSLLGPRGSQGGRRSVDVERKPCFPCNAKCPACKRTHTGDGRTVKPAHFNVP >KN539522.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539522.1:32758:34827:-1 gene:KN539522.1_FG008 transcript:KN539522.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRCSAGGSNQEGSKSMPLVVVATGIALSDAVLVLLRCPHGVPGVREVPEEGHAGCLPKRHNTRRWQFIEERIGI >KN539522.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539522.1:99207:99575:1 gene:KN539522.1_FG009 transcript:KN539522.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGHTHFLDACFLCRKRLAGNRDIFMYRGDTPFCSEECRREQMEADAAAERAEKARRAGMLTRGASTSRREVEGPQERGNSVRAGSILAL >KN539522.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539522.1:38362:42860:-1 gene:KN539522.1_FG010 transcript:KN539522.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLWLHADGHCQCHLSLLLRLVACLALVLLLPPPPAQAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPRSDVVLLWLSGGPRCSVFSGFVYEIGPVMFVAERYSGGTWLDDHPKYLSNPLYIGGDSYAGKVVPLITQYLSEGIEEMRHPIINLKGYLVGNPWTGDKIDVNSRVPYSHSFGVISDQLYEAALANCKGDYENPTNKPCTDVMQTINNLISEVLEPACPFHWPWPMPGRDASNRKSLTEEHYWLGDPPVEPPFSCFAAYRYYLSYFWANDNATRAALGIKEGTVTEWIRCATGLPYTFDLPSSIECHFNLTTRGYRALVYSGDHDPILPFSGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTIKYANNLTFATVKGGCHIPPENRPKESFIMAKRWLAGEPL >KN539352.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539352.1:51511:51777:-1 gene:KN539352.1_FG001 transcript:KN539352.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWAGFGSSMLLTLALLTGVPPRSRAVQWPFLVSYSSLVLTFVTSQSGTSLAMDVLIWAAVMAVLAVGIKYRRLDRLRFWFCPPAP >KN539352.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539352.1:91127:91733:1 gene:KN539352.1_FG002 transcript:KN539352.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGYVPSVAVPARVLVLADGDGWIWNGFLVGRRPTVPVLDLVFRCLDSWNAELPPANHGQYAVVCPDSLDHLLTASSVTTIGGVSPSHVVAGPAVPQLLLSAHQGKGN >KN539352.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539352.1:35968:36156:-1 gene:KN539352.1_FG003 transcript:KN539352.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPKALLAQSKQKKSPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPAAAEGIVGN >KN539352.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539352.1:54119:56903:-1 gene:KN539352.1_FG004 transcript:KN539352.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELRHKTRQHITQLVKDTSEKLKQASEADHRVEVSASKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQVGLPQSYNSSEVNNGSDKLAEQRTALLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGQMIDDIDTHIENAVIATTQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >KN539352.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539352.1:74608:75024:-1 gene:KN539352.1_FG005 transcript:KN539352.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAEQAKVGGLAEDPREAAPRVQGHVGAQQRGERVQGGEHREVAVPLEELGGDGDHLGREVLRVGAAEREGAEEVPELGPPQPVDCDRPWRRPQSRRVLLRPADDDRFAVPANRRAEPPPWLLVDVADEVDHDQAP >AMDW01039356.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039356.1:151:801:-1 gene:AMDW01039356.1_FG001 transcript:AMDW01039356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFWHGLLSWLGKSKEEPNIESFLQKHEAQHPKRYSYSEVKTMTKSFSHKLGQGGFGTVYMGKMPNGKPIAVKLLRSCKDDGQEFMNEGSKRALIYEYMPNGSLERFAFRPNSETEDSLSWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKITDFGLAKLCKQKESIISIDGARGTIGYIAPE >KN541444.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541444.1:7303:8801:1 gene:KN541444.1_FG001 transcript:KN541444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQIVNETIPALQKIKESGKARFIGITGLPLIIYTYVLDRVPPGSVDVILSYCHYGINDTALVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPKELKLACRAAADHCKKKGKNVTKLAMQYSLMNNEISTVLVGMNSPEQDNLIMEVEENVAAATELSTSGIDKELVHEVEAILEPVKNMTWSSGIEQA >KN539352.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539352.1:12434:21016:-1 gene:KN539352.1_FG006 transcript:KN539352.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQAVPIMKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQVSFVVSGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDIKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFITPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNILQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWNRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >KN541606.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541606.1:19:3672:-1 gene:KN541606.1_FG001 transcript:KN541606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTIGKLLSICAQGESIIDLSEGEKEHTFESHYGPKAKCFLCAESLIGRDKEIDMIRDMVLEDAHYYIASAESLEFRKEAEKLNKLHIPQKGWITETLQKIDLSKKQATEVSPSPKNELGNSKVDYIRVSHNSTVTNLRNPAVIPIVGISGVGKSALAKFIFNDGNVQKHFGDKSAWVCITDNIGQVDLIKKIIYSFDPKYDLSCMTNLETVHSKLQTIIEGKRFLLVLDDVWDEICVIWNGLRGVLSKGAPGSVVLVTTHLYSVANFVGTAGPVILDPLQSDDSWSLLRRYAFVEPGRSLSTEGLKEIGRKISHRLPGLPQVVKVVGATMRSKLEESHWGQLLNSWWWNISDNFEIRVISSLGSCYSVLPGYLRQCFVYCALFPRNFVFEKDKLVQMWIANGFVQLNNSTGFSRLEDVGGQWFDEIVNRGFLQPACKTGYIMHDLVWDFASALSSNECHGINNKLKGVSQDVRYLSIDMEGLNALPDDFNIKQLRTTMLIGNIDHSNETYLSLGRIFDGSTSLRVLAFSSFNLGAEIRNDVSALKYLRYLDISFTGIKILPDSVCSLSQLQVLDLRGCTFDELPGNMNCLINLRHLHASTGTIAQISGIGKLTKLQELHDYYVEAKDGHGITELSDMSHLRGSLCISNLGMVTDPAEALEANIIEKNYITALELRWFDTLLETLTPDLSKSILGCLSPPKYLQELKLYGYSGFVLPDWVGQLKHVRVVEISWCKNLNVLPPLGQLEHLQKLKLDGLPSIKDINSDICGTSNVVFRSLEELSFKYMGNWKSWTYAGSSDFIPNLQNLQIRSCDQLRKVPFESLSSATKEIIIEDCGPYNDTFSRYLQGLNGLTRLEVVCANWWYKSGKLILPCKQLMSLEYLHIEKFQEVCIKGGLWYMRNLKDLLIINCSTVVTDSNEESAHEDKQSQTQIDHAMHSLTHLTLELDLDGYRTHIVDLEIVIPQTPSLRNLRLDTVGSRTSIIEKWLQHLTSLQELEISWCHVLPSVLSSLSSLKRFTLNYCRQIHSIPPNSLPGNLKELQIEECSLELEARCQNPTGDAWRPEWRKIELWHKRKMDEWQKRKLDYGRMKLIEMQQKKESLEIPMSGKEKSPKSSKGESLYQSDKYWSRGQRMQEGHEWPRKQHMKEQSFIEKEKSSSLNEQPDEDESEKEPLEEWLQHMKEQSFIEKEKSSSLNEQPEEDESEKEPLEEWLQHMKE >KN539352.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539352.1:66580:71023:1 gene:KN539352.1_FG007 transcript:KN539352.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNDLNIGNWNISLLHCQVTGFLRKPGVAETEFGRGDIKCLLKKFYGMRKAAPLIIPPGKTLFDSIDSDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDYIHKGGLKACVPNLEDVVVMEGVAHFINQEKPDEVSDHICGCLPPNWLTHRHREVEVASGVRLHVAESGPEGGPVALLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPPDRDSYTVLHLVGDLVALIADLGRPQVFVAGHDWGAVVAWQLCLLRPDLVTAHVSLSVEYQPRHPGMSVLQAVRALCGDDHYVCRFQKPGVAEAEFARLDLNHLFKMVFGMRKPETIILPQDKTFFDAIDSDGTCPPWLSEEDISYYADKFGKTGFTGGFNYYRCIDLDWELTAPWTGAPVNVPTKFIVGDLDLTYNTPGVKDYIHKGGFKANVPNLEDVVVMEGVGHFINQEKPDEVSEHICEFFCKFLM >KN539352.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539352.1:39004:42239:-1 gene:KN539352.1_FG008 transcript:KN539352.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTGALLLLLLFVLACGGNGGAAAAVFSGCSFESQEEAEAFEAALLQQACFNVTALGGAVSGAGFIARDMTIRNTAGPAAHQAVALRVDSDRSAFFRVAIEGHQDTLYAHSLRQFYRDCRISGTVDFVFGNGIAVIQRTTISTLPLAAGQTAGSVTAQGRRDPNQNTGFSLHACVVEAKYPTYLGRPWKPFSRVVVMESYLGAGVQARGWLEWDGGDGELATLFYGEYHNYGPGANVGGRVRWPGYHVIMDAAVAGRFTVQRFINGLAWLPSTGITFTADLNRK >KN544967.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544967.1:24:2496:-1 gene:KN544967.1_FG001 transcript:KN544967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLQFYAFNQSKGNKNREKSLADIAPESWWLKTDKDDNRRRPGFFIVLSIVMLETAGVTVVCTNAITIGQARGNIVLGVTVCSINELGPSEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCSIDSTITEVLLASKGLEGNISPYLGSLTGLLRLNLSHNSLTGELPLMELMSSSSIAILDVSFNHLSGALQEFSAHTTIQPLQVLNLSSNLFTGHFPSTTWKVMNNLVALNASNNSFIGQMPSSLCINAPSFAELDLSFNQFGGSIPLDISNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNVLKGVLDDANIIKLSKLSILDLEQNMFSGKIPKSIDQEKSFLLQFLAGLSEDGGLTVSWQNDTNCCTWEGITCSIDSTITEVLLASKGLEGNISPYLGSLTGLLRLNLSHNSLTGELPLMELMSSSSIAILDVSFNHLSGALQEFSAQTSETTIRPLQVLNISSNLFTAQFPANTWKVMNNLVALNASNNSFTGQALSSFCISAPSITEIDLSFNRFGGNIPQDIGNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNVLNGVLDDANIIKLRKLSILDLERNMFSGKIPNSI >KN540370.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540370.1:3441:5491:1 gene:KN540370.1_FG001 transcript:KN540370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVISVFVSNLHLSPAGVQLLAGFTTFLMVLRFVLDYNRHRSISQFMRNFLLMLDGITYSLLHYSLGVMQRPSWTNSYYQVWAVLLVTLRYSVKHGRPAGVALKQTPLVDLMSSFWAANILRSHAPRLLKVPVWLLWSVNSARIIHGFVYSEHATAEHRENVRLVTEYMRRPAPASEINPVTMAGYDYLVLGEAKQRKKVQHPDYRLELDQTKLEKLITVDKIWKWSRDDDDDDDDQQAKRVSELLNQRDGKLKDLCLSFALYKLAYSLVRRWDGKIGQYNLVFAKGRPYLQFRLADFTEDMQEAIFTSFGKLRNDDQSGKQPLDMDTPSYVDRALLHIPTEEEKGALKDAISKVLTDLKGDVRTILLWHIATCYCDCYLAHRRKTGVKPWYLWSLRIRFLEIGIWAGPLIQWSKHESLGQTKKHYMTAVTLSQYCAHLVRMRYPLIPGNDIVIDAVFKEVLGETCQALHGCLHISQIFERLAEMGTSDAGNDERTLLQMGSQIGQMLIETAGDNNTDEFVWKFLEELWAGFVLHLAESTVASKHKIYLSRGGDLMTHLWALLCHAGRKGRTEHGEMGHGEASYYEQVDRAGYDTNT >KN539352.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539352.1:4816:9770:-1 gene:KN539352.1_FG009 transcript:KN539352.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDECRKSEWYVCKLVQMYWAVGNSGLPAENGAGKLCTCHDIDGNSIFQSSVLALSPVWVSWGSLQMRKLWLWGANILIVVLRDALNMISIPLASCCQRNKDMGKIECWQCSHGLVDAVVYLVDAADKERFAESKKELDALLADDSLATVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >KN541606.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541606.1:8573:8920:1 gene:KN541606.1_FG002 transcript:KN541606.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKPSSATSLGIDPRTVRDNLTSYLGALSIQEAEIADLVAKGVLVQGQGIAPGTAVVQQPGEYGALSSVLYLDAGLRSPCDDLLPYILQRIRLELPQLNPTMLVRIATFGWMC >KN539352.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539352.1:81279:85236:1 gene:KN539352.1_FG010 transcript:KN539352.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQIVDFLLRPACSSAGDPNPGVLPVVGGLEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRRFGIDPSARSLVVVDVVGDVEEEPWRRLCSSVRRENGDGKVVIICRTAEHVARLGTAPRPVTLDNLRRPELWYMFRVLAFGGADPEDRPELVGGPARGEHGVPALPALGDLQLHGQQQQHRHHLAVVALLLLDGHGVAEDALHVRQPRLVAGAADAVAAVVASDDAEDAVGGAGVVVVAERGGEGHEAVHAVGVPGVLLGEVLGVDEADDEVGDGLVRAARVVRGDDELAGRGGVDTGDGDDDVGAERHEVVVVAAVGAEGILTQ >KN539352.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539352.1:46346:46636:1 gene:KN539352.1_FG011 transcript:KN539352.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLGLLTGVPSRSRAVQWPFLVSYSSLVLTFITSQSRTPLAMDVVIWAAVMAVLAVGIKYRRLDRLRFWFCPPAPSSHDRPDDMNGRLQVVAAK >KN540370.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540370.1:47629:51319:1 gene:KN540370.1_FG002 transcript:KN540370.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRIEFLVIVIALLYQLMSSLDLWRRRSRSSTIKNILLLLDAIADSTFLYTIGLMQSAPFKKDLFPVWALVLANLRFSGCFISAYGIPDQENRRISELSNVMALLGVAFLNSTRNSQFRHPIWALWAMQVVRSIYLIYAYNVAIRSTLHGRSSIYLVSSPVWPNEVNLNTMEGYKYPVCGDQNLKFKVQAPSYNFDLTITDDQTTEPTQQAREQLLFSCYFYLERCFKKAPTTLDRIWKPNGALSSMTCEDKDVKLIKDMCLSFSLYRLLRCKFDELSLSKDIAEKTKRLLQEIIKDEVDRKRTMRIVESELAFLNDYFYTRYPVLFFRGFPLLASLHPVLTTAFTFWLGRDIHKVYKPRVGEIAHVIRGVNVDLIITWVFMGVVVVKELWKTLIYVLSDWTKVMVLCEYVAQTMGWVPKWIVWFLCTPRFKIVKRWHGKIDQYEFLRSYVYKPWNILFYLGISPRGTKGVKPGKSIKLSEEVKDAILSSLRLNIKDGDSSVVGGDTDSLVEDTNHGLPSVTAFLARKRSNMSTNQINWNPLLDEIQRTLRQQTCTQTILVWHIATSLCEIDLAQHYNRCLTNPEVQRLEPALRANYTVANSISRYCAYLLATVPDLLPDSYFVPELILQCTVREASKILDGCDNLQSIYRRLMREAEDRQDNNDKDDNDLTEDDRCKCGDLPGASCFLSCIKGIYRCFFHGKKATRDERQPSTSGDGRNGSSDGENGNRDTMASTVDEREHTDQSSGNISKDGENSNPNTTSTVEEAERNNKSGGNGSRSDKIGNPKTEIIVDEGEDNDQDGQNGSRNGENNNLDNMEITMDEGELNDQSDGNISGSGENGNPDTFISKDEGELNDQSGGNISRSGVNSNPDTFISIDEGELNDQSGGNDSRSAENGNPDTFISIDEGELNDQSGGNDSRSAENGNPDTFIIMDEGQLNDQSGGNDSRSAENGNPDTFIIMDEGQLNDQSGGNDSRSAENGNPDTFIIMDEGQLNDQSGGNIRGSGESSNPDTFISMDEGQLNYQSSENDSKSGKNGNPDNEISMDEEELNNQSSENSSRNRENNNPHTAIGMNEGEHNDQSSQNNCEGVDTVHRDKIIRMGARLGRLLINATKDDDVSRWEVLAGVWADLLVHMAPSRNTEAHRRCLATGGEFITHIWAILCHCGVKKSNLWKQEEGTGEDVDQAEQPTVETADQMLTTGESSSD >KN540370.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540370.1:26952:27685:-1 gene:KN540370.1_FG003 transcript:KN540370.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGNRSAQGHNVMANAMKNAKAEAKEARANLASLEIEVAGLIEYYIYSEARLASFRAELQIARIKGPRLHEEAASAATLGPDARVCLADQPSPSDRLGLGSEIAHECLRSQLARWAQVDTAEGGGDASWEVAANRDILANEAPEDHLGV >KN540370.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540370.1:11918:14118:-1 gene:KN540370.1_FG004 transcript:KN540370.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDYLVLGEAKQRKKLINEGELITVDKIWEWSKDDGQMRGHGKRVSELLNQREGNLKDLCLSFAPYKLLRRRFFNLPIHESNLQETKRLIFHGILNPVTTVPSDHHPIPNYKRALRITKVELAFLNDFFFSRHALMFATGFPFLRLVLSTLLVGAISLVAVAIHRFSKIAKEDELGRVHHGVFFTWVLVTLLGVKEVIEIASYVLSDWTKVMIVCKYVQQPWWLRGSAMAILVRLLCRYSLVRRWDGKIGQYNLLFSDGLRSRLEPTDFNEDMQKAVFVSLLSKQYDMQPSDRSYVDRALLLLERRDALEAAIKTALKEYQGDVHRILLWHIATCYCECHLAHERQVGVELPWKVRNAFFIELPKLESVVGWTPRCTQHYTTAVRLSQYCAHLLRLTPALIPGNDIIITAVLDEVIRETCQALKACFSISETFVKLEAISRPQNEQGSTVREVTEQEDVEGLTGEEESGQQSGRGSTTATTGEEPNAAPAGNMRTLLKEGSALGQIVIDTNDGNYEARWAFLAEFWAGFVLHLAESTGASKHKIHLSRGGDLMTHLWALLSHAGLMGRLDEITVCTL >AMDW01036244.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036244.1:73:435:1 gene:AMDW01036244.1_FG001 transcript:AMDW01036244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPRPDAATAAQSRKKRKAHILASSIAKHSHVDVPSLVDDASSSACPTEAISIPHSGHIH >AMDW01040162.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040162.1:104:1218:-1 gene:AMDW01040162.1_FG001 transcript:AMDW01040162.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLAKNAVMRDPTFVPGDHQDASSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYAFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQ >KN539011.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539011.1:57290:58452:1 gene:KN539011.1_FG001 transcript:KN539011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSSPPTYSDLSMHHALSFSSALPTAPTEIPGSGGGFVDDKGSMFSLPNVAGSAPPPSSYSSLPSFYIHRSTSSHSLLHHRLSDLLNSNAAFSYSSAPACQLQPLPPVSSSTSSSSGDLLEFSSGTLRRVFSTGDLQVMNVPPSPPPPPPFSGDICSQEVGGPFSQKVGRYSAEERKERIERYRVKRQQRNFHKKITVLTYKTAFPFPLQAIDHGSYCSSSSSSSPGRFQYACRKTLADSRPRVQGRFARNAETEADADADADADAVAGLDTEVYGHGYGYCSYNGLTNSTSSDCYDSQSQSQWWGTPAGAANWQHQQQKQQLGFDVAVDGDDEDDELWASIADMCSGT >KN538804.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538804.1:195724:206891:-1 gene:KN538804.1_FG001 transcript:KN538804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLSMVLVCNPLGGGYCSRAYQDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTPSESSESPESSEEEKRPYQRGDRVKYVGSSEAFEADQRIILGKVPTKDGSRNAYTFISGRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWVDSQDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRVFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQTSVLLSAEAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRLCCDLEHPFRSSLSSRKKLLYFGMSSESENGDVTLTQVVLRWPVAISGENEIVKKSLPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKGGSCSQNTGLRPLRLDDFIQAKAKEPDDGKWTAFAKTRVEDTIAHALMSKVSGVRTRDHSSKAFILASHRMVGIAIRKMEHDVINVMQLEN >KN539011.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539011.1:153272:155632:1 gene:KN539011.1_FG002 transcript:KN539011.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFDAVQRALSTSENDRHENEKKGRRSQRARGLDRLMLLLEGLAVKRQTYEALYCMQTMTRVQTQIHSRRVKTEEDKKALKSQVHVKQSLDRIKIGESWDHGHQSKEQIETVLTMKQEAALRRQRALAYAFSHQWSNRKPSSARAAPPPMFMDTGNPNWGWSWAERWMAAARPWENQTTPGNSGRAPAAGKGGAGGRRAPLPGLRGQAERPVAEQGEGREVDAATLAASEPKVLNF >KN538804.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538804.1:164139:166291:1 gene:KN538804.1_FG002 transcript:KN538804.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKILALLWLLAGVVLALAVNPAHGAKTRHYDFFITETNYTRLCHEKSILTRRRALSLARNLRHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILSEEEGEWWNDDVEHVLDKAQLLGGDVEPSDANTINGQPGDMFPCSRDDTFKVAVQQGNTYLLRIINAGLTNDMFFAIAGHRLTVVGIDARYTKPLTVDYIMIAPGQTMDVLLEAKRTLGSNSRYYMAARTFITLPLDTIAFNNSTATAIVEYTDSAAARPVGPPEFPVLLPAIKDENAAMAFVTQLRSLGNQDHPVHVPRQVDEHMLINIDINFLPCDPTNATNKPCQGPHGDRFAASLNNVSFQSPAIDILDAYYYGSGRGVYEEDFPNKPTAFVDPTSNNSSGPLLTKRGTKVKVLEYGTVVEVVFQDLSSENHPMHLHGFAFYVVGRGPGNFSESRDPATYNLIDPSFQNTVSVPKSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPQC >KN539011.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539011.1:38951:41067:-1 gene:KN539011.1_FG003 transcript:KN539011.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVGPVVLDLDETLVCAYESSSLPAALRAEAVEAGLHCFDMECISAEKASCIFLDIKDAEGRQRVNRVTVFERPGLHEFLQRTSEFADLILFTAGLEGYAKPLVDRIDAHNRFCHRLYRPSTVTTEYREHVKDLSCLSKDFHRIVLVDNNPYSFLLQPLNGIPCLTFSAGQPVDDQLMGVIFPLLKHLSLQNDVRPALYETFHMPEWFQRHGIPQIDQAA >KN539011.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539011.1:5998:9420:1 gene:KN539011.1_FG004 transcript:KN539011.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSLCGDEADGGGLGVTPYLTQYLSDHAASSERTPNPPTVAQSSLDNSKKDSEKALRTTWGCKRFAHEDNYVASTMLRINGLVFTGDFPHGQSMHVYGFVAVRDDKEPLRNYIFNVSREKACQLNLDSPSLEISPPMRGISVWDSALIEFHLKVKGSDSDSSSDDDILINACMEFDYETIERDKKLISRIDGPFGPLDMRYIFLKNGIEATIDIDLGSTSEAYDILLVAFSGEDSMTLYKDRVGQRTKFTAVVVVSLDGLLHIKAFGTYGSSHFDGNIAVPVLKHGSCKKPFRFQFAEKTSGHKNLPGASLEVTFSTMGYYNTGEM >KN538804.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538804.1:212294:213236:1 gene:KN538804.1_FG003 transcript:KN538804.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWRDDGGAAKTTPPPQQMARRQRQLVLATTAPAKTPAREPEVINVWELMEGLDDKDVEGDVRGEERREQSTPGSPEFDPDIIAAFRKALDEVPPAGECPGDEVCVKKREIQRFPGIVRERVSAFQKRIDAKLAKMAPPPPSPLRGIRKTYEDCWATKSILQGYGVLVDERDLSMHAGFKEELHAALSAPGSLPQVFADGRHLGGAEEVRRMHESGELSKALGDCEMAPPAAAGKGIALDACSGCGGVRFVPCEECSGSCKVFLEELDTFRRCPDCNENGLVRCPLC >KN538804.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538804.1:237613:242697:1 gene:KN538804.1_FG004 transcript:KN538804.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MEAAVLPRPAGITPELRRFLDSRFRSQADLAAAADVEAEIRGRYAELEASVSGLSVRLAAAAAAYSSSCSAAGTALSNVRGCLAALSASTSEPGVTEAVEVGSEEMLFEQLSSLAKEVARVELVRDYAGFGDWLIIGLGGYRLPIQLETALKLDSFVGDVEDAVTSSVTGKLKSRAESSLKTYHVPIGYLKTIEDILSSVTKTRPQWTRLISAVDHRVDRSLALLRPQAIVDHRALLASLGWPPSLSGTNFSSINSGKPSEIVNPLFSMKGDLKSKYSESFLSLCSLQELQKRRKARQLEGHIVNNQLRQPLWVIEELVNPIAAAAQRHFSKWVEKPEFVFALAYKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGMVITLSTYLVKEIFPKHIELLQEISSADASSKQSQARVSWLNLIDLMISFDKQTQALISSSGLLLSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTHDKLRLSMENEKNWNTRFQGTVLEYGSDDYKSPAITGVIQKGLSLLIDRARPIPNIALRAEFIKISTSPLLSEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLTEWCDDVFFLEMENIDGRSECIFQVEINQLKDFRVQWTEKISTVILRDFDARSRDYLKNKRQWQEKSEGLTLSRAFVECLDYMQGRIAKLEDGLNVLDFVTVWRTVASGVDQLLFSGIFSGNTKFSNGGVERLHGDLSILFAAFSAWCMRPEGFFPRLSEGLKLLDIDEKQLRDGTCTDNNRLREYGIRRLTAAEVEKIIKNRIYES >KN539011.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539011.1:128723:136325:1 gene:KN539011.1_FG005 transcript:KN539011.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAADAVVRHGLLPLLCSRLLAIEYLDVAEQCLQAFEKISRRQPTPCLQAGMITAVLTYIDFFSASIQRVAVSAAANACKKVPKDCSQFVMDSVPVLCNLLQSEDKMVVEKVASCLINIVDSFSSSVELLDMFCHQGVIEKVLPLINTGGLTSLSPSTCSNLIGLLAKLACNSLVAVKSLFELNVGNTISRILVTSDLSHGMPYLPLENQSNQVNEALKLANQLIPSAARDVEDTQMVLAKEKIIVDEPRFLCQFSMEILPVLIKDHHVLFSSLKLIEILMQKLPDAYLGSFIKEGVVYAVEALLMQEDCSKSTNLSDETQQSENQPIIRNKPTCFCYAFDYPRSDAAETRTCMIGKGNLFTFARHVKTTYFTTEAVNSEMGLTEILQKLKTCCAVLNDSADKSLNRDNIQNEEHLTNILSEVMMELHGGETMTTFEFLESGLIKSLSNYLSNGKYLQLERIPNDYNTEHFLAVLKRFQSFTQISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNNISDIPTRHSTITPCIRVRFKKDEDQTNLSSYDNVVNLEISSLLHTIEEFLWPKICTATSNQKPESSANGTASENKYPEDDLQERYSSPESSPPPEGVISGNQSPSVEPGSNKGPSSSGAGQQETNTSDHAAQPKLLFSLKGKELDRSVTLYQSILQDQINADSDIILDNQFWRIVHDVTYRTATNPEIDDPLKYSSCATTPAHPDKAGYICQTLPFFTSLLLGKLPCKLDRSSPSYDILFMLKVLEGLNRYSFHLVSDERNRAFVHGSITDLDDLKVDVSVVPQQEFVSAKLTDKLEQQMHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGVMTERVPSFSRKKFKVDRDNILVSAAKVMQSHARSNAMLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELSGEAGLDNVHGGSVFVVAPNGLFPKPWSTHVDCSSFSEVNKQFHLLGQVVAKAIKDNRILDIPFSKAFYRLILGQELNIYDIHSFDPELAMTLMEFKALAARRKYLESSSSGDCKSTSDLSYRGCRIEDLAIEFALPGYPEYVLSLENSLDNVSADNLEQYVSFVVDATIRSGIARQLEAFKSGFNEVFPLSMLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTSSSPPVINLLEVIQEFECHQRRAFLQFITGSPRLPPGGLAALNPKLTVVRKQHNSNEADDDLPSVMTCANYLKLPPYSSKDKMREKLLYAITEGRSMLGLILYPIKKSSNENFDIGRVKVFDKHEVVSAFTVVFVCSRKKLRVDAKSFASLDGRSR >KN538804.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538804.1:150980:161383:-1 gene:KN538804.1_FG005 transcript:KN538804.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRYKQRAEPTSIRPKEQDSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVSFLKECGLSARDISKLLVAAPRLVTMHPDYVKDAVRRAIQLGVAPGSQMFRHALSTAGCIGQDKIDAKVAVLKESLGWSQEEVNLAVSKAPRILVASEERLRRNAEFLIDEVGLQPQYVARRSVLLMYSLERRLVPRHLVVKLLKERGLIEQDRCFFNAVAPTEEKFLEKFVVPFEGVHSALRNNSSLLRRNNIESEVKPNIAFLEQCGLTTCDIAKILMSGSRILIMQPEHVKEIVACADKFGMPRESAGFRYALMAVTGISPVRVSAKLDFLRMVIGCSDAQLHIAVSRFPLILTYSEVKLSRSLEFLKAEVGLEPQYIVLRPALLGYSIQKRLMPRYHVMKVLNEKGLLKKDTDFYSMVKIVEESFFKKFLLPYHSNCAGLLIWLYQSLLVIPIRRIIYIGNQIRSCFFKVASENGTLEATVSDLEKLEKIFAEEAIAEFFDNPTVPRDEKAQLIDEIAKSSELQAHVVNFLNVVVDNGRAGLMTQIVREFENAFNSLTGTEVATVTSVVQLESQDLAQIAQQVQNLTGAKNVRVKTRIDPELIAGFTIQYGRDGSSLIDMSVRKQIEEITSEFEMPARGCSSFMYLPIEFADMYRKCHCFHLINEIKISMLVFRFMRALNFCLNGVDVDQQEMNFEVPVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCRPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKTIDRCQPNIVTYNILLRAFAQAGDTKQLDILFKDLDESPVSPDIYTYNGVMDAYGKNGMITEMESVLVRMKSNQCRPDVITFNILIDSYGRKQAFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLREKAECVLDKMTEMGFKPNYVTQECLIMMYAYCDCVSRARQIFDELVSSQNNVHLSSVNAMLDAYCMNGLPMEADQLLDSVIKKGVVPSASTYKLLYKAYTKANDKKLIQKLLKRMNSQGIVPNKKFFLDALEAFGNTDKKPRTVPSKNSASKPDVESANNSGTDTSSKPNLSVWQVAA >KN538804.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538804.1:232013:233218:1 gene:KN538804.1_FG006 transcript:KN538804.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHLLEITRSKRVSGTPRIEPDSVAAIAVLTKRPKSARNHECEQLDCEGSNDQGFSDSSTLISSIGRDNSISCLARCSRSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLRSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNTEVLTCGEEYDLEKGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNIKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >KN538804.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538804.1:144169:150045:1 gene:KN538804.1_FG007 transcript:KN538804.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQGSSASTIELSTSLVLCVNMIAGPFTNKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGAGYHSKNVVSCPPKMNMICMLQIFSRIATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPNSPPVRNQSNTVLESISETDEDTGAVKQRNDDLKISNDGLDDNADVTCSNSNIDEHHKTQQEYFVPWHLPFHRAEIGGASTAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLVAGINNAVVVDQFYDKSNWCIVLEKL >KN539011.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539011.1:162040:163896:1 gene:KN539011.1_FG006 transcript:KN539011.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFGGGKKKSLSADTGRFDDADAAPASQMTPPAPAAAPMTPPRPDQAPKPSEDASAGLAIAGQAFAFRELAAATDHFTPYNLIGEGGFFRVYKGQLEKTGQTVVIKQLDRHGFQGNNEFLDEVSKLSRLHHDNLVDIIGYCADGDQRLLVYEFMSAGNLEEHLFDLPADKKPMDWCTRMKVAYGAAQGLEYLHEKASPPVVYGDFKASNVLLDDALTPKLSDFGLAQLGQVGGNAPAPMMGSFGCCAPEFDRSGQATMKSDVYSFGVVLVQLISGRRAIDPDKPTEEQNVVAWAMPMFKDQKRYHELVDPLIKSEYPAKALNQITLIARRPSSSDDDDDNDNDNDNEEEEGEEEEEEDAEEQ >KN538804.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538804.1:169745:183742:1 gene:KN538804.1_FG008 transcript:KN538804.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIRTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRSWETFEKALWGHVTNFFRLSKDSPQTLVRAIRVVEMQEILDQQVAEEAAEAEGAGAMATIANQRRTANRKGGGATSTPRSTQDKSKVQGKGYKDKCYEYIRKAVEARFDKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIPNINILKVTGWVVKYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVTENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKVYQKDWMEGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKGHIKEETIERMRLDEEVLMDFFREHINVTKVETRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWSKLGQKHLVSQMKHIFKIIAMLVAVSAIWIALLETATVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKGVDVGSE >KN539011.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539011.1:868:3174:-1 gene:KN539011.1_FG007 transcript:KN539011.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MREWALDGVDRDPEVTRFVCNPLSGEMYRLPDLDGTKKTSRYLHFGLLTQSDAGQGPPARQEDGRHRPGGGGLRVDASWGAVTVDPFSDRPELRFVELPKESVLPDLDDVVMLRDLGKYRRMGVSEGKLRYVEVSWGKQFLIRSFSLAAADEGGDSWTLEHEVPFGPIWKDEHHASVPLGGMPRIGAIDPLNANIVHLIVGDQMLSIDMIKERAIDSSRLGCAEFPLLPCVLPPWLESSQIPEGIHWSKKAKMKSNTPSESDMSVHVDIELKKCRRVVYFELICNKRLGRHKYKCIMLNWFLKIDRRAYSGELGSEW >KN539011.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539011.1:138451:140907:1 gene:KN539011.1_FG008 transcript:KN539011.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEGDSKLTAKAGDGSVKKDVLGSHGGSSSSAQGMGQQAAYNQGMGYMQPQDIFAGHVC >KN538804.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538804.1:184295:188903:-1 gene:KN538804.1_FG009 transcript:KN538804.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MILSPIVRIRLIKMDKLIVIIIIGKIKPILKIPRGHETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMKRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPIIPFIGIFAVAIFFLYSAIPIILKICGATMLKLNLSLLTSDMWAVLIRIFAYHEKCDSWFIGARFGVNCDDV >KN539011.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539011.1:79115:85968:1 gene:KN539011.1_FG009 transcript:KN539011.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERQAEPSGQEEKGEAAGRVVDSSGIGGSSLLREKIVQGPHKIEIWKPIRPSLGNIEQIMSVRVEKKQSSAVGNQDMEDVVHPVKVQEGKLSEDSDDEFYDVDKVEPSQEVHSSDSANTGIDNRGQEENFPSMEELECLVHGGLPMALRGELWQAFVGIGARRVKGYYDSLLVAEGELEDSSGSRSSTSDVAGENTEVSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPTVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVDETGLQELRNKHRPSVLSSMEERAKGLGALDTNGLASKLYNFKHDPEPLVSISDSQDQMSDVGDGDANQSDSGNLDDMYGGLTVSSEIEALPDPKDQISWLKFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQSEQELSELRQTLLDKQEQEQAMCQVLLRVEQELKIAEEARISAEQDAAAQRYAVNVLQEKYEEAMASLAKMENRAVMAETMLEATLQYQTSQQKALLSPLPSPRTSMIDASPGRANHDSSQEFQPKKINLLSPFSLSWRDKNKGKQNNVDDSTKLTDAHDQREEITNNNDEKQIETPKLDVLEESMGSPKEDDKSRVEMPKEDSDLASVQVVANDMNGQHEELQEIKLD >KN539011.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539011.1:117261:123727:-1 gene:KN539011.1_FG010 transcript:KN539011.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MRSTAKLMDFQKIRQVINKLLHYPLLMVLKLFSYCFQIRIQELANGESHEEGRVPRTIECELTEDLVDCCIPGETVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKVHAASGNSDAASGSFGFQAFTEKDLEFISKFKEEHGADVFRQILHSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHAVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHSNDGGPFTANKRIRTVPQFNASTEFGVGRTSLASRLRLHPEKDKDFCPLPGPLLRKYISYARSHVNPRISMPSPAADSLQKFYLELRKQSDSTDGTPITARQLESLVRLAEARARVDLREEVTLEDAKDVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCELQKKDCFSMNEMYSLADRISLQVANLDAIVESLNNAGYIIKKGSSMYQVVTSSYQGSQATWSRR >AMDW01010577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010577.1:63:153:-1 gene:AMDW01010577.1_FG001 transcript:AMDW01010577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KLRDTEFFTRQDPYVCIDYATNKYRTRTCT >KN539011.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539011.1:165109:165399:1 gene:KN539011.1_FG011 transcript:KN539011.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGTSGAKRHRIVFRGYIQGITKPVIRRLARKGGVKRISGLIYKETRGVLEIFLKNVIRDAITYTKHAHRKTVMTMDVVYALKLQGCTIYGFGG >KN539011.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539011.1:33706:37586:1 gene:KN539011.1_FG012 transcript:KN539011.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAMGFLPASEHGFARSAAPSPLREEEEVLDDDPKLPPLRIPTSFAAFPGSSSGSDSDSFLSMSSTPSGLMNPYGVWSPRAPPSEASSSEMEFGTAREYDTTDLFFGENWLYDDHLFHTNSDGDEGNGEDKFIVGADSSAQWSETRELDDCAGRHQVHTKSKADADACAEVYTCSSAPCSCCYGGRKNDDGLTRDSCSAVYGRYLIMDDQTEVLDECGADAFLFTRDGDAMLKSEQPIDSKGGDIELLDMSTVEKELQMLSPYLAEADALEKAELEHDFSGNGELDINIVTNEKTADDKELLKNSYSIHSLPEIGDPLDVYEMEDFGRTDTSVQNSTANKIAEDARTDIDLALSRFHEEYEVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSATFSKVVRAQDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLRRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMIGTIGPIDMEMLALGQDTQKYFTEDYDLFHKNENLISSEALF >KN539011.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539011.1:13477:14264:-1 gene:KN539011.1_FG013 transcript:KN539011.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAHQVVAFGGRLWWVDVSWGALSVDPFSDRPEERFVELPNGSVLPDLTGIGGRRILGLYRRMGVSEGKLRYVEVSNAKKPFVVSAFSLDDEGSSWTLEHRMEITPSWKGELKVPEKPRIGAIDPLNANVVYLIFLHEVLVVDMAKGEVIGRSSPDDINSSSVVPCILPPWLESCQIPSADLGNLWISVDKYLLYPYRGFSRECIYLSSL >KN539011.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539011.1:47827:48138:-1 gene:KN539011.1_FG014 transcript:KN539011.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN539011.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539011.1:65089:69439:-1 gene:KN539011.1_FG015 transcript:KN539011.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLASMVPDTMKLKRTHSSAQPALRGLRFLDKTSAGKDGWKNVEKRFDEMSADGRLPQESFAKCIGMADSKEFASEVFVALARRRSIKPEDGITKEQLKEFWEELTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSAAANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSHRSPMQRRFNKTVDFIHENWKRIWVLSLWAILNIALFMYKFVQYSRRDAFEVMGYCVCIAKGAAETLKLNMAVILLPVCRNTLTRLRSTALGKVVPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLVSCPRDKFEATLGPYFNNVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYNRTTWMFLAVPVLFYSCERTIRRVRENSYGVTVIKAAIYPGNVLSIHMNKPSSFKYKSGMYMFVKCPDVSPFEWLWINRFFNASRHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIIADGLKEETCFPKVFIDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNGNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSLEFSQTTTTRFHFHKENF >KN539011.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539011.1:109415:109639:1 gene:KN539011.1_FG016 transcript:KN539011.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSCYYGNYLSGGGANMAKEKRPPLKRGQVKRRIVRSISNLMANNAAADDDSNSAQAADHRNSFRREASYSNN >KN539011.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539011.1:150398:152552:-1 gene:KN539011.1_FG017 transcript:KN539011.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGDPRLVGVSLGEYLTDGEEVMVVGGAVEVAVAARVVKVDGGKVVVAMEEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDTMQELDGGGAEERMLGVELGAFRGEAGWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDRYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEELCDNCMSCMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEDKPKRRRIKTCVKKPQHALELTEENPTSSLNNECTFVDCMGLNIIGKAKGKRSERRRKYVSSPEVHTTDHGQDDFWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSLYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFQLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVDKAQNL >KN539011.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539011.1:99734:100048:-1 gene:KN539011.1_FG018 transcript:KN539011.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGYGCYSYYQQHKSSGSFISGKEKRPPLIEEGAAEAADCEDAQQPHGAGDEEQRRRCCCCYRRQEEGSGSQQLQERSQLQLNRSNRTATSSSSDTQIDAYYL >KN539011.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539011.1:45406:46578:1 gene:KN539011.1_FG019 transcript:KN539011.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPERSYSCSSAKEVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVISFFAIDESRFTQTDEVSCMPYFHSRRSWGLFRKRTRLICRKCGGRIGNAYEDEESTLYDGSDDLHMSSEGYSMSSGKKYVIKINALQPSTDDSGVPFTL >KN539011.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539011.1:16491:17204:-1 gene:KN539011.1_FG020 transcript:KN539011.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKRMLETSFPGIHVILHNYPPPFPKRVLGKLVPILQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLINSRLPDSLVGKNVGKALS >KN539011.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539011.1:51400:53913:1 gene:KN539011.1_FG021 transcript:KN539011.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVKAMLNLIGADGDSFGKKAELYFKSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATAFPDQIQFSMQDADGEGFQKAISGIDLSNFKFPALEGLPMGSRGASRGTSPVPKRTQMHRRITSHMNKENAQEEIDKLQKQILVLQTEKEFLKTSYDSALGRYLDIEKQVVELQDEVCSLQDAFSTGAAIEDNEARALMAARAIVSCEDTLVNLQDQQRRSSEEARTEFKRFIEAKKKLDTFKAECGQPRTQSDEPDNSDKEYIHAMPSGDVDDSVQNEIRFDLQEVCQKVKELIELHPGVSVADLADKVDRLVEKVIDLELATTSQNAQINRMKTEIDDLHKRLQALEEDKSALVADSSKLVDRLKQVEQVLQAVQHLGNSIQNGTQNIHKEMNAACSELAEFVEKLHEPEPQNSGFMNSSQESSCQEEDSEVTSQYAKKQTSDSIDGSKNEAEKQDKGSEGPFVQQHPDTNGSDGEDKILLEGYASVLQSYKGTEQKLSEIEKTNQEYHSRSMSELKDLKSANAMKDEEIHSLRRMLSSLQRKMNAPAPENVDKSEETSKISTTPVTEDKEIAEIEEYMKQCQVEEQLASSISEEKFRAEIDRVLENNLGFWLRFSTSYHQIRNFQTSFDKLKTEMDKLIDAQAQCGADGVPISYQVAKLESAVLEKKFRDLNTDLQVWIEKNVLLKGELENRFSSLCGIQEEISKITTLDKSDEVHFTPFQAAKLQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLELSIARSNRAQQNFRNLSTKAGVPLRTFLFGTKPKKPSLFSCMGPGVHKHHSGSRSGRG >KN539011.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539011.1:143169:147179:-1 gene:KN539011.1_FG022 transcript:KN539011.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDEPVDAAEGGVGGGDGGEEGLEAEARVLQGETATEPVPAGGDVAIASEAAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTLEQQDDESEMPLPSSVSNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDTSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPEDGLELTEKKSSSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALLSETDLVKMFGRYGPLKESEIEVHASSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTKGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAEESVGDKAVDETTKGETTAEVYAEESTEKTVEDTTVEAPDEKTKTANDPVEDATVEEPDKKTAANDPAEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEAIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVDEATVEEPAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETTQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >KN539011.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539011.1:25633:30747:1 gene:KN539011.1_FG023 transcript:KN539011.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRGNVPRLAALRVPRDTSPAPPPYRYTIRPDTGLLLLASLEEAGEGGGGGGRGGGGGGGEKKRLSVLGEEGSEVSGGIEEKYALDRELGRGEFGVTYLCMDRCSRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAALLFCKFLPSKIAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELQEALVEDGATDIMEVVKDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE >KN538868.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538868.1:99823:100337:1 gene:KN538868.1_FG001 transcript:KN538868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIRAPVHSCSCSNAHLSQPAAPAKMVFEDQVRGVVCYRDDKGDMICEGPMGVQVTDFIELSTLRVFEDVDSLQPRKDQKGKL >KN538868.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538868.1:51440:55433:-1 gene:KN538868.1_FG002 transcript:KN538868.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEKCRDAAGEGGGGGDLYAVLGLKKECSDADLKLAYRKLAMRWHPDKCSSSSSAKHMEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDDNDDDSLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQADLDSGFCNGPSKCYHTQAQSQTRTSSTSPSTSPSPPPPVATEAESPSCNGINKRGSSAMDSGKPPRASEVGAGQSQSGFCFGQKSDAKQAAKTRSGNTATRRRNGRKQKVSSKHDVSSEDEMPGSQWHGVA >KN538868.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538868.1:7437:10000:-1 gene:KN538868.1_FG003 transcript:KN538868.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMREADLGIAVSEEEEEEEEGADAVAANPEVGGNDGCDEEGAPPPSPPSEADYETDELCDLLKSRVASPEFLEKLDNIQKSVYQNGAVDETISWDIISAADIWDDIDKGMNISDDSEDGYVLIKQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQQEKLNSHLPFLPALSKTFSAKKRKSKLQKAWDGTKVIYNIASWSATAIGIYQNPAILKAATAAFWTSCRVASKFL >KN538868.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538868.1:146726:147181:-1 gene:KN538868.1_FG004 transcript:KN538868.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKADDDIFLRLPRLLGSLGAMPREDAYYGATIPCDSMDPFREYMSGMAYALSWDVVEWVATSDVPRNRTVGPEDRMTGQWLRLGGRGKNRFNAKPAMYDYPLPAPVDKCSHEFIPDTIAVHRLKDNPRWAETLKYFNFTKGLEPSKFYKFN >KN538868.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538868.1:389:6071:1 gene:KN538868.1_FG005 transcript:KN538868.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAASPSCAAVAGWTVAAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHKIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPEVFNSLTVDCYVYIYTISKDVSGNCGSATTTTQLPYEQKLRTLELNSLQFLRLLQAQLPAFCFEDCINANSLGIDKIPQSIEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVDAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHNSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLKESNSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFINR >KN538868.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538868.1:150650:151578:-1 gene:KN538868.1_FG006 transcript:KN538868.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRLSLSLFLLPFLLLAFVYSLFFPGDFSILPSLAGRCSNSVAATPANATGPAVDLRVLLGVVTRAEMYERRALLRLAYALQPAPARAVVDVRFFVCSLAREEDAVLVSLEIIAHGDVVVLNCTENMDDGKTHSYFSSLPALFADAPYDYVGKVDDDSYYRLASQFMSGMGYIVSWDVAAWVAATEALRGDVKGPEDEVFGRWLRRGGKGRNRYGEETRMYDYLDGGMREGANCFRHALVADTVVVHKLKDRLKWARTLKFFNATQGLKPSKLYHVDL >KN538868.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538868.1:140155:140364:-1 gene:KN538868.1_FG007 transcript:KN538868.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDWHVDQLVYIVSGEVRVLPAEATTGEEYMHFVAGDLVRYPKWFEADLYFDGPYEERYRFLAYGDDN >KN538868.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538868.1:103798:107158:-1 gene:KN538868.1_FG008 transcript:KN538868.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVRSLRRLGPAAADGRLLLPVAPLSSKAAATPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLSPVVKSLRGLDVDRQDLPRVLERYPDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEMLPLVIAQYPSILGLPLKTKLAAQQYFFNLKLQIDPDGFARAIEKLPQLVSLHQNIILKPVEFLRGRGISNEDVARMVVRCPQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVATKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEIWWRIIPKIFRDMLLTASCTQAIPSLSVNSCLVVCICKLKSANHAGILNCKMKKALALMEHSDTNRGHWSSGLSNSSKRLTKVADVLCDSPLALVMCDLRRKLEIRRPVLEKQRCRQLRWQKFAQSIIGLLGIVKNIVEEKLQ >KN538868.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538868.1:41689:49058:-1 gene:KN538868.1_FG009 transcript:KN538868.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSTGRPFTGSFSGQSGSVPGGFHHSGLHNMHGSLNMPNLPGSFSQRNAAMSGLPSSGVQQPGGSMPGRFASNNLPVGMSQIPHGHSGVGSRGLNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGSPQMLNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDASDGAPFDMSDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNTTDYPMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRPLNSPNQTSSLGSYEQLFQQYQQPQAQNPFRLQQVSSATQSYRDQSLKSIQGGQTPSDPYGLMGLLGVIRMNDVDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHTPACYSAEQPPPLQVHLPLSVSHFNSIRLVHLLQQIIMPRDEAQLCAASELYTRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSQNVR >KN538868.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538868.1:11793:14497:-1 gene:KN538868.1_FG010 transcript:KN538868.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAEKEKIFVDFGCLYVCHWKPLIWKFSSGKRTCARPILYANDMEEDICSCYCGTIEDIDVNATEEEYDTYDDEVHFLVQLPFLWSRTKIIEIVAAKDVIFALAQSGLCGAFNRGKSHSSMLTGFAFVRFMFLIKLLLMLAATNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKSANHVPLKILSIEDGTPLKTFTQLLHRSRKVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKASKEVRDSGGCEGIAPPTGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTVSDALEDITALFYDEDRNEIYTGNSKGLVHVWSN >KN538868.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538868.1:79437:82598:-1 gene:KN538868.1_FG011 transcript:KN538868.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRVATRCTHAPAAHAPRSGSERGGGWVMEDTSTSACIYRDLFHGGALQGHPEGSRRATEGLLWPEKKKPRWGGGGRRHFGGFVEEDDEDFEADFEEFEVDSGDSDLELGEEDDDDVVEIKPAAFKRALSRDNLSTITTAGFDGPAAKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPEAPTTAQKRRAGSTTAKAPKSSVEQKPTVRPAFNNLSNTNAFVYPSANFTSNKPFVQPDNMPFVPSMNSIAPIEDPIINSDQGSNSFGCSDFGWENDTKTPDITSIAPISTIAEVDESAFIKSNTNPMIPPVMENSAVDLPDLEPYMRFLLDDGAGDSIDSLLNLDGSQDVVSNMDLWSFDDMPVSDFY >KN538868.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538868.1:100793:101924:-1 gene:KN538868.1_FG012 transcript:KN538868.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMDPYVPAMGSGFIAKGLTIVNSAGPGKGQAVALRVGGDLSVVYNCGIEAYQDTLYVHSNRQFYAADDISGTVDFIFGNAAAVIQGCEIRARRPGPGQEDTVTAQGRSDPNQNTGISIHRCRITGAPDLGGTPVYLGRPWRRYSRTVVMGTFLDRSIAPAGWLEWSGQFGLSTLYYGEYGNTGPGAGTRRRVTWSGVHTSMSTSDATRFTVANFIVGDAWLPATGVAYTSGL >KN538868.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538868.1:119682:130651:1 gene:KN538868.1_FG013 transcript:KN538868.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGASENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDEKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAEKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSLEFVNKSTSVERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTMIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHHGDPNARREIELSLKIGKVNECGTNDSMAKEGSKVLILGAGRVCRPAAEFLASYSNTFSSNANDHDIGQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQVEVVVSLLPASFHAAIARVCIELKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAVYKFHGEIIHVDGFSEIMATFAKIGFFDAASYPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQLLLQNKIQKKGVIRPLEPEIYIPALEILKSSGIKLAERVEI >KN538868.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538868.1:131137:137279:-1 gene:KN538868.1_FG014 transcript:KN538868.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGREVAARGRGAGESYVRDMGDERSCSWKKLEKLRSIEWASVLPPDHSYLRWYWIAPLHIFSDGDNEKKKIMFGTGTGHVLVFDTSVGTLEIIFSPEDEAVGEYHDYSNNKVPTVGLFEESLALVGNTRENIVFSQP >KN538868.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538868.1:70198:77064:1 gene:KN538868.1_FG015 transcript:KN538868.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVEQEAACLQSFELYESESRFYIFGTNTGKTHWRLLKIDRSEPSDLDLHKCCTVYTQSEYHELLKNLDEDHRLTGGVKFVTKFYGIIGFIKFVGPFYMLIITEHRKIGEIFDHPVYQVTKTLMVELANSKTRSRFLNSKDENRYKEILNTLDLRKDFFFSYSYHIMTRIRNFLGSTLWTVALVYGFFKQDKISISGKDIMFTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVYGAGPRPTEETSKMNIKPDIILHQKGENYEATRLHFENLRRRYGDPIIILNLIKCALLKYLCFRKPTNVLDVLLKVAFRALRLTEFFYCQLAPPTGSDTAHHWPSLLSGLDPFLCEENSNSDNTDCMEMVGDISQEDISGSSDSSCNGTTEDKAENNESPPLKSPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHVLGSVESPELGLDDPLAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHIAVHARTIKRVRSDGSILYESNTSISGCSGRHNEDKELLNAAPLDVKSGSQFPVLESDSVHGNEISLTCESEVSNLRYTPMVPQTHRAPGVETESSIHSGDSNFLDLEWLSTSGNSSDERSIAISTSDANLSAENVISGINSETMINPGD >KN538868.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538868.1:15876:23590:1 gene:KN538868.1_FG016 transcript:KN538868.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGKGGDAGIWRLATSEEEWGELAVAPGAYGIAKGKPTALVHASAMNPVSSTGSCSNTLLYPEHVEIDRAFDPHNAECRSRWGEEESERSGAHRCNKSAPGARAEETDLCLSDDGCVDPGLAAANDRVQYMPRFRTLPCPCYSRKTGVPSNAGHRSSTAKEHGSSAKGGTKPKPPRSLPRRSSSGGLENLKEAVLSNTCAAVAPAQSCSSEQATVHGETGNASPPSPFAAAAAANARAASPDSDCGEAVDSGADDREAEAKRVGEHDAEEVTVSPQKLANGEITSDSDTEPSYVYVKKDDVEEEEDAMARRSEALAGPDAKPAELEAEKKNSDAAAPAREETTVPPSDAVAAESATTIVAEEAPARESSDESSSSSSSSSGIRSGRGSPPSSAPASYISRAPSIERLLEEDAALLRKKRQQSADKLALMAMATTTMSTPPARVSGAARSRGFKSFLSFGKKNRRGKDVTVIDCTSPSLIGRSSRFDHSTVAGADLKNWE >KN538868.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538868.1:88426:98827:1 gene:KN538868.1_FG017 transcript:KN538868.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MGRGGGGGRKKDEEEELKAAKRGYREAVAEGNREEEARWTLLVEMYTNGWIICWTMHFILLWVRKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPSSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLVQGLKICDEEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKCLEDEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLVRDTSNAHGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGGLSNVQLDALENMHYSHMVRFDNIEEAKSSKTKASKMHSSPKNVDGSCGMDESPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTLERIANAANRNRNQHTSHPIETREVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSIGKLSVSKPAASGSKVGTLASNSRPQGQNAIGLQSSDADHKFWVFKIGEHLVYLDANACTFEGAFSIESLKVEVTCVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKSIDVVIDVIPHLIYLVYNEDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALKLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQVFLLSLNLFIKVKLQICECAVMTNRLEVLNLSGNRLTDACGSYLFTVLQKCKDCVFCLHIVTALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLNKLMVDKLCLLAQSSCLSGFLLGGTNIGSGGATKLTEALSCASQELLRLDLSNCGLRTPDFSQLCRNLSKINIVDLNLGGNSFTPEVSFLFSNALIYLYKFCLRSLTLDRCNLGLAGIVCIIQALAGNDQLEELRIAENTNLALQRTLQYDEDAQDVSPGTDQNRRTNAEANDHIDPDKMEVPDSEDEEAVHEGTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLSEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRKDLQM >KN538868.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538868.1:154870:155841:-1 gene:KN538868.1_FG018 transcript:KN538868.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKSFSLLFFLPFFLLAIIYFVIFPNEFRLQSSLAACGGDSAPATAADAVAKAAPDIRVLLGVLTRADKYERRALVRLAYALQPAPARAVVHVRFVVCNLTAEEDAALVGLEIAAYGDIIVLDCTENMDNGKT >KN538868.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538868.1:27207:36072:1 gene:KN538868.1_FG019 transcript:KN538868.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MQILQIAYSCWKEAWRENVWQEEECCVATVPNNHEVLQCDNCFFVLRWEDHFAFTWEKMAFFSVTVCEYITIRVSGWLGHSGLGWGREVADDVVRVLEFPSTPDSGVRAGVRCLAHLISAGEKANWEAVQPLYGVVLRLAVDPRPKVRKQSHSCLRDILLSFQRQAVLVPASEGITRCFERFLLLAGGSNAVNTDVAEGGPKGAKEVLYILNALKCCLPLMSSKPSNTIIKYFKALLDLHQPILTRSILEILHAVGDSPTVQLKSDVLLDIVCSLGLSVSVERKSGDEMASIARLLNVATRKIYNQNKNICVVKLPLVFTSLGDILASEFEEARFSAVEAFKSLIEDCIDESMILQGITQIKSRHPGIRSDPTTIEKICAILEGLLNVRYGDVWDKSFHVISMAFDKLGESSADLLPEALKNLADMQNLSDDDFSFRKQLDSCLGSAVAAMGPKNVLKILQIHSISDENEWIFPILEKHIVGASLQFFLTDIWDIIRAVEKNIPKLLKEDKLFSAKRAEGYVYSLWSLLPSCCNYARDTSIHFRALQNVLCDTLKNQPDLRGIICSSIEVLIKQNKEALSVPVEEAILAEDEISKSERRAKERYTKEFAEENLKAIRAFSSKFLEVLCSIFLASSNDAIGLLQPAISDIASISEKDTVGRFFLDAIRKLLDATKAVNAEQKNDSSMQIEANSNTNNMARALLLDFAASLMPGLAAKSINVLFSYVKPAIKDTDSLIQKRAYKVLSMLLKDTEFIERNLDTLLDLMISSLPCQFPSKRYRLECLHHLIVYILKDSSKLGKREIIGSFLTEILLALKEANKKTRNRAYDLLIEIGRACEDAENDGRKENLHQFFGMVAGGLAGQTPYAISASVTGLARLTYEFSELIGVAYKLLPSTFLLMQRNNREIVKANLGFIKALVAKSKADVLHEHLKGVVEGLLNWQTDTKNSFKAKIKSLIEILVKKCGLDAVKAVMPEEHIKLLTNIRKINERKMRKSKSSEDGDNMSMTSRATRQSRWNHTQMFSDFGSDEDDSNGPFSAQHTVASRTGTKASTRSTRKRQADKSLLEKFIDQSTGEPLDLLDQKTVRLALKSTKKRAMPDEDDDEVEMDPEGRIIVREERERRKKKQPISRDDEADDRSTVRSQSVKRRKTTSSGWAYTGHDYTSKKASGDLKKKDKMDPYAYWPLDRKLLNRRSDRKAAARKGMSSVMKVTKKLEGKSAASALAAKRTQTKNRKQKKSK >AMDW01023985.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023985.1:3:324:1 gene:AMDW01023985.1_FG001 transcript:AMDW01023985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDPCIFKQAHGRSFWELADRDAAFDALVNDGMVSDSRVIMDYVVREHGDVFRGIASLVDLAGGLGAAAQAISEAFPEVRCSVMDLGHVVAKAPAGTDVEYIAGDMFE >AMDW01028861.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028861.1:9:354:-1 gene:AMDW01028861.1_FG001 transcript:AMDW01028861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGALGLAVMDVFSLQLWAREADGVASTSSWVLRKSIDLDVFAPMPLPCAGGRVILVPPVRLLGVDEGGISAFIWTIEGIFMLHLEDEMLMKKVAASRVVDFVYPYSSFYVA >AMDW01032388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032388.1:139:465:1 gene:AMDW01032388.1_FG001 transcript:AMDW01032388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGKEEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYM >AMDW01039997.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039997.1:102:1088:-1 gene:AMDW01039997.1_FG001 transcript:AMDW01039997.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFFSEVDVSDNDSHTAEMLGDSHEGNAELFYDFDDISIDSGSSSKYHEQHDEDGENKSSETGFCSSDEKGSNGSGNEVRSMPEADVTKDSFEEETGNRQEERSNAVQPTLVTSKDPNPDTASDLQENTTQEACIQEGADSSVRTEVDHNSIAGIGALKPQPKRRTWQNLSKQSAIPIVNKKKAKKPDIGPSDVKKPSKGKMLLKQTLQKGILIATSSCKSSTVQANTGPVPRKKHANAIRSNHGTGQATKTPTHSKTKLENSSHQETGDTATQKDDAIEKSRETKPATSIIQTRPPILPRQGLNQDSSKDSLGPIESAMKSPTPTSGNS >KN539671.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539671.1:44809:45518:1 gene:KN539671.1_FG001 transcript:KN539671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLIVCVLVLGLVLQHEHIQVEAKSCCPSTTARNIYNSCRFTGASRDKCCKISGCKIVDGKCKPPFIHHTLHPDSEESDVLDFCKLGCTSSVCSNMNSFAGNEEGNHAVDRCNEACYRFCTNEAEIVTVAS >KN539671.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539671.1:72237:81464:1 gene:KN539671.1_FG002 transcript:KN539671.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVLSADVFARYCRLRGYNVIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFQKLMENNWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLSDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKDTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPNAESHPLTKALAEKTNKWVEQYLEAMEKVKLKQGLKSAMGISSDGNAYLQKDEEVESFRNKFAGSQAERSSKAQADAEAKKVADKLKGTKLSGISWRWNIFSQSIGFRNYFWASWRCSCGAICSYSAICAIGRVHRSYGAICSSSMICAIGRAYRFPNPGWDWRVDGGQKKEQKKQSGGSKSKNAEVDVTVAKLDIRVGLIRKAQKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGVCKVKSIASGEIR >KN539671.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539671.1:59006:60814:-1 gene:KN539671.1_FG003 transcript:KN539671.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILKVFTLHLFIMLHGVHGHIYDVTEYGAEPSNVDNKDISDVTYERIEGTSSSRVAVQLLCSEDRPCSGVRFDRVNLSCGRERCGSKFSNVEGTKPTLVAADEAAAFGPGAVPPPDQDADVVESQH >AMDW01033562.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033562.1:43:288:1 gene:AMDW01033562.1_FG001 transcript:AMDW01033562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAERHDRLYGGLQSIKLEMEMVNALIKEEEPAGGAVRETRMQQLQEFAYDVEDFVEGLWEAGAYGKVLVAIGMDPRGQQ >KN541778.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541778.1:45:467:1 gene:KN541778.1_FG001 transcript:KN541778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WNLRAVGDDAGGARLVRVVQHSDLEVLTPLHTGGGREWTVEKTLRLPELITRGLPGLELEDYSRLVVERLSGVKILAVMGRSVVLTPPEGSGMWPFSVDLETMELERVYDWGDELVQKWVFPVEPPWPPALPLHACTDVH >KN541778.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541778.1:14120:15194:1 gene:KN541778.1_FG002 transcript:KN541778.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAAAGSNQGGCGLLVSMDYLEENCVQDGVLVAVCSVLFLPELPPCLWLDSLGHRLAAMSNKQDSTLTDVCFDVDGERFNAHRLVMAAQSEVFRSLLFGSDDAETKTETKTETAVVTIDGISATTFKHMLHYIYCNQLPPPVM >AMDW01007508.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007508.1:54:176:1 gene:AMDW01007508.1_FG001 transcript:AMDW01007508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YTVFFYFNATAFVTSVVIIILLMNESFHHSEAKVVALEIIA >AMDW01039134.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039134.1:230:830:1 gene:AMDW01039134.1_FG001 transcript:AMDW01039134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQ >KN539006.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539006.1:111058:112604:1 gene:KN539006.1_FG001 transcript:KN539006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGTVTDDASDEWLVLDQKVNSYPTNRGFTAIGTGGDDFVQSMVVAVESVLQEPIPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRSDNRMKYFL >AMDW01034581.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034581.1:41:532:1 gene:AMDW01034581.1_FG001 transcript:AMDW01034581.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCG >KN539006.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539006.1:158287:162325:1 gene:KN539006.1_FG002 transcript:KN539006.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTKWTIKEINKNHKRVPFRENIVERYENSLTAQKGVHGRRILDQKTKGKDFHVPKETTDKGSNDDDMRSIDETDISTLNMDQLSQIERLLEDELRWTRARKESVYLTIVPWKIFLSCLTILLDRNTKILFSLVLSVIHNSQVSLCHTVVVDRSARLQKKVRGRAAIVEVEGGSMEIPIVSGKEKGLFLRTFSTDLGLSTDTGFPAAICELATIEEEKKNTRIGGREETKLSWLAASCRSSIGFITSLSRLSGGEIRQKQGRWWKLG >KN539006.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539006.1:17157:17489:1 gene:KN539006.1_FG003 transcript:KN539006.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQAKAAALALLCAAVALLAVAAAAAAAAPTTTTKNQTAWRRVEDYLAAEWELPVDTAGELLYASAIRPGGGSLIHDQPSCSGRGCAANGGAGYHDRGCIKRYGCHG >KN539006.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539006.1:113564:118104:-1 gene:KN539006.1_FG004 transcript:KN539006.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSLPVIGDGDNGYGNAMNIKRTVKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREDAIMHIKAAVDARKESGSDIVIIARSDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVPKMANMLEGGGKTPILSPAELQEIGFSLVVYPLSLIGVSMLAMEDALIAIKSTGAPRPGSLPSFQEIKDTLGFNRYYKEEKQYATVQQAQPSSTNIVLRLKITEKSGTQKINEGIPAGILEKISKAIPGLAGVNFTEILQGADQSQKGKLLLDREDATGDRIQVSIE >KN539006.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539006.1:126155:127698:1 gene:KN539006.1_FG005 transcript:KN539006.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPTWMDFSCIAQAKRQKTGMSLYMGFRAHERLQHTRSASFYSRVVSSAFNRTNIQLGVVSRFHFTANDMEKTTNAILYADAQREFYCSMWSRNSSSRMVSHKSDGCPKKQKTIALIQQLLAVPSVCLKYSRFPKVKTPDIDNTSQDMICECSTWKSNYQVAATLKVLKHCKNKRIIR >KN539006.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539006.1:11009:12083:-1 gene:KN539006.1_FG006 transcript:KN539006.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEPLDVLIILHEECSDDEDEDGGLSNEISFYRLQEPLGRFFDDMHEVAQLEFGGYHTHTILPMHFGGLAAIAATTHQRYEDAYSSGQCKAVSVLRVERDYVGGGRYGGGARLRAIGWDQAHIAS >KN539006.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539006.1:166888:167522:1 gene:KN539006.1_FG007 transcript:KN539006.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRRAELEKKAEELAVLCDAHVGFVVLSCSGDDANPHHFAAPATDLELQFH >KN539006.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539006.1:119813:120379:1 gene:KN539006.1_FG008 transcript:KN539006.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRENDPHRERGRHPGRGAFSPPVRALVPLLYTVRRVIVAVDWVYDAWGNRATAAAPPQEVAWMWFGRYLAVANLVYFSTNLLVFLIPKFLPRAFEKYFRMRDEAYAKNAEDRHAAAAAAAAKPAESKKAD >KN539006.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539006.1:18824:18946:-1 gene:KN539006.1_FG009 transcript:KN539006.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSAGGIIELASNTGSNGITELDDALTAAALELEVTGR >KN539006.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539006.1:7669:8904:-1 gene:KN539006.1_FG010 transcript:KN539006.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERHHQINHASVTASTINIPDDLLIAEVLARLPVKSIVRFKCVQKSWLAATKNDWFVCRHLKFSRAMPPDVVIVPREECSDDEDEEIGLSNEISFYRLREPLSKDIDYTKVQLMLEAVQPEVITHTILATHCDGLVAIAIATDQVYVSNPATKEFVTLPLGSHDVREIKIPSAAIGFDPWRNQYVIARYFYRNYMVLEDEDTGERSFEYDIGHEVFTLGLGHSWEITDNPPLAICPFARPVCMRGAFYWCTDGMLNEYMILRFNLYDEKFGMLPFPPGCHHGDLAELSGKLCFVHAANVDTIFIWQLVDDKPEPVWSQCSRIDVFSNEISTYGFFPILSRDTEMLIAVDDEKLYQLYEWSDTMSELVDMEDGLEYERADGSKLTFGEIGRILYHVVPFVESLVSISASN >KN539006.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539006.1:144607:144819:1 gene:KN539006.1_FG011 transcript:KN539006.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEELAVLCDAHVGFVVLSCSDDGRLHHFAAPAKYALSP >KN539006.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539006.1:64595:66652:1 gene:KN539006.1_FG012 transcript:KN539006.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAADLDPEVHNLNARLLEISRMLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTSTFKSEVQCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGGAGAGSGSNPPWAAGGGGAAASGANGIKKEYDETNLYIGYLPPTLDDSGLIGLFSQFGEIVMAKVIRDRITGQSKGYGFVKYSDVSQANAAIAAMNGYHLEGRVIAVRVAGKPPQPAVPPGPPAVPAPPAYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPAPGQATPPPYGVQYAPPPAPIPPPGTAPSTDGAQNYPPGVTPPSSGAPTQPVPAPVYGTSGAPNVPPMYPPPPYGYASYYPSVTPVQPPPPPPPAGADPSQSLANAPWATHSAPPPPPSGADLSQSIANAPWATHSAPPPPPATADHSQGIASAPWATHNAPPPPPPPSSIEQPPATYGADAEYDKFMSEMK >AMDW01029500.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029500.1:156:362:1 gene:AMDW01029500.1_FG001 transcript:AMDW01029500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKDGVVKIGMFGATTNGTMRDIDVAPVSLKSVTVGSIDTVDCISFNFEDKDGNELAAGPWGGTLGRDHT >KN539573.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539573.1:73471:74103:-1 gene:KN539573.1_FG001 transcript:KN539573.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNGEETPSSSMAPTALPIAAKLIVATDRGECERLKDIVSKEDTTTMVVALGSSREASAAAMALKNAAAEERPSSSTGAMDAKVLIATSPSDCESLKDALSVGDAAAMLVVMTSRKDVATKPSMNPLLLSLASRGECATLDQIVYMLGVPAPPQGLEPTLPTQQATGALASAEPGMDLNGVTIEGDTALHVVATCGEDRSYLKCAKS >KN539573.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539573.1:12515:17390:-1 gene:KN539573.1_FG002 transcript:KN539573.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLAAWLLLVVLLPPLFAAVDDGMRSGGGKCTTSCGNVGFEYPFGGGFNLTCNHSYSPVAAQAVPRRWQRAGARHLRATRELGGSISPTDLVFISKEEFSYTNDMAFGNNIPQALPALLDWYISSDPSECTYESAPDCLSANSFCHAYDLGYKCHCSDGYQGNPYIRGGCHDIDECKSPQDYSCYGNCNNTPGSHICDCPRGYEGNASTPNGCKARDLGLFIGLGVGSGTILLLIAVGAPFLSRKMKVRKLKRMRQTFFNQNHGLLLQRLISQNADISERMILTLPVLEKATNNFDRTREVGGGGHGIVYKGILNLEVVAIKKSRIIVEREINDFINEVAILSQINHRNVVKLIGCCLETEVPLLVYEFISNGSLDQHLHVDEPISLSWKDRMRIAVEVARALTYLHSAATVPVFHRDIKACNILLDNQLTAKISDFGASRYVPINQTEVTTAVQGTIGHLDPEYYYTGHLTDKSDVFSFGVLVIELLTRKRSTYRTDQGDSLVLHFASLLRKGQLVGILDPQVLTEGGGEVMEVALLAGMCTRMTGQDRPTMREVEMGLENWRVSKKLASHDTASSSLVSQMAEHRMIATGDMEESSIQYSMEK >KN539573.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539573.1:50938:51294:1 gene:KN539573.1_FG003 transcript:KN539573.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDNGFVMESDCRVARTTVDTGEYSNVDTVLSSGSLRKVLAKLAVYVVIRQKPCMTRMPATWVSAVALSPLRSGGSWSSAAPWPSTATRCTCTPVLLHEIEFSGGCGRRRRERMGK >AMDW01034954.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034954.1:211:509:-1 gene:AMDW01034954.1_FG001 transcript:AMDW01034954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CPKREVKRFADLSSDETSDLWVTAKEVGVRLEQYHKASSLTFAVQVRCS >KN539573.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539573.1:25557:26952:1 gene:KN539573.1_FG004 transcript:KN539573.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDSIGVVLIVRFLVLPVVGLLLALFLRSRAKRINSSTQITHTVGESSNIEGGNAELEIAVAAAGPRRYSYAELAAATRDFAEEEKLGRGGFGSVYRGRLPVVPGGDVDGGGGQQVAIKFLSESSSQGRKEFEAEVRIITRLRHRNLVQLLGWCDCPRGLMLVYELVPGGSLEKHIYDNQRLLTWSERYKIIIGLGSALRYLHQEWEQCVLHGDIKPSNIMVDSSYNTKLGDFGLARLVNHGERWKTTTAVQGTAGYIDPEFINTQRPSTESDVYSFGIVLLEIVCAKPPMERVLVVGLWCAHPNLSERPSIARAMNVLQSDDARLPDLSPQMYRSKVSPPPREVAMGGEYDSVSTASTISGSNVPTSATTTATRSSGSFVG >AMDW01030377.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030377.1:44:304:1 gene:AMDW01030377.1_FG001 transcript:AMDW01030377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDADQYRRLAWVRKTHTIYNYCEDRDRYPAMSPECHRDRDA >KN539573.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539573.1:85974:87950:1 gene:KN539573.1_FG005 transcript:KN539573.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGEPAAVSPSPSSDASPAPAPFSGSGSAAAHRAGHRAAPPPHSFLSDDAPAADSGFSFAADYETPATNHLAVIIAVPVSGRKQFEAEVKIISSLRHRNLVRLLGWCDSSMGLLLVYELVQQGSLDKHIYNADKPLTWSESFGIVLLEIVSGRPPVLLQEGSPPFMLLKWAWSLYGRNAILDAADERLWAAAGGEEDDARQMERALIVGLWCAQPDTAERPSIVQAMHALQSDDAKLPELWPQMYMASPSPAKNFAMGEYRLSGVSSFASSGAVPSSATTGTTRSSGSFAY >KN539573.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539573.1:19796:20273:1 gene:KN539573.1_FG006 transcript:KN539573.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDDAGLKNQRSATIGIGMGGSAASRHEICGDDGGGHKSGGNEGHVISNNNDFGFGWVRSTTASYGSDGDNNGALWSNGSGGGEVRDSVDKVSDAMDVDTLLLLLT >KN539573.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539573.1:65432:66821:-1 gene:KN539573.1_FG007 transcript:KN539573.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMLLNWNTDLTKQADQNGSTPLHFAASLFWGGNLKQWKSKTPLIHVLEANPFQLYQPDSEGFYPIHVAASSGAKTAFTYFIKERPEIAGFRDYKGRTFLHVAAESGTWDIVAYACSAPSLAWILNLQDNDGNTAMHVAVQHRYKYTFCSLLKNKENIDNLIFQALMICNASFGNLRVDHLREQVLCQRKKLDKVRESEKLTNSTQTLGIASVLIVTVTFGAMFAIPGGYKADDHYNGGTPTLARRYIFDAFIMADTIAFICSVLATINLMYSGMAMVSLPLRYWHFNTSLFLAYSSVTSLGAAFTLGMYLVLAPVARCTAIAICVMMMIASTCLFTEPLNALRVAIALYVRKGNRVLPVIARVLLFRTLITYWPCAVIFGWAAISTKYGHRRHG >KN546695.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546695.1:157:489:1 gene:KN546695.1_FG001 transcript:KN546695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVSKGLVVDKVIHRLMNNRKTPDFVVCIGNDRSDEDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVIFRDVMDYVD >KN539350.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539350.1:76733:77864:-1 gene:KN539350.1_FG001 transcript:KN539350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKYKDSMTSVPSSNHFISEQEEMMMFFPSGLRVMLVDDDMKTKMLSFFPDGLHVILVDDGKKVMRTATATLSTLHYLALGV >KN539350.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539350.1:37732:39940:-1 gene:KN539350.1_FG002 transcript:KN539350.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTGGFSRANYLGSGGFGPVYKGRVDDGLRPGLAAQAVAVKYLDLDCGTQGHREWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNGSLEKHLFKSLDGAMPWMRRMQAAVAAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRHSVDRSRRHREQSLVDWTRKYLKKPDQLHRVVMDPAMEGQYSYKGAQEAALVAYKCLSPSPKSRPSMREVVKALEPILDMNDYLQIGTFVFTVVVEDNKKDDVTKTKQVDGENKVDMRIEATVEEKHQSHHDRYRQKNPNSAIHADIVLQRDGSIGSYTTSLRRHRRTPSYLKERGA >KN539350.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539350.1:82487:86517:-1 gene:KN539350.1_FG003 transcript:KN539350.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLSFFPGGLRVMLVDGDTKNTRTATKTLSTLHYPVVATHTTASAGLRTLSGDNMTDVQTILCDVKKVVSSGFDFRRVVETEHHIPVIYLLSTTEPEQMVAGEDMEFLNHLLLKATYVVRKPLDRAAMAQLWRVVAWRRCCLEERIPGDSMDDIAAHAGAVGEDSNDDDVIVIEEPQVHFKAVRSCGSRKRQLTINVDSGSSDGADANPRQKLEHKNDAKGPLGQHVASHLQPQEYCTKQQKDLDERRLLSSDSLFLKAILPTLNVSPCNPLILTGGAGPSCIPTTTLAGGSTAAPFPVLVFQQQQQQPAGTTVISLSNTTVQAPTGNAVISFSNAASPVATGNAVISFDNVAALAAVQAPVMGQQLSGGVQPDAPQQRLYMGPFSYQGPPPPPTMRNHINIVPTAFTPQVGMTMNKDKAPMIELPFGLPVDDFLIEQTAYGGAGPSIGAPDDAAAAAAYAYTSALNNNVAVGSLMVPPTESTFTIIDPIVGTKGEGSVPVVVASEDQNNAVAAVEATTPNNAELCMMPEQVAVDAPVDVEEGIVLSLESLLGLDEDMIPMEDAGGEATDGSLNIKEGGMDLDLDNILMNNTNEFAFLDDLAWIE >KN539350.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539350.1:102736:103724:-1 gene:KN539350.1_FG004 transcript:KN539350.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRATPLTITGSAGSSTVAAAAFVGGSSSPAPLQVPVFQQQSTGNGNAVISFNNNASPVAIDLLQQLCSVDSRAGVGDDGTSSVRWCPAAGCSPAEGAFSYQGPLPSSMRNHINLLPTALPLQGEGSEMNAVETTRNNAAPLMVPDQVTADAAMDAEEDIMFSLESLLGLDYDMLPMEDTSTAEATAADDSGGMDIGWDLDLHDILVENANDFAFLDSIAGSE >KN539350.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539350.1:34524:34958:1 gene:KN539350.1_FG005 transcript:KN539350.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIWPGPPGAQPVGDLRVAQLALLVAGNGLIVSPSSYLSSCPCDGGGRCFFQDGVAEQMCFDLANLVTAVLGLALLVGDMAFSTAVPGGGRSTQSQALATVARWLVGLAKVATVGTFQHWINAFYLCLKTWSITSYIIHPVFA >KN539350.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539350.1:89335:93893:-1 gene:KN539350.1_FG006 transcript:KN539350.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARISFFPDGLRVMIIDDDAKAIRRATATLSQLQYAVVVTHSTASAGLRALSGDNVVDIQAILCDVHKVVSSGFDFRRVVESELRIPVIYLLSKEEEEDMVAGEDAEFLNHLLLTATYIVRKPLNPTVMARLWRVVAWRRYCLEERIQANVAANAGSGGEDDDDDDVVIVEEPQVHFKAEFRVKHQKKDMANERPLISSDSMFLKAILPTLNISPRNPLTLTGSVGSSSVAMEAFAGGSSSAAPLQIPIFQHQSTGNGNTVISFSNNASPIAMRAPTDNTMISFNNVSAAPVANAVISFSNISRSTAMQAPAAKGQHLSSDVRRLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSFPPTCSMDKGKAPIIELPYGMPVDDFLVGQTAYGGAGPSIGATDAAAAAYPYTDAPSNNVATSCLMAPPTGPAFSITEPTVVAQGEGTGTGVDAGTSEKNAVVEEPNNAAPLMVLDQVAADAAMDAEEDIMFSLESLLGPDYDLLPMEDVSAPDTAAAGDAAGGSLDGEEGGMDIGWDLDLDDILVENVNDFAFLDNLAGSE >KN539350.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539350.1:6566:8378:-1 gene:KN539350.1_FG007 transcript:KN539350.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLKTHDAVFIDRPRTASGKHTTYGYADITWSPYGAYWRQARRICVTELFSARRVASFEHIRADEVRALVRGLFAAAASSGGGAVHLNRDHLSTLSMNVITRMVLGKRFFGEGADAAEGPVSTLSEFKWMLDELLLLNGVLNVGDWIPWVDWMDLQGYVRRMKKVGKMFDAFMEHVLDEHSERRRRKGEAFVARDMVDVLMDLADDPSLEIKLGRVGVKAFTQDLIAGGTESSSVTVENHMRMHPIVPLLIPRVARDDAAVAGYDIPKGARVLINVWTIGRDPELWDAAEEFTPERFIGSRIDVKGQDFELLPFGSGRRMCPGYNLGLKVMQLSLANLLHGFAWRLPEGMEKEELSMDEVFGLSTTRKYPLQVVVEPRLPVQLYSL >KN539350.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539350.1:57740:62930:1 gene:KN539350.1_FG008 transcript:KN539350.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAETRSRMLTKAQLGRSTLDTNKQERLFPPTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYQSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEQDCYQALDIVHKLWPRVAGRFKDYILHPKLNGYRSLHSVIMCEGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGGCKHSFVLQMVEWARWVLTWQCEAMSKERSSGLGCSDAIRPPCPFPSHSEDCPYSYTRQCNHDGPIFVIMLEHDKMSVQELPANSTVVDLMERVGANSPRCSPYSFPLKEELRPRVNHKPISDPNRKLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRDVFVGQKLCAQLVDEPRIVGSGGDSSPGKACGQEAVLNEEKAEENSQLTCRNHGSNQTGNQNGDRDLEGTSQSGWIAGLEPWRDATRRSEIEGMAGRWSSIFRVVFGSGVD >KN539350.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539350.1:108718:114439:-1 gene:KN539350.1_FG009 transcript:KN539350.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVQMGGEMGLGSNGGGGAGGQVHDEMPHRNVDSSGKRRKIGMEIPYVSDSESDNDDDCILPGEDGSRMPVQLCAASDLIELNLFTMSMGRTDEGIMGDSVKTGKKKASGVTIRENDIPIDGHVHGQSSAIGESIELLASPMRSALGENHAGMYSTEEERRQKARYDVKGKGKLDLGNDDSGAGTGIGKFSPDSKGKAKMVVEESSLSVSSGADQMDLNSVISEEVQSFPADHWEPRRRERARQRAIEMAPRFAFFKADEDGHSDDGDDNAEELEPVPDPQDWPGPFSTAMRIITDREAKLRARESNSSNLDKSANKVISWIPSKDRKSPLRSAPSLTSLCLQTLSNNAEAIESLAGIPDELKNRLLSSLCHSRKMNAHLLGELMCDNPVTVQLSECSWLSEDDFETIFGKCRTEFLQVLQLDLSGRCMPDYMLPATLAKVPNSMPLLKKISLKGNYRLSDSGQLTSSSIKTIAGNCPQLSSLDLRNLNRLRDSAMRHLRNGCRLIKKLKLQRNTFSDEAVYRFLEQSGGYLTELCLNNVEKAGNLTAYAIARNCSTHLEVLDLSFCRELTNEALGLIVDSCSSLRILKLFGCTQITDVFLKGHSNSLVTIVGIEGNILKQTGSL >KN539350.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539350.1:70487:73118:1 gene:KN539350.1_FG010 transcript:KN539350.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACSSSSIGKDSDECSPPGKEEEGEEVQSAFVGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAVSSCGSAKDIAKAESAYSRKRKNLLAYSILYETSQDTAAEVYETGPPKRLASLSRNSLVTLASSSSRSSSSISIEETELTEQLHSPPSPANDENSTVYNAPALQLGSCDPKTSSVPEEFALFSA >KN544700.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544700.1:768:2147:-1 gene:KN544700.1_FG001 transcript:KN544700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFNVTIVYYLTHYLVDIVNEKAGRVLKLDSIDQARNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRVFFQGISPSHYRGQDWGDTASATCMGQTRPVNGTAYPGGPIPQQAVLRGVLAGMAKPVYLLDFTYLSQLRKDAHPTKNGGELKML >AMDW01037002.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037002.1:5:382:-1 gene:AMDW01037002.1_FG001 transcript:AMDW01037002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLWVQDQGMVDHLAQLVPLLHECASHVTEGSFEKADFSFKKIRMLTIADGPLQRLSTIIVDSLAHRLLSSIQGLPGALIDPSDYFEKSTLRAAHHNFFKLNPYLSTGFVTINRAIMEAMEDEK >AMDW01040685.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040685.1:91:1932:-1 gene:AMDW01040685.1_FG001 transcript:AMDW01040685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFCRIVQIKTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEDEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDPAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDRSNSLLGRRLNVYLAKIRALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKVPSIHPSTFTSFSRFITCSICICNSRAKDKRVTWRKSLTRATINVGGRVLSAMTIEHFILRLPYNAKHVR >KN540191.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540191.1:8843:12789:-1 gene:KN540191.1_FG001 transcript:KN540191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNFLEEQVMYLCMQRNANGLFGSITPMPLFLVVCQEVDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICHDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSSIGCEAYNLGTGKGTSVLEIVKAFEKVSGKKIPLIIGPRRPGDAEILFSLPAKAEKELNWKAKFGIDEMCRDQWNWASKNPYGYGSLDSTKQNGHHSYGSTGSPKQNGHCTNGFSESTRHNGHNGYGLVDSAKHNGNGHFH >KN540191.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540191.1:33803:40155:1 gene:KN540191.1_FG002 transcript:KN540191.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSPPLAFAAAAGSNCTTSCGNISIPYPFGVEPGCYHAGFKLTCLNDSLLIIGEFSAPVLEINLPDGTMLIDVMRVDQSQLALSDPGSSNYTTGTWDVIGNGGDDGRYALSGTRNKLVVVACDVQVVLMGLDGDIISTCAAFCSPLSGKTYMVASADCSGVGCCQATIPTGVALYHVQTRRFNGSWSSEEVTVYIVDAERLGSYAMDAVSPISLPAVVEWVISNDSTCQGNVTPPECRSSHSFCQNSTVFGGGYRCHCSQGYDGNPYLLDGCNATRKEGTYATALLASRVMRTNQQVAKGLNIALVVSGGLILLLVALAAPFITRKIQVQRVKRMKEKFFKQNHGLLLQQLISRNTDIGERMIITLREIEKATDKFDRTREVGDGGHGIVYKASMPVYHRDIKSSNILIDDSLTAKVSDFGASRHIPIDQIGITTAIQGTIGYLDPIYYYTGRLTDKSDVFSFGVLVIELLTRKKPFIYRSDNGDGLVSHFDSLFMEGKLVDIIDPQVMKEENGDVQEVAALAVMCTKLKGEDRPTMREVEMTLENLRVKNHAANKTANGCSHRDHILAQGANQELSRQYTMEEEIMLSERYPR >KN540191.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540191.1:19494:21521:-1 gene:KN540191.1_FG003 transcript:KN540191.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHPLPSPCRRHCCLLLLLLSTLLLSSASSLEEGRVLTVGDELMGETMLLRRGSRLYRLDGTRSSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQNSWPVYAVVTVEPEGVVAKPNVLERELAVFNIVCDELMFGIPHFAWWVGIGSLFCIALALVAPYLLPLHKLLNYEATELRDDDAAKLS >AMDW01040304.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040304.1:10:1136:-1 gene:AMDW01040304.1_FG001 transcript:AMDW01040304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEQSYIMIKPDGVQRGLVGEIISRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKEKPFFPGLIEYITSGPVVCMAWEGAGVVASARKLIGATNPLQAEPGTIRGDLAVQTG >KN541518.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541518.1:83:3267:1 gene:KN541518.1_FG001 transcript:KN541518.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANGDDVIASGHAAALISSSNRDFDYELLTPKSAFVKKFKYCADHNLAGSASATIAASIHNVAKKFKTRFPELLNQNARDNIIDFSRPSFKLLDSEHDVSSSNDDANNQLNEEDNQAHGDITPPSSLLCKSFRSVPDSIDVIDHNNIRSNENSAGINQISSIFSKRVFQDVTRYNATNNLSRYTHGMSSSGGKLPAHGPRRIIVPSRHASDPFVPAMKRRFPVSNQENRYYIALCRLADSSKWQSYDAVDIDNVRAKFSSFGHSLKKTGVVLPFVMSVFCRFLFQNNHPSKSKKHYFFPSIGAQLILDPDFVDQEKVKKSFLGAASARPLHLCDMLFFPILHGQHWFVLVVDIKDRMLVFLDSLHQPDDEFFEPILPLLLKNLQIVWDKYERTPMNFSTFKIKFPPVPRQEYSFDSGIFSMKFMEIWSPRIILSNQFTAQNINNIRVQYANQMFFHPNNKMLQTEVENVVVNWFDSARFPSNHRAIDA >KN541518.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541518.1:13039:16507:1 gene:KN541518.1_FG002 transcript:KN541518.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDYNLVGGYNPNIANNLNGNMSYSCACAGALFLVLFDSTIVKCILRALRKNSSLLSANLDKVVKPNLAFLKQCGIDARDVASNPNLYSSRLFTSNPMKLREAVARVEELGMVRGSRMFHRGLIAVAFLSKEAVATKTRLLVELGFSQDDVSVIFRKMPSFLTASEKRIRRAMGFLKGDVGLEERYIARRPVLLLYSLERRLLPRYYLLKVLRTKGLLDCKLCYYSTAALGEKKFIERFVHPYEDHIAGLADAYGSICSGKVANGVAPLLGL >KN541518.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541518.1:11941:12651:1 gene:KN541518.1_FG003 transcript:KN541518.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNLLNPGVQKSAKPILAFLEQCGINASDVARSSTMYSSRLLTANPEYLRDAVARVEELGLDRSSRRFHRGLVAVALVSKETAARKIRLMEELGFSQDDLLVIMRKLPNFVALSEKKIRRAVEFLKRDVGLEGRYIVQRPVLLSYSLERRLLPRHCLIKVLRTKGLLNSELDYYSTAALSEKKFVSKFVHPYEDHIAGLADAYASGCSEEGNGVASLLSLQTEMDGVQVYAGKSG >KN541518.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541518.1:3482:10744:-1 gene:KN541518.1_FG004 transcript:KN541518.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKGEAKKKKKICSKSESQLQRKFKEGMKSDAKSNGGTQLQNRETEEIMKIKGQSGTGGHHLQGMKSDAKSNGGTQLQNKETEEIMKIKEQSGKNVFGESTQLSNMLGTGAEQVIKEKELIGTKKFGECSQLSNMQDRAAEQVIKEKELIGLFTPRVTYSPQALHDELTMLNSQTTQPGNMSYTDLMQHVINSPRVTLQPSQEGQQNFTRLFQTPTAYHNSMILTTSRFSPNLGTNHALPSIEWNYQEFDEIYNNSSHNTYEISEWTTIEDLYELKNTYFKSQGKSSSFTNGDEQPAIYTNNEQEYENINDTIFDTEYSKDFQSRTKKPKTLWFNYLIEEQASELYNLEIFKKFQLELKETLSLQVSVLQQGKVYEVFVSPNSIQKEYRPRKHIVIVDLPNENYSCICGKFSKDGMLCSHVLKVMLDLNVRKIPEKYIIERWRKKERKETKKHIMQKENGDNSVLMFNVLSRKGADIASKASKRKRTYDYLVDELDKLEKNIDLMIQQEDQTQFSQHQGSGIEIANAEQQEQEKDVQEEHIEDPDTANTKGRKPKRYRRIVEKIIESSKKKIEEQEDAEENQNKARPKRKNNEEKQTANSATKGKRKATEDKGTNKKQKSKQG >KN538701.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538701.1:426494:433785:-1 gene:KN538701.1_FG001 transcript:KN538701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGGGGVARRGGRMWSLGRQGSMYSLTLDEVQSQLGEPLHSMNLDELLRSVFPDGLAIADGAGAGAGAATSSHQQHQPGSGLLRQGSITMPPELSKKTVDEVWKGIQAAPKRNAETGGGGGGRRQRERQPTLGEVTLEDFLVKAGVVTQGSLKELSDAGNVDPVGRGVTTTGTVDLAPGSHWIEQYKQQIASTDAHHHGQQGVQGAYFPNRLVPQPLNVGPGAILEPSYSDGQTSSGMIGGMSDSQTPGRKRGMSGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENVRLKRQKESDYLFCEKFVLVTFLTKFCGKRSHLRWFMRMKSSHVKIEGSKVEQTLAFRDISPQAPVHIIIIPKVKDGLSRLSKVEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGCEHKLDPIETVSLYITSIFIF >KN538701.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538701.1:400272:403032:1 gene:KN538701.1_FG002 transcript:KN538701.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTARRTRVLVDPTGQTGRSVVTVFTVQEKCTNQGRQAGRQAPVGGCSWSFFGELGVVFQAAGSPELDPAEERISGRDLEGSKLRKSLQFRTGLFSWLDDSFQEQKGLHKSVAEDFCFFLAPRGILNLSWELRGAHLLSWTMHPRARIHADPAPEFDQFDCLPDPVVLLILNKLEDIDRVVATDGDADDALNLSSAKPKNIFSHFFKLMLFTIVKPFHSMRNPNGTGRPLFPLAQHSPVHVLRNFSDVWNLRVELPSGDVGTEEGVLLKWRAEYGSTLRNCVILGGTLVDRKPIGAEHESLVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLQSIIKDHPTLRSLVLADADGQGTLYMGMEQLREFRENKLSASACSNRTQVPACNMKLKYAPYLELPGGMALQGATLVVIKPSNDGGSGGHNSRKETEAFVSSAFDEPFRFAVKTLMKRRTYLLEMNGF >KN538701.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538701.1:347899:354723:1 gene:KN538701.1_FG003 transcript:KN538701.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLMMDNYLLCSAMKGRLSVYSDSQLILVKMNRESNFMPTPDQDVLEVKPLRTLAPMFPAPLGVDVLNRSTAPPLVFVVPAGQFPGVFGSLNIPAVRSFAAFGCQDASGGKMAAGGDQDASGGKTAAGGDQDAGRGETAAFGGQETGRGEFVANGAPNVGASATGPIDATPISACKSTQPTSGRKIKRPSHLKGYNISDGLGTDSSNGTKKRPKTSNRKAATDNEISLMPPSSDPREVVEVLLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANIGKRIGAVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNKFGDEDDSVAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEEKQDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKERTKTGINCFKYKLQREPGQPDAVAIWKMCQRWVENPAARGKVLHPDLSSGAENLPVCLINDVNNEKGPGHFNYITQVKYLKPLRSMKPFQGCRCTSVCLPGDTTCDCAQHNGGDLPYSSSGLLVCRKLMVYECGESCRCSINCRNRVAQKGVRIHLEVFRTTNRGWGLRSWDPIRAGSFICEYVGEVVDDTKVNLDGEDDYLFRTVCPGEKTLKWNYGPELIGEHSINISADTFEPLPIKISAMKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDIGCESRGVGSRAKNCLCGSSNCRGFFS >KN538701.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538701.1:479536:484597:1 gene:KN538701.1_FG004 transcript:KN538701.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVVPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQTPSVSHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSSSHPVHQDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGINQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGFNVSPGDMAGPSFHGPLRQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQETLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLPYMATQVQDSLML >KN538701.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538701.1:327177:336625:1 gene:KN538701.1_FG005 transcript:KN538701.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVSGRLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRGFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSGTLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNGSLFDLEKKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGLLNLGYSTLLAESGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKATVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDTDHMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGMTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSGEELDGHVHKKLNGKAGVLPSMKEIVQRQTDEFLRNLRENNATAPKNPSFSETEDSYQTAHDIVLGLADCIRQNGGANPDGDHSLVASAVSAIVDNAGHAIAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVAASFAPPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGIVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYANANKSSKRKLEVTSIEEREVDGLIDSRKSSNSKSLNVGTSPEGHGSTQKYFTQEALAELVLPCIDRSSSEFRIVFAGDLIKHMGVISEHIKAAVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADR >KN538701.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538701.1:472368:477551:-1 gene:KN538701.1_FG006 transcript:KN538701.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAFFCAMLLISVQHGVLGGYTRSDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKMKDKSTGDVASDGYHKYKGDVKLMTETGLEAYRFSISWSRLIPNGRGAVNQQGLKYYNNIIDELTKQGIQVHVMLYHLDLPQTLEDEYAGWLSPRIVEDFTAYADVCFREFGDRILHWTTLAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINVLSLWSYPLTDSTADLQAAQRYKDFTYGCFCNGSIVGAVKNSCKNRIMINRKGGRLRFIARGKQAPTQSMGDPHGLQLMLQHLKESYGDLPIYVQENGKLKLDLLPVFSGKASSNDSLDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFDDAALSRRAKRSARWYRDFLKSKRQPVQIAQQ >KN538701.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538701.1:454639:456872:1 gene:KN538701.1_FG007 transcript:KN538701.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >KN538701.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538701.1:395276:396653:1 gene:KN538701.1_FG008 transcript:KN538701.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSRPREHAEHIAYGDAFGELLGVVDLRSEEALQEATRVGGHHLLPTPPGRISPESSIAARVDTDEVNERGISPSAPTTTPSPPPFPGDTSSRASAANWDSSVAEDDSSDMVWRCPRLFAATDTCTESVEVRGRRRSGAECGNAGWESDAEMVGVFPRS >KN538701.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538701.1:356299:363674:-1 gene:KN538701.1_FG009 transcript:KN538701.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSESAWPQQSQQLQISSTMPAGSARPEEENLENLEQPLPLLMPSSEDHREQQLVPVPWLQQDQDLEWHEQEQFLLLPWLQHNKKQLQNQEQLQRDQEWQGQLLPLQNQDQELDQELQNQEQQDQEWHTQEQFLPLKNQNQEQLQDQQPLQDQQPLQDQEETRRYLGVPGIRFVPSDIELILDFLRPKLRGEQLPSYSYMHVCDVYSDHPKELTSKLGPSREGNWYMFSPRNRKYNKGKRPSRSTGQLGFWKSTTKNEAVLDALSDNMLIGYKACLTYHEYDESMPTPKLKKENAIKTPWKMWEFVCSNSNRPFDAEEEPMRLNDWVLCKVTNKDNKVTTKKFKPQRSKKPKKLQQEEQPQNQGIVIRQPESYRETSNLTSNGSPVHRLVEQHSIDYWLWADLIAPESQRLLKNVIRVRCQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSRRSTLLFFRGRLRRNAGGKIRSKLVTELKDAEGIIIEEGTAGADGKAAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSSDAVQPGWLVKYLRSIDAKRIREMQSNLLKYSRHFLYSSPARPLGPEDLTWRMIAGKLVNIKLHIRRSQRVVRESRSICSVPNPVMIETFYSDCMTPHVKEQDVL >KN538701.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538701.1:413761:419140:-1 gene:KN538701.1_FG010 transcript:KN538701.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKTVENLSFYLVLKVHAVRLCLLVWAFDRWAGVRGVLQKKNAEGINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLVIVANNCPPLRKSEIEYYAMLGKVSVYHFNGNNVDLGTACGKYYRVCCLSVVDPGRKAAAAHHEHAVCCMKIGRSGRLRAAFALFEAGKCYMKALEPDDEEMTSRTVSDLEKSLRMLVLENELVMAAEVCVELANLYAMLKQWEKVRECREKAAEFHAKTSDALFDTSTI >KN538701.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538701.1:448176:450024:1 gene:KN538701.1_FG011 transcript:KN538701.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMRKKKKAFDSAAATTLLSPPRSSSSSSTASSCIVPPRTESGKKKSKHRKRAKDGTGGDDDDAAVAAAPRKGSSIYKGVARHRGSGKYEAHLWDKQGWNPNQTRKRGRQGAYDTEEAAARTYDLAALKIWGSDHVLNFPIDTYRKELERMQRMTREEYLATLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRAVGKKYLYLGTFGNAFMVLFTGYILFLTP >KN538701.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538701.1:457716:460190:-1 gene:KN538701.1_FG012 transcript:KN538701.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNSSAQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSRQLADYIRTPLEKAGGMMALVDVYCLYNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDALQKGISPSDAAFTLGIAPALAKEHLLNAESIGIRWDVSPDGLRFYINLFNEIDPQNMYIRGDALY >KN538701.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538701.1:462220:469436:-1 gene:KN538701.1_FG013 transcript:KN538701.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAFFCVLLFLSVQGGALGYTRSDFPRDFVFGAATSAYQCVCSMRALLQRMAGALLSGTRSHMKGKRKTKVQAMSLQMGTTSTRYTGDVKLMAETGLEAYKFSISWSRLMPNGRGAVNQEGLKYYNNVIDELAKRGIQPHIMLCHLDLPQALEDEYDGWLSPRIVILHPLVFGDYPQVMKKTIGSRLPSFSQVQTELIKGAIDFIGINHYYSAYVNYRPLVEGVRDYVADRSVSARVYKTDPPTEKYEPTEYPNDPKGLQLALEYLRESYGDFPIYIEENGKGSTNDSLDDPDRVDYIKGYIGGVLDAIRNGVDVRGYFVWSFVDVFELLDGYQSRFGLYRVDFDDGVRPRRARRSARWYSDFLKGKKDPVLIAQQ >KN538701.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538701.1:411072:412214:1 gene:KN538701.1_FG014 transcript:KN538701.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEEGLEPDELEARGDRLMARGHRRASSLWFTSFKHHTAFAIAEDFRMAGLSFVLAKNWRKAAAAFGNEAIQRLKRRSPPADLVAAVALLASARCYRKILDNADEGEVAAIKPALQKAVSLYAKNNDMQSAATCCKELAEFHEEQRELHAAVHCFLQAKDYYGSGPNPNEQGVRYCRAIASLVSCRIRLLEGAAARANPV >KN538701.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538701.1:379197:381865:1 gene:KN538701.1_FG015 transcript:KN538701.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTSVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVLSSVDQFSNDSEKLKQAVGFDYLHEANSSSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHLGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSNSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNAADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >KN538701.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538701.1:424441:424941:-1 gene:KN538701.1_FG016 transcript:KN538701.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAGAYSLGSRVATLLSKGATFAAVGFAAGLAGTAISNGLISLRKRMDPAFETPNKAPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLANAAPPSVFKVSVVALRCINNVLGGMSFVLLARLTGSQKSDAPAASATAEEKERLIAVGNAIAADTVDAGRDGEGK >KN538701.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538701.1:385232:390169:1 gene:KN538701.1_FG017 transcript:KN538701.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVLWVFLLDGFAGIRFCPYCDIGVVYQLMGVRIGGFRDGFWFVLEAERVHAMALDTKQVARCLKKVLKSSIKNGYRCVSEHPILLTLGVLLYLLYRSSPGLFAFLLSSSPVIICTTLLLGILLSYGETSLPEADEDNKITPEISSLKVGNPSSDFHFEVSQRLPVPELRENTAGFKERETKQTVFIRERASEHIELEDNVPLLRRVEHEYDRFDRHEIPAALTPFPSLVNFHQGSRVGNDLSSNQDINSKGSLSIKDKADGHTSFFEGVRSGLDEKEAPFGIFSTSKNVNGRGELDENLNQETVFIDSTASRVRDISEEKPTEGEAGTSKSACAISTHQSKTLDELRINTSKGFEDNLLDSSLGSPWARVGSEDGVGSDDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSTRPDTIPKDDSDTDSHVSSQDHQTDDDSNDETDNNDAKENGEEKNKEQGKEAAFIWTVDDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFEIDNDLIDIDNNDGGRSVDDLSRFRVQVPHISVPRRNPFDLPYDSEEAAIPGSAPSVLHTRKNPFDLPLDQSNDGDVSADNNVNPGESVKASHRDMFFRRHDSFNIGRTDATPERFSRFKPYFVPETVEGSLSNFQRQFSDKIADQEDHKDLDEKDLPKEHGSPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLSSTKGKGPEDDYLLSSVGNSKTLHPVADLLSWEDGNVEFSDWVSSPKPIAEHDSGPENLQEFLNTEVASSSKTIVLGARNPAENNGNVDFISYSNNEMPSDNLGHGSMEFPSEFCNESLPVISRDLHPIPEERVVENFNVQEKHEAVIFTDSDAALTGFHVIEEQFEVGCDVSPSSEVVPSCLQASDSIQSRLVENKEVSNPFISIASETNKVDMIDLKEETAAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDAVGDFRVEATRSDQQMPDVDSHIDNNTSNGVAESSLISPQISSNIFSNTKYASMLEHGENSPLVDDLNGTGPEFGWSLGASYDDPEQTVYNPRRRILGASPFEETNTEMKPLFDETEASFVNAPIEANLVVGPSKVDVANESELTKTDTDMVVLDANSLEDIETAFKQASDGVVESTVDNETPQVSGVDIDPEPIESSEQLDVIDAKSVDDIYAALKEHTTAAMNSSFEENEDKHGCSDTVKFTMHDELPEGTHIEGNTVGDGKEPEPMGTTSSMDVIDAKSVDDIYAALKEQSSAAANSSLEQNEGKNGCGDTVTFTTHDELPEGTHIEDRDNTVEDGKKPEPIGTTSSMDTIEVKTIDDIDAVFKKLSDGGTKSTAQAVDYENTCEGSDESEQH >AMDW01027590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027590.1:49:279:1 gene:AMDW01027590.1_FG001 transcript:AMDW01027590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RPNAAAAAPEGYSNGRFGSVPACSEAIAALEETSAGEAKEKDCSVCLEAFEEESDKLLRKMPCCHAFHESCIFEWLQ >KN540483.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540483.1:7892:9233:1 gene:KN540483.1_FG001 transcript:KN540483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKKRYKFLSDFVPQKVTAEDALKALVSSQNVTTRMRASGPQDLVALVSIGGWSPNHSAPQPAIILNPSFSRRRQWRRRQLRHFIEPEFLITEVMVSLLPFGRVSSIREPELLSTEK >KN540483.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540483.1:25595:27121:-1 gene:KN540483.1_FG002 transcript:KN540483.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLTPSLQDLLVSSLMILLVLLYIACWRSKYQSLFPVDWPIVGLLPTLVANLHRLHDKITEYLVASGHSFTGNGPAASNMRLFVTCDPDNVRHIFTTNHGNYPKGHEFAEIFDIMAGAFFTMDGELYRRQRDKTHSILSDPRMVASMASSCVDKVRDGLLPLLTSMASTRTPFELQDLATRLMFDVTAMPVFGVDPGRLSPDMPSMHVSAAMDTIMEVGLFRHTVPMSCWKAMRRLKIGPERKLAAAHAALDVFVSEMVEKARKQEAAPSSMSMDVLSSYINDPDYVGLLHSILITYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAHNIATSTCNGDGVPVMFSPEDTKPLVYLHAALLETLRLYPPGWIERKTVVADDVMPSGHEVRAGDAVLISIYSMGRMESLWGEDCRVYRPERWLYDSRGGRKMRHVPSHKFLAFNSGARMCPGKSIAVMLMKTIAAAVVWNFDLEVVEGQAVVPKLSCLLQMKNGVMVKVKKRAV >KN540483.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540483.1:22557:22889:1 gene:KN540483.1_FG003 transcript:KN540483.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGWWEGDQRAGQGRAESRGEDGSDVTGGGQLASAGRGGEGWRRRGPVEGNEGRAATVMATTEERQKGMPARQGRGGGGVSAAHLVGIAGWASRRCEDTEDDAKTAAG >KN540483.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540483.1:13488:15640:-1 gene:KN540483.1_FG004 transcript:KN540483.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMYALREKWATVYTDGKSFLGLRSNRRNEGLSSRIHRHLDRKMSLIDVIEHFMCCVSRMRRNEAEMDAKASQSVPFTKICAEALEKSAARFFTHVIFREVRAEIRKICKWVIVEMDRYRLLHNVANEALFKASLSVERSQQVIDFFENFMWEGAENEGSVDETTLGPLQSHFSLSNQPSGERVLDPHKIVPKGRILSKRLKPCRTFSSEILNFSSEISKCTSTSSETDNMKSFTKHFISMGLEIWKMEVELILMRVLNI >KN541247.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541247.1:820:3933:-1 gene:KN541247.1_FG001 transcript:KN541247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVDTLVGSCIKKLQAIVTDKAILILGVKDELEELQRRTDLIRSSIKDAEARRMEDSAVEKWLDQLRDAMYDVDDIIDLARFKGSVLLPDYPMSSSRKSTACSGLSLSSCFSNIRTRHEVAVKIRSLNKKIDNIIIKDKVFLKLSHTQHNGSGSAWTPIESSSLVEPNLVGKEVVQACREVVDLVLAHKAKNVYKLAIVGTGGVGKTTLAQKIFNDKKLEGRFDHRAWVCVSKEYSMVSLLTQVLSNMRIHYEQNESVGNLQSKLKAGIADKSFFLVLDDVWHYKAWEDLLRTPLNAAATGIILVTTRDETIARVIGVDHTHRVDLMSADVGWELLWRSMNIKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLQDQTENEWRQILGKNAWSMGKLPDELNGALYLSYEVLPHQLKQCFLYCALFPEDATIFCGDLTRMWVAEGFIDEQEGQLLEDTAERTNICSFPEAIGSLQSLQILNLQGCESLRRLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGGGNDNTKIQDGWNLEELAHLSQLRCLDMIKLERATPCSSTDPLLLTEKKHLKVLNLHCTEQTDEAYSEENARNIEKIFEKLTPPHNLEDLFVGNFFGCRFPTWLVSTHLSSVKYVVLIDCKSCVHLPPIGQLPNLKYLKINGASAITKIGPEFIGFWEGNLRSTEAVAFPKLEFLVIEDMPNWEEWSFVEEEEEEVQEEEAAAAAKEGGEDGTAASKQKGEEAPSPTPRSSWLLPCLETLQLEDCPKLRALPPQLGQQATNLKKLLIRDARCLKTVEDLPFLSGYILVEGCEGLERVSNLPQVRELRVNVCPNLRHVEELGGLEQLLLDEGMQEISQLWIPGLQEQHRQLHGDEHELEVIEWL >KN541247.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541247.1:22273:26372:-1 gene:KN541247.1_FG002 transcript:KN541247.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHASKKSPKAQDQAHPIYEITTSVISLLSGDAAVGCTHQLRPQSDEVRHKIGDKIRSLNRKLAEIEKDKIFATLENTQPADKGSTSELRKTSHIVEPNLVGKEIVHACRKLVSLVVAHKEEKAYKLAIVGTGGIGKTTLAQKVFNDKKLKGSFDKHAWICVSQDYTPVSVLKQLLRTMEVQHRQEESVGELQSKLESTTKVKSFFLVLDDLWHADVWTNLLRTPLHAATSGIILITTWQDIVAREIGVEEAHRVNLMPPAVGWELLWKSLNIQDEKEVQNLQDIGIEIVKKCGGLPIAIKVIARVLASKDITENEWKKILANNIWSMAKLPKDIRGALYLSYDDLPHHLKQCFLYCIVYPEDWTIHRDYLIRMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPIDTSFDQSRCKMHDLLRQLACYLSREECYIGDPTSLVDNNMCKLRRILVITEKDMVVIPSMGKEEIKLRTFRTQQNPLGIENTFFMRFTYLRVLDLTDLLVEEIPDCVGYLIHLHLLDLDGTNISCLPESIGALKNLQMLHLQRCESLYSLPSTITQLCNLRRLGLDYTQINQVPRGIGRLKFLNDLEGFPVGGGSDNTKMQDGWNLQELAHLSQLRQLDLNKLERTCPWSSTDELLLTDKKNLKRLNLCCTEPNDEAYSEEGISNVEMIFERLTPPRNLEALMIVLFFGRRFPTWLSTSRLSSLTYLNLIHCKSCMYLPPIGQLPNLKYLKIVGATAITKIGPEFVGCEVGNLRSTEAVAFSKLEWLIIEDMPNWDEWSFVKDEEVQEEEASAAAKDRAAALKQKEEEALSPRSSWLLPCLKQLQLVGCPNLKDLPPQLGQKATSLTDLFIRDTRCLKTLEDLQFLSGYLLVERCEGLERVSNLPQVRELRVNDCPNLRHVEELGSLEELWLAKGMREISSLWVPGLQEQHQLHGDEHELEVNEWL >KN541247.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541247.1:12991:16094:-1 gene:KN541247.1_FG003 transcript:KN541247.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVDTLVGSCINKLQAIITDKAILILGVKDELEELQRRTNVIRSSLQDAEARRMENSAVEKWLDQLRDVMYDVDDIIDLARFKGSVLLPDYPMSSSRKSTACSGFSLSSCFSNIRIRHEVAVKIRSLNKKIENISKDKVFFELHRAQHNGSGSAWTPIESSSLVEPNLVGREVIHACREVVDLVLAHKKKNVYKLAIVGIGGVGKTTLAQKIFNDKKLEGRFDHHAWACVSKEYSRDSLLRQVLRNMGIRYEQDESVPELQRKLKSDIANKSFFLVLDDVWNSEAWTDLLSTPLHAAAEGVILVTTRDETIARLIGVNHTHSVDLMSADVGWELLWRSMNISQEKEVQNLKDIGIEIVGKCGGLPLAIRVVAKVLASQDQTENDWRQILGKNAWSMSKLPHELRGALYLSYDALPHQIKQCFLYCALYPEDVTIYRDDITRMWVAEGFIENQEGQLLEDIAEKYYNELIHRNLLQPDGSYFDNKACKMHDLLRQLASYLSREECFVGDLESLGSNSMGKVRHISVVSEKDIVVLPSRNKDQYKVRCFTNLYGKSPRIDNSLFKRLVCLRILDLSDSLVHDIPGEIGNLIYLRLLDLDRTNICSLPEAIGSLPSLQILNLQGCESLHNLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGEENVSNVEEIFEKLTPPHNLEDLVIGNFIGCRFPTWLGTTHLASVKSVILAYCKSCVHLPPIGQLPNLKYLKIDGASAITKIGPEFVGCLEGNLKSTEAVAFPKLEVLVINDMPNWEEWSFVEEEELVQEEETAAAAKEGGVDGTAASKPKGEEALSLTPRSSWLLPCLTRLQLVGCPKLRALPPQLGQQATNLKKLFIRKAECLKTVEDLPFLSSRLSIVGCEVLERVSNLPKVRQLRVNHCPNLRHVEELSGLEQLWLTKDMQEISSLWVPRLQEQHRQLHGDEHELEVIKYL >KN541247.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541247.1:10408:10657:1 gene:KN541247.1_FG004 transcript:KN541247.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEKGTGRCCERALRRANEDILEPLARAAVEREGGRLVQENWEDNFVPVT >KN541247.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541247.1:31374:31601:-1 gene:KN541247.1_FG005 transcript:KN541247.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding QGCQGLERISNLPQVRELFVNRCPNLRHVEMLGSLEQLWLTKNMQKISSSWVPGLEEQHRQLHGDEHKLEVNEWF >KN543157.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543157.1:7642:10339:1 gene:KN543157.1_FG001 transcript:KN543157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASSLYKKASHFALLLYLRLAGSPVAFPEQLVVQIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKRRFGGDICPHCGQLFDFSKAASSDRNPSLGSCTWPSQVQHAAVLGLEDSRMEKMRAYAEQTKLLEEYYRKQKKLMELKTSARPGETWQGLVAALHLQHLDASPTPHKLTM >AMDW01080995.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080995.1:1212:1493:1 gene:AMDW01080995.1_FG001 transcript:AMDW01080995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYCSSMGGSPSSWAVAMMAAPPVPSSRLWIKVEDKVFESALMAFPEHTHNRWVLVASWLPGRPAQDVWEHYQVLMDDVNLIEHGMIASPGY >KN543478.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543478.1:93:4760:-1 gene:KN543478.1_FG001 transcript:KN543478.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCRPPSYGPWPNMNHLHPPEFHSCCNHTYPPGYYSFRPPSPQEIPPPHLYYHGPFPQQPTAYPSYFAPPPPYPVDQTTYGYDKFKSHCCGCPNHSCHGSERSNIKIEEERPEVNPDSEQRDASGSDIVRHPNYQNQAIWLPSGNMNDKENKKSMELPPQFYNGWIPVSGKWVGDVKQQDQDDQKAKQFQWPIFWMPAGYNEKRQEAKELKEVDESPKVSEEAPPSPKIKIIPLSWFENGHNDQKPSVKDESHHNERSTVKKQSAGTEHQDGREMKNIPLMPKKESEEKKPARENYKTIPVMPRKDNEENKPAGGNYRIIPVMPVKESDDKKPEASVQRDEKKASSTEKEEENGKRSNKESSKAKNSKLPPVCLRVDPLPRKKSGSGSSRSPSPPTRKDADIAKKDVKEIHMQKQDAKQSDPKKERTVSEAKEKAHDEMNKGRAYGNETVQAASVKQMQEEQFPMSLADQKVQATGVNFDAQENVGEKNLQGSDKNTEGEAKIQGEPAKDYDTTPRINFSEVDAAVCIQSAYRGYNVRRWQPLEKLRMIKNVNEQMKDLKEQLQGIEASSKQLTVKEQVAINETIMNLLLKLDTIQGLHPTVREARKSVARELISLQEKLDCLCKQSSGESIHTNGEKEKPEAMENNFQNTDPVSAIEASEKEKAAGVDEEQGLSTINSKLLMPDAVSSVVSMDTTQDADPSDHIEESNTTKEEAPNNGGKVATQCDCQGEPSMDVMGDAALLGHSTEQKQQIEESNAISMDKLCEREKDVPPVGGQEIPSGDHMEPLHDEALSEDSNELQQCTTSERSSIVISPAAADNSMITMAATSVESSVSADKVSPVEGQVTEAAVEHAPVEKDQCEEPNTTIVDSGDSSVSLKNEELQDHDQAPSESSIMSNSAEQPEEASDVNMQQQVENVDTTQDATEESDATPEIGMVDVTYADTENYVQSPLLQTTSKLQSTTEQNVLKEPEAAKQSDVSGEYESVLVGKQNESANNLTGDSAKEEPPLVGLGMEADTHESSPRELKDEPILPETERSELSCEHGDITGHEDSEMYAPPECETDVQKESCCVDRRRADMQVPKEVERDELGDDNPKEDASVQTENMASEEASLASATPDGMKDENKIAEETTSDYATPYSSKSDNENKLAEENQKLKEMLQNLLASGSDRMGVIMELSEKVKTLERKLARKKRPK >KN539694.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539694.1:52267:52838:1 gene:KN539694.1_FG001 transcript:KN539694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDTDFIGEKNGSPSDVDPSLHYDSDYLPKENNNRSSSSSKRPKGSKTDKANNSNKETRSLDVYFNNLDALLKNFVIKHYIL >KN541213.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541213.1:3961:6155:-1 gene:KN541213.1_FG001 transcript:KN541213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTAMRPDRYIHDSGSQFWKGIMNIRKWLKLGSEWALGKGDHIWFWHDVWHGTCPMKICFARIFEICNQQNILVAELGRILSSKDAENFDMITRKLMDATSTLRQPRSGVG >KN539694.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539694.1:28092:30197:1 gene:KN539694.1_FG002 transcript:KN539694.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLNFHGAILQLPLVFFMGYHKMYTARRKIQKDKGLEPTEFEDTVAQHLKLWILTICGGQAFFDLENGNQELKSDLKDLYINGAVQMDLPGNRKAVIIHVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMTETA >KN539694.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539694.1:60284:60796:1 gene:KN539694.1_FG003 transcript:KN539694.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIRRRRGPATEVETSRVIRFVRGDEGGEFEESEWRALRVNTNSLMHLRLTLANLLGHNRDALHTTVCVRAGAYAQLSPLLVDLPIGNDRIDVVVLSHGTPAEDALKYPCVDA >KN541213.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541213.1:24513:26720:1 gene:KN541213.1_FG002 transcript:KN541213.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNALQWWEEWQLRVLVLGSLAFQYFLLFTASWRKFPIRSYLRSFIWFVYLGSDALAIYALATLFNRHKKQDVGHTHNNDVLEILWAPILLIHLGGQDSITAYNIEDNELWMRHVLTALSQITVAIYVFCKSWPGGDRRLLQSAILLFVPGILKCLEKPWALNRACITNVVLGHVKRWWKDHITDVFTYRMFNDIRGQWSLKVEGCFQGLGWSLEGAFDESVLLWHLATDLCFYHISPSHGREHATTMCIEHSSGLNNRCPTWCEKSIHHKNAVQCREISNYMTYLLFVNPEMLMPGTRRNLFTDAYNELKGVVKEKNPPLDERELAQRIIAEVQQQLEEITEEDKPPSSKRGLIEDAWSIAEELLKLEDDEKMWRVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLSYVWLLLHYMGIETLAEKLARGDLQNRGHSGNLRTFHVRESSGEEQVAGPSTSYANGENGDQHVVAPFSEDGFTLAGDENV >KN539694.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539694.1:75168:85629:1 gene:KN539694.1_FG004 transcript:KN539694.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVYVPNALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAVCSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDSALEVLSGDLGSLMGREEDKLRLQVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRRRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKFLTPSSLFNNPELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVWQYKVMLVHLYSYLGALPLAHRWYVTLEVKNILLESASHHILPQMLNSPLLQQTADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKASYQILFPRIVVSIFSQEGLGLIFAICAILLSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSETLQMQKCLLLFHFPEPFDEGSTPACFRAKACEQKSTEKDDPKIKDAERKALLPRLVYLSMHGCASSLRETELNGSGLDTDATEMKPLLVKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPSERPNVPRLQAIQRSVQCMIDTLRSVQSWLSDQMRLEEQALDILLSYLQGGNEDGPGQISYILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >AMDW01040669.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040669.1:230:1477:-1 gene:AMDW01040669.1_FG001 transcript:AMDW01040669.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWTSTLQRSILTAQPIIGFPKIQWRALDEINAGICDGMTYDEIKKIKPEEYESRSKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADKPLEELPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAVNSTAGI >KN539694.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539694.1:22662:23027:-1 gene:KN539694.1_FG005 transcript:KN539694.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKLSDIEGLKTKVLVWTKVTAIKTEGSKVHFIAGVKKTRSRDAYEVVRDGIPIDKF >KN542417.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542417.1:4:2227:1 gene:KN542417.1_FG001 transcript:KN542417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KN539694.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539694.1:66434:70777:1 gene:KN539694.1_FG006 transcript:KN539694.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDEKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVEQDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPTIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLLVSILHGKSTIDLLSGSQVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGPTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >KN539694.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539694.1:8325:19640:1 gene:KN539694.1_FG007 transcript:KN539694.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQGGHDPGAYALWHWHLIDVFVYFSHYLVTLPPPCWVNAAHLHGVKVLGTFITEWEKGAEICEEMLATEASAQMYAERLTELAAYLGFDGWLINIEVKLDIQFIDNLKEFINHLTKTMHAAVPGSLVIWYDAITIKGALDWQNKLNEYNKPFFDLCDGLFSNYTWKAKYPQESAVVAGERKYDVYMGIDVYGRNTFGGGQWNTNVALDLLKKDDVSAAIFAPGWVYETKQPPNFRTAQNRWWGLVQESWGVLQSYPKQLPFYSDFDQGHGYQVSIEGVKVYGAPWDNISCQSFQPMLKYAGDRGLQTVINFEDEPYSGGNCVTVKGSLQQNEIFSEQLFNGGLSMEGESVYVFYSVKADERSGLGLSLDLSSGNNESSSVLIADDTAAFTRKKQHRKYGSYVKADKAEPHTPVHQNWVVYKATIQPSAGFTLTGINIVCTMKTTSGTDPETDGDGSSEAGANRSLHYHASLGHVSIRNTEETEFPPARSWVTEGEYISWSNGSDESKLASLKISWELENKQQAPFMKYNVYVEKLTADSNAKAPRIFLGVASVQAFYVSDLVVPSEVTALKFFIQPCGRDGSCQGLDECPKFHLVPVDSAM >KN539694.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539694.1:33030:35916:1 gene:KN539694.1_FG008 transcript:KN539694.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQRTTATAIMPPVEMPPVQPGRKKRPRRSRDGPTSVSETIKRWAELNNQQELDPQGPKKSRKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNRQSRLWLGTFPTAEAAACAYDEAARAMYGPMARTNFGQHHAPAASVQVAQAAVKCALPGGGLTASKSRTSTQGAPADVQDVLTSGLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGSWKGNKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLSADPAMFISGGFEDDSQFFEADPAMFISGGFEDDSQFFEGL >KN539694.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539694.1:38019:45762:-1 gene:KN539694.1_FG009 transcript:KN539694.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAEGDPDAPIAYGWMDELRRLRIPELRHEVERCNLSIGPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKSRLRKVEELRETIRATEDAKCCALREYERIKVNNRSELNRLDRERKEDMLEMIKGYVTSQAAYAEKIVEGWETVAEETSGYARRSDNNMAW >KN542417.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542417.1:15354:15923:1 gene:KN542417.1_FG002 transcript:KN542417.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDPGMSRRTRRSTSLIVCYQDQHVPSLVQQLRQDDKLKTLFQCQGMELHPPYPYEDQELLILEEPLQSEGDEQETPNRYHEEQGEKLHHYQDEDPEKKVHHYLDEEPEKKAFQDQDRERKTPKQYLDEDQNTVQQCQVEEKVPNQHGDEENTPGQYQDEEQKTTKQCKEEEEEEKTSE >KN542417.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542417.1:9025:12608:1 gene:KN542417.1_FG003 transcript:KN542417.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIISLASCLGYMSGNSSAPKPSCCTALSSVVTSKPACLCAVLGGGASSLGVTINNTRALELPAACNVKTPPASQCSSSTYGGGIFLLDIVFQIEYPFKPRMRLAFI >KN539580.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539580.1:48081:52716:1 gene:KN539580.1_FG001 transcript:KN539580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAVLSSGELGARGRTRPHVVFVPSAGMGHLLQFFRFIGALSAHDVDISVVTVFPTVSAAEADHFAALFRDYPSVRRLDFDLLPFDASEFPGGDPFLLRWEALRRSLHLLGPVIAGVTPPVTAIVTDVTLVSHVNPIAKDLGVQCHVLYVSSAAMMSLCSYFPIYLDNKAAGADVGDVDIPGVRRLKRSWLPQPLLDLNKLFTKQFIENGREMVKTDGVLINTFDALEPVALAALRDGKVVRGFPPVFAVGPHSSLASEATKGAAAEAEGSPMAWLRQQPARPAGDSSRSAAIAPPSDPSQLLSSEDNNQFPTPKRPGVTANQFSFTGSW >AMDW01033589.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033589.1:171:456:-1 gene:AMDW01033589.1_FG001 transcript:AMDW01033589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QFEPFRWHLQSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAED >AMDW01039545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039545.1:118:414:-1 gene:AMDW01039545.1_FG001 transcript:AMDW01039545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AISELTHGKKEEVSRTEFQEVLSDILLGMAAGLKRDPIVILRIDGEDLRDFFDSPRYEPEAAAIFSKIGSEDMSLRQCLLAALQLLNVDNGMPPASDPW >KN539580.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539580.1:16744:18676:1 gene:KN539580.1_FG002 transcript:KN539580.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIVIKDLPEFTPTMCSMICSSSDTKPDLTVAAVVTCATSVESSMEMVATGSTIDDTHIDTLDSTKVMPANCSTVGLDVNGGADHTRVTCRTMMGVPEGVLVPDASSKVFSPWLMAEMDLIPLLPSGCSMKCPKDKKLLMGNAKRNSWPPSWLGGVIRRWELQPLHWPGSKLYLEGLPLMPPWPPLAGVSFLAWEPFDIGVLVIGTVILTQEMAGLKPWPPPSLVSSLAWGMEGREVYGLAMQGHHMNSQSMELAHIISKELARIMKERQLSNKELQYIFEGASPGQMCINPKALIHDGSLRSLLSKLQVHSIPNALSFTKQEHIKSLSLSHCSDIMVRFDLTWNLEVHLDSGGVLLQFLNAAALLYHRRVAQGYRSTLKLSICESISMLQVIKSIAANLIWDVEARNRLVVKKQDEDFSGNHWASFQTKMQRMLTPRLAKLCNRDNTHLTMTGMRGTRGYVALEL >KN539580.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539580.1:72471:73461:-1 gene:KN539580.1_FG003 transcript:KN539580.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLAYLPTYLDANAGGGHAIGDVDVPGVCRVPMSSVPQALHDPDDIFTRQFIANARCLADADGLVVNAFDALEPEAVAAHCGWNSVTEAAASGVPVVAWPRFADQRVNAGVVARAGIGAWADTWSWEGEEDDGVVSAEDIAGKVRSAMADEGVRKAAASVREAAARAVAAGGRSYRSLAELVRRCRDRLVITNGT >KN539580.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539580.1:79277:80761:-1 gene:KN539580.1_FG004 transcript:KN539580.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGDAAGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSTAESKHLDALFDAFPAAKDPQANYMEWLDAQPARSVVYVSFGSRKAISGEQLRELAAGLEGSGHRFLWVVKSTVVDRDDAAELGELLGEGFLERVEKRGLVTKAWVDQEEVLKHESVALFILNWNKK >KN539580.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539580.1:8846:10509:-1 gene:KN539580.1_FG005 transcript:KN539580.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGHSLAWPDRGAEKDDCLELRKTQGFLVNVDVYLIESDHVTNAILELIPVFHVQQLVLGVSKSKLRKFKRGNTIAGQVQKNAPLYCEVKIVCDGKEVTTVPTADPTPPISPSPVNNKSNSISPTPLSPAPDHNNRAVADDDKKETNPNERNKITKYLKCFSF >KN539580.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539580.1:76401:76637:-1 gene:KN539580.1_FG006 transcript:KN539580.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLVGSGGLEGDGSIIGGLGGTRFVAGEVVGGGSIAGEPGGGRSVAGKLGGGGSITDGLGGGRSTVGDIGGRRRRA >KN539580.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539580.1:5531:7788:1 gene:KN539580.1_FG007 transcript:KN539580.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAMPRAPPAAPNIQSLKLCSQNDSSLETTSPSKRSALVPGRSAESSKPNSEVVQKEQKSTQHQNESIDLTGSNDPAEVKAEGNLVPKRLADEEKGVVEDGIANGSLKSSSALGKEHGIASASGSARLVGRSETGEIGFSSSRCRPSTSSDVSDESACSSISSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDAEALRKNNQAYCVQPACVEPSCMIQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEIERPPKQPVSTSESAAAPSDAAQKSSDSYLEFDFF >KN539580.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539580.1:25112:25312:-1 gene:KN539580.1_FG008 transcript:KN539580.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWSWDGEEWLVSGEEIGGKVKEMMADDAVRERAAKVGEEAAKAVAEGGTSHTSMLEFVAKLKAA >KN539580.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539580.1:92202:93314:1 gene:KN539580.1_FG009 transcript:KN539580.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLFRMALIKSKEHLAFLEKDQDGLASLAVIGRGGCGEVYKAQLPPEREGDAPRFIAIKKIKKRSGDGSGGGQNNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYDFMNSGSARNTLKFTAKCDVYSFGVILAVLGTGKEPTDRFFAQQVVDDVGIVRWLRRVMQEGDPAAQAGVIDAAIAGAGHDEQILLVLRIAVFCTADDPKDRPTAKDVRCMLSQIKN >KN539580.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539580.1:36001:36780:-1 gene:KN539580.1_FG010 transcript:KN539580.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGRWRLDLHGLICLNLCRRTARTVSGQAHTNTKEKEKKKKFTGGTDQSVKINLVKKERKSLLRIHGHTIQVHKNIKNALAAPTSTYLQQQQASIFGSGSVEGQENTAESGDARAQSALVRGCTADDHLLGPGLCRAIEMRERRLR >KN539805.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539805.1:75527:81150:1 gene:KN539805.1_FG001 transcript:KN539805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.5 [Source:Projected from Arabidopsis thaliana (AT4G31120) UniProtKB/Swiss-Prot;Acc:Q8GWT4] MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSNMVGYSLIRSTLPSMNSLGRWFGEPAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNATNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >KN539805.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539805.1:67059:70212:-1 gene:KN539805.1_FG002 transcript:KN539805.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWWWHGRAAAVACWVWLAAAAAEAVEAIGANWGTQASHPLAPDTVVQMLKDNGFDKVKLFDAGEDTMSALRKSGLEVMVGIPNDMLAAMASSMSAANKWVDQNVSNYLNDGVKIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQSALVKAGLGSQVRVTCPLNADVYQSSTSKPSDGDFRTDIHDLMLTIVKFLSDTGGAFTVNIYPFISLYSDSNFPVDYAFFDGAASPIVDGSATYTNMFDANYDTLIWALKKNGFGNLPVIVGEIGWPTDGDMNANIQMAQHFNQGFLTHIATGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGQSHGLLKAKNVKYLERKWCVLKPSIGLTDPRLSDSISYACSLADCTSLGYKTSCGGLDTRSNISYAFNSYYQKNDQDDVACGFSNLATITGQDPSTGTCRFGIMIEVDSAFSWKLQHVRSSNLLMLLLVLLQLCLSFS >KN539805.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539805.1:50247:58451:1 gene:KN539805.1_FG003 transcript:KN539805.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWDWGDGDSFTRSNPTKLEIPGIKLGEDEDVTEEAVLTSLKRAIWRYSNLQAHDGHWPGDYAGPLFLLPGLFYPGYSTACDWCTKYCAIIRTSKGDSPVLGVFDWSGNNPLLPELWMLPYFLPFHPGRIWSHCRMVYLPMSYIYGKKFVGPITPIVLTLRKELYNIPYDDINWDKARNQCAKEDLYYHHPLGQDILWATLYKFVEPVLSHWPGSKLREKALKNAMQHIHYEDENTRYICSGAVQKVLNMLSCWIENPNSEAFRFHIPRVHDYLWVAEDGMKMQGYNGSQLWDTAFTVQAILATNLIEDFGPTIKLAHDYIKNSQLLHDCPGDLSYRYRHISKGAWTFSTADQGWAVSDSTAEGLKASLLLSKISPEIVGEPLKVNRLYDAVNCLLSWMNNNGGFATYELTRSYAWLEILNPSETFGDIMIDYPYVECTSGVVQGLTAFRKHYPGHRREEIDNCIQKADSFIQSIQRSDGSWYGSWAVCFTSGTWFGVKGLIAAGRAYENCPAIRKACDFLLSKELPCGGWGESHLSCKDKVYTNLEGERPHAVNTSWAMLALIDAGQGERDPAPLHRAARILINLQLEDGEFPQQEIIGAFSKNCAISYSQYRNIFPIWALGEYRCRVLLGRQDASVTGNTAS >KN539805.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539805.1:9651:26123:1 gene:KN539805.1_FG004 transcript:KN539805.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGALLRSTNGFIGRAVWEFDPDHGTPEDRADVERVRREFTDHRLRRRAAAGLLKRMQFAKQKKLQRRRDSIPPAVKLGEKEQVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLILGVYEWPGNNPIFPELWLALQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYPAHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYRWVEPVMSSWAMNKLRGRALDALMEHIHYEDENTQYLCICSVNKALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFMKKSQAVLLLTKISSNMVGDPIERERLYDAVDCLLSFVILKPCESFPNMVVDYPYPECTSSVLQALVLFKELCPGYRTEEIEECVRNASMFIENTQRGDGSWLGSWGVCFTYGTFFSVQGLIAAGRTYENSSSIRKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFMKKSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSNMVGDPIERERLYDAVDCLLSFVILKPCESFPNMVVDYPYPECTSSVLQALVLFKELCPGYRTEEIEECVRNASMFIENTQRGDGSWLGSWGVCFTYGTFFSVQGLIAAGRTYENSSSIRKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQEHVGCFNCSLLFNYPNYCNIFPIWALGEYCRHLRSKRHA >KN539805.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539805.1:40121:42589:-1 gene:KN539805.1_FG005 transcript:KN539805.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHRQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYFEVCDVVDRLFVAMFDHLNKNCAKELEAINRQYPFKPLKCNANYTEELTEHGDQFLQYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTEAEKKLGELVHAKYDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLARQAEARGIDVGSIAAYVDAFRYGAPPHGGFGVGLERVNSI >KN539805.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539805.1:72601:73612:1 gene:KN539805.1_FG006 transcript:KN539805.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDFDLNETINWKDINDEWDGPANELEYRMRSGDEQWEGADGYEQADAVHVDEQGGGGRANSSGFNVDENGPSVKKDRYYPDDLKIAIYL >KN539805.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539805.1:32151:39932:1 gene:KN539805.1_FG007 transcript:KN539805.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MACNTKLSTATSSLALWPVGKSNWVSMFLASYGDCRMQRTQFAKANPLKLDIPAIKLEEHEAVTGEAVLSSLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEEGGWGLHIEGHSTMFGSVLTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATYITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYFLPIHPGRMWCHCRMVYLPMCYIYGKRFVGPVTPIILELRKELYEVPYNEVDWDKARNLCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVHDYLWIAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHDYIKKSQVIDDCPGDLSQWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPDIVGEAVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYPGHRKSEINNCISKAASFIESIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSSAIRKACDFLLSKELPSGGWGESYLSSQDQVFTNLEGKRPHAVNTGWAMLALIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >KN539805.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539805.1:59290:62620:-1 gene:KN539805.1_FG008 transcript:KN539805.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMDWNQKSSVLWDWENMPPIGNSANENPKNVMLAESKLAGVGVDIGHESGHSSGGTFSSSSEIGYGSSKSSISASIDSPSKVGNTIELNFASAKEHDKNIDKGKSKVDDTGTSRSPVVAANRVEPLIGLKLGKRTYFEDVCGGQNVKSSPPGVSVATPSPGLAKKVKVAQQNTQNPHCQVEGCNVDLSSAKPYHRKHRVCEPHSKTLKVIVAGLERRFCQQCSRFHGLAEFDQKKRSCRRRLHDHNARRRKPQPEAISLSSSRLSTLLYGDARQQASILFGQAPYGQMGSFASSWDNPVPGGFKFTATKAPWSRPTRAAGVDGTHVSNQQASGNVLPHGAHHSFDGLMAFKETNAKVLNQGMEASAVASGSARGPDFERALSLLSIDSVGAANLQPGSQIHPGVTAIAGTSNPVMMQSPAFWQGGLSLDQQAQFQAFDRLGNDDDNDHLQLPKPSYDNSHYDQMN >KN539644.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539644.1:56205:62369:1 gene:KN539644.1_FG001 transcript:KN539644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MGGRSDLDRWDFTETILERWIIFEKDVRMHGANEQIIVLVQQSLQWSESTLDSDAEEFVLEPGDYIVAMEYLMEKESLLLGSSTGCLLLYNVDEKTTEVVGQLEGGVKTIASSPDGALISVTTGLGQLLVMTNDWEVLFETSLDPHCDLAGDINSPNGQIQSSISWRGDGKFFATLGGLEGSSQKLTIWERESGNIHSSSDTKAFIGASLDWMPSGAKVATAHDRKTEGKCPLIVFYEKNGLERSHFSIDEPAEAVIQALKWNCNSELLAALVSCGQYDVIKVWSCSNNHWYLKQELRYTKKEGVRFYWDQTKPMHLICWTLGGQVITHRFAWTTAVSETSIALVIDGSHVLVTPLSLGLMPPPMSLFHLTFPCAVNEVSFLSDYSKNHIAAYLSNGSLCVSVLPVADTWEEFEGSGISVDPCFSESTLNNYMHLIWIDTRTLIGICCHADAFSSTPMRSSEASSLLEKNDSPYFINEIELVCSEDSLLGSVCSSGWQAKISKKMPLQAAVIGISRNPAKEGSAFIQLSGGRVVEYCSKVNLFRMSAPVQVSETGSDHAFPTSCPWMTAVQCHENGMVRTLLFGLDDSSKLHVGKSAYGATEQVVTHLLVTTKQDLLFIVDISEILLKNGEVTTDSHIRSHPRGKQSKEHITVWEKGAKLIGVLHGDEAAVIMQTTRGNLECIYPRKLVLVSIVQALIQGRFRDAFDMVRRHRIDFNMVVDYCGWKSFMKSAADFVKQVNNLSHITEFVCSIKNENVSSKLYETYISFPDHCATSVADTVNSHGLLSDNKVTSVLMAIRKALEVQVEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGIDDTRRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLSAIVALNSQKDPKEFLPFLKSLECLPPAIMKYTVDLRLGRYESALKNIVSAGNEYHEDCMKLLNANPQLFPLGLQLFTDPDKRHQILEAWGDQLSEEKCFADAAITYQCCSSYQKSLKAYRDCGDWRDVDRGVGYYIMAREWEEALRVAYMHSRQDLVDTVRDAALECAALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDNISEVSTSFSEMSAYTTRSTKESSASVISSSASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMSLTSGALTELKSLLVVLIQLGREETARQVQLAGDNFEVSQRAAVKLAEDTVSNDKIDENAHTLENYVKMLRAHQSADSETTCWRIKALSPPWTGVYSNSHE >KN539644.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539644.1:88688:92145:-1 gene:KN539644.1_FG002 transcript:KN539644.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCPRGVSAAVLRVAVSHTHYPVTSEVLHQVYDAYGAVAVQVLATSAWHVEALVSFMLSQDAEKARSATHGRNIYDGCCQLDIQYAQPLLGGDVDMTPTKCSMSGPSSATTRSVAENSPAAPEHVFQATMNPSTPSAASAAVAASAAVVPPVSLTATKEDEADMGKVEDKSEKTFHDLCVEIKEMINKMLETCRNSKVEPIVGDHSIGVAVVPCTVTDSVSIALETSQEIDADVDDNDDLVREEDCVENTAVETKLCHALPFCDQWMDHKEKASFNMCSTCCQGQYVGSGSVVVFKPLPPWPPPFRAKCKGSFVEQQLEPWPDPQIKQDNRSVVVNLLQPRPSPDRWYESWFSCGNAWELAQSHCKFLLTEHMALIAQYEKNRFEQDLSLCMVSKRASWNLWNLLTEGSISLMEAKAQLFRRMHWVGSKTMDQFVWNLCMPNMEKSPWPPPPHKIRTDWLRLNSHEVSSLQFNTNEFPEAVICEIGDDLQLKFLLNMLAQVRWKLKFYNSSSPPDYLFHVCLLNCGNISPGIHILLLDRVQQEVPGHAIPEHMLYPSLQFSVEFWRLAWCFHLVFKHTFAIQEVMFSNEAIMKLGDHDVAKRDTDYNISVFPMFDVSTSTRLLAKINLLVYNSRQEYMQLFQAGAKQYSPLVVRMALADHLQAPWDPGGSNLDTHLHVRKDRQQPQHGTIVFPVNVRANLEPLLQIMASMTKLIKLRSWPTIGRWGDQVLNQVHDLCKCCQDQSLFQLTVSTEMKTTWLWDLETIKHTLFMSIKLPDCSFGQRELVLAHRTKQRHVESSGHSIEHLEVVPILTQVFDEFLDTSEKTAGLPLDAPWVMLDRQGKTAQVMLRPTTVTTQTSSKSQTHVERDHQMLD >KN539644.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539644.1:32426:33661:1 gene:KN539644.1_FG003 transcript:KN539644.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVLSSVCSFRGRDTPSALLPATPNPASSINGELAEERDGIRGEFLICCLPSGLALTGFRPNRVDTSGFSLEFVRSAKGDNASFSASASAVLLRRGVHADLEIAKFSSSASSASGAVFTTSGTVTSTGMGSGAITSGAGTGTTGTSIGIVTTGAGTATTGTRTGTGAGRTNDASALGGLRAVRLEPRRRCDGQDDGVDDEGDLLT >KN539644.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539644.1:64018:69059:-1 gene:KN539644.1_FG004 transcript:KN539644.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor GTPase-activating protein AGD5 [Source:Projected from Arabidopsis thaliana (AT5G54310) UniProtKB/Swiss-Prot;Acc:Q9FL69] MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKYQSILCLFLSVHCILANVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGSSRPSSGARDEKSSESQTSVNRGGHNQRSSFEQHRTSPAAVSKIAPVVSRTPTQAPHQPKAQPSVPKVSPPQPEKSPPNATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKEAESSSNDDSAWEGFQSAEPVPSSDKKDSAKPVESKPQSTSGIEDLFKDSPAVTVSSAPAAPQVNVKNDIMSLFEKSSMVSPYAVQQQQLAFMTPQQLALLSQQQALLMAALKAGNAPQMIPGNASLLNGNGSNPANGGLPSQSWTNLAYQNPGLAPVAAQNGATKVANNNQEFSFGNFNFSTPGAYNTSSSVPANGAASAAANKSTSPTSSSLPSQSGKEYDFSSLTQGLFSKR >KN538798.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538798.1:202909:207822:1 gene:KN538798.1_FG001 transcript:KN538798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKARDPAFADRARSTTVDAVSFGGRGIIFAPYGEHWRQARRVCLAELLSAPQVRRLESIRQEEVSRLVDSIIAGGSNAAAAAAVDMTRALAALTNDVIARAVFGGKCRRQEEYLRELGVLTALVAGFSMVDLFPSSRVVRWLSRRTERRLRRSHAEMARIVGSIIEERKEKKGSDAGVGAEGEDDDLLGVLLRLQEEDGLTSPLTAEVIGALVIDIFGAATDTTASTLEWVMVELMRNPRAMEKAQQEVRNTLGHEKGKLIGTDISELHYLRMVIKETLRLHPASALILRQSRGNCRVMGYDMPQATPVLINTFAVARDAKYWDNAEEFKPERFENSGADIRTSTAHLGFVPFGAGCRQCPGAFFATTTLELTLANLLYHFDWALPDGVSPESLDMSEGLFGAAYDVSVHCDTAAAVSCTEQVHRLVLGLKLSAL >KN539644.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539644.1:78252:79724:1 gene:KN539644.1_FG005 transcript:KN539644.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTIVLLPAWGSGHFMSALEAGKRLLVAGGGAVSLTVLVMQAPTEIEASVVEAHRSGHRFLWVLRGAPAGGVRYPTDADLGELLQEGFLEATAGRGMVWPRWAPQKDILGHAAVGGFVTHCGWNSVLESLWFGVPMATWPLYGEQHLNAFEAVASMGVAVELRRTTAKDGDAARSLVEAAEVERAVRRLMAPQGGGSSEAREKAAEVSAACRKAVEEGGSSHAALQRLVREIVRVVAGHTRPE >KN539644.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539644.1:22023:30669:1 gene:KN539644.1_FG006 transcript:KN539644.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTDDLLLLCWCGGVACMGLRLSPQRPRICFNAHCKDPKSDGPRRRGWRLRNGDFAELCDRCYHSFEHGGFCETFHLEVAGWRNCESCGKRLHCGCIVSVHAFVHLDAGGVECVMCARKSHAAMAPSQIWSSSMHMAQNVADRKDNFVKSWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDRPTTPAGMNQIMRERYANGHTQHTTLDPTYAYTLYHREGTNPNLHDHGHHAGENDHLTGRKGVTSDPCSSVSTTFKLDSHHPSILKDDPSALPAGLSPNFSSANGPKDHIRIGPTQQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANGVPPPPEANNKVPVPDSSPNAAVPRPIKVNTESKSSSPVEQATACKIDKGALPQKEGPGTSSSSPLPVKRKATSVGPKIKRFRMDSEESMELKITWEEAQELLRPPPKAPSIVVVDGHEFEEYEEPPILGRRTYFVTDQSGSSCDSAQEINMEELGEMIPIKPGAAKKTKGKVDTDNIDVSDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDSGVPRKREPGQSSEPVPQSGSGAHPTSTSSPPQRADTNGEGPEDMSIDNKRTSSPVKNQIDLNSQPEREDEQSPKSDATRLLRDNPT >KN539140.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539140.1:56708:57895:-1 gene:KN539140.1_FG001 transcript:KN539140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTELAPDAYTVQSVLGACAGAGALSLGVYAHALLLRELGGDGDGEAVSRDMLINNSLVDLYGKCGALELAQQVFDRMPARDLASWNVMILTLANHGRVCESVELFDRMTQVEKMAPNAITFVAVLSACNHGGLVEEGRRYFAMMVDQYRIKPRIEHYGCMVDLLARAGFIEEALDIVAGMNCRPDAIIWRSLLDACCKKNAGLELTEAMAKLALEVPDDAVSGVYVLLSRVYASAQRWNDVGMVRRLMVEEGVKKEPGFSSIEMDGLVHQFVAGDTSHPQSEAIYEKLDEIQLKLTSAGYKPDLSEAPLVASIDNAKGAALRLHSERLAISFGLLNATPGAPIRILKNLRVCKDCHTISKLISKLYGVEIIVRDRIRFHHFKDGSCSCKDYW >KN539644.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539644.1:71679:76763:1 gene:KN539644.1_FG007 transcript:KN539644.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKEVVADGVAAVKEYMARRWHDARAEAAPSPRAPRCRRLRSVRTIWRRKMEAQATHRRAGDRGGGGDGGSSSPSIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNGLLDEAGALELDMKTAMISFNNLTRQRFPSFWHIMAMSAYGPLPHIIGSEEYIHDNSCGLADDVQPLSDDFSWLREFQSESSDSRTADICESQIFGAQRGYEKGETDSVVSAAREFKAMLEAALVNPYKFYDDATITAQDASVEKKISTSEDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDGILSMDTGESAGTPISGLASGLANLGIADPAERGYSINETTNGEGLLIEGDDASPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >KN538798.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538798.1:126076:135388:-1 gene:KN538798.1_FG002 transcript:KN538798.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METILRYHYDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFQDGMSDLDRVIHGSRFTGVGAQILGADDKLMKRSLSQSFILNHHLNLQRKRLLSTKQLVLFFLFIWNFSSASRSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRKWYWVQCNVFSGASGEVALTAAGGLRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKLSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLRLQMWEKRNDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNEIVNNVVGTQVDVESLFPIPFLKPPGMDAVIQENKSFWSELAGNIIVVSTLHMVNLSEFLLHYLKKRWLSPTPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQ >KN539644.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539644.1:45213:48125:1 gene:KN539644.1_FG008 transcript:KN539644.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSGGSAKEKDPENAPELVALPLVRTLRPVHAVIDPAADRRSAQLSWPGHVVLLPPYATWPHHVPTPPPAVNPANPQQNGDVAAADVSPDVGCCDEKMLPKVDMLFDGEKEAYDFYNAYAEMVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKRGTKEAKCPRPETRIGCPASMTIRLNTNGKYRLTEFVPNHNHQLATASTMHMLKAKKIRLKARAVRENLVDDTVRTPEFGSEDEAYEFYSMYAGKIGFNVRRASMTMNAENVITRRMFVCSKEGFREKKRGAKRVKKPRPETRTGCPACMVIRLTSNGKYHVTEFVTFHNHQLGATVPSDLVATSQSTETGQDDGLDLVDGSADANIHRQNLIIGNTMATSLEAELQADVDGSQSTKKPPPMRVLRQASNIYTPAAFKMFEREFELYMDCMLYNCGEMGTISEYRVVIEDNPKDHFVKFDSLNSMVNCSCKGFEFVGIPCRHMLKVLDTRNIKDLPPQYFLKRWRKDAKSGSPNCSYSFPLDGDPQLAQTKRYNLLCRMFSIAAARAATSIETFAYMENQSSIFMDQVEQALQTRPPDIAAMIGAHCDQTQNPIDNIVAGGLHSHTNFINGPADGSLTFQFTLGAGVLDYR >KN539644.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539644.1:6162:9368:1 gene:KN539644.1_FG009 transcript:KN539644.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVEREVGGGFSRLRSYLSLKLLASFTPCKVVISVQNLPILKPSIGFTLKKLLIHWILGNMTELFASVEMVVNGLLQREDWNTAIKIPLGIIPAGHKRPLDVTSVVQGKTRFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRVVSLRRYNGRVLFVPAPGYEGSGDLVEQISSCKSNGASTGVQEDRSNDFNDETCAYAGPSIDEADHEWRSLDGPFVSVWVSGVPFASENVMTAPEAKFGDGYLDVAIIKDCPRSALAGLMFQMKDGSYVKSPYVEYFKVKALRIEPGMRVGSTTKGGIIDSDGEVIARGDGSHTGDEIEHLMAYGPPIQLTVDQGLATIFSPR >KN539140.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539140.1:108143:109856:-1 gene:KN539140.1_FG002 transcript:KN539140.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASGSTLFEELGLYYIGPVDGHNVDDLVAIFNKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADRMHGVVKFDPTTGKQFKSKCSTLSYTQYFAEALIREAEADDKVVGIHAAMGGGTGLNYFHKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQACMKAAEALKEHGIYITVADARFCKPLDTGLIRELAAEHEVLVTVEEGSIGGFGSHVAHYLSLSGLLDGPLKLRSMFLPDRYIDHGAPVDQLEEAGLTPRHIAATVLSLLGRPLEALQLS >KN538798.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538798.1:186859:191489:1 gene:KN538798.1_FG003 transcript:KN538798.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCQFYGNVTLGRDVSLSELRKTYDVVVLAYGAESDRSLGIPGEDMRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVHVFIKEADLVTLPADEEEIRNSRIKRRVYELLSKAAIAHKGKNNNGQKELHFVFFRRPTRFLPSEDGVTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGIVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVAIILEDDKKGLFMGPSDSKKQGRRGLLEILEQKNIRFVPFDGWEKIDSKEKVAGELKNKPREKLTTWNELLMAAN >KN539140.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539140.1:29691:42081:-1 gene:KN539140.1_FG003 transcript:KN539140.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPSPQAGASQVWVPRGYATSASSSSSSSSTATAGQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHQSPSANRSVVTKFLQAHPTDYTTKKLQEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRRNQGLWPLYRGFFVDVNLFKVNNMKSSIPSEDIDTSLKNINGALDSNSSAKDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPSAYKTYYLRQMKNWGTSASKQKELSKLLDEWAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQPYRFYNKLRDHLFQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYNLYDGKKHALQSRREFESELYERFGSLVKMPLLKPDRAPLPDEVKAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLRQVLFANTDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTAKRKFGNIVFAAVTLPPADILGALPKLAEDTDADEFLNNTKLADNLTKAHVTLAHKRAHGVAAVSSYGVYQNQQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANALPQLVTEGKAKRVAIDPPITISGVLDFY >KN539644.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539644.1:82513:82743:-1 gene:KN539644.1_FG010 transcript:KN539644.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRFCYLLTVHRATWRAIICTFCGAMLKSYRHYRLYAS >KN539644.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539644.1:49006:49923:-1 gene:KN539644.1_FG011 transcript:KN539644.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYYKEKILADGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKKILYDETEVVRMTNAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTKDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKTDKGKRVRVDDNVVYEITGAMDNMSETMRFTHMTHTNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYMAQWIIQNYPAAM >KN538798.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538798.1:161857:169065:-1 gene:KN538798.1_FG004 transcript:KN538798.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYTKNWEGQVLFPTTPAAAAAEADHGSNSYNNIYSTTTTSHGSSTSDDASQLAVAAARPSSSPWGGIHGHHPHHNALQQQASSPRSSCITSTTSLGSNGVLEFSNNTSPRECISTASGAAFKKARTQEPSPAQATVKAWNHCRLRDKVRKEKLGDRITALHQLVSPFGKALSSPYLGNGGSSSSNGGGGSNSKLQHQPEASRVQGERNSIFPEDPGQLLHDNAVKKRGQPDQDESCEEAKTMDLRSRGLCLVPLEIDLFGWINGLLCTGRMKKG >KN538798.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538798.1:211575:224736:-1 gene:KN538798.1_FG005 transcript:KN538798.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHANKLDLEALRSGIWVYKVYMYETFGGHLRPCVWRRRGGDDGGGEDHHADGGGGGAAWRARATTAGVSSSSSTAKGSIRAESLRDLSSAAFGLVVFRVVDGGLQANIIEHETPRITKWPDESRDLDDHQQNNEADEEADDELQPLVEQVRSMLSSMEDGAITASAYDTAWVALVPRLDGEGGAQFPAAVRWIVGSQLADGSWGDEALFSAYDRVINTLACVVALTRWSLHHDQCKQGLQFLNLNLWRLAEEEPDTMPIGFEIAFPSLVEAARSLGIDFPYDHPALKGIYANRELKLKRIPKDMMHIVPTSILHSLEGMPGLDWQRLLKLQCSDGSFLFSPSATAYALMQTGDKKCFAYIDRIIKKFDGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIEQNMDYVNRHWTEDGICWARNSNVKEVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFPGEDILQRAKNFSYEFLREREAQGTLHDKWIISKDLPGEVQYTLDFPWYASLPRVEARTYIGQYGGNDDVWIGKTLYRMPTVNNATYLELAKQDFNRCQALHQHELQGLQKWFIENGLEAFGMTPEDVLRAYFLAAACIFEPNRASERLAWARVSVLANTISRHFYSDMSSMKRMERFMWSSLYEENGNVLRLEGYAKDGILARTLCQLIDLLSQETPPVREGQKCIHNLIRCAWIEWMMQQINMKDGRYGKGRVMHPGSCTVHNKETCLLIAQIVEICAGRIEEAASMINNTEGSWFIQLASSICDSLHSKMLLSQDTKKNETTINQLDKEIELGMQELAQYLLPRVDDRRINNKTKQTFLSIVKSCYYAANCSPHMLDQHISEVIFEQVI >KN539140.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539140.1:59827:65978:-1 gene:KN539140.1_FG004 transcript:KN539140.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTDNLEAVLNESVDLENIPLEEVFEHLRCNREGLTSANAEQRLNLFGPNRLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATAIQYCILARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVPDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGSYLAMMTVIFFWVAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWGFAAIKGIGWGWAGVIWLYNIVFYLPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGTQENQLKLRELTTLKGRMESVVKQKGLDLETIQQSYTATTIIYLDMMMMMMIERISSVDMAMCNAINVWPASHAREEQVENLGMNWDGKLAGDA >KN539140.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539140.1:90015:99155:1 gene:KN539140.1_FG005 transcript:KN539140.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASWTAQDDVLLKNAVEFLKFSLIRATSQLATEMGVEIGAGASLESLAKGAVCFSRKFTLQELQDRWSSLLYDSETSGQASALIVKYETELSTSNPTKAHKLFYVRRKHLSLRKRKIESVKNQYYAMRKRICHDPCLAADFGYVITPCSCPVGSDCVCDGLFNLLEDNHLIHNVNQAPDVVNGYGHIGESYADGQDVHAKDNGHYISHRRHDKAAGTVASDGSTNCESANGCSDVGKLYGYNFMPKNIQSSERNIASPKDLSDVQDCVQPQQPILCEESANGMTGLKALLNTDQDCIKQNQFSGNSNEILQEPGSLKAMSEHWCSQAPSAPTRKKFQGVNAPDMLTDVHHKEQELFSDDKKKETTNIDTLSCKVNVENGMSGSGLDDATEGEVMHSCLMDASQGEDFELLNSENILDSLDPNLEGLGDRHANVILKDISKEHLLDIPHVSSACGNNTDPIHEKHDVADISGVDMIYATEVPFPCAGIVCILNTEDPEIPCNDDIFTHTPGPVASTSTCDQNSQHNMHLVSAKPIPPLNAADLNHTDLVSDVQPLLLTMKLEPYTLEQKETLVGLNESCTVRSKSPVMPVDASNANACTSTFHSAAEFVKQSTCGLVQHECFDNLGSVALDECIGVLDEMNSKVPDESGISCDATTQNSISAHALPDVEFLNPITTTSSPEGGGSDSEDGIPNYFDIEALILDQDLIPWDQESDFIQPEVSRFQSLESRKDLIRLERGARSNTNRSIMSHGAFAVLYGQHLKYYIKDPEVTLGRETSEEHVDIDLGKEGKASTISRQQAIIKMDKGGSFHITNIGKAPIFVNSKEVPCNECTHLISDALLQIRHMKFIFHINQDAVVIDFSASWCGPCKMMEPVFKEMAGRFTDVAFLKVDVDELAEVARTWRVEAMPTFVLARGGKEVGRIVGADKDELEKTINTLRSSSSSSTTT >KN538798.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538798.1:145146:145652:-1 gene:KN538798.1_FG006 transcript:KN538798.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCCSMASGSTTGVAGLAFGDAGRCDRISLGRSGGHTMVGVRWHEANSSPDACPLEAVASHYKMATLQAYTGKSGSTLLSRPLFLQPKDMDEVEYIGMSSSYLLADRQ >KN539140.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539140.1:22637:23617:-1 gene:KN539140.1_FG006 transcript:KN539140.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNRQRKLFTNLAGDSGGDDGGWCESVWSHVVFEHPKTFDTLAMDPARKKDIMDDLDAFRNGKEYYARVGRAWKRGYLLHGPPGPGKSTMIAAMANYLDYDIYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKNNKKKDAAAAKNDTDGDKKESPPSEEEEKDKEGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKVYLGVDAHHLFDAVRSLLRDVDMTPADVAENLTPKAAGDNADTCLAELVKELEKAKADKAQAKGKAVAAAPAEEEVDGDDDEE >KN538798.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538798.1:192879:194977:-1 gene:KN538798.1_FG007 transcript:KN538798.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVASKELLTVLLISLQCLSCLAIANPMLDQQAEALLQWKSGLSYFYYYCRLDLWSNTTSPCKWSGIGCSSMVAHGHGNERDAILVVTNITLYSCGISGGLSKLRFTELPHLVHLDLGMNSLSGPIPSDIGSLVELSYLDLSGNVLNGSIPPSIETLRLEFNVLNAILPPELGFLSNIVVLDLSSNQFTGSIPPQIGQCHNLSSLRLRNNLLTGPIPEELGYCTDLTELDLSRNNLGGAIPMTFMKLYRLLELNLSYNSLGGKFFGFYTIEASSVVSLDHNTDFCWN >KN539140.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539140.1:136976:139303:1 gene:KN539140.1_FG007 transcript:KN539140.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELDATTPATILAPKWHAEERRFRSSSVAGYPRALPERMQQHVMSFEVGGALEDSKWQAPAYCFNDQEAATNSDTANDNEDGEVDAMSSLLKFFRAQQTAVAAV >KN539140.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539140.1:86475:88936:-1 gene:KN539140.1_FG008 transcript:KN539140.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional phosphatase IMPL2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39120) UniProtKB/Swiss-Prot;Acc:Q6NPM8] MADKEGVGVERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDQSPVTIADREAEEAMVSVILKSFPSHAVFGEENGWRCVEKCADYVWVLDPIDGTKSFITGKPLFGTLISLLYKGKPVIGIIDQPILRERWVGVDGMKTTLNGQEISVRPCNVLAQAYLYTTSPHLFAGEAEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGNKLHWPVSAESRPESFNVVASGDARVHKQALDALQWH >KN539140.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539140.1:46007:46488:-1 gene:KN539140.1_FG009 transcript:KN539140.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYAYPYPSQGYYNQGPYQGPPVMAPPHAQYQYQYAQPPPRPRQPGFLEGCLAALCCCCLLDECCCDPSVIFVT >KN539140.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539140.1:14112:18395:1 gene:KN539140.1_FG010 transcript:KN539140.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSLCYESTGLLGTPLRPATPLIAGLTVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGICADVSPEITKTLVRKGK >KN539140.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539140.1:99721:101652:1 gene:KN539140.1_FG011 transcript:KN539140.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSVSGAGGDLEAHLDGDVGNGNARSVSAAAPAPELRYRGWKAMPFVIGNETFEKLGSIGTAANLMVYLTTVFHMPSLDAAVALNVFAGTTNLATVAGAFASDLYLGRYATGGITPLQRMGVGMALSVLAMLVSAMAEKRRRDLAAASNTGRASPQSAFWLVPQLAALGLSEAFNQVSQTEFYYREFPESMRSVAGSVLFSGLALSSYLSGVLVAAVERATRGAGAGDDGGWLAEDLNKGRLDWFYLLIAAIGAANFLAFVACAKWYRYKGSDDDDDDDHEHEQVNVADRISAAAAA >KN539140.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539140.1:140128:141338:-1 gene:KN539140.1_FG012 transcript:KN539140.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding LIDLYYDWPRGRNLNLIRGQLSGDPTYDVEWTNGTSYFFNATSCRTKLFPVGLLPPDWLAAGAVYLGRETVAGFDCHLWTKVDFVWYYEDVVTHRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPARCFSDQHTVIADPGAATVTDDRVEGEDGKLDVMNAFFSNMKMLCANMTENVKFGSSSDCKANPETFDLQRM >KN539140.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539140.1:47932:50526:-1 gene:KN539140.1_FG013 transcript:KN539140.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHVGDLEAAAHGGGRFGFTGGLEFTGLTYTVTKKQRGAGGEWEKKEVDLLHEVTGYAPKGCVTALMYSGAPREVAAHLGRMGRRVPKGESSIEHLLDVIQEYDQSEFGVAALAEFCLTGLKPPKLAADGISTVSSIPPTPLLAGEEDFDHSLRSQHSRSPWSAAAAQFTPSRRPKKDPEIVMGTPTPLSMSAYTVSEGDYRTPPPPPPRHGHAAAVTTLGGHRGKFANTYGGEVWVLMRRNFTNIWRTPELFLSRLMVLVAMGVLMATMFTKPRDDDQGVTERLSFFVFTVCVLFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVVTYLPFLLLQSAAYAAIVWFALRLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGHSIPVGWKWMNTISTMKYPYEGLLMNEFQGGRVFSSHPPPAPPLTGDIILEHLKISTAEDRKWWMVLYLMGWAVFYRVLFYLVLRFASKNKRK >KN538703.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538703.1:272710:277586:1 gene:KN538703.1_FG001 transcript:KN538703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSHAAYRKAINAAYISSIFLKFIIENAKTDNWQELSLEIDKDEKGLENFPSEQSVEYFLMRGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVSSVVQKLLLNFVKRPRIPLNGSHPAFSDDGGPGVLQRVGSAAANFVLLPYYTFNYLVSSNTEGASSQLADNSLLVLLILIHYRKCITMSESFPSSNVYTSDLNTNVKDAPAFHDNPYYKALSNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKNDKAIKVISDQIEADNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRVVLSRGFSFNPGAINLFPVEIHVDDEPSGEQKV >KN538703.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538703.1:343780:348308:-1 gene:KN538703.1_FG002 transcript:KN538703.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASSSSSSSRDRLTISRPTGKDDDVVEEKRDGGDVTAAVPRLAVYGAGRVHEIERFSHYVAKQIGIEDVRECPHLCALAYGYLKKTEGYEQSLLAFFHNKINSDALLVLLIEELDKCILGYFSFHWKFATEVITQVLTAEQPRRKLKTMVLEATRKMRFERVSRKLKVTRLFSTLVEELKVIGVTCNDGQLRDADADVMVPAAHRDRSPVLLLMGGGMGAGKSTVLKQIMKGLFWSGAAAHAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSIDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTIAMARSVHRQRYRMGVGYKVAADGNATEQYWEPVEGAPVPSGRRPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVSSQLKSHKRFAGSFRKYCDLVDSASLYSTNTIAGAKLIGWKDVGSRLLVDVEEIGLLDRVSRINEEANGVHELYPDGHPTDGAGSVWEDLVSSPARAAIQRELREAILDSEACFPSP >KN538703.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538703.1:223588:245858:1 gene:KN538703.1_FG003 transcript:KN538703.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPENEVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGELESPKCELGSTECCGQLALLCAAMVNSSWLTILDSLSLILMRSIFKTTFPIINPIDRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQPFTGVEPIWDQIAAHHLEGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKHSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMLERVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRGILEIIPMLRPTTMLSSMWSPLLLELLCYLNSHDTPLQKQGKEIHEQKSDAANGATHGFLERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERFNSSPEVIRCLGRCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEQIFTELLADDVFFMLVLPHCSFSDSFSPQVSETSKVSISVLTKRCEIILGQFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSRWTCPEAL >KN538703.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538703.1:175972:186728:-1 gene:KN538703.1_FG004 transcript:KN538703.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRRWAPPEYTHRCAGLAHAPLFGATVSVNGEEFRTPEDAARSAKEAHNIAAKAAFDHLSSLPLPPPPPAPENQSSYKSQLQIYAQKKGKLLPSYQTIREGPGHASRFKSVVTVDGKAFESPEYFHTVKEAESAAAKLALMSLPQEASSSEQVPVQPLSYKNLLQELAQKHGFSLPVYSTTSDGSVQDGSFQGEPANTKKQAEMNAARVAFQHFEDRRKNALSSTVLRGPHLGQGTVHLSAGQVKITGPVFSVPQASTATSHSATGANRDYHSLGSSNPLPIAKSTNCADVHIQPCMICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRHCVSNIIFLCIRDPDLVRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRRILRVKFIMGLFENPLADLSFADQLGKKEHRDLAREAVRKSLVLLKNGNSPNQQFLPLPKKARSILVAGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMVYVVLSLVLSVILMHASGIGIF >KN538703.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538703.1:321243:323326:1 gene:KN538703.1_FG005 transcript:KN538703.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKVEDGAVEDVAKEVKGEEEHEEVGDGDKESVVDPFLDAKIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRSPALPFLILPLAEGPAVSCILSDYATHPAVVPDWVRYARYIGAYDDGWVFLSAAQPQGPQHHLLLDTYQFDRHINLPDAFIEHHTGALLPLSILAAALSFYPDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYCWPVPAMPQIDWEFRCFPSNRRGYNQHHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFVGRGCSRSYEADHYPAGIVGGIYFFDDGFIQDPVMLQQPQYSCSDCGKWTESPAPMVQRCFPAQGASNYSPQGWRLP >KN538703.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538703.1:350126:354169:-1 gene:KN538703.1_FG006 transcript:KN538703.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGAGESGHIIDATVEDAEKMPSQLRTRSDSSARVFMSSPSRIRNTDAQQSTSIRSHGQDSKYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGKLTSMLGNRNDSSQDQAMQEKHKDGLIVDRCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMSNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSVGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKQVSMGRETLNVATETLAAGRIQMDSYGDRGAFQNQMGIFPLRAERNQNGFHGSSSNAATGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKEGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNRLSVTIRNSTCQVDSRFVSNHDFHQDELKAWWSAAMKSGWKLPSQEELNTKLRERELLRF >KN538703.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538703.1:158404:165699:-1 gene:KN538703.1_FG007 transcript:KN538703.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWIIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAK >KN538703.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538703.1:326168:327185:-1 gene:KN538703.1_FG008 transcript:KN538703.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTCHDDVLPLGEEMEGSIVEHDVLHIPWRTMCLEQVRDHPIGGAPEPKRPLAPGDGVNADDAGEDASVDVWLGREGGGDDGERQLPFLSGRSVLLRDELGGEVDVRTEVVGVEKAVLSRTSRWSNGEEDPAIVVRADVARVVRPLREDGGVDGAVAQDAGDGRPLSKR >KN538703.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538703.1:295394:298120:1 gene:KN538703.1_FG009 transcript:KN538703.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVTGQQVSEETAQGFDRTIEHLDGSPSLNSTNDKGKDGTKDLDKSKLLKDPVITNGGNEQMVSIKGDSFIKNKDLDDKNRGSLQDHSLTQDDDQSCHLPSTQDDEPLSNKEIETVTNLPETTASVSITIEDTTSNKDSLTLVEKIKLVHKTTERSEEINIGNSQSLLKENMEGPLEDEESGMRDDLIGEDKAEKLDQGQTAVSIVENLLMPMQGGSTSSTETITTDYLDADDSDIKEVVIENEPTGKGNSLYVRPADDTNLKTFKNDRARIPDEKEDISEISQRATVETGIGSCEVIDEGKKTHGLKDQNKDTCGALDIGEVVSKFQSSLTDTSATDAIELEKHELNKRGDDVAGEISDSLTRTEEHNAIERTHTEQERGAKDAAVKDPADNSDEEKKSDCTHDIVSLVEVNGKKFTGLDSFLSYQLSTVNEEKVQTEVREGLFRPSSPLQLIEDFHKRDLKVDSPHNNEETIISTYEVETTDIHDTLAVSQFDKPQQMLLEEPEVVKFENGSILSCMQLVEKSSKTDTFFPHGSKQEKDSASTTAIGLTSESNLEKVMVKVDFPAESNQKKIIADTDKASQEGYLLQIPAPRRDASEETPLLKMVENTSSFSFSNEQHSKVVECIPMTSISMMQVKDDSDEEYEKSPLLSPREQEGENFMVPNHSVRNKKPLQSLTTGESVCMQSPLKEQEVPNNSTMVSSPRSTRKQKPRSSLFASCMCCATATN >KN538703.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538703.1:316780:318182:1 gene:KN538703.1_FG010 transcript:KN538703.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLRSIYDDAGDDAGATAEMQPAEGEQQQAAPSGGGSARFRHIMRERLRRERLSQGYADLQAILPTGASSSKGGKNTIVAAAANYIRELEGRKGWLHAQNELLERTTPRPGAGMVVKVRAESELGSTVDVFEAVLRRLKAMDELQVTAIQSWFGAGGMWMDVAVESKEKED >KN538703.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538703.1:216032:221731:1 gene:KN538703.1_FG011 transcript:KN538703.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVPVIDLDPDQPCEVLPGAAVGGVAAGGPHHIEELANKVVKMDGGSAEKVGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKESPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKVTLAR >KN538703.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538703.1:189138:197801:-1 gene:KN538703.1_FG012 transcript:KN538703.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNLETARADRSVWLMKCPTVVSRAWQEAATAAAASSSSSDAAAGANSSSNANPNPVVAKFKMEMAQTGNGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVGHKFDMEPHSDNLVNYGKLCRERTQKSMIKNRKLMVLANDNGMSMRPLPGLVGLMSSGPKQKEKKPLPVKPSDMKRTRRDRRELENILFKLFERQPNWSLKNLMQETDQPEIGVLGQCGLQSVTCCVQGNLGYFVYSSLFLEKHILYASSDTSFGVTGGHILDPDFGDSIYSRPSEFRNKDVEICELLTTEEARRRQGLYDELASVEISSKEKSSPSVMVMLDLGRMACRAFALGMHFLLQESGGDEDESFDKEDSWREWRTQQKNNLVPLQSFIQSTLPFPLSLAFVSSVQQPQLMPMPPLPMPHVPFALALQELSEVFGASNVAKLLSRVPAALRRDAARTVCYEAQARIADPVYGSVGTILALQHQLSVLESQLFNLRVALASAHPDTPPQHFVVLQPAHSAASTPNQVVVNYDDLPHAVDFMDAEPAALRGLESLQLSQPLQREEDEGHRDMNLFSDSVGQRQL >KN538703.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538703.1:255412:258595:-1 gene:KN538703.1_FG013 transcript:KN538703.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MERPREPQAAGAAEQQQRRRSEQVCREQQQVAGQQEEFSSGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDAGANIITSASYQATIQGFQARGLSRERSEALLRRSVHIAQEARAIFAESWSKGPYANHRSSPRRPVLVAASIGSYGAYLADGSEYTGNYGVSVTKETLKSFHRRRLQVLADAGPDLIAFETIPSKLEAQAYAELLEENDVRIPAWFSFTSKDGHSAASSGDPITECAAVADACARVGAVGESEGGASETDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDDDRDDFPAVAVL >KN538703.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538703.1:278710:283562:-1 gene:KN538703.1_FG014 transcript:KN538703.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEDDDAAAGEFVGARMDAGLRAARVASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLLYLLQEKVGPDVDVEAMMSSTGHVFYGDLRSHERAGFFTLNLLGSCNFHHFARGANAAYLAPRFQVLVPFSKFMNSCKSYLRYLNASTDSPKAQEILKESASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNKAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEMLEHMDAYYLRRILSRCPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVHADRPK >KN538703.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538703.1:311144:311968:-1 gene:KN538703.1_FG015 transcript:KN538703.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAAAATSVVARAVLARPLGLPQMRARRSERPLFDQKNLSDQYKVTNRMNQGMLVLNVRKNTADVVYPNVVYRDHRSTQG >KN538703.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538703.1:335652:342616:1 gene:KN538703.1_FG016 transcript:KN538703.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLREGEDIGMTPIVDSFEWKDAATYTMPEGSAAACRVRRDVHRQDQVGSDMHHGEYSKKLATTMYEKVAGVGTVVDSWLASPKAFMYGRDISHRIDSILGNHSGIGVKTLKLELSGTAYHNLDNWLQVAVTPGIEELTLMVVDTPMVPTKFLYLKHLTICLSSGTFLPSYDYFSLVSFLDASPSLETLNLDVKLCSSYPAKLSGHCVSFQKPRGIKPAQGSSCQPPLFSPPPPSLMADFPPWADLADAVVREIANRLYCVYDRVHLAGVCRSWRESLERLAPLPRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLINDQAPIPGYRRQKIAFWKIEDRVVIGYFYMGEACWDAVDVVRHNGAFHFLSKGEHIIVGNPVFAEAGAPPQVQWEYRCFSSHGRGYDGQHVVARYLVESSGDLLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYDADQYPGFEGGIYFFDHRIAGQLGDAPAQYPCSDCGKWTGKPALQVDRCFPEQDPSNYTSQVWLLNGQAWLSLSELPIENSTLQNAELHVAFLLFGTCRFC >KN538703.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538703.1:301991:310972:1 gene:KN538703.1_FG017 transcript:KN538703.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MQPALRRRRRRQGFLLPFACLFAALIAAALLPDAAVADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWEKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQIALLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESHVIKSSVQAQSSSVILISHPVASQTEEPASGRSRYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPKEDT >KN538703.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538703.1:251305:254809:1 gene:KN538703.1_FG018 transcript:KN538703.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQSNIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQDSLIELQYSIPMTSTVSMQVLRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRILRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMGGMFGGMGGDK >KN538703.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538703.1:204397:206572:1 gene:KN538703.1_FG019 transcript:KN538703.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSTEQMFAVVAAVDLYEDFVPWCQRSRIIRRHENGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPIPVLENTYGQGR >KN538703.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538703.1:262229:268028:-1 gene:KN538703.1_FG020 transcript:KN538703.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDERGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNRKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVAESEDVIKAALDGLITNGFINYYGLQLQRLKKYPGNYLQALMAIPRTLKLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKESPFEQGALKATSEDDGQTMSCEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNGVAGIYHEIAIKDGINLRESVHGVEDFSITSMKGGYRRVIQRPIDFEWDLITYTDEKMPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVAHQKTLNI >KN538703.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538703.1:330447:331689:1 gene:KN538703.1_FG021 transcript:KN538703.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPPWANLTDHVVREIANHLPGYIGSYDGWVFLSIPQRGDPELHFLLNVYDFAHLELPNEIIVEQARSPGVLRRHPLSIVTATLSSQPHVEGCFVAAIISIGVVGVGLLRLGRPKLALWRMFDPVIFVLDTQLEKCWEVEDVARHKGAFHFLTQGEHIIVVGEADFHGWDSMPPRVRLDFHRFSKQGRGYEQYVDARYLVESRGDLLMVVRCFPHPYGMTSAFKVFRMAQPEARDGDDKARPEARDGDDDVAEPQYIWRELRSLEGRMLFIGRGCSRSYEADQYPGFEGGIYFLDDHVVKNPCMQPEGSQSYFQCVDHGRWSGTPPRVERCFPEQIPSYYSPQCWWLP >KN538703.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538703.1:207227:207928:-1 gene:KN538703.1_FG022 transcript:KN538703.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGAEAVVVDQNLLQSKNAEISFSSGQRAKPDAFELFRGSPRPILSRDFVEYCVVAPDNLARTLLLYFSNSLSPMEFYFQTVMANSALFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGKFGDDDDALLQRIDEEVLGRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >KN541702.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541702.1:7553:10687:-1 gene:KN541702.1_FG001 transcript:KN541702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAEAFLTSCVDRIVNLLEEHAVMILGVKDDLKKLQAKVELIKAVLEDAERKKLQYRTIEIWLNSLKDVLYEADDIIDLCRTKGRELLEEQPSSSIQQRKMHCSLLSFFSTVRLRHKIGSKIRNLSDRLTDIENNSLVLSLCHLKPCEQQDTTVNVRQTSPLIDLDIVGTEIEDSTRKIVDMIFSHEDNFKIVAVTGMGGIGKTTLAQRVYNHVKIKNFYPTTIWICVSRKFSEVELIQETIRQARGDYGQAKTKAELLPIMANTVANKCLFLVLDDIWSADVWNALLCTPLHSTLRCGCVLVTTRHQDVARGIKAMYIHEVQKLHARSSLELLCKKARVSREDDIERLVKIGEEIVRKCDGLPLAIKLIGSLLSRKGHNPQQWSNVLRSGIWNMKELPGELKGAWGALYMSYEDLPPHLKQCFLSLSLFPADYDLAIWDLRALWVAEGFLHPKEQLIAEELAENCYAELVSRSLLQPIVLYADQRKCRMHDLLRSLAQYLSRGESLCGDPRKLDAFSLSKIRRLSVLMDEEIEEEAYPLTRSQRKNLPLRTLMLLEDTSIFQRETIFSFPCLRVLVLNGKVIENLPSSIENLLHLRMLNLNYTSIASLPMSIGSLKNLQILYLIRCLCLHSLPASITQLDDLRCLGLNGTPVTHVPKGLGKLKLLNDIGGFVAGGHTTCQTELQEGWGLEELEYLAQLRWLSITRLERAIISKPMLKSKCFLRHLILSCTMPQYKLSFEEINTIEAIFEGLFPPPSLEKLQIINFCGQSLPGWLISSSLETNLPCIEYIHLIGCSFCTQLPPFGKLPQLRYLNIEDAFAIVNIGTEFVGMHGVSTAFPKLEYLTFNGMPNWEEWSMSGNEEVEEPSMPHLVELQILGCPKLRSLPTTLQKITTIQTIGITKCDSLTCVTNFRYLHNQLVIEKSSGVEIISNLPALNKLVITDVHALKHIEHLPSLRYMELCSSSLDKLPEWLQGLADTNRNLANDLQLTLRCSITLMRRCVRKGPDWPTIRRFPHVSVYTHDRSALMEYNHEAGYYFTNLQ >KN541702.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541702.1:19755:22334:-1 gene:KN541702.1_FG002 transcript:KN541702.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELRDAILNMQDKDGNTAIHLAVQLGDMDIASFLMMNHKNQRRMIYPLLLVYCDAPSGNLSGVTTFLSRTSPAENEAEESKKIIESTQILGIGSVLVAAVAFAGAITMPGGYRADDHHHGGALTLAGEGKSVTKMWTIAGELVIGALKNLWSYVLIFALPLILKN >KN541702.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541702.1:18743:19054:1 gene:KN541702.1_FG003 transcript:KN541702.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAWEWPTFALEGVDPPPLPSGAMMVVMASGAMVADPGTITVVAALGTTTTVALGTTTAAVQRWLSSNDGIGSRYDDGDGFWHDDNGGGSGGDDGGGFGS >KN541702.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541702.1:15043:15616:-1 gene:KN541702.1_FG004 transcript:KN541702.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNSSASDDDNCGMRVEGRERSAVGRQAGADQAMGSSIAANLVAWGSTTAPTLMTAACGWKGGTGRRTWVEGQELPVVGRPTGADPLMGSSTVVDLAVWGSVAADPTQGTAGKGLGSEAVGEGSVSFCGGSSGDDGRGGCGGPSPSRADAAPNARDGSDDED >KN539949.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539949.1:42990:48103:-1 gene:KN539949.1_FG001 transcript:KN539949.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYQTHPLIKAMVAGEKKGFADRKFAATIAVCVHRSELSMVSSSVLPKVVDDAGEREANPPRRAKWWYATFHSVTAMVGAGVLSLPYAMAHLGWGPGTAALVVSWGMTLYTLRLLIELHECVPGVRFDRYRDLGAHALGPRLGPWLVVPQQLIVQLGCDVVYMVIGGKCLMKFAESVYAMPIFETLETILITRIRLPPGALLRLVARSAYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPPRFSASWFANWGCIVVGVLLMIASTIGGLRSIIQDASTFQFYS >KN539949.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539949.1:72908:73267:1 gene:KN539949.1_FG002 transcript:KN539949.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRISGGGGFLKPLAGVSFAFMPGVGAFYFLVGSVLGFLAMVYSSESDEAGGDWASAERWVALARSVSAPQMFVGIPLLLLATGVWRLGKRCEAVEGLVGNADATVQALRVGGVVCA >KN539949.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539949.1:26279:31121:-1 gene:KN539949.1_FG003 transcript:KN539949.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASAMSSSARGIILLCLSLIVSSTVLHFSISGGSNGEKRRDDGDDEKNARLPDPVPGRVGLGHRRGNATAAHRRRSEREGKKSTPLVVVATGAALSGAAAVLLVVLVVFLACRRFQRRAMPGADQSGTNKVSFDPGPDVFYLDAVKPYVEADHGGGGDVVKTAPELAGPKEEPRCEDEDSGVALSDDGADSVHSSCCFHSSHFSYSELRDTKPGSNGVSPSPSGRSRRRSSAPVTPSEKNKAASPYSPQCPRTPSNRERSSRAHSPSSSVSDLTSVVKDHEVRRAVHSLRLDEKMIESLFGYNARCSTKHEEVQSRSPSLGHHVLDTKRLQNFTILMKAVSATSEQIFAALLHGNGLSAQQLEALIKMAPAKDEADKLSAYDGDVDGLVPAERLLKVVLTIPCAFARVEAMLYRETFADEVGHIRKSFEMLEEMTRSRAAEAADIAAGLGAELTNVRKTATVDLDVLTTSVSGLSHGLSRIKELVGSDLPGDERNQCFVAFMAPFVAHAGEVIRELEDGERRVLAHVREITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVCKEVRGAKNCHGGNLNNVVDGGEDDEFGFSVGRLEVARRRDVVLRVGQAGLLAEARSLEQARHEHRTGPEHEVKA >KN539949.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539949.1:49993:52423:1 gene:KN539949.1_FG004 transcript:KN539949.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >AMDW01034657.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034657.1:116:536:1 gene:AMDW01034657.1_FG001 transcript:AMDW01034657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HHILQFNLETSSLAVIDGNWPGTNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWEREVTLGGAAKWVLRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTGFYMFQVDSMQSKKLFDCNVITRCHPFTSFYTA >KN539949.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539949.1:61831:62685:-1 gene:KN539949.1_FG005 transcript:KN539949.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAVSFLTNIAKAAAGLGAAASLLSASLYTVDGGERAVIFDRFRGVLPETVGEGTHFLVPWLQKPFVFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVVHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAAAGTGLIELRRIEAAREIAAELARSPNVAYVPAGDNGRMLLGLNAAGFGR >KN539949.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539949.1:19611:20443:-1 gene:KN539949.1_FG006 transcript:KN539949.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYPAGGGAAFGVQANNPPVASWSSGLCDCFDDVGGCCLTFFCPCVAFGRIAEIVDQGATSCCASGALYTLLAMATGFACAYSCCYRSRLRQQYGLQEKPCGDCCVHWCCGPCALCQEYRELKSRGFDMSLGWQGNMERMGKGVATAPPQMHPGMTR >KN539949.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539949.1:53413:55463:-1 gene:KN539949.1_FG007 transcript:KN539949.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASEELERRSRYLSSLVRRTKLADPPEPEPEPEPEREREVVAEESGGGEGKGGKVVEEKEVKAAKEKEKEKEAKAPRGEGRNGEEKKVAVRVRAADMPPALQRRAIRVALEATAAMPRIDSKRLALALKKVEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVEPLSYQR >KN539949.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539949.1:37777:38519:1 gene:KN539949.1_FG008 transcript:KN539949.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVVAACVVVVAAALLLATAPGAAAQPGGASSGGSSAIAAGERPALDAELHCSIDADAGDGDTGAAAADDTVTEDK >KN539949.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539949.1:6005:6721:1 gene:KN539949.1_FG009 transcript:KN539949.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLSGSGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEANRDSTTPYNFAVIEEANTPVRLELNPPSQQNDAEQTAPPKLGLQLHP >KN539949.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539949.1:64300:67213:-1 gene:KN539949.1_FG010 transcript:KN539949.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGANDIASDAAALQAFIAPFGSATVSWNTSQPTCSWTGVVCSGGRVVEVHLPGVGLRGNVPVGALGGLDKLAVLSLRYNALSGPLPSDLAKCAELRVINLQSNHFSGELPPEILALPALTQLNLAENRFSGRIPASIAKNGRLQLLYLDGNLLTGELPNVNMPLLASFNVSFNNLTGGIPSGLSGMPATSFLGMSLVPRPYDLEDLLRASAEVLGKGTYGTTYKAALETGPVVAVKRLKETSLPEREFRDKVAAIGGLDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLLWESRRRIALASARGLEYIHATGSKVVHGNIKSSNNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVLHDDEGVDLPRIIEELCEQATAKNMATSWQHIKRRCPEDTNCSNQNNALMRRVPCSYVLAEHN >KN539949.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539949.1:38851:39811:-1 gene:KN539949.1_FG011 transcript:KN539949.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPVWGISQATISDLIGLSLNFFLILPLLNSAFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCIVSIAWALFGRADAGFGGISERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIQNVKANATGFVNSVRFLPVIGLVAYLFCLDDTDA >AMDW01037343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037343.1:177:356:1 gene:AMDW01037343.1_FG001 transcript:AMDW01037343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREEVWAAASKEKAVAEKKKSIESRKLIFSRAKQYAEEYEAQ >KN541665.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541665.1:18:966:-1 gene:KN541665.1_FG001 transcript:KN541665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSFLTSYSGIIQVLSTQVRYRGHSRRKDFMDTASTVGAHWNIASAASTSPASSYTLISEFRRYTCECRPLTTTWAYTCFPTEQCSAFTDLSIDRK >KN541665.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541665.1:24125:24607:1 gene:KN541665.1_FG002 transcript:KN541665.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNSVGMEVLYQVLGWVAFFAWSFSFYPQPLQAATGDESLDVWVELTSILGKDCGVFLTELIAQLVKIVNCCRMPPQFDGECKI >KN541665.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541665.1:7247:14831:1 gene:KN541665.1_FG003 transcript:KN541665.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPPKSDPGATPIDEVSGGEKLPGEVAAAVGVEGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGGSNSSSNKASSPDDEQQAPKEEYGSYVPDISPEVDSLGFADKGSASNLENFKKYSYEIIDVKKGRVEPKELKVLKVAKEKRKEFISEGSSQEECKTFSSLKIRPKCSVDFINQRFRGKYYSTPGGCKFGKACKYLHRDGKEGKTDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLEHENGDAPQQDVQGSSSQPNASIWPDQRTVNEHHVPFIAPSPSYSAGMLPPQGMYPPPEWNGYHQVPLNPYYPPGVPFQHFPAAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSPVPPAGALSPLGLPIKPDQPVCTYYGRYGVCKFGPACAYNHPFNFSPVPAAGPPLLPAQYPTPGNYTL >AMDW01040708.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040708.1:824:1921:-1 gene:AMDW01040708.1_FG001 transcript:AMDW01040708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WSASLVKDRLKGTPESKSNTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSIIHDASQVKPSLPAPPVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTICCSELVIFCGTIYHTSVVMLLRFTLLYGSACKRDMFWHIVSFERSILGKSPSQVEPGILKFIC >AMDW01038938.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038938.1:383:895:-1 gene:AMDW01038938.1_FG001 transcript:AMDW01038938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGSKDCKDFFAKSLFVVGEFGGNDYNAPLFAGKDLREAYNLMPHVVQGISDGVEQLIAEGARDLIVPGVMPSGCFPVYLTMYKEPKEGYGSRSGCLKRFNTFSWVHNSMLKRALAKLRAKHPGVRIIYGDYFTPVVQFLLQPEKF >KN540563.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540563.1:37894:41830:1 gene:KN540563.1_FG001 transcript:KN540563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPNRKRRLAAAEAEPEPELSPPDALGALPVEVLDNILGRLHIYDVVRTSALSRAWRRRWESLPTVDLTRSPGVAASDVDAVLLRRSAAAPVRAFRLAARDPSWFVDALHDWLLYLSRSGVQALYLWFPTPSFRLHSCLFSCRELTSLDLEGCRLPPAPSGFEGFPNLKKLHLTKVSLPEHGGKALAALFAGSPLLEDVELMNALLVGDGADEWVIRAPNLRKLIMSTEVDVVEQLPFLFEKLRSLVISVNFCRMSHILFMFCLLRSAPVLEELDVLGQGDDAQDIDANDEFLNAQSTNDMFAKLRVVRMKKVACLCNEMHFMEFVLNKARVLRVLSVYPSSGSTCSNAQAIITEHPRVSPDAQVIFMNRESANNGYMHTSSVNYELETIRSGTWLDVEHPRKIHRLDLDAVDQQKQLEKYLSEKSNIPIPPFPDSSSVSSSSQPAMSRSALTDPAVHSADKVQEDSRVDHDVVGAASVRANSPEPEDHL >KN545204.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545204.1:115:303:1 gene:KN545204.1_FG001 transcript:KN545204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVVCQSAREKGVAHGGRMAVDLGMWAGRGAGEVSILRRMQADGDGEGWVAGKGGLPPSL >KN540563.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540563.1:1922:3405:1 gene:KN540563.1_FG002 transcript:KN540563.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASPLLVELSLSNLRSLHHSERWFIRGPNLRSVWIWTDYDYGCRIGELPRLEDAIVFASAIKTEVLCKILEGISHAETLGFDAITDQFNGNPPERFSFTFQNLRSLDLHACLDQISSTSWVFCILRSAPNLETLEIEVDCDDDEVDAGSVEGFANAQASDDIFPRLRDVWLHSIDCSSNEMCFIKFVLSKARSLELFCVRVNSSRLSYQEACIEMAKYKRASPSARLRLIRG >KN540563.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540563.1:25606:29931:1 gene:KN540563.1_FG003 transcript:KN540563.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPPHGERTLEAMISSAPLLQSLELKNVTMEGAEWDEWVIQAPNLKDLIIQLEFDFLWEIEQLPSIQTATISVDNESTDRDFVQLLTCFAEVRMLDLHIPDTEVDNALEGLSCSFEKLKKLTLRTKFSDVSNILCMFSLLNKCPNIEVLDIEIMESYYPQNDDIDVDFFNTIGTNDMFANLDGITMRNTPCLSNDMHFIEFVLSRARLLSKFWVFRDDSSSFSKPSEEAVIELVKYRRVSPKAKVFFRSMDDHCI >KN540563.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540563.1:21220:23740:1 gene:KN540563.1_FG004 transcript:KN540563.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSVVKVQRHGGRGLATLIAASPVLQEVTLIDVVLIGDGPDEDWVIRASNLRKLTIALGSEYGGRMEDLPRLEECCLFGLNYAKYLTGMARVTKLTFYCNCMLSTEVDVLERLPFLFENLRSLVLGVNFCMMSHISAIFCLLRSAPVLEELDVWHWSEGAQEMEANDVFLNAQWINHMFAKLHVVRMKKVSCLNNEMHFIEFILSKARVLRVLSLTLASDSLSSIEEAIIDITEYPRASPDAQVIFMGVEPESANNDMNGFLDLSAEFPDVEEEETSGLGSLDTVHPRRRQRSNGESVAQLQLLKQLQELEKERDQHQKPTSLSKQHDLSQFRLPPLPEFPSELSSHPVTTRPGDTPVDPADNVAANYLSEQLNISIPPFPEPSLVSSSSHPTSPRLAEALTDPASRSADNVQADSRADQVTIGASSARANSPKPEDNV >KN540563.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540563.1:44797:47174:1 gene:KN540563.1_FG005 transcript:KN540563.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVCETAFGGRVEDLPRLDEGRLFGPNCAKFLTGMSQVTKLDFFCNCMLSTEVDVLERLPFLFKNLKNLSLAVNFFKMSHMLAIFCLLRSSPFIEELDVWGCTEGAKEFEANDKFLNAQLTDDMFVKLHVVRLKNIACVCNEMHFMEFVLSKARLLRKLYVRLSFYAVCSNEEAVIDIAEYPRTSSDAEIIFMECGIDCPEFPELTAPHQPILNSSLIQLLMLKAMLRQGDITDPVSNGVGNAHVYSEEGHIVNHVANVNADSPEGHVWDREATDSHPIKHSSLQSALLLLLQRSIFVH >KN540563.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540563.1:6319:17133:1 gene:KN540563.1_FG006 transcript:KN540563.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIEGKHNLDPSIFSCLDLSSLSLAGCIFPPPPPSFVGFLKLTKLYLSEIELPRHGERQLEGMIAASPLLLELSLDNVRSFHHSEMWFVRGPNIRSLRIWAVDQDYGCRIGELPRLEDAAIFLDSEVTTQVLCKTLEGIAHVESLDFNALMHQFSDNPPERFSFTFQNLRSLDLHACLDQISSTSLVFSILRRAPNLEKLEIEVGCYDDLVDDGTVDGFANAQTSDDIFPRLRYVWLHSISCSSNEMCFIKFVLSKARSLEQLRVRVTSSEGDPDDTTATAAGASLESLPGELLENIVSRLSLRDAVRTSAISRSWIHRWESAPDLRHYWPRRSRPDAICAVLARYSRRVGQFCTWGIRADAFPHIDEWLPLLAAKGVQTLTLSFWDYSDVNVEYYTLHPAIFDCGQLTSLHLERCFLPTAPEGFAGFPNLTLLSLVYVGLPENGEKKLEAMIRMSPSLVSLELSNVEVTDDDFEDWIIQAPNLERLTITSDIDYGWQIQDLPSIQDANINIEDYSIDRDFVKLLTSLAQVGELELFIPKLRSLTLHTNFYKASSILSTFGLLTRAPNLLHLEIEITDHENQSDEVDIDFLNALWTNSLFANLDFVSIKSATCWSNEMRFIEFVLSKARVLGEFYIYHDDTGSYSKPREEAIIELAKYKRASPKAKVFFRDMEISASPAPMVVPLAKRMWNEKGETTGGYMAVLMRIETLCSLDPQEVHMVDPAIVATVLARYSRPVASFRSGWVEREHSAVTDEWLVLLAGRSVESLTLGFAEFDDRRFHTIHSAMFSCRELTELDLENCRLPAAPSGFLGFPNLTTLSLTMVNLPEHGESTLEAMISLSPLLEWLDLKSVCTDGNHMDEWVIRATNLKHLTIESDYDYLWRVEELPSLQTATVKVDDDSTDRDFVQLLTCFAQVRMLELHLPLTNPQWQRHAMALAIARPPAKQKELLIVLTIQALKHRHKRQQHVEKKFNMSDNATEDNALDGLSCSLEKLKSLTLHANFRSVSSILCIFSLLTRCPNIGVLDIEIMGSEFPQNDEIDADFFNTLETNDLFTNLDDITLRNAPCFSNDMHFIEFVLSRARLLSKFWVFRDDSNSLSKPSEEAVIEIAKYRRASPKSRVFFRSMEVITSYFPDSLFLILTQWSVALQKARLLHLSDEHY >KN540563.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540563.1:33375:37182:1 gene:KN540563.1_FG007 transcript:KN540563.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKLNSCLFSFRELTSLKLYCCGLPNLPAEFAGFPNLTTLHFSMVQVQSPGGRGIATLIAASPVLQEVSLIDVKLIGDGPDEDWVIRGSNLRKLTIALGHKYGGRIEDIARLEECCLFGPNYAKYLMRMAHVTKLSFYCNSILSTEVDVLERLPFLFENLRSLVLGVNFCILSHILATFCLLRSAPVLEELDVWVFSDGTQEMKTDDEFFDAQWVNHMFAKLHVVRMKKVSCLCNEMLLIEFILSKARALRVLSLTLASNSQFSIEEAITDITEYPRASPDAQVIFVGREPECANDEWNGFVDLSAELSDLEDIQTSGRRSLDTVNPRRRQRLNGESVAQLQQLEEQLLELEKEEEEHHMRRMQALNEMDQESENVFRHQEYIISTIEFLSKKCNLRQFSLPPWPGRPSTLSSHPATTGPGDTPVDPVDNVAADVHVDSREVHATLDATDAHVGSATKNVANGAPNGFADSPEGHI >KN542282.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542282.1:3932:14997:1 gene:KN542282.1_FG001 transcript:KN542282.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPINGGVWSDVENAFGAYTPCGTETLVVCISYFALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYMLGLLVVLCIAESLYRIATGTSIMNLDGETSLAPFEVTSSIIEIAAWCCMLVMIALETRIYIYEFRWYIRFVVIYILVGEAAMFNLVLSVRQYYSSSSIFYLYCSEIIFKLVFGILMVVYLPSLDSYPGYTPVRHEALVDNTDYEPLPGGEQICPERHANIFSRIFFSWMTPLMQQGFKRPITDKDIWKLDSWDETETLYNRFQKCWNNELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWSGYIYAFSIFAGVSLGVLSEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALVGAAMLVLLFPIQTVIISKMQKLTKEGLQRTDRRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPLDPELPAISIKNGYFSWESQAERPTLSNVNLDVPMGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGTVAYVPQVSWIFNATVRDNILFGSPFQPPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQIGTLSGCYRVIASIRYGTPFVTVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLVHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQMEEKQDESKTQDDIKHPENGGSVIADGDMQKSQDTSNKTKQGKSVLIKQEERETGVISAKVLSRYKNALGGIWVVSVLFFCYALTEVLRISSSTWLSVWTDQGSTKIHGPGYYNLIYGLLSFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAIFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDSRPPPGWPSSGVVKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGKVLEFDSPENLLSNEHSTFSKMVQSTGPSNAEYLKTLVFGDGEERLRKEESKMQDIQRKWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNNILRKTKDAVITLQNVLEGKHNTEIDDTLAQYEVPSDRWWSSLYKVMEGLAMMSRLGRNRLQQPSNNFENNSSIDWDQM >KN542282.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542282.1:16080:16217:-1 gene:KN542282.1_FG002 transcript:KN542282.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AKRVQKCVETLDVLKISNARLQNVIVTTKWETFDAPATTQWELFD >KN545419.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545419.1:221:1666:1 gene:KN545419.1_FG001 transcript:KN545419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQHSELEEILDAAGKEWARLMLEENLRLRAMVEQRGEVVGAEGKARKSVRTSERHLETVVGRVPVPRLAYQSPDTADLHPMDAALNLPKEMFSHGLRRLVAREAARSSFDEVVDTVKELTGASIAKRQVEELTVRAAQDFDAFYEQRAQNRDPSGDLLVISTDGKGIVMRHEDLREGTKRAAEKSSQKLQTRLTPGEKTNRKRMAQVATVYSIAPFVRTPSDIVHTLRDPQELATKRPRPVDKRVWASVEKSGRAVIREAFDEARRHLIHVIEYVWSAARALFSGSNSKAEKWVADRLLALLCGRSGGEVAKTIRWWQARTKLDDAANTAIDKTCTYLADRTRTRLLRYNEALADGLPIATGVIEGACRYVVKDRMDRTGARWSLTGAEAVLRLRALRASKDFDAYWNFHLEQDRLRNHASRYQDAKIPDPMPTPKPRIKRVK >AMDW01032328.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032328.1:57:425:1 gene:AMDW01032328.1_FG001 transcript:AMDW01032328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFTCAWIPKESSRGVVCLCHGYAVECSVTMRGTAERLARAGYAVYGIDYEGHGHSDGLHGYVPDLDALVRDCDSFFSTATASFPRRRFLLGESMGGAVALLLHRLRPDFWTGAILVAPMCK >AMDW01128764.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01128764.1:103:162:-1 gene:AMDW01128764.1_FG001 transcript:AMDW01128764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFGKAFRCARAARRQVQ >KN544717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544717.1:2750:2881:1 gene:KN544717.1_FG001 transcript:KN544717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSAPMEERDHQLQGARRSAVRDYLEQQQQQQDGVYLIHSQ >KN539290.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539290.1:63537:65789:1 gene:KN539290.1_FG001 transcript:KN539290.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGLPPGVRFDPAADELVSRHFLRRLRKQPLPLRGLIHEADPLSAPPWKLLADHGRGGDEAFFFAEVRAKNVKGKRQKRTVEGGGFWQGQRVCVDGERLRVPGDGDGGEVGGGLEIEWRKYMLSFFADGEKGSSGWVMHEYAITAPADLASSPIRLYRVRFSGHGKKRKREPERLGARVHDDDVDGGQRAAPRRAVAETALFVQPSAVDCAESAGQSFSGAIEPVFHDLPDMMPEQADAGDTAETTAEVVNLTDAMSEQPVLPLAADGDDQSSYSVIDPAFRDLADLIVLPPEPDDGGMERATPWTPMSETALFEQQGPPLAPGNADCADHQCSYGVIDPAFCEQADAGEAETTVSAEVVNQNYSMASCDFNFPEVLSYVDFTAGMEPSWQQRWPPMSESAPFEQQEPPLAPVAMVDLPPGNADCADHQSCSRVIDPAFRDLPDMTVLPPEQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAQAWCDFDFPESTDEEVLSYINFTAGAHDDNDGSVERAAPWRPASETAMFEQPSAVDLPPGDADCAESADQRFSGVIEPVFRDLRNMIREQADAGDTAETTAAVVNQNYSMALCDFDFPESTDEALSYIDFTAGAHTDNDGGVSETAMFEQPGSPPQHDPLPMDADGADQSSSSGLLIGTVFRDHAEPIVLPLEQADAGGGAAAAVNPMDKQKYSSSMDGEAAPAWCDSDFPESIDDVLSYIDFSTDDTSCIDFSMDDLFDLAD >KN539290.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539290.1:24675:29914:1 gene:KN539290.1_FG002 transcript:KN539290.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFILSYNVEQEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRMHNWQGLEQDITEKVTVGTEYVVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVTRISASKDCWEKLEGSFELKTLPRRLVFYIEGPPPGVDLLIDSVTISYKKTEQAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNRDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGVDLIIDSVNITCSGYQQLKEVKVPSGVDTIVKNPHFEEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQVITDKLRLHTTYRVSVWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIRIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCRKHNIQVRGHCLFWEVEDSVQPWLRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYLALKQEWLTSISGNVDHHGELKFRGYHGSYTVEVATPSGKGNKAGVVRGCSTGGGGRFGMAWRRSRQLWEAGTESEWRLPVRGHMEACRG >KN539290.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539290.1:73026:77091:1 gene:KN539290.1_FG003 transcript:KN539290.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLLERVKELNYLVLECLAERTLADRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNLGLEITVAVAYETSSVVQAGFKLSLALFSGNSVFSNESTGVQQGGSTYLGAASIVHCLENGKPQVVITSRVADAALFLAPMVYELGWNWNDFEELSQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFERLLDLSLPYAEVSYKGEVFVGKAEGSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFQQISKDKVQCKGAKPSNPCWPEKLLQLLPTMSGWKGWGEISYGGQECLKRAHAAEYLVRSWMNETYPGIEGKIISYIIGYDSLKAIGDNKDSSAKQVMDVRFRMDGLFELEEHAVKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWRVNMKKSSIPSPQNQATNADKGQMCDQQQHKCPRSCAMGTLPLNTNMDTLPSAVPSPSGTKIPLYHVAHSRAGDKGNDLNFSIIPHFPDDIGRLRAVITRDWVKNAVSPLLDSSSFPADRANQVRYDLLENISIEIYDVPGISSLNVVVRNILDGGVNSSRRIDRHGKTLSDLILCQNVVLPP >KN539290.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539290.1:88748:91869:-1 gene:KN539290.1_FG004 transcript:KN539290.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMVSALTGALNSLLFKLNDLLGSEHKSLKGLKGEIGFLESELRSMNSMLERLADMEEIDAQTKEWRDRVREMAYDIEDCIDLFVHHLGSLTGKAGVIKKMAWRIKGLQLSHRISGQIQELKARVMDESDRYRRYDTMNISSMSSEANLRRDASGSRTRSVDPRLSALYTEAERLVGIDGPKDKIIKWLMDPQGGISRRLRTMAIVGCGGSGKTTLANQVYLEVKNQFDCSAFVTVSQNPDVKHVLAKILSDVSGAIGGALADEHHLINKLREYLQDRRYFLVIDDIWDAQTWRIIECALVKNSQGSRIVTTTRINDIAKSCCCSCGDQVYEMKVLCATDSKRLFFRRIFNSDERCPPQLREAANNILRKCGGLPLAIISISSLLATKPKSLDQWDKVKTRINYTQENSPDIETMAWVLSLSYFDLPHHLKTCLMYLSIFPEDYVIKKERLIGRWIAEGFIHAKQGESLYEIGENYFNELINRSLLQPVDIEDDGQVHACRVHDTILDFVVSRSNEENFVTTVGASDLTSTPTGKIRRLSFHKNSEGSVTMPTYLLRSHVRSLTTFLHAGQVPRLLGFYGLRVLDLENCSGLKNHDLKSIGRLIQLRYLNIKGTDISDLPCQIGELQYLETLDIRSTHVKELPSAIVQLQRLTHLLVDCHVKLPDGIGNMQALEELTGFSVFMYPSTFLQEIGKISSLRVLRVVWNYVDFQGNAETYRENLAISLTKLGTCYLESLSLDIHGHDEEDDFSLHLWTLAPCRLRKLYIGRWHPISRMPNWTESLANLQYLHIYVKRINQEDLRMLGSIPSLLTLYLFSDEAPEEKLTITSQGFQSLKFFKIHCYHMGLVFEAGSMAKLEYLHILISAFQVKSWDGSFDFGIQHLSCLTKVYAYINCYGLTAEEAEAAVNAIMVSVDTIPNCPKLQIDRRYAPL >KN539290.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539290.1:13805:17511:-1 gene:KN539290.1_FG005 transcript:KN539290.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH11 [Source:Projected from Arabidopsis thaliana (AT5G47510) UniProtKB/Swiss-Prot;Acc:F4JYJ3] MSFKSIDRLIRRNSKTKLSRNIVDGIYDQKEEQYVQSLRELLLANNQLPEKFDDYHVLLRFLKMRGFNIVKAKEMFLNMLKWREECAVDAIAKDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVSSTDRYVKYHISEQEKTLSLRYPACSLVAKKHIGSTTAIFDVKGLGMNNFSKSGRDLFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSATGGCLLQDKGPWTDQEISQASKGVFGKGQKSFDEISTTVACENFPGHQEPSVGKLHPISGWKRTLGMLLKDNQVGDTNENIQQNKVNEQISEKIQELENCSAQTQETLHALLQKQNELANHIEQLRKLLREAANADNKANVLILK >KN539290.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539290.1:81057:82365:1 gene:KN539290.1_FG006 transcript:KN539290.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDKTQGSSRDSEPMLPKIHGKRSDRPRGRNHTPWAPLQGLLNSVRNIRKYVSDRSVVSKMMKSTEGDNMSSSASETAPELKDNNGEDTKYKLLEIKTEITERIDPKTRGKRSARHRVKEPALWTSQDELQKFETGKSRNGNEQAVYSRKRKKTASKGEAKTGTGNDVTEKTGVRVIDTSAEGKNSTSENTNQKDGVPTLNTPMDKKLSGADAFKQEDALIADDAGAVLKDSNGAAANALEQHATGATNPMENKADNGVSGAEAALASIYGEPSEWDMCITFAVKLLMDEMPLPEDAAEVEEFFRQSITNIAGPSVP >KN539290.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539290.1:7674:11556:-1 gene:KN539290.1_FG007 transcript:KN539290.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >KN539290.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539290.1:38854:40644:-1 gene:KN539290.1_FG008 transcript:KN539290.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGLPPGVRFDPADDELVSRYLLRRLRKQPIPLHGVIHEADPLGAPPWLLLADHGRGRDEAFFFAEARAKNVRGKRQKRTVEGGGFWQGQRVCVDGERLRVPGDGGGGGEVGGELEIEWRKYMLSFFAEGERGSSGWVMHEYAITAPDDLASSPIRLYRVRFSGHGKKRKREPELLGARVHDDDVDGGERAAPRRAVVETALFVQQPSAMDCAESADQSFSGAIEPVLPNLPDMMPEQADAGDTAETTTAVVNLTDAMSEQPVPPLAADGDDQSSYGVIDPAFRDLADLMVLPPEQADAGCADVNQNYYMALCDFDFTAGAHDGGMERAAPWPPMSETTPFEQQGPQLAPAAVVDLPLGNADCADHQSSYGDMIVLPSEQADAGGGAETTEALFDQPVPPLAADCANQGSYGVIDPVFRDLADLIVLPPEQADAMDGEAAPAWCDFDFPENIDEALSYVDFTAGAHTDNDGGVSETAMFEQPGSPPQHDPLPMDADGADHSSSGALIDTVFGDHAEPIVLPLEQADTGGGAAAAVNLMDKQNSSSMDGEAAPAWCDSDFPESIDEVLSYIDFSTDDTSCIDFSMDDLFDLAD >KN539290.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539290.1:93780:94563:1 gene:KN539290.1_FG009 transcript:KN539290.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHNALSTFSGGGIPESCNDKMVNNITKDVGDFYDLLEGPMVTVSRSLYAQAVPIVKFSRSLYAQAVSMVKFTSLTVCENKTYSLYFHCVMTFVV >KN539290.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539290.1:52379:53899:1 gene:KN539290.1_FG010 transcript:KN539290.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFADGEKGSSGWVMHEYAITAPADLASSPIRLYRVRFSGHGKKRKREPERLGVRVHDDDVDGGQRAAHRRAVAETALFEQSSAVDLPPVDADCAEYADQSFSGVIEPVFRDLPDMIREQADAGDTAETTTAVVNLTDAMSEQPVPPLAADGDDQSSYGVIDPAFRDLADLIVLPPEQADAGEAETTVSAAVVNQNNSMALCDVDFPESIDFTAGAHDSGMERTAPWTPMSETALFEQQGPPLAPAAVVDLPPGNADCADHQCSYGVIDPAFRDLPDMTVLPPEQADPGGGAETTSAVVSLIEALFEQPVPPLAADGANQGSYGVIDPVFRDLADLIVLPPEQADAMDGVAAPAWCDFDFPESTDEALSYIDFTAGAHTENDGGVSETAMLEQPGSPPQHDPLLMDADGADQSSTGPLIDTVFRDHADLTVLPPEQADTGGGAAAAVNLMDKQKYSSMDGEAAPAWSDSDFPESIDEVLSYIDFSNDDTSCIDFSMDDLFDLAD >AMDW01031018.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031018.1:191:352:-1 gene:AMDW01031018.1_FG001 transcript:AMDW01031018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EFDATYGPAWHCIVGTGFGSYVTHSVGGFLYFSVDKVYVLLFRTAVEPLGHPQ >KN540452.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540452.1:45142:50314:1 gene:KN540452.1_FG001 transcript:KN540452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLRAGAGAKPPLPYFVPPPPGDVRISSRPPTTATRCSSSSSSSPKLSSATVQFRSDSDPWNQPTVDDDDDDLKKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTSAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQPVLNGNANTTAGFFGFTDNGTLLLTRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLMLVEKLDYLQSKLLQNIFFGLSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSREREKSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQLFQKGFSLNDCFVQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLELVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQDIVISRYCYEGADEEGCIIEMDGRCLCSSVRAVQWRSLRKRLHSLEVVYTYKTLVAAN >KN540452.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540452.1:35992:37633:1 gene:KN540452.1_FG002 transcript:KN540452.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSMSSSSTQQQAQVPPGFRFHPTDEELVDYYLRKKVAARRIDLNVIKDVDLYKIEPWDLQERCRINGGSAAEEQNEWKKGGWYAGCSRRDYPQQEESQTMTHLAAADHHQINMHLAQADPFFDDMHAVDQATTTDWRVLDKFVASQLSNDATNKPADHYTDEGDILQVSDKQQEVAAADYASTSTSSSQIDPWK >KN540452.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540452.1:19843:21992:1 gene:KN540452.1_FG003 transcript:KN540452.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHRYAHLLDDPFFSFPPSSSSCPFLSPPAAASSICPFFALDSPSAFADPFDLHPFLPTSSLLDPFLLHTLTDRVSQLELALAARAPHPRPTSRKCTYVTESAGRKVKWTTEDKPRAGERVLKWEAELDSPYDDGFDRKWKWEAKGKTASAAATKLKWATHLKGKGCLEPWSHSYTWEEDFSATDDDDDDDIEEQLHHKALQDHSKLKTKAKDDKKKKKKDNNTVVVNKEQKKCPFSVKIEEIPPEEDNTAGCVAIRKAFALGNGKAKKKELSPQDAALLIQLNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRMAHDHEERQRFTEKIIVLLLTVDALEGPDYMVRTAKKSMLDELEGMLEIVDPQPPGKQRSLTRRKFDLPEGGPITDEKMAGVNNAVKVIQKGKK >KN540452.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540452.1:6267:9245:1 gene:KN540452.1_FG004 transcript:KN540452.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVLLLMLMLMLMHLQVGLGRLGNDDGVWEEFLRLPTENGGTKWALLIAGSSGYENYRHQADVCHAYQIMKKGGLKDQNIVVMMYDDIAYNPKNPRKGVIINKPNGGNVYAGVPKDYNGNDVNKNNFLAVLLGNKPALTGAGSGKVISSGPNDHVFVYYSDHGGPGVLSMPSGEGLYANELVQALKKKRAGGAFLNLVVYVEACESGSIFEGLLPSNIGVYAMTASNKTESSWATYCNTPGWFEN >KN540452.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540452.1:15289:15633:-1 gene:KN540452.1_FG005 transcript:KN540452.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVACVLVVVWLSAGQSAACDGHPRPTPAGKCPINTVKLGVCADVLDGLIHASTPPKEPCCPLIAGLADLDAAVCVCLAINANLLGVNLDVSVDLSLLLNYCGCKLPAGFKCA >KN540452.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540452.1:43094:43885:-1 gene:KN540452.1_FG006 transcript:KN540452.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAFTFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETVSELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLMDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >KN540452.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540452.1:12737:13819:1 gene:KN540452.1_FG007 transcript:KN540452.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDADGPSFFRHLTLTDGDDGTLPRRRLIKISVIGAGNVGMAIAQTILTQDLADEIVLIDAVADKVRGEMLDLQHAAAFLPRVNIVSGTELSLTRSSDLVIVTAGARQIPGETRLNLLQRNVSLFRKIVPAAAEASPESVLVIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLEVSAQDVQAYMVGEHGDSSVALWSSISVGGMPVLAHLQKNHRSAATAKKFDEAALEGIRRAVVGSAYEVIKLKGYTSWAIGYSVASIAWSLLRDQRRIHPVSVLAKGLVRGVPADRELFLSLPARLGRAGVLGVAAELLLTDEEERRLRISAETLWGYCHALGL >KN540452.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540452.1:25386:29428:1 gene:KN540452.1_FG008 transcript:KN540452.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLAFVAVAGALVAQATDPYVFFDWDVSFITASPLGVPQKVQKVIAINKQFPGPVMNLTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPPLSLQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKSHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPAGIEHETIKVEPGKTYRFRVHNVGVSTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESLWTKVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSSSAASPNRYWLAVVVSLVAAVFVQ >AMDW01035423.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035423.1:175:552:1 gene:AMDW01035423.1_FG001 transcript:AMDW01035423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSEVLGKGAMKTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVHPSRRTFNFITELFSSGTLRSYRLRYPRVSRRAVAAWARAILRGLAYLHSRGVIHRDL >AMDW01066110.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066110.1:359:1336:-1 gene:AMDW01066110.1_FG001 transcript:AMDW01066110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPNPHRFLRQGHIVHLGGNLRVPRVDLTIPQRPVRRHEEFCLALVEPPVPEQDWDHHRTLILHHILDERLFEVRSSFRHASAVGMFQLRSAMHRDALVHSEPFIYDGLHSVSFVKHDQGPNWRASQYNREGWFLFLDFRLDFVDWDHLNLATATFGQLSFWLERDQMKGRVLVRAKFKDNDSVPRKIVLHDPVGMGGGGESWTVSVFLLEGDFLNLPPEEDLPPAGPPPDPNDPDNDVDDPDAGNIWQMGQPPAGPGDWDDLVQQQQAADAEVEDAWGQDHPMGQIMEVNPDGMLALVPTIPEVSVPVPTAAPVRKEKVTV >KN539028.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539028.1:119905:123090:-1 gene:KN539028.1_FG001 transcript:KN539028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPGKGKEVVVAEEEERKEEEEEEATCDELEEQMEFLRRLDIGEEREQEAARSDGVVTMIRSCRSLKSCQLVRNAIVPWVGRRSKMQNLVTDSDKLRVIQACIQCFPADIAKVLVDAVVENCIEIACHLNGLLFLQNCLGHITLEEKYKIFTQVCINSVYLAKHRSGNYIVQDVLEFGHPFHLEIITSCFKTHYVDLARQKYSSRVVEKCLKIFGDLEQYSIVCELVLDLDHFRDLVTDEVANYVISTALLACTVPVRDILANTIISLQDVNRHHPHCLKIFDVLSRLGYMQ >KN539028.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539028.1:74095:77983:-1 gene:KN539028.1_FG002 transcript:KN539028.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYTAVAPAVKATAVASASVIKATVAASGRGKGAALQSQICHEELQRHGLHQLVKNGHAYLSAACPLASELMCCCALYCKVKRHANSVFFSQDAVNMVKKCQLLQWLRDGNIEYVWNHGTKLTGQGFRCGYCGFTNGGGGATRLREHLGGITGEVRPCNSVPANVQRAMRESRLLSRKRKREREHRKLCLERELMQGLNGGEEVINLASDEEGQAQMAIRDTLRDKNLSRAIERRRGSGSGVRVSLGKKSITAYFDKDLARSKVSSQPRIDTALMEGSREKLGQAWAKWFHANDIAGLKADCPYFRAAMRLTQQLGITAQIPTANAIDGEYLQANFEEAEHSLESYLNKKDELRKWMVSNDWKESDWTDDESYDYTEACLTSSTWWSALEWVVNAVKPLYLVLRYADTQKNCTLPGFKTRMMAAVHAMEAQLGEGSRQFHWFMSKVTKRVCKMETNTLMVAAAVLDPETHYKYNFSKNPEYALALTDALEKMAETPEDAVEAIKEIVNFRECIGRFNRHVARAGASSMSPIFDEENPIWDWLDKSMGEVGPSLNGIYQSLERDFSAGGNRKGKRARVDEEDEEIEFEESEDGEEEVEFDDVSSGAEDDNGHDVSIDGLNANNDDNGDELMGTSTRVEEDIEASGRSGRLKRKKRMIQSLYQRE >KN539028.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539028.1:25493:27759:-1 gene:KN539028.1_FG003 transcript:KN539028.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHLEPDKGKHGHVMSSVLLFQNYHWSPKTFKQQRRSLKTLKQAREQPNNSEAARAKVVARYVCGMASMLPFEREPPAADGNKKLCYICGDDDGSHDELSCPFNYMYYHMSDEDASEGTTCKGSCSAGKHPMAVVSGSGRRREFLRCVVRVNNFPTKLRPWDLSWLCKPFGPLRMYHLVMRNSKFSRGFGYAIFSSRQHAESAIERLNGRIIHGRKLRVDWAYPCI >KN539028.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539028.1:162800:164124:-1 gene:KN539028.1_FG004 transcript:KN539028.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGWRVAFHIVALISVVVGALVRLFAVDPHFCSNIQDDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFELVLASFAPPIVGFLAEHAYGYNPVSSRARPSSVGSVSDRANAAALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGRRSKDGTVIDVEYGEEESGDDDEKALMCYHVEQSGSVVR >KN539028.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539028.1:3004:9578:1 gene:KN539028.1_FG005 transcript:KN539028.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPLLPWLSLRLLLLCHCPLSAPAAALDALAPAVVGKPGCQTRCGAVDIPFPFGIGDDRCALEARHTRYPFKLDCSMSVGGTSKPFFRGMEVTKISLADGKAWMKMNISQNCYNQSTGTMERNTEPVDFTGTPFWISDKDNKIFVIGCRTFSYMQINNVVTGCVSKCERALKDGECSGDGCCQVDFPTKGWRYSTTFDSENYNTSLIWRNNPCSYMAVIETTAFKFSTTYVNSTIFYDTYNGAAPVVLDWIISMDVCDIAIKNTTSYACISGNSNCVDDIKGGYRCKCSHGYEGNPYIKDGCKDINECLDNTTYPCMGICKNTIGSFDCSCYPGSYMKNGFCLPNQKSTFPARHVIGASVGFVILVIAITFACYVQQRRKLQHIKNNYFQQHGGLILFEEMKSQQGHAFKIFSEEELQQATNKFNEQEILGQGGNGIVYKGLLKSNSEVAVKKCMTIDEQKKKEFGKEMLILSQINHKSIVKLLGCCLEVEVPMLVYEFIPNGTLFHLIHENHGNHISLITRLQIAHESAEALAYLHSCASPPILHGDVKSSNILLDNNFSAKVSDFGASILAPTDEMQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLDAPEHEKVLSMMFLSAMKENKLEDMLDDQIKNNENMEFLEEMAELARKCLDMSSINRPSMKEIGDELGRLRKVMEHQCARQNPEEMESFLGDSSYVINSTVESTKSFSIEKNAMKRLKSGR >KN539028.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539028.1:152076:159810:-1 gene:KN539028.1_FG006 transcript:KN539028.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQQPEVVVERERRRTLVLVNLASIMERADEALLPAVYREAACYPLAAYAAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDGGTRGSAFGWLQLASSLGFISGGFVGLLLAQTTVFGIAGWRIAFHLVATISVFVGILNWFFAVDPHFPAGNVGSCDRPVCKQSVWQVIEEMIKEAKFVVQIPTFQIFVAQGVSGSFPWSALSFASMWLELIGFSHKDTAFLMTTFWVASSFGGLLGGKMGDFLALRYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGVFISWNGPATNMPICAEIVPEKSRTSIYALDMCFESVLSSFAPPIVGILAQRVFGYRADDKGKSIQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQLMEQESFCLEDGDCRFQAACYPLAAYAAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVALARGLNGIGLALVVPSIQSLVADSTEDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVDPHFPRSNAATCDRLVTKQSAWQVIEEMIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKETAFLMTIFWVASSFGGLLGGKMGDFLALRYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGFAYGIVLFIMGVFISWNGPATNFPIFAEIVPQKSRTSIYALDRSFESVLASFAPPIVGILAQRVYGYKPDNKGQSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEQEGSCLEEGDCRFQVVDSPHDDEIATIEVTNDVKGLSEAEKDTAKLLANRES >KN539028.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539028.1:128476:134500:-1 gene:KN539028.1_FG007 transcript:KN539028.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGRRVAVVMMLALLVLCFHAAVCASASPAVVSRKANLSGRKGGLAAAAATAERALKKRMVAAVAAAAKDQSGVLKHGNKLSSGDVVTAKTATTKTPATANTKLLKEDKAAKLTRKSPEYMANTKTKKAFESNAVDVMKIEESGEKAQAAAATKTRKSAEEVAAALAEQDGAEDLISELSSSASRETNKRVRNEKQRKIGAIDAEAALHAVLRAGHCYGKLGDPVANIVANARVFLSDPDHAGGAGGGAALRRLEEYSLAGLVCLLQSSRPTLSRAEAMWCLLSCDLRLDQAISMGANLNEKPTPAIASAESDELPPPAAAAPGQRGYCHYHTTTASATPDTALFDPDNFMRLAMRQGPGSVSGVISCIKTTWSRSNGIASDAQTNQPVTMKLSTEEIIDSIVKELKLLDIDKKDAPDVKPDPKNEMVRDLIKQTREMEAQLKERKEWAQQKAIQAARKLGTDLTELRVLRMQHDENQRRKKDKQEMEDETMKRLTQLENELKKKSGQLDRSNATVQKLEMENAEIRAEMEAAKLSASESERQCQKLVKKEKKDSKRLEMWDRQKAKLQEDIAECKTKITQVDRELAEINKAIRNMEVVLDV >KN539028.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539028.1:69063:70178:1 gene:KN539028.1_FG008 transcript:KN539028.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKAKTVERNDHRLLCSDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHLKRSQQKLLLFANDKANDRSLAMVLADDTGATYQLTRPMASRSLFVHNSCNGLLCLGDSTGAVQLLNPTTGESATLPMPMYTAGSSQFSSCNWHCLGFCPSTKEHKVVHFYLGAHFDSFNVCCEIFTIGDKSWRQIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINCLNLESENFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHDNPPRTMDILMLDSGDKTTWTHRYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCYDPSSSSTELKMACPESEFPFSTHTFIESIVPLRKDYFIKQIQ >KN539028.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539028.1:39375:40361:-1 gene:KN539028.1_FG009 transcript:KN539028.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSVGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRAPARGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRSLPVLPLSVSEKSDFF >KN539028.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539028.1:82135:84402:-1 gene:KN539028.1_FG010 transcript:KN539028.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAEHLLGLSSAPVDWEAESYPGYGDFAVLPFLVAFFPAVRFLLDRFVFESFGVQISYAVEIMSMLLARRLVLGKGYDKLAETDESRKKINKFKESAWKFVYFLSAELLSLSVTYNEPWFKNTRNFWVGPGEQIWPDQKTKLKLKAVYMFAAGFYTYSIFALLFWETRRYILNNFPDKVIACIFARVGSVVLALHDASDIFLEIGKMSKYSSCEGLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHKFYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRIGDDVRSDD >KN539028.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539028.1:124668:126057:-1 gene:KN539028.1_FG011 transcript:KN539028.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMKIREDTKAKEENLALAEQEHAKRESAKANAERRLEEIRQKTEVESRCFKDDIKRLEDELARLQKSMGVNQPTVPSTHPPGVADRNTARSPKQPTNQRPSPASNKQSQAPTQKASRRRDCVICKREEACVILLQCAHQVLCVGCNKRHEEKGVARCPCCNAKVEERIRVFGASSN >KN539028.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539028.1:137041:137481:-1 gene:KN539028.1_FG012 transcript:KN539028.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVDGRRVTGEDVCRWEDLDGVREVAMGAGGVMSSTRGRMIASPENNR >KN539028.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539028.1:138964:140089:-1 gene:KN539028.1_FG013 transcript:KN539028.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAALNGVGLALQIPAIYAFVADSVDGTSRGVAFGWLMVAGKVGTVGGTSLGLLMAPTSFLGIPGWRLAFLLLAAAGAVVGVSIRWIDAGNDAAAASTTTTAKPVRQELQEFAREAKAVLRVPSFQVMVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMALFKVATSLGALLGGKMGDAMARRFKNSGRIVLAQVSSGSAVPLAAVLLLALPSNPPAAAKHGAALFALGLMASWNPSSTNGPILAEIVPPRDREAAARAEAAARGDDGGEGSDTEDEGEDERKLLPQ >KN539028.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539028.1:79080:81599:1 gene:KN539028.1_FG014 transcript:KN539028.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKNQQGDWNHEAYLLFPVYIDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKTGNVLITHRKGQAPLATLMDFGSARPARKEIRSRSEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFITWMLQPQPAMRPHIDDIILHVEKLMEKYSS >KN539028.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539028.1:51467:52867:-1 gene:KN539028.1_FG015 transcript:KN539028.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSVGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRAPARGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRRYP >KN539028.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539028.1:94494:99951:-1 gene:KN539028.1_FG016 transcript:KN539028.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPRGTKRPLPADADAAGGDDDDGALPGVGIARIFGVKFCNLAFCGNIQDSANLVDDGIRLEPFNLEQEREEGYFDENGNFVEYARGNDIKDAWLDSVEVDTKYAEKVQKKKEKEKEEEFQDLSSDDIGKIKRRIANILEPGETIIQALKRLKNTSSDKRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFEREAAGYERLARARLGLPEAEEDMFADSPKDKTTASLLDMEPGPSVAHTSTTTTTSKEDDSDFDMFGDDDDKTDVKRDSDANAVGSGSNPEQVPHDANETSGAEKGENGSVSSDYVYDPTSGYYYSSSTGYYYDSTSGCYCSASTGTWYSYDEQTGEYKEIQSEQASTVNETPGDGIKE >KN539028.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539028.1:61949:64018:1 gene:KN539028.1_FG017 transcript:KN539028.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKNLFRTKNFQEIVVRQRRVRGFFGAAEFAGGLAMSTAMKAFLNSPVGPKTTHFWGPVANWGFVLAVYQFYVQLLKQARGLVDMNKPPEMISGNMTAGLFMRFAWMVQPRNYLLLACHASNESVQLYQMSRWARAQGYLEKKEPEAQQ >AMDW01040471.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040471.1:170:1571:1 gene:AMDW01040471.1_FG001 transcript:AMDW01040471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILDLSNNNLIGDIADLGKAMTRCMKGLSMIKLGNNNLSGSLSGWIGSFPNLFSVDLSKNSLSGSSPLQSQVPQWLQTQVGMQTLDLHRTGTLGQLPDWLWTSLTSLINLDLSDNLLTGMLPASLVHMKSLQFLGLSSNQLEGQIPDMPESLDLLDLSNNSLSGSLPNSVGGNKTRYILLSSNRLNRSIPAYFCDMPWLSAIDLSNNSLSGELPNCWKNSTELFLVDFSYNNLEGHIPSSLGSLTFLGSLHLNNNRLSGLLPSSLSSCRLLVFLDIGDNNLEGSIPEWIGDNMQYLMILRLRSNRFTGSIPSELSQLQGLQVLDLANNKLSGPLPQGIGNFSEMASQRSRHIIPMQISGDSFGGSLYHNEILYITIKGEERLYSKILYLMKSIDLSNNYLT >KN546056.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546056.1:495:1161:1 gene:KN546056.1_FG001 transcript:KN546056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FIKDELQTIQAFLIAAEASKKSILLKVWVQQIHNLRTRIEEVSTRNIRYNLIENDLTCTTDERNLFMEDIRNESANNIEEAELVGFSGPKRELLDLIDVHAKDGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMVKLYGEEVLKKWLRELEGK >AMDW01037268.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037268.1:135:640:-1 gene:AMDW01037268.1_FG001 transcript:AMDW01037268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TWRKDVKSSDIKDAINKVAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKPISGEEQSSKIVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSV >KN541198.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541198.1:15641:18904:1 gene:KN541198.1_FG001 transcript:KN541198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLALAYRDAREFRMETKRKAEHEITSREDGANDARPHVRIALYYYNCNHPGAEFDCVRSLSAHFASFRGEIWSHVNFLARRRGCIDAPVLRFFAELFYYGPLAETPVVVSCIVLREEPLFVVRRIEAALQEPVHHTTKRELSITEEPAGRTETVQEAPLVQYRSSCAFCSDRHYEVLHPSEEEFVCGKEGQEVKPSGWFYWMMDIGGRLPDRILVRPRCFLDDLSDFCSFVFYVFFIWLARLASAIKVSSIG >KN541506.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541506.1:10494:13308:-1 gene:KN541506.1_FG001 transcript:KN541506.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNTYIFIFYRQAVGSLILLPAALLQRKSARQVMTLGVLIKLFFCALIGITLGVNLYHVSLKFTSATVASAVDSSLPAITFFLAVLLRTEYVKLRSSSGIAKVTSVALCLAGVFIIAFFAGPSISPINHHRAFASDAGSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMIVTLTQCLFSTVQSFVVAVVAERDFSKWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYTMLWGKSKEGNETDDVTDDDIEKSAQIYPGEQQHTTTDQDKESTLIGSAALHIQEL >KN543298.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543298.1:229:673:1 gene:KN543298.1_FG001 transcript:KN543298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVALVAALLLAGPHATMAAISCGQVNSAVSPCLSYARGGSGPSTACCSGVRSLNSAASTTADRRTACNCLKNVARSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >KN543298.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543298.1:3635:4123:1 gene:KN543298.1_FG002 transcript:KN543298.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVALVAALLLAAPHATTAITCGQNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVS >KN545826.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545826.1:319:1225:-1 gene:KN545826.1_FG001 transcript:KN545826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGKCMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFNAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDMLHKYKSLVQLLESADLNGMKNEEKIAFWINVHNAMMMHVSYLISGQRVNPELIEYHILCCRVHSPAQ >KN538862.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538862.1:53601:56587:1 gene:KN538862.1_FG001 transcript:KN538862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCGVTPYDYSHVGHARAYVAFDVLFRYLKYLGYEVNYVRNFTDIDDKIIKRANEAGEDAISLSSRFIDEFHRDMYELQCLPPTHEPRVTKHIEQIIDLIIKIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDQNRPGTRVAVDARKRNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGNVFDIHGGGKDLIFPHHENELAQSQAAYPESEIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTLHDGEETVSLYGEHKLENSIPADDQKLIEENHSNFLEKMSDDLHTTAALDHLMKLQQKLEHQKKKQSQKQQQQQKKPEDYIQALVALQNEVTDKLSILGLMPMSSLAEALKQLKDKALKRAGMTAEQLQQLIEQRTLARKNKDFAESDRIRTELTALGIALMDEPTGTLWRPSEPELAEGS >KN538862.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538862.1:102252:105236:-1 gene:KN538862.1_FG002 transcript:KN538862.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFFSMFTPMFNEQWCNIKALPGANRLIKHLKSNGVPATLASNSPRSNIDAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLEAAKRMNTNPPNCLVIEDSLPGVAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVKPEKWGLPPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIFKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARIAEKALNLPLYAKYKDSPYLRNSLKEDNSANGNQSVIDSK >KN538862.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538862.1:11731:15125:1 gene:KN538862.1_FG003 transcript:KN538862.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQEGRRWVVAGEPHEFLHTGFERSESLYGGIFQGRAVIGDGKVLVSLADAAFFVFDCTHCVWTRLSLTHKKLNYIPLSNRSVYVEDHNAVYFLHFDTLFAYKFSPGKNTIEPPIRLDVMCPFGTNGYGSLVHLAGRVMCAVWIGTRSICTCTTQHVMITTLIINGDCVDGGGFVPKDVGVLHSTCRSLVVGDGRETISRCNIFCFSLSYVEDVDRVEPFSIPPVLGEATYSEIEDSAPNMLECCRYSFMISDAMTFDCFLLNLDSLEWTIVKSFQSYRRGTLLRRSIYIGGFIYTLFTGGILAFELINNYGSYYLDVPIFLRTWSKLIRDKNTICFASVGEDNSSGSIMFCLAHGYPIYGPSSMPKIKNLHHVKITMMQVTTCETVRGTREPVKPPRDGCIHTQNVPAA >KN538862.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538862.1:66063:68685:-1 gene:KN538862.1_FG004 transcript:KN538862.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQGKEEAVAESTSWRQDPATVMASSGDTNTVMKYVANGVSDFLLKPVRIEELSNIWQHIFRKQMQDHKNNNMVGNLEKPGHPPSILAMARATPATIRSTATEASLAPLENEVRDDMVNYNGEITDIRDLRKSRLTWTTQLHRQFIAAVNHLGEDKAVPKKILGIMKVKHLTREQVASHLQVHIKYRMQLKKSIPTTSKHGATLSSTALDKTQDHPSRSQYFNQDGCMEIMDYSLPRDDLSSGSECMLEEQNDYSSEGFQDFRWDSDKQEYGPCFWNF >KN538862.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538862.1:91110:92603:-1 gene:KN538862.1_FG005 transcript:KN538862.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVAAIGKLLCCVQVDQSTVGIKERFGKYEEVLDPGCHCVPWIIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQAHQIK >KN538862.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538862.1:109606:111750:-1 gene:KN538862.1_FG006 transcript:KN538862.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDLVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLD >KN538862.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538862.1:4848:6704:-1 gene:KN538862.1_FG007 transcript:KN538862.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDPLFAFGKGCQPSSRLDTMKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDPLFAFG >KN538862.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538862.1:142333:142924:-1 gene:KN538862.1_FG008 transcript:KN538862.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAHTVSKVSMVIITNNRTHLNFLATHDRAMVFFFAKIHNDDNGASFCCPVSLPTPCTGRIRCLYYDYMGIRIVHLSKENFCGREMEFEKMPCGEDSRNDHDRSFRFDEPINATLIAISMAIAV >KN538862.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538862.1:124433:128270:-1 gene:KN538862.1_FG009 transcript:KN538862.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHASSVSSHGEARLGGERGLVDREELVRVIAQSLYSLGYRRAAAALEAESGVPLYPAEHDRLLFDVMSGRWDACVAAIRAVAGLGDRERAAAEFLVWRGHFLELLGIGDAGLPRAREVLWRRIAPLGVDRECVHWLARAMVSCEGAVAPDAVVGWRIGLFLDLVDAFPPWFHVPSGRLELLVENAVVKQVSSCVYHNLPDEVTLFEDHKCPEEQIPSKCSQILCGHNNEVWFVRFSNDGNYLASSSSDCTAIIWKVEEDDTLTKKHCLVGHKNPISFVAWSPNDRMLLTCGNGESVKLWNVATGECSLKFSSSVGHIINSCAWFPNSEKIVCASCEPESSPKRIFTCDLEGQELESWVGDRIPKVSDIAVTPDSKHLICVCSNEIWIRELPKGREWRIREQQTISSLSLSGDGQSLIVNLNSQEIHLWRISESSAAPDNKFKGHKQGKFVIRSCFGGSNSLFIASGSEDSQVPVL >KN538862.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538862.1:84547:87276:-1 gene:KN538862.1_FG010 transcript:KN538862.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYLSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGIKSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDGYTGTGVYGPLPRIFISELLVDELSPQSQTDIIHKYIRTSGKGNKHATLASTSGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHTTISTHRLMSDIRSISKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSALFTFADGITESIPRSYIEFAERLLLPQFKDLPNDEQQVKAAFCYLEVQDRCVRWWRNTTCSHLRCFPQMLVFDRRIIIWQRQICDLLGSINFVELQPRCWFPLKITSDLLKSLRKCVI >KN538862.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538862.1:33984:35393:1 gene:KN538862.1_FG011 transcript:KN538862.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSFAQTAQASEGTNLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >KN538862.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538862.1:2552:2809:1 gene:KN538862.1_FG012 transcript:KN538862.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRETVISLIPAVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDSLFAFA >KN538862.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538862.1:94920:97425:-1 gene:KN538862.1_FG013 transcript:KN538862.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNAAFSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSEGEEKK >KN538862.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538862.1:154394:156245:1 gene:KN538862.1_FG014 transcript:KN538862.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDTVSKCGSALNRRKAVAASLAALKSAGVEGIMVDVWWGIVESEGPGRYNFDGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVNIPLPRWVVEEMEKDNDLAYTDQWGRRNFEYISLGCDAMPVFKGRTPVECYTDFMRAFRDHFASFLGDTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMRSSLKAAAEARGKPEWGHGGPTDAGGYNNWPEDTVFFRGDCGGWSTEYGEFFLSWYSQMLLEHGERVLSGATSVFGDGAGAKISVKVAGIHWHYGTRSHAPELTAGLLGSFGFVLFVSGGKLLWRSKRASFSSR >KN538862.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538862.1:118331:118654:-1 gene:KN538862.1_FG015 transcript:KN538862.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MCDELADDLGELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTHNSSRRKQCARRERAKERLYATPAMGMMVSKSRNEPPAAQRQQKRPGRGGDEQSEKKKSGE >KN538862.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538862.1:38360:51971:1 gene:KN538862.1_FG016 transcript:KN538862.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLSPGPRSFHGLVAAHVLAGDAEGAGIGECHNSKDLTSLMPPKMQSLRRELSSGVRPLHETFVALVRVFAKKGLATRGMEILAAMERYKYDIRKAWLILVEELVNNNYLEDANTVFLKGTEGGLQGTDEIYDLLIEKDCKAGDHSNALTVAYKMEASGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAGDVAELLGMMVEDHKRIQPNARTYALLVECFTKYSMVNEAIRHFRALRRIPGGTNVLYNEGNCGDPLSLYLRSLCLDGRADELLEALEAMSNDGQTIAPRAMILNRKYRTLVSTWIEPLQEEADVGFEVDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEIDDDEDWFPEDPIEAFKVMRETRLACYDMNLCFELAPKLLMLELWIVSYGCYVNFQSVLTNLPFFCFFSSAPGLSPLYDEVILLCLDLEEIDAAIAVVAEMETNGIKASREQRSPVIYSSEVEFCSNEVSHWSVIIVGSRLALSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKIGLNVSIMQGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCFFFWNYLSREKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMGGMDY >KN542554.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542554.1:13130:14149:1 gene:KN542554.1_FG001 transcript:KN542554.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METGGIAERFRDKTILITGATGFLGKSPFQVQLLKGGLKKLQPGLLLSSCSIQFITTTEGENVYCRAKHEWNFRGMSRVGLDNMAKFDIASKAI >AMDW01021712.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021712.1:74:250:1 gene:AMDW01021712.1_FG001 transcript:AMDW01021712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSGDDAELGLDVECLAAAARRTRARRSHSEAERKRRERINAHLDTLRGLVPSASR >KN542851.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542851.1:964:2320:-1 gene:KN542851.1_FG001 transcript:KN542851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYNASSINYSGGEFFIFEEDVWLSTIVDALTLTIAAIPVPAVELPADLLRGSCRPASSPASPPPLRSPSHEQSRGGRGHRRPAASLGKVNMSARHQQQ >KN543619.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543619.1:2660:4675:-1 gene:KN543619.1_FG001 transcript:KN543619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKIPALLWFLLAGLITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLVIVNVHNNGNKNITIHWHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHQKVFSTPRPRNREWWNDDVENVLEKAKRTGGDVEPSDANTINGQPGDIFPLCSKDDTFKVAVQQGNTRGVYEEDFPNKPTVFVNPTGDVNGGGPLLTKRGTKVKVLEYGTVVEVVFQDLSSENHPMHLHGFAFYVVGRGSGTFDERRDPATYNLIDPPFQNTVSVPKSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTTQAQMLPRPPIMPEC >KN540760.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540760.1:6230:10094:1 gene:KN540760.1_FG001 transcript:KN540760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNDGVIGISRRNSNPKVHSSMCSELTMMLDKVSSILPSIETAQPGCKAGIEELCNLYNIVDKGKLIIQNCIECSSLYLAITGEATAMRCERIRDALRRSLFLVQNMVPSSLANQVADVHDDLGDVKFIVDPEEDEAGKAILEMLRQSDATQEHELQTFLFAASKLNLTSPKAILIERRAIKKLLDKINGNDPKKEGILKFFQYLVRKYGKTMKPEGSAKNEGVDVANVTSSTNLIASGTDAPQKCFSPTNSWTGRCEEQNNLSRFSTPPEFCCPLSMKLMYDPVIIASGQTYERENIEKWFSEGYDICPRTQLKLENFMITPNTCMKAVICNWCKDNELEFTSLPEQFHSYSVSSLHNISAPLVAGTKRDYMSDHSSSSVALSGASYVSSPMRETEESRTNSTQFFSNAYYQLYLSFSSFNKEMFLNFFYELSELPMELQVKAVRDFKSVLNHEYQIWRSMISNGFLEAFLEFLKNDNGKCTMEAQRTGIQFFLAFLRNSRTRIPSISEDAVLLVASFLDSELKTEALEILHELLQQPSCRKSRLMASVVAPSVFLAWDSADSLCLELVLKIICELSFKNDVQSFLISSGIISKLSPILSQGKSPECCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSVEREHASGILLALCSRSIDDCVLVMKEGVIPALVDLSVNGTEVAKASSIKLLELLRDSRQSDQFGNSCSSEVAVNGAAENSPNGTICKQPISKSARYISRKLSIFSKPRSLTLV >KN540383.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540383.1:15839:17621:-1 gene:KN540383.1_FG001 transcript:KN540383.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHLMASVTAHGGPGSTPSLRPRKLTPGMMRWIQRGTRGMTGNDNNALENTNVDAFDVVGTEERMKYSVGKDYLSKNQSLNDENQKLVTLYNKVVDVRVECITLVASGAPKYAKDIQFKQWRKLAADALRKFHEVGDVGGPVVPYPPHFSKDPKQRKA >KN540760.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540760.1:28207:31620:1 gene:KN540760.1_FG002 transcript:KN540760.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSARSSPPSRRPETSRFIPSDFGNDADHAHIVEPAKATFDVEAQIRRTVEAEGIPYTFVSCNFFAGYYLPTLVQPGASGLPADKVVILGDGNTKAIFVDEEDIATFTIKGVDDPRTQNKVLHIRPPENALSMNDLVSLWEKKVGRTFERVYLTEEAVLKQIRECSPLSIDLAILHSVYINGDHINFEIKPTVGVEATQIYPDIKYTTVDEYLNRLL >AMDW01028644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028644.1:6:341:-1 gene:AMDW01028644.1_FG001 transcript:AMDW01028644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FWKSHPEKIISCIGSLGSIVGSFGDVCSGFFGGKLQTLQDALCSTRKDCYGDLLREGAAAYINSVAAKKQAKFAYTTQQVKSCILLGLTSKAAAIEQAAMFKKANLACHYT >AMDW01025174.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025174.1:13:314:1 gene:AMDW01025174.1_FG001 transcript:AMDW01025174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQ >AMDW01040104.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040104.1:190:1054:-1 gene:AMDW01040104.1_FG001 transcript:AMDW01040104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AITATSTKKADLIFGEIQSQIKNKNITVDVKANSDSNVVTTVTVDELTPGLKSILSFAVPDQRSGKFELQYSHDYAGVSASIGLTASPVVNLSSVFGTKALAVGADVSLDTATGNLTKYNAGLSFSNDDLIASLN >AMDW01010033.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010033.1:1:195:1 gene:AMDW01010033.1_FG001 transcript:AMDW01010033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WEWCKGDNQCWKILPWGDEAYAGGSANAPRGGNEPTVRIFCKADEGFSVTVRGGSVCLAPTNPRD >AMDW01051149.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051149.1:231:443:1 gene:AMDW01051149.1_FG001 transcript:AMDW01051149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLK >AMDW01006084.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006084.1:4:174:1 gene:AMDW01006084.1_FG001 transcript:AMDW01006084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPRVSSTYAALPCSSDTCDELDVHRCGHDDDESCQYTYTYSGNATTEGTLAVDKL >AMDW01039770.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039770.1:10:951:1 gene:AMDW01039770.1_FG001 transcript:AMDW01039770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQECYEVAAEYETKHDVQKLDELGNMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIGETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGEKHIYFVVGS >AMDW01131594.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01131594.1:564:903:-1 gene:AMDW01131594.1_FG001 transcript:AMDW01131594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLLMEEADRPRIVPAGDAFEVYPHISSRRPSTVQGALLRMFYPGAIGLPEFRTTALTWRDYKGLQMKE >KN539622.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539622.1:48274:50035:-1 gene:KN539622.1_FG001 transcript:KN539622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 11 [Source:Projected from Arabidopsis thaliana (AT3G01435) UniProtKB/Swiss-Prot;Acc:Q6ID77] MLPQSQSSSLQRLNHVEQMIVRAVNLAGTVMEELGNATGPRTESVAGHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKVEYVLEKLDAMQKNIEECSTTS >KN539622.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539622.1:33359:35435:-1 gene:KN539622.1_FG002 transcript:KN539622.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDAAAGDIESALDDFARADADCIAAALACGRTSFSYRRLPEPRRLRLTVRKLDDSYFDVQIARSAAVWELKAAIEDVFAALYDETDNKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVEYRPCKSLSLRRSRTSLDDFSARSRSLLDDLGEDDGDKFIDTRHSTSVLEEGLYVYQHDEERMEESRKKGGFFSAMLG >KN539622.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539622.1:56106:57237:1 gene:KN539622.1_FG003 transcript:KN539622.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLRAELPRLKVAFIPVYDNMLDLITHPEKFGLENVEEGCCATGRFEMGFMCNDESPLTCDDASKYLFWDAFHPTEKVNRIMAQHTLDVCYQQGVL >KN539622.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539622.1:75685:83734:-1 gene:KN539622.1_FG004 transcript:KN539622.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGRGGGEAKTKAKPVLVSFMTVFVHADTADVVLMVLGLLGAIANGISMPVMLLTFSHVYNDLGHGADIVGQQFSSKVNMFHHPLKDDSLTKRKWCVCVRSEGYCWAQTAERQVSRMRARYLRAVLRQDMEYFDLKKGSTAEVITGVSNDTMVVQDVLSEKIPNFVMNAALFAGSYGVGFAMMWKLMLPALPSVLLLIIPGFIYGGVVIGLARRSREQYTRAGAIAEQAVSSVRTVYSFVAERTTMAQFSAALEESVRLGLKQGLAKGVAIGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAVSFVTIRGGLALGSALSSLKFLLEASSAAERILEVIRRVPKIDSESDTGEELANVAGEVEFRNVDFCYPSRPESPIFVGFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVTVDGVDIRRLQLKWLRAQMGLVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTIIIAHRLSTIRNADIIVVMQSGEVMELGPHDELIANENGLYSSLVRLQQTRDSNEIDQICVTGSTSAVEQSNIHIMTRRFSTVSRSSSARSLGDARDADNTKKQKLPVPSFRRLLMLNAPEWKQSLMGGFSAILFGGIQPTYSYTLGSMISAYFLTDHAEIKDKTRTNALIFLALAVLSFFLNIGQHYNFGAMGEYLTKRIKEQMLAKILTFEIGWFDRDENSSGALCSQLAKDANIVRSLVGDQMALMIETISTILIGYTMGLIIAWRLALFMIVMQPPIIVCFYVRRVIFKSMSEKSKYAQAKSSKLAAEAVSNLQTVTAFSSQERILRLFNQAQDGARKESIRQSWFAGFGLGTPMSLMICTWALELWYCGRLVADHQITNTELFQTFYILINTCRVTEEAGSMTTDLAKGADAVASIFSILDRETEIDPNNPHGYKPEKLKGEVDIRGVDFSYPSRPDVIIFKGFTLSIQPGKSTAIVGKSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRYLRRHIGLVSQEPTLFAGTIRENIVYGIDIASEVEIEDAARSANAHDFISNLKDGYDTLCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSIIQKCDLITVLEKGTIVEKGTHTSLMAKGFSGTYFSLVSLQQAGNQQVQL >KN539622.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539622.1:13124:14983:-1 gene:KN539622.1_FG005 transcript:KN539622.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAAATVTAAAASGGAPHLLLICFPGQGHVNPMLRLAKRIAAKGLVVTFSSTSAIGAKLVESSGVSVGGDGVPLGGGRIS >KN539622.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539622.1:63265:64437:-1 gene:KN539622.1_FG006 transcript:KN539622.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKVLLVALVPTDPATDYPLVYPVVKCNMSRLWGNKYELAALMAITIILTFYLSTCSDIVAISLLMMI >KN539622.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539622.1:2523:2894:-1 gene:KN539622.1_FG007 transcript:KN539622.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MMLETAKKFNEIFLETATNSREITVEANKQADLLVNQIKHLTIDLPVPSIPAIPHIPSAVTPKTDAAELEHYGIIEDLYEFVKGMTTLPTPSSRVDADNLLHATASRHRLHPVASRAVDAQLS >KN539622.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539622.1:41145:42179:1 gene:KN539622.1_FG008 transcript:KN539622.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNACQVIQTCVLSWRWRDLWRSVPRINANYGELSVSPIAAFTPDSEAAFKKFINRLLERRDPAAIIHTFNLRYTISNPNNRKNDSADANRWISHALQNQASFLKIIVDGYELLLDHTVLTSCYHLGRITLKNVFLDQGFFEQLEIGCPLLQDLLLYDCIIGDDEISSETLNVLTMYGCQFPTLQESCISAPNLTSLIMHQPENFVPVLDDVASLVTATVDLFPLIEFCACDMRQLLWSLSGVRNLDLDYYACKKYTFPVW >KN539622.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539622.1:84020:88176:-1 gene:KN539622.1_FG009 transcript:KN539622.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALTSGMDEDDLNFVLVSVQSPFKIFKLVRMDREFRMVVGNLLQNCRSMMHGKIKQLLIMPFVEFMCLSLQGLEKFGLNQCNGQFIEDFKQWKKETSTTATAAIADAPSVNMLRSTSPAAAATKITAKDKPSVGATKLIKMHLINKSKKSEKRWHALISEAKGMMRLLQEVKLRKVGRGCNMVAHELAQLARRLISCAVWRFDAPLCIKELITADCNLPG >KN539622.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539622.1:44991:45972:-1 gene:KN539622.1_FG010 transcript:KN539622.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATTSLLSTLLQLPLAPFSGRRSPPPSVVHVAPQRSSTAAGAATKGRMMEEPRFPEDDADSEAARRARDDEKDNWEIDGIL >AMDW01039839.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039839.1:85:609:1 gene:AMDW01039839.1_FG001 transcript:AMDW01039839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGTVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >AMDW01031068.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031068.1:2:91:1 gene:AMDW01031068.1_FG001 transcript:AMDW01031068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALKVAACCKHYTAYDVDNWKGVERYTFDAV >AMDW01040487.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040487.1:32:587:-1 gene:AMDW01040487.1_FG001 transcript:AMDW01040487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAKVQAIELTKVSQTGWYFATKIIVAKVQLPELRKVSQTARYFATEIIVTEVQQDELLKICHARWYFTMEVVVAEVQPYELLKISKLGWYITLKIVVTEIKAH >AMDW01038280.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038280.1:422:768:-1 gene:AMDW01038280.1_FG001 transcript:AMDW01038280.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERRVEEVVDPRLPETPPPKVLKRAVLAALRCVDPDGGQRPTMGHVVHMLEDDLKFRDELQLARDLSPHASDSYEYEL >KN543714.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543714.1:273:2159:1 gene:KN543714.1_FG001 transcript:KN543714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYGYDDVEPEDEVRPDFPCPYCYEDHDITSLCAHLEDEHPFESKVVDTILRGLRVGLGLAYCAGLTGNTVILETMETAGIKNQAPSEVYYLQRHHRLRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNAASSVTDSLLSSLVLNLSSSEAEDTVKFSAPAVVENNWFKRTLPSKTWKARS >AMDW01024811.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024811.1:16:192:-1 gene:AMDW01024811.1_FG001 transcript:AMDW01024811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGDGGDGGGVALRGVEWGKRALAAAEEVLGEHFGDDVAMFAFKVSPKGYVYVRLDKLTN >KN542670.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542670.1:1829:2302:1 gene:KN542670.1_FG001 transcript:KN542670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRIVSSISLVFFMFLLIVAASTFSSCYALTPRQTCNDLGDLACTDETCKKIYGDRLEYYCKPGVTPTVCCCMDVTASVPSGVKNQSGDTLLN >KN542670.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542670.1:4393:11549:-1 gene:KN542670.1_FG002 transcript:KN542670.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMSRICRSPEEAAKDKWYMATAATNHMTRDQSLISDLKLVTGRVVGRGNGAGFKVHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRGGCTVTRGSDGSVVGKARRSGAIYEVKEFIDLDMIKIWAKSIEAFGAFLCAIRCIALFEVALSRSPEEAAKDKWYMATAATNHMTRDQSLISDLKLVTGRVVGRGNGAGFKVHGSGAVNTETVAIPDVWHVPGINCNLVSVGQLCQLGLEVSIFRGVCTVTRASDGSVVGKAHRSGAVYEHLSSLDCYTAFIPNPNPNLQLLSPAIIFRKPEERANANVSSLSNIFISAEPGATMPRWFITEAATNHMTSDKSIFSDLKPMAGLVIDGRAGAGAGLPMNGIGAVYSWEVALQDVWYVPGMSTYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRMVSDGIFQVDFLRVPFN >KN540447.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540447.1:204:1346:-1 gene:KN540447.1_FG001 transcript:KN540447.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSTNTVVQTISVTAAATGVHNFRFDGYSLTKAVAGEDFYESEAFSVGGHNWAIRYYPNRDSSRVSLYPVLLSGPADDDGRVHANVLDKSGKLASPESKDTADSVSHAFRSGAGAEAWKELSLRKEAVERALQ >KN540447.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540447.1:17016:19019:1 gene:KN540447.1_FG002 transcript:KN540447.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRQALVKIGPWGGNGGNAVDISVLPDKLIWITISTTDDVISSISFRYVGIDGQEYEPTWGDQTGTAEKIYLGGVEYLKEISGTYGARPGQGVMVRSLTFVTNDNTYEFGIPFGTEFSVPLLGEARVVGFFGRACVRLDAVGIYVHP >KN540447.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540447.1:8636:11701:-1 gene:KN540447.1_FG003 transcript:KN540447.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSKRACAPMPMEVPSPGNQPVAPPLPPQFVIPPSFASTYKPGALFPAVTTQSMAPSSAPCWFTTLHQPGMTGSSTQGPWLFSTGIGPSTENAEHPDIHAFKEPKWDAYLERLEDLEPDKRKFNVEEGAGHHFSLDDARDERPIGGKQAKEQQKRKRKDQAIIDLEEEFHKLVDAQNTANEGHKEMLETQKHVSSENVEARKLKYLAEKEHKESTMLETYKSLMMQDTTGMPEDVRSEHMLALRCFREKLFGKTD >KN540447.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540447.1:2563:2769:1 gene:KN540447.1_FG004 transcript:KN540447.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYRTGHWGCVGTGGELVAEDFGDGVDLPLPPPEDITNYCSIRLHPIPSALVGNKDAAPYSPPPPRG >AMDW01043369.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01043369.1:31:921:-1 gene:AMDW01043369.1_FG001 transcript:AMDW01043369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISHLPESIGSLQNLQILNLERCKSLHRLPLATTQLCNLRRLGLDGTPINQVPKGIGIMKFLNDLEGFPIGGGSDNTKMQDGWNLEELAYLPQLRRLGMIKLERGTPRSSPDPFLLAEKKHLKVLNLWCTKQTDESYSEENARNIEKIFEKLTPPHNLEELFVGNFFGCRFPTWLGITRLPSVKIVILSDCTSCVHLPPIGQLPNLKYLKIMGASAITKIGPEFVGCREGNLRSTEAVAFPKLEGLVIEDMPNWEEWSFVEEEEEVQEEEAAAATKEGGEDGTAASKQNGEEALSPTP >AMDW01032132.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032132.1:115:363:1 gene:AMDW01032132.1_FG001 transcript:AMDW01032132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELSESEDMMKHSSQSQADQAAQLELMHAEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKRE >KN542613.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542613.1:2009:3484:1 gene:KN542613.1_FG001 transcript:KN542613.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >KN542613.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542613.1:6717:10721:-1 gene:KN542613.1_FG002 transcript:KN542613.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYVQRMVAEMAREEMCMLLGVSGEINRLGVTLGDLKKFLADADRRNISDRSVQGWVRELKDAMYDAVDILDICHLRLRAMAMDLAACSCNPLLFCLRDPLFAHHIGRRIRAINRRLDDIKTRSAHFSFVNLASYSTKLRPAPNHETTGEPVRSGVVGEKIKEDTRELVEMLTDKSSSSSSRSSITVVVAIVGVGGIGKTTLAREVYNHDTIRDKFDKRIWLSVNQDWDKLELLRNAITLAGGDHRGEKSMAVLYPILTAALEGKRLLLVMDDVWSHKAWEDVLETPLSNAAAPGGGGGSRVIVTTRDERVARAMKALQPYHHVDKLGPQDAWTLLKKQVVSNEMDEANIDMLQDVGMEIIEKCDGLPLAIKVMGGLLCQKERSRTDWEEVLNDSARSIVGMPEELNYAVYLSYEDLSPCLKQCFLHYSLLPKNIVFGYDIIVGMWISEGFVHGSSSDELEESGRQYYKELIARNLIEPEKEYIDQYHCNMHDVVRSFAQCVLGDEALAAHAGEIGKIGQLSSEKFRRLCIESRGSESSGELQWSMLQEQRSLRTLIAIGQFKVNPGDSFSPFSSLRILHIQSANVAALVDTLYQLKHLRYLSIRYSDICGLPENIGKMRFLQLISLRGCENVKELPDSIVKLGQLRYLSLTGTSVDEGIPRGFCGLSNLRKLYGFPAHMRGDWCSLEELGPLSQLRDLAIKGLENVSSASFATMARLGSKKHLTYLTLGCSSRLDDDGLVTEKRRASEEEQTRIEVVFDELCPPSCVEILDIGGYLGHRLPRWMMSSTAAVALKFLRFLTMDDLAMCAQLPDGLCQLPCLQLLQVDSAPAIKRVGHDFLRPDRHRHPAAAAFPRLQRLELIGMVEWEEWQWEERADVHAMPVLELLLLSRCKLRLLPPGLAFHARALKKLHVYEVQHLISLESLPSVVELDAFHNPSLERITDLPRLQKLTIVKCPKLRVLHGVPAIQRVGLEDYRMETLPDYVENVSPRHLLLDCSLALLAAIAMGDSGLEFGKLRHIQHVNAHARDGHNPRKWYVLYTQQPSSFDTNIVDGSSIPAGYEEEQQLETRTPCQARKKNFTNMYK >AMDW01033029.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033029.1:4:441:1 gene:AMDW01033029.1_FG001 transcript:AMDW01033029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQFSTQEFLPGTDKVIDGKITEEGINFKLGRDLPEKIESRIEGFCRTLMDRVGIKEFNDVFWAVHPGGPAILNRLEVCLELQPEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGMIREEWGLILAFGPGITFEGMLVRGIN >KN538785.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538785.1:209704:211596:1 gene:KN538785.1_FG001 transcript:KN538785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHRPLSAMAVAAFAAVSSLELSHHKLPDTTVDAQAVVSIPASRPDVSAAPSASAMSRLHFLPRNLQTSHPAKAPPASLPVIHTVYHYAKFAKYYSEEEAVTTAMPSSSSPDVLYRWHLPDPKVCGDSHGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGYHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSVAAKGVKPNDARPDVLVVESNKDHPKPAVSEAILLSALEKLFDVVLNYPAINRRLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTEFMEDCVLARCQAEEEEEEVTTN >KN538785.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538785.1:187224:188486:1 gene:KN538785.1_FG002 transcript:KN538785.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGCRRPAVWKRSLSPAAAAATTKNKVIVISGPTGAGKTRLALDLAKRLSGEIISADSVQVYRGLDVGSAKPSSSDRAAVPHHLIDILHASDDYSAGDFFHDARAATDHLLARARVPIVAGGTGLYLRWYIYGKPSVPQSSMDVTSAVWSELSRFRDTGRWEEAVDLVANAGDPKARDLSVNNWSRLRRSLEIIRSSGSPPSAFTLPYNAYNLNHHRRLTDQTCQPTELELELELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLQCRHNGGSSSPQEFLEFLTKFQTASRNFSKRQMTWFRNEKIYQWVDASQPFDAIAQFICDAYHDRAARLVPDSLEMKRESCRHESRDLKTYRSENRVFCGDDDCCHVLDWITRTQRK >KN538785.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538785.1:152026:154808:-1 gene:KN538785.1_FG003 transcript:KN538785.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLLRSASLPGLSDALARDAQHVCSSYLPNNNKEKKRRWILCSLKYACLGVDPAPGEIARTSPVYSSLTVTPAGEAVISSEQKVYDVVLKQAALLKRQLRPQPHTIPIVPKELDLPRNGLKQAYDRCGEICEEYAKTFYLGTMLMTEDRRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWEKRLDDLFTGRPYDMLDAALSDTISKFPIDIQPFRDMIEGMRSDLRKTRYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFNGVVTNKWRSFMKRQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLALPVAYGRSLLMPYSLRNSQK >KN538785.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538785.1:238304:238942:1 gene:KN538785.1_FG004 transcript:KN538785.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKTSLVALLFLLTAQLITAARAPVSVTSISGGGGGGYGSGGGEGNGGYGQGYGYGSGNGGGGGGGYGGGGGGSYGSGGMGSGYGGGYGSGYGYGGQGGGGGHGGGGGGGSGYGNGGYGSGYGSGFGEGYGSGGGVNGGGGGGGGSGGGGGASGYGYGAGYGKGYGYGGGPGGGGGGAGGGGGGGSYNGGTGGYGEGHGSGYGGGGGHGH >KN538785.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538785.1:132895:147796:-1 gene:KN538785.1_FG005 transcript:KN538785.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGIMGMDYISSLVFQCNAKKKKYLLGVLILWVPISRLVANAGEQIRRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTYKKGFSLVLNVQDASISMSISTYVKKYKNYLMEGYVLENIKGNLAIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFDSLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLDLLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGISKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >KN538785.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538785.1:242603:249728:-1 gene:KN538785.1_FG006 transcript:KN538785.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAAAEDNFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSNKRPRRPPCTPESDPPPVLAVRAHTPDPDPHTSPRRVTRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLPSRTGTSNSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVKYIMRKGKRAVLRGVIKRVGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFTTLRTGKFALLCDSCLESKGSQNSTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNASPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNTVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >KN538785.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538785.1:232200:237344:1 gene:KN538785.1_FG007 transcript:KN538785.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIRSCWGVKSINASHANIEKVKVQSWVNGKEDDEYVGVGARFGPQIVSKEKHANRTRLMLADPIDCCTSPKEKVSGDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTVLTSGNSVSVQQYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREALCEQEKLLKDGREVLLNVENGGSSGMIDINVASAIMFVVVASCFLIMLYKMMSSWFVELLVGLQTCLVALLSRWFRAASESFFKVPFFGAVSYLTLAVSPFCIVFAVLWAVHRHFTYAWIGQDILGIALIITVIQIVRVPNLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWSMVAYGSGALISLGWKRGELWNLWSKGEPERVCPHHMHMQPQPKTPPLVQ >KN538785.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538785.1:218235:222094:-1 gene:KN538785.1_FG008 transcript:KN538785.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPSVFDYDMDSGVSVPKKIDTMDAINSVKSCCSEAQSLRGVAALIEGKGRVFVMLEDGHLAAAVLQDEGIMAGGRRCSEPARRTVLGGFDASNYVTERKWAAASDGTQIPMTILYRKDRVNLDGSDPMLLYGYGSYEICIDPSFGRSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLNKRNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEYYYYMKSYSPVDNLTSLYEPDPRVMYSEPAKYVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMAPKAAL >KN538785.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538785.1:183603:186199:-1 gene:KN538785.1_FG009 transcript:KN538785.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II stability/assembly factor HCF136, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23120) UniProtKB/Swiss-Prot;Acc:O82660] MATTAASLHLHLLLSSSRRRRRLLVPRAHTDSTSTSTGRRRFIADTATAAAVGPLVLPRTPLARFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGFQFFYRGLNDAHAISYLHPPNQITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYVG >KN538785.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538785.1:166095:172303:1 gene:KN538785.1_FG010 transcript:KN538785.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGEDCEPRGGGRGGGGGGRGRGRGSYHPQGHGGRGGAGYYHGAAPQPRGAMVVQQWRPATAAAAEHLGHQQPYNSSVRPQHYYGPSSIAPELRQAMDAPHEPPANVSSPEAASPEASSPRSLALEVTEQLQDLSVQDQLSESQEEIVQHVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAQLPDKDLHQYDVSITPELTSRIRSRAVMEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSGSERRQKTYNVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLDMSATAFFEPLPVIDFVVQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKDFALEPVLPPMYARPDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIDDLYNITHDPHRGPICGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMASGRGGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >KN538785.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538785.1:195468:197144:1 gene:KN538785.1_FG011 transcript:KN538785.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQQLVVVVVMVMMQWTGGAAARHHHKKSKSYEEVFDRQEADRVQRLPGQPAELGFRQFAGYVTVNETHGRALFYWFFEAASDVATKPLVLWLNGGPGCSSLGYGALEELGPLLVNKNDTLTINPESWNKEANLLFVESPAGVGFSYTNTTTDLAHFGDNLTAHDAHAFLVNWLERFPQFKGHDLYIAGESYAGHYVPQLATKILHHNKKEHDDRIINLKGIMIGNAAIDSSSDDRGLVEYAWDHAVISDEIYAAIKGSCTFPDDGNETDKCNTAWNGFFTAMGDIDIYSLYTPSCTAALNGTTAINGTTSRSRFFADTPLAKVLRLRRGLPYNTYNPCVDYRVIEYLNRGDVQAALHANVSGGIPYSWAPCSDALTNWTDAPPSTLPDIAALVRAGLRVWVFSGDTDDRVPVTSTRYALRKLKLKTVRAWKQWFTSDQVGGYTVLYDGLTFVTIRGAGHMVPMITPVQARQLFAHFLAGDDMPANPILSPTPP >KN538785.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538785.1:215449:216843:1 gene:KN538785.1_FG012 transcript:KN538785.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGTPADRDRSSSGSASTSAQAKKPASTNAEEPSSPTRGGQQQDSRRLRTLSDPELVCSSLSPLPFILFPVSHLRLRGCSIAGFAHQLEGFGHGIVLLETLKERLKETSIDPSVFDRLALLSDSDAHFPSIASDSESEGVRSKTPMGVNGKRKQTQAGWLSEEGRKKRTPASQR >KN538785.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538785.1:259336:259937:-1 gene:KN538785.1_FG013 transcript:KN538785.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCPGKCFLLQQVPKPSAALAAAHPLLLGRRRLAALPETAAACVAAAGLVGVAASLLVGRAAEGARREEEEEEEEEECSECGGTGLCPRCKGEGFVFKQLPEEAASRARKAAKNMATRYTSGLPTKWTYCNRCSSTRSCTTCGGSGAIPKASSPTSTTTL >KN538785.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538785.1:223723:226531:-1 gene:KN538785.1_FG014 transcript:KN538785.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKEKGGCRWREAGFLQEREGGWFSWEERDQERREQIDQNNLGMGGTEKGHERGEYVKNLEDEIYAEIRARIKEDDIGAPLRKGQYYYYNRTLTGKEYVQHCRRLVPTDAPVTVYDVMPTGPNAPHEHIILDENVKAEGHDYYSIGAFKVSPNGKLVAYAQDTKGMVAHVRV >KN538785.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538785.1:227372:231318:1 gene:KN538785.1_FG015 transcript:KN538785.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEASRECGRTSAVAVARRRKRSGAEAWIAMRRRNGNRETAPEQAEHPTLPAPLDAPEEASKENINPTDESEEVQNDGDMPAMKFRNYLPHDEQLRGGKVAPVSLPKFEDPISAETTEPKQVENPFGNIAPKNPNWDLKRDVQKRMDKLEKRTQKALAEIALEQQKEKEALEEGSDAAQD >KN538785.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538785.1:191961:193843:1 gene:KN538785.1_FG016 transcript:KN538785.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDDFDASPVLSPPPPSSCCPPAHDDHYLEHQISDIKRANSLMTDSQMFAHKILLIPLPGRPMPSSVRLNGSGQKMKRAWAPNNQQNRDVSDSLDSSKYNSSKQQMSVAMSTLQSYYGLTPQNGAITDAGTEMSLYSKGSLERINSETLVTSSRLPDTHNTNRSRNSEDTSNGFSATNGASGAKINGTAKAKQDGSIRRRQKVEADQVSNTTDTQDDVFTDPIKMTKSLLPRPISSIRQNMDTSNPESSLKSNGSFLSGFRSVRKSPSTPNFTDAENGISMWSSSAWTFNHESFTRPLLDGLPKPTAPRRTKAALD >KN538785.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538785.1:175810:176611:1 gene:KN538785.1_FG017 transcript:KN538785.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCFLLLLIFFFLLLVKRARSLLQAPKIDCQGTCSGRCANNWKKEMCNKMCNVCCNRCNCVPPGSGQDTRHLCPCYDTMLNPHNGKLKCP >KN538785.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538785.1:202605:205383:1 gene:KN538785.1_FG018 transcript:KN538785.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPAGDAEADERRRLRSLAFSNGLLQRGEPVAPRSAVGDACVPQEQIVFSQAWWIGTKEENPQELKLNFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIDESDIDSSEDSDLKDEDNTQSTSQAPSVRQSATTAGKAFKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKRCTRSPKGSPATKGPAAKKQRASPEEKHPTGKKSAGRSQKKRKTQVEDDEIKVLSSSSQDNNVDDDSDEDWAE >KN538785.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538785.1:250213:255158:-1 gene:KN538785.1_FG019 transcript:KN538785.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAVLLLSFLLLAAPAAAIDILRRSLAAQTKGDLASLAAGNPLVANAMNDRLKNLTDAFAQQMGKEFHYCIKDTDDEWNIAFNFSTDPTFLSNCMQATNGDVPQRVCTAAEMKFYFESFLDSNGRKNYVRPNKNCNLTSWMDGCEAGWACSAGPDQNINLQDAVNFPSRTLDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPKGSTSQTKCFHKGSCKPNSVNQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETAMARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGAVETDVEPSKGSGEKKSNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATDEDIRTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMVLINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNANVAVDAKDLPLRWMLHNGYEVPRDMLQSGSDAESSFRGGGDLTPGGDTGQSIAGEVWGNVKDIVGQKKDEYDYNKSSQNLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLLKNGYDINDRFLCILVLAANGVLFRCVAFFCMVIFQKH >KN538785.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538785.1:177078:180790:-1 gene:KN538785.1_FG020 transcript:KN538785.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQVDHSKPFSSGSPPPGSTLRQRQHRRKIKKSLTALPPCNVLVNNSSRSVTAPVRSDSDILHDDGKPPRKSPKKKGNNKKGKHYRRAVCKGLNLPSETHCEENIDAASPVEVLTDLLADKLSETSSSASSLVKEAHFGEENGGDSGCTGSSNRTVGEIFSCKDVHYLNDESNNYERSLCARVSNSNDATAYSLFKKLERDNSVNCSGNDEVRDSCHPIGAHLSITHAEDSNDSFGCSSCCSKNVADSGNHTERVKCSSEACSSKTSLPVIPGRSRTRLKKISSYSLTATNGVIGTNKNKHSGKYSSTSVWQKVEKLNVENTSRAGCMVDSAIQNKNVLENINKVAQHNLTRSMKMNQRRKACNQHSPDERIEMEHAKENDALNSCQAFSRYKYKKQTSLLYQQTSLSSDQGTSQSSGNYHAPKNGIVMVPKNHLQQKDGLPMMQLVYDKDSSVDTGVGSNGSADGDGSSQSGFEKAALASCNMGSYLVPQDTCEECTSTMQADPHSSSTENKAISTNSNSRNLCADPSPAEMEERCYVKLTMENTPQECPKLYSATGKQCKLYSAAAHVSQKWVAVGKKNILHFDGSETSAVDSSVLTNCIPISANIGVETNVSSFLASANNEVNKLAAEISDKPNSSGHLDLRCQPHTDTGTDFNKMREAVCDAYRAQQRVEDVQVIIGRPLADFEQFISSASPVLYCSTCPADRNFCSPEWVRDGLCFHQSTDITLSRIWQWYEEPCCYGLEVKAQDFRRSKGLWNSPHQFTTYFVPYLSAVQLFGQAKRASTGRVDKEAAGMDVTSKTSPCPSSLPILTKLLPQQLRETTSSSDLYTKDDQQFGNGELIFEFFESEQPFWRRQLFDKVKELISGVKPSSCQISGDPKNLELSLRTENPNCDRLLKEEYCVEFQGASEQADDSRVVLPVTGLESYNDKGEWWFEVGRCSGEEDAAEWREEEESVWREASEVVKERVRTLKEAATVMSRAKVVMSNRSNRHPDHDFFLSRQLYQEPRKNH >AMDW01024545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024545.1:55:300:1 gene:AMDW01024545.1_FG001 transcript:AMDW01024545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HYTGTLLDGTKFDSSRDRGTPFKFNLGQGEVIKGWDEGIKTMKKGEQA >KN542075.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542075.1:12259:15394:1 gene:KN542075.1_FG001 transcript:KN542075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLQYANHLSTAVIFAKELVNSPANVLTPAVLAEEASKIASTYSDVLTATILDEEKCRELKMGSYLAVAAASANPPHFIHLCYKPPGGNVQRKLAIVGKGLTFDSGGYNIKIGAVTTIELMKKDMGGSAAVFGAAKALGQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACKLGVDKDIAIVGRLPPVLENHVNRLLGTIQWFTIQGTHRKIIDLATLTGYCRIALGPSIAGILTPSDELDKEVAAAYEASGEKFWRLPLEESYWEQMKSSVADMLNTGSPLGGAITAGLFLKQDTLPVGHDEICLSSHHFV >KN541197.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541197.1:6496:7919:1 gene:KN541197.1_FG001 transcript:KN541197.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGCESSSKLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNIARLPPVSPAAATRVDLVALPLPRVDGLPDGTECTNDVPSGKFELLWKAFDAMGAPFAEFLGAACAEDAGNKRPDWIIADTFHHWAPLVALQHKIPCAVLLPSASVVASSISQSQGQRVRLPCYEQKQNERIFTVNGASGMSVGERCTLAMERCTIMAMRSCPEWEPEPFRQLPDSVVYVALGSEVPLRVELVHELALGLELAGTRFLWALRKPHGLDDSDVLPLGFLQRTHGHGHVAMGWVPQTTILAHAAVGAFLTHCGRNSLVEGLLFGNPLIMLPIIGDQGPNARSMEVNMVGLQVRRDDKDGSFDRHGVAAAVRAVMVEEETRRVFVANALKLQEIVADKELHERYIDGFIQQLIFHVADGNSDTAAPDPSS >KN541197.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541197.1:30212:30736:-1 gene:KN541197.1_FG002 transcript:KN541197.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding YADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTARMFAAGEAVYDPGADNGTMYGLVQCMRDRTAAECDRCLNDSVQQLPSCCWGHQGGVVLGYNCYVRVEIYTYYDLTVDAPPPSPGPSASSSKPSIGEGQGEPASILQLTALVHLCMHVNLSFSRT >AMDW01040177.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040177.1:95:187:1 gene:AMDW01040177.1_FG001 transcript:AMDW01040177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FDRQVRYESRKARADSRLRIKGRFAKGNQI >KN541197.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541197.1:20534:25685:-1 gene:KN541197.1_FG003 transcript:KN541197.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGEGEAQSRGWLMTDDASIYLEELFRNITINLFKTILPCVQLIFTHSKSQLSSFHSFQAFTPTNHAGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFLAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSRGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLDWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISALVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISKLQPR >AMDW01136391.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01136391.1:486:1716:-1 gene:AMDW01136391.1_FG001 transcript:AMDW01136391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGSTVNTVRQFYENLVPSYTICDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEGAIHGVPSIEKITFYLVRLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQVPTQIPTARLLDLYLAYIIVGTGHVLHV >AMDW01040177.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040177.1:945:1250:-1 gene:AMDW01040177.1_FG002 transcript:AMDW01040177.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLLGREPRFIKVPIQVMDAAIWVLDALAKVFPGVEDAAEFGKIGRYYASESMLVLDPDTGEYSDEMTPSYGSDTLEQFFERVIREGMAGQELGEQTIF >KN542305.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542305.1:1563:4824:1 gene:KN542305.1_FG001 transcript:KN542305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSGARVSAIEEALQGITSNGHNQYGYRNIQQKIKAQLNKDLSKQKIKNSWDVTHRRYANWCHLQSSATDLGRDPESSTIIAPDEWWKGVFKKKPLPFPYLHEALFIGCLVVGDHGDVAGEGDAEEGSDEVGKTDGTLTAPEPSRHTMPAGDLSMGAPIPSGYASPAGDPSMDVARLGVDTARWTAWNTPYVDLEANAAVGAAGLASLSKQGVVEAPGVRERQRGPTVGYVAQNNTARNLAMTDSDEEIVEEQAREVQTLKEQLAKNAKEQEVDMEELKASIKE >AMDW01079671.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079671.1:34:503:1 gene:AMDW01079671.1_FG001 transcript:AMDW01079671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EWQNLQNGMWNAVKSPYGNKYVDVKVADKTSTRFSISIQEEFQKWRLACLGIGFILLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQTLRIVAGQQQPPK >KN539802.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539802.1:68806:81085:-1 gene:KN539802.1_FG001 transcript:KN539802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FVIPGRRIVTNAHVIADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGFVIPGRRIVTNAHVIADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMKPDLTGVLVSRINPLSDAHRVLKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGEMAVLKVLRDGKEHELNVTVRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSLVESYTEENLRFDLDDERVIVLKYQNAKLATSQILKRHRIPSAISADLVDEQATDDGEEATNDACAEPDLVKALNVSGFPEVLFTNAGKIVHRDKVVRSAEEWSRMMAFFYYKAARPPCLSEADGQGQEKTTKSSRTCGNSLKVLVTLKIKVESAVRDIISDELQKIKQHGDEKENQETDMIWEYQGPQTAKPVETESEDILLEMERLLYEDLRDEAIRIEVETLDEEDEYLAQAFLEHMQLTDKEANAKLWCPVCKQGELRETHNLVYCTMCKLRLDLGEDKINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >KN539802.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539802.1:10425:12812:1 gene:KN539802.1_FG002 transcript:KN539802.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVVDDGSSVVTAAGAGSGEEAAEEEAAVAAGDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADLFYKAIVTTLQLSVTASFSFDLMLSEISLCRVYKRSGIDDGHGHHQRPAGNLMPQLGTLPISPPLTAVSDKLWDWSSVPDTSTARDYDSSGFSDPK >AMDW01020131.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020131.1:20:280:1 gene:AMDW01020131.1_FG001 transcript:AMDW01020131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKAVQMFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIA >KN539802.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539802.1:42534:47203:-1 gene:KN539802.1_FG003 transcript:KN539802.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >KN539802.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539802.1:34801:40334:1 gene:KN539802.1_FG004 transcript:KN539802.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAATLEFTPTWIVAAVCSLMVLISLVAERCLHYLGKGLIQRTCIPPRWTVHMLPCQREAVGPAKEHVAAAQIVGRIGRRLLSEGGAGAELCQKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVSTMLLGGAKIHQWKQWEVEIQKDAVGNGQPGPAKVTHVRQFEFINDHFKGMGKDSKILSWLHSFVKQFYGSVSKSDYTTMRLGFIMTHCRGNPKFDFHKYMMRVLESDFKRVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGRPRIILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMGQVGFIVPRLVIGVTIQLLCSYSTMPLYAVVTQHMSQQYSTEHTSHQQQQ >KN539802.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539802.1:24434:25810:1 gene:KN539802.1_FG005 transcript:KN539802.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKCGFPDDARKVFDGMGAKNNVVWTALVSGYASNGRGEEALQLFRRMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDDIRIDDAFVLSIVIGGAGQAVACDILSAREVFEGITFRAVISWTTMAVGEAQHGRAEVALALYDRMALAGAKPNKKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGAQLSACKKYKDAEMCIRIADKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCTIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMGFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVC >KN539802.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539802.1:60290:60607:-1 gene:KN539802.1_FG006 transcript:KN539802.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSYGPVIVALAIIATLTVASVAVGQLCVGRGTPIKPGQGMGAFVKKRLRACIGGNKAAYDDALPEKKKEEDVENATVEEVEKSEPPKVEEDDDGSSSQVSS >KN540407.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540407.1:2293:15562:1 gene:KN540407.1_FG001 transcript:KN540407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTPGLAHGLFSCWCPCAYENQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPLVQSALDGYSVAIFAYGQSHSGKTHTLVADTSVLSISPGHIVLEFYEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYITACELYNDQVRDLLSDSISPVPKVRMGVQESFVELVQEKVENPLEFSNSLKAALENRSANSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDALASLSAKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHRIEKEQNNQLRDQISRLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSSPQAPSPSNKQTNAQGRDIGRSDSTKSQSSDVFPLPVSQDKAGNSGAIVKSSNELTKTTPAGEYLTSALMDFDPSQFEGVAAIADGANKLLMLPYFHCHRDYNETPPISDWRMVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSHTSRSRSSSRGSSPGRSPVHHHHDHGSRTSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEIIFGQETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGASGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSARPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLQEDPDEPATDLNSNTLGESEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >KN540407.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540407.1:45440:46947:1 gene:KN540407.1_FG002 transcript:KN540407.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGIQLAEENMRLRDQMPQVPTAGLAVPDTENVLTEDGQSSESVMTALNSGSSQDNDDGSDISLKLGLP >KN540407.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540407.1:32781:42408:1 gene:KN540407.1_FG003 transcript:KN540407.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIESAAARQVTFSKRRRGVFKKAEELAVLCDADVALVVFSSTGKLSQFASSNMNEIIDKYTTHSKNLGKTDKQPSIDLNMRGEELEGLSVEELQQMEKNLEAGLQRVLCTKDQQFMQEISELQRKNQQQKYEEVHWREGRHPHCCSPWVKVKSACSPTTIQARFVPRGSLVLLLAGLAERRWLDLAAPEQRTVGSGDGGVVTSEELHEARLVFADDRIW >KN540407.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540407.1:18015:20901:1 gene:KN540407.1_FG004 transcript:KN540407.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 6 [Source:Projected from Arabidopsis thaliana (AT3G21350) UniProtKB/Swiss-Prot;Acc:F4IXJ7] MTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDLTCNNESLRSRQIHPLDMSHLTKMTGMEYVLSDVMEPHLFVIRKQRRESPEKSNAMLAYYILDGSIYQAPQLCSVFASRISRAMHHISKAFTTACSKLEKIGHGMVQNRYTIYNSGNTSKAEVSILQIISFKNWHFQQIPLINDVETEPDTAASESKTQKEAIDLKELKRVDHILMSLQRKLQPAPPPPPFPEGYVPSEQEKASDDLLASEALPPQVDPIIDQGPAKRPRFQ >KN543049.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543049.1:496:9072:1 gene:KN543049.1_FG001 transcript:KN543049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH17 [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/Swiss-Prot;Acc:Q5D892] MGHLGDPYALRSVADLPPPFRSVFGFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNMKLGSLGISCLEMTGDNEFYNTKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRLGTMKIAPLANVRFIAVSATIPNIEDIDYLRFGFAWISAEWLAVPSEGIKRFGEEMRPVKLTTKVFGMVLVSHHIANIWPKRYAPARNDFLFERRLQSFIFVGYHNGGLCLKDRSVVEGLFLKGDIQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQNPQHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLGLLVQAYTIQIEVQSFANSTLLQNSLALVGKRCALTLRLLSKLLPVALWKICCISSATLQRLLVGIQLRRNEKKLLNEINADKEGRLWFHVVGANGKRKKRIQTREEKIFILANDCLTGDPLVHDLSLNQEMNSICSNGCRVAKCMREYFIYKKNYKSAISSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATADARKIESVTGRNYPFGDSIKSSLPSLGPKIDINIEDAGNRQGKSTIIVTLTRLSQAVGSSKQNYADMVVGSEEDNAILFHEKINPYSVKLYVPCPPNARATLKVDVIFEEYVGLDIHKKHVVSREDFHVTKVSGIKKAEPLYNLPAESCLVSSKTTRTNQSKYHNGQNPLSKEVCVIEDFRAKAPDKADNDLEILGTREYNNLASLEAPSFTLLHEEDYEDAPDVLASEPVEAECKSATNNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSGDQFGLDQSSLHAYEVTPMVFDRTEARVSPTNTDERCRNILTRTAETRSFQFTGKMDSISQKSEILNRTQGKNSTQLAGKKAFEKSKTPDENSLHFVGKRDSSSEKSKALSKTPDENSLQFLGKMDSSSEKSKFCFSSPLADFQPMQCTKQVAASVQPLTIQDYCKDILASAKSSGTGASFLDVKSVFSFL >KN543049.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543049.1:10029:10806:-1 gene:KN543049.1_FG002 transcript:KN543049.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFRRPKLSELYNNDCIFDRFSCCSSKGGDYFATGSYRFLLLQHINLISGV >KN540498.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540498.1:10114:25002:1 gene:KN540498.1_FG001 transcript:KN540498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATEACPSPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSMSLVEELPPRGPSTNPKVRIVFGVIGVLKLAVRSYFLVITGRDCVGSYLGHAIFKLTGLKVLPCNNSLNTSSAEQLVKHLSLPLICCSSQRLHDLGDQFKSLPLWRQNIANTVPIGNDSTSILQNLQAYPLDSFKAEPRFLWNGYLLEPLIENKSIHAEVGSEKVNVTLIARRCTRRIGIYELFFVEGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAPRVLERHFHDLQKKYGAVVAIDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHQICGHIHFERLSQLYDQIEDYLKKHRYFLLNSKGEKMEEQTGTARTNCVDCLDRTNVTQSMIGRKILESQLQKISVLGDNNTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNAMARYYLNNFADGTKQDAMDLLQGHHISSVSRDMPTPTKGFIEKHASFRLAFALLLAAVIFLIMSLRRENVAKMYMMIKIANG >KN540498.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540498.1:31254:34881:-1 gene:KN540498.1_FG002 transcript:KN540498.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHFTAAFMIATCLMLFPRSEQSSQGEVLQQLRKQLEYPRQLDVWNNPNSDPCYTQPTSVVTVACEGNAITELKIIGDRISKPPKFSGYPVSNITLSEAFVLDSFVTTLARLPALRVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSAMPKLHTLTLDGNFFNGTVPDWFNLFSNLTVLRLQRNRLKGPIPASIGKATMLSELALAGNRIAGEVPQLGSLNKLEMLDLRDNELDGELPELPTALVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLVGKPPEKLFALPSISYLNLAANMLSGSFSTSLTCSSTLGFVDLSTNQLTGDLPVCLNVNVNNRVVKFDGNCFSDDPEHQHETKYCQKPHKGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVLAEQQLLQKQMQDNSTSGMSTELLVNARYISQAVKLGTQIMPMYRAFSLEELKEATKSFERSAFLGEGSIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDGEVDESSVKRVFLVYEYVPSGTLPSHLSGSSPEKTLNWCERLQVLMNIAKAVHFLHTGIIPGSLYNRLKPSSILLDEHLVAKLSDYGLSIITEEIYKHEAAGEGQRCVEDNGGELKNLEDDVLSFGGILLEVLMGPKRHRKDLSVLSELVLSISKQEEREQVLDPVVLSTSSQDSLSMVISITVKCLSVESSARPSIEEVLWNLQYAAQVQAISDGDQRSEVSSQTC >KN538911.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538911.1:136476:143469:-1 gene:KN538911.1_FG001 transcript:KN538911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELVHRTQPSKQSSVICMPGDPLGTSCPVVSNALFECWFLYSVLPPGDEDLKGDEVQTIIKFKSALGLDDVDAANMHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLSYKLSDEIAAEMFKEHAKKLVQENISSALDIVKSRTKAANSPTQVIEDVKIILAFNSLLITLSKHPDQDRFVRGLGPISLGGESDHDRRADDLKLLYRAYATEVLSDGHLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGKLFEKVVVEAISSVDGYDTNRRQAVKKAAQGLNLKNEAVMAIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAQTSDATSEPEVSESEGDDEYEWEQLETLRKTRPDKELREKLAKSSQKEITLKDDIPLRDRAELYETYLVFCVTGETTNVSFGTAISTKKDNSEYLMLKQLGDILGLTRKEAQNVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYKKSVEEIFSSGTGEFAEEEVYVKIPADLIINAEKAKSIVQDIAKIRLDNALVQAVALLRQKKKDEVVASLNDLLACDAAVPASKPLSWPTSGELDDLYGIYMKSIPKPEKLSRLQYLLGISDEKAGQIRDAASAGTLSVATEEEDELVF >KN538812.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538812.1:91390:93056:-1 gene:KN538812.1_FG001 transcript:KN538812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDQDLSINEMVYVVGICKSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKSRAL >KN538911.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538911.1:98644:99990:-1 gene:KN538911.1_FG002 transcript:KN538911.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGVPADNFVVPNVLKACAGLGLLGPGRAVHGYAWKAGVGNCVYVMSSLVDFYGKCGEVDDAREVFDEMPERTVVSWNSMLMGYIHNGRIDEAADLFYEMRVEGVLPTRVSVLSFLSASADLEALDGGRQGHAVAVSSGLEMDLILGSSMINFYCKVGLVEAAEVIFEQMVERDIVTWNLMISGYLQDGQTDKALTTCHRMLESGLKFDCVTLASVIMACVKSYRMEVGGAAHAYAVRNNLESDKTVFCSLIELYSSSGRIEQMRRVFDSIRRRDIATWKAMICAYADHGMGSEALKLLYQMQLEGTSPTAACWDSVLSAFIRNGQLDDALSTFYEMLQTSTRPNLRTWSLLISGLSRNGMHPEVMNLCCKMQEVEPAPSPTIFSAALLAVKSAASVQYGKAMHACIVKKGLLLSKSVVQSLLNMYGSFNDRGTVESLLRFLAAAQ >KN538911.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538911.1:32107:38435:1 gene:KN538911.1_FG003 transcript:KN538911.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHGLGPRARRALLERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESTGFKCPDRKGVADFLQEVTSKKDQRQYWARHDKPYRFVTVKEFVSAFQSFHTGRAIANELAVPFDKSKSHPAALATTRYGAPGKELLKANIDREILLMKRNSFVYMFRTFQLMVVSLIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDSNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELMGHSWNKIVNSSSSNETLGVQVLKSRGVFPEARWYWIGFGAMIGFTILFNALFTLALTYLRPYGNSRQSVSEEELKEKRANLNGEIVGDVHLSSGSTRRPMGNGTENDSTIVDDDTEVTQRGMVLPFTPLSLSFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFESIPGVSKIKDGYNPATWMLEVTTIGQEQALGVDFSDIYKKSELYQRNKALIKDLSQPAPDSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEIPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFAFLFASLFGFAIMKFNFQKR >KN538812.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538812.1:37770:41383:-1 gene:KN538812.1_FG002 transcript:KN538812.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGGTLELCVDHQHGLNLNPLCKPSEVAYTLIPTLCYFEFFPVNSGNDVATPEPDHRDLIDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFTFDGLLGLGGAPESLVSQTSSQFGGPFSYCLPPTSGGAGFLALGAPNSSNTAAGFSFTPMRRIPSVPRFGRRRRMTTSSYCRGYAGGSLAAARALVDGSSDIAINWSGGMHHASACKATGFCYVNDIVLAINELLGTFSRVIYVDIDAHHGDGVQDAFLDSNRVMTLSFHRLLNSDAVTATRLETWAGRSKRKYE >KN538911.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538911.1:173348:177138:1 gene:KN538911.1_FG004 transcript:KN538911.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLAVACAAAAMIAGGECGRVVHVGEEHRRSMLANGLATAPPMGWNSWNHFACDGNGEDVIRETADALVSTGLAAAGYKYVNIDDCWAEPQRDSKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWAEYARPGGWNDPDMLEVGNGGMTNDEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQDALGIQGKKVRMEGSSEVWAAPLSGMLLLKPLISSRAN >KN538812.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538812.1:9243:9644:1 gene:KN538812.1_FG003 transcript:KN538812.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLAVNLVVLGVASACGGSPSCPTPTPSTPTPSTPTPTPSAFGRCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPVDLSLILNYCGKTVPTGFKC >KN538812.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538812.1:152937:153311:-1 gene:KN538812.1_FG004 transcript:KN538812.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTGETTVCSNIVNNIVPTNPNSDGAAEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >KN538812.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538812.1:1949:6555:1 gene:KN538812.1_FG005 transcript:KN538812.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTSPPAKAQVTTAPAKSPLLGGVGDLDAAVSLRLHALFLPVPPLLLKALEVAGDGRIWLPVPISLLLISTTTSSKVSPLLVGLVAGLVLDILFVGAAKLIVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAAFLAAGGFPREALFLWAASTSASRVLLGRHYVLDVVAGACLGVFEAWLSSLLLRAILPIKQCGKQAGDWPRCSEIEQQAGAVILVRVARRVRRFDAESDRDIEPKRKEQVKTTNPRKYSEIPTDYHCKDKFLIQSVVVEDGTTQKDIHSDMFSKEAGKVVEEFKLRVVYIPANPPSPVPEEEEDEIDSLDSDVDHEVQMPSTFDAASRKGHTSGSQASHDEGVSLTKAVLSKYVDENQKLQQELDLLKKKRSSFNEGFTALFVLFVFAFFVFIGYLMAGSNV >KN538911.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538911.1:3343:10894:1 gene:KN538911.1_FG005 transcript:KN538911.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGIDYPTIEVRFENLEVEADVHVGNRGLPTLLNSVTNTVEAIGNALHILPNKKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMHEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDIYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVFKIDSTDISEFVEKVTSRKDQGQYWCRRDRPYRFVPVKQFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKYGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDRDYGMIYLGALYFALDTVMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSQILPGENVTLGVSVLKSRGIFTEAKWYWIGLGALLGYTLLFNLLYTVALSVLSPFTDSHASMSEDALKEKHANLTGEVVEGQKDTKSRKQELELSHIADQNSGINSADSSASRKGMVLPFAPLSISFNDVRYSVDMPEAMKAQGITEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSEARKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIDGVSRIKDGYNPATWMLEVTSSAQEEMLGVDFSEIYRQSELYHKKQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIELPYIMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGDIQHVLEGDTRTVAQFVTDYFGFHHNFLWVVAVVHVVFAVTFAFLFSFAIMKFNFQRR >KN538812.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538812.1:156001:157099:-1 gene:KN538812.1_FG006 transcript:KN538812.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTVAADVTAAPPPPPGPTPPPEPAVTATVGVEQKVSHTAPPPDVPAPAPPPPVKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKAGVRAGGSGGIVGVCGLWRVGGDWELLEGLIRAEGVGGDWELLEGLIRAEVGPTWWCHIFNGKLLLYMGSGGEVHLLGILSE >KN538812.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538812.1:145927:148132:-1 gene:KN538812.1_FG007 transcript:KN538812.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDGTNLVDDEYDDLDDFIVDNDDDVVLGEEQQDEFEEEEEEQEEEEEEEPPVGQVEILTLREQLKADIRRKNKAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQQIASGQQQKPKFVKEEKRKVDALRQNRDYSCLFSDDADTPQATKEQPDNMPVLPMKSAIKCSSQRSRKGKYKEFSQKEIQGGHM >KN538911.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538911.1:182620:183318:-1 gene:KN538911.1_FG006 transcript:KN538911.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYAEAAEQIHGREDYRRLSGLTGYGLRRLNFYRTQT >KN538812.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538812.1:103353:105887:1 gene:KN538812.1_FG008 transcript:KN538812.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGDNIKWNFSKFLVDKEGRVVERYAPTTSPLSMEVCSQQYLNLGIFDKV >KN538911.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538911.1:169097:170740:-1 gene:KN538911.1_FG007 transcript:KN538911.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEKLTALLDACSELGVDTGPRIIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPPDLANEDEALALYFDKLNDRNQDAIEEVKKSSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDFLERRLRTIHDNTKHGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNGGDGWLPFCIYRDGFNPEIYPALWSDYYNKNRREPENTQLAPWVAKYFSKYY >KN538812.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538812.1:33605:33859:1 gene:KN538812.1_FG009 transcript:KN538812.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSGGASRVAACRRLGGACGAESANGLCEIPVLPFHLFDEGEVPRGTKSPDGL >KN538812.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538812.1:21916:22586:1 gene:KN538812.1_FG010 transcript:KN538812.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLVALNLVLFFTVASACGQYCPTPSTPSTTPSTPSYSTKCPKNALKFAACADVLGLVSAEVGQPPYEPCCGVLGGLAALEAAFMSSFGQLMKDLFAKELHAMDALLG >KN538911.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538911.1:153936:158168:-1 gene:KN538911.1_FG008 transcript:KN538911.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLSIIFRYGVSTEDATFKAELCDLYGRFVFSLIHPGYEDLEGTEVEAIIKFKKALGLNDIDAANVHMEIAKRIDRNAGVGQQQAFEKLIFVTNLVFRDAYEYLLPWKRLFGVHESQGCVHVMAGTSSDYNASLSIMSVRDRSLTKTLIDDVMRESAKSLYASLLKSIGRGLDIGTLIEVRRSQLAYKLSDEIAAEMFREHAKKLVEENISTALNNLNNRTQVVEEVKSILSFNGGDSDHEKRVEDLKMLYSAYAMEVLSDGHLNDDKLAALNQLRNIFGLAKYEAEAIITDVKARVFQTY >KN538812.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538812.1:52014:54189:1 gene:KN538812.1_FG011 transcript:KN538812.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDLGGIGGGNRGLFWPSGKGGLVVVEPRSVLDCTRSPSPRNSASTLSSSQGGGGADSTARDVGRGGGEGWDATATLGNAAGQDQSFLNWIIGAAGDLEQPGPPLLDNAGFGIPAVDPLGFSLDHSLSGVASDLSSSGAHTATGGAGGGKASLGFGLFSPEATSLEQPPPPMLFHEGIDTKPPLLGAQPPGLLNHYHHQPPNPAATFFMPHPSFPEHNHQSPLLQPPPKRHHSMPDDIYLARNQLPPAAAAAQGLPFSPLHASLPPLGKPFLRSASYLREALLLALADSHHGVSSVTTPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGGTATSCIHVIDFDLGVGGQWASFLQELAHRRAAGGVTLPLLKLTAFVSTASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFNPGELISSTGDEVVAVSLPVGCSARAPPLPAILRLVKQLSPKIVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVHDMVLGRHKVHKAIAWRSVFAAAGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >KN538812.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538812.1:93669:99294:-1 gene:KN538812.1_FG012 transcript:KN538812.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLPRSYARPAGMLPPNNEFGIREITPGLAGSGPGGAYELVERMEYLYVRVVKARGLKWSGEFDPFAELRLGGYSCITRHVEKTASPEWDDVFAFSRERIHAPFLDVLVRGRGFAKDDYVGSTRLDLGILPDAPASVQPDSSPAPQWYPVFDKKGEFRGEVMMAVWFGTQKDSYFDSAVHADAAFPVDDKLAAHIKHIRYDVPRLCYVRVKVTEVRDIVFADKARVGEVFVRSRILGQVHRTRTSMDHRWKDEENGHLFVAAAPFKDYLNMSVVGVKNGKEELIGHVNVLLDSFERRCDARPISPRWFSLMQPEGAAKIVKYSAKISVVLCLECGYKVLSEPVHYLSDVRPAAREQERERKCIGLVELGIREAILTATRTRDGRGSRDAISPRFHQQYHWEVHDHCTVLTVAVFHNSQIGDKGGLVAGDSVKDVLLGKVRIRLSTLETGRTYAYAYPLMSLHGGGVKKMGELRLAVRFSSTSTLGLFQTYAQPHLPPMHYHRPLTVVQQEMLRREAVTIIAHRLGRMDPPLRRECVEHLCESHALRWSMRRSKAHFFRLAEALEPLSAVSAWFYHVCRWTNPVTTVAVHVIFTMLVCYPSLVLPTFFLYKFMLGMRNYLRRPKHPWHVDMRVSHADTAHPDELDEEFDDFPTARPPEVVRMRYDKMRSLNARIQEIVGDIATHAERARPLVRQCLAVAIFHSKNTAPLAPAAAAQLHALLLTSGHLLRYHGLQPLFMVYCACGRPSSAHNLLAQMPQPPPVSFSNSLLRSYTGLGCHREALAVYSAMRAFDHLTFPFAAKACAGLRLGRPGRAVHCRALAAGFGGDTYVQNALISMYMSYGDVGAAEAVFWAMRNRTVVSWNAVIAGCVKNGYAERALEVFGEMAADRIGIDRATVVSVLPACAQAKDLNTGRAVHRLVEEKGLGDYVAVKNALIDMYGKCRSLEDARRVFDHCKHDKDVVSWTAMIGAYVLNDRAFEAISLGCQMLMSGAAWPNGVTMVYLLSACASMPSRKHAKCTHALCIRLGLKSDIAVETALIDTYARCGKMKLMRLTLERGSWRAETWNAALSGYTVSGREKKAIELFKRMIAESVRPDSATMASILPAYAESADLKEGKNIHCFLLTLGFLRSTEIATGLIDVYSKAGDLDAAWALFQWLPEKDVVAWTTVIAGYGIHGHARTAILLYDRMVESGGKPNTVTIATLLYACSHAGMIDEGIKVFKDMRNVHGLMPNGEHYSCLVDMLGRAGRIEEAHRLIQDMPFEPSTSVWGALLGACVLHKNVEFGEVAAKRLFQLDPENTGSYVLLGNIYASANRWRDVQDVRRMMVEKGLVKEPGSSLVEARSVQCRTAMP >KN538911.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538911.1:71565:72071:-1 gene:KN538911.1_FG009 transcript:KN538911.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding METSPSASTRTSCASLNACPSAPHRPFAMANPRRELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDDTTTFFCSSLIISISIRSIPNFFRSFLHYILYCVQPCRHRLAGLQEAALPLAQSPTGKVASPIRSSGQDSSAVAGGATTASGGDAAVAGSEDGGRRHSRSS >KN538911.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538911.1:40192:48313:1 gene:KN538911.1_FG010 transcript:KN538911.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEMQKVVSLRRGRGGSSSRGAASMWWSADNGVFSRSRASSSGEDGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQIMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKASAMEGQETNLITDYILKILGLDICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSKKDQKQYWMQHDKPYRYVPVKDFASAFQSFHTGKSIANELATPFDKSKNHPAALTTSRYGVSAMELLKANIDREFLLMKRNSFVYIFRACQLMVVSAIAMTVFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKIPMSFIEVGGFVFMSYYVIGFDPSAGRFFKQYLLMLAINQMAAALFRFVGGAARNMIVANVFGSFMLLIFMVLGGFILVRVTQVFMYVLAEKVKKWWIWGYWISPMMYAQNAISVNEFLGHSWDIVLNNSLSNETLGVQALRSRGVFPEAKWYWIGFGALLGFIMLFNGLFTLALTYLKPYGKSQPSVSEEELKEKQANINGNVLDVDTMASSTNLAIVDNTETSSEIADNSQPTQRGMVLPFAPLSLTFDNIKYSVDMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIQGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQKNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKR >KN538812.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538812.1:163443:166941:1 gene:KN538812.1_FG013 transcript:KN538812.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKCGAPKAGKQTINYHRLPLDGHQFDLDAFLTKDRNASNQSKPSTQSGSKSIDRRLTTPQLVSALTGIWNLVGQPESSSTAQISESHGILHKDEPVCFSKEQKEQALMSCCAENSTGLSSQNFLSTPKSIFEDLSLMKKMLMLTSCSSMPGGSSTWRHVHVGSAYYLNYQNIYPMQTRMMHTYAVSGSTEFKEDQSFRRDDNHSSQTRNMPTELCTSSSEEAHIYESSLHGTKSNLEIIPEYCSSSSCSSQQMVTRDETRIMPADQISSNTCTLTENSVCISCPVGDAVVINSEHTDQNVDGLMSQEHSVDKYSPQLESSVQHRFYGAVNLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSRRSSFYHTLRHALVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGALLPILPKEYHSIAHCTAGGCSSIATSFVFTPSECIKQQMQVGSQYQNCWDALLGCLRKGGVTSLYAGWGAVLCRNIPHSVIKFYTYESLKQFMLKSAPASANLDSGQTLFCGGFAGSTAALFTTPFDVVKTRVQLQALSPISKYDGVFHALKEIFQREGLQGLYRVMQRGAGTLLITKWDGSIRLEDCRLVVTEPNIS >KN538812.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538812.1:106992:124070:1 gene:KN538812.1_FG014 transcript:KN538812.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGARSRVPVAAVVAVVLVAGLAAGGSAAEIRRQKNVQVALRAKWAGTPLLLEASELLSKEWKDLFWDFIDHWKELDKASECLTAKCCVQKIVEDARSFLSEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSIPVKDGTLEQTSGPSTGENFHEAVKGTCCWVDTGSALLFNSADLRKWLDGLGKLAVDSTQQPELFEFDHIYPQSNITAPIAIFYGAFGTKCFKELHVHLAEASKQGKVRYALRHVLPSGCQATSSFCGSVGAIDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPALNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVKLKIPGSAVHKILSAAPPTESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNINELLMPVFPGQMRYIRKNLFHAVYVLDPASTIDMVLSLYQDSVPIRFGIILYSSRLISVIEENDGNLPVNDGSKTEEDISILIIRLFLYIKETYSTQLAYQFLSNIHKSQNSGDDYNEESVEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMHKQEAEESSRFVHKLGLYKLQCCLLMNGLVHESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTENKRFVSLVGPYHQGDSTLHDITYLHSHGTTDDAKPVTHLVAVDISSKIGIKLLHAAIRYLFVSDLDEPIFVLSYKEKVLDFLHELCKFYEGQHVPSSGVGDKISTMMEKVYSIAAETGLPVDNYKAWFTSYSHDAVLRGMNKLSDFLFGKLGLEFGSNAVITNGQLLQSDLSAASVVHDFMLFLTFQVFVVNEGDSFLTDDLGLLESMEYELRTKHIYEIIEEIEWTGVDPDDLTSKFYSDVAMLISSSMSIRERPSERAHFEILHAEHSAIKLNGMSSGVHIDAVIDPLSPAGQKLAPLLRILWRQIQPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLMHIEVQKRGGKEHEDLLNADDDNHFQEKMDNKGWNNNLLKWASSFISGDSSSKKKDEKISLIEIEDEMVSLNFEYCINLNACLMMDLKAARQGETINIFSVASGHLYERFLKIMILSVLKQTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQLFANSLLLSLCERVSGKIICEEDHTTSGGCDLCICALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPGTTSPPSDTPKSDDKGAKHDEL >KN538911.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538911.1:122195:131561:1 gene:KN538911.1_FG011 transcript:KN538911.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFYNVVISRRWKLEQWLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIEIHHKQQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGSMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLEDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAELAKARASATKVSCCHFSSDGKLLATGGHDKKVLLWCTEPALKPTSSLEEHSALITDVRFSPSMSRLATSSFDKTVRVWDADNTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELNCSGNKFQSCVFHPSYPYLLSLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASVSHDRFVKLWK >KN538911.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538911.1:149038:149406:-1 gene:KN538911.1_FG012 transcript:KN538911.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVHGAGLTNMVFLPAGAVLVQVVPYGKLEWLARNTFAEPSSAMEIHYLEYAVQLDETTLSEQYPADHPVLRDPMAIHKQGWEALKTTYLDKQNVRPHLGRLKNTFLQALKLLPHDKETMN >KN538911.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538911.1:164427:165668:1 gene:KN538911.1_FG013 transcript:KN538911.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGRLGITYRVALHGGGGGGPVVVVVKRLRNMGHVPRKDFAHTMQLLGKLRHENVVEVVACYFSKDEKLVVYDHVPGRSLFHLLHVMSPWARLALSHEWSTDILDVEIVADRGRHGDMLRLTEVALLCAAVDPERRPKAHDVVRMIDEIAAGDGEATAGRELALR >KN538812.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538812.1:126436:144620:1 gene:KN538812.1_FG015 transcript:KN538812.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tripeptidyl-peptidase 2 [Source:Projected from Arabidopsis thaliana (AT4G20850) UniProtKB/Swiss-Prot;Acc:F4JVN6] MPKKEIGVDRFLAAHPEYDGRGALIAIFGEQPHPCSSPTTLRLCLCISEAGDWNSLSGSPIHIHVFKCSTGSGDVDTSKVVKADDDGSIVGASGTHLIINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKVNFVLGACILKKERKKKWDEHNQEAISEALKQLNEFEKKHSKSDDAKHKMAREDLQNRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISINQVGKSTPKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKMIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPIVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGAVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVASGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALIASLKSEYPKYTPLLAKILECIVQKATSDDKFRHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDAFEENYKELIKWVDANTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHLSAYEKQWMHFFTRYFISSNGNKNLRRLPATAKLIVIDDIVYPYRINLRSTPAEDQDDCSSKTMVINGALVSSFQRRDFGWCYGQMSTIDFTVFAVAEIPHQIGEVVAIN >KN538911.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538911.1:166871:167916:1 gene:KN538911.1_FG014 transcript:KN538911.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAARPGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSILSLGPFDGTGMNLARVFGPALVGWRWRHHWVYWLGPFIGAGLVGLLYEYLVIPSADAAPHGGAHQPLAPEDY >KN538911.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538911.1:108175:117575:1 gene:KN538911.1_FG015 transcript:KN538911.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFYYYLTFSFLRNIKAIDAPGGFLFEWWLIFWDIFIAQTDREHSDVATSYIEEFEPAYEDELSETVNSDSGIFALRRGRKTWIFTTTNGSLQTQQAKAEHQKQQQQQYHHQQHQHQQIQIQQMLLQRAAQQQQQQLHIDGSHLLNGIASGLSGNDLLMQHNPATANAMAVKIYEDRLKLPSQRDSLDEASIKLQQRYGENYGQVLDPNQASLLKAATCGQSSGPILPEGIGDLSSTLQQVHARSPRLPIPEQNIKTRINPILTNRDVISEGSLLDLQGSNHGGRNFMLKGWPLMQKPFLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIQLDGQINNNSGHIIPNIGSPDQSGGSHNKIDMLIAKIAHLQPLQQQGHSQQQQLQQSTISHQQAQSLNQLHHQQAQSVGSMLDGSVPNSFGLADRASKKRKKIVSSSGRANSSGTSNIVGSSSSSAPSTPFTHTPGDAMSMPQLKYNGGKSKSLSMLGSDDTKSLISPANPPGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSDGKFLATGGHDKKVVLWFTDDLNIKATLEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCDSDGEVRCWSIDNGSCVNCVRGGAIQLRFQPHHGKYLAVVSKKMISILDAETLHIYRSDLQGHLKNIHSVCWDVTGGYLASVSEDSIKSLELWDIREKNTVTINNAHDGMIPSLAASNASGLIASVSHDRLVKLWK >KN538812.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538812.1:43935:45533:1 gene:KN538812.1_FG016 transcript:KN538812.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLDHPLSGVASDLSSSGAHTATGGGGKASLGFGLFSPEATSLEQQPPPSMLFHEGIDTKPPLLGAQPQFLLNHYQPQPPNPAAALFMPLPPFPEHNHQSPHLQPPLKRHHAMPDDLYLARNQQQSSAVAPGLAYSPPLHGPAPFQLHPSPPPIRGAMKSTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPQLGKPFLRSASYLKEALLLALADSHHGSSGVTSPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIGGMATSCIHVIDFDLGVGGQWASFLQELAHRRGAGGMALPLLKLTAFMSTASHHPLELHLTQDNLSQFAAELGIPFEFNAVSLDAFNPAELISSSGDEVVAVSLPVGCSARAPPLPAILRLVKQLCPKVVVAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVEDAVIGRHKAQKAIAWRSAFAATGFKPVQPSNLADAQADCLLKQVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >KN538911.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538911.1:55629:64190:1 gene:KN538911.1_FG016 transcript:KN538911.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVDNTETSSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPLNSILPGPCAQATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >KN538812.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538812.1:26382:29487:1 gene:KN538812.1_FG017 transcript:KN538812.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKLQIHVICHECRMGFRHNGVVGEVNTQAASDVVDCSNPKNSKYYVVVVQYMARLNADSIKNFIYALNEKQIPKKRFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVIIDEAITKLDKDFFWLGGGEVDLKLGVRTSQFLNAFKPFVVKCS >KN538812.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538812.1:67633:86767:-1 gene:KN538812.1_FG018 transcript:KN538812.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDTLPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASTSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNVGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNNIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLNLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQNSKIIFPDLSFSLGRSIALPNKHRDKQVSENSSSITHEFILRDIAHIVSMKQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWELFMQYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLCSVQLPPWAESPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSPALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLELSSKIGGQMLQEGNGVLES >KN538911.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538911.1:134295:135641:1 gene:KN538911.1_FG017 transcript:KN538911.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSNVGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKLKPKVDENSEKDPKKPKLEAPKKKAKLATKRIWKMQEEEKRLQEKEFEMAFFREFWPDNV >KN538911.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538911.1:184537:186690:-1 gene:KN538911.1_FG018 transcript:KN538911.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGADEVTPDLCTYNILIGCCCRTGRLDLGFAALGNVIEKGFRVEAITITPLLKGLCADKRTSDAMDIVLRRMTQLGCIPNVFSCTILLKGLCDENRSQEALELLHIMADDRGGGSPPDVVSYTTVINGFFKEGDLDKAYSTYNEMLDRGISPNVVTDSSIIAALCKAQAMDKAMEVLTTMVKSGVMPDCMTYNSIVHGYCSSGQPKEAIGFLKKMRSNGVEPNVVTYSSLMDYLCKNGRCKEARKIFDSMTNRGLKPDITTYGTLLQGYATKGALFDMHALLDLMVRNGIHPDHYVYNILICAYAKREKVGEAMLVFSKMRQQGLNPNAVTYGAVIDVLCKSGRVEDAMLYFEQMIDEGLSPDNIVYTSLIHGLCTCDKWDKAEELILEMLDRGICLNTIFFNSIIDSHCKEGRVIESEKLFDLMVRIGVKPDIITYSTLIDGYCLAGKMDEAMKLLSGMVSIGLKPDTVTYSTMINGYCKISRMEDALVLFREMESSGVSPDIITYNIILQGLFQTRRTVAAKELYVRITKSGTQLELSTYNIILHGLCKNKLTDDALRMFQNLCLMDWKLEARTFNIMIDALLKVGRNDEAKDLFAAFSSNGLVPNYWTYRLMAENIIGQGLLEELDQLFLSMEDNGCTVDSGMLNFIVRELLQRGEITRAGTYLSMIDEKHFSLEASTASLFIDLLSGGKYQEYHRFLPEKYKSFIESLSC >KN538911.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538911.1:65133:66647:1 gene:KN538911.1_FG019 transcript:KN538911.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPTEGSEPLLPRKQRDGGDDGAASSHDHFDGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLILVMLAAVLTDASIELLVRFSRAVGDTSYGEAMGDAFGAFGRGLLQLCVVVNNVGVMVVYMIIIGDVLSGKSSSGGVHHHGVIEGWFGPNRWNGRFSILVIVTLGVFTPLTCFKRVDSLKYTSALSVALAVVFVVITAGITTIKLMRGQIPMPKLFPDVHDWSSTWRLFTAAPVLVTAYICHYNVHTIHNELKDHSQIRPIVRASLLLCLVVYTTTSFFDFLLFGEATLDDVLATSTPTSVSRTACCSKTPLGWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >KN538812.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538812.1:159352:162055:1 gene:KN538812.1_FG019 transcript:KN538812.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGDGFNDGREPDSSDFDGGAYICCLLAFCYVLFSGPFDMETKYSILELLLNVFRDAKTLREHSVRGPNFHEQDHTRENLALTSARNATFKLCSGKGKKVWWNEKFRFPLSSDECKELAKVTLKIMERDKFSEDSLVGETKVHVGDIISEGIEREFMQMKPAPYNIVLEDGRYKGELKLGLKFLPNVSLESLEQCTVPPRRQTSVPYRPFLNITLPDIPWRRFFFFCTRSNAKGSRKTKNS >KN538812.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538812.1:30850:32057:-1 gene:KN538812.1_FG020 transcript:KN538812.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSPQTLNGKLKLNTITTILLFIVFLFTLGITSCEARHDHLRISDKYSSKKSSLDVAGDDVVGSKQPIDQSVGKEVALNAKMELAASSGSSSGSLNKRFEGTKVRSIARERSVLGAETNREQVGSKPATTAYTAETLAAMDYPVAHAAPAVHNR >AMDW01036844.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036844.1:503:586:1 gene:AMDW01036844.1_FG001 transcript:AMDW01036844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVRFGPEEQIVWPASVLAGILMCAA >AMDW01014786.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014786.1:33:152:1 gene:AMDW01014786.1_FG001 transcript:AMDW01014786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NALLEFVRVVEAKEQVVAGTLHHLTLEALEAGRKKVYEAK >KN541206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541206.1:1061:2860:1 gene:KN541206.1_FG001 transcript:KN541206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQGGGSRPLDQFFDRMETMSELGFVGSDVDDGEHGGGGAAPSMWDNLAGGGGGGSAVATTTTPANLLQQQTNCSTFLCVPIGPHEHSWIAKKPIAYFHLFPLL >KN541206.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541206.1:24452:26573:1 gene:KN541206.1_FG002 transcript:KN541206.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEANHNMLLKVGYSGGQIRVIPLEPLKKALSKVWGSCFLDISQVDSNLLMAHFRTWEDLSWVWNKQPWSFGSDTFLMEWATADEKLKPLSAYTFKSIMVTVRFYGIPMALRTEDTARQVAEEIGEPFAANPILEENLKKDPKFMSVRVKMDVTKPVQAIVRLNIDNREPLKQRIIIQQNADAQVQLNDRYGKWITQLSYLPPEAMMDLEKENKNSLVAKFRQYFANPDIRNCSFTRRKPQSQADWTLSPLLRHEAGSKRPFPTPSSSSAKRRVVGEHGHSGGAVGGGWSFGTANPAGAASGWSSWGDGRSGAPGSPSPLSADVDGGDIASSQYRSSDGRRHEEGSASRKQVKVRSRSSRWDKRSEVSPRPQEEFVWRRTVHLPFSPHGSLLSEDGKAGQSSPNDRDVLVGLEYIDQEVAHKFSSQAFKSTKAVNASAKSFSPGSGTGSTAQADASHSSSAGFGGPGPYRSTASAGAVQWGRMPVDNSTRAGTTVSDARMDRSGSSLASRAFGASP >KN541206.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541206.1:14503:14961:1 gene:KN541206.1_FG003 transcript:KN541206.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLRVLRHHRERELRRERHRRAVPAAAGGEEAEGRRVEGVADEPRRLVGEPQDAVRPELGHAVQRQVRAVPGAAAVAEAGIGEFLGGSEGGEEEEDEDDDGEVSHRIGDGEDGGGGQVFVRGFFGGGMELEMVNLRGFFVVIKKRRRGEE >KN541206.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541206.1:5725:11440:1 gene:KN541206.1_FG004 transcript:KN541206.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPDEKVPTEVAGMPFSWDMQSEAAKYFGNFDTEIQAACQTQEPSYRAIYGSCNSGQMIGLEDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQLEQRMAKALSAQPYHPVFPVAQGVSSCNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLHDKIIASSSFEGVNTGSLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDVGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARQLLEHPEYNPRIHRTHPSLQPTIEAASTSMTSHVKEPCYQENYCLADSSASVQGLDNVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTPANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSSLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLRENTESHSFGTYNELPRTSSFLHRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSLSGGSSSGGNPDHQGTVLSSIYQPDGYNINSTLPLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSAPQQFQRQVQRQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVARIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVAPLT >KN539891.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539891.1:21543:22214:1 gene:KN539891.1_FG001 transcript:KN539891.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYMLFSRREGMIGCDEQEEDIGCPSESELSLSSSSEGMELADDASSSGSSSSAAGHFEMSSLMTELPLKRGLSKFFDGKSQSFASLAAVGGLEDMAKPMRKRLKTSRSCGVGLGLQDAHRRGRLSPRPLCGNASAASFKKVSKGGQLSVLGASRRTRSPPTAAISPRPEGMPGQALLFA >KN539891.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539891.1:59351:59861:1 gene:KN539891.1_FG002 transcript:KN539891.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAAGKIEAQYVEMKVPLYSYGCEKKIKKALSHLRGIHSVQVDYQQQKVTVWGICNRDDVLAAVRKKRRAARFWGADQPDLGEDSMPADARKHYLQAFTAYRFRKSWKKLFPLIRL >KN539891.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539891.1:11409:11720:1 gene:KN539891.1_FG003 transcript:KN539891.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN539891.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539891.1:26491:32792:-1 gene:KN539891.1_FG004 transcript:KN539891.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEHRRRTASTSDTATPRLRVRLTEGSDSQQRRHVRESAEVAAAALLPSLILVADESIGTIGKRLASINVENVEENRRVLRELLFTAPGVLDCLSDDILFEETLYKSTRDGTPFVDVHATAGVQAVAACRRRQRAGPRAVRHHLPGERAGPHRRAGDPRRRRARHRAGYWDAYFLSVQFRIEILAFGMNFVVIIEPWSRQARNLTGGCPLGLSYVDQTPSPETKLVSDFTAIPPKFELLSLAGSCASPLLEPKNLPDEFHAVSASTPAPVPVPVSPLPDAPKLGISNKFIRGLCSDRQTEQLAFECYRRALHQPEFLPDKKTMNALTVQLLRAKQWSSLEFLVEDFRAYGVMPERRTCARLVASCVKARKFGLADMAYNKLHMYRSTLLVYERMRAARLSRDADAYRAVMAACGALGKPEMVASLLKQYKSHKWYPSESCVETYAIVCDALGRAGRASDALKCLREMEADGIAPNATIYSSTIRSLADAHESSAAEDLYNEAWKKGMLGDPDMFLKVIVMHVEAGRVEKTMGVAKDMRETGLRVTDCILSTIVNGFVKRRGLKPAIRAYDKLIALGCEPGQVTYASVINVYCQLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGKISRASDAMRLLAVMKKKGCEPNIWVYNSLLDMHGRLGNSRQAEKIWKEMMRRKIQPDRISYTAIINAFNRSGELDRCMDLYQEFRETGGKVDTALAGLMVGVFSKCSRFNELIELLKDMQGTRLDRRLYLTVLRSLRDAGLEVHVKWLQTNFSFVEEKT >AMDW01022358.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022358.1:60:299:-1 gene:AMDW01022358.1_FG001 transcript:AMDW01022358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSATLVAVDVARNAMRARSPRPVVALVVSTESLAPHWYAGKERTMMLAQCLFRCGGAAVLLSSDPAHRGRAKMELRSL >KN539891.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539891.1:39514:46906:1 gene:KN539891.1_FG005 transcript:KN539891.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRGGKARGKVAEEEWPSLVDLVLGWKLEDVMNENLFKDKMKRIPSTFSDLKSYLESYTSPLLEEMRMEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEGDSLTFYSYTRKRFNATYQEFRRCFKEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVRNSGVWVQVPSRSGMKDESPSTSTGIGGFPGDTEENVEDITWSTEKASAMGDSSDDDFQSAAAAKMEAVATYWAAVKKDDPTLNRFALSNLESKVFSWSIRDIFNRDLLKHQLVTDFFVGTRRLRPETRINMI >KN539891.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539891.1:1656:6677:-1 gene:KN539891.1_FG006 transcript:KN539891.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKFGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSTVVLGQKTICRDRDQAECWFLGLTALTSSPYSPLPLAGSKNSRQINSCASSPRSYLQRKCRLSAALDTPRHTQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNRSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCRLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPANAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLQFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKTELQGKTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKKDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >KN539891.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539891.1:34087:37726:1 gene:KN539891.1_FG007 transcript:KN539891.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGRAREGNKKPDDDDLVNTIFSWTLEDVMNQNLFADKVPSISFVFSIRLVNAIPDRFSGLKSYLDSFRAPLLEEIRAEMSSNLETLPNNSSSTKHIQSLVRIPTGLRQCPLYRVTISDHRGACAPCIGDIVVLTDTVPRRPSDLASNGRSCCLAHVKDVVNRRTFLIRAAKKIGDANSYAFAASLLSFIPYARIWRCLDYDYALKTNPPLVMAVAGVSLQTTSLAASSSFHRANGSCDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSRSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEIFLDNRVKILKKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKKSAAGDEVLVGIFMKEKKPDGSDGGVVHSELDRNLRQNMTEILGVIRTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLHEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSAGSIGFLSNRRRANVALTRARHCLWILGDAATLLSSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRDDICDALGSLKLA >KN539891.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539891.1:12533:15302:-1 gene:KN539891.1_FG008 transcript:KN539891.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A13 [Source:Projected from Arabidopsis thaliana (AT3G03220) UniProtKB/Swiss-Prot;Acc:Q9M9P0] MAPCLLLVLFLLPALAAGHQHPSTLGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVDDLKYCLPGTSIVVTATNFCAPNFGLPADAGGVCNPPNHHFLLPIQSFEKIALWKAGVMPIQYRRVNCLRDGGVRFAVAGRSFFLTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDFRGQPLSFELTSSDGKTLTNYNVVPKEWDFGKTYTGKQFLL >KN539891.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539891.1:222:1070:-1 gene:KN539891.1_FG009 transcript:KN539891.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAAVIPVAAPPRTISVSLAASPSSSHPLRPVASSVNLVMDRVAKRPRGFAFLSYASEEESKNAMEGMHGKGKANILIFAISLHLCAVPGWESDLCGGCKAK >KN539891.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539891.1:48204:57375:1 gene:KN539891.1_FG010 transcript:KN539891.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTSKNYERKFLASKIFGPYSFINVDGGHETTEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANNDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRFQDDSPVAPPAAVLPRRGRPSGAALPPAVFACTGEKEGSKMSARNKSRRQKQVKKSECVDAIQSVVNYWEKLKKRDSSLSKFALSYLVRQIFSWSIEDVFNKELFKQKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFTARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKFQLPIALTVAFATKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLRWPDLLASVHTDVCNKSKIRKARLFCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLISMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVRAIQEKIGKTYDMYDGFSVKVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPRYRA >AMDW01026157.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026157.1:49:351:-1 gene:AMDW01026157.1_FG001 transcript:AMDW01026157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIATAVPMVAMPQSADQPTIAKYVETAWEIGVRAQLDEKGSVTKEEVEISIKKVMDGKRAVEYKRNAAKWMQKAKEAAQVGGSSDKNIAEFVAKYLSN >KN539891.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539891.1:19369:19683:1 gene:KN539891.1_FG011 transcript:KN539891.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGDFLAALFYATPSLGDAVTAEHEHSGGVERGVEAVKDSGGDGAAHEEEDKESGEALVVLKEACAAVAVERDEDEGDEDDDIKELGDELLHLAIRGALER >KN541380.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541380.1:10451:11098:1 gene:KN541380.1_FG001 transcript:KN541380.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEWMHVEVVQIERAQICDNVEYLVIVILIVISAVSAKVPFVQLDGSLVCLNVRTG >KN541380.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541380.1:11935:21490:-1 gene:KN541380.1_FG002 transcript:KN541380.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDSFTARRGNPELVAPARATPRETKPLSDLDDHWDLRYLQPSLEFFRLVDGDRRPARPGDGIKAALAEALVYYYPIAGRLRELPTGHMLAVECTGEGVVFVEAEADVALEDFGEPLMPTFHGAEGFLCDVGDTRVIFGRPLFYMQITYLKCGGFVLGTYICHCIADAFGTIQFLKAIVDIARGEAKPTTLLVWERELFVGTSLQPHIKEEQEKLFDELESTTCDDITVTMPTKNIVSENFILSQIDMAALRRHVPLNLTKTVTSFELLTTVTWRSRTMALGYKPCHIVRLMIVVNARGRWKKLPLGYYGNGLLCSVIETTVNDLCTNPLGHTIELVRKAKDEMKTEENMQLRVDLLPLWREKPYIKITYLKCGGFVLGTYMCHCIADAFGTIQFLKAIVDIARGEAKPTTLPVWERELFLATSLQPHIKEEQKKLFDELESTTCDDIMVTMPTENMVSEYFILSQIDMAALRRHVPLNLNKTVTSFELLTAVTWRSRTIALGYRPCHIVRLMIVVNARGRWKKLPLGYYGNGLLCSVIETTVNDLCTNPLGHTIELVRKAKDEMKTEENMQLRVDLLPLWREKPYIKVQRIFEACDIKWIGQDTLDIGWAKRIGGGIPTVSPPNLTSYQFLCKNEKGEKSTVISMLLPQPAMDRFKKEMAAWLIE >KN545065.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545065.1:289:853:1 gene:KN545065.1_FG001 transcript:KN545065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GWMPSPLFSIHIKNVHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELDSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRGAFDGSLFERLQDSKKSA >KN543725.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543725.1:1251:4294:-1 gene:KN543725.1_FG001 transcript:KN543725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEKFLKLIPVRNGSSPPSDIEECTNVPPMAAESLLEGVTVDGNTALHVVATHGNGPSFLKCAKVIHRSAKHLLFQPNNNGDTPLHCAVRAGNPQMVSQLVDLATEANGVNVVKDLLRKEDNLKETVLHQAVCIGDNHMVKLLLTYDSELARFPREGTSPLYLAILLEKNVIAQTLYDMSKRNILSYAGPNGPNALHAAVFRGKEMTERLLRWKNDLSEERDKYGSTPLHFAASVESSLHNRFSSKAIVSKVLEACPSSAFQPDNEESLPIHVAASAGVRSAIVLLIEKWPGCASFRDSDGRTFLHIAVEKQRNDIVRFACKKVVLSSVLNMQDKEGNTALHLAVQLGNLSLVCSLLGNKRVLLNLTNKSGQTPLDVATRKIPTGIFYGWVIYFLICLHYKFAYNKM >KN539471.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539471.1:31592:37223:-1 gene:KN539471.1_FG001 transcript:KN539471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLFLNNSFGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEVGPYRQCTYTQGVMNGVNVLCGVGVLSTPYAVKQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGHAAFGTTGRIVISVILYMELFNIGNIFIGPNVSLQACCIEYLILESDNLSKLFPNAHLTIGTCTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPSNLVASKIAVWTTSSLAFSATSGDKSNN >KN539471.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539471.1:74108:76540:-1 gene:KN539471.1_FG002 transcript:KN539471.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFLPFIQLLLLYCTSAQAQLNITIGSSLTPQGVNNSWISPSAGFAFGFRAVDGNSSSYLLAIWFNKIADKTVIWYAKSSSNGQDDTIPVEVQSGSVLKLADGALSLRDPSGNEVWNPRVTDVGYARMLDTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLKVQRDGNLVMYPDSVPSGYLYDPYWASNTVGNGSQLVFNETGRIYFTILNGSQVNITSAGVDSMGDFFHRATLDTDGVFRQYIYPKNIQARSLWPEQWTAVDVLPENICQAIETKVGSGACGFNSYCTIDGTKNTTSCLCPQNYKFIDDQRKYKGCRPDFEPQNCDLDETTAMLQYDMAPIDRVDWPLSDYEQYNPIDQTKCRSLCVIDCFCAVAVFDKDSSTCWKKRFPLSNGKMDVNVQRTVLLKVPRSTNSPSVFSSGSSKWKEDKKYWILGSSLLFGSSVLVNFLLISVLLFGTYCSITSRKKIQLSQPSNNSGLPPKIFTYSELEKATGGFQEVLGTGASGVVYKGRLQDECGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSNGSLNTFLFSDTHPHWSLRVQVALGVARGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVANEEQTILTYWANDCYRCGRIDLMVADDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLKVTQMLDGAVQIPTPPDPSSYISSLA >KN539471.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539471.1:60831:63266:-1 gene:KN539471.1_FG003 transcript:KN539471.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFLPILQLLLLYCTKSAQAQLNISIGSSLTPQGINNSWISPTADFAFGFLAVDGNSSSYLLAVWFNKIADKTVIWYAKTSSNGQDDTTPIQVQAGSVLKLADGALSLRDPSGNEVWNPRVTDVGYARMLDTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLNVQDDGNLVLYLVAVPSAYYHDPYWASNTVGNGSQLVFNETGRIYFTLTNGSQINITSAGVDSMGDFFHRATLDTDGVFRQYIYPKSKQARSLWQEQWRAVDALPENICQTIQTKVGSGACGFNSYCTFDGTKNTTNCLCPQRYKFFDNERTYKGCRPDFEPQSCDLDETAAMVQYEMTPIDRINWPLSDYEQYSPIDETECRRLCVIDCFCSVAVFNKPSNTCYKKKLPLSNGNMDSSLQATVLLKVPRSTNSPSMISSGSSKWKKDKKYWILGSSLFFGSSVLVNFLLIFVLLFGTYCSITSRKKTQLSQLPSNSGLPSKIFTYRELEKATGGFHEVLGTGASGIVYKGQLQDECGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTEKLLVYEFMSNGSLNTFLFNDSHPHWSLRVQVALGVSRGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVADEEQTILTYWANDCYRCGRIDLLVAGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMHKVMQMLDGAVQIPTPPDPSSYISSLA >KN539471.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539471.1:50031:52472:-1 gene:KN539471.1_FG004 transcript:KN539471.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLFPMLLQLLSPTCAQTQKNITLGSTLAPQGPASSWLSPSGDFAFGFRPVEGNTSFYLIAVWFNKISDKTVVWYAKNTDQDPSIVEVPSDSFLQLTNDGALSLKDRSGQEGWNPQVTSVAYASMRDTGNFVLLGADGTTKWQTFDMPSDTILPTQVIPCNKTRNKSLRARLDIDDYSSGRFLLDVQTDGNLALYLVAVPSGSKYQQYWSTDTTGNGSELVFSETGKVYFALTDGTQINISSGAGIGSMADYFHRATLDPDGVFRQYVYPKKANAGILGGETWTAVSMQPQNICHAIVSDVGSGVCGFNSYCTFDGTRNQIASCQCPPWYKFFDEQKKYKGCKQDFQPHSCDLDEATALAQFELRPIYGVDWPLSDYEKYEPIGQDDCGRLCVIDCFCAMAVYNQSTSTCWKKKLPLSNGNMADYVQRTVLLKVPSSNSSQSMISTSSNKWKRNRKHWVLGSSLILGTSILVNFALISIFLFGTYCRIATKKNIPLSQASSKSQLPLKTFTYKELEKATAGFHEILGAGASGVVYKGQLEDELKTNIAVKKIDKLQPETEKEFMVEVETIGQTFHKNLVRLLGFCNEGAERLLVYEFMTNGPLNRLLFDNSRPHWNTRVHIALGVARGLLYLHDECSKQIIHCDIKPQNILLDDNLVAKISDFGLAKLLLTNQTRTNTGIRGTRGYVAPEWFKNIGISTKVDVYSFGVILLELVCCRRNVELEVVDEEQTIVTYWANDCYRSGRIDLLVEGDDEAIYNIKKVERFVTVALWCLQEDPSMRPNMLKVTQMLDGAVAIPSPPDPCSFISSLP >KN539471.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539471.1:88804:95894:-1 gene:KN539471.1_FG005 transcript:KN539471.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFPDVLSPRCAGTDVVNWKGGYNEEEESWTHGIQEGRYVKHGASYDQHISNEIVNKTIETGDGDVFHCIDINLQPALYHPLLKGHIIQMEPTSFPSELKIKSSSDTIATEAHLPTIACPKGTIPLLQNSKADLKTQFSFDPIGNTHHRGGERAGCTTYDEIYGTQVAINVYEPKVRGQNDLSASWALMVNGPTGNYEGIGAGSIVKSESQNSIRMHQVISRLMTFGFMVWPNYHGDNFARFHIYWQVNTVNMPCFDHMCPGFVQVSTSVGIGGRIEPVSTYNGDQYEITVTISKDPKTGNWWLAYGRDKKPLGYWPPSIFTYMNEKASACFWGGQVHGPTVQLHLPELGSGHWAATGPGKAAYVRSIKVINKDSQYFIPGTHNTFSGSTRPFCYDAGDIRFNDDGARLLYGGPGNCTK >KN539242.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539242.1:73812:75443:1 gene:KN539242.1_FG001 transcript:KN539242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYRTLQVSSRGFECSYYLDKIISGPPWDTETYLLMAHRMLEVPRIWLKGPPQDSLSQYPFASDLSGKDLYKVVEMQLSLMHDIFYTKVEVIHSNLYGLCIHMLPAMATTAAFLLFQLVILGREGHGYDRLDVAVTYVLLVGAVILETASLLRAMFSSWTCPLLVRWSRHKRGMEDNTVCNNLGHTITSLRRLVRAAQWRRRYWSCSMGQHNLLRLGVGSTTSRRSKMARWMGVEDWWNTKAYSWSIPVSECIQELLVNQVLEREGTASSSISMVLDEQDQFPIPSKGEEEEEGSASHGSRPEADADDQLFDSKGRAELKRWGLYEGGQTLNGEERLTWSVEERILVWHLATNIYLTWWNKKQQKQDKQQPMAKAAEALSNYMMFLLAARPYMLSPTASRDSYVEMAYALTPAGGLRYDSAEQLASFLRTYGDTPEYDARGRLRHRYGSNLDFTTQLHLQLVLDTGCELGAKLISQDELQEAGADGKLGLIAQVWVEVLCHAGQQCSAYSHARQLSNGGELITVAALLVEYVTKRILTFPR >KN539242.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539242.1:34400:36114:-1 gene:KN539242.1_FG002 transcript:KN539242.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHDVVTKDPLVRHLLSVLARPPAVDLPAMEFVKYYERRKYIEDSGVMRAMAKVAVDRSDGRLIEVFEGYGFVNDELLQYIGDRSPSLKGLSLISLFSYLDISKKLKRLKLTVHTYPDSRGFFVDEPFGIATMKQLRHLILGSICIGNEELMAIIDACPHLELLDVSKCYKLDVDDALRTKCAGIKTVKLPLSLSHDGDQYAYCDYQIDEYGDFIDDYADYF >KN539242.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539242.1:5922:7709:-1 gene:KN539242.1_FG003 transcript:KN539242.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSPLPMLPVRDWSDLPTDALSTIFMKLGSIEILMGAGLVCHSWLAAAKSPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGKMESFLAQKFVTSELLDYIACRASSLKSIRLIACRNFWEPSLAELATQCPLLEEFECSGNKVSLEFLVYVGRARPQLKRLKIHMRWFDEDAMEKEEEDEDMDEGEDDENETNEEWEVRKNKHAFAIAENMPELRLLQISGNNLTNKGVHAILDGCPHLECFDLSQCYNVRVDDQLRARCAKIKHAWLPRQSPRVHCPDLRVIEEDEGEDYGITMQDLWEAEVETLEAEAKMEEGSYGDYYEDYSALSSPESCVDSKDVYCDDPNVYTMYHDYYSL >KN539242.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539242.1:118881:129089:-1 gene:KN539242.1_FG004 transcript:KN539242.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding IKLLMSDVVQTAYMIKHNMKVSRSSSYWLPYIRSLCLTSCYSVCSEGFVEAIKGFPRLEKLELSLCTNIFGEAIVAAAEACPHLKRFRLSKDRFYCFDDDHSNDQEALAISTMRELQSLQLFGNNLSNRGISAILDNCPDLESLDIRHCFNIKMEAPLQAKCARIRTLRLPDDLLDDYEFQVKSPIRYKSIFQSYLWSDGDEYLDRDYMDEDMDNYERFIPEDEDLIEDLVYHCNWNLGFFFLFISMNDKYGEFTCFPASAPFLKSLRLILCHKITSVAFAAAIVKFPLLEELEVSRCRRIEHICMPELVANLCPQIKHFRHTRSRDRYRVYNINRPGNDSQALAIASMRQLRSLQLFRDDMTNEGLMTILDKCPYLESIDIRSCRNLTMDGTLRARCAMIKTKTLYPCKPADEDEDFQPGSPISYCSTCAGNTDMDIGSESDFDDISGIDYDDDWYDSYCCDYLSDTEEADLAVHNIMLEKSMRRASCLKSLRLISCLGVSNEGIEEAIKQFPLLEELELSFCDNVTYKLFANTLTNKGLSAILDNCPYLESLDIRHCYNVGMDASLRAKCARIKAKVLPSPHVYSDVCEKLLCRLKISSLKLAM >KN539242.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539242.1:18:1874:-1 gene:KN539242.1_FG005 transcript:KN539242.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPSPLPDTDSERDWSELPVDALSAIFTKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKRTGILCAMAKAAIDRSDGQIESFWAQKFVTGELLDYIVRRASTLKSIRLVACTFIQWQSLAMLAAKCPLLEEIECSHHKMPADFFKCVGRVRPHLKRLRVHMHYFDEDELENKLIEHVLEEGGEVFEEPFEQREARRNVDAFAIAENMHELRLMQIAGHNLTEKGVRAILDGCPHLECLDLSSCHDIYVDGQLQARFAMIRHVRLPWQRSHDGDCPDLRAIGERVTVADLFRGLARSLLENMEMGDGTYGDDQVPSSPDPRVDLKNVA >KN539242.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539242.1:111959:114150:-1 gene:KN539242.1_FG006 transcript:KN539242.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHADANAKRGVNLHGMAQAAVKRSAGRCEAFWGESAGSDRFLLFLGRAAPGLKSLRLISCYDVSNKGFGKAIKKFPLLEELELSLSPNVFGTDVFRTVGKSCPQLKRFRLSQHGFHSFEDSHDDDEALGIATMTQLRSLQIFGNTITNEGLEAILDNCPHLESLDIRHCFNVFMDDTLRANPVFADSGNSSDDMDDGYMVPGLHCVVFSEENECFDDDINEDELDDEARMMLNGLRALLM >KN539242.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539242.1:87809:88777:1 gene:KN539242.1_FG007 transcript:KN539242.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPVPVPEKLSPETRDWSELPLDALSVVFGKLPRRRGPHGRRPRVPLVAPSCQAASPMAMRRHVALAPPGRVAEKLRHGEGRRGPLRREARGVQGEEVTLSEVSLPRVMHKCLQQRTHRAHHEMSDARGLDALQLQKYRRRRLRGRRQGVPSHEASPRTLVRSVTCLLRRRRAGRDRDDARAATSHLTLEGIGVSQEKLMAIVDGCPQLDLLHVSGCPCLAAVDDALQAKCAGIKSLTLRPYQELEVWK >KN539242.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539242.1:28483:30229:-1 gene:KN539242.1_FG008 transcript:KN539242.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSIRLPAPDERDWSELPVDALSVIFAKIGAIEILMGAGLVCHSWLEAAKVPDLWRSLDMTRHKVIFKKTIGVMCAMARVAVDRSAGKLESFWAQNFVTSDLLKYIGERTTSLKSIRLIACTRVPWKELVNLAAKSPLLEDLEHSYRDSLEEFFEHLGRKCPQLRCLRVNNDGFVYDDAKYDLMDQVIGDHDDDDDEEDELEYGPWDWPSNNNGVAFAIAESMHELRILQITNNTLTNAGVLAILDSCPHLEILDIAGCNNVSPNDQLRARWASLRHFNLSEGCRWSNFKELRVIGEYEGQEFRPEDELSDGLSKEFCCPSKDAGMGDGWYDVYWDDISLPSDDGRDPDLSNVNSDDITQYTYLHDYYSL >KN539242.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539242.1:11105:13369:1 gene:KN539242.1_FG009 transcript:KN539242.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGQVCRAWRRAARDEPELWRRIDMLNHAELSFELNLFGMAQAAVRRSAGQCEAFWGEYAADEDLLHFLGDRLFYIILFDFVLCLWGDAAMIIRFLTIVYDKRAPCLKSLRLISCFDILDEKFSAAVKKFPLLEELELTLCDNLGENDVFKAVGKACPQLKRFRLSKRCFYNYKHSGYNKDEQALGIATMHELRSLQLFANNLSNEGLTAILDNCPFLESLDIRHCFNVSMDDTLRAKCARIKTLRLPYDSTDDYDFQVHKPIWSGADFFSDSDDDCVYGGPDYILDSDEYDDYCDPYRYLDGVYEDELDEEDRMMLKAMRMFLK >KN539242.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539242.1:47293:67660:-1 gene:KN539242.1_FG010 transcript:KN539242.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSPRITENEEAEGSSALDASITDLVLSEYQLRRIDMIKKASNPGECTKTNIQLKMKKQIKAMIWIHQLPDSHRHVIYNTFSLQLHEKNNANLIHNRYHKKNKPFIGTKKHQTQVSNISYTSLMEQIISSQPTISDMQVHNEKFNQVNIKVNDYDSLYDKRWCLPTQLPWNMEPVPVPEPPAEMRDWSELPLDALSVVFTKLGAVEVLIGAGLVCHSWLDAAKVPELWRTVDMAVLYRDMGSKNLGILTAMGKRAVKRSNWQLEVFKGRDFITNQLLKYVRRRSPCCLKSLHLESFTKLITKSPLLEDLVLNYCQSIRGDVYATVGEACPRLKRLEVRRRLGWWDDDDMLLTIAAMHGLRRLTLEGVRVRSRELTAIVDGCPRLELLDPDGSM >KN539242.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539242.1:80801:82570:1 gene:KN539242.1_FG011 transcript:KN539242.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSVIFAKLGAIELLMGAGLVCHSWLHAAKLPHLWQCVEMVHHEALSLKEPIVMSEMARAAVDRSDGRLEAFEGQWFVNDGLLNYIRDRSPKLKRLCLVSCYSVYKEAFIEFIAKCPLIEDIALINCGSVVFHALNVITGKSCPQLKRFELRTAFERPCYGFDVPLGIPTVRHLQHLILGGIIDIDNEEPPALTLILLTSGSAMSSRSSMLRTLRAKCAKIKIRTEASLLP >KN539242.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539242.1:99297:101367:-1 gene:KN539242.1_FG012 transcript:KN539242.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTSPSIFISIFSDAIGLLVVIKSLCYLCRASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCYNVTHEAYAAIGAAFPQLKRFRLSKRSFYDSGGIRWKNNDDAGGISKMHGLRSLQLFANNLTNEGLSTILDNCPNLESLDIRHCFNIDMGDDSLRAKCSRIKMLRPPDDSTDDYDFDVYTPRRLPISPGFVRYYSVYSDPEYSMYSDEWTSEEFDDDDDDYSGPSRYEEDLDKYDNALPRCMRTFLK >KN539242.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539242.1:102711:104101:1 gene:KN539242.1_FG013 transcript:KN539242.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGLMAASPVGERRMVPAITLVEHPAILLLIEFIHMNVMEVAMRLQEILRLQELIQAVVAVLFEAEAGPRRKIASELVIAIVSVGFFVLAILRPIGFFFLAMRPYLNT >KN539242.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539242.1:39672:40575:-1 gene:KN539242.1_FG014 transcript:KN539242.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIVCSSWLHAAKLPHLWRCVDIPQVVRNDAVCCAMAKVAVDRSDERLEVFKAKYFVDDELLKYIGHRSPGLKSLCLDSCSMVSNTGLTQLMAMTPLLEDLVLRGGLDLSDEFDDPLVIPMMHQLRQIALGGLYIRRKTLTKFVDSCPHLELLDASECVAVDVVDDALRAKCARIKTLKLPSYSSATDAMATLDQLYYLADDDDEFFTAYY >KN539242.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539242.1:16546:19482:-1 gene:KN539242.1_FG015 transcript:KN539242.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLVSLSAKVAMALARSTAMGVVTSLGLGGVHSSIAAAEHELSLLRGHLRSGGASCRGADDDDQDPIDSWANQVRDVAFQLDDITDECCFLSGSGSGSGHGFARYCANVPTWIALSRRLRKVREKLGQLLEAANYQRQRVDVMNNVVSCGELRREDDAVAAGRRMAENARSMDKEEIIGFSDHREVLVRWLLAEDAAEPRRRTLLAVCGMGGVGKTTLVASVYKEVTAPAAAPASHHFDCDAWVTVSQRFTMEDLLMKILRKLNLNTVGRRAGTGRRRRRSATDVGDGGGDTDYGSLVAALRERLANKRYLIVLDDVWDETLWDGLERAMPDGDGVAGSRVVITTRKSGVAMAAAPERTMALEPLPTHQGWALLGSVVFKDVPGHRCPSHLREVAGDMLERCRGLPLAIVAVGKLLRHKDRTEFAWRNVRDSLAWVKNSEDLGIGEASRILNLSIDDLPYKLKKCFLSCSIYPEDFLIKRKILIRSWVAQGFIDEAKEVHGERRTMEDVADHYLDKLVQRSLFQVAVRNEFGRAKRFLIHDLIKDLINHRSKHEEGFVQFAECDLTMDSNIRVRHLALDRCTSSRRSASAAKIAALRSFQAFGSKLDASLMSCFRLLTVLNLWFIEINKLPSTVTNLRNLRYLGIRSTFIEELPKDLGKLQKLQTLDTKWSMVQRLPSSLSKLKSLRHLILLKRHAADYYRPYPGTPVGQLPAGLQNLTSLQTLKYVRADEMISKSLAKLEQMKSLELFDVDASFAAVLSSSISKMSHLQRLGITNSNTESVIDLESITVAPRKLQKLALSGRLARGKLPGWTFFLTSLKQVHLIASGIAQDSLLLLSSLPGLLHLSLNAAYREKEMTFAAGGFPALQTLTLHELSNLSQIEFQRGCLAELHELVLDKCTKLADSPKGMENLTRLQNLKLVGMAPELMEKLQDGKGDDGCYYKPGTGSSIICQVPSPSRYHMKSIVFLKPPAVLV >KN539242.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539242.1:69737:70114:-1 gene:KN539242.1_FG016 transcript:KN539242.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLAIPSVARDGVLFDVPLVALDLDNWLMAQLPLSVADNDALHAFLASCSRSLPPALLSLPPPPVPATVGVVPKRSKRIAAKLALAGLSDTTSPAQHNFKRKIGLVPKKGPESTETAYNALFS >KN539242.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539242.1:24129:26190:-1 gene:KN539242.1_FG017 transcript:KN539242.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGQVCRSWRRAARDEPQLWRRIDMRGHADLSFELNLFGMAQAAVRRSAGQCEAFWGEYAADEKLLHFLGERAPSVKSLRLISCYDILNEGFSAAIKKFPLLEELELSLCSNIGESNVFEIVGKACPQLKRFRFSKDCFYSFEDNEYEMDEEALGIATMHELRSLQLFANNLRNEGLAAILDNCPYLESLDIRHCFNVNMDDTLRAKCARIKTLRLPYDSTDDYDFQVQKPISLADFYSDSDDDCVYGGPDYILDSDEYDDYCDPYRYLDGVYEGVASGRAR >KN540977.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540977.1:5453:9924:1 gene:KN540977.1_FG001 transcript:KN540977.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIEEPLKQTFQVGKVGAKVVRMKGVLLFSSLGHIFGTFLGNVHQGYPTDTLVRFLKAREWHVSKARDMLVDSLNWRIQNEIDSILEKPIIPVDLYRSIRETQLVGLSGYSKEEDVYGSKVLPTVGVGCISGWWMGIPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIVLPMASKKFGRPISTCIKVLDMTGLKLSALNQMKILTAISTVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGCGRDELLKIMDHSSLPHFCQREGSGSSKNSSNDVNNCFSLDHPFHQELYHYIEEQALNQELIKQGSLHVNIPDQDPEDAKIVEVIEAEFHKLGEQNGSVNGDHKE >KN540977.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540977.1:27610:29295:-1 gene:KN540977.1_FG002 transcript:KN540977.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTEATTTTTSGCEADCGVPAMKATLMMMSCRSRSRSGRAHWMLLLFCLLLAFPSHGPRAVEAFPGGYGINYGRIANNIPSPDKVVQLLRASKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNELVKDFAANESRSIDWLNENVQPYLPQTRIVGITVGNEVLGGQDTSLADPLVQAVKNVYNGLKKFHLQDKIELFTPHSEAVFATSYPPSACVFKEDVMVYMKPLLDFFQQIGSPFYVNAYPFLAYISDPEHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDAAYAALQAAGYSDMEVRVAETGWASSGDQTEAGASVENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENSKPGPSSERHYGLFNADGRIAYDIGYEGLLPSSAPSYFLSLRENV >KN540977.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540977.1:12792:12961:-1 gene:KN540977.1_FG003 transcript:KN540977.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAPPVDLGFAATAALHAGIAARPWLNSLLAALNT >AMDW01035083.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035083.1:59:514:1 gene:AMDW01035083.1_FG001 transcript:AMDW01035083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGNDLLGLMLEACAPEHGESQQLSMDEIIAECKTFFFGGHDTTSHLLTWTMFLLSTHPEWMGKLRKEVTTMCGDEVPTGDMLNKLKLLNMFLLETLRLYGPVSLISRRTGTNAKFGGIKVPEGTILRIPIATIHRDKEVWGEDANEFKPE >KN540844.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540844.1:7449:12320:-1 gene:KN540844.1_FG001 transcript:KN540844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRKALDTNLNSGDVVCQLVSSTVGDPDNGNRGMLGQEACVHINSELHPTPYEASTFSFEFIWDMKKQGVPGAVIVKNYCDEEFFLNTITLDNVPGYGTIVFIAESWVYPDEIYDHLPRVFFSNQPYLPNQMPAPLVPYREEELRNLRGDDNPGPYKDHDRVYRYDVYNDLGEPDSGNPRPVLGGSDEHPYPRRCRTGRRRTNTDPDSESRNVGFPLTNHFYVPRDEVFNDRKKAYFDTNNLKLYIMQKYATFLLHADQQTPFEFDSFADVLSLYDEGSINLPGWLNTFLQPLLGIIPFKLLQQVLTPDSEFILKFPLPVVIREDKTAWQTDEEFAREMLAGTNPVVIRRLGETEFPPKSKLDTSKYHNQNSRITAAHVEKCLEVEGLTVEQALADGRLFILDHHDHFMPYLLDANHQPDTFVYATRTLLFHRNDGTLQPAAIELSLPRFEAGSTLISSVGEVYTPASDGVEGHIWQLAKAYVTVNDYSWHQLVSHWLNTHAVMEPFAIATHRQLSVAHPIHKLLHPHYRDNLFINALGRQSLINAGGSSENTVFLGKYGLSMTSEVYRNWNFTEQALPEDLIKRGVAKRRSNGELELLIKDYPYAVDGLAIWSAIETWVRDYCAIYYADDAAVQGDAELQSWWKDVREEGHGDLKDHKWWPEMKTVAELVQSCATIIWIASALHAAVNFGQYMYAGYVPNRPSVSRRPMPKPGTDLYRELELHPEKEFLLTITKQDLSIAGIALVELLSSHSDDEVYLGQRDSPNWTSDLDAMNAFDRFRERLLEVENNIVAKNDKGSGFKNRTGPVNIPYNLLFPYASGDAEANTGVTGKGIPNSASI >KN540844.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540844.1:29046:31253:-1 gene:KN540844.1_FG002 transcript:KN540844.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRTFRLRSHTTAATVAQELSRLTMQPADGVFREEAAGDHPFHGGAFSRGAADSDAIVVAVGYWLAPESRYSTAFKDGITVLKGIAQESRPWSSRGDSADKAGSNLQEKESNSAPELSSSEMKDLQNKVTVLMAMLHRSGGGGGDVHHKTRLCPTLLVGPDRTTQPDSATSASSLLEADGYLYPLLFSSFLACLPLPEPESQSHWQLELTPPHPTAAGKRAALCCDVQSPAANNTAARSGRAGGKAKRPTSAHGDATATRCSSWRGSCSRALTSLSSLLGSNAAPTSGSPRRRSGSARGGEVAPLRQLPPRPMTTRPSRSCRTSVAMTSFTWICGSSAASTTTLQTKRSNDDFHVDMRQQRRLDDHDLMLSHPSFRSLRVSRREVAWIRVKTTPRSSTCPSRKQTTNARRITPSASNAFWRTLGANPCSWRTL >KN546781.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546781.1:680:877:1 gene:KN546781.1_FG001 transcript:KN546781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGTQLRVLYLTALGMGGLKSSISGFGSDQFDESDDDESKKMMRFFNWFYFFVSLVALLTITVL >KN548630.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548630.1:34:441:-1 gene:KN548630.1_FG001 transcript:KN548630.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALARFMSEQRGHKVVFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCASANGFRVTRAALDDAYRRAQKRRLRVKG >KN541355.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541355.1:1669:1866:1 gene:KN541355.1_FG001 transcript:KN541355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNQGDQKVGTQIAAVFLGSPDDRESVAFACRLAKNDGAIRLTVIRLVLLASSRTTASPTLHA >KN541355.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541355.1:9351:22548:-1 gene:KN541355.1_FG002 transcript:KN541355.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAGYTASAKSWTCMDLYVFATPYRITWDYYFAAREHTLEIKAWEEEAELEYTASAKSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTSVCLKDEKGETASAKSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEKGEVWVAESGFENEKTASAKSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEKGEVWVAESGFENEKVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEKGEVWVAESGFENEKGEEIIAIVPWDEWWAMALKDSSNPQIALLPLHPDVRARFNESAAWDYAQSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEGLDLHGIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFAPFAESLQVTEFTIRDAYMLKIFEDNQARLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPCLIDRSFHTRTCLSVKPSIWVKWSIFILKTKITSIVHFASLFRALVGKKNDNLDMVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLETCTRRGSVNQAHPFCVTFGAPLVGDNTFNHAVRREGWSQCILNFVVPVDIIPRIPLTPLASATEGIQAVLDWLSPQTPNFSPSGMPLIISQFYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSSEQLAVLTNSDAVLQLLFYCLQLDPQQQLRDAAERSLSAHWQYEPIKQSMMQEIVCVDYLGVVSSTLPGRQMSSTIVGGLELSKEAMLSLSAAGQWEKQREINQAKIDGASCTKIREALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNANVRRLELAGLWDEIVEMLRRRELPDGFESRQDWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQEWHEQLQRISFGSSLESCFWAMAEELQAEIANGKTFEDVRDRVVKLESDAHGWSMSGSLGKDIFLSRSSFVIWWKTLPENHRSASCIAKLVPW >KN541355.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541355.1:7509:8666:1 gene:KN541355.1_FG003 transcript:KN541355.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPFNVLAAVLEQLRWSSHPSVALTCRHWRSAVPPFFPAWITPLLLSTARVGAANLRYYSPYYHYNFEVVVASCDDDGGVARDAKICCATGRHLALEKPRLALDVHLVTGAVRQAPHAAYADHFDFVVYGGGGARRMFGIDAVLPLAVGYTSQIGDDDEGEWEDWTLTEYDANGPRLRPSPVTNPVFHRGSMYLLGEHGRLAVYDPCKHAEGFKILDKPTSFGFEQYHDSYLVSSDQGELMAVLFGRRGTPVHVIVLNEERMEWEQVESLQGRTLFTGTLTSMVKKTKFRWMQNRVFLPMFYRWPETIHVNIVSRDGELAFVPKSSSSNTKYSTMGDHSNGTCCEKCADVWSYKLGQQETRENWGAERVYYGVWVDLH >KN541355.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541355.1:5515:6663:-1 gene:KN541355.1_FG004 transcript:KN541355.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGIKESEGPTSLDPKLAPVLWFHNNYDQCVEESDDEDGEASGDDEEVSDEDEFGATSDEDESIEETDDDGISDDDDSNDDEEEESNEVNDTLLLYSISSKQLLANNGLDDLKDHFYWITPQGWLLMLHRDSHEIFLRNPFTSQRINLPFDQDRFLRKNYTRCLLSHKPTDSNCVVLILSLHDTVIWYCRPGGMQWFKHEYQARRFFRHRGTVIETMSLMTAVGGKFCTAFLRTVVTLDFSPNPTFDIVAVTPVQNSVYNFSEVYLLESRGELFCLYFYPPPGACSNKTVEISVYKLDIPTTAWVKVDTLGDRAFIINTRKGYGASVNAKEACLQENCIYFSRHKDKGLYVYSMERGTTAAINPSTDLVDDVAAQILMPAS >KN541355.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541355.1:28092:29129:-1 gene:KN541355.1_FG005 transcript:KN541355.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDNNECPLSLDPKLAPLLLFGGGDDDDDDATFMYSVRTRALLPTRSTDDDDMDVTMRAHRRWTTAQGWLLMAPRRGSSPSPCTTTFLWDPFTGRRIALPPDHDGTVLTHGCDRMCLLSRRRPTDPGCVVVVVDLDDTVLWYCRPGDLHWVEHHYLQPGTPHHEHRDCVGWAIGKLTAIDGKFYTDFTDHVAVLEFSPEPVFTVTAVDGDHGCPAGYTRLTGNLVESNGDLHHVFFSHPIGCSRIVARVSVYKLSVATQKQRSAWVKVDSLDGRVFFVGIDSLGVGASLDAKETGLKGNCIYYWGVNGKVLYVYDMERGTTVVINPGENLPYDQSPQVLMPTR >AMDW01053818.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053818.1:16:657:-1 gene:AMDW01053818.1_FG001 transcript:AMDW01053818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLSAVWLSHLENLTELTVADTPLATGSPSELAVVVSHMGHLTSLTLSNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLSAITHLNLSSNDLNGNIPTSIGDLISLTTIDLSNNSISGRIPDTVSTLPELEVLNLGSNRLNGSIPQFLSEMRGLKELNLEGNDFDGMVPFTAKFVSRLRVFRAAGNPKLCYNRSVLSAEIAIGVAPCD >AMDW01032331.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032331.1:185:337:-1 gene:AMDW01032331.1_FG001 transcript:AMDW01032331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KYNLGVIVDLHAAPGSQNPYEHSASRDGSQDWGTTDANIAQTVQVIDFLTH >AMDW01040375.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040375.1:204:859:1 gene:AMDW01040375.1_FG001 transcript:AMDW01040375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFCKLVAEYWSNYS >AMDW01055172.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055172.1:174:326:-1 gene:AMDW01055172.1_FG001 transcript:AMDW01055172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVFGSATVGLVSVLVTVLGTGVDDAVLAKSFKTDVPTIQKLKANLST >KN539322.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539322.1:109118:109829:1 gene:KN539322.1_FG001 transcript:KN539322.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGELDYWYDTDPLKGPVDPQDIYDNENVSQFGNGQQGQWENEGQDENIPPVPDLNGDHQASISSVTSGSGGTDTAMFKSVGALVPIISASHEIPNITFKVLSESFPRYMCDANFVAHITEMPQTEKPNQLPMVQSDISLDNSVIVNPMPLAILPQLNFSDSFIGADNGEDNQKLISDSLEANMKTEEI >KN539322.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539322.1:95155:95601:1 gene:KN539322.1_FG002 transcript:KN539322.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHQVPEAAGEIGSTGRTSVKGRRGIRRRPRSASASSSWGGGAAGCKKKKKARVGDEEKKPSSTVPAVYNANADIEEEYRLFLENVRVYENDDFVVEYEGVVVRYGGEAVADHGAGTGAPPVKGLPDPNQLDGSVQLVSKSPCFV >KN539322.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539322.1:54335:57432:1 gene:KN539322.1_FG003 transcript:KN539322.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPAKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALEKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGTFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMEVQGTWKKKGCSWMELNGSVHQFAVGGFLEISADLSNFEQKIEFSLYKVRLRKQQQLRYQQLLEMCNRNLIGEQTQAASMVNPKPFPLWDVCSSQMYCQDAEPSMTALQLSPQLHE >KN539322.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539322.1:12530:14827:1 gene:KN539322.1_FG004 transcript:KN539322.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSALDWWDDWKLRILVLGSNTIQLFLFIYGGVRWHRISLWFRLCIWLAYIGGDSLAIYALATLFNRHKHEAPAASELEVLWAPILLIHLSGQDMIASYSIQDNYLWWRQVVTLVSQVTVAMYVFCLAWSGEKILLKAAVLLFIVGILKFCAKPWALKRAIIRSIARHPPSVPRRKKLIGSGGGQLADYWRSCTTGFIPTVTMFVVFRELRERPQPNDETEAVEQGERREGGQFHENLERSTVKTAIEPVVEQQKKEEEEEEEEEEKEEEEEEDLINLNEYVERAKEIMNRQGPLRKPTLRRLQSVLQPELFMADAFVTYSKRLKILQFLMAINTNYSYRVIRAGLFDVYIRLYTRVRVTITPIASWLRLLSILLATTAAGLYARSHKDMYNKHDITVTYILFFSTALLELISSVTLCRLFFPLAVWPATDRRNQMVDQQSVIWCAARMTKKPNCLLWLSACIYCDALVNQRWYIKQTPSMDRIFEAVKHHVIFYGWSKYIKSAASYRRFNCMRGQLALKKFLPGSAKDHPAASKHLLVVGNSLSIPFDESVLLWHIATEIWFHHQTRTSNDDNTSLDSAVPLGLEISRYMMYLFTSQPEMLMPGTRSHLFANACDDITFMAKYSDIVGHSITLAQAAQQLAKGILHTARHRWVYGDCVGPLIPKACELADALIFFMEELLDHSTLEKMVRDVWVEMLCYTASRCGGYLHAVSLGSGIEPLTLVWFSQCYLGMETMADRLQRPVPEPEKEEEKTQGAQDSNKE >KN539322.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539322.1:39792:40679:1 gene:KN539322.1_FG005 transcript:KN539322.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHALHTLRRTSMAMQTSITEIAAGLDKVTELVLALRAGFEAKRAAVETTPTTPSPMPTLPKAEVQQPLATAPPSLPSSLFTATVEETVMTASSPLPLPHPAEVLQPLVAAPSSQMSFASKAAAVLPCLTTVGPALPGAPSTPSQPRETILSRHDRSLLRPRQQRGIFKQLPRASTAITLRAAQRRGESSAAPPAWDLGGPRKPHFRAAIAACAARAMWLLVAPSSLHGSGVLPLCASGWGPPELGCGRMAGTTNIILDLFFFPNNVRSPDVKGLIIGDESRYQNNHRVQTSL >KN539322.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539322.1:104313:105363:1 gene:KN539322.1_FG006 transcript:KN539322.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPDKKRKKIAAAAPAPAPAPVARLVRVRSGNKKRKGKGKEAPAEGSAAKEPAVYMVLAHGVEEEPTHSVIEVAAGATVRRLLHSTSGRGMSFAAMGTRIVGVGLDQTTVYDPETSTVRAGCRLVFPKQQQGQDKGTCAFDMDAEQWEMVGDMNLPFTGQAVPLGDHRFVACSMAKGGAASVYYMKVMVFPRGKKELSIVELQVESKRIVPGHLLCAMGKGSFSSFDFRSTASPAKQDIVARIVHRTYAQAEAEADDSAFLLTPIWSSW >KN539322.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539322.1:21201:30648:1 gene:KN539322.1_FG007 transcript:KN539322.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLRSTVLVCASMAMAPTSAPRLPTSAPPISPASSRKPKPNRARAGTLCRSSSRAERHRGGRTSTRWAAVAAEGGGEAMRRWVDRRLRDSFPVDTVEEMTTLALQCVAKDAAAQPEISGLTEGAGCRCAARGIASATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDDDAKRTSPPSDAKKRGTSISIVEPDTWDNGCEKKMDFDVPASEDQHAKTNMVNSDLHVLVEAKKKRASSSERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTVAVAEQEVHSRFVKLYPPHLSAPGGGEMHGSELHLPMGGGAEWQSRQQTLAWRRCKPGVHQGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVLDLQTNQSESLTIKKEASTDDHERTEAIQFVSDEHKSGKQDTAMLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLGNVKVCTASSGPKSTTFDLSCSLLSTEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDTTLSDSSSDRNDTPMDKSLEDSFVIAKNADSSS >KN539322.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539322.1:69751:76688:1 gene:KN539322.1_FG008 transcript:KN539322.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEESSSGEPEPAPDPDIEEDYRVFLQNVRLVGHGGFVLEHEGNVIRYDASGAALSSDESSDESVMGAPEPDPRRRKAKIVEEDQEEEEDVKNEVAVPSRKKDFTMVKEDQEKKGKRVVDLPARGEDGAMVAEEDRKKKLRKEFNFHSFHSKGKDDTTPVKNLKDKKKEVKNPKDKKVHGKKEVALSAKGKDCQLAEGVVIKVEEEDGQLQIVPAVEKLATTTRLTNLSNGHKTAPRIASGSHGVIWPIHINDREESDFKQRLIHVLNKPFSQGEYDKLFGMATIRNPLTRERRTRCGVKYYYSQHEKAKSYFDCYPDLAKQVEEASYPNRLALLRGLFFWLENIGQDDQFRPWRDDHKRYKIMSL >AMDW01014676.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014676.1:14:205:1 gene:AMDW01014676.1_FG001 transcript:AMDW01014676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSHVQAPHGHGAEAPAAVDPSGRE >KN540078.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540078.1:57639:59510:-1 gene:KN540078.1_FG001 transcript:KN540078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MLAGGVRPDAYTFPSLLKAVAAARGAAAAAAVGGAIHAHVVKFGMESNAHAANSLIVMYAARGDGAAARAVLEAACLATGGGAPVMWNALISGHNRRGRFELSCCSFVDMVRAGAMATAVTYVSVLSACGKGKDLLLGMQVHKRVLESRVLPDQRVENALVDMYAECGDMDAAWVLFKGMQVRSMASWTSVISGLVRSGQVDRARDLFDHMPERDTIAWTAMIDGYVQVGRFRDALETFRYMQFCNMRADEFTMVSVVTVCAQLGALETGEWARIYMGRLGIKMDVFVGNALIDMYSKCGSIERALDVFKDMHNRDKFTWTAIILGLAVNGRGEEAIDMFYRMLRALQTPDEVTFVGVLTACTHAGLVDKGREFFLSMTEAYNISPTVVHYGCLIDVLGRAGKLKEALDTIDKMPMKPNSTIWGTLLASCRVYGNSEIGELAAERLLELDPDNSTAYILLSNMYAKSNRWKDVRRIRQIIMVKGVKKEPGCSMIEMNGIIHEFVAADRSHPMSKEIYSKLENVLTDLRNAGYVPDVTEVLVEVTEEEKQKVLYWHSEKLAVTFALLTSESNVIIRIVKNLRMCLDCHNAIKLISKLYGREVIVRDRTRFHHFRHGSCSCKDYW >KN540078.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540078.1:51404:53191:1 gene:KN540078.1_FG002 transcript:KN540078.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASHASAGAGAGDQQHRCCSRTVHFVLVPMMAQGHTIPMTDMALLLAEHGAQISLVTTPVNAGRMAGFVAAVEEACLPVQLVELPFPAADFGLPDGCENIDMLQSKDDMRKFLEACGALREPLMARLRQHGLPPSCIVSDMMHWWTSDIARELGIPRLTFSGFCTFASLARDIVYRNNLLRDLTDEEEVVKLSGFPTPLELPKARLPGSLCVPGLEEIREKIYDEEMRSDGKVMNSFDELETLYIESYKQVTDKVWPIGPMCLCHRDRNTMAARGNKASLDEVQCLQWLDSKKPGSVIFVSFGTLVSTAPQQLVELGLGLEASNKPFIWVIKAGNKFPVVEKWLADGFEERVIDRGMIIREGICAGVPMITWPHFAEQFLNEKLVVDHLKIGMEVGVKGVTQWGSEQKVAQVTRNSVETAVSTLMNEGEAAQGMRMRAKDFGIKARRALEEGGSSYNNIRLLIQEMGNEQNASS >KN540078.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540078.1:32471:37123:-1 gene:KN540078.1_FG003 transcript:KN540078.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMFLYANMILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTALSYALPKDVPSGVPRRLFSPLPWESSSLQHWTVAKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTANEGLMNRASSLEIYGKIQGVFIEMDCEKNVVLYGKCSSKSTYRSKIVLQTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLMFIPSSRRYKVLEGPHASFMESVPSKTIIVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGESDSGSCGSLDDAEIFDELTTNRGELKHRTFSHREERHLQVHSNAIQPRCGDTENWSEC >KN540078.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540078.1:4745:10586:-1 gene:KN540078.1_FG004 transcript:KN540078.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVYCRPTDDGTSSSSLPRPHLSASPLKLDRLASSSAMWSNGDFSVCSQITVMTSLCSYLREGILKSLGIEKGKTQDAVLAPNKHGIGVLHAAACQGHLNVCKFLVEKLGGDVNIAGKEDITPFMAAAESGDVPTVEYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTKFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKILLDHKADPNVIVNNGAGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASEGGYTNFIQFLLKAGANPNIPDDLGWLPIEHAALRDCREEVEMLFPLTSPIPNVPSWSVNGIIAHAKVKNTKPMKRGDFCLDQHQKESRQVVLKAQADLVFKQKNYAAAAKIYDLAIAHGPTAVMYANRSICRLLMGDGEGALSDAYRCRMMRPNWAKACYRQGAAHMLLKEYKHACDALMDAQKLDTGNVEIERELRKARELMAKPPDEQ >KN540078.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540078.1:14606:19783:-1 gene:KN540078.1_FG005 transcript:KN540078.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDEVLDGAVGHRRACDFFWLVLRGVQLMDLLKTPFKGVVADIEGRAAWYKHDWLEGFYSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPGGVDHSSPIYRFQWLYVNGLLGVIFSIGLSYTALRSRRARSWVYGQGWLRGFIADYGVPLMDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFVVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTANEGLMNRASSLEIYGKMQGVFIEMDCEKNVNQLIGQKIVLQTDSVDKELKSLKDAMLHEVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLMFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSGSCGSRDDAEILDELTTNRGELKHRTFSHREERHLQAHSNAVQPRCGDTENWSEC >KN540078.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540078.1:54656:56291:1 gene:KN540078.1_FG006 transcript:KN540078.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGHAVDQQRKSTTMKAHFVLVPMMAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACRALREPLTARLRQLCPPPSCIISDMVQWWTGDIARELGIPRLTFDGFCTFASLARYIIFRDKLLDNVADEEIVTFSGFPMLLELPKARCPGSLCVPGMEQIRDKMYEEELQSDGNVMNSFQELETLYIESFEQITGKKVWTIGPMCLCDRDSNMMAARGNKASVDEAKCLQWLDSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASKEPFIWVIKAGNKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKFVVNLLKIGLEIGVKGVAQWGSEHKEVRVTRNAVETAVSTLMNDGEAAQEMRMRAKDLGVKARRALEEGGSSYDNIRLLIQEMGNKQNASG >KN540078.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540078.1:12331:13673:1 gene:KN540078.1_FG007 transcript:KN540078.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTETETETGTGARIPSHRRRKGSGGDPNPTWVILNRVGARRDSFRGDRTTSAASHTSGGKEISVSFELAAPPETSLLTLDWPRGPRPSEGTTSYPYVVAAHGDVVLLEIISTAKCPRPIDIDYFVYKANASGSGEPSLTRLPVPYWKGASGRDNPRPRIMSRVAMGVLSCSKDSFVVAEMERRSYQPSAANIYMFFSGSDDWRVFRDVPIDHIHGAGWWSTDAVLSYRRRYLIWVDYLRGMIVAKLAHPGGGDPQEPALWYVPLPVDPVMGNPYDSERGRGCPEASRNVCATHRGIKFVNVNQCGGSFSITLWSWCDDETWREDATLDAAQLWDLDCENRLPNVRPEFPIVDMENPYTVCFLLNEGNHIVPEATTWMIKVHMKKKILLGCTSYSKNGSLSHQNTTYMTARRMSERLSFISSEMPYYLSGQTMKS >KN540078.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540078.1:47413:49024:1 gene:KN540078.1_FG008 transcript:KN540078.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSWAGDIARELGVPWLTFNGSCTFASFARDIIYRKNLLENLTDDEIVKVSGFPTPLELPKARCPGTLCVPGLKQISDKIYEAETRSDGRIMNSFQEMESLYIESFERTIGKKIWTIGPMCLCHRDSNAMAARGNKASMDDAKCLQWLDSKKPGSVIFVSFGSLSSTDPQQLVELGLGLEASKKPFIWVIKAGKKFPEVEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTLEGISAGVPMITWPHCSEQFVNEKLVVDHLKIGVEVGVKGVTQWGSEQNEVKVTRTAVETAVSMLMDEGEVAQEIRMRAKDFGMKARRALEEGGSSYNNIKLLIQEMGNKKNASG >AMDW01040221.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040221.1:234:1325:-1 gene:AMDW01040221.1_FG001 transcript:AMDW01040221.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVRLGELASAQNVFDKMQSRGVRPTVVSFNTMISGMCKGGDLDGAETLHRRMSEAGVTPDVYTYGALIQGLCRVGRIEDARGVFEKMCGRGMKPNAVVFTILIDAHCKKGDAETMLELHREMRERGVRPDVVTYNAIVNGLCRARDLKSASGIVVEMRSAGLRPDKVTYTTLIDGYCKEEELDMAMEIKQNMVAEGVGLDEVTYTALISGLSKAGRSADAERVLGEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGLRLLKEMQNKGRKPGVVTYNVIMNGFCKLGQMKNADMLLNAMINIGVSPDDITYNILLDGHCKHGKVTDIEELKSAKGTVPDLGVYTSIVGEIVKKKTTKNYHDR >KN545141.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545141.1:87:2266:1 gene:KN545141.1_FG001 transcript:KN545141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKRGGEGSSSFVMRWEYQLVAAVAQELGLLNQAYHRHKQRMEEAHYFSWGDQKGYDDDMYRYLFTKMPPRINATLSSKSYLLVVENLYEPIKPGTFTNYFGLPPAHRWSEPEWVVSATSREVCSKSKSEDDIVYESFSDDDDDIMVLIISALHQSAKDISKAVGQEDDNMPLLQELVLVKCDNLLELPTSVTALSSLIKLEINGTQIKYFPKNMFKDMQSLQSIKLTDNKKLMDEIRMAMHPTLKSFLLINAPHIRHLSLQGCRKLEHVELRDLGALEELDLSATAIKELPAEIPNLPQLRQLLLMGVSSLSRFPWHKLQRFPDMFCLDCCAQGNGNHYDDQVANIKKNIAHVCIEDSRLFYSFNSNTRELVEYGAYFQDFYVQIAPCKANIRRLEDEQDMLADKLTELANKKSPYGDVYRRYMAKEFSVVAIAPPIHQTKRHVEMSATNRYPH >AMDW01029540.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029540.1:6:350:1 gene:AMDW01029540.1_FG001 transcript:AMDW01029540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAFPFTSSEDTTAAMLRAIELGYRHFDTARIYATEGCVGEAVAEAVRRGLIASRADVFVTSKIWCSDLHAGRVVPAARETLRNLGMDYVDLLLVHWPVSLTPGNYDFPFPKE >AMDW01013643.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013643.1:6:248:-1 gene:AMDW01013643.1_FG001 transcript:AMDW01013643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEGRGGGSAPDADAEAVAVRAVRNLRTFRFHYAALQWALLLASLAPRRRESMLFLMAASKGLLLYGGLLRVFPNSALLRRL >AMDW01061716.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061716.1:152:971:1 gene:AMDW01061716.1_FG001 transcript:AMDW01061716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QQINGFSSTNIIGSGRFGFVYRSCIDFDVCTIAIKVFKLDQFGAPNNFIAECEALRNISHRNLIRVISLCSTYDPAGNELKALILEHMTNGNLESWLHPKPYEQIAKEPLSLATRISLAVDIAAALEYLHNRCIPPLVHCDLKPSNVLLDDEMVAHVSDFGMAKFLYSGSSMASSTSYSIGGPRGTIGYIAPEYGMGCKISFEGDIYSYGIILLEMITGKYPTE >KN544888.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544888.1:1239:2137:-1 gene:KN544888.1_FG001 transcript:KN544888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLMGQELDSLTLQDIQQLENQIDTSLNNIRSRKEKLLMEKNTILEKLRRFRRARNRAGATWLDQQQQWLAMVDAPVIIKLLELEDVQMKWSLQPLHMVAE >KN538841.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538841.1:56257:57658:1 gene:KN538841.1_FG001 transcript:KN538841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPIGLSWAPKLPSLPTTSGGKKDTGASSSRAQGSLWKPASELVGGLFVPPRDPRKANKLARKNVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQVGTVIEPASEFFSSRLTKRERKTTLVDELLSDQHLKNYRMRKVREIQESRTPGGNQKWRNKGKKTLKRAKDRRK >KN538841.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538841.1:141651:144691:-1 gene:KN538841.1_FG002 transcript:KN538841.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKADKKLVLGVKRKDLKRKKDRTLNGPVENEVAAEHGTAEDKGLVRKKKVVAMKQKKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTEKTKKSGKSSKKDKHESSRENKLDRHVEVDTANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQCSHYLRIWFLTILEVVQDYFWMLGLLLKKERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >KN538841.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538841.1:41536:43400:1 gene:KN538841.1_FG003 transcript:KN538841.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPCCRRPRLLAGPSLPGWFPRRPPLASQASRPDSCRLAEQIHALLVKSGDPPSVSGVYASTSLVRVYARLVRLGDARKVFDGMPVKTVVSWNVLLDGIVRASDLDAAWEVFVEMPERNVVSWNTLIAGFARHGRAQEAVDLFAEMTMVYGLAPDEATMVGFVSAVRDIGLLGIGRSAHGYVIRREFSLDGALGVALINMYTRCGSMGDAFRCFSSVASKNVEHWTSVIGGFAAHGHPEMALRLFTEMTQLSVKPNGVTFLAVLNACSHGGLVNEGFKYFNLMRSMGIKSTMQHYGCLIDLLGRAGFLEEAFELTSSLPEDPGLVIWSSLLAACQSHGNVEMAEVAARKLAHAEPSHGSSYVLLSNTYARAGQWEDLKRTRRKMEEHGVMKQPGLSWIELDGHVHSFLSADKLHTESEDIYQMLEDLKVNLVSAGSEPETLALPEI >KN538841.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538841.1:10162:11193:-1 gene:KN538841.1_FG004 transcript:KN538841.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACSTAPLLGGARLPAVGTASPPPSVLLLPRRNFPSPLRLHDAPRLSLLRAKASSDDTSSSAATGDELIEDLKAKWDAVENKSTVLTYAGGAIVALWLSSVIVGAVNSVPLLPKFMELVGLGYTGWFVYRYLLFKESRKELADDVDSLKKRIAGTE >KN538841.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538841.1:119606:125279:1 gene:KN538841.1_FG005 transcript:KN538841.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSVVDTVDQVIGVGGKVAEQSAGVLKALGEAAKPALPALKSAGEQALKLASPVVSGASKQATEALQGAGVDPAPVLSAAKTVADAAQQGTKVIDAAKPIASATVETIGSLGSADYVVVAGAAFLAYLLLPPAWSLLSFTLRGYKGDLTAAQALDMVTSQDYVLIDVRTEKDKAKVGVPQLPSNAKNKLISIPLEELPSKTKSMVRNAKQAEAEIAALKISYLKRIGKGSNVIIMDSYCDSSKIVARTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVELVTPSRVIPAAADRLVTASSSASRTTTSRRPITSVAKTDRKGLHYQLKESVYLPEDILRLIHAMMPLRDAARAACASHIFLQSWRCRPNLIFTGETLGLIINGTGKDDAKRDFINIVQRTLLNHSGIGVKTLKLELLHFSDLDLGCLEAWLQIAVAPGIEELTLMFPCVRYKFPCSLLFERGGNSVRYLQLMVCTFRPTIGLGCLIKLTQLHLSFVWITGDELELLLSKCVALEWLKLSYCPEIICLKVPCMLRQLGSLEVAECRSLKVIEICAPNLSNFYLTGFLVRTSFENPLLVKKLRIMCLRQGNFVSYARTKLPSLVPNVETLTVASNEIVKTPMVPGKFLHLKHLHVYFISLAVSYDYLSLISFFEASPSLETFMLSVTQRRIEHDSVFGEPFHPREMSEHHHKNLKSVKIIGFCSAKSMIELTCHILQNTSSLECLTLDTTDGATRCCVTEYDKCLSMDNDILTEAHEARCAVAEYGKCISMDKDVIVDAHKSLLAIRTYVEGKVPPRVKLNVVEPCSRCHAIEL >KN538841.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538841.1:145206:147889:1 gene:KN538841.1_FG006 transcript:KN538841.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEVEKKKAPTALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEVNIPYIYVPSKEDLATAGTTKRPTCCVLVMTKPAKGEINEEVKEKLKSDYDHVVAEVAENSELVALRRAPHCQPVTRGATQVLALDLIHLTGKFGRLCLESCGRYKTKHTGKVLQLSLPLSYRARLAAQW >KN538841.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538841.1:8111:9180:1 gene:KN538841.1_FG007 transcript:KN538841.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESSDERKADEDGAHEELEGQLLYRVPVFDPSLAEFCSPPPIDDAAAASSSCFKEDAADGAVEDAKSPAAAPSSPVQQLPDSFVNFEPTDAELREFAADMEALLGQGLDASNELQDSFYMETLGLITPPVEESGRVKMEPDGGVASNSRVSLPSCRAHPKPEDVESADALDIDFNCTSPDEQKSSASNGAAADSQFFHRSLDLRLNYEAIIESWGNSPWTDGRPPHGQLDDFWPNDHHYSGLWAAGGGGHGAEVGMMTVRPRMDGPGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPSAAAAPCAVT >KN538841.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538841.1:125847:128471:1 gene:KN538841.1_FG008 transcript:KN538841.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNNLLVRRAASTTGLLLILLLVAFTVCNYSSLTLSPRQYIDGTSARSSPTRASNASGGGGGGGAACDVARGEWVPDPAAPYYTNETCPLIDSRQDCMKYGKPGLESILRWRWRPPGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLSKVEFPTEIEAKDCIHCTRKYHYRAHNFTVCVFWAPFLVRWNLTRAGALQFMDPHNVFLDEADPEWSRGVAGYDYVVLNGAKWFTRPTILYEGGRLVGCAATGTLGSTATGRTRSVCSAPDHDLDIWFHFWSCYHKAGIMAYTFHVVEFPILCLQTPMATSVTRLDFGELKFGVMDLHSTWSPCINHETENGSIHLNQVNKINGLT >KN538841.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538841.1:66850:70663:-1 gene:KN538841.1_FG009 transcript:KN538841.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVFTPAVSVYSAVSGLELSMEHEHHKCLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEKPFAVVDATAKLGKLHRQREALRVLRFSSSFVFPVSWAKPNRPDGKSKYAVIAICPLL >KN538841.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538841.1:103168:104490:-1 gene:KN538841.1_FG010 transcript:KN538841.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGGGGVGGGAMFHRGTRPVLGMEEGGRGVKRPFFSTPDELLEEEYYDEQLPEKKRRLTPEQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLHSQHLAAVDSSVESYFPGGDEYHDCVMGPMDHAAGGIQSEEDDGAGSDEGCSYYADDAGVLFADHGHHHHHQHADDDEEDGQQISCWWMWN >KN538841.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538841.1:151293:154479:1 gene:KN538841.1_FG011 transcript:KN538841.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALAVYSLVLLASSFPCRLASALLSPKGVNYEVQALMMIKTSLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGLLSASIGNLTNLEIVLLQNNNINGPIPEEIGRLTKLKTLDLSSNHFSGGIPNSVGHLESLQYLRLNNNTLSGAYPSSSANLSQLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICAAGTEHDCYGTLPMPMSYSLNNTQSTLMPAKSKSHKVAIAFGSTIGCISFLIPVMGLLFWWRHRRNQQILFDVDEQHTENVNLGNVKRFQFRELQVATENFSNKNILGKGGFGNVYRGKLPDGTVVAVKRLKDGNAAGGQAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRSNYDRVELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >KN538841.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538841.1:24817:30615:-1 gene:KN538841.1_FG012 transcript:KN538841.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKTVIDRYTNAKEELLGGNATSEIKCPITGELQQTPLKGAEYAHNPIVQNLLNLRLARAASHAWAKGGRLPQWPHWCSRSPVTPLLSFSRFSMNHTLQHLRASGQAILKFANDGKSMHILTSNVQPKKRMKIIRRFEKKKRQIIGAYVPFGPIWQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEISLRNIRMRKGSLIHQENIELSRSLNVMSQQKLELYNKVRCKILLETCDYMLTHYPKQLKELDFSFRPVNREVPQMQMKVPALHTAFVSYKMLIFLLVLN >KN538841.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538841.1:13218:13820:1 gene:KN538841.1_FG013 transcript:KN538841.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTACRRYIGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHHHLGGNTSSGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETLAAAASTGLVSWALTALSFGLTALALSALARSGSLQPLHTTTIQPHLAGDLIRNLIWCFSVLTIRSLACKHITL >KN538841.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538841.1:49190:51950:1 gene:KN538841.1_FG014 transcript:KN538841.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEAQNERNETKSFLLPLYPKARQGRALREFGDIKLAARRFDNDGGGGVGRKSRNKLEVKKAAAAGTNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPKDFLCQELQGNQNYCETCKQCDYEIEYADRSSSMGVLARDDMHLITTNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSAGISLPSQLANQGIISNVFGHCITRDPNGGGYMFLGDDYVPRWGMTSTPIRSAPDNLFHTEAQKVYYGDQQLSMRGASGNSVQVIFDSGSSYTYLPDEIYKNLIAAIKYAYPNFVQDSSDRTLPLCLATDFPVRYLEDVKQLFKPLNLHFGKRWFVMPRTFTILPDDYLIISDKGNVCLGFLNGKDIDHGSTVIVGDNALRGKLVVYDNQQRQIGWTNSDCTKPQTQKGFPFFL >KN538841.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538841.1:59023:62587:-1 gene:KN538841.1_FG015 transcript:KN538841.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRGGGPVVAALLLAALLLAAVAPASASSYPASGEWVPLQRGVVGDEAAVVAQIHHEDSNFSLSDCEGAGSGGKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGFPGHVDGRPREARMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVAVLLAAAFFGYMLALLQRRVLGMVSTEDEPETQTPLKASIASIPPYQKPLKPSVRLPLIPNEDESEKQEVEEGFFTSIGKLIVGAKSSVAEIVGAAFSRKKRLNIHQQQAREVDSKRRAVEIKPMNHGDPQYDQDGLRHRSSYTGYSNNW >KN538841.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538841.1:88397:91446:1 gene:KN538841.1_FG016 transcript:KN538841.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSLLSRGLIARPSAASSTGDSAILGAGSARGFLPGALHRFSAAPAAATTAAATEEPIQPPVDVKYTKLLINGNFVDAASGKTFATVDPRTGDVIARVAEGDAEDVNRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHADEIAALETWDGGKTLEQTTGTEVPMVARYMRYYGGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALFVASLLHEAGLPDGVLNVVSGFGPTAGAALSSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKARARALQRVVGDPFRTGVEQGPQIDGEQFKKILQYVKSGVDSGATLVAGGDRAGSRGFYIQPTVFADVEDEMKIAQEEIFGPVQSILKFRLSTGIYTNTLRAMCSTVEEVVRRANATPYGLAAGVFTQRLDAANTLARALRVGTVWVNTYDVFDAAVPFGGYKMSGVGREKGVYSLRNYLQTKAVVTPIKDAAWL >KN538841.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538841.1:134407:140930:-1 gene:KN538841.1_FG017 transcript:KN538841.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISNGFLGALSFAALLASVPLIGAGAYLLDHPASECQRLVRVPAVALGGAALLLSLVAIAGVTCCRGAALLWAYASAMFLLIVGMFFVTAFVFVVTNRGVATAVSGTGYGDYRVRDYSEWLRARIEDYETWHRIESCMADAAVCGGPLAGINPGEFYRQHLPLIQAVLCFRCNACKASVLDTVRRNWRAVAVLNVAVLAILMLAYSLACCSVRDRSRPRPPKYPLQLGWKLKVRNPSSFLVIAMLPQSQSSSLQRLNHVEQRIVQLMQLAGAVMEELGNSQGPRPEKVVAHCREYMLAIKEIQTTLREEIKSACEYRPFEKSDYSARIANEISCKKVEYVLEKLDAMQTNIEKCTS >KN538841.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538841.1:82604:86408:1 gene:KN538841.1_FG018 transcript:KN538841.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDSFLADLEELSDNEAYPEGEDEEARNMEEDGDGGMPCCEFLNHDDLNSASELHKTQRYNDIMQANVLRNFHMSTQKIEDALHRGTSILPEDLEHQLIADSNALLVDIDNEITIIYNFIREKYKQKLPLLESRVHHPIDYARIVKKIGNEMDLTCVDLKDILPSADIMWIMMTDSIASKEPLSEENLVKTIKACDRALTLDAAKRKVLDFLESRMGCIAPNLSAIVGSAVASKLMGAAGGLEALAKMPSCNLQLLGAKKKNLSGFSTATSQFRVGYLEQTEVFQSTIPSLRTHACRIISAKSTLAARIDSIRGDPTGKAGHSLLEEICKKIEKLQELPPAKILKPLPVPDCMPKKKRGGRRLQNMKERYAQTDMMKLANRMQFGVPEESSLGDGLGKGYGLLGQAGSGKLRLLSGQSRLAAKVAKRFKARSCDRSESRSGLTSTLAFTPVQGMELSNPLVHNDHSVSGTQSTYFSDVGTFSSIRGKDAIPIQSSEIQNPGV >KN538841.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538841.1:43676:46280:-1 gene:KN538841.1_FG019 transcript:KN538841.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPAPAGGGEVSRAEAVDHYVATLARVLGRLKGSCSQEEAQMRIYNASWDGSYKFCCEIDDEASRDLAKMPGVLAVKPDMDKVDMSEKDSHGSGLSAANLGNFSDAVSNHPSSSGENEFWLVRMEKPGVEVVTKAQMVDHYTQILMKVLGNEKDAQVSIYHISWERDYGFCCHIDEECAKELAGDDSFKSSEATQAKVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIEEPSTPVDPIKCFDRVIILDEQSMTPRD >KN538841.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538841.1:14803:15331:-1 gene:KN538841.1_FG020 transcript:KN538841.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSACNGAAVLGAAAAVVIVGFLVMSAAPLAEAASIDQFMYACMWHCGWPAEFKYNAAVHDVAAVDLAAYRSCTVPKGVRKMRSGRDKVTLRKGTHYFICTEPGHCKAGMKLAVRAI >KN538841.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538841.1:158797:161303:-1 gene:KN538841.1_FG021 transcript:KN538841.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPVDWEAESYPAYSDFAAIPLFAVFLFAVRYLLDRFVFEWLARRLIFEKDEKLDLATHAGRIKIRKFKESAWKCIYFLSAELLALSVTYKESWFTSTKNFWVGPGDQVWPDQRIKFKLKLVYMYAAGFYTYSIFALQFWEIKRSDFGISMVHHVVSVILITLSYIFRFARVGSIVLAIHDASDVFLELGKISKYSGYQLLADVSFLIFVCSWAVLRLIYYPFWILWSTSYEVVPMLDKKKHKFDGPLYYYVFNCLLFSLLVLNIYWWVLMYRMLVGQILSKGHVGDDVRSDSEGEEEHED >KN538841.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538841.1:52634:54932:-1 gene:KN538841.1_FG022 transcript:KN538841.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFARKDPRKPVFAAKVATALALITLLVFLREPTDLANHAVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGFALAVSELSSSMGNFGNVILIICTFVVAFGATLTKLHPKMKPYEYGFRVFLLTFCYVTVSGYNTGKFIATAISRFLLIAIGAAVSLALNIGIHPIWAGEDLHNLVAKNFDGVAKSLEGCVDGYLKCMEYERVPSTILTYQASDDDHLYSGCRAAVESSAQEEALLGFAIWEPPHGPYKMMKYPWMNYTKVGGALRHCSFSVMALHGCILSEIQAPPESRQVFSAELHRVGQEGAKVLRELGHRVKTMTRLSSQNILSEVHFAAEQLQKKIDQKSYLLVNTERWQALIRRHGGGAKDGGLVPGRRATASPGAVHKSSSFASSTSHSSLNSAPRTDASYKPQPPWPIRQPSFHPSLPFEAAVAEARTYESASALSLATFASLLIEFVARLRSLVDAFEELSESANFKEDPVGEPSAISRENGGVLYRLRRFFGLGELRQRAGEP >KN538841.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538841.1:129612:133041:-1 gene:KN538841.1_FG023 transcript:KN538841.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRSMAAPATASLLSTLLQLLREELAADRGPASVDGGGCGEGLQVRIFVQTRGGRLGVVHLPAVSAGGDDGRRAQEIKPRGRPPQSLAPCRLQGTLVSTAIMRRNVLTRIVGTQKNNLPLPKRSAAIAAPIALLLVVGLISLYDFTFADRYPYIDAASSSSSSSPATVSKCNLTRGEWVPDGEAPYYTNLTCPFIDDHQNCMKFGKPSLEYVSWRWKPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHFKSLLCLLSKVAQPVEVVGAAPEIDVTGRAVRRDFRYDSHGFTASLFWSPFLVKANVANATLGLWDLHLDTADARWAAHVAEFDYVVLSDTNWSTRSGRRRRR >KN538841.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538841.1:22262:22600:-1 gene:KN538841.1_FG024 transcript:KN538841.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLETSLRTSNPPRVPAMVRQGCGRLRPRPRAMKQTGGRPTHFKTGAEKGLEGSNRCFQNSKWEIGKLEKGRKIGAVEFGFFGQGPRGREEGGKRSAAKGIRRGRFGLSGD >AMDW01029008.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029008.1:8:346:-1 gene:AMDW01029008.1_FG001 transcript:AMDW01029008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAHTIGIAHCNSFSKRLYNFTGKGGPGDADPSLDAEYAANLRRSKCATPSDNTTIVEMDPGSFLTFDLGYYRGLLKHRGLFQSDAALVTDAAAEANIASVVSSPPEVFFQ >AMDW01009493.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009493.1:17:130:-1 gene:AMDW01009493.1_FG001 transcript:AMDW01009493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLPLLLVVAAAMACAPTAHAWGKEGHYMVCKIAE >AMDW01040483.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040483.1:47:1389:-1 gene:AMDW01040483.1_FG001 transcript:AMDW01040483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVSRKKIPGSDGILGEKSGSRSKSKSVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRHQLKDLSWRSKDTTIDKKENQGQEVEHEENPKSCELQYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPL >AMDW01038738.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038738.1:35:685:-1 gene:AMDW01038738.1_FG001 transcript:AMDW01038738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEF >KN541751.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541751.1:2727:4492:1 gene:KN541751.1_FG001 transcript:KN541751.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKCGYIRKAVAAARGGATATVDLDLSALPGGADAFDKAARYCYGANFEISVRNAAAFLCAAAFLDMQPPDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPVAEEIGVVQRSADAIALRICNEVLFPTSSSSSGGNGGGDEGGGWDAYGNGVMRSSAAGSAREQAKEEASLARENEALRSELARMRAYVSGMQQSKGSSSSSRGKKGGMTSLWLRTLSRLNPFKAGIWGKDTSGIVDGKTGAMNSVKPKRRRFSIS >KN541751.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541751.1:10002:11064:-1 gene:KN541751.1_FG002 transcript:KN541751.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGSGSSACSKTPATVSPPSTSPARPAASSIPATSAPSTTTTRRSLTSWPAYRPATSGQPFNLMHSSLLCQGVPDLSEHGDVYDLTFGLGADHPPTAVALRKEFQRIILYQQSPQEDSALASILLRPWPTALSTARFTGDDGGVESVIDRVQRVYIKTANDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLFNLILKSL >KN541751.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541751.1:8204:9362:-1 gene:KN541751.1_FG003 transcript:KN541751.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAREHFVLVHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEKGLPTLPENEINLIFGIGADDPPTTAALRPEFQRERLSQQSPEERIEKQEWKNI >AMDW01036949.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036949.1:36:207:-1 gene:AMDW01036949.1_FG001 transcript:AMDW01036949.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLAASGLVVLLLAAVAPAFAVDYTVGDTSGWSSGVDYDTWAKSKTFSVGDSL >KN541751.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541751.1:5257:7102:1 gene:KN541751.1_FG004 transcript:KN541751.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCPIPPSWSAATPRLPLHHLLLLLALASDMEDAHHHHWTMVERRGNQLWASGLPFIIHGFNTYWLMSFAADQATRPRVTAAIAEATEAGLNVCRTWAFSDGGYHALQTAPFHYDEDVFRALDFVVSEARRHSMRLILSLCNNWEDYGSKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKLLLRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSTPELLPVNLDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >KN541751.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541751.1:12950:19024:1 gene:KN541751.1_FG005 transcript:KN541751.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASKVLGSSDNYPAKRNSDASASSPFASTSTPCSRGGNNDDNKDTHRSYPLLPLLARSSLYAGAPRARWKQTGKRVARGSVVASSATREEAGPDSPAQQKEAKDDPVLNDQFRRICARKIAAPNICSRNTISMEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCAKNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTMSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSTLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGAKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNEGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPTLDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEHGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELVAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGVLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSTVLEHESLRSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKVLMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFEDGYDSFESMVPVSNRVSRRKNL >KN544412.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544412.1:15:1997:1 gene:KN544412.1_FG001 transcript:KN544412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGALETSKVTNDHEGWRLITCIWLHAGVVHILANMLSLLLIGIRLEKEFGFMRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKVHHWIHIGTERVQCQRALFLVPLPELCTYFKVELQHAKQLLHVNDECLYFDDKYFSRNAHFWKRERGANVVTMRCSDTKIVLGISCCIKRRKLGESLQ >KN544412.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544412.1:2397:3319:-1 gene:KN544412.1_FG002 transcript:KN544412.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNLQAGGSLGNEDHEHIYSIMICLRTIVDEEKEMLVDSCAEIMKRLRMNSDELEEYRQELIKGVENMTITASTTIGIKRMGELDERSFHLACKRRHRDDDPRGKAAMLISYWQEELKNPSWHPFKIIQVDGEDKGVVNEDDPKLRQLCKDYGDSVCNAVKAGMAELNEYNPRGRHTMNELWNFREGRKATTKEVVKYISDQLKTNSSQSDN >KN542714.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542714.1:361:3041:-1 gene:KN542714.1_FG001 transcript:KN542714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHIYASCGCARARAAIPLPAQAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNELGQDAKLTYSELLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPIFPTKCDVEWVDAEDPLFLLYTSGSTGKPKAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTE >KN543957.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543957.1:2892:4853:-1 gene:KN543957.1_FG001 transcript:KN543957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NCGFCATRADSGQKFQTLKDLNDCTKLHPPLANFILGRGGGTPPPAEKLTDDLLVEILSRVPYKSLCRSKCVSTRWRRVISHPDHRRRLPRYHLGNDIVGYFYKSNAFTNVTGEGRPFVDPSLPFLPKCEHLNVLDSCNGLLLCRCWRLADPRRFDYLVVNPATEHWVILPDSGWSDKAQTARLGFDPAVSSSHFHVFEFVEDGAADVDGNVDHDDYDGHVKGVEIYSSVTGEWSHKDNGWNWEIRLRDESNSVFFDGMLHLITLEDVVAAVDVGGNTWRTIPMPQSLVEPYGGIGEGFIGLSQGSLYFVNSDHDKPYKVSVWVLEDYSSEQWIWKHTVSHLHLFRTKRLLFGHDYKVVSIHPERNIIFLVWPHSKMLMSYELDSREVHFICGIGGCEWVMYYVPYVPLYSESLADGH >AMDW01039886.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039886.1:33:862:-1 gene:AMDW01039886.1_FG001 transcript:AMDW01039886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYLHPIIRDPHGRKMAKCLGNVIDPIDVINGITLEDLGKKLEHGNLDPSELEKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAVRFAMNKLGDEYTPPATIALCSMPPLCKWILSALNKAVGKTVSSMEACKFSEATSSIYSWWQYQLCDVFIEAVKPYFNQSQELESERGACRDTLWICLDTGLRLLHPFMPYITEELWQRLPQPKEACRKDSVMISEYPSVVQ >AMDW01032542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032542.1:106:474:-1 gene:AMDW01032542.1_FG001 transcript:AMDW01032542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LENATGLATLFDAAKQRPYETGPVGKFVNRAEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELA >AMDW01035360.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035360.1:97:415:-1 gene:AMDW01035360.1_FG001 transcript:AMDW01035360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVI >KN541569.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541569.1:824:1168:-1 gene:KN541569.1_FG001 transcript:KN541569.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARITRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVSIHMKREQKYHGKVRAVIDSVREAGVKLL >KN541569.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541569.1:16992:20452:-1 gene:KN541569.1_FG002 transcript:KN541569.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM4 [Source:Projected from Arabidopsis thaliana (AT5G27720) UniProtKB/Swiss-Prot;Acc:F4K4E3] MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRRPPGVGRGRGRGDIGTKPGGRGIGRGQDDGGSKGGGGRGRGGIGGKGGIKVVTSEEAAVGLSRNNLFDVWTLDYIEYYCGYYFDLFIAESQVMFLIVQQ >KN541569.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541569.1:15473:16295:1 gene:KN541569.1_FG003 transcript:KN541569.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGAGGGGGYDDGFDPSAAEHKRHKQIGNLAVKLKHQRLASEPSAMVRRPADESSGSTRFVNDVVAADVLSKNMGLAPASCSCDASDGGIMLLFGIAPRSGVDAGA >KN541569.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541569.1:5649:14244:-1 gene:KN541569.1_FG004 transcript:KN541569.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEKRVGVGEARAPLAVEALRGKIVEKVKGNRVTLIVGDTGCAMFFSHLMGFPAYGWMAWKEFYGSTVPPGRKHGTHSMHAAKEVGEEVGYHIGHSNVSNLNSKRSRIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACVKQFMMKKNDLRLILMSATADITRYKDYFRDLGRGERVEVIAIPSSPRSSIFQRKILYLEQIVDILKMDSESLSTKCCSGPNAAADAGLKPDVYELIHRLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLTDHEYPAILRLSLREQVLMICCAESRAMNDPHVKILTIRHLFLTVLLQKVLDPPDSDVVEDALESLVQIRALDKPTSPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDTGSICEGILISIMLDIQPLPIVQPFGHQQLCKMYRNNYFEEEGIDLQTGKKEAALVGNLCAFQFWQRMFKDKYRLDCLINVVNTHEPKASNGFVAKPEDEWCAFHNLVPTALNYISEIYDDIMGTLHRFRPSFLVKINPPMYLQPSEFHHMCLRHEVLELENVNSLPLEAENSHLDSHRRCAATPYVSPADFGTTTVVKTLKTLIKEMKTQSAADRVTYRELVHGYVQPALENEMCVFFLNGSCNRGDTCHFSHSSRAPRPICKFFLTLQGCRNGSSCSFSHDSGSLVSSSITSGICSQENRATSVCCKRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGPHSFESDSVTKGLKILQNLADPSYLFIGGEHKLSVPWTKLSRVFWFADIDSNESISEQVVLQKFFQHIAIKTLSEKMSDLQVIVIMNNAKFVQLQVERLARECFLFLGESFMFDEATLGWFSDTPNYPRGMQVSAPVAYIFSMHPPTGIQFGDYASELRKVLYSN >AMDW01034567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034567.1:5:366:-1 gene:AMDW01034567.1_FG001 transcript:AMDW01034567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIIDFTASWCGPCRFIAPVFAEYAKKYPGAVFLKVDVDELKEVAEKYNVEAMPTFLFIKDGAEADKVVGARKDDLQNTIVKHVGATAASASA >AMDW01040170.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040170.1:47:1179:-1 gene:AMDW01040170.1_FG001 transcript:AMDW01040170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTGKNYCLNNSAVDIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVAKYGQADPP >AMDW01030379.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030379.1:70:389:-1 gene:AMDW01030379.1_FG001 transcript:AMDW01030379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKINETISKAKDDVKELIKQAHDKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAM >KN538684.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538684.1:390065:393423:1 gene:KN538684.1_FG045 transcript:KN538684.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMSILIYIVIPLIHHISFTDFLVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKKNI >KN538684.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538684.1:480580:484075:1 gene:KN538684.1_FG046 transcript:KN538684.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGCAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGENKVFSPEEVSAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPAAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKVEAALKEALEWLDENQTAEKEEYEEKLKEVEAVCNPIISAVYQRTGGAPGGGADGEGGVDDEHDEL >KN538684.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538684.1:362526:365467:-1 gene:KN538684.1_FG047 transcript:KN538684.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MRVLPLALAAAIFSGVTAILVYLSGLSSYGGARVSDADLAALGALQSGFSKCVDANGLGLKAIPGEDYCRVVIQYPSDTDSKWKDPKTGEPEGLSFEFNLCESVASWEQVRNSTTILTKEYIDALPNGWEENKCQNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKETLIVKTTIHDIMNQMIREIPITNPVYLMLGTSFGSSAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWLVLSILRTKYDDQLVKIHSPMRGDPGRVVKWAPTKDTIEAARVASEKLLKRPGAGSEGPLSSCTMIKKREKGKTPKRSVVRHAALKHLEYMRGATRYPLERNAGGGYLCMIDDR >KN538684.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538684.1:452121:455529:-1 gene:KN538684.1_FG049 transcript:KN538684.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEEAEVKGKGQGRKEALGWLEWGRGWMGIVGEFLFQRIAASNLSNPLELPPLDGVSFVITGATSGIGLEIARQLALAGGHVVMAVRRTKLAQELIQKWQNDNSEIGKPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFSIGEPQRFSKDGYEEHMQVNHLAPALLAVLLLPSLLRGSPSRIVNVNSVMHTVGFVDAEDMNLTSGKRKFTSLMGYSNSKLAQIKFSSMLHRRIPAEAGINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEESHNLETSQLVWEKTLEMIGLPPDAVDKFIEGESVQCRYGQQKAE >KN538684.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538684.1:493681:496351:1 gene:KN538684.1_FG050 transcript:KN538684.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGHPGPPVSVWHRSPVSSPGRSVLLTSSRYITFRQAVSPSKLESLAERNPLTPRYLLLPLAHYHGSLQVRATHGGYMHAYMQFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTDITCADFLRSPGAQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPADYRHMDGFGVNTYTFVTRDAKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQEEERFDFDPLDDTKTWPEDEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPITPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFIRRFAGELAHPKVSPELRAIWVNYLSQCDESLGVKIANRLNVKPSM >KN538684.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538684.1:457204:459907:1 gene:KN538684.1_FG052 transcript:KN538684.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEGEAEVTREVISVSTEKAFEGKALPAWSEQITVRSLVVSAVLGMFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAEAKTSINVEEPSLGRLIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKHQVSILFKSFVGSFLWSLFQWFYAAGPGCGFSSFPTFGMVAYSRRFYFDFSATYVGVGMICPYIINFSLLIGSVVSWGIMWPYIESKKGSWYDAGLPKSSLHGLNGYQVFISIAMIVGDGLFNFFSIVLRTAYDLYLKRRGGASKQPQETPFAGATGTERQVLGTGMGCIISPMVFWMFYKANNIGMEEGFPAPYAKIYRGIALLGVNGWDQLPRYCLRFCLAFFLLAIAICALKEVAKQRGWWIQDFIPSALGMAVPFFLGSFFTIDMCVGSLVLFLWSRSDPVRAHTFAPAVASGLICGDGIWSLPSSILSLANVNPPMCMRVFSTATNDKVQLFLRTLPTPP >KN538684.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538684.1:408625:422165:-1 gene:KN538684.1_FG053 transcript:KN538684.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKTRLEIEFPPLPSNISSYKGSSDEFIDANIQLALAVARKLKELKGTRSCIVFPDLPEKRRASQLFGTALDSIETATISSLDEVSTGPVNTFFRSMRDTLDFDFADDVEDRWKSDEPPSLYIFINCSTRDLSTIEKYVEQFASSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVLGLQEEQGSSLEFLRRGYKAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVADWNSFQTEKKAAARAHSKNLSSFCGRLLEETEDELAEWIKTSSAESGNVSRALCEDISKHCQSTRGTFAKSLFLMQSWFAITIGFCENHLVFVKLLGIVYTKVSQCLRNHSSSTPKNFHIPNGLHDPKLVFIGVTMKTHERAANLALAGLSLAPLVVKVEPNVNVILTACLAVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWCAPFFHSLSVEFTKSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEDAAEEDTDSKQNKKEE >KN538684.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538684.1:449214:451284:1 gene:KN538684.1_FG054 transcript:KN538684.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDPVDEFLIGGGGEDGDLGVFCDGVPTLPCDGGLGIDDVSGDACCLDQSVLGKRGRDESSSSGPKSKACREKIRRDRLNDRFLELSSVINPDKQAKLDKANILSDAARLLAELRGEAEKLKESNEKLRETIKDLKVEKNELRDEKVTLKAEKERLEQQVKALSVAPTGFVPHLPHPAAFHPAAFPPFIPPYQALGNKNAPTPAAFQGMAMWQWLPPTAVDTTQDPKLWPPNA >KN538684.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538684.1:497300:502526:-1 gene:KN538684.1_FG055 transcript:KN538684.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFGRFLGEDPKLTLAKILLKKADPDASSLDVENLIANKKAKLDDILREFMDANRQEQTSESPEETSKPMVDKNSPSMSRPVQTNAKQDEPALTLLRPAGSKLKQDDPPLTLQRPAGSKPKQDDPSLAPLRPVGSKPKENNASLTLVRPMGSRPIVRGKPVQDSWPSKESLAARRESSDVGSTSRKNNVDVSLRKPTIHQSDDDELKSKLNLKPNIDLKMRKDMDEDLANISLLQKPELSVDNMNSNQEKSDAGPASVASGEDNGAVDPETNGLDERVVIDSINDRESSMLPDDLSAVSRMLAELPLIWQLLHCRKDLAVETFPSQVDAEKMNASENNMNYVDDGGNVLSSKLEDITESDWTRLENYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLFKQSVGIEESFEVNDRNIESVSSSDSELAVQDQGSMPSKEKFEDLLRTYNTEKSKFLSSFIGQRLRVSVVLADRKSQKIFFSMKPKESEELIQKKKSLMAKLNVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEELSLGGALEPAEADFEWPEVDALMEEMKNIEEVRDVYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLDKEQLKEAVLACTNRLKIPGDM >KN538684.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538684.1:361253:361695:1 gene:KN538684.1_FG056 transcript:KN538684.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRAAAAVALCCILILLSGDQVHQVAAMSKFCRCYSQCYPDCRKSLPRFICVLKCIDDCSPNKKKVAAGDCNKICLLAICGMALDGQADVASCVDDCTKNPNLHTKFL >KN538684.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538684.1:381230:382550:1 gene:KN538684.1_FG058 transcript:KN538684.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MELEVTDCNEQTYYENSSASEDEDDDEEEAVEWSKDELDAISALFDRPMRQKPPKPPNPVRQRPLPLPLPHKTRLPNAPAPKQHIRLAARAALSSRSSFSDQVFKNPEVLIGIAREIAALPPESDVSIVLDRWVRFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTVVPLFPDDRILASTIEVLARFDQLKMEDALEQCVPSASRAVLEAMVSGFIRAGKVGLARKLLEFATINKRTLSPSVHVKLMLEAVRTPEGYGLAAALLDELGERPELHLAQQDCTAVMKVCVKLRRYAAVESLFGWFRDTGGRPTVVMYTALCVALRDHERGVRYLARMKDAGFVPTGDMYGGLIGGYAAEGRMGRCRRLIREAELAGVKLERRLLSRLSEMGVEHSQL >KN538684.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538684.1:393566:399722:-1 gene:KN538684.1_FG059 transcript:KN538684.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGLFMLLVLFLVMSPDGIRRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLINLLVSVSYMESRIIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSKPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPNYDKLVQMVEDNEFDAAIGDNTITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWFSFSTLFFAHKEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSTGWGFAFPRDSSLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKKGREKCNCRNFISFLDHKEPPKKKRSLSLTPTTPLSNFTALEIEGPVRTARNADGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPEFGYGKKGMNEIPSFWKSSLLQRNDHFRLQWMGNFDEIRNQICSYDILPGHDLFAHSQGENRTRSKVKQVLGAIDVLK >KN538684.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538684.1:424657:434254:-1 gene:KN538684.1_FG060 transcript:KN538684.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGAVLVLLLLLVFKNGAAQSPPRCSSGDLAALRGFSAGLDGGVDGWPAASSDAGRLTSYDVSGNSFAGHVDAAALCGASPGLRTLRLSTNGFSGDFPVGFGQCRSLVELSLDGNAIAGAIPDDVFGLTSLQVLSLHTNSLSGHLPPSLRNLSSLVRLDVSFNNFSGDLPDVFDAVPGLQELSAPSNLLTGVLPATLSRCSRLRILNLRNNSLAGDIGLDFRALQSLVYLDLGVNRFTGPIPASLPECRAMTALNLGRNNLTGEIPATFAAFTSLSFLSLTGNSFSNVSSALRTLQGLPNLTSLVLTKNFHGGEAMPTDIAGFAGIEVLVIANGELHGAIPAWLAGLSKLKVLDLSWNHLAGPIPPWLGELDRLFYLDVSNNSLHGEIPLKLARMPALMAGGDGSDEAHVQNFPFFIRPNSSARGRQYNQVSRFPPSLVLARNNLTGGVPAALGGLTRVHVVDLSWNALSGPIPPELSGMSSVESLDVSHNALSGAIPPSLARLSFLSHFDVAYNNLSGEGGGFSPKRLRAMLLGVEKRRKGQEEEEEGDAGEVDDEYGAVPKSSVRSDADSDARRGGSMCEEYKDVDVVSTISESSSSLETGSGHRSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGASARSVTAAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGVPQRKMEKTSFGGGRLPATKVVLEATEEIDTKRVDPSQEKREIGWQKAVNWAPPDPYPEVETCAKSALAEEITVADSAVTFSRHDSSATLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGAVIGHGECSNVELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKAVPMDQSTQKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVDVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPSSIGCWNWCS >KN538684.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538684.1:466468:472093:1 gene:KN538684.1_FG061 transcript:KN538684.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTDAYLGVRSRALRRASCVEERGMNRSVCHHLLTQCKTIRELQRIHAQALTHGLHPNQQSISCKIFRSYAEFGRPADAGRLFDEIPHPDIISFTSLMSLHLKLDHHRKAISVFSHAIASGHRPDGFAAVGALSASGGLGDHRIGSAVHGLIFRCGLDSELVVCNALVDMYCRCGKFEPARTVFDRMLVKDEVTWGSMLYGYMKCVGVDSALSFFYQMPMKSTVSWTALITGHVQDKQPIQALELFGKMLLEGHRPTHITIVGVLSACADIGALDLGRAIHGYGSKSNATTNIIVTNALMDMYAKSGSIASAFSVFEEVQMKDAFTWTTMISSFTVQGNGRKAVELFWDMLRSGILPNSVTFVSVLSACSHAGLIQEGRELFDKMREVYHIDPRLEHYGCMVDLLGRGGLLEEAEALIDHMDVEPDIVIWRSLLSACLAHGNDRLAEIAGKEIIKREPGDDGVYVLLWNMYASSNRWKEALDMRKQMLSKKIYKKPGCSWIEVDGVVHEFLMCSGDDIDGDTRVEATQHRDIRRYKCEFCTVVRSKKCLIRAHMVAHHKEELDKSEIYKSNGEKVVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYIRKDHLNRHMLKHQGKLFTCSMDGCGRKFSIKANMQRHVKEIHEDENATKSNRQFVCKEEGCNKVFKYASKMKKHEESHVKLDYVEVVCCEPGCMKTFTNVECLRAHNQACHQYVQCDICGEKHLKKNIKRHLRAHEEVPSTERIKCSFEGCECSFSNKSNLTKHIKASHDQVKPFACRFTGCEKVFPYKHVRDNHEKSSAHVYTQANFTEMDEQLLSCPRGGRKRKAVTVETLTRKRVTMHGDASSLDNGTEYLRWLLSGGDDDSSQTH >KN538684.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538684.1:423039:423547:1 gene:KN538684.1_FG062 transcript:KN538684.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQHMSDGDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSDEASALVPSLRSGGRFVGDPGSEKIDKMLNDLSLIKKFE >KN538684.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538684.1:367673:371321:-1 gene:KN538684.1_FG063 transcript:KN538684.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVSGDCRPPSSGTMTPKTSSSVTTSNSTTGKLSSVGSSFMASSGSGGTSSGFDDGVYPEGQILEAPNLRTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPVKGGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDKELLLVYEFMAKGSLENHLFKKGCPPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMMSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPRFEGQYNSKQAVQAAQLTLNCLAGEPRSRPSMKEVLETLERIESMKSRARDARGSGSSRDHSHGRATAHQRSSPRPDGRRGSRTNGHATKAR >KN538684.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538684.1:384920:389467:1 gene:KN538684.1_FG065 transcript:KN538684.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRWQPVENSKELHKDGIKGSYRVDGDIPADEWVNVTRFYDVLIFNTGHWWGLDKFPKETPLVFYQGGKPIEPPLGIYDGLKVVLKSMASYIEREVPSKTLKLWRTQSPRHFYGGEWDHNGSCVSDRLLQEHELDSWFDPRFGGVNKEARLVNSAIQEALIGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVATLGPRIGYLPLLVPIIKAHFSSTLPPGIDTVWFEYKGLPLKWYIPIGVLYDLLCADPERPWNLTVHFRGYPSEILTPCDGEDSVKWSYMNSLKEAAFIITGNSKNVMNMSQADQGALWQSVMKGNLDGYMNISTRLKLGPFEEDCLVRTSSVEGQQGSDEPESPGSGKPCRVPVRLYVRSVQEDLYDLEDALPVGDWESISYINRPFEVRREEGRSYITLEHALKTLLPEFFSSKASRIPDDSETAPQAPDSAPNDDSDVTPRSCEKLESSASSSPQEANVANKGKIVKLVRVQGIEVDMDIPFLWVANNLKNPECYLHICVYVGTRKREPKDGR >KN538684.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538684.1:461455:463779:-1 gene:KN538684.1_FG066 transcript:KN538684.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNDFQEGISTEHAFEAEPVPSLSETITPRSMVVSFILSVTLSIVAMKVTLSSGFIPSFSIPAGLLGFCVSRASIRILDYFAVAQLPFTRQENTIIQTCVVACTSITFTGGFGTYILAMGKKAAVGDVNAQNNVEEPSFARMITFLFLISFAGMFIIMPFRKVMIIRHRLTFPSGTATAHLINSFHTPQGVKQARKQVTLLFKSFGGTIAWSLFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFTMANVGIGMMCPYMIVFSVFIGTIISCGVIWPYIESKEGIWYPSNLGPNSLNGIRGYKVFIGLSMIMADCLFVFLCIMLYRGFAMLSVGDGEQGLPRHSMLLFKVFFVLALALSVFREVASRKEWRIRRYIPSTIGMAITFFMPPRVPVGMCIGSLVAYLWEKMDAGRGRMLSPALASGLICGDGVGSILLSMLTLMGASPPICIKFLSRGDNVKLDAFLATLQ >KN538684.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538684.1:333671:360531:-1 gene:KN538684.1_FG067 transcript:KN538684.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MLALQPPNLLLCSMAQYGIAAYSFEVNPHLWVGRGGLRFPHHASPPLCAIEVFSCHHRLAWEVHSELMGLVVTAAAEAAIGWVVQSILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNGPLAESLDELKELLYDAEDVMDELDYYRLQQHIEGKGSSAASCTNPEGSFVSSSTPSYFQQVSNSMSHNIGWAMRGKKRKSEEDEDHTHSTVLPLEIKNDISKRINEIVNRLRSRGQPVQGVLQLEILRQIAMPKQSQSEPRKSRQTTSLPIEHKVYGRDAERDNIIELLTKGNSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQKTLWDEIKRKRFLLVLDDMWEDGDRSGWDKLLAPLKYNEANGCMILATTRRVSVARMIGTMSKVEVYGLDETEFWLLFKAWAFLGTENQESNPTLQSIGKHIAQALKGNPLAARSVGALLNRNVSFEHWRKVQYRWKSLLEHDDDILAILNFSYEFLPVHLKHCFSYCSLFPKDHKFIGEKLIHAWISQNYVKFECHTKRLEETGKQYLDNLVDWGFFEEDSKSLRLLRVYVTAADITSTHNLLNPYHLRYLEFIVVPTGNLSVYVEIVDTSIPQALTQFYHLQVLNGSSTDNLAVPSGMNNLINLRHLIAHEKVHSSIASVGNLTSLQELKFKVQDGVKFNIGQLQSMNELVTLQISQLENVKTNEEARSARLMDKEHLEELSLSWGDYSMSPEPTAEKTRDDVLEGLEPHQNLKHLQLIRYSGATSPTWLASNVNSLQILHLENCRGWRIVHSLEMLPLLRNLKLIRMWNLMEVSIPSYLEELVLVNMPKLEKCVGIYGLDLTSALRVLIVKDCPNLKEFTLFHSDHFHAEQKSWFPSLSKLTIGHCHRIIPWKILPLEEMRALKELKLMDMPVVEELSVPSLEKLVLIQMLGLQSCSGITVSPPLQFLASNVNQKEWVSSLRKLTIIDCPSLIVSVPIPPSPLISYLYIKGLPAFPTMEIHRGWLIIKSNELRKLDGRTLPFHNLKGIRELYLDNCPNLIYMWSEGFNQLSILERLFISDCPNLFQPHIMSELARENSTSNTEHLILPSLKYLRISSCGIAGRWLTQMLPHLLSLERLELLDCPQIKFLLISQPTETEATSSSASTQTTSTGDDEHLLQIPFNLRRSLKKLSVRGCPDLEFSEVNGGFGGCTSLVELSVYHCPKLVSSLIRKCPHLAVVEGLQQLSSLRRLDIELNPELSSAWDLKLQEQEQGGNQARLFPLSLVELCISNLESSVRSRFFCLPSITKLELRYSPALTSVQLGHCTALENLNIQRCKSLASIEGFQSIRNLRSLEQGTSVFWSRLETLKISDATMLSTNLCEQLTSVQRLIFCLADGNSGEPMVLGVELLALPSTQDAAAAARLSTQDAAAEDRRSCLFDSHLLVYLPCFLPIIFHPLVRSRAVLAAAYWGAMGLVGTAVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGKRIDNKPLSDSLDELKELFYDAEDVMDELDYYRLQQQIEGKGWNAAACINPEGSRVSSYSPSLFQRVSGKMNEIITWVIHDRKRKRDEDEPTHSTMLPLEIKHGISEKINGIVNCLRIRSKSVQGVLQLEISRPTVVPKHTQSVTRGARLTTSIPIERKVYGRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWESLLDKIRHKRFLLVLDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTRRTSVARMIGTMHKVEVNGLSDTQFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNVSYEHWRKVQYKWRYLLEQDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGEKLVRAWISQNFVDCECHSKRLEETGKQYLDNLVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGYKNIPPNVRHLSIITTAYDEKRSCDFPSSEKFENILHKIVPLQKLRTLMFFGESSIMLLRSLHTLCKESKGLRLLRIYVTADDICTTHNLLNQYHLRYVEFIVVPTTNIFGSLDFVNTPIPQALTKFYHLQVLDASSRVNLVVPTDMNNLVNLRHLIAHEKVHSTIAGVGNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLISWKILPLEEMRALKELELMDVPVVEELSVPSLEKLVLIQMPSLQRCSGITTSPLPVSTSQIHQKKLVSSLRKLTIHDCPSLIVSLPIPPSPLISDLSVKGISVFPTINLSHGTFSIESNELNELDNRILPFHNLKGLRSMYLQHYPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVGRWLTQMLPHLPSLEFFLLLNCPQIKLLSINQPTETEATSSLASVETASSRDEQILKIPCNLLRSLKWLRIWECADLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLDIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSVQLHSCSGLEYLQISRCPHLSVLEGLQHLSSLRRLCIQMNPELSAAWDLKLFPLSLVELGVRKVEGSFHSRSLSCLPSITKLEIQDSPELVSLQLGYCTSLKKLEITNCKSLASIKGIQSIRNLRYLKVLFAPSLPPYLHGVSGIWSRLETLQISNAAVLSTPLCKQLTALRELMFLGKQGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYITVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSACQPAIVMVGSLNSEPLLAEISMKTEFLSRFPYVSCFRQLYDLESCGFCGANITHRMLERKYESEMRCADLSELGMSGESEQWWMLLCSLELRWALGNHGEEEHNAGLVFYFNSCDYWLDHGLGGPNRLFIVPSAPVLARTSGQLQARRYITEVHWGTMGLVATAAEAAIGCVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKGLIYDAEDVMDELDYYRLQRQIEGKGSSAAACTNPEESSASSSTPSYIQQISNRMNQNISWVMDGKKRKREEEEEPTHSVMLPSEVKHGISERINGIVNHLRIRGNPVQEVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYRRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAIFKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTERLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNGYATVDGLESKKISPDISSTLNLLTPYHLRYLEFNVIYARSTYGYPDIVNTSIPQALTKFYHLQVLDGDSIGNLVVPIGMNDLINLRHLIDHEEVHSAIASVGSLTSLQELTFNIQAAGNFSIGQLSSMNELVTLRICQLENVKSEEEAKSARLIDKEHLEALSFTWNDLSMTSEPTAEKTTDDVLEGLEPHHNLKHLQLTRYSGATSPTWLASTVTSLQGLHLYNCREWRVVRSLEKLPLLRKLKLVRMWNLMEVSIPSYLEELILVDMPKLKKCVGTYGQDLTSGLRELMVKDCPRLKEFTLFHSNLFHSQQKSWFPSLNKLTISHCQHIIAWKILPLEEMGALKELELMDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLRELTIHDCSSLILPCPIPLVSYLSIKGVSAFPTLKIINQRAFTIKNSELSEMDGRIFLFHNLKSITSMRLENCANLIYNWSQAFSQLISLEHLVIAKCPSLSEFAHENITPDTNYLFLPSLKSLKIFSSGITGRWLTQTLPHLPSLEYLKLQDCSQLNFLLISQSTETEATSSLASAETTSARDGQLLKMPCNLRSLKRLCISDCPNLEFCGGNGCFGGYTSLVELQICGCPKLVLSSANETNNVGLLPTSIQKLWIENLPSFFSPEGLSFLKRLRLNSSRYLKSLQLHSCTALEVLNIRGCQQLGVLEGLQGLSLLQRLSIEMNPELSAAWSLKLQEQEQSGNQVGLFPPSLIELEIRNLEDSIHPCFLSCLHSLTRLDLEHSPELVTLQMGYCTALEDLTIYKCKSLASIEGLQSIRNLRYLTTRYSPGVTPCLQLVSQQEGASGIWSRLEVLCTDDASVLTTSLCKHLTSLKTLAVVSLFNDDGPVVSLTEEQERSLQLLTSLRHLYISNHRYLESLPANLRSLDSLEELHINECRSIRRLPEMGLPPSLTRLRLTGCSKELCLQGKMAQTEKLMVYTA >AMDW01040739.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040739.1:547:1824:1 gene:AMDW01040739.1_FG001 transcript:AMDW01040739.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRKARNFADLSKSCDDTFAKLIQSGVSPEELYDTVCKQHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKNTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSILSQWMAIDLYIRELDTLSFELSINRCSEKLANLANDILLK >KN546552.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546552.1:427:906:-1 gene:KN546552.1_FG001 transcript:KN546552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSTDASKTDAGYPPGIGVRNSLFFLAGCNVIGFFFTFLVPESKGKSLEELSGENEDDDDVLEAPSTADHRTAPAPPA >AMDW01040692.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040692.1:3:1857:1 gene:AMDW01040692.1_FG001 transcript:AMDW01040692.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEVFDESTDYGDDEAAGEVLDELSNGFFRIARVPPPSEDSSPISGGEPVVSVSSPTESGYFGAAEGSLKEEGFVGARNFADVFDAASRDANGVTAGAKNTGVESSLEGSFQSSGSVVGVFDDTDVTTIGDLVSASDGSPLNVDKQGDQDSGAEVVNDEPVGAEAVNGIEPEPLVGESVDAEVVNGVVPEPLVGESVGTDGSGMHVEDELEMKGEKSDNGIAEFPPVVSAMEKQDTDLELRNDDSGAKDGADNHEDATNFVDSSTGYVATGDGASELLEVPANADDLHFVTDDGHNDDTEETDGGYEASDGYVSMPTFGNNNAVEIPVNESEHNVPASKGRRFGLGDSDDEFHDDGVEEQEGEVNGKEIEFFDYAALAELLRAATPGQGMAKVFPIESSVPLQVPPTTVNVPRKNVASSPVLEVAPNPENEMTGEERKLYRKVDVARIKYLRLIHRLGYDTEHHIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKALQLEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTSAFLPATTAVKEISGVVGGVKFRVVDTPGLGTTHMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLQ >AMDW01035864.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035864.1:59:355:-1 gene:AMDW01035864.1_FG001 transcript:AMDW01035864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAEEMRPHPVVVSVLKVMTSIIPTWRVVPTNDVIDLAYRMQGKRDEIRRNPLCYKGRPRLKTAYELLRVSILIESTILPQVSLPFLILHGAADRVTDPS >AMDW01018223.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018223.1:27:231:-1 gene:AMDW01018223.1_FG001 transcript:AMDW01018223.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELD >KN541745.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541745.1:16:231:1 gene:KN541745.1_FG001 transcript:KN541745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQYGLKVCCGAGGQGSYNYNNKARCGMSGASACGDPENYLVWDGIHLTEAAYRSIADGWLSGPYCSPAILH >KN541745.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541745.1:23371:23779:-1 gene:KN541745.1_FG002 transcript:KN541745.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding WRTPRTPGGAGGDEEYEQEYVAHLRRKRSGFSRGASIYRGASHQASSAREMAGANRPRLRQQGPLLGDIQ >KN541745.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541745.1:20084:20929:1 gene:KN541745.1_FG003 transcript:KN541745.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDAIKNAQKNHPDVRVIYADFFTPVIRIVQSPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMPGATVCEDLSTHLFWDGHMTEAAYRFIADGWLNSINES >KN541745.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541745.1:7064:11130:1 gene:KN541745.1_FG004 transcript:KN541745.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVSVAAVLVVLAALRPPRAAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQEVGLPLLPPSKAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKASICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEPEMYGRRSGCIRDLNTLSWVHNAALQRKIAELRLKHPGVRIMYADYYTPAIQFVLHAEKYGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILETRHH >AMDW01040837.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040837.1:218:2336:1 gene:AMDW01040837.1_FG001 transcript:AMDW01040837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRPAKQPSWSLPVRSSALARSQRLTAGKVFDTTPLRRLCVLHTVSWIVSSRQAARFSTGVDNANPGAHCRLSELFRPVRTDTSCVIIGRALECGRWSESVELELEGLHVELDPFIVNRVVRGLSDSGMAVRFYWWAESRPGFYHNNFAIAYIISLLFVDDNFALLSEFLGRVRSQGVAFHRSLYRVLLAGYARAGKFDSVIETFDEMITSGCREFGVDYNRFIGVMIKNCCFDLVEKYYNMALAKGFCLTPFTYSRWIAALCQSNRIELVEELLTDMDKFGCFPDIWACNIYVHYLCGHNRLYDALQMVEKMTMKGTGPDVVTYTTVVSCLCDHRRFSEAVGLWEEMVRRGLKPDVVACGALIFGLCKNQKVDEAFELASRMLTLDIQLNVSIYNALISGFWRAGSIEKAYKTVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRKMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVGGFCDIVSCNILIDAFCRAKKVNSALNLFKEMGYKGIQADAVTYGILINGLFGIGYSNLAEELFDQMLNTKIVPNVNVYNIMLHNLCKVGHFKHAQKIFWLMTQKEVSPDTVTFNTLIYWLGKSSRAVEALDLFKEMRTKGVEPDNLTFRYIINGLLDEGKATLAYEIWEYMMENGIILDRDVSERLISVLKLKNN >AMDW01040764.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040764.1:110:2053:-1 gene:AMDW01040764.1_FG001 transcript:AMDW01040764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RQFPDKAIDLIDEACSTVRLQIDSQKGVNTTGMQNNNGNTSVNGVNEAIVGPDHVAQVVSRWTGIPVTTLDQEEKEKLIHLADRLHERVVGQDEAVKLVAQAVLRSRAGLEQPGQPIGSFLFLGSTGVGKTALAKALAEQLFDSEKMLIRFDMSEFVGSGSVLRLIGAPPSYHGHQDGGQLTEKVRTRPYSVILFDEVEKADPSVFNVFLQLLDDGMLTDGKGRTVDFKNTIIIMTSNLGAEHLTEGVTGERTMEAARDLVMKQVQKYFRPELLNRLSEIVIFEPLSHDNLKEVVKIQMKSVVTSVAHKGVSLLASDDALDVILSESYNPMYGARPVRRWVQKNVMTKLSEMLITGDAGQGSTISIDATDDKKGLNFQVLKEEVVVPRGKRPVEELQSDSDSDDDVFEIAPIPKRKKGYKETT >KN541423.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541423.1:225:509:-1 gene:KN541423.1_FG001 transcript:KN541423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFVGIVIADVTQGNSEDLFLGRTDGHNHAAYGANTHSNSGVMNKGENSGADSNERKLMVSTTDGQTDGTMSPRHRSPDWYCQYIGKTEHCP >KN541501.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541501.1:921:2391:-1 gene:KN541501.1_FG001 transcript:KN541501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYRKKFVGPITPTILEIRRELYNIPYSEIDWKKARDCCAKEDLRYPCSWIQDIVWTCLNKYVDPMFNVWPFNKLREIPLRNLIKHIYYEDENTKYIGLCPINKSLGSQVRWPTIGSDITSILRPRKLHTHI >KN540604.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540604.1:277:2353:-1 gene:KN540604.1_FG001 transcript:KN540604.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAAKAIRRLLLMSIHVLGAVAFAGAVAGRRSAVLPSEITQQVPAVFVFGDSTMDVGNNNYLSGENVPRANKPYYGVDYPTSRPTGRFSNGYNVADFIAKALGFNESPPAYLSLAPRSNSLVVAAVSRGVSYASAGAGILDSTYAGENIPLSKQVRYFESTKAHVEARLGSRATSKFLSRSLFLFGIGSNDLFAYAEGQSGINDVGTLYASLISNYSAVITVPHQLPAIFLK >KN541501.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541501.1:17156:17371:-1 gene:KN541501.1_FG002 transcript:KN541501.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAAESGGSSPLLHTGNGFLGRAVWEFDPDAGTPEERAEVARLCRDFTRQRFQTKESQDLLMRMQAR >KN540604.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540604.1:12924:14523:1 gene:KN540604.1_FG002 transcript:KN540604.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIYYSLLIILPFLLLINFYKAMFSSRKQAGWLPPCPWQLPIMGSIHHLIGDLPHRSLRDLSRRYGPVMLLKFGQVPFIIVSSPEAAKDIMKTHDSIFATRPQSEIMKIITKRGQGLVFAPYDDQWRQLRKICIRELLCTKRVQSFYAIREEEAARLVKSISSDQAYLVNLSKKLADYATDAAIHIITGTRFGNQEVRDKFQYYQDEGVHLAASFCTANLCPSLQLGNTLSRTARKAEIYREGMFAFIGGIIDEHQERRAQDMSHKEDLIDVLLRIQQEGSLESPVSMETIKFLIFDILAGGSETVTTVLQWAMAELMRNPTVMSKVQDEVREVFKWKEMGTKILLNLWSISRDQKYWDDPETFKPERFEDDARDFKGNDFEFIPFGAGRRMCPGMLFGLANIELALANLLFYFDWSLPDGVLPSELDMTENFGVTVRKKEDLLLHASLYAQLSC >AMDW01029170.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029170.1:6:365:-1 gene:AMDW01029170.1_FG001 transcript:AMDW01029170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLRWWLGAMVAMAAAASWSGGVLPAAEALGMNWGTQASHPLPPKIVAQLLQDNGIKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYSFDGGVTI >AMDW01006769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006769.1:15:215:-1 gene:AMDW01006769.1_FG001 transcript:AMDW01006769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTWSARRVTAALVVMVVVVLAVAGGSSAQLSPSFYSYSCPGVFNAVKRGMQSAIAREKRIGASI >KN543498.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543498.1:62:1300:1 gene:KN543498.1_FG001 transcript:KN543498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENKCLVSASTSRMLLVEVFSGELVHMDGKLAVALNNEKILVL >KN543498.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543498.1:3834:5123:-1 gene:KN543498.1_FG002 transcript:KN543498.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGWWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFGEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEFEGKFHDFLVADVSYACSEEIYSALKNIYFHLKQEGYVPPT >AMDW01094522.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01094522.1:195:875:1 gene:AMDW01094522.1_FG001 transcript:AMDW01094522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGTLAPHVKSEPIPEVNDQPVKTVVADNLREVVFNSGKNVLLEFYAPWCGHCQKLAPILEEVAVSLKDDEDVVIAKMVIYPTYDDG >KN541674.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541674.1:147:7911:1 gene:KN541674.1_FG001 transcript:KN541674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGAERRSKKKEKGKRQEEKAESGRRGDGEAVKLDLATDFPSNSMGKVAMDVEEAKEVHRRRKKKNKEKEKGHGKEKKNNVSPDNVEEACAEAEQAEASGLKVEQHCSEHVEGDMIKCGSEEDKSIKKDNKLKKKKKKERKVEMAGDGQVLAGSTDENAGLEHAEMGRGEKEQGKKSKKSKRKHEDGEPVADGSAGDEIMTNRDKKRRRKEHSVELKEGDQVNISKKAVKTKGNKKRKNESDKFNPDLSTDALTGEDKVGGDGKNDKRKKKNDTSTRRNEVGRDDKNDKKKKKSREGNSGRKGEKEKAAQSKDKVRRVSFSDAVEVFSINDGEDEDNGKSAESEVVHGKRFTPEENATLMEAIMSYIEMKQLGENGLEMIRACSKHPELKGCWAEIGKSLPHRPLTAIYKRARILLYRSDERKWTPEEYEKIRRHVEKNGTSWISLAQELGKSEIHLKDTWRRIKPKNLKSVARFCISIKWKDSLQSQWTQDEYQNLFDLVNLDLRVKAHQEYDAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYYQLASPLVQKGIWADTDDYRLVEALQNVDAVCVEDIDWDNLLDHRSGEVCRQRWNEMVRHLGGHKEKPFIEQVEVLSKRYCPEMVDYREGEP >KN541674.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541674.1:23435:24742:1 gene:KN541674.1_FG002 transcript:KN541674.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSWLCSLVILQAVCSACWQVAGANSLRLAAGQIGGVFVYLQDPSLMSRRARDELVDGSCSGDEDLADHGAWPQPGGGGAGGDHGGVPRGGEAGEAEADVDVDGGDGGSAGEVECQKLAACVILTLRRRHDRDQRQLQAPPKLERAGAGAGDQLATNMVEGAEGSSRAIVGDEHEHEARRRKKGKRKLKEPREGERKVKKEKKRHPYMCKHCNEEFSTHQALGGHMAGHHKEKRTLLKEKQPEGSLVLEKEPERSHHLMEEKHPERGLILEKKQLERSSIVLEKIQPDRSLILEEEQPEVVYQDKIDQTMNWQKTERNEGASYLGGGSNTAPIAQEDSRPPFGFDLNVEAPEQE >KN539880.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539880.1:1820:7147:-1 gene:KN539880.1_FG001 transcript:KN539880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYDFYIAVIQLELHTLNSSRSAYASISLARDFFDEFSLSAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAEAEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEVEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >KN539441.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539441.1:31913:33277:-1 gene:KN539441.1_FG001 transcript:KN539441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHLRETLAKAARHVEKTHHIRMEYEERVLRRFVLHGYVDVTDNEDDQVGDDDDDDASISGKRSRLYPGGHNVKGEWSELGIQ >KN539880.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539880.1:46179:46918:-1 gene:KN539880.1_FG002 transcript:KN539880.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSKEHRWLGGSGKSLREEREIEWRKRLCRSPALPPPTNQSLHRFLATRLSISLARSMGGAIVVAILRCLDALLDVVIALVLRWCRYCPAPPRLPSPASHHPWAGGTGVMRGIEAREAVKVAVIGLLGFVVVVVLGVDREREERLDGGVE >KN539880.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539880.1:29255:30545:-1 gene:KN539880.1_FG003 transcript:KN539880.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAVSPAPATPAAAQQQLRTVVLRVSIHCLGCKKKVRKVLRSIEGVKDVKVDAAMHKVTVTGTVDGDTLVKRLYKSGKQAVPWQHPHPQAMQQQWSPSYLYMPYPHSSPDTYYRDYYSPPGTAHAPPLQDEYRMFDDENPNACSVM >KN539441.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539441.1:101171:102020:1 gene:KN539441.1_FG002 transcript:KN539441.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFCGGGHARRSSEVAPTPAHGKGTTTGRTGLAHGKAGGKGATTNRTGNQAWIHLPQKKHTPPAPPQAPPQPQAQRQHRVVNGEEVPFEVEDQYIHYCRESRTIVHKTICHICFFQEQNFTGSNRVSGSQMLLHCHMKHSKFPLVPCEAEDCRIYVTTARDLQLHNYFCHTLPADWWEE >KN539880.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539880.1:7694:10987:-1 gene:KN539880.1_FG004 transcript:KN539880.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRICSPMLLVLLLVSSCNAGDHPACPAAWAIQRTVGGIPRPLIDLSAHNFARVMDEAVGYHLDPPFDPYANSLNFLLAVYVIPYLGINGYTGTNPLIDGYATKRLVAGLLAVESGQDAVVRGLLFERRRETVSPYGATVAELTDRVSALRNKLGQCGVKDEGLIVPEQLGAEGKICTNILSANVDSLSYSRTPAELLRILYLTGDEHVPGGFYPEGANGRIARMRYPDMNYETLADEYEIQTLADESVILDGDI >KN539441.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539441.1:20650:21184:-1 gene:KN539441.1_FG003 transcript:KN539441.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGGGGRGKAKTTKAVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLLPKKGGGKGDIGSASQEF >KN539880.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539880.1:68252:68581:-1 gene:KN539880.1_FG005 transcript:KN539880.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVWVFKRDGVMELEADEEGGAGRQRRRLVYVPANETMGSLQALERRLAGLGWERYYEDRAVVQLHRRDGGADLISLPRDFARFRSTHMYDVVLKNRDHFKVVLDN >KN539441.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539441.1:36430:37059:1 gene:KN539441.1_FG004 transcript:KN539441.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGASLSVVAISGATAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGASNSSSSSSAGAGASGSSQPAGSSSSRAKKMDRVETSLKDAARELSLSKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGVPGNDPTDCSMVFLYFLCSISIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >KN539441.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539441.1:42756:63914:-1 gene:KN539441.1_FG005 transcript:KN539441.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQALGESTFMKPFAASLVQCMEKNMKVTSPLACFKLLRWSCYLLNLSQFAMLSKGGFSRLANAQAVLCQVLMDGSFRQRRTCKQLFTRLFSESMGTYKMYIDEIRDSRIPVRDSPAFLNIMLDFAITSPSLYAEYKPLFLDLYVKTILGSKDRPSQASAEAFKPLFLDMGHEDFKNIVVPSCIKMLKRNPEIVLQSIGYLLNTVRLDLSKYCMEFLPVVLHQARHSVEERRIIALSTVGTLSGKSSDPDTLLSMFNAIKAILGGSEGKLSIPYQRIGMINALEQLSRSPPKQISRLAPSLSSFLLTCYKDDGIEEVKLAILSALGSWASVSTETVQPDVVSFIAAGLKEKDTLRKGHLKLIRAICKKSDSLTKVTSLLDQLIQLSKTGFTKATQRLDGIYALFSVSRLAAIDTKAVILLNYTFPMQFSKLTDEDCLTIMDLLQSLFVEHHSRVQEFFSVQSLLQLLLYLVCHPCWEVRKMSFDATKRILSSSIGLAEDLLFLFTNWLSLVGEKMSILKQSDTDSTADSQLPFIPSTEVLVKCLLLIAPYAVGHSPISYSQLLLCSHHPCISSSDRSAGVWKRLQRRLKQQKIFFIELISPNISVICKELLSPNGLFSSNKQVQCAALNSLSTLMTITPSDAFLEFEKHFIGLPDRTLHDGFSENDIKILYTPEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDDQDTHFCIPSGTGNMLLVRCLVTKDSARSGAPTKSDRRESSSIGKRETGKSTKKTAPADKAKTAKEEARDLLLKEEASVREKIGHVQKNLSLMLDALGELAIANPIFTHGQLPSLVNYVEPLLSSPIVSDAAFRAMLNLARCTAPPLCNWAPEIAAAICVIAVDDFEMVMDLMPVIVEEDSNKKSSPGLFEQIVTGLTVACKAGPLPADSFTFVFPVLYHVLSTVPAYHPSVGPMLNELCLGLKSNDLAQALVGVYAKEVHVRLACLTAIKCIPSHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDVFTDYSGIFDALSHKNYNVRAAAAEALAAALDENLDKMQDTLSTLFSLYIRDLGAGVEFGDIHWLGRQGVALALHSLADVLGSKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAAILRQGLEDRASAKSREGALLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVRESAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPILLSALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIIGMGEEIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQIVLPAILDGLADENESVRDAALSAGHVFVEHYATSSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAHGRAIIDVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPDASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDETSATALDGLKQILSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVVLVIDEEGIETLIPELLKGVNDSQASMRHGSAYLIGFLFKNSKLYLADEAPDTMSTLITLLSDTDKATVSAALEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIIAKGGLALKPFLPQLQTTFVKCLQDNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSPVVRSRGCDLLKDLLQADADDVRSSAAKAIGTLCQYMEENETSDLVQTLLNMGTLPDWCTRHGALLTFCSISMHCSSKLCRSMSFPSIVDLLKDSLKDDKINNPALATHLSILGPAIAEALKDTNTPVRVAAERCALHVFQLTKGADNVTIAQKHLNMTGLEVRKIAKLPEESDGSESSDDDKRT >KN539441.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539441.1:19231:19725:1 gene:KN539441.1_FG006 transcript:KN539441.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRRARIVGAVLELYHGKLAIMPLSSKMEFCEFCVAWTGNRSKLDDKPRVAAASEPAAAEEKLRRVPLPWELFQPVLRIVAHCLLGPTNSDELKTQATRAAECMYWRAAETMDARSVLAARSLVRLSQMTEEPIPEPSFSGAVETNMAELEAMRANILSNKN >KN539441.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539441.1:103710:106314:-1 gene:KN539441.1_FG007 transcript:KN539441.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding VLCGSHLRGISEIYKANVTNVILENGKAHTCPAFKKMLEHLHHVVLNKFKSDLDQSLRSGGGFAASARYCAQSSMAKLNARSSFIDDECLNHSLVKHAVWDTTEVRGKLEHHIEAHATSVRDFPLLSRDKDSMPRTWKGNEDISAITREARLAALRLMSVMAANRLDNKPDKIDRTLTTALLDGRPLSRKRSIEFASDPIVSSTWEEVLALRFRKL >KN539441.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539441.1:40331:42141:1 gene:KN539441.1_FG008 transcript:KN539441.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRWGIGGRAAEETEPARFAFADALPAWPQGGGFATGRICVGELELAAVTAFEKICALSATKGGGGGVTFYRPDRKSCRGYGRTGAYFWLPVPTDGYRALGLLVTAEPDKPPLREVACARADLTDECEPHGSLLQLQLVGQSACWSSSTVPAAFALRGIRPTHRGMWGRGIGAGTFCCGAVGLSPREQGMACLKNVDLDLLAMPTLEQAHAVIRHYGPTLYFHPKEVYLPSSVSWFFKNGAALCKKGEDAAVELDGEGSHLPCGECNDGEYWIGLPDGKRGESIIYGDIDSAELYAHVKPAMGGTCTDVAMWVFCPFNGPARFKLGPITIPLGKTGQHIGDWEHFTLRVSNFTGELMAVYFSQHSGGRWVDASALEYTAGNKPAVYSSRNGHASYPFPGVYLQGSAALGIGIRNDAARSELAVDSSAKYRIVAAEYLGEGAVEEPRWLNFMRVWGPTVVYKSRQRMERMTSAMHRRLRSPAERMLNKLPNELSREEGPTGPKEKNNWEGDERW >KN539441.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539441.1:24014:25274:-1 gene:KN539441.1_FG009 transcript:KN539441.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATARPAPSWGLLDSVVRLHKVGERTEPDWAVIECSETRAYVTLYNWHDRPDGTSPFRLSPFDGLELLVRVADDPPYPTALSIRLHGDPDKDLKTPLFPPEKPAWFNADEEFSSQGRAFWVSLGKGVLFCDHQDLLSSSSDNVRFSYIALPLGCEVAFDPTFRTANPSKYRTMRCVGDSLRFVSIEGYTTVHSRDMVLCMWTLIIPSSSSSSSYSSGDQWRKAGEICVGRLREQEGFKNARLPTHRPPTKPMLSSHEDGVVYFMLSDRHKGEDAAKHIYVFSVNMFTGRFVSSWRLPSSCAPHSDPQFLMGSDILKHIKI >KN539441.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539441.1:2638:14850:-1 gene:KN539441.1_FG010 transcript:KN539441.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFHANPQLQLESKDLAELSIGEADAHQEVLKFLDHWGLINFHPFLPAGQEESKPEEAHGKSHSEEKASVLEQLFKFESVQSYMIPLPKKGEVETPAPLPSLLPDPALVEDVVSAAEPSVEYHCNSCSVDCSKKRYHCRTQADFDLCSDCYNEGKFDIGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKAQCMLHFLQMQIEDRFHGDEDINQNIQENTEQASAEKGTAEIPDKMEVEEKAEGKDTAGEKTPEKTEGNSVEAQTEDGNAIENKDANNSGGTDSVKSLNTDESKKTSDADPPKSSSDAEPVVKENSVDVDTSRENASNFAIDTLKSAFEAVGYFPEHEGSFADAGNPVMALASFLAGLVEDNTATNSCRSSLKAISEVSPALQLATRHCFILEDPPSDVKDMSGNASTTSTDGDKRKDKDKTQDSIDSEVEGINKKGETVLSVEGKKSSPISPKGQDTDKKDECDEDPSVDPKHNNGKESGDPVSLDKSVSNNKKGINSSKDSVTPLNIANQCGMITSQGFATGNTMETSNPEMIEDKASSEVNPADDSSLEGKVEMKKTKDAVANATTAQEQKQSQTLENGKMEEPKSTEDVAADEENSSRVTANLTDSITRLKRAAATAISAAAVKAKLLADHEEEQIRQLAALMIDKLYRKVEAKVSFLTEVEHLVQRTREYTEKTRKKLLMERNAIIAARMGSLPSRPNQPGAAGNRLPAGYGGPIKISECKNGDASDTVEAIKHEDDHLKVLAQERADDFVDASSSLNVGLATNNGDLSPHPVPVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPADADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >KN539441.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539441.1:75742:77159:-1 gene:KN539441.1_FG011 transcript:KN539441.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEELLLASHRAHGNRGMAMASLLDKYRRECGAAGLFAIGRHHNSKEGYCSSTNEDTSKSVEFYDFLQVNASSSDTKCGSSIEEQEDNRDDDQAEGQVQLIDFMEVGTTSRQ >KN539441.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539441.1:29230:31361:1 gene:KN539441.1_FG012 transcript:KN539441.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGTESSSTGGSSSTSTGDSPHCWSPGTNVQPGAGVGLNSICFASSVDGPARLGVAPFENGQYILGGDTPHILLRGAYGRYVAGTPLGADEGHIGILVTQRVLETMDTNVMWRTVPGPRGGGVVLINASSFNGGLRALRTNGKYQRWNTGVSLQCIDRFNARFSSMMEWEVQVIPTRVQRPPFQVGGAAWLCGLQRRGSGEIQVGVRVADDDGNFNILGPQNLSVPGRSLIELGSVLEDRLGSNFRFRNMSIFIRAGSLGQPFPLLTDLPSELDYFEVVVFMVGTPGEKLLTDELPHSVFCTLLFFCQK >KN541708.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541708.1:10500:21908:-1 gene:KN541708.1_FG001 transcript:KN541708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 31 [Source:Projected from Arabidopsis thaliana (AT2G29940) UniProtKB/Swiss-Prot;Acc:Q7PC88] MAFAAGGIDHHVAVDVEGEEESRRRARVLRHALATSELDNANLLHAIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVSVSGNTTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQAMVPSDAGDGRDVHINTDSNKNTIGEIYENNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPIVSVERTVYYRERAANMYSSFPYAAAQVYHGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVVSSAFYSLWNLLSGFLIPQSRIPGWWIWFYYICPVSWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRS >KN539439.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539439.1:51945:59100:-1 gene:KN539439.1_FG001 transcript:KN539439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRPSALAPAAGGRGEEAPKQAQRGKRGRSDEDTLDRCIDGHMGRAFPCRPRVQILCEELRGDSRASGVPFGRFEIILRDVDQITMQMRNNDVLKSVLDMQLLYLQVHTHDVTGGHKGIQDTSVLSLFGVLRHLTKGDKDEGDIQRFEDNLSNIRGPNVDFDKPPRATLPTEICTDKSGQEAAACRHDIPRGPKNPRRKKAARASSASSFLSRSRSSSFVTACGDFSELRGGLRVA >KN539439.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539439.1:93891:96288:-1 gene:KN539439.1_FG002 transcript:KN539439.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATILFVGVLLMSCCFVLISSASGNGTIRPVFSMNQARKCGAMGGTARCKEIVDGYIIEDGSIFQQSADEFVAPASMSLLPREVLGEAGVPLPLGM >KN539439.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539439.1:21972:22268:1 gene:KN539439.1_FG003 transcript:KN539439.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTDTSATALEWAMMHLVLDAGVQDKLYGEVVSKVGTTARITEADVEAMPYLQLHILVSNLIGQF >KN539439.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539439.1:67308:67972:1 gene:KN539439.1_FG004 transcript:KN539439.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSCADYLTNSSAQTPPATCCEGFKSLVSTAPICLCHGINGDLSKFLPLPVDMMKMMTLPNTCGATVPLQTFSMCNTPSVPPLMPSAAPAPASPPPS >KN539439.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539439.1:81490:83120:1 gene:KN539439.1_FG005 transcript:KN539439.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDGPGANGSRWAKMMSTDSWRWCLGLIYIVAVASIWIAASYIVQSVVDEGVSPFLITYICNSLFVIYIPIVEAARYFEDSISNFWTKLKGKDVADLEQSADLESINLLHGSEQEGNTASPTRLPEDILASEAVFPVQAELNVADGSKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLISVLLCMGGTIIVSLADSGSAANTIATNPLLGDVLSIVSAGLYAVYITLIRKKLPDEKEVLNFTKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLDYIGAAAVLVGFAGINIPVGTPQGSQQEQETPIVSMVDDPVHLPIDRNATVMVDDPVHSPIDRNATGAVQ >KN539439.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539439.1:19772:20521:1 gene:KN539439.1_FG006 transcript:KN539439.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAPGEAYVDSLFDLEPPGRGMRLGEDELVTLCSEVMSAGTDTSATALEWAMMHLVLDAGVQDKLYGEVVSKVGTTARITEADVEAMPYLQLDPELQTKIAVTN >KN539439.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539439.1:100753:101429:-1 gene:KN539439.1_FG007 transcript:KN539439.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPCADYLTNSSAQTPPATCCEGFKSLVSTAPICLCHGINGDLSKFLPLPVDMMKMMTLPNTCGATVPLQTFSMCNTPSVPPLMPQNPSAAAPAPGVSP >KN539439.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539439.1:74090:78309:1 gene:KN539439.1_FG008 transcript:KN539439.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLTLVVVAVAVAVVVGGGGGVEGWVLKEGFYEQSCPRAEALVKHYVEQHVPLAPSVAATLIRTHFHDCFVRGGPFWRVATGRRDGRVSIKQEALDEIPAPTMNFTDLLSSFQSKGLDLADLIWLSGAHTIGIAHCNSFSKRLYNFTGKGGPGDADPSLDAEYAANLRRSKCATPSDNTTIVEMDPGSFLTFDLGYYRGLLKHRGLFQSDAALVTDAAAEANIASVVSSPPEVFFQVFARSMVKLGMVGVKTGSEGEIRKHCALVNDIHY >KN539439.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539439.1:37626:46633:-1 gene:KN539439.1_FG009 transcript:KN539439.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASAKDHEPDREIMRALAISSPIDPAENNVAFDLDRTLSTAMDRLAKAREAALFHSHHIMSHMSAKIIPAVVVDMLDISKREGAATAKKQAKKALVERFGYSARAHLTHAKISLDFARGLDPNIDKKPFLNSILDALNNLVEDFSNSLEIAMFRAKLWFVLGKYCSVEVECGRAICMEEPTDPREEDVPPGSIPGEKPEDRKSYIRTELKRLLQKLVLVCRDYWCSLASEKQDSFRLVGLKFLHLHFVTFYQDDHEAAKTISDALNFVKKNKSWRFWICPYCVGKKIPDIDSLLQHMRSKHPEGGFWTKLLQVLDPESISDTYQGDHFSDNATICQDSEENYVLHFKRMDDIFKYLFLRAVDNIEEKPFSQIREEKCRKGVFILEKIKLKLNNVPTDISSSEFNEACAEIRDLWHYFLEICLMDYRVAISPLAMCFISDQLLTCMTEDKEAASNSIDVAAINAVFPFVDICPDIDAIFPNVDDAPDGNDADTSTSVTPGQSAEEMPSVDVPNKENKDKDMFILHAIIQSLWNLRCFRDEILRAPPATILHIKENFCIADLFYGIFFAWENNEHNGVDVLLTSLKVNLCKIANDNMFQKAGKGIASEVVATILQALHMSETPLHFYFNSEIEEREIKSFAELPVLYDEQLCFGDNCKHCGSPKNVQLSEVLVGIAHPIDIKLLCKGVHSSAKYSLASMISYANGRYFCFARDQDKWLISDAETIEAEDSWEQLLERFRDCRLQPEVGPICHCKVGLVIPSPTRYGLKSEEGGGWRRRRRMSEGRPVPRRESPWGLPEGDKREPKAHRCNDRAEDVVQAAGGISMFDPKTMFRNGLDGNQILMLTQDEISLNELPLISTSMLVPLTLNLPGSKIFILSGIFSKEFSSVISVCYACFEGNPFKTVPGPFKLFWQCMRSKPGSSTLRTNMIDKVHRNYLIEEDFKEWPQDAHDRADHYIVPTVGYVLLFMLLLVGCSSTVGPSAA >KN539439.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539439.1:8462:13637:1 gene:KN539439.1_FG010 transcript:KN539439.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAAAAVLVVLWLALAVGDVNADRTVLAGTTLSLISQDTNCSGFLGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRSTMSDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGGVAALSDALALSRARVSYKAAIPPNSNAATINDVLFRANMMESRVFVVHVNPDAGMRIFSIANKLRMMGSGYVWIVTDWLAAVLDSSMSGDLKTMSYMQGLIVLRQHFPDSETKREFISKWNNVARNRSIASGLNSYGFYAYDSVWIVARAIDQLLDSGEQINFSADPRLHDSMNSTLRLSTLKLFDSGEQLLQQLLLTNFTGLTGRVQFDSDRNLVRPAYDILNIGGSVPHLIGYWSNYSGLSVAAPEILYEKPPNTSTSAQRLKNVVWPGNSASKPKGWVFPNNGQPLRVGVPNKPSFKELVSRDTGPDNVTGYCIEIFNAAIKLLPYPVPCQFIVIGDGLKNPNYDDIINMVAVNSLDAAVGDFAIVRNRTKIAEFSQPYIESGLVIVVPGICVNINSLVSQQCSLHTAGKFTRNYLIEELNVPESHLVPLNTIDEYADALNRGPKDGGVAAIVDEMPYIEIFLSYHCNFRIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSESGQLQRIHDEWFSRSSCSSDDSEMGATRLGLGSFWGLFLMCALICVFALVMFFARVCWQYSKYSGSEEPDEPKDDSAGTAEIAAEAVAEMQRRRPKRLGSFKELMQFVDKKEEEVRKSMKRRPGEKDNQGVGSSDAQSVA >KN539439.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539439.1:87649:90119:-1 gene:KN539439.1_FG011 transcript:KN539439.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLFVGVLLTCFLLLSFASGNGSRVFSTIQAGKCIGAMGGTAVCKELVNGYYINHEKGNERAGYITNTYEVRYGFIATMDVYGFSLSPGQLVSYGSVWIITDNGDAPSSSLEVFQIGWRVKPGDERPVFDLYCKTSDPSASSTDPSHMDKDCPGFQPERGARIRPGDPIPGISQPNGAKQYITLKVFKDMVSGDWLVHYGFNNKDPELIGRIPMSFFKSLSYSAINIWFGGIVVTNVTFQPTPLPLPMGSGYMAVDDGNMAASMKNLQFIDQQGRAWSAENNLIGFSTNENIYTFTPIVGDQFFYGGPFRQASLGAMLRTHLIYSFLLLFFIYYLF >KN539439.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539439.1:103766:106571:-1 gene:KN539439.1_FG012 transcript:KN539439.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding ELQKRIDKVVEYIAKNGPEFEVVIRDKQHDNPDYAFIFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPPLGPMMRGPPMHQPGYPPFYDQHQHFGAHGHGEYDAAPPQSFKGLSGPLPVDVAAELHDVLTNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFESLQRRTNARDLDNEALAFKSVLGSMLARIYNNPQSKDDNQIRLEKILQFWGSKEVYDQETIANLERDMKGGVAYPLPPRHVSPDPSTFSGSVHQPSKWSSDPQEEMATHPLSVPPQPVPSAQFPLNQLPAGVYPPVGQTAFPGSLPVQTPTVLPQTAATPAITNDTNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTIPESEILERVSKFFKEIGEVNPSEGPMKQNEPDDYDNYERDIPARKGGACIPPPPNLLVNPETGMRADGSVDSKPGSTGRLGLGASADPNEIGQYDDVYSSYRKQRSSTYHSSISARSLAPK >KN539439.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539439.1:49785:50805:-1 gene:KN539439.1_FG013 transcript:KN539439.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVATVSVGLAWLGLMVAAASATQFRVGGGRGWSVPDANAEPYNSWAGRMRFQIGDQLL >KN543411.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543411.1:834:1993:-1 gene:KN543411.1_FG001 transcript:KN543411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHVVAAILLAVAVAASSGNTITLGAGYVAGVTGNDFKTQVTGVLYHEVVHVWQWGLQDYGAHSWVYEGIADFVRLRAGYPAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADLNAKLKNGYNVDYFVQITGKTVQQLWQDYKAKYGN >KN545059.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545059.1:43:1371:-1 gene:KN545059.1_FG001 transcript:KN545059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGICGLHQLKYLSLGFNMIQGVINPCLGKLQHLVYLDMGSNFLTGEIGQNLLSNLTQVEEVHLGDNNLTGTFDFSSLANNSELHSIVLSNNCKLEIETELVRWTPLFQLEYLNLSNTIVNKRSNGIIPTFLSSQLSLSGIDLSICSLQGRIPSWMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSDNRISMKMPYNFGSLFPYLKYLDMSSNMLHGGVPSLAEAASSLQVLDLSFNMLNGEISPELIGNTSILTSLLLSHNDLTGPMPPFHWSLGQLTHLNVENNQLSGHLPPLLTNCTNLENLNVRNNRLSGVIPVGLLSFEKLGALLLGGNQFHGVIPWDICLNNYIHFIDLSNNWFSGEIPGCLYSVFWSELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGPIPSSV >KN543590.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543590.1:521:1870:1 gene:KN543590.1_FG001 transcript:KN543590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDPTGWRGYKSDAAGLTAALIPLLEFMEMNVQARYGINPDKEVLEIPQAESKSKQAARHGRAQSSSVLNVVG >KN538910.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538910.1:85272:93568:-1 gene:KN538910.1_FG001 transcript:KN538910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGMQRRALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCIAQGVATVMASYTQWKGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWHGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYSVVVVGEVPYAEWLGDRTDLSIPINGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPITARDASHDPLFPFGYGLKMFRGRSTINNRIGQAMIGPFVVSLKSVYQKLKLQKIRARSVLSVPGAKEIIWRKLRCSRREEEHPYASTVRFKGKGGHSFALIHIDDNTTPIWSFGVQVQQQLGVSQKSQWILGPSLHFGKSKQIFVVRREKEGETESRISATGLSTSSPGSIIRPFAE >KN538910.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538910.1:129229:129765:-1 gene:KN538910.1_FG002 transcript:KN538910.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHRLAVRLVLKENQDLVFTRQPHERGLHDNALKSGNDARSAIVAGPTKIGPGFHPPPATCQFTADVPLLHHHPTSIDARHRCTGASTDQPPLSTLASSSRYASLLVTPPRAAPQRPPAVTSHASKPTSLPAIAGRASRTSTPPRHPRQASCSRQHHHVSLPLPSARPTPSTASHYC >KN538910.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538910.1:35462:37436:-1 gene:KN538910.1_FG003 transcript:KN538910.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESDEITPVVEPILVVDTSGEMETEANGGREAKAMKDHIDLECSQAKKPQPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQDAAGSKAAAAAMPLPEKPSKPSFLRRSRLRGEACSEFAGGQNGRSTDDRDRRIEAAATAILQILNWCPSHGEEGPTTRTVPNSEFV >KN538910.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538910.1:94964:95331:1 gene:KN538910.1_FG004 transcript:KN538910.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGDKAPDRHGNLGYPVEVSKGDTGVGAKERGESSRGITGSSCRSARLSSTPTESSGCSVGSTSLPSLALAPAPHEQPAAQAPPATPASKLMLLQQSDIFLGVSKG >KN538910.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538910.1:118501:119958:1 gene:KN538910.1_FG005 transcript:KN538910.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCHYIQKGLFFPPPGVPIASLVSSLVRALSRALAVFPALAGRLVTLDDGRIVIRCDGVAVEFYHAVAPALSLGDFLVPNADVPTRLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGTPVQPPDLRRNFFGDSTAVIRFPGGAGPAVTFDADAPLRERVLHFSAAAIRELKAKVNQWTRSDKFAEANGKHVDETKAHGGYREISSFQSLCAHIWRAVTRSRRLLAADATTTFRMAVNCRHRLRPAISPLYFGNAIQSVATTATVAELASSDLRWAAARLNATVVAHEDGAIRRAAAEWEGAGRVDCSSRWTHARCCAGLVYACKNI >KN538910.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538910.1:137197:141290:-1 gene:KN538910.1_FG006 transcript:KN538910.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKPIEQCSTEGRSQQTVASDLDGTLLLSRSAFPYYLLVALEAGGPLRAVALLMSVPFVYLTYVTISESLAVRALLYIAVAGLEVRDVESVARSVLPRFYAGDVHPEGWRVFSSFGRRCVVTASPRVMVEPFARAFLGADRVIGTELEVGEDGRATGFVAKPGVLIREHKRNAVVREFGDALPDVGMGDRESDFDFMAICKVPINTVCPLFAELTDRIVPVAIATRETMFHGSTARGFKGMDPCFFFMNPRPAYEVTFLSQLPTELTSGGGGKSPVEVANYVQKALAGQLGSEHIGITRKEK >KN538910.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538910.1:20208:23461:-1 gene:KN538910.1_FG007 transcript:KN538910.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSCVSVKPAAAASPVRYRSARVGAAGLEPRGLRVSCSSSSSSSSSLAAGGGDGCRDAGCASSSGRGSGVVGSVGDGWWGRRGGQRERAVAAMCSAGMEGGAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSVALVRKIMQSIGFIGPGISLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDLIFD >KN538910.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538910.1:162150:175607:1 gene:KN538910.1_FG008 transcript:KN538910.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G55325) UniProtKB/Swiss-Prot;Acc:F4I096] MPQFDFWGELQNVSWFQFLPVEPDPSTASERSSKAEQKDALNNIVLSAHLHLQSEGFLSTWTNSFVGPWDPSQGEHNPVVSNGLWVAPGNLEEVAAALCQALRNSLERRAQPTIEFVFAATEEAIFVHVIISARYMRNLCSDDIEKVLTHSPRSVGEGLPVIVAPSGMLGRLVGCCPSDLVRQVYSSKLSAATLPGFTQPTMCQLRGQSYYVEVALGFPAATTDKVPESENNQIKKELDSAKDPQLSSDEHQKLESADSVPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMCSQACVGNSSWEGWPFWNFSPSSYFRNSSCLGSSRGLGVNSNFLRLRRQKNSNYSSMASSISSVSSTSNGSEHAVAAEGGDLSADADSMACHQSDLPSNIAGSKMVSKRPRSEIPEVSSRAGKESVDNNQGVNGQGRCSWGWDEEGVAMDINLLISEFGDFSDFFQEEELDFGEPPGTAESHSLVIPGSDCGDATFTDSPSTAMDIPEQRFSPVGLSSLEVFNHQTVAPIHDVVSKVQEPQKDIASPMSSQSVVLSSGRSSHLTRAEALLTYAPEYAAIEISTGETPTSLFTNPYQPRSIKPGSSSFNSRVYSYDAAQSSQMESGEDKPEKSVRLASGNLSRDIGSSNLYTVVQVGKKESDKGLKNTGIQSGKEEASRPISGETSLDSSVVSQRKSDGMFNAGYFLLSMKTALATEMECIKFQAAMCRIRHTLLSLSSKASAELKSALSSLVHTDVSNKLDLVPKYDIKRKENIPARLSIDVDHEVYDRSLENVGVWKPVGTPKGPTHLESFSAKTYTGTSQGLPVKRQPIVDLLSAMALIVQQSTSFVDIALDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGILGTCHSKDCAGVDLVDPLSAEVSDSSVISLLQSDIKAALKTAFANMDGPLLVTDWCRGRSNASESASMGDAYSFQHPTGDIRESSSSISIGGDSMSPPQSSHVISNDRGTSELEHHRGYHRVRPTVAVLPLPSLLVGYQDDWLKTSANCLSLWEKAPLEPYASPKPVTYYALCPDIEMLTSAATDFFLQLGTIYEVCKLGTHSPQNSGGQMELSPGKYLSSGLVLIECPDQVKIGNGHSSSISSTSEYLQALSRNWTVKSFVTSLTRVIKDIKLNSSILANQKESTSGPCTANSGNMITLIIHAERERKSFLYSQVAKALNCNASVDESSASNVVMLSGFSIPKLVLQIVTVETLLRLHKPNNELAVLKDMAFTVYNKARRIPKAISTSDMFQSPAYMGRSQSTMMHATSPGPTLWKECLVPRMSGSTLSRETEFDASMRSSVTWDNSWPGRAGGFMDPNKIPDVCVQDDRKYAFEPLFILAEPGSVDYSSGMESSKSGVDASGSGIYSSISGGGSDSGASALLEGSDNDNAASLHCCYGWTEDWRWLVCIWTDSKGELLDSLIFPFGGISSRQDTKVLQSLFIQILQHGCQIMSSAPESSNTRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPALQQQDMGLMQDRNMPSSPSPLYSPHAKSSFMKGALGQSGNKKQILVEQAGMDSSKGSLHLVRSISLVAISQDHSLHLTCQADLLTRPTPGEGNQTSSGPSSYLEGFTPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRKDSARLANEDRPSVLSVSIIDHYGGSIAAVQEKMSRGSGKQTRNFTQEAAGRDHETEMHNVLEAVAAELHSLSWMTVSPVYMERRSALPSHCDMVLRLRRLLHYADRHLSQSTEKGDVA >KN538910.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538910.1:153820:154926:1 gene:KN538910.1_FG009 transcript:KN538910.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVRELQSFALQQMREAMLGDDLQGVLARVHGEMHASFVWLFQHIFAGTPALMQPAPPAGTGAGMAEDEQAIWERMVAEASSMQENARAEELSDPDVLGNLVAPVEAEIETEGHAEYTRTEQRYELAVSEEPNNPLILANFAQFLYLVQNDHDRAEQYFERAVRAEPADAEALSRYATFLWKARNDLAAAEDTYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCFPLD >KN538910.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538910.1:102642:104561:-1 gene:KN538910.1_FG010 transcript:KN538910.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDDDLAALVRARGHMLQELKLDKCSGFSTDALRLVARSCRSLRTLFLEECSIADNGTEWLHDLAVNNPVLETLNFHMTELTVVPADLELLAKKCKSLISLKISDCDFSDLIGFFRMAASLQEFAGGAFLEQGELTKYGNVKFPSRLCSLGLTYMGTNEMPIIFPFSALLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTTVAVGCRELEYIAAYVSDITNGALESIGTFCKKLCDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLGYIGQYSGIIQYMLLGNVGETDDGLIRFALGCENLRKLELRSCCFSEQALARAIRSMPSLRYVWVQGYKASKTGHDLMLMARPFWNIEFTPPSSENANRMREDGEPCVDSQAQILAYYSLAGKRSDCPRSVVPLYPA >KN538910.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538910.1:52756:53571:-1 gene:KN538910.1_FG011 transcript:KN538910.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQTVHAALLPSTTTTTRRRASPGRLPRRSPIRARAGSSETSSPRGRENWRVQEALARVAEIQVLKVRVASFLDDCSESLLWLAENADAELDATAQDGLRIMERLYCKLGRFDDAQAEEKWMDLIGLGIDEGASVESIGEEAKHEHVLVARESGRYRTRRNVYLGVMGLIWVGLMQTTVFAAPNVELDKFAAPCLIFLAHIVQVCFKEETDKKQVCVNHETQISGRQR >KN538910.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538910.1:17584:19191:1 gene:KN538910.1_FG012 transcript:KN538910.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPSKPPRSDPSDAGEGGADADAEVGDDLPELRRLRDLEAKPQKLVLDGGDVNGEEYVETQYYNGLNCIDKQHHTTGTGFIKVERPNGSSFNVTTVAYSSDSIVRCTSNPATNDWIPSSETVIPVSNKPSRSDS >KN538910.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538910.1:122786:123450:-1 gene:KN538910.1_FG013 transcript:KN538910.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASISCAWTTNGGASTSQTTGLERVEVRRAAAAPAPDNGSSDKEEAARPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEQAALAYDQAALSLGAGAAASESPVLALKRRHRIRKRSTTKKAPAGKEADEAPATTSSEGKKKRQVNTTSSHRHGKQDQTSSPCVLELEDLGTDYLEELLALSEEQPETPPAIADY >KN538910.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538910.1:186115:190956:1 gene:KN538910.1_FG014 transcript:KN538910.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGDQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLARALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLMVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >KN538910.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538910.1:147945:148304:1 gene:KN538910.1_FG015 transcript:KN538910.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELAAVARPWLSPASCPCPQALGFSPPPAPGTNPTRWPSGARRSRQPRPPDNRRWRRNPGRRQRRRFTSQARERGMVEDGATEGQGWQRPPPSLQLDDTRRFGGAKATAVMAFRFP >KN538910.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538910.1:79604:81972:1 gene:KN538910.1_FG016 transcript:KN538910.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDHGNPSPSPPPSVLTAHSSSSAAAVSEQFGVLVDGKPPPPPPLGRGAGHHHFMPHPYAQPTETPMEGNNGAPHHHKKGGHGKTVFAAVAGFHLAQHLQLAPGCLHAQIHPQGKCHWWLTARHRGKRREGNRRQLRWLPLGASLGLAQRPCASVKKRGDASLERVNKGGAG >KN540518.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540518.1:6060:7873:1 gene:KN540518.1_FG001 transcript:KN540518.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRDLAYVADDCVKLYRRDLAPPEPGFWPWLCHIPTYVSTMPTRHRLAKKIHKLKERVREVGERRQRYDVKLPDNQQGGDNRDDDDDESLVADGDDERRKLLLEDGLRALDVDGGQGQQQQIIEVENAIIGMLPKDFVSKDDIHKIVMRCLKESDLGLVPKDQQQQQGGGRRLQDGGDDSMEEAGERCFDELVSRGFISPAPPFPPAGLKIKSCIVDPSVKTFISNISKSDNFIDDLPTHLQHQIDIRKLAQKPQPQQHKPWWWCPLPTCINCIHDDDGGKPLPPMDERVKLLKKLPEEYRLNVLDLGGCTGLTMRHLTSICELVPSLKYLSLRKTNVFWLPSQMSNLLHLETLDIRDTRVQAKAMRNIFLQELRHLLAGGGSNIASDAATQLSTVKIPKKIGKNTEILRHVRISHDRRKAKAELRHVGSLKQLRKLGIVLSGSQDNMVNLLAAITMRSEFLRSLSVLITELPPMDMSAGHSDGGFVTLDTSDDAPLRPPPEKLQSLNLMCFRGNSAKGHIPQ >KN542672.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542672.1:808:7100:1 gene:KN542672.1_FG001 transcript:KN542672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGKDASETRRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGLQNYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWCPPPLPSEHVQQLKSLGLL >KN540518.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540518.1:42455:42922:-1 gene:KN540518.1_FG002 transcript:KN540518.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSNQSGPQRPDRTALRGPRGFGVENSERHQLYVLQTDGIQQSIEKKRQYFTHELEQPPFLDAINLLPYGHRSIVLRRTCSLYIDHRPAATVDDDDDIIKKCLQQLGVDSMKKMLASCFVLCMHIVLYMGPRNWRELVPEAAATAGSTMWRPRR >KN540518.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540518.1:38104:40653:1 gene:KN540518.1_FG003 transcript:KN540518.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLGAVGSLLGALGKAIKEETTLLSGVEGDIQFIKDEMDSMNGFLVHVTKTTNHDDQLRAWMKQVRDITYVADDCIKLYMRDVVLEEKAGLCGCLLRRVPRVCKPYCRCLQYRNVKTRDQLARRIHELKDRVREIGERRKRYDVKLPEGDVAVPPSVSQQDSKMKERRDEFVCALEDGQPPFRDAVRRLSRDGTLIRHRADPALVHGIIKMLDDGAHAHIIKMLLRSLYAHPYGTKELESLSNNLKERAHVAKEVMLFCYSKLSVHYKSCLQYLTTFEDEESVSRTCLVRRWLAEGLVSKDQHHGLDEDDTSMEEAGERCFDELLFRGFLSPAPGHHFPRSGGLKLKCCVLDASVKKFINDMSRSENFVADMPTHLRHQLDIRRWARRQELPQEQHKPRWTQSTICCCYCPVPRIIKATTADHGRGSNINDPLLQLHHPMDEIVTLLGGLPTEYRLNVLDLGGCVGLKMSHLENICKMVPSLKYLSLRKTNVSQLPKKMNKLLHLETLDIRDTNIRGAAMRGIFLSELKHLLVGRNIIPDASHRADEAEALLSTVLMPPKIGSKMEILRHVQITDGQEAYHQLQRVASRERLRKLGVVLDGREDNIKLLLKTIARRSDTLRSLSVWITAPPPEHIVIGEGGGVFVTLDSNEKPGDSASLFSLPSKLESLNLKCYKRKNNNTGYNIPPWIIRLQKLSKITLRHSLLNREGLRELGKMKSLRCLKLCHESYIEAEVTVKKGEFEGLRLLQVDKISNKMTKLVFEEDAAPKLEKIVWNFDTMTTLMGITVNNIKGIENLQNLKELWINGVNISIPSPSRSREWKGITTVTRNLWGNILGPVIEGLASEG >KN540518.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540518.1:21116:25575:1 gene:KN540518.1_FG004 transcript:KN540518.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNSAVDGLLGLLTKAVKDEAKLLKGVEGDIQFIKDEMDSMNGFLMHLTKTTTSHDDQVRAWMKQVRDIAYVADDNIKLYMRDLQPPEEGFLAWLRHKPKYILTMRTRRRVAKDIKELKERVREVGKRRLRYGVDLPKAGDAVPPPPSDDQDDDDKMREDFRRALRGEPPPPPPEQHASFVISWAPPFLKKKAAAAVAPPLSPIENAINKISCSDAAINEALKDYLLKKTASSAMKMLLCTLYAYPYRANKKAVDDLLKKLEEDKGRRAAWDVMLLSYSMLSTPYKSCLQYLTTFDKEKSISRTRLSDLADAPSQQDLRAGALAQEKCISRTCLVRRWVAEGLLDAAATGGGRGTGVGEGISLEEAGERCFAELVFLGFLSPAAPAAPTGLKLKSCVVPDGVKEFISSMSKNENFVSNLPTHLQHQVEIRRFAGQDLPPTLLLLQQQRRPWRRRLSTVCGPMVLDDDGRKVVHPVDQMVDQVLKKLPQEYRLNVIDLGGCQTLRTRHLKKICELVPSLRYLSLRKTNVHRLPKQMRDLLHLETLDIRDTNVLPATLRHIVLNDLKHLLAGVVSTDAIATVFVPRRIGLKAEVLKHVQIQDGGDELRLRKLGVVLDGREDNMARLYRVIGRRSDTLRSLSVWITAPSMAAGNGGFVTLGSKRDDDDDGNNTGSGAPSSVVLPEKLESLNLKCFKGNKFKPAGYSIPHCIQGHQYLSKITLRHSLLNKEGLRELGKLKSLRCLKLRHESYMETEVTLSEGEFLDLRLLVLDQVSVKMTKLVFEARAAPKLEKIVWNLDKTTTSVEIAADNMSGIDNLTGLKELKINDVAYPKPFPFSTTSPASK >KN540518.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540518.1:44239:45447:1 gene:KN540518.1_FG005 transcript:KN540518.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYQIIANQTQLLQEIAHNLTFIQQQLLLPQPKVNPDKSKDKAAEIQGTQSVEGTDTNKEKNIEFLNVMITGMKTPPRAHDCPNFQKSIDKSLLQENNTVKEGSGHKRKTSPSEVDMQDVPQCQTMQPCQHIPKYPTLKSKKIRHVPGLICFICHEIGHYMRHCPQKPYMDALLQANMSTSRMPFYPQGSPNSQNVNAVRPTSPDDKKAKVSCDMCQDIQENKEMQDYKKRKVSSVEIQERESSRQGKNPPVQYNQGASQYSNISGSMSRSTVSEPCQQPTVINSNNHEGSNPISCPPPSKRRGYKAGVECFICHEMGHYSWYCPQKVKSKKVQPTTSLPNVSGPKSSKPPNNGSASLTSPPVEQNRLNQVQEETNGKVMNLEQVERADEEQVPQATTEPQ >AMDW01039701.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039701.1:395:1028:-1 gene:AMDW01039701.1_FG001 transcript:AMDW01039701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPSQFMTYMRTREIQAIS >AMDW01039681.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039681.1:1:854:1 gene:AMDW01039681.1_FG001 transcript:AMDW01039681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPLSDAHLAAAVSSLPDPDLAVALLSWSQSPDHHVALQDPTPLAHSALLRLLARSRRFDAVDDTLQSMSLAGAAPTRACLGALVAAYADAGMLGKATEMCERVREQYGSLPEVTHCNRLLKLLVEQRRWDDARYGDLEADVCKWM >AMDW01038796.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038796.1:180:749:1 gene:AMDW01038796.1_FG001 transcript:AMDW01038796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDAPSWKTKTSNSESRFSFARQDNQGSFLDSSMRNYKSEQNFSLPSQNSHGNIYQSGIAFQSPEEGFSKSNSLTMLDMLATGE >AMDW01034911.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034911.1:9:464:1 gene:AMDW01034911.1_FG001 transcript:AMDW01034911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKADDDIFLRLPRLLGSLGAMPREDAYYGATIPCDSMDPFREYMSGMAYALSWDVVEWVATSDVPRNRTVGPEDRMTGQWLRLGGRGKNRFNAKPAMYDYPLPAPVDKCSHEFIPDTIAVHRLKDNPRWAETLKYFNFTKGLEPSKFYKFN >AMDW01032872.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032872.1:70:360:-1 gene:AMDW01032872.1_FG001 transcript:AMDW01032872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALMTLPSRLINATLASGKIVFCYNPAPMTTISPIFYTSKVVKYAKEAGAKGIILATYAFDMLDAFEICGSMPCVLVDFDVATGLYYALVQNTELVVK >AMDW01042168.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01042168.1:227:959:-1 gene:AMDW01042168.1_FG001 transcript:AMDW01042168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLAPEYATTGKVNDRSDVFSFGVVLLELITGKRPVISTEPFNDETLVSWARPLLTKALEQHVYDDLIDPKLDALYDAHDMHRLISCAAAAVRHTARSRPRMTQ >AMDW01040540.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040540.1:636:1160:-1 gene:AMDW01040540.1_FG001 transcript:AMDW01040540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PWGTYAFDTNSIKWHKVDDKRLPFTGCAAPHGSVFLGLSKDNGPINAYRINVTTSDKEYDPCLSIVVLPVKYMEHEVDAGSCFFSLEDGLFCSLRFSLDSSSVIRSKNLEVFPTKAHVDLRTYQTENTSPLEAPEETLLAVKPEVTVCSQWEQAFKISCSSHGFSPFAFALLSI >AMDW01039689.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039689.1:52:236:-1 gene:AMDW01039689.1_FG001 transcript:AMDW01039689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCGVALLMLLLAIEVRGGGYGEEEK >AMDW01035759.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035759.1:44:590:1 gene:AMDW01035759.1_FG001 transcript:AMDW01035759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGPVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKKAILAVTFGVFFGGIAILVLLAHLLTLLRSRSFLSKNRRYSNDGTEAPSSNLNSEQPLVMVPQGKGEQTKLTFTDLLKATKNFDKENII >AMDW01040545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040545.1:66:1324:1 gene:AMDW01040545.1_FG001 transcript:AMDW01040545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMLDSNIGMISMVVHYIVLLPLVDTSLLTYASEASRGGFNRISSLPDVHLHDIVSHLPAKDSPLTKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKSHRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERVSRTPPFSLFVFLSILAAAKIVLQGSISLVSFRLAILVAE >KN538726.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538726.1:323372:327301:1 gene:KN538726.1_FG001 transcript:KN538726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSFSRPSQDQQKSCLSAAGGFNYDAPLHGASRPKSVAKLTDQDTEASDKALVERGFFVNRSRVLVGSGTTTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQISYVTDGNGGNSSGHGKGGVFAYGSGTLQGHLLAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYVQLRQRHFAHQSGQALLRHVASRSRDTRFPWFVTKSKGVRRNRRPTLVGMSPFDPDAQTQVINGGETKPIGIIGFGCYPPGTVAPAMSASPEFYRPSPPAFSPSCAAGTTSTTEVDEYSCCRTPTPGVREPATCPPAPRKPRPVACRKLLFDPAQQQGKAISLRLDELERLFRPITNNANLHLQTNKPTHT >KN538726.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538726.1:286994:291690:1 gene:KN538726.1_FG002 transcript:KN538726.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEARAGVQVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEYVGLLPFKDDLRHDSADALNGLISLGLDIIVLTAVQISREIFQMMKGYMIYTVSSTVHLFGVHAILLLWNFDLPSFLTLVIAAFNYCRGQCKIERKSLMDTDEEIRAALFLQMSIVNQAVALFAHSDDCCHIRCPGPVVTFAFIFTQMIPIISSDVSCQVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLLVLVLILHASSCKRMQLYPLSCHSGMYDLVGLEAIQLDASTGVCLAA >KN538726.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538726.1:248881:253126:-1 gene:KN538726.1_FG003 transcript:KN538726.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLTSRGALPLSPPLAGQRRPHLSLSTPSLPIKNHTYSVPPPFSKAHCAIGCRASLATNYMETSAVADLDWENLSFGLVQTDFMYIAKCGPDGNFSKGEMVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENAIRMRNGAERMCMPAPTLEQFVGAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIVEENFHRAAPGGTGGVKTIGNYASVLKAQRIAKQKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSILEVAQRKGFKVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYLGERVEYGNQGVGVVSQQLYTSLTSLQMGHVDDCMGWTVELNQ >KN538726.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538726.1:358007:358399:-1 gene:KN538726.1_FG004 transcript:KN538726.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMKPPAAAALLMIMVVVACSNYMISGAGAQPSPGFYPSKTIRSMAFGEGYDNLWGGQHQTVSPDQTALTVWMDRSSGSGFKSKRSYRNGYFGASIKVPSGYTAGVNTAFYVRLVVFSRSIVLPATRHC >KN538726.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538726.1:244077:248136:-1 gene:KN538726.1_FG005 transcript:KN538726.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKACDIASISVLPPRRTGGSSGASASASVAVAVASQPRSQPLSQSQQSFSQGAAASLLHSQSQFSQVSLDDNLLTLLPSPTRDQRFGLHDDSSKRMSSLPASSASCTREESQLQLAKVPSNPMHRWNPSIADTRCQATNEDVERKFQHLASSVHKMGMVVDSVQSDVMQLNRAMKEASLDSGSIRQKIALLESSLQQIGVVRAIRSVNSRPAAMQMMAVCKFMTQDQSYQVPVSNGWTQINQIPVAAGRSPMNRAPVAAGRLSAHLVYPAKVTDLKPKVEQGKVKAAPQKPFASSYYRVAPKQEEVAIRKVNTQVPAKKAPVSIIIESDDDSEGRASCVILKTETGSKEWKVTKQGTEEGLEILRRARKRRRREMQSIMLAS >KN538726.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538726.1:344266:348650:1 gene:KN538726.1_FG006 transcript:KN538726.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEFLDDDLFDVEVNYSDEEEEEEEESDEEESEEEEEDDEPEEGVPGQESIGEGCGPALQARVSGGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVTKHEKLIEEMNERLIELTSAQKRQILSEQRLMNVGSSKRQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGHLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTHGNQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRAKVETSEDATASQVYLSQTPTPSGGGKLGYHSLIRRAGNSSTFTEDRTCSTFVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRSK >KN538726.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538726.1:336184:338372:1 gene:KN538726.1_FG007 transcript:KN538726.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glucuronoxylan glucuronosyltransferase IRX7 [Source:Projected from Arabidopsis thaliana (AT2G28110) UniProtKB/Swiss-Prot;Acc:Q9ZUV3] MAMRGDPKQRRASASAPHGGAAHHVADKLRRHSTCLLLLLLLCLFFVPVYVSCNFSTDNGFPSLSHARALLADAVDLVRAQMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVQGTHVCQEADHVVIPPHVPPEVALELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQKYGRNRKFYLKRKRYGNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADDIRLPFPSVLQWSDISLQVAEKDVASLEMVLDHVVATNLTVIQKNLWDPVKRKALIFNRPMEEGDATWQVLRELEILLDRSQRRHVESWRK >KN538726.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538726.1:278206:281918:-1 gene:KN538726.1_FG008 transcript:KN538726.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSESELAVIKPEALKSYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPTSLSLILDYCRFHQVPGRSNKASTCCKYRPLCNFASCNSRVIPVVVLLQVIVSRERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDEILCFINGDGGSGGGKASKNKKKNKRRKDHSKNPPKANPEPVNKEEATRGVPFNAGTGNISRTPCQSSDVQDDVEYPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHMIGGNGSLQRFSGEKVKRQDQQAEAQEICAHAAWKRARDHRTGPPVYLRQGRKDSGRWAKKYSSIFSSSTWRWRWRWNRVFTKMKHSMGEGRHAKAGKGSIPYSCVVRTRCGRPSSSSGSSTQ >KN538726.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538726.1:265963:266766:1 gene:KN538726.1_FG009 transcript:KN538726.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVAAMVSAVSCGPPKVPPGPNITASYGDKWLEARATWYGAPKGAGPKDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPEACSDKPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVKCKYPADTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >KN538726.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538726.1:303087:310719:1 gene:KN538726.1_FG010 transcript:KN538726.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFSNFRAVQKPAKCAMISAAFPAVPLVPAVSDRLFLSIVSVDTGKTVAKSGKAAARSGICQWPDSILESIWFSQDEVSKEFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQYLGAKSKSSGVRSWKDLSPRLDDRSPTNDDIDSKSDGSDSVANRSVRSSSGNPLGGTTQDELGNREMSFSASGSHRSSNSGDSTADRTNLSPRDSSNGVMHVGRQDSASSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSEKSKQQAELEAELSAAHAERDSYRQEIEELKSSMKEVTTRQKVGGTSKYGDWIDLQKELEDDVKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKAEISKISKVKNVTDPDALKKGPLVKQDTEWAKQLSIKEDEIAMLREKLNRVLNIENLGSDAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGATKGQGPCIPNDSNLQIEELKSQICQLEEELRSKELLHTGSFADASISSSKVLQEKCADLELKLLNFRSQTYELEEKFQKSQEELEQRNIELFELRQKLDSSDCMAGEGVQTSGARGYRFRDGMDSEPETDMLKAKIQLQQQENDDLRCSKVEMESVISKIQAEKSQLEERLEASRKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKADLELHISDLEQENIELSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMETQRLEFKQKQQETQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIAELKRQKLELHGHLTQQEQELDNSKKRNLDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTHEERENATVEAIREVSVLRADKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLAADAEHMKKLMEDAKSNEDKLRKSSGELELKLKASDYEKQQMMEEISGLKLQVQKITSLQDEVLKLKSSLDEAKFERGKLEELHRSVTEECEELKAQKAMLTDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSAVEASHVHEAELKNELNRIKRSNSEYQRKIQSLEQENEDLTSQLEQMAHIKEEDLGKQDIGGSPVDEESGIHLKIQVLEAKLAEALEENKMYRAQQKSPMPDGQSAAGYGNESSNERVLQLEGELRDMKERLLNMSLQYAEVRSIDDRHRQLCSYRAICLLTCVQLLYHTMITNLNSL >KN538726.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538726.1:328752:332934:-1 gene:KN538726.1_FG011 transcript:KN538726.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVNSCIPSLTSSDILELTFVPFKNIVYNLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYDKEKRAWYLERELPLLICHICYTVGLDFTVANFTLTFPYTFALCFPKEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEQGFADYAYA >KN538726.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538726.1:339825:341349:-1 gene:KN538726.1_FG012 transcript:KN538726.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVSYVSAAQLVPMLRDPRIAVVDVRDEERIYDAHIAGSHHYASDSFGERLPELAQATKGKETLVFHCALSKVRGPSCAQMYLDYLSEADEDSDVKNIMVLERGFNGWELSGRPVCRCKDAPCKDFRVAIYALWSLQHIKNRVSHFGGSAIVLLCGSINSVTVNITSRHVN >KN538726.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538726.1:342675:342908:1 gene:KN538726.1_FG013 transcript:KN538726.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIAKQGKDLEELDVLVRSVGRQFESLESSLKTFLLSMTERMKMMATVQLPAMDLVQYMEKDVHDIISALSQKPP >KN538726.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538726.1:294298:295749:-1 gene:KN538726.1_FG014 transcript:KN538726.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPSVPFLPIGRTAGSLLSAVLMVIFHVISPDDAYASIDLPILGLLFATMVVGSYLRNAGMFKHLGRLLAWKSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSAATPIGNPQNLVIAFNSKITFPKFLMGILPAMLVGMAVNMVMLLCMYWRELGGGAELSVDGKQMEAVEEGRSPASAKSTPPQHLNGNGMISQLEMSENITTKHPWFMQCTEERRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGDEVAKAAALISPAAVTTSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAVGIPLIGKI >KN538726.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538726.1:271411:272214:1 gene:KN538726.1_FG015 transcript:KN538726.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCLLLACVVAAAMVSAVSCGPPKVPPGPNITAAYGKQWLEARGTWYGKPKGAGPDDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEVKCSKPEACSDKPVIIHITDMNTEPIAAYHFDLSGHAFGAMAKEGKDEELRKAGIIDMQFRRVRCKYPGETKVTFHVEKGSNANYFAVLVKYVGGDGDVVKVELKEKGSEEWKPLNESWGAIWRIDTPKPLKGPFSLRVTTESDQKLVANDVIPDNWKADALYKSEIQVD >KN538726.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538726.1:315381:321585:1 gene:KN538726.1_FG016 transcript:KN538726.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGHAHGGAHTPRLMRGRGRHAQDVVTRMAMERQRELQGLSDHRAVSSFAHRARIQSFLRGRSFHSGSPMHDERPLSMAARELGQLRQSHPVSRFREEVRSRTEVTTNGPATNHTGPMDTFVDLHLHENDHRQENATHNEIQTHQSMENESVDIQRSITTSNDDVVQSDFGQEQLHRYEDYSDSGSSEEASEQSDSSSPSDNSAQQEEETYEQQTNLLWSRETSSSEDGEWHVINSQEAEAQWRSGPSFSSNRNINRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRESLDQLIQSYVRRQEEHDDPLDWDYQRQGTATGLLSDDQGEEGMLEDGSEWKLARKGTCCICCDRQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVECISMFLCDRFAFVPCSSKSVQRCSATKTDKNYKSSDVIIQPQIDDVTEESNQRAIDTSEINPTVGVFGEYYLFWTQHICQHSSHRDGTIYNKQLYWKNNYDIDVTNREETRDHVDSMLNYVFNRSRDDPIAVHQGSLIEMTGPKRGIALIPECLFEFDMRIKTGEKEEDDLQLIDGMIELNEMIMPETPHTNRINGDSGSVDMCLANVSDGVEATVEVVISELMVNGFDLSISCVVSSSSLYPYRGFNLDDATIL >KN538726.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538726.1:274595:275398:-1 gene:KN538726.1_FG017 transcript:KN538726.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVAAMVSAVSCGPPKVPPGPNITASYGDKWLEARATWYGAPKGAGPKDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPEACSDKPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVKCKYPADTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >AMDW01040658.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040658.1:508:1323:1 gene:AMDW01040658.1_FG001 transcript:AMDW01040658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVGLLQYCDLTRFFLSAFYHLSETHFFFNMSSLLWKGIQLETSMGSVEFASMVAALLGMSQGITLLLSKGLLLFGNDEAYYDQYAVGFSGVLFGMKVVLNAWSDDYVFLHGVVIPAKYAAWAELLLIQAFIPGTSLIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKVVRWPVGFVQKLFRSGRPQSYTPSRGRVGRGSARENGRGIWRCSACTYDNSPSTDICEMCSSAREDHAFSRRQHLQAGGNGEPSIEEIRRRRLERFSR >KN543525.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543525.1:1045:1440:1 gene:KN543525.1_FG001 transcript:KN543525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQGGGRASNKIRDIVRLQQLLKRWKKLATMAPGGRSGVPKGSFAVYVGEEMRRFVIPTEYLGHWAFERLLRDAEEEFGFRHQGALRIPCDVAAFEAILRLVAAGNGNAKAKDDAAMCSCSSDTEILCR >KN541041.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541041.1:718:1777:-1 gene:KN541041.1_FG001 transcript:KN541041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDGHSFLTKVGFGALTCNSLLAIYKSQGDLASVAFVVAAYAALLLLFYFLGKFERARPEERGKKEPNICENTKRSTRQRYDTAMNDDVSVISPRDVWIAAIEFAVRRINPIQVVAASDAMNR >AMDW01039765.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039765.1:61:467:1 gene:AMDW01039765.1_FG001 transcript:AMDW01039765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKLRSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQDLKEKASTFQSQRECQNQHLQQHKLAGRS >KN541041.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541041.1:26610:26972:1 gene:KN541041.1_FG002 transcript:KN541041.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAATTCIGFILLTASSIAAIHRSHGEITETSFIAVSYLCLVLLFVFLRRFEAAPPNSPARGGAKAGVWVATALLAAVFSWRVSALMPWPVDAIIWVMAAATVLGGFYALFLHHPGVD >AMDW01020576.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020576.1:64:267:-1 gene:AMDW01020576.1_FG001 transcript:AMDW01020576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGEEASSSAQGTTTSSARAGPPPSCASFPTIRSHGAAVARSHAYDWLALLLLVAVDGLLNAIEPFH >KN544214.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544214.1:326:2034:-1 gene:KN544214.1_FG001 transcript:KN544214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLFLIGLHRTCGGVAIEYPFGIGPNCSLSDGFSLDCVRDTPQLRLGTKQQQQTVRVLGVDLLHGKIRATNAIASQCLDASTGKLVNTSWEGLNAAALPYRFSDEDNRTGSCSNIGCCETAIPKGLNSYYVLVIEKMDAGSPVNRCFYVALMEAASFSFEAADASTDGFYRKNSNGTVPLVLYFVISMTVALANKDVRMG >AMDW01030375.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030375.1:265:318:-1 gene:AMDW01030375.1_FG001 transcript:AMDW01030375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLE >AMDW01020759.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020759.1:135:273:1 gene:AMDW01020759.1_FG001 transcript:AMDW01020759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIINTVSANIPIAPLLGLLKPNGKMILVGMPEKPMEIPPFALVA >KN543273.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543273.1:2625:5765:1 gene:KN543273.1_FG001 transcript:KN543273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHSSYKTQSNRLPIPVLSLALVLLLNFTSPTSSCTEQEKNSLLNFLTGLSKDGGLSMSWKDGVDCCKWEGITCRPDRTVTDVSLASRRLEGHISPYLGNLTGLLQLNLSHNQLSGALPAELVFSSSLIIIDVSFNRLNGGLNELPSSTPARPLQVLNISSNLLAGQFPSSTWEVMKNLVALNASNNSFTGQIPTNLCTNSPSLAVLELSYNQLSGSIPSELGNCSMLRVLKAGHNNLSGTLPNELFNATSLECLSFPNNGLEGNIDSTSVVKLSNVVVLDLGGNNFSGMIPDSIGQLSRLQELHLDHNNMHGELPSALGNCKYLTMIDLRGNSFSGDLGKFNFSTLLNLKTLDIGINNFSGKVPESIYSCSNLIALRLSYNNFHGELSSEIGKLKYLSFLSLSNNSFTNITRALQILKSSTNLTTLLIAHNFLEEVIPQDETIDGFKNLQVLTVGQCSLSGRIPLWLSKLTNMELLDLSNNQLTGPIPDWIDSLNHLFFLDISNNSLTGEIPITLMGMPMIRTAQNKTYLDPSFFELPVYVDKSLQYRILTAFPTVLNLSQNNFMGVIPPQIGQLKMLVVLDFSYNNLSGKIPESICSLTSLQVLDLSNNHLTGSIPGELNSLNFLSAFNVSNNDLEGPIPTGAQFNTFPNSSFDGNPKLCGSMLIHKCKSAEESSGSKKQLNKKVVVAIVFGVFLGGTVIVLLLGHFLSSLRVAIPKTENKSNSSGDLEASSFNSDPVHLLVMIPQGNTEANKLTFTDLVEATNNFHKENIIGCGGYGLVYKAELPSGSKLAIKKLNGEMCLMEREFAAEVEALSMAQHANLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNREDETSSFLDWPTRFKIARGASQGLLYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKNHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVLLELLTGRRPVSILSTSKELVPWVLEMRSKGNLLEVLDPTLHGTGYEEQMLKVLEVACKCVNCNPCMRPTIREVVSCLDSIGSD >AMDW01053251.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053251.1:476:607:1 gene:AMDW01053251.1_FG001 transcript:AMDW01053251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKPIMKNQKEDKSEKKGTKKKADKGANKLGAAQAASRGRVVIK >AMDW01038553.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038553.1:613:807:1 gene:AMDW01038553.1_FG001 transcript:AMDW01038553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSQDQSNCSDTSIISGNLEDLMWEEINDPMEAEIEDQIEAEVEAQLEAELAGSSTRRGGYTR >AMDW01022394.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022394.1:27:290:1 gene:AMDW01022394.1_FG001 transcript:AMDW01022394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFLPRKSATPLDAGAHDGAAAVEYGNLPCPVMSFRPPVCAMETMEFMLLGGRHNKIVATDLTGRTLLYDPDEHVVRSLPALPVPK >AMDW01031467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031467.1:9:416:1 gene:AMDW01031467.1_FG001 transcript:AMDW01031467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILLHMIEDGCTGDGGAGITLPNVAGGALAKVIEYCTKHATAAAEGSSSSRKAKEELKKFDVEFMEVSIDMLYDLIMAANFMGVEGLLSLAAQRTAELIKGKSPEQIREMFGIKNDHTPEEEEEIRKQYEWAF >KN542654.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542654.1:760:2768:-1 gene:KN542654.1_FG001 transcript:KN542654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQDDRGKLVTNWLARGNTRYVVDDITTHIPCVLHVPLQSNITQEIARGVAHPICAVQTIHGVPLPADHSRVEVEDVPSQYRCYVLQFPLEEGVDTLSAALHNLIVWPKRYIVITNDGAGDPGADDSSLSNGRGPIRKKTPTPSASQMSGSSSMERLPHSKSKSVPNPTTSLPKKPRLPPKPISDFRRTLEKSEMQSRSTELFLDKKASDEAAFCKSADLKSLDDIDNLDKAEVELFNLYHKRELDISLLQMWSLRCSYQCRSLDRKIAFLDPAVVNFNNQLSKEKEIDDYLFNALVKQNGCDHILLPYLSHHHWILLVINIDDSKICVYDSLRKGTDNYQTIMNALNRAYVKYRRSERTYGRCAIDATSFRIFENQYIYRQPALTNLCGMYVMWYMLYFVESGHLLPRNAEKLGLETSEMLPHVFTALTD >AMDW01040657.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040657.1:88:721:1 gene:AMDW01040657.1_FG001 transcript:AMDW01040657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YCHEANHNKKGCELRKKGIRPKNKIRRNVVEATEEPSVMPQELMGPQAGGSSLLAEVNDNMLDHMLQEASQPSQLTQEHGPLPDCAFIQENQPTARPVVLTTSTKEGRSKMTKPKKNAAGTSKKKKATDGLSDGAAAKKKKKA >KN538889.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538889.1:58661:59649:1 gene:KN538889.1_FG001 transcript:KN538889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAAALFFAFAVVAATLAPAAEARVQGFSHEAAASEPAIAVEKTVASGGAQQPPTTLPGLLPGLPFPLFPFLMFPIPGSPAGAGGAPPSAGSGGFPFPMPFQLPLPAPGSPAAGAPPSSGSGFPFPMPFPLPLPAPGSPAGGAPPSSGSGLPFPLPFPLPQPSSPAQPQPKECMTPLMSVMPCADYLTNAAVPTPPATCCDGFRSLVSTAPICLCHGMNGDLNSFLPKPVDPMKMLLLPITCGAMPPLQTLFMCSSPSVPPLMPPSPSSPAAPAPASPSVSP >KN538889.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538889.1:31543:35572:-1 gene:KN538889.1_FG002 transcript:KN538889.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVLAGVLLIWLYRATHLPPGGGNGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQRILIANSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYALCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSGGFSQWNENFTSSDHPSIVQIRVSSVISNSPIIMNVDCDMYSNNSESVRDALCFFLDEEQGQDIGFVQYPQNFENVVQNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVITGLQIQCRGWRSVYYNPERKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFLNGISLFPEKTSPWFIPFAYVMVAAYSCSLAESLQCGDSAVEWWNAQRMWLIRRITSYLLATIDTFRRILGISESGFNLTVKVTDLQALERYKKGMMEFGSFSAMFVILTTVALLNLAFIHSYIVGPAENKSYMALLSLIDRLEELKTSLLTTTEQPSQNNTGPFSTGCV >KN538889.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538889.1:9462:10256:1 gene:KN538889.1_FG003 transcript:KN538889.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARVVHVTLLLFVALGACRATHNITAILADHRDLAEFGRQLTATGLAGDIDGRNTITVLAVDDAHMAQLMARGLPREALRHVLSLHVLVDYYDDAKLHRLPGGSAVVSTLFQASGDAPGSEGMVRIAVRRGGRVAFVPQDVDDARANVFYVKSVHEAPYNISVLQVSAVITSPAAEAPSSAAESKPNSTDASSKHGPPNAGAHAAPSPVGQGSSSDDGADEGKRSGDGGDGGKKNGASVGAAPRGLPFALAFLMAAILVVNW >KN538889.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538889.1:104131:104479:-1 gene:KN538889.1_FG004 transcript:KN538889.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPIGRKLDLLPLDGYKGLVARLASMFRASITYHHCHRQFAVVGMKTNKVHHVLTYEDQEGDWMMAGDVPWEYGKLAQL >KN538889.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538889.1:5457:7732:-1 gene:KN538889.1_FG005 transcript:KN538889.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALVFEVGVMACIAPEVVLSDGAGSRCKEQCQCFPDCHFSNVKIMMPNIGGLPKPIATSRATTIFVNGLLWCLGACVSPNGPRSSCQTDTHMWVHLPPQNSVKPIIWIVELKKPIAHKCAPSTVFLTPASYRATTSGDWRCAVLSSSSPAITLDRSKLQQQRHYQYQYSTECIMCCAINRTARAASE >KN538889.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538889.1:113757:119854:-1 gene:KN538889.1_FG006 transcript:KN538889.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPLPMKCLSRFLLGISPTLHLYANTPDSTKISAETQLWAMAHAASLQKLSKTRDICQGKKRAEWLRAHPELAGGGGERVLMVSGSQPEPCGSPAGDSLLTRLLKNKLDYCRLNGVQLLYNTALLRPSMDRYWAKIPVVRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLSKYRDHNFVAHGWPHLVYESRSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPDSPEYQHWGAVLTSTFKDKVFNESDDQSALVYMLLQSGSPWRDKVYLESDYYFEGYWLEIAGRLGNITERIERANRKLHLWYSELEADRAQALALHQTLQKLELMQNPFHLCQHSLLCQFVLKRKRK >KN538889.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538889.1:99136:102545:1 gene:KN538889.1_FG007 transcript:KN538889.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKVLMVDNTSVIADEVLSHRLGLIPLDADPRHFEFMSENDVPNERNTIVYKLHVSCKKGSPRLTVKSGDLEWLPEGSRLPLASPAQSGDKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAVELEVHAVKGMGKVHAKWSPVATAWYRMFPEVVFRKEIKGDNAEKLVKKCPVNVFDIEDLGNGEKRAVVARPRACTLCRECVMGPSGEQVELRRVRDHFIFTIESTGALPPEALFTEAVRILEEKCVRVISKLS >KN538889.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538889.1:72613:72879:1 gene:KN538889.1_FG008 transcript:KN538889.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRWIQLRRCGLVPDAAKTAENAGCPPPAADDSEYMGSEAESKSPPPQQKHPLADVLASSLDSCTLASDATDPRDGILALDFAFMSV >KN538889.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538889.1:28002:28986:1 gene:KN538889.1_FG009 transcript:KN538889.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIFAAVAFVLLAASVSSSSAALYTVGDARGWAVPPTGSESYNHWGLKNRFRVGDVVEFKYVNESVVVVNHDGYRNCSSVRPVIRFTDGDTKYLLDRPGLVFFISGVQERCERGLRMRLRGT >KN538889.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538889.1:16728:17448:1 gene:KN538889.1_FG010 transcript:KN538889.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKKRGGRNMDQVEKAAAARSDGEGGSATNAELPMANLVRLMKKVLPGKAKIGGAAKGLTHDCAVEFVGFVGDEASEKAKAEHRRTVAPEDYLGSFGDLGFDRYVDPMDAYIHGYREFERAGGNRRVAPPPPAAATPLTPGGPTFTDAELQFLRSVIPSRSDDQYHGSSPAIGGYDYGYGPYGGYGKNM >KN538889.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538889.1:42108:44380:1 gene:KN538889.1_FG011 transcript:KN538889.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVVFFLREYLPLCCQTKLTICEGEDGTESSNGDVLIFPDMIRYRGLTHFDVDNFVQEVLVKDTEWLPGSPEAIKGSYVFVCCHASRDKRCGVCGPALIKRFKEEIGLQGLADQVSVSACSHVGGHKYAGNVIVFSADAKGEVTGHWYGYVSPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEEQRKALESKHVTNGVTEDGAHESPEETTNGSACNPVAAGGCCQGNGGFTCCQSDLPKEDKSITAEQNQKSSEKGADKESAAGSKKRHMKMCSMPTWFETWETADTYAALGIVAAAASVFVAFRIYKNLN >KN538889.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538889.1:61125:70676:-1 gene:KN538889.1_FG012 transcript:KN538889.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVEAAEVAAVTAMGVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTMSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANNFPLFSVGFYQMAKIAVTPTIVVAEFMIFQKRVSSQKVITLAIVSFGVAVATVTDLEFNFFGAVVALAWIVPSAVNKILWSNLQQSGNWTALAATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIVALGGMSVYTYLGLKESTTTGKKPPLAQKPKAAGDGEKPGLEHEDSAAKRAPKKKQELLEPSSDDSELEQQQGQLQEVESGSDLDVPSDSGAEELSDSDDASFEGGDGGDEAEEEEDDEDGDDDPLADDFLAGSDDESDGGDDSGVDSDESDDLEAKSRAIDEEKEKAEEEAEEELKLNIRSESDEFRLPTKEFYVPLKELEEEALRPPNLPNLKRRISEIVRVLSNFTKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKFFSKSSCYSILVEYLNHLEIAAIDLVDANSKTGGYIVYSTCSLMIPENEAVVDYALKKRNVKLVPCGLDFGRPGVLPLTQLKKLSNTIPVASESSNVPEEAIEKADPSSDDPQKQPIQSKKHKDVKTTNEETSILDGVTKDKRQTHETLKNHKKGEKKRNGPESTKIKGDQKETHNEQEEPTSEKKQPVSAKIKKSVPKRISGKLIRSRGVNKYERNG >KN538889.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538889.1:136134:140154:-1 gene:KN538889.1_FG013 transcript:KN538889.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRIPGLRAASSAVADQEKYLAELLAERHKLSPFIPVLPNSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAAADMNGWTSAFQSEGSPAYSWRGGSQGSSSGLIVKKTMKVDIPVDKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLIQARDILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >KN538889.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538889.1:75309:78261:-1 gene:KN538889.1_FG014 transcript:KN538889.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQYPGRIPLNSTVEQKARKVAVLVALNASGSAPVLYRTMDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTALMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYEDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >KN538889.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538889.1:95569:97730:-1 gene:KN538889.1_FG015 transcript:KN538889.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin structure-remodeling complex protein BSH [Source:Projected from Arabidopsis thaliana (AT3G17590) UniProtKB/Swiss-Prot;Acc:P93045] MRYRLLLLLWIGCLLSHPDSEIISFAKRTAKDLKLPANFVPQMLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAARVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVDVVTNEEVGVTDATEEINSRCDYEPLFVGFPLDLELQPKTIVARNA >KN538889.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538889.1:35988:40202:-1 gene:KN538889.1_FG016 transcript:KN538889.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALALHTQWLTDSGLPEQRLGIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWGCPEVGNVLKRNSVPDWPLIATYLISEASLESSSRWSSYIAALPRQPYSLLYWTRPELDAYLVASPIRERAIQRITDVVGTYNDLRDRIFSKHSDLFPEEVYNLETFRWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDYDKSSSGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLNKSDKCYKEKLQALKRNGLSEFESFPLRVTGWPVELMAYAFLVVSPPEMSQRFEEMAVAASNKSPSKPGLNYPELEEQALQFILDCCESNIAKYTKFLEGSSGSLQLSTNSKQANRTLLLKQLARDLCISERRILYRTQYPLSAVGYHITDWALYPAASSFQVVFVADEAQTVEEKETDKSDYNLS >KN538889.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538889.1:21144:22047:1 gene:KN538889.1_FG017 transcript:KN538889.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYPGAANGAAAADGNGGAQQAAPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGESRGVGVGVGVGAGCEAGGSDRSMRF >KN538889.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538889.1:48050:50035:-1 gene:KN538889.1_FG018 transcript:KN538889.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKTIPQTLPEIPTTPEPQQYTKTAPDIPKSNNSRSSVPKLLQESDRGKLNHKLEPKEQKPNHHLKESVDVSSAKCPTEVSEEMVSEGIASQEKKVVEYESVNGSSTSFHTCEGSGPGKASGSARMTDRSETGERGSSSRCRPSTSSDISDESSCSSMSSTTKPHKSNDSRWEAIQTIRVRDGIIGLSHFRLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFSKSKKDRKPRPEIATQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKLPPSTSGTTDVSSGAPQKGSDGYLEFDFF >KN538889.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538889.1:125863:134880:1 gene:KN538889.1_FG019 transcript:KN538889.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGSSGPALLDSSASMPPPASEFAAAQPPQPIPAMPSAPPARMLSSTSSKMPRGRLLVGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDSKPQITGKIEIAIQIVGDAESYHPRICWHSHKQEILFVGIGNCVLRIDTTKVRRGRDVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTRLDYIADFTVAMPILSLTGTHESQPGNDQVVQVYCVQTMAIQQYGLDLSLCSPPTSETTGLGRDPSISRVHETPLEVVGAESSMPTSFTDSYSVGSPSKSSTVDQQSELDPKPSAPPLTYTEGDGSVHLPSASLASNTDPSGSGSSLGNLEMDQPAFDYAMNRNMEPKILTRQDTPMPKDNFGKDDPRDGRNDVTMLPNPHLMFKVGGNTTHLVTPSEIISGALSSAESNHVPKSDGVKIQDGTSSGHQMAEVEPKHTNEHTFDQNLDLEVAQVVCENTEQAGSSEQTVKMISERSVTTDKYSVEESQTSCDRSISEHTGAADESVTKKPVEVPEKSDYSSASMEQSSSYTKKEKIMHPQASGQSSPSTSAFNSTESSHEPPSSAYPPINSFPEVTTQGMLQQLIAMHKDLQKQLGTIVVAPLAKEGKRIEASLGRTMEKSIKANLDALWVRIQEENAKREKAERERMQQMITLITNSISKDLPATLEKSLKKEISSLGPVIARAITPIIEKCSASAVADSIQKVVGDRVVNQLDKSVSAKLEATVARQIQMQFHTSVKQTLQDALRASLEAFLVPAFEQSCKTMFEQVDSAFQKGMSEHAVAIQQQVEAAHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKAHNTKVLQPNNVPVTGPPEVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMVPVPLNQGVLLALLQQLAVDIATDTPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALLHLRSLPTTSPSDSTSIRLFMHASIGGSGTEMHGLLPLYREILKVTGSLHQMLRLKKASKYMHQMKHPDCDCTKGKVCVR >KN538889.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538889.1:25087:26246:-1 gene:KN538889.1_FG020 transcript:KN538889.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLQRIPGYDKWMIEKEAKPYLEAFEDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAVDQGIQCAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTSFFHVIPQRKRGEAEAGNDGVDVSMNDVDAAEGVENQGDLAKVFDEEVDDDDVVDEELQEEDTDVAKKKQCIRHENGEAEDATTRPAEDHSPGAAAETTTPTGGALPQAEQNKESNGADG >AMDW01011292.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011292.1:4:213:-1 gene:AMDW01011292.1_FG001 transcript:AMDW01011292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLSTGTLVASCAIAVTGVFGINVHIALYDTPASSAAFPCAAAGIVAGSLALYLAALLCYKRAGILQ >KN538828.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538828.1:157964:159076:-1 gene:KN538828.1_FG001 transcript:KN538828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLTVVSGATCVLTSASAFVRRPRLWPELISEFKATCTPVPSFALPLVLRRGRSEHGTRPLQLQTLRNLIHVNEPIYKSSVDEFLEEFGPAGLRASSISPSYGLAENCTFVSTAWRGTEVTLPSYKKLLPSARLPQPSLLTEAPDVEIVVVDAETGKPGRAGPCFVRTSDLGVVRGTERYLYVLGRTTDAIVVADSQRRVPAHYIETAAFESSPGRLRGGCIASFTTLPASPSPVVVVAELQNGGGGGDMAGICHGIRQAVWREVGVTVARVVLAESGGVPKTTSGKLQRGAARAKLLAGKLPKVFEARYAGLEPAAGVLMVKESASRWARLQSPL >KN538828.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538828.1:200208:204576:-1 gene:KN538828.1_FG002 transcript:KN538828.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNTAKSMMLDDSNISPRKKTFTTRKNTMLSISRNINPADQEMLKTYKRRRVNRASIPQLLDEVLMEIFIRLPAKTLARFKSVCKAWHTIISEPFFIRSHLRHSAFKHKQEPSFFVILHALDNVVEITFSNNVPVFRWKDGQGNACLVHAMDFHGEYQIINKMSHCDGLVLFPTDTKLYVINLTTSDVLRLPDNQESDTLRQATGLGLDPRTNMYKVARYFYRSVDHTIGAYDAAMEVFSIGQDAFWRETSEVPPYPVRSMESPIHSKGYLFWNIDERFLKGQSRGFLCFSLEDETFSLIPHPCPYLPLNHGTSLVSELDGELCVGLFISGQQQLWMYNGNQWDQRFSINVPGPNDLYIPLDILPHDQLLLQRGPHLYHHNHQSSEDIKEVARMDQLNYQSPPGEPYVYMENGYQLLFLSAIIQAYLTKNFLFNLKKDGKVLPNGPVVISPDVYFLHCTRKLYEEHHMSLRLHVWRDTDKLSYKKMGIPKGKIFIINPKVSLSFFPSCRRLQFLELLEDAISKC >KN538828.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538828.1:129128:136302:1 gene:KN538828.1_FG003 transcript:KN538828.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAGAGAANNNPNKSLEVNPAPGDSVSSLSFSPKANHLVATSWDNQWNPEIAVGILQVLCSAWKDDGTTVFSGGCDKQIKMWPLLSGGQPTVLSGHEAPVKELAWIPQMNLLVSGSWDKTLRYWDVRQPQPAHVQQLPERCYALSLSYPLMVVGTADRNVIVFNMQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDGQQGKNFTFKCHRDGNDIYAVNALNFHPVHHTFATSGSDGGFNFWDKDSKQRLKVGCTKEKVWGGLVVVTVLWPQIGFDPWIQPMGESGFNLSYTRCFTSLAELVYELRWRRHVRSEMSALVAAAVRLGNVHGVEEMSFQPGPRLAFNKCPSPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFLHSVQESEVKGKPRVNKK >KN538828.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538828.1:160875:163229:-1 gene:KN538828.1_FG004 transcript:KN538828.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAPKPLVYFLLRRAGLRQHAVDVDGAGTVISFWMPEGKVPKDRGTAGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLAAALRKLGVERCTVVGFSYGGMVSFKMAESHRDLVTSLVVSGSVIAMTDSISEASLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQAARREGNAAEHQQGRAPGSHREALRLQPAPQGVPRFEKTNMFTNRKNACAWIENLGTLNRFQLSR >KN538828.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538828.1:179136:190298:-1 gene:KN538828.1_FG005 transcript:KN538828.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKELGEGSSHAGQVVIRGLPSELSYADLADYFIKYGKIVDLIIIRAKGTAQAGDSAKITYADAAISDKIIKCRHIIKGKHVVVDRTLMEDTIQYKDKKTNRRITLDGLPWTVSNDDIVHFFSPYGTVVDHQITQKDENKLSEGSGFVLFSSELAVIKILSNGNTVNLGGEKVSINRSGAFVIAATGHHIKHPFLLPSEIFSSLFPHQKDGLEWLWRLHCEKSGGGILADDMGLGKTRQASAFLAGLFYSDLTQRVLIVAPGTILHQWIAELTKVGFNEDLIHSFWCAKTRHDSLAQVLKEGGVLLITYDLVRLYNEELNGMSSKSSKMRRACPSWDYVILDEGHVLKNPNTKNAAALKSLSRGQTVVLTGTPVQNNLSEFHSLMSLCCPTVLGSLAAFERDFCKPIDMGNVLEATTEVVMISSKKAMEFRKMVRPYFLRRTKESIESLLPNKADLVIWLKLTPYQLLQKICNHPQNLTAVDSCEEQLALKENRTLQGIVKKLEALIAKNTTKTSNCLKSCKLTFILQLLKTWQEKLKEEGHKVLIFSQTRLMLDEIEEALTNKGVHFARMDGTVTASKREAIIKVFLLFLEDSMVVFYFYTMGFQSKDGPPIFLMTTKVGGIGLNLTNASRVIIADPSWNPSLDNQCVDRVYRIGQEKNVIIYRLITSCTIEERIYEKQVSKEGIFKAATEERDFRRYINKLGYKEFLKLPEMGFGTSLLQKRLEIETMTDNMSELAVNPKAKPVRNTSFRVEKVEVDRTVKIEAKRAELECKTKLYEHIKEAAHDSGANVLRQMKAIEKEISELTSQEEIEEKKRKGAADLQFEPPLKVVKYPDPILRARNKRINTFDDNLRSLTDEMFDVMYNASDSICVTLLNNQIKKGLLSTIAREDIPPIEPLVSCYRTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEEGCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKDLEKKYEESTGLVSPESIENYKGRKDLISFSR >KN538828.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538828.1:125651:127062:1 gene:KN538828.1_FG006 transcript:KN538828.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVSSTSRAPQWTARQNEQFERALAVYDRDTPERWHNIARAVAGKSADEVKRYYDLLVEDVERIETGKVPFPAYRCPPPATADWESLIHIADINYIYVYNVQAESTSICTTAPEDLAMRHE >KN538828.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538828.1:172830:176705:1 gene:KN538828.1_FG007 transcript:KN538828.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLGLLLLLVLLALCSTIDVCDARRGKHWRPRSSPSSSLLRKKGKGKKGSSNRQHGSNRPSPKPPLSPPPSPGNGKGYQSPYQPSPSPSPNAPVSPSPVNGSGHASPKSPTPSCGKGNQPPSRPTPTSPQGAVFNVVDFGAKGDGVSDDTKAFEAAWAAACKQGASTVLVPSELEFLVGPISFSGPYCKPNILFQLDGTIVAPTSAKAWGSGLLQWIEFTKLNGVSIQGNGIINGRGQQWWTYSDTDDDEDDDTQYDVEFERMPQVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDSCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACVTQQKQKNIVNMYFLVVYDAGDDCVSIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGLGLVQDVRFSNIQVSEVQTPIIIDQFYCDKRTCSNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYRLNDPFCWQAFGELYTPTVPPIACLHLGKPAGNNLQSYHDLC >KN538828.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538828.1:138661:138888:1 gene:KN538828.1_FG008 transcript:KN538828.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLLLFLPVLLLLFLLEAMLVVVHPHLERLPPDADLRAEVLHRRLLLPLDPPPHALRERQHLLLLLPRERRA >KN538828.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538828.1:120092:122035:1 gene:KN538828.1_FG009 transcript:KN538828.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKASSSSSSASAASSEWTKQQNKQFERALAVYDTDAPDRWHNVARYMGGAKSADEMSKYPLNVPYDVKV >KN538828.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538828.1:196834:199882:1 gene:KN538828.1_FG010 transcript:KN538828.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHDLYMLRQSSRAMQTSITEITARLAKVTELVLALRAAPVRGYGGGTGDDDALFTAIGPIGGGATALSCSAIFSVVVCIRGGGSDVTPHSSPVSLGTINSTVAMEDYRVASSPFPSTTTAAARDLTTASFSGGCDYAERCSTTRSIACGANGVGSRQAMRAGKEGTAAAAQREQHARQSPGAPPPTRAAVVMKGKQMKVLDLKFRLTINVVLQTLAARRHCAQSNLITEELQLQAYKNT >KN538828.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538828.1:140311:147183:-1 gene:KN538828.1_FG011 transcript:KN538828.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MANFYSGVLIFSVLLISLWTVTPVLSHSELDYGRRAKNEKTPNDGNDMSKEIGKGEEQHVNQEAADAAVVLKTKEEIAKRTAEHIQSTIGSSRVAIHEKEELLEKTAEVMSHMAGEVSDQLSKVAKEHTKIAVGSIATALKFKQEVLKQAAQRVKDVSEDVHMATKAKQEILQNVAHDMGKVAGDMATSMAKMAEVAAGVAGGAAAGVATGIAGGFAGGARVHVSGGIHANIHISASASAHAKASAAASAGVGAKASKSVSGNVGNNAEEYAGANGNVHGKAKAGINAGFGISAGAKVAAGIGANAGVGGDAKTNAKAGVGAGVGISGNAKVAGGIGAKAGVGGNANAKAGVGAGVGISGGAKVGADIGAKAGVGGNANAKAGVGAGVGISGGAKVGAEIGAKAGVGDDAKTNAKAGIGAGVGISGGAKVGANIGAKAGVGDDAKTNAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAGISAGVGISGDAKVGADIGAKAGVGADANAKAKAGIGAGAGISGGAKVGGGIGANAGVGGDAKANANAGVGANARAGVDAGIGGSIGAKADVGGDAKANVDAGAAISKDTKIDAGISKEDKINASIGGNADAKIGASISKEDKINAGVGGNAGANANAGVGISAGLSGGAKVGGDIGANADVGANADAGLNAGAGIAKDDKIGAGISKEDKISAGIGGNAGANANAGVGVGANLGIGASISGGAKVGGGIGANAGVGGDAKANADANASGGGGAVGGQAGANANANANAGANVGIGASKHIGFGFGAGGSFHFRASAKAHANANAAISGSEGSNIGAGASASKSVGAGIGAGVGVHTGMNIGFHGGIGGNANVGSNAGIGASGKENNGVEEEKGKSAEASTNKEYGLAN >KN539031.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539031.1:64193:65475:1 gene:KN539031.1_FG001 transcript:KN539031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTEYLLLLPLLVLRKICTVELLSHRRVHSFRPVREDELGRLLRAVADQAASSSSPSPVNLTEMISAFVADSTVRAIIGSRSRHRDTFLRLVEDGLKIMPGMSLPDLFPSSRLAMLLSRVPAKIERRRRGMMGFIDTIIQEHQESRAAAAAAAEDEDLLDVLLRLQKDMDSQYPLTTMNIKSILIDMFGAGSETSATTLQWAMAELMRNPAVMRRAQDEVRRELAVAGNDDRVTEDALPSLHYLRLVIKETLRLHPPAPPPPPRPSPGLC >KN539031.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539031.1:126056:130867:-1 gene:KN539031.1_FG002 transcript:KN539031.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETAAVAKEEVWEVRPGGMLVQKRSPESEPPPGGAPVPTIRVKVKYNGVYHDIYINSQASFGELKKLLSEKTGLHPDDQKCHVTSLRMRSTRALRASFSPNEIFYKDMMATLCEV >KN539031.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539031.1:42063:42653:1 gene:KN539031.1_FG003 transcript:KN539031.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMKNPRVMQKVQAELREKLAGKSTVTEDDLSDLKYLKLVIKETLRLHPAAPLLVPRECREPCKIMGYDIPKGTTVFVNARAIGRDPNYWDDAEKFRPERFANSTIDFKGMDMEFIPFGAGRRMCPGLAFAEAIMDLLLATLLYHFDWELPCGMAASELDMIEEMALTVRRKNDLHLRPILRVPQTQTSSALLF >KN539031.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539031.1:10142:15700:1 gene:KN539031.1_FG004 transcript:KN539031.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADVELEKVASFLGVALLAALLPLVLLKLAAAKRGGGDGGMRLPPGPWRLPVIGNLHQIMAGGQLVHRTMADLARGLGDAPLLSLRLGEHEEKRAAAAAGAVEDDEDIVDVLLRIQKEGGLEVPLTMGVIKGVIRVILEYKIHAKWYIGTDLFGAGSETSANTLQWTMSELVRNPRVMQKAQTELRDCLRGKQSVSEDDLIGLKYLKLVIKETLRLHPVVPLLLPRECQETCNIMGYDVPKGTNVLVNVWAICRDPRHWENAETFIPERFEDSTVDFKGTDFEFIPFGAGRRMCPGLAFAQVSMELALASLLYHFDWELPSGVAPSNLDMEEEMGITIRRKNDLYLVPKAVCAMAMDGMEKVAWCACFLLLALIVVRLTTKRRGDNNGGLRKIATVELLSARRVQSFRRIREDEVGRLVAAIAAASAAQPGEAVNVSERIAALVSDAAVRTIIGDRFERRDEFLEGLAEGIKITSGIQKEGSLQVPLTMGNIKAVVLVLFGAGSETSANTLQWAMTELIMNPRVMLKAQAELRDALQGKQIVSEFDLVELKYLKLVIKETLRLHPVVPLLLPRECRERCKIMDYDVPVGTTVLVNVWAIGRDPKYWKDAKTFRPERFEDGHVDFKRINFQFLPFGAGRRMCPGVAFAEAIMELTLASLLYHLDWEFPDGISPAKMDMMEVMSSTVRKKNDLYLVPNVRVPVAP >KN539031.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539031.1:100003:109344:-1 gene:KN539031.1_FG005 transcript:KN539031.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVAIPAQRRGCHLITPKILREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTYVFPNPYILFPMSIFSKIPITDGHLNMGTWQLSHYFPTCHAAGGFWSKYGAPPVQMGERYPHMFLILLLFHGAHAALKETVQKWQTLGGQRPLVIARGGFSGLFPDSSQFSYQFAMSSSLHDVVLYCDLQLSSDGLGFCKTGLTLENSTFIAEAFPKRAKTYKVNGEEIHGWFALDFTADELLQNVTLIQDIFSRPSTFDGAMGMFTLEDLVGLKPPHLWVNVEYALFLQEHKLSAEDYILGLPKDFSVAYISSPEIGFLKNVGAKLKKSNTKLIFRFLREDVAEHTTKKTYGEILKDLKSVKAFASGILVPKEYIWPLGKDQYLRLPTSLVKDAHALGLEVFASGFANDVSMSYNYSFDPSAEYLQYIGNANFSVDGVITDFPPTASGAVACLANTKGNPLPPPGGDGGRPLIITHNGASGVYPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCQPSADLSTSTTASTSFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPAAKNAGKFVTLPEFLDLAKATNVSGIMVEMEHASFLAKRGLGLVDAVSGALANASYDKEGGHLPELMVVSDDTSVLAAFKRFPAFRRVLMVDETISDASAPSVEEIKQFATAVTVGRGSIAQGVRAAI >KN539031.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539031.1:136747:137272:1 gene:KN539031.1_FG006 transcript:KN539031.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGNAVAAAAAACALVLVLLAVGAEAQGGGGGDCLRRDESYPRTPWKKNNQCVDEAVVVFVSRLVKSSDYTAVAIDVDE >KN539031.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539031.1:179:805:1 gene:KN539031.1_FG007 transcript:KN539031.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding ELFGAGSETSANTLQWAMTELIMNPRVMLKAQAELSNVIKGKQTISEDDLVELKYLKLVIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTVLVNVWAIGRDPKYWEDAETFRPERFEDGLIDFKGTNFEFIPFGAGRRMCPGMAFAEVIMELALASLLYHFDWELPDGILPTKVDMMEELGVTIRRKNDLYLIPTIRVPLSIAL >KN539031.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539031.1:111298:113017:1 gene:KN539031.1_FG008 transcript:KN539031.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCQETGENSELVSTVVSAGILSFSTVITGKVTAPFTFYATAAGIAAGFISVFWSFGYIRLSERLRKTASEPAKAPPRADVIRSLKNGIVLNMLGMGAAVFGMQATVGALVAKALTTSAVPYYQATSPGQSPVLALDVFLVQASANIILSHFLGLASSLELLRSVAIPPAEAAAA >KN539031.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539031.1:74166:77427:1 gene:KN539031.1_FG009 transcript:KN539031.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTLYNLSELKMECHMGTHVDAPGHMNQGHFAAGLDVDKLDLDLLNGPTLLVDTPRNTNITAKAMESLNIPKGVRRVLFRTLNTDRKLMWKKGGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLITAHVVFFKIPNIILVEGLKLDDVKAGIYMLHCLPLRLVGSEGSPIRCILIK >KN539031.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539031.1:96164:98772:-1 gene:KN539031.1_FG010 transcript:KN539031.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCPATARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWGVCISDMVPFYLGKLFRQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLGRRNSSN >KN539031.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539031.1:137924:148965:-1 gene:KN539031.1_FG011 transcript:KN539031.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPSWPSTASCRSRSVAYLRQQPPGLTGAGTLDAGRDQLPTRPHDVLRTRGVLSANKLFAPLASLEKMGALDWAKFPYLLVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPRKYKVYQYVLWAVALFLLLVGPTPYCIASKICDVQLDSLISSKVRNNVNACAVRNAEHVSGLVYGHCYGYDCELSIHAEHHGDLNEAVNAYFNEGDRTSTRANENPIPTGYDDMELDEPFGPVPTFHMPLGNPFSLLDQGFLERAAAVYGEGPHITHPREVRRIDVEVKDNNTPAGSSGHGPVIEDVTGREFSHGPEIRGTVLIDEDDDDDNLPSAQDTRLPSNPSSSNYSVPRAPPIANVSDYNNDIEEEMVRAAIEASKRDADGLTNGLRSGEHENASRGRDDDEIARAVSMSLETAERERVLRQVGAHVSDHSDLSDKEDIEGATGTVERQVPTSGKVGTSDQLVDEENFQDDDVEEHSFVRQHSRRVPSGNDESTEALERANSHPSSLLPRDIENNQQFNGVFPSEVWGGISSEEHDEAVMLEAAMFGGIPGRAAYTFSLPYHQNSSRYPTVAHPPSPTLTAQRLLREQQDDEYLASLEADREKELKVEQEAELRRLEAAAEREAAIAKQKQEEEEKRRKQLEEEELESKLAAKQASLPKEPLPDDIGAITVVVRMPDGRRQGRRFMKSDNLQVLFDFIDISRTFKPGTYRLVRSYPRRAFTDSESQMSLSDLGLNSKQEALFLEQISG >KN539031.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539031.1:36597:38241:1 gene:KN539031.1_FG012 transcript:KN539031.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARRLGDAPLVYLKLREVPVVVASSPAAAREHQQQRAEAAGVDGGAGVEDDKNQDLIDVLLNIQKQGELETPLTMEQIKAVILDLFSGGSETSATTLQWAMSELIKNPMVMQKTQAELRDKLRRKPTVTEDDLSGLKYVKLIIKETLRLHPVVPLLVARECRESCKVMGYDVPKGTTVFVNAWAIGRDPKYWDDAEEFRPERFEHSTVDFKGVDLEFIPFGAGRRICPGMAFAEAIMELLLAALLYHFDWELPNGMAASELDMTEEMGITVRRKNDLHLRPILRVL >KN539031.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539031.1:17875:19140:1 gene:KN539031.1_FG013 transcript:KN539031.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMIGDRFGRRDEFLELLADIVKIGSGFSLDDLFPSWRLAGAIGGAARRAEANHRKTYELMDSVFQQHEQRRVHVAAPADGAMDDDEEDLVDVLFRIQKDGGLEVPLTIGNIKAIILDLFNAGSETSANTLQWVMSELMRNPKVMRKAQTELRNNLQGKTTVTEDDLTNLKYLKLVIKETLRLHPVLPLLLPRECREACNVIGYDVPKYTTVFINVWAINRDPKYWDMAEMFKPERFDNSMIDFKGTDFEFVPFGAGRRMCPGIAFAQANMELVLATLLYHFDWELPSGMSPEELDMTEDMGLSVRRKNDLYLHPTICVPL >KN539031.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539031.1:55737:57024:-1 gene:KN539031.1_FG014 transcript:KN539031.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCKGVDGVLPGRRKKKKETTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIFFEHDGHPNWTCVRFKAVSNGDLV >KN539031.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539031.1:66401:73572:1 gene:KN539031.1_FG015 transcript:KN539031.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMPLVVLLLATIPLLFFTIKRSAQRRGGGEGRLPPGPRFEHRDAYLRMLQDGLKIVPGMTLPDLFPSSRLALFLSRVPGRIERHRQGMQRFIDAIIVEHQEKRAAAADDDDDEDEDLLDVLLKLQKEMGSQHPLTTANIKTVMLDMFGAGSESSATVLQWTMAELMRNPRVMQKAQDEVRRALAGHDKVTEPNLTNLPYLRLVIKETLRLHPPAPLLLPRKCGSTCKILGFDVPEGVMVIVNAWAIGRDPTYWDKPEEFVPERFEHNGRDFKGMDFEFIPFGAGRRICPGITFGMAHVELVLSALMYHFDWELPQGMAAKDLDMTEDFGVTTQRRSTLLLFTIMPGMSLPDLFPSSRLAMLVSRAPGRIMRYRRRMRRIMDSIIHEHQERRGAAAAAGDDDEDLVDVLLRLQKEVGAQYPLTTENIKTVMMDIFGAASETSSTTLEWVMAELMQSPSAMHILLLNNYTFDFLFMDGIDIFYLKMTKPDALQ >KN539031.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539031.1:92119:94501:-1 gene:KN539031.1_FG016 transcript:KN539031.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTGDPDKAHLFFVPISPHKMRGKPIVGTLHCEFGMGTSYENMTIIIKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDVENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDILDWRKFAVVLKERDVYQLKSILKSISQEEFVELHKSLVQNISCPQVQKHFVWHSPPLPYDAFHMVMYELWLRHHVIKY >KN539031.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539031.1:120894:124521:-1 gene:KN539031.1_FG017 transcript:KN539031.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAEKTVTGWAARDASGHLTPYNYTLRKTGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYSAGDVVGVGVIVGCCRECHPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASAMVVDQKFVVKIPAGLAPEQAAPLLCAGLTVYSPLKHFGLMSPGLRGGVLGLGGVGHMGVKVAKSMGHHVTVISSSARKRGEAMDDLGADAYLVSSDAAAMAAAADSLDYIIDTVPVHHPLEPYLALLKLDGKLILMGVINQPLSFISPMVMLGRKAITGSFIGSMAETEEVLNFCVDKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIDGADAPPA >KN539031.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539031.1:83477:88017:1 gene:KN539031.1_FG018 transcript:KN539031.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLPLLLLLAVAAARHALPAAGSDAHPGDSMANGSICNLSELKMHCHTGTHVDAPGHVNQGHFAAGLDVDKLDLDLLNAKAMESLNIPKGVRRVLFRTLNTDRKLMWKKGGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLITAHVVFFKIPNIILVEGLKLDDVKAGIYMLHCLPLRLVGSEGSPIRCILIK >KN539031.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539031.1:44363:49777:-1 gene:KN539031.1_FG019 transcript:KN539031.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIAVKRLKSWSNKAETEFAIEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHQATPHIIHRDIKSSNVLLDKNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGVLLLELASGKRPVEKLNPTTKLTVTEWALPLARDKKFKEIADPKLKDVFVEAELKRMVLVGLACSQNKQEQRPIMSEVVELLKGESAEKLSNLENDEMFKPDLTSSFQDSSHSSHPDSSDCITEEKNSKADTIDEAVDSSETVPSARSSGFLLWSIVCLPLHACLCLVYWQVLFRQKLSFMVSFQAQHMRCAPHLIKSVVKGIRANITDGENGATEPARELLERLFAKTQRLDTSASQDSELSMSIDVLKSEFEAALSTLRKKERDLRDAENRISVDQVRLNRAKKDLDQRERGINRAYARQQEMERSLGKASRDLVLQVRQIDNLKLLVDEQDKKIASSQDLLSQKVTEVEKLKQDMLKKNEEVTLMRSEIKSKEQLLLEANQAAAQQEATIKELRSEIKRKEIDFSRSNELRKANEQKLKIAEQELERQNMGWLAAQKELKEVAQLACKDMDGIKDTVSDFKRVRSLLDAVRSELIASKEAFSSSRKQIEDQAVQMQKQVQELSGQRLLLSSSNKNLEAAQLEIQGKAKELNAAQSRCHELESLLLQEKEKVESLEAVLTKERESLEEKTKEVELLQKALVQKENEHSNSLKLVEIKESELLEARNKVQDMKSKVESIQIAVQEKDSELSETQRRLAEVNSEVVELKQLLDSKEDQLVQVRTELQDKEQHIQTLQNKLDSMKFSCSQAESVVQKIAELTGNLASSVEGEEMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMDLRAAHEALDAKDQELKAVMRGWDLREEVDKLEGFLKDPSDIKRPSDFSVHMGLQNLQTEAAEVEALAATTTLKKLADMAKGFLRSGKTDSGINLVASPSVKSTRIVSKTKSNKEMDMILDAEKEIVGLFSLTEQLITEAGIDVTHQA >KN539031.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539031.1:22403:23391:1 gene:KN539031.1_FG020 transcript:KN539031.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILDLFGAGSETSSDALQWAMSELMRNPRVMEKAQNEVRSILKGKPSVTEADVANLKYLKMIVKETHRLHPVLPLLIPRECQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDGEIDLKGTNYEFTPFGAGRRICPGLALAQASIEFILATLLYHFDWELPNGAAPDELDMTEEMGITIRRKKDLYLLPTLRVPLTA >KN539031.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539031.1:59912:61555:1 gene:KN539031.1_FG021 transcript:KN539031.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSDSDSAPEELTAVQSQKMVLQLRFCLVLELKLKQPENMSIIIDVLLSRCNIHDPWELPIWIPNDFVSITVVSLFLLISCNLRVSQQEKERRRRWAQRRTSSKPDKKEPLEVEDKDTKQKAENEDDEENEETRTMPGMLPTNVIEMLAAREKQTFSSDSEEEITNQKVQKRKKRLKSSGPETILLKDVRSTQHVKNALAFLEQRKNQVPRSNAVLKNANKALRLLSSKGNFLS >KN539031.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539031.1:118212:119324:1 gene:KN539031.1_FG022 transcript:KN539031.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILRAHARLGNRWAAIARLLPGRTDNASRGEVEERGDGEM >KN539031.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539031.1:32447:33443:1 gene:KN539031.1_FG023 transcript:KN539031.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILIKIFCYSEFVEEKQFRPQKFRWELFCAGSETSSNAIQWAMSELMRNPRVMEKAQNEVRSILKEKPTVTEADMVDLKYVKMIVMETHRLHPVLPLLTPRVCQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDSEIDLKGTNYEFTPYGAGRRICPGLALAQVSIEFILATLLYHFDWELPNGAAPEELDMTEDMGLTIRRKNDLCLLPTLRVPLTA >KN545850.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545850.1:398:1285:1 gene:KN545850.1_FG001 transcript:KN545850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPREEILVAVDWTDFDKDDHTTLVASLITKHGRATPMLWKTFKKSTLGGNRNAYEDELFEHLRDSLPEGVRATILADRGFGDQARYRKLAELRLDYIIRFRECIVLTDQWGTRKPASEWLRVSGRATKHKEMAVTEDCCIVPAVVLAHDKRMNEAWCLATSRADLSAADAVKWYGKRFTIEETFRDTKNGLLGMGLSATHVRNEARRDRLIFIAAIAHMLLTLLGAAGERCGLDRTLKSNTSAKRQLSLYNQGMHWYMAIPRMREERLRMLIDAYGEILRDHELTRDLLGVI >AMDW01039493.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039493.1:20:968:-1 gene:AMDW01039493.1_FG001 transcript:AMDW01039493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSSPSLFRAIPTNTNASCRRKFQVRASAAAAAANGGGDGKVMMRKEAASGAWKIDYSGEKPATPLLDTVNYPVHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVARDLLGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTKLRRLREAAKT >KN545704.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545704.1:157:1361:1 gene:KN545704.1_FG001 transcript:KN545704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHASPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNVSDLEGVVAGYAKARIPLDVMWTDIDYMDAFKDFTLDPANFPADRLRPFDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLP >KN539639.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539639.1:77616:80985:-1 gene:KN539639.1_FG001 transcript:KN539639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/Swiss-Prot;Acc:Q00IB6] MKSDEDTVGSSKDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILDVLERIHTIFFDPDDQKPLSSRDVRQVIKRVRQEVLQGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAASAKKGNENVADAGSTKEGSKENADDAANAEEKSKENADDDANSEEGSKENADDAANAEKSKSDGAASGATGS >AMDW01014500.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014500.1:34:222:1 gene:AMDW01014500.1_FG001 transcript:AMDW01014500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAKNINYVRLFHPDTAVLAALRNSGIGVVLGTYNEDLARLASDPSFAASWVSSYVQPFAGA >KN539639.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539639.1:1091:2987:1 gene:KN539639.1_FG002 transcript:KN539639.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILCFAERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDERFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGAGEIMAQIIIRRVDGWVSERIGKKRWLLRKRCGEKRVCSSQMRGWETDAGGRIGQPIGASTTAAQRARVPAVFVLARKLGAP >KN539639.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539639.1:25857:30223:1 gene:KN539639.1_FG003 transcript:KN539639.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIVVSVSSPPTAALGALARVKGWQLLAVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGQQYIQQGLSDGLPDVDAVFYFTRKPPTAAFDLRFDAEAPKVALPQGTMAPVNSFNTLFHTPAFWGLMMPVSVSSMASDVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFERILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNTELAVERCALSHAYKFLPKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLENNKEEWFVKQGSMVKLVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKVAVPMFFLAMDSPQNFDSDALAGTVFRSNLVGNETFSSIYTAQAPAVFPVKVQNEIDFIKLIRVMSTGDPLLMELV >AMDW01039843.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039843.1:830:1108:1 gene:AMDW01039843.1_FG001 transcript:AMDW01039843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEVVDLERGVVAEGALDDDASPIEEVRLTVPVTDDPSLPVWTFRMWTLGLLSCVLLSFLNQFFSYRTEPLIVTQITVQLGHLLARVLPRR >KN539639.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539639.1:12389:21304:-1 gene:KN539639.1_FG004 transcript:KN539639.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKKNVKLQTEPDKNKLIPDPFYGLNNESIIDIAKSGRGHYTFWFFTTFQCAPFILLQKKLYRHEASLNTLVNRAEVYLNGHKEVLPKGMFRRHTLDITDVLRPDGKNLLAVLVHPPDHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWMCPISQGTILEAYMTVGICLNQICSYYAGDRNTGIWDEVSISVTGPVRIMDPHLVSTFYDDFKRSYLHCTLQLENRSSWLSDCKLKIQVSTELEGNICLVEHLQSYEISVPPNSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEIGVDANGFGESDSSNHHFGFRKIESTIDGSTGGRIFKVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDIYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSNHTTKSPGKDISEDPTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPSEGWSIPIFKKRIDGYINEVPNPIWDYHKYIPYSKPGKVNDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWKKFTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELRDVAVEISAWDLDGASPYYRVTEKIAVPPKKVQQVTEMSYPKTKNPKPVYFLLLKLFKLSDNQVLSRNFYWLHLPGKDYKLLEQYRQKQIPLKINSKISISGSGYKVRMSIENRSKKPENANSVSTMNLADANGSDRTGEEAIQDGHSSGLWGKIRRGLSITRSDDNVRTVEVKGADSGVSFFLHFSVHTSEPSSSQDVYKDTRILPVHYSDNYFSLVPGEKMAIDISFEAPQGSTPRVILKGWNYHLDHAVTL >AMDW01035190.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035190.1:1:369:1 gene:AMDW01035190.1_FG001 transcript:AMDW01035190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSSTAPSCRAARSPVGIAEPVEELLSMLDEVDGEPEQMRVISVVGFGGSGKTTLVKAVYDDPRAKDRFSHRAWVTVGSSPSPETSNGMKGILRAVFQQVLPKDAMDADGQHLETSLKEYLKDK >KN539639.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539639.1:85061:85381:1 gene:KN539639.1_FG005 transcript:KN539639.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGADARHEIADAIAHSRRAPTNRAEQRTGETEWRSRLGMENREDSHDARVRARALSTTTTNADATRDIPPSQPSLGIDVTSSSPWRKQSPELEGGVDLTQEGN >KN539639.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539639.1:7167:8705:1 gene:KN539639.1_FG006 transcript:KN539639.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKPWRAVGSSALDPAIVVQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNVKRFGELLRSARLHLKNATACGKAATAATTAAAAR >KN539639.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539639.1:63181:63887:-1 gene:KN539639.1_FG007 transcript:KN539639.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMRTEDGSSQFLAGHTTFTGVPQVNMPMFPTGMNDQWHGASTYNTGMYTADQMMGYAGSTLSYGEPCSYGRGSSTAQHEIGLSQLDEPPPITQPTQDYGYVDFSGVEVAHTSVRERV >KN543399.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543399.1:5595:5807:1 gene:KN543399.1_FG001 transcript:KN543399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAIGAKIVLE >KN540558.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540558.1:1531:3534:1 gene:KN540558.1_FG001 transcript:KN540558.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRVESLKKERYRGDHPFAVLLKRAFPLGYIVIVGVMLQVLAPCKTGQEVASAITDVGLLCTGIGTCLFGVPCLMHMLKLPVSALYAREENHFCFYPGQVVYVRFVGSVDLYEVV >KN540558.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540558.1:46011:48584:-1 gene:KN540558.1_FG002 transcript:KN540558.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSSIRCNSATRWRPRESYRLLKMGEVVSSALVHETVNKIISGMIDKYERKSSAQEHMDRLEMAQIKLDIALETSKKWQITSDPLLRWQKKLKRVAEECDDTIRMCRQRVQEEHEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLVQGNKQHLFWIRPNNIAERGLEGMVFFVYNDGAAPEDNFFLGMILQLSESTNIFGTIIKCLQLFAPHFESVTETVRKELTLLPTQDFSWIPHSRLYHWDNLHSIATGWFRPNPVCCKHHDQKVCGSGNMNKIELQDFSLESVIQVNLQCHVALPGFRERGTIVEGKSSLKEFLRGPRLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAVDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSTRRTIRGARKSKLLRQQDHKMHHRTDGISDFLSLWAAHAPVQLQGSILDWVQKEKEVQLAAPLLRLKF >KN540558.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540558.1:9605:22162:1 gene:KN540558.1_FG003 transcript:KN540558.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADIETALPPPPPQQAQPPRWASAAAALRGVADVLVYSYVTAMWVNAAANGAAVFSRWACGEDSPAAAVTMEVSMATVLAMGALTPFASPVLLSRLLERPEAAREELADLPGEGSVEMKGGMGRAPLHNRFSSLIDYLISCHGIGLTSIELGRPNSALYIANAVARCARLGVGGASVLSDDRCRVSLEYAPAIVLASLLARSVLRRREAKDELGGGGDGGGDALSKKLSSSVEAPHRPQLDEETELQMLLLFVFTPSLGLTLFGSVLMLLPPPDPALVPLGSTMANVGLLGVSITLCILGIPYSMRRLRKTLSVKAGGIGMWLNFFGDEWIASAVNHCLAVKAAGVSVWADARCDATIELAVPAAVVLLILNAAAARREAKAEAEADAQIREAAGAAARNVVPDPGDLQQPLVTLALPTPSPRPERLRLRGSDAVLMFVIVFIYVCCAVFIVVGELLPVVGDLIPVDCQRQCQLQRRCLAWFFKNIGYLWLAVGHCCLIIPYAVLRLRRLARKKVASFFVSAPCVVHPQRYN >KN540558.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540558.1:5430:6748:1 gene:KN540558.1_FG004 transcript:KN540558.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGLLAAMWLNNAAFAAAIFSRWASGEGSTTVAILSRSARGEGSAAVAVAAAAATKVFWASLLAVGVLFPFAGPLLMWRLGLGPPPPHETRESGNGGRSAAQRGRRGSTGPASRRQEGGGRPVALLFMFFSWWVAFAGFLLQELAPEKGSCQEKVGSVLTDIGGFANSVLKLKSISGSGTVNYK >KN539914.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539914.1:61716:63769:-1 gene:KN539914.1_FG001 transcript:KN539914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMPVVSKIFCSSTSTTLMIRRRPTVVNGGGFVVTDLGNNVVFNVDGCGILGSKGELLVKDGDGEPILFISRKGGIIQALSTWNKWNGYSMDYQGKKKLIFSLSDPKSCIAKGAPIRIHIEPKRHCKNWDFEISGSFADRNCTITDCTGTIVGQMGKTEQIGTNDFYHVVVQSGCDKAFIIGAMAVLDNIHGESTRC >KN539914.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539914.1:15840:16043:-1 gene:KN539914.1_FG002 transcript:KN539914.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEIPNFFRDVTYRCRVIRTFGKRKPGQDRHLRSKKVNLICSPGMIPEMMEYLKIVLPQGIEVPD >KN539914.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539914.1:49101:51818:1 gene:KN539914.1_FG003 transcript:KN539914.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKASPKKANLLDPHSIKHLLDETISDVVKSKGYAENTRLGNWKLAIGAAVIAIALLAQFYPKKFPQNRDYTKEKDAIMFTHPPAGSFNSTGLVISSKLPRFSDMYTITIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDVERLIDDYNTERKGK >KN539914.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539914.1:39412:44307:-1 gene:KN539914.1_FG004 transcript:KN539914.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRAGELAGWLPVSLIACLFGYPLAGAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVFVSDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNSDGEDDDSEYDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKTSTEDRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGSVEKDIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSSTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMG >KN539914.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539914.1:73012:74053:-1 gene:KN539914.1_FG005 transcript:KN539914.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding KLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELVWFWIEQDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >KN539914.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539914.1:25688:30523:-1 gene:KN539914.1_FG006 transcript:KN539914.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDQMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCTPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEAPTLLDVAIIVSTFKYLLCRLQAYQCAIAYKNVYIYAIIYGPTEQMVTRLSERSGERPENDQAEPYCTGPSLHA >KN539914.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539914.1:58677:59921:1 gene:KN539914.1_FG007 transcript:KN539914.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHYGYFPDARFLSFLVSSCTCANLLDASATLLSKASEFGCRVEAYAYNKLMSLLIGRGRVHDVVALFERWIQDRVYSPDVWSFNVVIKGVCRVGHVQKALELVERMNEFGCSPDTVTHNILVDGLCRTNEVSRSHEVLRRLQRDGVCMPNVVTFTSVISGYCKAGKLEDAMAVYNDMVASGIMPNTVTYNVLINGYGKVGDLGSAVEVYQQMTRLRCPPDVVTFSSLIDGYCRCGQLDDALRIWSDMAQHRIQPNVYTFSIIIHSLCKQNRSDEAIGLLNELNLRPDIAPQAFIYNPVIDVLCKCGKVDEANLIRKGMEEKGCRPDKYTYTILIIGYCMKSRIPEAIMFFHEMVEAGCSPDSITVNCFISCLLKAGMPNEVDHVMRLASGGASSIQEVPSPVRQRLDISVAL >AMDW01071653.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071653.1:280:1094:-1 gene:AMDW01071653.1_FG001 transcript:AMDW01071653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPVCESQVIVQYIDEAFPDAGVSLLPSDPYDRAVARFWAAYIDDKLLKSWLQASMCKTEQEKAAAMKETFAAVASLEAAFKECSKGKAFFGGDAVGYVDVTLGAVIGFVRVGEALHGMRLFDARRSPLLDAWLERFAALDAAKAVLPDTGRLAEYAKMKQAEWAAAATN >KN541772.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541772.1:3393:3790:-1 gene:KN541772.1_FG001 transcript:KN541772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAILSSQVAGECLKINKLAAASPVKVVQVQKPSKETKNISAAPVAAAAVKVVVSKQVMKPRFAVELDGLNCFETLVPR >KN541772.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541772.1:15228:21994:-1 gene:KN541772.1_FG002 transcript:KN541772.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYVIKDDELAANSFVASALVDMYATHEQVGKARQVFDMVPDSGKQLGMWNAMICGYAQAGMDEEALRLFARMEAEAGFVPCETTMASVLPACARSEAFAGKEAVHGYVVKRGMAGNRFVQNALMDMYARLGKTDVARRIFAMVDLPDVVSWNTLITGCVVQGHVDDAFQLAREMQQLEEGGVVPNAITLMTLLPGCAILAAPARGKEIHGYAVRHALDTDVAVGSALVDMYAKCGCLALSRAVFDRLPRRNTITWNVLIMAYGMHGLGGEATVLFDRMTASGEARPNELFHAMESDHGVEPTPDIHACVVDILGRAGRLDEAYAMVTSMEAGEQQVSAWSTMLGACRLHGNVHLGEIAGERLMSICNADQKATAQLYLKKHKMSGYRFKAGDSSLQDKRLAKSGNISCWPSRDKPERKPKLPSDYCTFIFGKSAASSCFLDTGMQFEVWMAEAVVFGILCKIGSILSSHLTQAFVAHLGKEVSVFVEIESSIKQIRSEFRLMQAFLQDGQEKESHSRLAETFLHEVQQASFEVEDILDEFVYLFGQKQTASRKSLRNCFPKSKSMMHWQRLAAELKEAQNRLQNLRNLKVQYNIDLSEESPSSIRYEDSQVHTIQHIMHNNKIVGFANERHCLQELLMTNERSCSIISIWGMGGSGKTTLVKTVFERKAIKNRFDCLIWVTVSQAYDITEIMRKIIQCALKETCPADLESMCSEGVALKLQGTLQGRTYMMILDDVWDTNVWFNLEPFLDVNSRGSKVVITTRINDVASLADDKNRLQLRGLNEAESWDLFRMWAFRHTEDQICPLGLERVARQIVGRCEGLPLAITAVGNLLSFKRLDSFEWDKFYNQLNWELHNRLDNQGLNLVTRLLGLSYRHLPGHLKNCFLLSSIFPEDYIIHGKRLSRLLIAEGLVEPRKNMTLEEIATEYIEKLVDRCLLQVVRRDKLGRIWLLQMHDIVLELSNIPITTLPRDIGSLFNLHYLGLRRTKVKQLPESIDRLQNLRTLDIYLTEIGKLPSGVTKLRLLRHLIAGKAEATYFGIADVGKGVQMPNGTWRSLDINVFAGISASSKLVEQLAKLTQLRSLRLTDVKSTHYAKLFASISKMRFLRRLFIEAAHRDECVSLEALNPAPHHLELLCMKGKLHESVIGCHLFEVNRLSLRELTLQNSRLSIDPLPSLSNFCNLTLLGLFNTYRGESLLFQAGWFPKLQTLTLAELQNVNSIVIQEYSMPNLYNLALICLKNLEYLPQGMEFLKSVEEFNLVGMHHKFMEDVQAGSSYEKVKHIPVVDYFDQSKGRWDRLSRVYGKDQDKHQQ >KN541772.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541772.1:8720:12592:1 gene:KN541772.1_FG003 transcript:KN541772.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIDRIDMKSGFAFVYFEDERDGDEAIRALDGYPFGPGRRRLSVEWSRGDRGSRRDGYSKPPVNTKPTKTLFVINFDPINTRVTDIERHFEPFGKLSNVRIRRNFAFVQFETQEEATKALEATHSTKLLDRVISVEYAFRDDTERGDRYDGARGGYGRRDDSPYRRSVSPVYRSRPSPDYGRQRSPVYGSYDRSPVNDRYRSRSPVRRSRSPFANRRAYD >KN539104.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539104.1:75275:78616:1 gene:KN539104.1_FG001 transcript:KN539104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription factor B subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASSKLYSDDVSLAVVVVDTNPLFWATAALPFADFFAHLIHYVNSLLLLNHLNHVVVIAAGLSSCTYIFDSSDASASGAADVAATFAKASRKMDEFIAEDARATTSNGSGCLDIQRVFRSGTRHPQPRVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELNGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSVPDQRQ >KN539104.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539104.1:146614:147897:1 gene:KN539104.1_FG002 transcript:KN539104.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTPAPASEGYAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFQHCGDGDLAERVVAFAGEHEFADILWYPGHGKAVYRIDDRVPDNTPGDGVYDFVGFRATPTLAIQANRLVEDGLEATGNGAGKCLAASTTNSILAARNYGLTRNGGLLGAFPGTAVVGYQNRIQSSGSCLASADDGLLTACPWDPRVEHGTFYFQSGISVPLSRAAAFIRDVQRLRDLNPDALCGVELYDGVLMRYVRASAAHLGKPEDSVDFDLTYYRSRDPATPRLHEDVVEEVEQMALRKYGGVPHWGKNQNAAFEGTAAKYGGARAAAFMR >KN539104.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539104.1:35433:41504:-1 gene:KN539104.1_FG003 transcript:KN539104.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSHTATAAADGGSGKQRQRKGDHKGKVPDGGCGGGEKEKEAARVEFGYERDFEGRYQVGRLLGHGQFGYTFAATDRASGDRVAVKRIDKAKIPPLDFHSVTRFSLDKTSQESQLVLRYLQSSQDHPPDLTGLGALHQNVVNGLHFLIAEEAIPPMVRPVAVEDVKREVKILKELKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILANFHPPTASRAIRPLLTGIFHFVRKNSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWNKTEDGIFREVLRNKPDFRKKPWPGISSGAKDFVKKLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLKEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFEEFVAATLHIHQMAELDSERWGLRCQAAFSKFDLDGDGYITPDELRMVQHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPRGPPNPQPL >KN539104.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539104.1:9153:21274:-1 gene:KN539104.1_FG004 transcript:KN539104.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEIQSLLDKLQDVNDAMSRCAASTAPTTSVSQKLARHRDILHEFAQEFRRTRGNLSSIREHVDLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPVIRGLLENLTVKASPLCAESLIPDDTIRLGSCLWQGKNSSILTKLTAAFYGSISLQSQISYSLRKSHVFAVMKTEWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSMRVGRLEALLFEAKGEWADAERAYALILENNPFDQIVHKRKIAIAKAQGDMALAVEYLNKYLELFMADHDAWRELAEAYVALQMYKQAAFCYEELILAQPTVPLYHLAYAEVLYTMGGLENLQTARKYYASTIQLTGGKNTRALFGVCLCSSAINQLTKGRNKEEESSELQSLAAEALLKDYKQRAPSKEALITSLLKNMKLS >KN539104.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539104.1:117948:122158:1 gene:KN539104.1_FG005 transcript:KN539104.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydratase large subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13430) UniProtKB/Swiss-Prot;Acc:Q94AR8] MTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKREFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADQTTFNYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGAGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPFTAAASALTGYVTDPRDFLM >KN539104.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539104.1:226:8030:-1 gene:KN539104.1_FG006 transcript:KN539104.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSRTVVAALLLVAAAVAASPVASAATYVREKLMSVLGCYERTNKCNNYEELRNWDFYKSTLVQKKKARQVVVLFRMSYPSSELNFEAAIVIRASWLKGSRRYLINEGMSAFIVYFGEGEILENESGVDLSNFRQCTLHHPNPDTLTMPEVWYWLTCTFSLDPGIYSVNVRVMWSRSANNIRWELKNVTRSKAWQDWLAGCRRRGYEYVMLVQACQGRVPTESAAGQSSNREELGSSSHEEDEVVAPHRGGDVGPDIQNLSIQGDEVVNHHPTGEADEGEDIPAIVEEIERVDRHAIEDEENLAAEENDDEDEQQVEEVPMPASWNQEDPGYIAENSCHDSIWFYGDGQINLGAMFRDKTGLQDAVKSWSFKTQRQFRVVKSNKTEYTVVCETEGCTFRLHGHVPKYESYWIVSKLHEHSCLIRNTRESHRNLTAAYVANKYYKEIIEGDDLPVRHIIKLVEKGIMHRTTMWMRTRRAAALQQMSNAQTPFCKKMAEYLQEKANKARFHTDRVLNAWSAEILGWRSLQHLVETGGDNRIYVPDLDLLKAGKGRRQTRRLRNDMDASEAGGPVRRCEECLQYGHRTRDCKNNKEGTSSPVEPRQQRARRNRRGSQGAHDREEGNMQ >KN539104.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539104.1:123943:125022:-1 gene:KN539104.1_FG007 transcript:KN539104.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSSAAFLAYPGSLGAGPRPLRLFRAFAAASSSGSGSKKKARKSKGAGNKGEASGGGKGKEKALEPPPAVSCQKNGTAFS >KN539104.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539104.1:106452:110095:-1 gene:KN539104.1_FG008 transcript:KN539104.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMILVAGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNATKKPVIEITTVPPPPPAIGFTISSGDHMEDSYSGSHGQHRSGAAAATTTTAKANSTSAFRVENWTPPAPPAPEVLGSGSTPTCDA >KN539104.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539104.1:88025:92238:-1 gene:KN539104.1_FG009 transcript:KN539104.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDEVAADEVEEEEEEPEEVFISATEESQAELPPAMDAAIKIFMHINDIEKINCDDTLSGSAPEKCSAKLLVPSAQATHLIGRQGVRIKSIQETTGATVKIIDKVELLSYDVVDERIVDIHGAPLKVLHALKSVLGILRKFLVDHGVLHLFERKNQAVGEAHDNSKENQVNQVASDYRLPVNKDILLPDHRTPLSPKISRYLLYGRDPSVCDPYLSDPSHQANAIIQQITQTMQIPLPFAEEIIGARGQNISYIRSVSGAVVDLEESRDYPNEVLVTIKGSSSQEVLSGNKERPSGSSYINLDSGPKFLISGHINPVNRDHLASYRDHQPYIVRYGSSSLPRLRGYRL >KN539104.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539104.1:31566:31880:1 gene:KN539104.1_FG010 transcript:KN539104.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGVEAGGGGVFFRNVVDADHPCRWKPTSSAQSSTSIPGQQNSHTKKGTRERNGEEGGVEVVFFRSNSTCASAGQGDDRRRAAVSYGAGALFTLMGRARDEI >KN539104.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539104.1:22829:23301:1 gene:KN539104.1_FG011 transcript:KN539104.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIISWTCLG >KN539104.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539104.1:52322:55836:1 gene:KN539104.1_FG012 transcript:KN539104.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLRAILFPFQMEPRREMLLQELGEMWDQIGEAEEDRREMLHALEEDCLNVYRVKVAQVKQYRAQLQREIADSVAEVAAICATIGEPSTTVHIACSSLQSTGNLKEELGSITPELEEMRRRREERRRKFSEVTELINRIEQEMKPSKQLHLTMDNSDLTIRRLEELRAYLQDLQLEKDSRVRKMTELMGSLHSSSLVLGMDFRETNLHHDDEGDISDDAIARLVSEIGRLREIKRNRMQKLQDLLATMLDLWNLMDTPSEEQKRFQSVACNIAASEDEITERDALSMEFINNVEAEVVRLERLKECRMKDLVLKKYDELNEIRRRAHVPVENEDDAMMMFDAIDSDAKRSLILERLEVQISEAKDEEFSRKDVLEKMEKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALITKVVAWEKERGAKFEYDGDGLLDMLEEYNNTRKEKEQERKRQRDQRRMLGQGTGESPVVRPPPKNIKNIIVPSNINGARLWTQGFIPRGASLLLRHGSYATPIVILNGDHELDKVTCGTFMVRTDCLGDPVSWVADNYLDKNPIMK >KN539104.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539104.1:79717:85137:-1 gene:KN539104.1_FG013 transcript:KN539104.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSIMEQKTRLHAIERHRSCEVSQAILSEVENCHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRNQRPGEGNGLKIVQRQLALTRPLSSILQSYRGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIETVKKLIDETTFYDKQWQATWQDESPSQPKK >KN539104.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539104.1:133920:145079:-1 gene:KN539104.1_FG014 transcript:KN539104.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRPGCNATSSPIQQNDTRGSSSQFRPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKSEPTESVEEVAADSAVAENINEHEQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPIVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQLATICQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGNELRRTVFVLDGYIFDESILYHTHFSNRFGNLEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSGKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPESENIMLQNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFDAVPQSDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSKLADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLENIATGSVPIIEHEGFYVSTSEDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFKVLFQQYEYSVVDMQLISNVSLNKYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTVLRIIKCDSVNIIWYEFDNSYNAGEMNSRSQGKAGAEKGN >KN539104.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539104.1:93302:94106:-1 gene:KN539104.1_FG015 transcript:KN539104.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFATYCAALEGEMAADDGPLPSPLSSPSPEEEGNDRQPPPPRRKDGITNKVAAAAVDRSALLTAAHHRVVVVEITQ >KN539104.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539104.1:96300:99192:-1 gene:KN539104.1_FG016 transcript:KN539104.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSAYGAAASGWGYDSLKNFRQISPAVQSHLKLVYLTLCVALAASAVGAYLHVALNIGGMLTMLGCVGSIAWLFSVPVYEERKRFGILLAAALLEGASVGPLIKLAVDFDSSILVTAFVGTAIAFGCFTCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEEKKRKKRS >KN539104.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539104.1:47062:47322:-1 gene:KN539104.1_FG017 transcript:KN539104.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGENRRELEGEAVVGETPSPHPNPILHHRVPQSPCSTGRRVMEGAPGEGEDRGEREEQVVVGEEKPGREGKAAAAQERGKEEKGWV >KN539104.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539104.1:26444:27507:-1 gene:KN539104.1_FG018 transcript:KN539104.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFSAFGLPGWSSIPTGQVYDQYFKDKKTDSFEAFHVAYVEFCKDFNTVLPGQDFDTPSLEKIQKFYDDTWKGINGDQEKKKEFMEYIRANVKEATVDDSLFIMAGLAAPAGAIVLKRTGQSIPQLKRLDLLPNVLFVPLFTLAAIMGATAVQMKQRSRHT >KN539104.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539104.1:58292:58729:-1 gene:KN539104.1_FG019 transcript:KN539104.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRRLAAASLTPPRIPRLLRLRPPDRTPPAFSTWTPHRRPRPHAADPSPIYLPAIEKIEKMKTVSSLTKDALKVLQEAGDKAKTHLRIMHNQYIEEYVLTVSTLSITS >KN539104.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539104.1:130029:132467:1 gene:KN539104.1_FG020 transcript:KN539104.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQLAVGKDDLVNLWRLVEGRDGGPAWIKMMEKALPAMTYQAWRRDPQTGPPQYQSSTIFENANPEEVRDFFGDDQFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKVDYLAMIFPFFCSDREYIIARRIWKLGGAYYCVTKSLPALMRMQQNDPLKGVPCLSIPRRNKPRRVDVYYSSWCIRPVESRRGNSGLTACEVLLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQKARAAGEPLSQSALMAHINTKVGDNFVRGLECNDDQSDIVEAEEKPAKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNTL >KN539104.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539104.1:29052:29816:-1 gene:KN539104.1_FG021 transcript:KN539104.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVRACGELADADLGGQAHGHAIRRVGAVESDVFLTSALVDMYAKCGLVSHAKRVFDLAQQVNAVGIDVVLWTALLNAYGRHGMCKEVIQIYDWMVASGVRPDELTILAVLSACQHAGEVVKGLHYFESIHEDYGLTPTPDHFSCVVNMLCRAGMVIKAWEIVTSKGCGGEFGISTWVALLSACCDCGNVELGRMAAQRAIELEPNNGTIYVELSNLYARFGLWGEIDQLRELMKDNGLEKDAGLTWVELSS >KN539872.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539872.1:1565:6491:1 gene:KN539872.1_FG001 transcript:KN539872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSEPIGITLTINIFVLFCQDFIYVLATAHAAVSDKSEQPKWWEKNAGPNMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTAVENPDILFLKVNFDENKPMCKRLNVKVLPYFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPVGVGDVLDSPEEKPAEASPR >KN539872.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539872.1:42123:42578:1 gene:KN539872.1_FG002 transcript:KN539872.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACINECVWLAMLLQAAAVATIVYSSSWINNLKRGHGVV >KN539872.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539872.1:35131:37309:1 gene:KN539872.1_FG003 transcript:KN539872.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQKEVDMYHDFLFIDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPDRLAALLAKDRLHQRTYIGCMKKGPVVNDPNMKWYESSWELLGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTVGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNTTLCSKSPTLPPEVEDE >KN539872.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539872.1:26274:28099:-1 gene:KN539872.1_FG004 transcript:KN539872.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDQLLRHHDQEPAMTMMMNSQSQAMQQQLSQAIFMINSSCLLLKDKASVLMRAKDYVNVLKGRIAELEEKNRKLSESQQLHAGDGDGGERDDRPDDDKIEVNMSRSPADHDSKCQELHLKIVLGSSSGCSAMDAVAGILQGLNEKRDVSLLATGHNSSSSGRRRLLPRAKSSQQPAGIF >KN546635.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546635.1:86:480:1 gene:KN546635.1_FG001 transcript:KN546635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLRKMLDSGKDLGMPDGVLINGKGPYRTQCYGRYQDSALSLKAPCSAY >KN545186.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545186.1:1126:1515:-1 gene:KN545186.1_FG001 transcript:KN545186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAVKMAAAVLCLMVALSVAAGQLITTTAATAATSTRADDHHVVEDDDDATPTTLQQLETRLAGDQDLAVLLDGGDGAATICPSNCQKCLVKCAGTCVADIVSPPTFVACFLKCAVVKLCFAKV >KN545453.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545453.1:31:1210:-1 gene:KN545453.1_FG001 transcript:KN545453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDTSKQLLPTRASSSSRFGGKVGSNVALVLLLVSLGFVLGLTSSNAMFLKSFYPSSLMPSSMAPLRLVLSSTSTSPSPPSPPPPQQPAPMHSMGDEELFWRASMAPKSRRRLPDGVVPKKVAFMFLVRGELPLRPLWEKFFEGQRADHYSIYVHAHPSEFFAGRNITLARWRKGAQWFEMDRDLALEVAADDELCFPAFRDFCVGRRECLIDEHYLATLVTMLGWGRRNANRTLTYADWSRPVNRHPHTYTAEEVTEKVIGGIRADKRCSYNGASSGGICNLFARKFPPETLQPLLRLAPKVMGFG >KN539558.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539558.1:51525:54573:-1 gene:KN539558.1_FG001 transcript:KN539558.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGAGGGRINKPGSSVDDLVDWSTAFGNNCSKIDGEYGGASTSSSAAPAPAPPQEDYCSGCQVLREVVHSNGLEITKLCIHGGIASGEFYHAILDVYRVSASAPAPALAHHSIINFRGRGYDWVKQYLTEYALRLAGAGFAVVQDSLSAFHDALCTTMAPCSSHVGDDDAHRRASSSAAEERTNGNGDHGQLVVHNAAVLPMLESSRCLVAADQAATTNNNGSGDRRLVVLDTIAIQPPASGCILHMAFPSKSYQSSRPTTLPSRYQECTCNPGSDV >KN544020.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544020.1:3151:4689:-1 gene:KN544020.1_FG001 transcript:KN544020.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPTRFACSSSGPARLSPHGCTASLPRRRWSLGAGGRPPPVRGNARRHWPHSAPAQHTVACTSRAHLEDVRSGFRRGVQAYTTIKHGFMFDRLLAVGMSRHHLVQFDAMEDNGAVTYAHTGLCLEALTLFIEMQTTVLLLNPNEATVQRCNFDQNEKEASVTHHSKKLAIAFSLMKLRLGATIQLSKNLSVRLDCHSTTKLIAKVYNREIVVRGKNRSQSLQRWFLLLQ >KN539558.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539558.1:5:379:1 gene:KN539558.1_FG002 transcript:KN539558.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRFLDDHPQSHHSPPPPPPPMPSPSIPNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >KN539558.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539558.1:28343:29501:1 gene:KN539558.1_FG003 transcript:KN539558.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILASILNHSYIFIRII >KN539558.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539558.1:11013:11712:-1 gene:KN539558.1_FG004 transcript:KN539558.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAAGNATQPAYGRRHHLLSTTDCSLLHLAAFLTFGDGEGQSCDLGKESTPCASRRADEDRRAAADRRHRDLNNKPQWGGRADTGDYPRSEDEAPPSSRNRFRISLRKDRQGGLKHHASPAEYHRDDLPMQDKRHRADESPHRPTGEESRLGSGGSSRLGSGQVAHKHKGEGRPVIYSRASYPLPMW >KN539558.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539558.1:83296:84756:1 gene:KN539558.1_FG005 transcript:KN539558.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGSIVGDVVDHFGASALLRLFYNHREMTSGSELRPSQVAGEPAVQITGGHDGRALYTLVMVDPDAPSPSNPSKREYLHWLVTDVPEGGDTSKGE >KN539558.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539558.1:7849:8619:1 gene:KN539558.1_FG006 transcript:KN539558.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNQGAWGRSRRPAQSKRVNEDRRVAADRRHRDLNNKPQGGGRADTGDQPRSKDEAPPSSRNHFRISLRKDRQGGLKRHASPAESHRHDLPMQDKRHRANESPPRPTGEESRLGSGGSSRLGSGQAARKQVDDAPQVVEVDEDADFAPETKEWLDNLSITDIPPDQAAVDLGLAMHGCNASASLDDAGRSKDANMPNLTHPPDDTTEKA >KN539558.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539558.1:30865:31861:1 gene:KN539558.1_FG007 transcript:KN539558.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAMAVVLAGLPFYRHRVPTGSPLTRILQVFVAAFRKRNVTMPESLVEMHESSDASTIELLDKTPDFK >KN542134.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542134.1:2094:2630:-1 gene:KN542134.1_FG001 transcript:KN542134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGARSSRTGTDNLTVIVDTGSDLTWVQCKPCSVCYAQRDPQFDLSGSASYTVVPCNASACEASLKAATGVPGRGVLATDTVALGGASVDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTEPRFGDVFSYCLPAATSGDAVGSLSLSGNMSSYRNATP >KN542134.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542134.1:15571:17057:1 gene:KN542134.1_FG002 transcript:KN542134.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMVDENPLEKITWKFRNLRTSSLSVDFGKISSIMSIFSLLRCAPQIEQLTIEVDLKETQGDDEIHEGILEAYMSEDLVKTLKRVTLCFIKCFPGEMSFIKLLLSKAASLESLKVMMFWHHIMPISDACLLFTTYKKESSTQVKFIVEHGMDTFDIVS >AMDW01033773.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033773.1:51:334:1 gene:AMDW01033773.1_FG001 transcript:AMDW01033773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPLSEDHKPNKKNERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPDIQ >AMDW01073147.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073147.1:53:1737:1 gene:AMDW01073147.1_FG001 transcript:AMDW01073147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NERKERQARLHVEGEEGKFGLNTVQILSSMSKLMNQDNPWYAQLPPAPAFPHRLPDPEHYSCSPMVLGVGEATHCKLALLDATKSVVEVGGDLTIDYDKTHSADTGIHISWRSTRRTWWLLSATPVTARALHAPIISRYASKGEGHKGKGDGCRTAGRKFPRVMNTMVPLVFKENDNACQNVNSRFRTGVTSSMELMTVVSEYTRTREHHVFLDEGVRFLWLHEEEDIQAIMLVSRIGPWL >KN544316.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544316.1:111:2306:1 gene:KN544316.1_FG001 transcript:KN544316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAVTHCKGDFVNPTNQLCANVLSTIHKLMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYNQLHKPPIRPSIDCLSYRYYLSYCWMNDNTTRDALKIKKGTIDEWLRCNKGVLPYAQDIPSSLNYHFNLTTRGYRALVMSGDHDLILPFLSTQAWIGSFNFFIANDWRAWHVDGQAAGFTIEYANNLTFATVKGGSHVVTTNKPKESFAMGKRWLANKPL >AMDW01004380.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004380.1:22:130:-1 gene:AMDW01004380.1_FG001 transcript:AMDW01004380.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGG >KN540318.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540318.1:806:11314:-1 gene:KN540318.1_FG001 transcript:KN540318.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQQGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPSNLQTDLVAGSSHRYSLLWVLLFGFIFVLTVQSLAANLGIITGRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLACGACTFLILGLQGYGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCTVATSYASQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSGCKYELAYRWQQKEKIMLDSSSSQIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >AMDW01021556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021556.1:160:207:-1 gene:AMDW01021556.1_FG001 transcript:AMDW01021556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYDDIPKEITDPEATK >KN540318.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540318.1:56128:56370:-1 gene:KN540318.1_FG002 transcript:KN540318.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VRNSLFKEPVLHDANKVGVNFRKQKLLQMAEQNNAPGEPKVVIVEDAEVVIEPVPKKKCTGNKGFTIPPGVEVIHIPSTP >KN540318.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540318.1:45923:52412:-1 gene:KN540318.1_FG003 transcript:KN540318.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDSQAPLLLPRGSHCKEEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICISTVVLLYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSVSVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMAEKARDRVFEERIPTESV >KN540318.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540318.1:30473:31242:-1 gene:KN540318.1_FG004 transcript:KN540318.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNNLLYSCGTSYLPVSTTALLLSMQLAFTLALAAVLVRVPLSFANFNAVVLLTLSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNVAGGVVVFGDEFGPEKAVAMLLCLWAFSSYVYGEYKKGDKAMANEEDQGILDRV >KN539952.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539952.1:2576:9767:1 gene:KN539952.1_FG001 transcript:KN539952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLLQLLIVALFLLLLAAAVAEWSSSSSFMVKDDLERSFPSGVRNCYSLPTVAGAKYLARVVSTYGNYDGKNGSSALQFDLYLGVNRWATVQPYDKSMYEAVFVAWASWAPVCLVNTGQGTPFASAVELRQLGGELYPAVMANQSMALYYRGTMGPTKTRFPADKYDRLWKPMTNNPTWVNLNTTSNIQQEPTSEVPLAILQNAITAAGNGTMLNITWKDSTLDKFMVYLYLADFQNSKLRQFDVYFNSGLQPVPYSPGYLVSTTVYSSDWYRATDGGLNITLVATAKSQLPPMLNAFELYTPITQDTPTTFSKDFDAIMGIKLEYGIKKNWMGDPCFPAQFKWEGVNCSSTSDNVPRIISIDLSNSNLHGVISSTFTLLTSLKYLRLLPTERLMSKFHVNTEICRATNSMDQSQTPFAKEMQGHSFSGADSTYNPSRVPDPKSTPRNEKYHLEHLKQNENRQFTYEELDQFTGNFQRLIGQGGFGRMYHGCLEDNTEVAIKMLSGTTSQGLNGFLAEVESLTKVHHKNLVSLVGYCSEKTHLALVYEYMSRGNLFDHLRGTASGDKTLNWAMRVRVLLDAAQGLDYLHKGCNRPIIHRDVKTSNILLGQSLRAKIADFGLSKIYLSDTQSHMSATIAGSMGYIDPEYYQTGWITESSDVYSFGVVLLEVVTGELPILQGHGHIVQRVKQNVALGDIRSIADERLGENYDVNSMWKVVEIALMCTESVASRRPSMATVVAQLKESLVLEEAHEDVGLQANPAGDAVAMLSSFGPTAR >KN539952.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539952.1:58460:65618:1 gene:KN539952.1_FG002 transcript:KN539952.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSLPSYLFMLVTGLLPLLVHSQTASLDEGFISIDCGLSSGSSYLDEKTGLNYTSDDGYICTGENHNISAKYNGQELFKTGLNLRSFPTGGRNCYTLSPATTGHKYLVRAMFMHGNYNGKGNDLVSSPLVFDVYMGLYFWDRISVNNSAKTYIAEVIVVAKANSISVCLIDIGDGTPFISSLEMRLMKSSLYPAAMANQSIALQERNSMGTNSLLSFSWTAPTDWPATAAVPAYFYNTHFTDYQNQRVREFNTYTNGDLSTSDQSRPAYLISDYTYSSSQYNIDTGFYNVCIIATNTSELPPVLSAYETFYLVQHDGTVTSPEDVDAMMTIRTEYQVKKNWMGDPCLPENYRWTGLICQSDGVTSGVISLDLSHSDLQGAVSGKFSLLKSLQHLDLSGNPLISTIPEALCTKRSLTLRYDTMNGDPCNEKSPKKKKTVVLFVAIVVPILMVAVLVSTLLLCYFCRKQAQRPEVPDTASKEEYEDHIHISDGREFTYKELMEMTNNFSVCIGEGGFGPVFHGQLKEGTQVAVKMQSPTSTIGKGTTEFLAEVVINFSPYN >KN539952.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539952.1:52707:58037:-1 gene:KN539952.1_FG003 transcript:KN539952.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNADPSWANLSTTSTIKTGSTFAVPSSVLQTAVTPSGNSTVLNVISWQDTTAKEYVVYLHFANFQSSKLREFDAYPDANQVVYNYTPHYLLSSSVYTPLFRAIAGEYNITLAATANSALPPMLNAFEIYFLITYDGTTTFSKDFDAIMAIKLEYGVKKNWMGDPCFPPEFAWDGIKCRNTSGNIMRIISLDLSNSNLFGVISNNFTLLTALENLNLSGNQLNGPIPDSLCKNNAGQFVFRHVAKTNPELLVLYNYYSYGSDGNMCNKTIVPAYVSPQVPDIKTSPERKTNPFDPLQITESRQFTYEELKKFTNNFQQFIGRGGFGNVYYGCLENKTEVAVKMLSEFSENGLDQFLAEVQSLTKVHHKNLVSLVGYCWEKDHLALAYEYMARGNLCDHLRGKFGVGDTFNWVTRVRVVLDAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKIADFGLSKTYISETQTHISTSNAAGTMGYIDPEYYHTGRLTESSDVYSFGVVLLEVATGEPPILPGSGHIIQRVKQKVASGNISLVADARLKDSYDISSMWKVVDTAMLCISQVATQRPTMSTVVLQLKESLALEEAHDGRDITTSSVSDAMDVLSKFGPSAR >KN539952.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539952.1:40981:50024:1 gene:KN539952.1_FG004 transcript:KN539952.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSIALQERRSMGTNHLLIKYIEHKKFMKKCISAGLLEVNKTLGSSGLLNISTNETIKRYPGDTFEVPARVLQAAVTSPATSIPLNFSWTAPTSWPAAVAVPAYFLNLHCTDFQQKLREFDVYYNGVLWEGNIKPAHLLADYRSDTDQYTSDNGFYNVCLVATKASVLPPILGAFEIFYLVQHDGTVTSSEDGMPIHITNLLWFPVNTMMTIKSEYQVKKNWMGDPCVPETYAWIGLTCQSDGVISRVVSIDLSHSDLHGAISDDFSMLKSLQHLNLSYNDLNGSVPDSLINLSSLLVLDLTANHDISTIPEALCTKGSLILRYDTTNGDPCNRKSPKKKNMVVLVLAVVIPVLMVVLPCFNALYICTQIQNYDISNKAPVRLLGCVDVPHITSKQDCKDHINIADGREFTYKELMEITNNFSVCIGEGGFGPVFHGRIKDGTEVAVKIHSPTSEIEKGMREFLAEVESLTTVHHRHLVFLVGYCTNKNHLALIYEYMPNGSLYDHIRGKKAVIQTLRWCDRVRITLEAAQGLDYLHTGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKSYFSVAQSHISVSAAGTIGYIDPEYCLSGRLTTSSDVFSFGVVLLEIVTGEPPIVPTTVHILQRVKEKVTTGNIDAIVDPRLDGDYDVSSIWKVVDIALLCTKEASDERPTISTVVAQLKDALALEEARNVSISDISQKGANLGLSFNSMPSAR >KN543414.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543414.1:6885:7601:-1 gene:KN543414.1_FG001 transcript:KN543414.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAGGGDELKLLGVWDSPYVNRVQIVLNLKGLSYEYVEEDLMDKSDLLLGSNPVHKKVPVLIHNGKPIAESRVIVEYLDEAFAGAGASVLPDDPYERAVARFWAAYVDDKVGSPWYTILFAREREEKVEAAARAISALETMEAGAFRDRSSEGKTTNAAAAPFFGGDSIGFVDVVLGSYLGWFRVIEKMIGVRIMDAARTPRLAAWAERFEAADAVRGVLPDDVDKVIDFLQAFLH >KN541975.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541975.1:798:13407:1 gene:KN541975.1_FG001 transcript:KN541975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAFRAREVALRKMENKDFNGAQKIVLKAQKLFPELENISQLLNICHVHCAAEATVNGQTDWYGILQVEATADEATIRKQYRKLAFSLHPDKNSFAGAEAAFKLVAEAHSLLCDPTKRPIYDIKRNNIPRKAPKQATRPAKKTQANKYSVPVYLHAFWTMCPHCQMRYQYYNNAINTTVCCMNCRRNFFAYNLQEQPVPTPNVPYSSQFPANMFPNQRRDPVSRQGHPVKLSCTGGNTDVRPGTYSWPGSDERTIQSEMTRGKDQFPARNQDKYSVPTANGNSGGCSIPVPDCPDTVDRQKLGREDASVAPAMNVPGHSKLHSTGGGTNAKPWVNVAQWKETTKEDSSASVEKKANQSMMNQRKSSAQTANENASGRFKPDHADPNVFDRKNFGTEDSFPVPNSAVPSSLRRSARRKQDAGDNGSTNSKVRKKQKKNNVLSDVDLNCQQIFNNNGTSGDKQSAPPHVSSTVDIQDKTKVTDADSKTKAELTDTAGRNAPSRFEKLSFPDPDFYDFEKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDASNFKAHLTWLEYNAASEEEKKWTDEELPVACGKFCLGSTEVSHDRLMFSHIVSWTKGKKRNAYEVYPNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSDFSVNDGITVVPLVRIKGFVSLFAAAKDKSTVVIASSELLRFSHNIPCYRTTGNEKVGAPAGFMELDTSCLPNDMDMIFPSVTLDSYISLGKKKGSTLIDLTTDSRSSRKDPGNEQTENLSEAQKESLSSEKNSSLPKNGHVANGFGNNSGPGCPSPTPTIFSYPDPEFHNFEDDRTCEKFEPGQIWALYSDVDKFPKFYVHTGQNGSNRQIEILPQVGEIWCIYKNWTPPSVDTCEFVIGEIVGRTEESIKISPLTQLNGFRAVFMPDKQNAVVEIPTRDRLRFSHRIPSFLLTEERGGRLCGFYELDPASVPDVFLYRNTVIGWIAYQRDRRGMREVTMLLEVGKYIAYGRNLRGISSNYYIIFPSLYLILQKLMDIRKEVECPICLSIIRNTRMIVECLHRFCKECIEKSLRLGNSECPTCHTHCASRRSLRNDPSFDALILAMYPNFDKKDEEELAFSVEKSGNKKIQESIDEAFQRQREPLGKKQSTTKAIGSSRSQRIMQARKRGRTSSDMPTYFGCKDREENDNDGCEEWSLVDKRLQYVKKKRTRRCPLPRSSPSGHKKIMKIFLLNKTHPCQKNGDNANGFGNLCEASCPSPSMCPYPDSVFHNFDECRTYEKFEPGQIWALYSDHDKFPKLYGWISKVELQPFRVHLTWLEDCPELEQEKQWLKQDIAISCGKFQIRNWKTKYYRNCAFSHLVNTGHIGSNWQIEILPQVGEIWSIYKNWTYDWTPSSIATCEFTIGEIVERTEESIKISLLTPVNGYRAVFKPDNCRGVLEIPTRERLRFSHQIPFFRLTEERGGKLRGFFELDPASVPDIFLYREENNIGGSKVLSSVDGHSQDLKKKIARKCRVPTSSPAGHEVPSKVDSHKEGIAKPVAIRQKVNHSEARVCRPLSAECHEDLSCQEKKSLQKNNDRFNMFGVSSYPIGISRGTCSASSGLRRPANRKKDDDANHLNSYDKNGVPSAVDMNFRKIFGDTTIMHRQSTPPNVPSKEDTQDKIKNTDAHSKDNTKADPTVTAGRNAPFCFEKLSFPDPDFYDFDKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDASNFKAHLTWLEYNAASEEEKKWTDEKLPVACGKKRNGYEVYPNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSDFSVNDGITVVSLIRIKGFVSLFVAAKDKSTIVIASSELLRFSHSIPSYRTNGNKKVKVGSPAGFIELDTACLPNDMDIIFPSVPLESYISLDKKEGSVIIDLTTDSDSTKGRTCEKFEPGQIWALYSDADKFPKFYGWISKVELQPFRVYLIWLEACPEQEQEKQWLDQDIPVCCGKFKIRTWKAQYETTDTFSHLVHTGQRDSTWQIEILPQVGEIWCIYMNWTSDWTPSSIDMCEFAIGEIIECTKALIKVSLLTQVNGYRAVFKPARQSGVLEIPTRDRLKFSHQIPSFRLTEERGGKLRGFYELDPASVPDVFLYRDTP >KN541975.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541975.1:18171:20661:1 gene:KN541975.1_FG002 transcript:KN541975.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSREHTCFTLVKATSLCSCSTYFREAQLDRVYYARLSIIQKARIITECLHRFCRDCIEKSMRLGNDECPACRTPASSHSLKVDPNFDALILTLYPDLHKDEEEELAFTEEKTCRKKIQASTNEAPHRRKETLGKKRSAAKAIGSSRSQGNMLARKRGHIGFPDIVPTKVGGKDREENDNDGCDEWSLFDEYSQDIKKKRARRCPVPRSSPAGHKVPSKVDHHEEGNATHKAIRQKQNHSKAHNCCPLSTEDQMDLSSKQDTSMKKTGYNANDFNNFSVPRYSPTSYFAIPNSDFHNFEEGCSCKKFECGQIWALYSEVDKFPKLYGWIRKVKLQPFTVHLTWLEPCPQQEQEKRWLEQDIPISCGKFKIRNWKTKYHGNDVFSHLVNTGHIDSNWQIEILPQVGEIWSIYMNWTSDWTPSSIATCEFTIGEIVERTEASIKVSLLTQVNGYRAVFKPDQRRGVLKIPIRDRLRFSHRILSFRLTEERGGELRGFLELDPGSVPDIFLNREENNNDGCKGLSSVGDHSQGPKTKKARKFHVPQSSPAGHKVTSKVDSHEERMANRVAIRLKVNRSEARVCRPLSAEHREDLSLQKKVSFQKNGDSSNRFCDSSQPICLSHSINAASSGVSRPTNRKKDVDGNSRLNSNGKRKQKKNDLPFSVHLNCKKTFGDTAHRADRQSNPPPVTSKVDIQ >KN541290.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541290.1:2700:4387:1 gene:KN541290.1_FG001 transcript:KN541290.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFAASILLCAEDNTAILDLGEESEEISWVVGVDASLGDLSMDFPLQSDDCIEALLGREEQQHIPMEGYLQRLLLQPDGIDLVAVRSDAIDWIWKVHELYKFGPLTAVLSVNYLDRFLSVFDLPVCDAKYVFETRTIKRMELAVLNALKWRMQAVTACSFIDYYLHKFNDDDTPSTSALSRSVDLILSTCKVAEFLVFRPSEIAASVALVALEEHETSMFERVATCYKNLKKERVLRCYEMIQDKIIMRNIKRQSASSVFSIPKSPIGVLDAAACISQQSEDTSVGSPATNYESSASSKRRRICR >KN541290.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541290.1:20394:27705:-1 gene:KN541290.1_FG002 transcript:KN541290.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/Swiss-Prot;Acc:Q38813] MQLAAPVASILVINAMDGQHSSPEKDYYKILGVPKDASQEEIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYEARFHKQGHNPFAEFYRQNNGPFSSKFYKIFSEVFEHDVDAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYVCPSCRGAGRVTIHPFTSICTSCRGFGKVIKDHCLTCKGSGVVDGMKYVNVTVPAGVDSGDTIHVPEAGHSGGRGTLPGSLYIKLQILLAPFLFVIMLKVASDPVFVRDGADIHVDKKISFTQAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWQLVENMKGQTFLLGLGFLVLVHLLLTKTVN >KN541290.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541290.1:10747:12376:1 gene:KN541290.1_FG003 transcript:KN541290.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLPDPSHGGGGAPPSHDHTEDEWFKERYGGGGSGGDAPRSSRAVNPVPPYGRRSALAPRRKEDFGDGGAFPEVSDAFAALAEALYAAEQKAREAVETRAKEKGMGSGFAGDDQYNVYSGRLFAAQPALSTLYKPSKHGEEDPDAYGDADEHLGKIAKTRRFVPDKAFTGAPASVAAGKRERPVEFDGPEMEEDPFHLDQFLTQMKKGKHQ >KN543760.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543760.1:1029:4004:1 gene:KN543760.1_FG001 transcript:KN543760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPCIPACQRLLGSRAALPSFGRALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKIDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPVRSESSCSSSRVIAISACFTGMSLICRGCYYILDLHSFRKDNSWVNTLSMFITSKLYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLAGRNYLLSVCLYWDAYLSTEDEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >KN542191.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542191.1:2741:8546:-1 gene:KN542191.1_FG001 transcript:KN542191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALNINIPQDEGVEEALPETSTNKWLKKNMKYMLVMRMIGCSCWASNMGLVKDGAADLEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKSLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >KN543552.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543552.1:1593:2172:1 gene:KN543552.1_FG001 transcript:KN543552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFIVLLSIGLASAVRVERYSNAQGSGTGSGEGTGSVNGAGAGLGSGTGSGSSGYNGAHASGGGGGGGGGYSQYGGSGSAGQAGGYWPSYGHGSGSGTGSGSSEATNTWYGQYANANAGGNGGGNGQGQYGGSGAGVGSGSGFGDANP >KN543552.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543552.1:6056:6631:1 gene:KN543552.1_FG002 transcript:KN543552.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGSVNGGGAGKGSGVGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSYGHGSGSGTGSGSSEANNYWNGPYANANAGGNGGGNGQGQYGGRGVGAGSGSGYGDANP >AMDW01032400.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032400.1:169:378:1 gene:AMDW01032400.1_FG001 transcript:AMDW01032400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRGTTLGANNRLDVLKILTTGVNATELRNWKGSVLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEE >AMDW01038655.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038655.1:130:651:1 gene:AMDW01038655.1_FG001 transcript:AMDW01038655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKGRAGRKKVEIKRIEKKDARDVCFSKRRQTLFNKAGELSLLCNANIAAVVISPAGRGFSFAHPSVDDVADRLASMAMGIPNNHSLGGGYHDSGGVTNIVQQQKIEYVELQKSLEKSEKKKRVQEAMEKERAGHLMQSLTSEVNLLGQDELEELHNKLSALPYTSIAKFYQ >AMDW01072947.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072947.1:43:453:1 gene:AMDW01072947.1_FG001 transcript:AMDW01072947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVYMEDEILTKKSDVYSFGIVLIELITRKPAKYDEKKSYVGNFVQAYVDKQERGIGDNEITADNILEMISKVAVACLEPNQDKRPDMRDVERQLYQIRQIQVRGWTKRKRNFNTHCRSELKMLQNSGWAERSTPTI >KN542426.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542426.1:573:3794:-1 gene:KN542426.1_FG001 transcript:KN542426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFWEAIMGKLFMVLENQYNKHKVLEQEISSLQQEFRMIAAVMDDQLLSMGRSEARTSVAWLHSEEMLDLEHDIEDCVDRFMHLLTCKHHRGGVRQMAHEVKKVKIRSSFSEEIQKLRRRLSEVRQRVVNINSPIACQSAGSSSSTPYHAAHSLVGIREPMEELLSLLDEVEGEPKQLRVISIVGFSGLGKTTLAKAVYDSPHAKDKFCLRAWITADGSPETSNWMKEILRGVLQQVRPGDAMDVDGQHLEASLKEYLKDKRYLIIIDDIRMDQWRIISSAFENNGTGSRILLTTTIQSVANRCSHGNGYVYQMNTLGKEDSEELAFSVLRSPELENHSESLLGKCDGLPLALVSVSDYLKSSTEATGELCAKLCRDLGSHLTGNHGHDNFSELRKVLLGNYDSFSGSALSCLLYLGIFPNNHPLRKKVLIRRWLAEGYARSDDPWRSEEDTADDNFSNLIDQNIIQPVDTRNNSEVKTRKTHGIMHEFLLNRSLAKRFITTSPHDPRVGINTTNSRHLSVDAAKQTKCMASDEELSRVRSLTIFGDAGDAISYLHKCNLIRVLDLQECSDLNDNHLKRICVLSPWHLKYLNLGGNISELPRSIEGLHCLETLDLRRTEIKFLPIEGIMLPHLAHLFGKFMLHKDDLNNVKKISKLLKLFSSNKSNLQTLAGFITDGRKGFLQLIGHMKKLRKVKIWCRHVEGSSNYIADLSKAIQEFTKAPIDMDRVRSLSIDSEEYCEKFLSSLDLEPCSEYSKYHLSSLKIHGKLLRLPPFFTSLSGLIDLCITPATLTQDHLSALINLNRLLYLKLIADKLENLEIKHGALLSLRRLCFVVKSVALAQPKIEQGALPNLVSLQLLCQGLVGLSGIEIRHLKNLKEVTIDSGVTAQTRQDWEQAAKNHPNRPRVLLLGKVDPVESEEPGRPCAIRGRGKSSIGQESSEDGSDSSLKRMRLAEPSSSSQLQVTGHPHPVVVAATEAASQPSMANL >KN542426.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542426.1:4965:11177:1 gene:KN542426.1_FG002 transcript:KN542426.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMFNLPGRLDRLLCRHGSMLPKGAEEEIPLIKQDLEEIISVLHGHCSEPKLEDHAMVVRCWMKEVRELSYDIEDCIDQYEHAATATRSHTGPNICRRKFNQRHGKMIPWVPWKLKQRLWMANKIREFSLRTQEALQRHTMYNNLGGITIASTTGGDVCSATPWHPTQFREHTDNVCSVGIDADGMEAALNDLNKLKNLLASIPTASLVQFREHANKVRHIHPDMEAILNKLKNIPTGITTTSTTTRGDVSSTSSRQPTRFMESAGLVGIDAAVNKLENLLDVCGEEKLKVVSIVGVGGVGKTTLANKLYCKLQRQFECRAFVQTSQKTDMRRLLINILSQVQPHQSPDNWKVHSLISSIRTHLQDKRYLIIIDGLWATSTWDVIKCALPDGNSSSRILTTTEIEDLALQSCSYDLKFIFKMKPFGEGDSRKLFFSIVFGSHSKCPPEVSETLYDIVRKCGGLPLAIVTVASLLASQLEKQEQWDYINKSLGYGLMANPTLEGMKQLLNICYNNLPQHLKVCMLYLSVYQEDHIIWKDDLVSQWIAEGFICATEGHDKEEISRAYFDELVGRKIIQPVHIDDSGEVLSCVVHHMVLNFVTYKSIEENFIIAIDHSQATIRFADKVRRLSIHFSNVEDATPPTSMRLSQVRTVAFFGVLKYMPFVMEFRLIKVLVLHILGDEDSIGIFDLTKISELVRLRYLKVTSNVTVKLPTQMQGLPYLETLKIDGTISEVPTDIYLPGLLHLTLPAKTNLPSGIVHMTSLRTIGYFDLSCNSAENLWSLGELSNLRDLQLTYSEIHSDNLKDNMKYLGSILGKLRNLTSITLSPPGSSCPDTLYIDRDTKTRINVDGWSSVSSPPALLQRFELLPCVFIFSNLPNWIGQLGNLCILKIGIREVTSNSIDVLGVLPKLTVLSLYVHTKPAERIVFDNAGFSILKYFEFICSVAWMKFEMGAMPSLRKLKLGFDVHIADQHDIIPVGIEHLSGLEEISAKIRVACSAHDHCKRFAESALSNAFMMHPGRPSVYIRCVDWTFHDKDNDCVGTREEECRTPMKQEHFVKEDLSEKSAVLQNEHDEEAHKFVDRRYYPIMDAAEIRRCPWSVNEEQEQPVLIYDARTKISQSSSMHSEFWAAVQRLTGPAATPAKTKRHLHLTTSPELEDGFLPLFKRSEFRGIELGDWS >KN545018.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545018.1:61:382:-1 gene:KN545018.1_FG001 transcript:KN545018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAVASLLLVALALVARPPLALAVKDYPADASAAAKKSPADKADTPTTGKESVAGKADVAAVAKKSPAGKVDTFATGKEYATAKADAVTVAKKSPTAKVDTPAT >AMDW01024152.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024152.1:46:328:-1 gene:AMDW01024152.1_FG001 transcript:AMDW01024152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YSPCDYAFLVEKDNYTFSTADLRMDKNRTMPVRLDWAIRDNLTCSQARKTAAQVSGYACVSDNSDCHDSTNGPGYVCKCNKGYEGNPYIPNGCI >KN538856.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538856.1:148078:150324:-1 gene:KN538856.1_FG001 transcript:KN538856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGEEGVAGSSLYRFRHRRLMDTSPATDSGGHSSHNGMPIMVSILVVVIICTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLSSIYDATNQFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLDYSGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNNQQTLIQDAWKLWNEDKAAEFMDASLAGDYSKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAAREMKKVSASEFSLAMKTETTKTQSVNDVSISMIEPR >KN538856.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538856.1:210694:211226:-1 gene:KN538856.1_FG002 transcript:KN538856.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSTGALVREMSSGLVRLALECEKQPINPAGVLPDDAAAGAAAEEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >KN538856.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538856.1:121290:126420:-1 gene:KN538856.1_FG003 transcript:KN538856.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 17 [Source:Projected from Arabidopsis thaliana (AT4G16660) UniProtKB/Swiss-Prot;Acc:F4JMJ1] MSKRKSPALAALADGNRLAGEEASGITARHPSKVFARARDLLAKPFPYVRSVAEALFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRSLTQAAQLAGVNVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYKAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDLDFRSTITREKFEELCEDLWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKLGIKMFRSIRHTKDFDVSISYEKASELPPGVTSHKFVEYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQTLSSEGGAANGTSDSKENVSSDGDANKSSAPVDESNAQDIVTEKVLKKRTFRVPLKVVEKMAGAGSILSKELYSEAKTRLEALDKKDAERRRTAELKNNLESYIYSMKEKLEENTEILTVSIEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLAELQKIVKNWDSNKPWLPKKRVDEVVSEAEKVKTWLKEKEAIQKSTPVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKTDSSESESKEAESTETSSESAAPEESQSEPQKTDDLEPEAHDEL >KN538856.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538856.1:155824:156378:-1 gene:KN538856.1_FG004 transcript:KN538856.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKKQCGAGRKAGKAKSAPTTPTKDPQSLAAKNRRERISERLRILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSSSSPLMGQLMN >KN538856.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538856.1:190130:195434:1 gene:KN538856.1_FG005 transcript:KN538856.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPAPANQRSGGGAPAASAPLGKGKGTTLRVAELEQELHEYQYNMGLLLIEKKEWTAKLDEINQALTQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVTDLEKALREIRGEIAEVKFMSEKKITDAQSLEASLEEKRLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYFENERKAGEDRIKRQEDSLRDWDKKLKESQNRILDLQRSLNDREERANENDKLFKIKQEELEEAKKTLEHTKATLKVKEDDINKRLAELHLQEKEAESKNRKLEEREKKIAEREEKASAREKVGLQKLLEDHNAKLESKRRDFDLQLENEKKSFDAMLVQKEADLVQREKDVRSSEDKLSKKEQVLNESKKKLEEWQNDLDTKSKALKKWEESLQNDEKQLSEQKLQIENERKQAEMYKLELESLKATVVAEKEKILQEQNNLKLTEEERQEHVMLTAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRTHLEEEAKKLNNEKKNLERWHDNEEKRLKDREDELDRKYKEQGENLALKEKALIDNIDHQRLENEELLKRERADLQRNLQLHRHELEMEMEKKQASKERELEEKENELNRKMDFVENELKRAAELNESKIQKILLEKKQLQKEKEVLLEDRQKLETDKADIRRDIDSLNTLSKSLKERREAYNRDRNNLIDIFEKYKVCKNCGVTIFEGLDALALKDSTDIQYPSLAVEADDRSPNPDTLAQETGALVNSGGRLSLLQKCSRIFKFSPRKKAEQSSEQQAVKNTDFGARLEEASQSDNDYEPTPVYQVAYNSFDAEDLPSESGAFENEESERQDIADDVQMESSVGVADNCVDIHGTQSFDGNTDMVVDTTIADVDQNGKDLAVLPVVDLEPETSKQGRRQQNRKGRAKGGVKRTRSVLAVVEDAKEILGENLEVKKDDGQGDSVTVGGTRKRRFAGATISEQDEDSEAHSESVSLGGQRRKRRQTAAAVTQAPGEKRYNLRRTTVANAATAAQTNKKKAAKKGSKQTVEATADDTEGTSKAEEPATGSKGASQSADDASQLPEYSQAEAGDTHGPAEVTGAEGVDIVDGIDAAPDAMPMTPSGSELGAEQDDEEDDDSERRNQSIGKKLWSFFTT >KN538856.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538856.1:113444:120299:1 gene:KN538856.1_FG006 transcript:KN538856.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGDPHVAREVFDEMRHVIGFDPANVPAYDSFLTTLISSGSSTALMDAMDCLAILHRQRCSPGEKFFRAALAAHLKARELRGAVVLWNDFVVRRGLIPDKEMYSTMIMLQGTLGHPEVIVEYLDEMTFDGVFPDADTYNMVFQFLLKGRKLREASAIFSEMVKNEFWPNEANCSLALRMFLDTRDCDSGIKLWKCMVENGLPPLEESGNMLVSKLKDERLPEACKYAEDMIDRGIKLSSSTMSKLKQCLMKVAKYSGVIVSKKKEKIVVWHALRIFSRSLDVNSDSSWSVDPLELMLKPLDCWLKRNCYYGRKHLKEKTRPWTGSSVGMESFRDDQRAGPQSEQHSDHVSDSAEDAISDEDVLAPTRLSLACAASKEREKENKMVVPDESTIWDEVLEEADELAYVHKFPPSISFLSAGTGKRRKGEHRLKFSIRGSSSVSLNVKTENPYIGEQEVSSVMPTNRAPETMMTAQLENIMEETEDLPSEFACSTKKANVSISELLDSLQDRSASSAGTPFLLHQHTRPKEEKPKVPTSVKRTLVLLGQRNLETENPLEHVIGETSSEEEDDAQNNLALINKDVKGQTMADIFQQVFNATNMDCFTLPVRSYGSGYYGRMQQILQMEKDRHAEFLRQYNREQDYLGDPKGVTVQILSRSLEGKLTICRCLFMEKSNLATTGEVSTDRDMDNSSVKRTIIFSPKICDNVDLVAGNIIHIFPPWKEVKVKEETVILCTYFSHRGV >KN538856.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538856.1:182886:184674:-1 gene:KN538856.1_FG007 transcript:KN538856.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFELYDSANIMFNRLSTTSRENALRRGIRIMVLRMRGFRGNLVYTRACTCLNVIPLSRPRTIRYLSIQRGIDPSKVAMLVGEKGGTDREHLLPGLHRTGILPGMVAAGSEKLLRDEDGFTTEDVVAMESPNIVTLADGQDIAVAADLLKAI >KN538856.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538856.1:127341:136618:-1 gene:KN538856.1_FG008 transcript:KN538856.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSSAQAWIKGHTNQLARVLSLDFRRGRSRRIRSRMRSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSPTELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQSVSLELAVDSDACPESLADATVSDSSILDRSIRCLPETTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQYTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQAAFMVPTEVLALQHYEHLTSLLEKFDGDECKPNIALLTGSTSTRESRIIRNASILSRLSSSHMHRFISLCVIVILWQGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKHITAENDPSLQFVILAVITIASISLQKMMLYTPSTKSSDDDTISDENSDSEIFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALVNNPFSDAGKIASYY >KN538856.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538856.1:110602:112061:-1 gene:KN538856.1_FG009 transcript:KN538856.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKLETSKMLLEPLDRLKKVDSQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEQPFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPAMQGKVGGAKFVDRNLIVTIR >KN538856.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538856.1:197248:205005:1 gene:KN538856.1_FG010 transcript:KN538856.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRREEAAAAAAAEAGTPRSPDLHDLSDDSDYAAAAAASSSHSAMRSDLVDQGSGETAKVDVVYEKERVTIHPTQYGSGRISGKLRLYLQQGSLFLSWEPNEGVDSLSSNSATMEIEKYRNLYTIKALPLSDARFIRKHTPTFGFEYIIIVLSSGLAFPPFYFYNGGLRELFATLKKHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTSSVDEARHGSDAKHGASSSMTEFVSKQKHRSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRENHSSVGRTYGRQQQEYVLDNRANDKYKDQQITPDKDSLLSDSMESNKILAWGKPREQPLSVDEWRSFLDPEGRVMDSKALRKKVFYGGIDHVLRKEVWKFLLGYHEYDSTYAEREYLAVMKRTEYEAIKSQWKSISSTQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNQNVLVLRDILLTYSFYNFDLGYCQVSLFVCSCSEHSFFQGMSDFLAPILHVMEDESESFWCFAILMERLGANFNRDQNGMHAQLLALSKLVELLDPQLHNYFRKNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYWSEHFHLYLCVAILKRYRSRIIGEQMDFDTLLKFINELSGEINLDRAIQDAEALCDQAGPNGAACIPPGTPPSMPIETDGGLYVQEDEVM >KN538856.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538856.1:145679:146008:1 gene:KN538856.1_FG011 transcript:KN538856.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEDKGVVEGDEGGEAESESGSVVPPHKCNNFSLAVMLTTRQVCPVAEGHDAAVGSASLTELGPPELARRGDDDEPPKFPWNQSSSKRWGQQGQRQSISLPPFSSPSP >KN538788.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538788.1:52751:64624:-1 gene:KN538788.1_FG019 transcript:KN538788.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGRPRRGDRGRGDSPPTTSRSASGSPSTSYTNNGMSDANNCVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMRIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIIDSLLSVIGEVGTGVPLGALWPILRPTRSTSSKCQEEYETQKIYSFKWGISKADGAAWPVANPVVTCADVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGTKQNREISIWYSNSPLSPWIPHKQNLIHNIGNRLSTRNGGRPFIYNGNLYRVGKGQGGRSGHGIQAFKVEILKSNEYKEVEVPFVINKQLKGWNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLVLVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSVMSNLNKLGSLICGRINYRTWKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDKIIGYYPRLSEGSPLEYRNERYARQQRGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRVLANCGTILNFSRVIATRSNAPLESKLEILLQEAGRGNILTIKQRIMQPAAPGKRAYQSCKL >KN538788.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538788.1:126178:126474:1 gene:KN538788.1_FG020 transcript:KN538788.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLATGGGRRGAARVAAEHLPYIEHWDAMWDELKRWRRTGEWGAGPFAGELRERAKIGSAKEALAVIGWTFRHILLRRDGSMPGRRTEDVPSYGTF >KN538788.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538788.1:18719:22021:-1 gene:KN538788.1_FG021 transcript:KN538788.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAVVDAAAEAVAAKAAVGGVGVGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGEEEAAGIDGFVISGSCSDAHADDPWIVALVDLIRRQHAAGKRILGVCFGHQLSLNLIPDTVDDSLAQCAKTVHVLCRALGGKTGRSKKGWDIGVNCIHPTAAMARLFSPIKLPVHMPIIEFHQDEVWELPPQAEVLARSDMTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRNNLILDHQVDKAKASFDLRQPDKDLWKKVCRGFLKGRLQSSQQQQQHQKQQKAAQALVL >KN538788.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538788.1:66601:69348:-1 gene:KN538788.1_FG022 transcript:KN538788.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGAQPVGGAAAAAAPPPKRNNMDYTLAALKLFGCQLAGATEAPPSESDGTSQAQMLYGIRFQRVWLQGVVVLADYRDGAGHILVDDGSCVAEITLTPKEAEGQPWREGMYVMVLGSYSGKESLPRANRPVIKVHKLVDLSAQPDRESMWYMEVVEAFNFFYLQFSAASPLMKR >KN538788.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538788.1:78235:95088:1 gene:KN538788.1_FG023 transcript:KN538788.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MRDAPADAAAGGGDNDDDDGDDGTEEDEEEDDDDEDEEEGEEEVPPPAEDPAAPEPVSALLPGSPNQLTLLFQGEVYVFESVTPEKVQAVLLLLGSNEMPPGLANMVLPNHRENRGYDDLLQRTDIPAKRVVSLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRANMEGESLSPGCELASQGSGQDFPSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSYPKAKAESSVVATEQSNAVVSQSGIDNKALVVPNPDNITANHGEVAHPDGLTQTSMVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYSVIRIVSEQLHEEWEALINQPDINLSKQWISSMMLRPFLFFVTQPSSWYKGQQDKTLNSISACFKIILNSINSMDASKNLCSFAVGTPEERSIWLYQAKKLISLCSFILAKCNHSCCKDGNMVQITDTAMRLAVSLTDCKTWKKITSEDTRAADASVESLIEFIGASQSGTYSCLRRYIANLGSHALEKKNSSISTDDQFLITASAVTIALRPFHSMRAGRGADLNGASKEYFTLILTIPDLCKRLPPLLLPAIKHISILQPSLDILLISKDKIFEEITKLEKSGVSSGGSGTIPYCGWALGNLVTLATEHDDLSNSGCFVQGLDCCLYVDAINCVSQSLLKFFEENKEMLLSFGDSVDTSFIKENDTSDSCSRTLFMDLLKPIYQQWHLRKLLVLAKEDAVCKRQNNHDPDTQTHSRSLKLLDIVCFYYHMLRIFSLLNPSIGSLPILNMLSFTPGFLVDLWGALEISIFGQAVHKLQEPKHERESATSSSGEHVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDNPLNSEQNGEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTLVYSTFIQNGGSSRKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEAAFATFPGNQFSGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGYIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >KN538788.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538788.1:9170:12498:1 gene:KN538788.1_FG024 transcript:KN538788.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSARSRPAPRAAPVRNPPQPARQAPPPAPAQNGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASATPMANATPSDSCSIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGGASA >KN538788.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538788.1:176648:177693:1 gene:KN538788.1_FG027 transcript:KN538788.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQLSGMIEETRFALCSVTSH >KN538788.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538788.1:70850:75669:1 gene:KN538788.1_FG028 transcript:KN538788.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARRSRRAATRHHHVKSRKRMTTTTRRRRRPRRISPSHPRRNRSAFTERMYHLQLKTYISSLHTQNSRKQVFHANVKLPDDKSTVDPSFTISLIRKLVPQGSDVDKELSVKQGGTEEKDASSDGGGPKQPHDKDLWDNEGCKLWDLSVIEPQAELMVNNLVLEVLLANLHVRQFLRAKEICIGIIGNLACHKSLANAITSHNGLIATVVDQLFLDDPGCLTETFRLLSTIFQSNASMSWAEALLPDEILSRIMWIIGNTVHATLLQKILEFLSALVDDQDVITILIEPLIKVGLVDCAIGLLLNELEKSMDGNNLDRSDSLDSILRLIEELSAIDNCSKVMSSNDQLIKALSNIVKLPDKFEVEGYCASVVIIIANVLSDGEHLTPILSHDLPLVEGLFDILPLISDDNEARNAFWCILTRLLQQVEEGETITNSSKLEQFVSIFLAKFTLMKDDIERHGIQTEADSSVEGVSLKNGLRTSVSFIRKFNPDIIMPFMDIHRS >KN538788.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538788.1:40575:42994:-1 gene:KN538788.1_FG029 transcript:KN538788.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKAAPFRGEQNHKQVASVANPLVKHCVKLRLSSAYRRSCRRILLVGLVPILEMCSFEFSTIETLLLLDGIELPEELYGFSGNVVYVSAAVMKKVSGMQSVDSTEAIAIIHMPKYFRDLDSDQGGAVLDEWLGSAKRILVLDGIQDPGNLGTLIRSACAFRWDGVFLLPACCDPFNEKALRAARGASLQLPVVSGNWSDLHALMAKSDMKMLAGHPESSSNGSERTHVLSKELADSLMSESVCLVLGSEGNGLSAETLQACELVNIPMQALPLALEYSSFNQTRWSFINTSLLEQARSVVLVHKLMNPSISPSIYYVELKC >KN538788.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538788.1:4733:8264:1 gene:KN538788.1_FG030 transcript:KN538788.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGEVPGGEGSDGEEVFINEEDIIHEIPIDEEDLPDRDDDEDDDGMGDMQEDDSQYAFRAHTGKLVQKNEFMIFLVLIIDEIFAVACSPTDASLVASGGKDDRGFLWKIGSAEDVLELAGHTDTVCTVAFSSDGNLLASGSFDGHINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPRTAQSRHVVRGHGYHTDGLTCLSVTSDSQTIVSGSKDSSVCVVNINSGQVVGSLDGHTGSIECVGISPSYNWVATGSMDQKLIIWDLGRQSIRCTCNHDEGVTSLAWLGPSRFVASGCIDGMVRIWDSLSGECVRAFAGHGDVVQSLAVSADGNSIVSVSTDGSALVFDISMFK >KN538788.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538788.1:97567:119135:-1 gene:KN538788.1_FG031 transcript:KN538788.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFFVLLIPLILLAGGGQVAVESAATSRGKLIMVDLLEYGSGAGTLAMRKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEALDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEYSGPAPAREPPPVPAIASSPVVIPAPVVDPPPVAVHSPVSTTNLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLQPSAAEVQRTECLRSLREVATSLSERPARGDLTGEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQFVFTGEQGLLKVVIEHLRKIPLKEQRGPQERLHLKSLRSSVDAEDSFQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSMMADIVTVAMLIRRILGEENNKGMESPDRDQIDRYIISSVKSAFVKMAHSVEAKADTSHEHVLASLAEETKKLLKKDTTVFSSVLSKWHPQSAVVSASLLHKLYGSKLKPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGDDGLDSICRQKLAPYQTWDPISPQQRHGASIVEVYRIIEEVPMRTGELNSLCRGFDKAFQVYTQLVTGPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVLNQLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVIDLIKQETRVLIDDLREVTQGAKTNGMRLGLATWKSCPVSAPLLGAISLSRQLGYFKEYKTKVEAVTGGKKAAALTSESIYVVSAGTSDFVQNYYVNPMLAATYSPDQFSDVLMQPFTTFIEGLYGQGARRIGVTSLPPMGCLPASVTLFGGGSGGGGCVERLNNDSRIFNAKLEAASDSIRKQHSDLKLVVFDIYNPLLDLVTNPTAAGFFESRRACCGTGTIETSVLCNQGAVGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >KN538788.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538788.1:51065:52146:1 gene:KN538788.1_FG033 transcript:KN538788.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQRPGMLGIGCTNVLARARVQQCLAAASHSNMPCLPVLLHVNGSKKQGSSFLSSQRIGLISRRRRRDLSGVVVAEASAAAGVTPASSSSSPGGISVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSLTIAPLGAVCAVLFTAPGSPAAKGVHVVVD >AMDW01035417.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035417.1:25:208:-1 gene:AMDW01035417.1_FG001 transcript:AMDW01035417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPRPSLVLCLLVLISCLLSGGGVLAGSRRRYLTASLDELRGYKLGDHQVHSSLPLTSP >AMDW01040134.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040134.1:117:407:1 gene:AMDW01040134.1_FG001 transcript:AMDW01040134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALTHAASRRLSVEKNKSYEAQIAGLNQQISALQMKIQALDKYRQNRNRDYCELEKKFKALEIRHTDQDKKYKAMEAKYTNLDKKYVTLLEKHGDCC >AMDW01015836.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015836.1:46:252:1 gene:AMDW01015836.1_FG001 transcript:AMDW01015836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DMYAGNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERD >AMDW01064015.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064015.1:117:383:1 gene:AMDW01064015.1_FG001 transcript:AMDW01064015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLEN >KN540026.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540026.1:39631:42384:-1 gene:KN540026.1_FG001 transcript:KN540026.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNYPSEKMSVYLSDDGGSILTFYALWEASMFAKKWLPFCRRYNIEPRSPAAYFSESEGHHNLCSPKEWSLIKNLYEEMRERIDSAVMSGKIPEEIKLKHKGFDEWNSEMTSKNHQPIVQVLIDGKSQNAVDDDGNVLPTLVYMAREKSPQYHHNFKAGALNALIRVSALISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMNHKIGFVQYPQNYNNMTKNNIYGNSLNVINHVEMRGLDSAGGCLYIGTGCFHRREVLCGKKFSKDYKEDWGRGIKERGHENIDEIEEKAKSLATCTYELRTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYMEPQRAAFVGVAPATLAQTILQHKRWSEGNFTIFLSKHNTFLFGHGKISLQLQMGYCIYGLWAANSLPTIYYVMIPALGLVKGTPLFPEIMSPWATPFIYVFCVKTLYSLYEALLSGDTLKGWIVKDEITAKVSDGDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSKIKAGVWNVFLPQVILCGLIVVTNIPIYEAMFVRKDKGRIPLPVTLASIGFVMLAFLVPIV >KN540026.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540026.1:986:9429:1 gene:KN540026.1_FG002 transcript:KN540026.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFYSEYYRLSQIKINRCVALVAHEEEAAVLDRARFCVDCNGSQQWSVTLSVGWSGTSLLLVRCVGAVGLNGKPAPSEFVFTGSHITTPAINRTVYQPSAVPSTSLRNVGLPSGYSHLWTACNSLDTDQHQEVQQEQTKVNEEFVNTRAEANMFSRIQRSRSRQRNIEDRLREKDEAANGVSSDDLQDMMERSKIASMRLNRTTTSSSSEPCGGGANIAGATHPFQGQGNDIYTNKRNSAGSLKCSKEGGLGSEGVHLDCSPSLVLENKIVSSDNLAKVPNDCSSRDSARTQVADSVCHPLPETHLFVEPKILQFEGVESVCMNFSSEKMGQPLESAHLDLAEAHPLNEDPSSTGCYHVPRSVGSSLVDGVELGLLSTDSATLKQHLQCGSPDLSPTHSRNEDPCPTISSEVPNYTSEPLVEQDTYCNPETNSLEGPCSKVSQLLEKEETKACPDANPLLKTDALHTIGSTERIRNPASQNSTPLEQRSSDPHVLPCSRSRSVQPADSSSRPPLSTGILPDSLLEVDHLPHSNDTNSQCSPSRSAASPDLLPLRLVNSGDVYQPSFSCCNSQNNKDSNGCAVEGTTVSIEKPPSQEQYLLDRPPMELNGFADEDTPLGHTLGTHNEMLKGKKADDLVNCHSGKLNSSQKKPKGLTEASGFSSGKNESAGQKVESNISTGVMHTTERSRGFSAMNCTEDLQQDGTEQETSPFDNAVQINANQCTADNNKQIKSLRPSVRYSLRSLMSHEKINLLQSEGRSAASGQKKSDADGVQVNGGPSSKRRRIKRQSNAALSSSPNTNSLSVVHQVDIDNHVLPLGNFSGKSQPSGRYLRDLGSSGCMSLKSEGRNAVSHGKISVSSIHSKSSSSPERYNKASLDNENGNSPGQLQNTLDVVKTTAALPSCYGTLIDNEKSCTEEENPCLEGKHANDTCSSVAHQQMTLQIDNIASQSVILDSENYSRENSITISPSYVSDQNGDQAHAPSALVRENLSYGSSAELDRRCKSNGSKGSLLSGAAITTQDGDESVDCDDTMPEFERFDVPIQFDSPCAETKTSEALCESRKLVTLSSKFSNYDTNTASGVCHLLSAMSGKPINFPDDLQQYSANNDRSMTDIFGACGLGLDDSFSIYDVTASCSSNGSSAQENNDNPLTPSVEKYGLGKLSARSGSSSEHMGSIPELECFRIDEHSSIAEENEYQGMLHGSAGLGYSHQLPSGRKALQDITGLCQNTVNSASLSSIFLDTVNELNHQTDLINGHANDKPKNSLAASTKRERKMSDSLHPRLRRTELHNRNGRHQSEANIDKQSKPSNIVANVTSFIPLVKPKLQPTTACVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLERERLKQEKELKQKQEEEQKKKRDADVAAKKRQRGEEERKEKQRKRKCTEEARKQQKQPTEKSLAVNDEKDVCRKKSDNIELTKPDGRTTEPAMTNIPNSLEESYQMSPYKDSDEEDDDDFEHEQESRRRRKFIPSWARKFVSCGIEFSRTSVATFVYIFGITNLYQPSYVYENLSGQYSNYRDYGYKPDCKVTTTIHLEMKTP >KN540026.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540026.1:22730:26975:-1 gene:KN540026.1_FG003 transcript:KN540026.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEVVYTVYWVVTQAGRRSVVPRPPPHVQGQARRERFNSYFLCSMGRLNVCKALATSCLLRGVRRASQSVHPKRMVIYQDLYKEMIENIDTAVMLRKIPEEIKLNHKEFDGRNSEITSKNHPPIVQILIDGKNQNAVDDDGNVLPSLVYMAREKRPQYHHNFKSGAMNALVCSLTLFSFTLKQVLVAHPTLVLDASIEERSDYKIDWDRGIKEKPQADIYEIEEKAKSLATCTYEHRTRGWESVYINPQRAALLGVGPATLAQTNTAVQEMGLVKGTPVFPENIYSLYEALLSGDTLKGCWNGQRVWMVRRITSYLYDLIDTIRKLLGLSKMTFAVTAKVSNGDEAKRYKQEIIEFGSSYPEYVIIGIVALLNLVCHG >KN540026.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540026.1:14579:21714:1 gene:KN540026.1_FG004 transcript:KN540026.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGALALPHFRSRVGVGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSTRCLDNRDIRLVTVIVAGTVRWKRHLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVHYEKSTMDEFVRIQEGMQNYLNLSHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTPHLSLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTAKFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRITAFVQRHPDFVPQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >KN540026.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540026.1:61491:63753:1 gene:KN540026.1_FG005 transcript:KN540026.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASALDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSMNGKVAPASNQKGSDNYLEFEFF >KN540026.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540026.1:11680:12798:-1 gene:KN540026.1_FG006 transcript:KN540026.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRDGGVGFTGVRDGEAWRRAGEVARMEQTLRESEVEKARSVELVEMAVGGDRRCLRLMLREFSRRTDGPVTHK >KN540026.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540026.1:27634:31267:-1 gene:KN540026.1_FG007 transcript:KN540026.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYSIEPRSPAAYFSESKAHHNLCIPKEWALIKNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTFKNHQPIVQILIDGKSRNAIDDDRNVLPTLVYVAREKRPQYHHNFKAGALNALNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTFKNHQPIVQILIDGKSRNAIDDDRNVLPTLVYVAREKRPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVSYHVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENISEIEEKAKSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIQCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLIGHGKIKLQLQMGYCICGLWAANSLPTLYYVVIPSLGLVKGTPLFPQIMSPWATPFIYVFCVKTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCLGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVIINMPIYEAMFLRKDNGRIPTAVTLASIGFVMLAFLVPIV >AMDW01040838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040838.1:684:2467:1 gene:AMDW01040838.1_FG001 transcript:AMDW01040838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPLVSRCGRIRRMVAESKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEDNLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIAINASKEQLVSGLAHLECDVGSGKLRMHCQDWWVEDLSALRIDYYQRVIVTMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLQLEMASLDDLLIPATQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSAHPSLTESECKKVCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSSTTPHTTSLGGDVGGSLSQRMMTGGSGVASSCVSPQRDNYASLRRENRELKLEIARMRVRLTELEREQG >KN540809.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540809.1:9005:10805:1 gene:KN540809.1_FG001 transcript:KN540809.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIAISPMVEEFALLPICFDGSRSPHCLSGSQLQDSIIIFLAVPGAPPMPMSVLGSESIASVKLRIQRFKGFVVNKQRLVLDGHELARNNCHVKDYGLADGNVLHLVIRLADLRLINIETTSGKKFQFQVDQSRNVKYLKSKLAVEGDEDLGEDHKLECDGKELEDHQLIADISKKDDAVIHLFIRKPAKLRTQQVDKDTVVTVVTPQEKENLQNEAHAVNPAKPAGARSALVEPIIVNHKVKLSLEVMRMISSAIAGLENGYLPVMSAEGSGGVYFMQDASGEKNIAVFKPRDEEPMAKNNPRGLPVSTDGEGMKRGTLVGEGAFREVAAYILDHPIGDHESEERIGFSGVPPTALVRSLHRGKSFKIGSLQMFIQNNGSCEDMGPRAFPVKEVHKIAVLDLRLANADRHAGNILVCKDEEGGNYKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSDETIAYIKSLDAEEDIKLLKFHGWELSARCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIEDIVQEAEDHVLPGSSEVIFLETVSEIIDRHLDKKFA >KN540809.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540809.1:26500:28871:1 gene:KN540809.1_FG002 transcript:KN540809.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAQEFDHGDELKFLAELISYMHMNGNAQVSTSSSRCGSSMRMEGDRNGAWPMLSMSSSSARYGNDHQELASAAAAAAFRESPGDPRKLSSGMSSRLLPARSMRSTGAGDDGEVAAAPVAGARSLSFSKLFSFRIANAARCSSSLDFDHPLPSDAASSDHISALSTQPAACLMFARFAKNEETTATKTKTKAKHASDHMNISRSQSVPMSTLSRFSSKGGGGSKRVADSSSLRIPDGGSVRFRVSVIGASPPDGNADAAAPAGEEEDDAGSVEAEEEALVCRICMVALSEDGGGGGGGTLKLECRCKGELALAHGDCAVKWFSIKGNATCDVCNHEVRLQAVIAIILSTFAGFGVAICANAILLQIIRWRARRASMSAAQEEEEARRAPTQADVENALPPP >KN540268.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540268.1:17461:18239:-1 gene:KN540268.1_FG001 transcript:KN540268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKRRVISTDGEERSTWTEAVEGAGDEPAGARARRHHADHGSGRAVPLPAVLLTQIQWFHDLKPFFSAIQPSGMCEFYANSVLVISEFGGNNYNAPLFCWRSPRGYLQAISICFVSAMMGLQWHSWIFLTVDLA >KN540268.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540268.1:49618:50852:1 gene:KN540268.1_FG002 transcript:KN540268.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVRAAVPQPCLLVLTRLNALLHAVIEIEVNSDALQQPARADTEHASGHSYCGPLHGVHILKDNIVKRDRLNTTAGSFALLGSVVCRDAGVTAGLRAAAAAAIILSKANPVPNGWSARRWQLQLATHRKIIFASTRSCSMDMIYLQDMIALGGDEISANP >KN540268.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540268.1:45595:46772:-1 gene:KN540268.1_FG003 transcript:KN540268.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSNSISLCVPAYEIVKMEPQEKNSQSTSLGARSSNHLFFYMVVCKNIFKMNGTLPHWGCNGIKGGNWPQFNAR >KN540268.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540268.1:24066:24425:1 gene:KN540268.1_FG004 transcript:KN540268.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVERDEGAPWLDTLLQHVCSAELGVPPAEFLPEHVHHDLVSSFFSPAAPFNTAKHASISAVAARRASGRFSFGSGGRDLCVSKRPAVGVSVNGIYCAAWRITSTSNLSPPEIAIFWP >AMDW01077911.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077911.1:3:227:1 gene:AMDW01077911.1_FG001 transcript:AMDW01077911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKVDLLPNTNKKAGDDNNDNKNNNKGNQCDGKPVDKKQQQQEEDADEAGKEDKKKKEEQDDQKKKKAKDNKKPVV >KN543814.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543814.1:3771:4565:-1 gene:KN543814.1_FG001 transcript:KN543814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKAPYLGRRGGGSGGGGGCRREAGTARFLHRLLGKLQTGKWQEQLAVWHSGYITAFEKGREIKQWRVVIGDARREAGTRESIHGAMDNSYSMGAVLGRMQSYSLLEHLL >AMDW01009627.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009627.1:1:210:1 gene:AMDW01009627.1_FG001 transcript:AMDW01009627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDANGHGTHTASTAAGSVVEA >AMDW01079137.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079137.1:9:318:-1 gene:AMDW01079137.1_FG001 transcript:AMDW01079137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLISLPEGAVAP >KN540678.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540678.1:24305:27471:1 gene:KN540678.1_FG001 transcript:KN540678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFLGKADVVLRGFSGYNTRWALRVLARAMEGAAAVGAADPVAVTVFFGANEASLPDWKQVHQHVPLDEYQSNLRAICAYFKVLLGLKSIGFPQLELIDAAALWSNGPPLKLYLSRLHQSMNRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALCYFSYFYLQLWQQVHGIGHLVHGFALVKLLSEVHYNSLYATEVGAWRRNRRCGGDRVPAIVWECTVNRPIFSVHVTVYNTNIPYSAQSRLILKTPAYIVLSILRAPSPTNKVTLRWSTNITSDPKMKL >KN540678.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540678.1:29820:31172:1 gene:KN540678.1_FG002 transcript:KN540678.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRISSLESERPVGSYRFHIPRQPPRIQAEGGKRHMIIGDRRHGGTALWRRGSMLPPSLPRWGVEDGHGHVDGSSRQHPLELGSSSALSVPIGGWIWRSAAAQARGEVIDGGRCEDEAGDHMQPPPASSASMVMLSEERISASGIVVREQQLHGYGEGPFLPCLAPMAAKGTAREISTKKIAKKDHGEVGDLTMAMLMPSWTSTGNHSSRNPTRCLEQLPEPSKRAHSEAYGNMQLATGDLIVGLHKQATITVPPPNISEIGGHLTAHNNNEMTMGKGVQHTIDVSVAKEATCSLVSSARHCKRGPYECRKCGTMFSSGQALGGHMKSHNSDERWSDKRVPSAFVGSFLSLITPIDVSNVSVPSSRNPHTSSIPNKEEGRVLVMGAAPLNGVPKGSFRLFGENIAEAPKEEPME >KN545331.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545331.1:1565:1825:-1 gene:KN545331.1_FG001 transcript:KN545331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILWNCKKAIPPRDKGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMLVNGIERDEQEWKKVFMEAGFSGYKIMPILGFRSMIEVYP >AMDW01016335.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016335.1:24:182:-1 gene:AMDW01016335.1_FG001 transcript:AMDW01016335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTL >KN538780.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538780.1:197348:208579:1 gene:KN538780.1_FG045 transcript:KN538780.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPPPPAAAAAAAGQTHAGRVDALERMLTRLALTEDSVASLLCRFLPYTTISLVSPGASVRELLMENGSLFDKLVKQRPDISMMMDLWRIYTESTSTVVQNYCIVYVEMGFERLLSEDKAIIAPDLLINISNVPEQHQGIILRLVLKAIGECDTHKVDQTVASKYKSISASNDGLVFADFCLHMILYQTPPQGIRCPAGLSVAQSDRVTGNLPLKGDTLASRKLGILDVIETMQFKLEIVYPLYLAAASDSQEAVAKRGEEMLILKASAVNLEDSDLIKRLFTLFNGTASSENIASELEVAPAHSSLRVRLMGVFCRSIAAANAFTHTFQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHATNKQLKAISPFILSGILHSLDGSSTTEADSSSRDIRIFAYQAIGLLAFRMPNLFCNKTGMAIRLFTALRLEEQSLRSIIQEAATALATAYKLCCGLRKSQEGSRKIVLHVPWTEGVRMGFVDYGIVAAREMALAGLNLLNDERQSSTMATDISYPDIAEMVNYIYSQQPQLLHCYEQRNGKLLFPTDTFLAMIKFLMKCFNTHDGSDFLQEDLSNSTLAKMCVLLEHAMSYDGSSELHTLALKSLVDISSRQPKMVSSFYVNRLDWPRTLLHADTHEEAPRLLGIASTLLSIAALYVLPELTSIFDQNPPSGYGRQCQNTTDINEELGSCCNGRTNHSLEHEVCGGGNNSDNLGGDGCDEELVTGCHHRHGRIDHNLEFLIDRMMSWSVRDILKAPPVIKKAQTTFVSIDNYFESLCIEAVALTTAQLKMPLQLLGTANWHDVKLISEVQFPYYLLDIVHIPTDCYKNRCHTIRRGDLILLDPTSPYSKKPKGCFFAVAVEDEDEYFRSAFKVQIIRKSRPVDLVINYAALLDINIQGQVEFWSSIHQDIDNKCQCIINSILQAPLVVFDKCTSEKSSSNFDVPNPNFRDDLDEYQLKAFKGITTSLSSCRHFEHTVELIKGFPRTGKTRLLSALLLSLTSKFQCVVYAPSLFVITDLLHEIQYLGGKHNQFDNFCKKTIVLERKSDIGPEFGHLTLESYLDDTNMCFGFPGWKFFINMALDILDKFGPKYKVFRKRREISFLDMFKKEFILASKQLKECLRSLKIWLPKLCLHNESTTEMIKVLDEIEDLLGNRNLSDGSMRKAFEVEAVQTFDTGDCGIAEELNDKRMKCAQLLRKFKEDLQSIDLPTFKTREDLEEFCMKNSSIIFCSTNNSFHLREIQLKIDCLIIDSANLFNEYETLVPLCLPSLHSIILAGDEAKKPTVGNQVYQQDAFGVSLFQRLLDLGFNQHLLLDQYITGQHGRRVSSKSKVLKPEFTWIERPHNRKYILAPIRDQGPKDFNVILDIQDMLLQYKEETGYTYGDELRGERGQIRLEKALQTLKKRGVRGIDGCDNDKKLSNAVLLSSNGFHKKMEQIRISSFRRIDIEAEGFEQIFERLLQGRMLVGSFKVSCNYFELAEGEVYHYDYARPIVRDGVTWSHAVMFVGFGDPAGDVLLDNHLAAIDTCDFELERMKHNSIGHLVYQNSYGKLFGFPHFRCGYGRVGLQSIVSVWEVTV >KN538780.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538780.1:219150:219674:-1 gene:KN538780.1_FG047 transcript:KN538780.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPSIGDEDDDDGSPLLAQVLCYLLLNGIISGERALQILQNANMPLDLDLNLDDGGANMPLDLDDGGGFRGVPASAAAVAGLEKQVFHQFDHHGGDDDDDEAKDSAAGCVICMEEFVAGDEVCAIPCAGSHSFHHHCITEWLGRSNVCPLCRHALPVEEQDEGIVDESGAT >KN538780.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538780.1:233945:234381:-1 gene:KN538780.1_FG048 transcript:KN538780.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTGRRSSPPVAAALALLLLLVLFFFSHCASAARPLPASAAAELVLQDGATGNGDEVSELMGAVEEEAAGGLCEEGNEECVERRMLRDAHLDYIYTQRKSRP >KN538780.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538780.1:224121:224936:-1 gene:KN538780.1_FG050 transcript:KN538780.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDDVSTAALTDGGHGQRHRFTFLLPSQNAMMPPLTDDEDDDFSVDDVAQILSLLLLNGVITGERALQMLLQTLTVALDFDLGGGGGHSENDDEDAMMAAPPPSIDDEDDDDGSSLLDQVLCYLLLNGIISGERALQILQNANMPLDLDLNLDDGGANMPLDLDDGGGFRGVPASAAAVAGLEKQVFHQFDHHGGDDDDDEAKDSAAGCVICMEEFVAGDEVCAIPCAGSHSFHHHCITEWLGCSNVCPLCRHALPVDEQDEGVVASSS >KN538780.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538780.1:182988:184078:1 gene:KN538780.1_FG052 transcript:KN538780.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPDSYHNRHIGVFQIKSEAISASGITIASTTQPYLIKYKSSPVRLIQTALLCVPLTMGIRSESQDANLKLLHYREGHGRHKRTVLIRVMLQPRAMTVHLPQVYKAEIVVQTTLPWTKELVRALKWTLCVWVSLCVYVFILLLAMICWSRSPSAFSARDRRLYDHQVIENSGMDMGDSGERSDKELYGGVGVKWKQRIRKRKAQHGTLQGDRMELKFTEGSTSGVAMDGE >KN538780.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538780.1:251739:258615:-1 gene:KN538780.1_FG053 transcript:KN538780.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWISDVALPWAIAVMNSPLPVQSFSISTVGWRPFCSSLKLRCRAAPTLFSSCRSGQQQPLSQIAYYSGRRLYNWNLPSHNYRYDLKKKKKDSSTKKQPAREAAAAAANGKSREKDGAEYDRPSKFLVVCLNAIGDAAASEDGGGGIHDTGSWGVELWNCCSAAPPTHVLDTSGECATREKTAWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQEKAVQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELIALKAIDISGVSMLVIDGLKCFTDLNVSDKLCSIRDAILSNPQITIFSDPSDRRVAALATKLVGGKKITRLCTNDSVTSRSAFITQKIHICPSKDQKAPKVKEILEQILNDHARKTAKVLLVTASDHEAQHLSSSLKLQNCTVTNDSHGNSFTICSSVGLINVLVKDWENITTANFEDFDTVLVADLPPSVDEYIEILAGASCHVLVGEVHCIFSSADALLAKPLSEVLTSCGQVVPEFLRKLASS >KN538780.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538780.1:185141:190370:-1 gene:KN538780.1_FG054 transcript:KN538780.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADERETPISRRISFPLDRRVLGVKDEQETMRLQERLKDPRLQEEIRRILSKISAYEGMETISSPIPILVYSTSGKFSYISAFFFFPTSKSDLWEAAVRYQEQVGGRVRFPLLYLKVDNESGKVVDVGKYWDDRHGVGEPRGRFKSFKWFADKHKMFKAEAETASSTKSTAQLQQHSYSEEEDLTMYKSHQQLQFSEEKDTTIEENSEKKWHARLIDEYIRGILEGNDNSDTEIEDDENVLSKSLVEVDPCDIGQFQHFEEMNSPREECVTPNPKNVDSSSKISSQQGHAKLSAGSSSVYIMASRFSHYKAVGYHEYADLINRINKGVQLYSNSMQELSQKGTDGLSEAFVAFRNYFAEKDVFEEIDYKFGEILHHCSRTVGIRKIYHHYNFTVEMKNDKDCWIPRVYFAEVKMKYGFKYRFCAPLEATDDGQCYSCKNQGIDKLKHPSRGGYSKGYDGAVCNHLGEDSSDEEDDLM >AMDW01040171.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040171.1:128:600:1 gene:AMDW01040171.1_FG001 transcript:AMDW01040171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSSVGVTGARSNVNLRVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKNMKAAMP >KN540697.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540697.1:39604:41165:1 gene:KN540697.1_FG001 transcript:KN540697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGVVVAAASDTDNARPRPRVDPQLLMAARRGDSKLLEEKLMGLNDDRVEAAASEEAVVVVDVVVVDVVPPPPRRTPPPPPPPPPAAADVIPASSSSLPPPPPPLDGVTAEGDTLLHVVAECGDGLEFRRCARLIYDTEKRLLDARNGRGDTPLHCAARAGNAEMISFLIDLAAASRDGKAATEAERKVAYLRVHNNRGETALHHAVRAVATAAKQLDCIDRLIAEDAELAAIPPPTEKAASPLYLAISLGEIGIAKHLFDKTEGELSYSGPDGRNVLHAAVSFPQE >KN540697.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540697.1:272:5096:1 gene:KN540697.1_FG002 transcript:KN540697.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding NHAFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTTLTSWEDYYRWRLLPLQSPVAVLLHWLIMCSCFPDFDIIPAYRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAPNAGVAAYPNWMPTIEIIRKVGIPAIFTDFCEEAAHLASSCINSITGQPLRVPLMEYEYQTNTFIIYHLLSRFRSNTLKSQKNLLVGSSNPMLDIVKYGSVINSFCAKVLMR >KN540697.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540697.1:21932:24919:1 gene:KN540697.1_FG003 transcript:KN540697.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILQKGSELGLLPIDQEILNERSEISLEVIPDDYIVGDIENEANKLIDILTDRKSAKTLVAIVGGSGTGKTTLAWKIHDDHRTRNAFVVTAAGGNPKEAKNRTQLELMLASMLKGKRFLLVLDDVRGHQIEENSLEAHWHVCGHGSRILITTRDENVATKLNASYIHQVKELSFQNCWSLLCCNACLDENLHGNTLRNIGIMIIQKCKKIPMAVKIIGAVLRRKEQTQESWQRVYEFEGWSFDDLRGDVQGLTGAIYLGYHDLPSHLKQCLLYLSLFPEGSTIRQQFVTQLWISEGLIDRQDDCSAEKIAEEYYEELISRNFLQLETGNRDITRCTMHDQIRSFLQFFVKDKICSGEVKPGTNGTSSEGLRHVWISGTSTTTNLEEIATVTSLKTVILYKNPLRNQGLDKLFKGLKYLHVLDLGGTEIRYIPRTLEFLVHLRLLNLSLTRITELPESINYLRNLQFLGLRYCNWLHTLPKGIGNLHRLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTPIPEDDPSGWPLEHLKDLNALRSLQILKMEKVSDFSRVKEAMLEMKSQLKDLEICCSNDDRLAEAREDDSRTLKQIFDSLSPPQCLKSLKIVSYYAKHFPNWLPCLTNLQRLVLSDCKFCEHMPDLSKLNQLKFLTITGCSKLLTVEQESAGVTQAFPKLEQLHLKDMPKLVSWIGFASGDMPSLVKFRLESCPKLKCLPEGLKYSRVLRSVQIRHADSLEVIQDLPVLKELNVQACNELKIVSNLPLLEVLTIRRCPRLDDVSGVHYLSHVCITDKELRKLPDWLSIHAPVLQTFNIVGVSELLDRLLPNGEDWEIIRQIDKVYANLLDESPFFTYAKSSGDFHVDQRIGEINKPPVVLGHGTAGGGLSIPDHSVEISSQIGVSRVPLNRISTLRRVVRSYLVPYLIMVMVVMQFLSYYLHNRSTREIWLVQTLFIFFATVFLLLLVFLD >KN540697.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540697.1:14415:16582:1 gene:KN540697.1_FG004 transcript:KN540697.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGGVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQDDFLPRTDTPLEDVFKSLVWCLIDTCIPESVMLRDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHIVLSCNMISDHAIIWIEREAQRGLDLMLENERTMKPPETQRMDDEIAIERDHDEEQKAALRRAVALGVADVNVPSTYGTFSENMTPEADEASPILLDSGRRRTVWDEWIARIFEKDESGKMIPRT >KN540697.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540697.1:5666:8027:-1 gene:KN540697.1_FG005 transcript:KN540697.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDSPYAFIIVPYTCLQKQAARTAATMSGGSGFPGFHNHGYDCDYTRPLFRVSSFSDNGGGEKQERYTPSPPRGRSMSRTTSTVAMAPRLSPSVSKLSMKNLQQVVNEKSLEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLQPLPPEKKAMWNREMDCLLSICEYIVEFSPKVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLEILDSFEKTDFWYIDQRKQSFSDSMKFQRSEDKWWLPEPCVPESGLSDRMHRELQQKRDQASQIHKMAMEINSSILSEMQVPLSYIETLPKSGKVGVGDAIYRYMSAGDQFSPDHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVSKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLIADGNARKGHNIRMQKQEFSKLSPQQY >KN540697.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540697.1:26901:31408:-1 gene:KN540697.1_FG006 transcript:KN540697.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding METILAGYTKDVVRSLGELAANEIAKVLCVKNEINKLKRKLETMSAIIRDAEQTVVQYETTRDWLKQLRGIAYEAENIIDRFRIEQERLQMFQPQECNPSSLFKCCRDVAVDYIIANDIHELNQELESIRSESTLLHLNPVTEDQIRLDLDVAPHLEPDIVGREVENDSDSLIQLLTRDYNTTCPLFAIIGTIGVGKTTLARKVYHKAAAMFDARLWVHVSKDLRHLTMWSDGMFSKAEIAEQQALLLSYLRDKKFLLVIDDVWGENVWDGRLEIQAQHGAPGSRVLVTTRDERVARRMGAIHLHHVKMLNEDDGWWLLRTRAFLDESAGSMQDMGRRIVQKCNGLPMAIRRIGCHLRDVDPKEDEWGRVYSSDFCGISARIRSTINMSYLELPYYLKRCFLYCALYPEGSVIERQCITRQWIAEGFIVTQTNSTQRHSATVEEEAERCYDELLGRGLLLPENEACDVVGSKMPHLFRSFALLQSQDENFTGNPQDIGDVFKPCRLSVTNGGVESIRNGLKKLRNLRTLLLSGGTLNDRALSDIFLKFTHLRVLDLGNTQIDCVTASLGRMAHLRYLSFANTQIREIPGTIENLRMLHFLILRNCIRLNALPESVGRLKNLRSLDMSGAGLNIVSFKFSQMRELNCLQGFLVSPSGAQHKSGWPFQELSSLSKLTSLQMLRIERELNAEGAKQSALREKRHLKELELCCSIDEQTTQMGRAENIKDVFEELAPAPSVVSIKMANYYGHEFPSWLSFPGLSALQRLTIDGCSHCSQLPSLGQMSNLKYLAIIDSNLSATIGPELRGKPDNGVAFPKLEQLLISEMSNLKSWSGIEEGDMPSLVDFRLERCPKLDSLPRWLEHCTALRSLRIDHADSLKTIENLPSLRELEVHRNKKLKRILNLESLEDLKVVHCLVLKVVHGVPSLRDVHSDDRNSTELPQWLQPQQPFVLKRLDIIGTEELLDKCSSASSTYWFAIQHADHVFAYLPDDTFYFSYTKSNSNFHRSARSLARSLYSSQSFVMPSITPSSDVIVPEENIRRNKRIEIGRSRSQSWVRTELFAVLLFVAAHLFFLSTTHASSH >KN540570.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540570.1:1136:10178:1 gene:KN540570.1_FG001 transcript:KN540570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSVSSRVSALIQLILLYLTSCLLKGGSTLDNIDEWKWKLHMLLRNEDEQEVISRDRKDRRDFEQLSQLAERMGLYSRQYSRIVVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLSDYLARKRTSSGSFPNAAFSRSSSTDSFATDESFLEQQDNQTSTSAVIERIQRRKSLQLRNQQESWQESHDGQSMMEFRRSLPAYKERQTLLEAIAQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMMHIPGFTYPVRSRFLEDILEITGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDTVQAADLRDYSARTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAVLVFMTGWDDINALKEQLQANPLLGDPSKVLLLACHGSMASSEQKLIFDRPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPQCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVENAIEYLKVIGAFDRNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKAIDSLRRQFLFLLRDTGLVDENMTACNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKETKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLGLKSELDNLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCNGRFVYGRQEQRSKKAKTMFSAAPMSHGGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEALNWLTGGAPSDSRDPQDMDHMSMLQKPPRRKRHHHRRG >KN540570.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540570.1:37202:39517:-1 gene:KN540570.1_FG002 transcript:KN540570.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLSPRESLEDARMPAMKMLHRSSSGGLTRDFHVDILPQQGERHAHMQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVEEKNQQQLPVKLQDAGEPARTPEQTFNFEFWAPTNVADNVRAMNADSVDRRPLCDFSDFRTDICDFSGDVRMAANTSELLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDLTRFRPVLQQALDNLATK >KN540570.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540570.1:27723:35184:1 gene:KN540570.1_FG003 transcript:KN540570.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--serine O-phosphatidyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G15110) UniProtKB/Swiss-Prot;Acc:F4HXY7] MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYEILFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICILELLLCIKFGHDAFRHQDQMTLASASVIV >AMDW01064990.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064990.1:259:2424:1 gene:AMDW01064990.1_FG001 transcript:AMDW01064990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSKMSKYDMTGIGLNLREIPDGNGSSKLMVLGLILDGPAHSAGVRQGDELLSVNGIDVMGKSAFDVSSMLQGPKDTFVTIKVKHGNCGPVEPLKVQRQLVARTPVFYRLEKRENEDSAIGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIEIAKLFLNKGDTLKVTVHGYYIKMKVIYTAGRDHQVQNTIVAEREPLVTTPLM >AMDW01024289.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024289.1:76:312:1 gene:AMDW01024289.1_FG001 transcript:AMDW01024289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLTDLELKLSTGPASHESAPSGIANLLSLEQVAVQYDAWYINSRSVRATVDAIRRQVAELRYTVKLVNNGVEEDVEA >AMDW01039281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039281.1:184:638:1 gene:AMDW01039281.1_FG001 transcript:AMDW01039281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPDQEQEVERLVHDLSPSANKIYHLSGTQKFELPKQEVKIAEVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNT >KN540926.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540926.1:10826:12842:-1 gene:KN540926.1_FG001 transcript:KN540926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MDGGDLRSSIKKWNVIYPVYLNSKKTVAEGRRIASGKACPDPTCVEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTNSTGSRVGYVA >KN542188.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542188.1:4400:7659:-1 gene:KN542188.1_FG001 transcript:KN542188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTFGAVKLVLGLIQEEARLLRGVREDLRFIMQEMESMNNVLRHLVANKGSAAADYQLRPWMKQIMELAFDSKNCVELYTQSGGGHCGWLPWTMVARHRVVTRIRELKIQAREISERQARYHIAGVAHAQQMDATTIIEPWDASVPNQRRAWAGSSNNPSRRAILHDGWFGDYISVDEALSRLKPFTRDLYEQPPPQSDDGDKHDDGQPPPPQSDGGDPEQQQPQSDDGDNQQNHIRVVTISVQDGTDEAVAAEAVIERFNSRWARHRLWTRQLCLHVSVHRPPILSEITKVMVEELKKKEDDETKNEAEDREWLGNKLKDEDVLLVLSGLNYPELWHKVLHLLTSMGCSDCAVVLCTNDSKMAKYCCSNDSANDGPQIIYSLVDIYINRALALLSHSHGYEEGHLKEVLRNILAKCCLDVFCMKMLLHALYYNPDMTEHQLQFLNISLGKESTKHGRQDRIMAFCYQALPNSYKNCLWYSTIFARGSGVRRASLIRRWVAQGLIAPVNQSSAEDEAGHCFEAMFRQKLIVSSGLSGTRKVKSCTVHPVVSGLISRESSTVEDLLLNNQLPLNLDLLYSIRNGIQLHPANSNITKFLNSLSSTSRLLLTVLDLEGRNGLKAHDLHIVCKIHKLKYLSLRNTDVSELPKHIGQLKLLETLDIRGTRVQVFHTALPMLKHLLAGCIIDCPGEDIIKSKESFSTVSMPRAVALMEKMEILSRVKVSNSAKELNNIGDKLEHLKKLGVVLSGKKASLIDLFLQVDKLHRCLRSLSIRMDPPGNWDSIEVILLRPPKLLESLHICNIRSGLPPRIKELHQLAKITLRDTFLNQGALDVLSMLKGLRYLRLCYHSFAEGALRFVNFENLVDLVIEDDIINSVTLGGSYDEDPDELEKMVWSFTHMEELSGVSRLLSLTHLELNGGTCHQQNLEKLKKEVDEHSNRITLTLNQPENGQGSRL >KN540926.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540926.1:29226:29849:-1 gene:KN540926.1_FG002 transcript:KN540926.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDPLQKFQNEAILECLKLGLTGIEVVNVAAAFVKVPTQMSILLALSESLRREYVLKILADEAKKN >KN540926.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540926.1:20167:27574:-1 gene:KN540926.1_FG003 transcript:KN540926.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRILETEPDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRAETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRMNSPEHRFPQEDEYYGGAQQMPAYEEPYSRPDQIRNNTSMEPPGYEFDSNGGKINDHTEILFDDIIFRILCPSDKVNSLVGTRDGLLEMLQEDVGVDIRLTDSLDGSDERIIIITSREGPDHELFPAQEALLHLQTHIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPRQALPSCALESDELIQIVGEIRAARDALVQITAKLRSYFYREIPGPNQLGNITVHGSISPAKGSPRGPYQGSDIPMPSYQQAQHVPASWKDSGGGANMSFEQGSNINDDMRQSAAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADERPDAIEKVVRISGTPEQADKAQSLLQGFILSKVSSSSQKGAITFMGANMDTTYPYRPPDKLLKRVFRHFIFLVFLWTLSIEVELHCASSIAG >AMDW01008537.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008537.1:24:206:1 gene:AMDW01008537.1_FG001 transcript:AMDW01008537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGDVAYGFAGLCRGVALDVDDARRNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPL >KN540926.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540926.1:16245:16679:1 gene:KN540926.1_FG004 transcript:KN540926.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >KN542674.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542674.1:6334:9390:1 gene:KN542674.1_FG001 transcript:KN542674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFEAYSVEEAVEVLIPYLEDTSSAAHKSIFFDGWSGLGASAVLRAIAENPAPSLRKKFDRIIHVDCSRWKNPRQLQRAIADRLELPQHVMDLFDRQDEEDDFSGVEESSRAEVADIGKEIYRAIKDLSCLLVFHNGSDNTVDISKFGFPLNDWNNLRDNTLLWTFRGRLRLNPKILHNVDSSHLALYNGIYSSSMLKDLLAREAEEITQYSGELHLDGTKAAECCLYLLSLSYRGGHIMDYNWATHACNYWVCDGIITVQEEKGGGSLQEDQAWELAAALHQEIHLENYSSNRVPDFGDDLNTPPNRWVLVTQESDMKNKPTLDTTSLFIAFESVILLPDDMFHQANKVQVLRLCNCAFNFSSPPFHCCHNLRFLGLDKCQDHRTQEAGEDKSNTSSALEIFQRLWVLDICYTDWELPFPTESTREQQMAMNIREVHINKGRIWRRNFAWRRLKNLRKLRVIEPTHPWGNKGQIDEFADMLKLEILDLSKNTMIQVLPSLCGASSLKTLILDDCVVLEQVGPQGLPPSLESFSFASREGNKAKISSISLAGCSSLVSFTLRGPLQNLRGLDLSGTMIKLLDLRDVQDSCIGQIILLRCEKLRTILWPEKGFPNLSVLQIDSLVCHVETEHQQAYATLMDLRFVQSLVLRSNNKFCWNCNKTHINICISSTPKDATPKKKTMSHYSTQKVVGSPLHMPIVTTTQPVVCYKDVNLAMISSIDFDISSAPRHEALDIHVEIGEGISYANVVSEQALSAVSFMMNKAESLHVHDNFSITSVNPKHVILTEDMEITWGCLKWCHIERCHKLNTVFSTDYIYVYFKTLEAFSAAELMMANCIWSRGRTTSPKDDWSFTKLRSIHLHYCPRLTFVLPLSWATSDSHLPSLETLHIVYCSELRQIFPVEPVALREQPRGVLRFPKLKHIHLHDVPKLHQICEISRMVAPVLETIRVRGCWALKRIPAIDGSLRGQDSRPIVDCEKEWWEKLEWEGMNVGHDPSLFEPRHSMYYKKALPRCSVLR >AMDW01011486.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011486.1:130:210:1 gene:AMDW01011486.1_FG001 transcript:AMDW01011486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSRSTEQNLAFFAVYNMETTDIVSLYQ >KN543300.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543300.1:7481:8211:1 gene:KN543300.1_FG001 transcript:KN543300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQVLFHRFYCKKSFVRFSVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVFSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSSIISYS >AMDW01040467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040467.1:736:1396:-1 gene:AMDW01040467.1_FG001 transcript:AMDW01040467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSASSVSRGNREYEVSELPLVKCPFCKSDATIVERKCKKPDNLGRKFYRCLTGQYTGAQCRFFMWQEEYVVWLVKEGILHASADCNAQREVSFALNGAMEFG >KN538839.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538839.1:161795:163145:-1 gene:KN538839.1_FG001 transcript:KN538839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPYFRMTRDVAPKLGFQKPSLILSRFFPALQGDCTKMSASDPNSAIYVTDNSKQIKAKVNKYAFSGGQDTVELHRELGANLDVKLFPKWKKYLNFFLQDDDELEHIKKEYTAGRMLTGEVKQRLIEVLSELVARHQRARADQVTEEMVDAFMAIRPLPNMFG >KN538839.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538839.1:100099:107633:-1 gene:KN538839.1_FG002 transcript:KN538839.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFHALRAKAREVRTLLGAPMFHSMGFWFALQGVALGATTVVGYGSTEAGGISRMISQEECNHIGSAGRVTENVEVKIVDHETGKPLPAGQQGELWVRGPVVMTGYVGDNEANATTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKAYQVPPAELELVLHSLPQIVDAAVMPYPHEEAGQIPVALVVKQPGSKLTEAEVMYSVAKQVAPYKKIRKVLFVDSIPKSPSGKILRRELGLHYTVEHDAGVQRKGLANRCCRPTNLKK >KN538839.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538839.1:58124:60133:1 gene:KN538839.1_FG003 transcript:KN538839.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGPRGGEPPAGDGGGVDYLSLLPDDIAEEIITLLPTKDAARIQALASRWRALWRSAPLDLDYTDLPTDEAHARLITRILSGHRGPARRFSVDARHLVQRPDTVAGWLRSASLDKLQEIRLLAPEDACPSRWLPQPQPLRQKLPPASIFHFSSTLRVAALSRFRLSHEMAQSLHFPQLKLLQLQQIHVTDDESLHCFVAGCAALEALLLDRIYGLHGLQINSSSIKSIGVRSFSGELKIVDAPSLERLLQLGDCRLGLKVSVISAPKLETLGSFRRSGSFSKFDFGTAVIEVFHVVSLATAIQSVKILAVSNDDFVELNEVIDLMRCFPCLEKFYIEWLQTGGNNVGRRKRRNLIKCSDIPLKTVVVGNYHGGKSEINFATFFLLNARMLESLKLIIKGRNYGSKFFTKQRRLLQMGRRASRQARVDFRSVDRDHLVFNHVTGVQDLSTSDPFECQC >KN538839.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538839.1:29562:31409:-1 gene:KN538839.1_FG004 transcript:KN538839.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRASESPGAGDTLEPPATEKLVTKPGRRGAKRGRSEAPEIGEAGDPPAATAGSFGEEGEDGVGGDPDEMEAADCIVKRRRSEAPETGEADEPPAAAAGNPPGVGEGDEEEGELEFLYDDRRMSGPELQPAPASAFHFSSSLRVAIISQCILPDSTAQTLHFPQLKKLSLQEVTISDDSLHQMVAGCPTLESLLVNHYWESHCIRINSPTLRSVAVSSGEIIIEDAPCLERLIRLDLSKGLHITVISAPKLETLGCLSDGPGSTRIVFGTTVFEELRAVSLTTVMHTVKILSILIYTLSLDMVIDLMKLFPCLEKLYIQHEVLQLENRASRDAQFHFTNDTCLHDLTNNRHNRDLSIADPFECRC >KN538839.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538839.1:44036:46204:-1 gene:KN538839.1_FG005 transcript:KN538839.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEFETLPPRAKKPRTKFRASPERVAAAAAAAAKDHQDEAALVVEEGHDVDRISCLPDNVLREIISLLPTRDGVRTQALASPWRELWLSSPLNLDRTTLPSVVSRILSTHRAPCRRFSFSELHVNQIGSATVDGWLRSPALDNLQELEFHGYADDERVWSPPFSTFRFSPTLRVLAITGCHLPDVALRSLRFPKLRLLTLRRLSVSGDSSLDAICRRLSSPGELAPVESLRIPSPPDRRAQHQEHRRVFHLCKDHNRGRPFASEGLRVVSLITAIQSVKILAVSSHAVNLRAIIDLVRCFPCLEKLHVQWFRTGGNNVWCRKGRNFIKSFDIPLKTVEVQLYHGEKAQINFASFFLLNARVLESLRLVVCDWNYTEEFFTQQHRLLKMEKRASRHAQIHFKSARFRAADFVHVRRVQDLSTSDPFGWQCRN >KN538839.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538839.1:144062:145008:1 gene:KN538839.1_FG006 transcript:KN538839.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEGHPLGLKSKFSRCYGALDQFLLYRGGTPNRDDGGACGGSDGPAPPIGMVSIATPCVAPWSKANTGGCEKEDGGGGRGGREKLRGNISPDVRERAAREGFGNETEWLEVGNE >KN538839.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538839.1:34886:38039:-1 gene:KN538839.1_FG007 transcript:KN538839.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGHAIQNSVVGAAPESQATEASVANLPSMDASAKRGRNRLLDAVPAILSAHAGPGRRLCIPSYTLYDRPATVVDAWLRSPALDGLQELEFWLDYRHMYRPQLHPLPASAFRFASSLRVAIISQCCLPDSTVQMLHFPLLKKLSLEEVTISDDSLHRMVAGCPGLESLLLNHRSGSHRIRINSPTLRSIAVCSGELIVEDAPSLERLLHLSLAQGLDITVISAPKLETLGCLSCHHKSTRIVFGTSVLEGLHAVSLTTVVHTVKILSIYIHDLSLDTVIDLMKLFPCLEKLYVRPLFSKERNLWRRKYKSFIRCHQIRLKTIVLTRYRRIKSHVNFATFFVLNAPMLELMRFEFILVNYIKNPSSGD >KN538839.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538839.1:85833:86138:-1 gene:KN538839.1_FG008 transcript:KN538839.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEVSKTPWHNIVVAKALLDTVQDKLREDHAINSPVAQVMAMVEAPTITNSAAQSSKQPTHHPSPRPDLHIIVNILKLGAHVRLSRLATYARRLKPIRG >KN540874.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540874.1:2413:5845:1 gene:KN540874.1_FG001 transcript:KN540874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFEHQRRRTRARPKELNPVWNERLVFAVADPDDLPYRAIDVGMQYLYVRVVRARGVAAVGETVAEVKLGNYRGVTPATAAHHWDQVFAFSKETIQSSFVEVFVRARGSDDHVGRVWFDLSEVPRRAPPDSTLAPQWHIMEDRKGERGAAEVMIAVWFGTQADEAFAEAWHSKAAGVHGYGPLGSIKSKVYVAPKLWYLRVSVIEAQDLIPMDKGPMAIGRYPELFVRAQVGSQMLRTRPAPVVANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVVPVSSIERRWDEKLVVSRWFGLDRGTGGGNVASGNTNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKARDGRGATSDAYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTLAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYVRPLLPKMHYIEPLLVRQVESLRFQATNVVAARLGRAEPPLGREVVEYMLDHRSHLWSMRRSKANFFRLVTVLSGPITIGRWFELVRSWNRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRSRHPPHMEMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQALLSWRDPRATLLFSIACVLAAVIAYTIPMKVLVGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADSLL >AMDW01038356.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038356.1:31:541:1 gene:AMDW01038356.1_FG001 transcript:AMDW01038356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVAKWKGSDYTVRVVGDDTLGELKRRICEVTGVLPKRQKLLYPKFKLNECKDSELISSIPFKPNVKINMIGCWERYFKFGIDIQVA >KN540874.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540874.1:31281:38801:1 gene:KN540874.1_FG002 transcript:KN540874.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEKTPCISDVQDNRCMTIQIEPTDTNQAKKSPKVKIVTRDASLLAGDGDITRKSLGPIKVFSEKDLSREIERVASTLHPDNEWAIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQILDRRSSVVKQACHLLNFLSKELLRDFEPCAELLIPVLLKNVVITIHIIAESSDNCIKEMLRNCKVARILPKIIEFAKNDKSAVLRARCCEYAILMLELWVDTPEIQRSVDLYEEFIKCCIEDATSEMINDEDAETQQRHLSPVERVKLMQPQSSSCNSTEIDKVVKVDSGTSFSSVDLQSVQVKASVQHDDMTSKIQPEGKTDGTTTTGSSFKDTSTLEKETSPDKGPDPDKCDSGVNLSSCDSSSATQFILEPTSELLPSDATVVTIVQDKAECRPNTEQLTQQVQVLGHPSDLLNRSPPVSLKESGNSLKHNPIQVNSDGSSGGKLGAQKVKHHTSAPNKSVVRKEPRNNYIPNFRRPLLSKQMTNWFYASNRSDLDDKQLILGEMVSNMDVPSSLTEALSLGLKPKSDWMMRVYAFNFLRQSLLKQGPRGIQEVAQNFDKVMRFVSRYLADPHHKIAQAALSSLSEIMPVFKKPFEHYLDKTLPHVFSQLNDPKESIKQQCSAILKLAGEIYSIDSLLPALLRTLEEQKSPKSKLAIIEFANSSFVKCTVSSDSYSSSSFLKPWLEKLALLFKDKNSKLKEVSVAGFSSIYSHYDPASLLSFLVSLSMEEQKRLRRAIKQLIPTIDSDLEEFLQQRKHKPKVPLFDSFVGAYQPYAKGLVIKQNKHHLHATYQSDCLQADDVFNSALHSLPRISLEVRERRAGKIESEPYDESNGHGAEMIDKKSSDTRSSDPPRTFDYNVISDNTVESPRKEGTDIKRFEESNESELNITVRNRNVILNSCQDHGPSISRTYHQDEMSQMEEVPEINGPSVSIKMSSSLLEMLDDPEESTRELALTLLVEILEKQRKAMENCIDTLIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLTCLRAIASQLANQDEKVLIVSINSLSKLVIRLSQDNLMAHLSIFLPALLDAFENHSPYVRKAVVLCLVDTYLKLGPTFLPYLERLDSAQLQLATQQQQQQQQKKTQCLFQR >KN540874.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540874.1:13111:14310:-1 gene:KN540874.1_FG003 transcript:KN540874.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWLVLLSAALCLGALPASHAARAFFVFGDSLVDNGNNNYLITSARADSPPYGIDTPDHRATGRFSNGKNMPDIISEHLGAEPVLPYLSPELDGDKLLVGANFASAGIGILNDTGVQFANIIHIEKQIRYFEQYQDRLRAVVGDEQARKVIAGSLVLITLGGNDFVNNYYLIPYSPRSREFSLPDYIRYILSEYKQLNAEVGGDVFVGVNTKRAHDDFIEDPRAHGFETSTEACCGQGRFNGMGLCTLVSNLCADRDSYVFWDAFHPTERANRLIVQQFMDGSLDYITPMNLSTILKLDQQAQLRN >KN540874.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540874.1:9173:11520:-1 gene:KN540874.1_FG004 transcript:KN540874.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLTVPVIDFPAMYREVLGGDEAYAAARAAGGGSIDILHCIEAWGPDEQRRAYEVIARFEQDGLDRLQIMPGASELCGFLDAKQIRRGLITRNVKSAVDLFHQRFGMMFSPALSREFRPYKPDPAPLLHICSTWNIPPNEVVMVGDSLKDDVVCGKRAGAFTCLLDETGRYGPHDSLPEDVRPDFKVSSLSEVFSVLEEHFDLAPVVSESRI >AMDW01037457.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037457.1:210:605:1 gene:AMDW01037457.1_FG001 transcript:AMDW01037457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YNVDESNGELNSKFWQEVGHIECVESSIKKVVFDQFRGGANELEFIKFILERAQMLDKMVFVVDPENSAFVDQAMSTMKSLASTDYTSASKKCSLMMVGYRKGPPAFSYMRASDLSLSDPFFVSKDIQVTV >KN539502.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539502.1:91895:95985:-1 gene:KN539502.1_FG001 transcript:KN539502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLFLFYFIFCFPIFSAVLSDGKLRDGGRKFLKKVRGGGVEPDIRAKVWPFLLGVKLLHQIQRIDSVFVTYRYDLNSTEAERNVIKTNKRNDYEKLRRKCHHVLHSYKGSGLNVINEAACEYHSCNEESEPLNLESVSTRSSPSPKGLKSLRCGISRQENATEGIDEDTSELTYVDPYVAESESSDSGTSDEEDLDRMSVSANTEVNRDQGPKFVRSASSKSGFFRNNKTPEDFTTWQRIIRLDAIRTDPEWALLSHNRAEVSKEKALQYARSVGLKDYDHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWSKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >AMDW01065237.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065237.1:109:472:-1 gene:AMDW01065237.1_FG001 transcript:AMDW01065237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTEERRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPT >KN539502.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539502.1:149:6642:-1 gene:KN539502.1_FG002 transcript:KN539502.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPVAVVLSWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGLGVLIYKLTKEKTCAARAERGSLEFTVLFTLEYCLQLVLTSYIAMLVMCCRVALAEFVLSSTGLSGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIINRISWAFCVYLEAISVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSAHHGGDYAASDGGSYEFDEEGEGSDFEEDEDGDGDGEALDEDEEVGDADADAAGDPAELDPARYEDDEAFARALQDAEEREVAGRLMALAGLSDSIQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSITYRAQDKQDGNME >KN539502.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539502.1:65074:69610:1 gene:KN539502.1_FG003 transcript:KN539502.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPESSTRQVYKEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTVNFRQLKVLLDSIWEEATQAPLWLVWYGISSYIQDSFVLCVPWSLRFFSGQNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTSHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVSSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTGHKFDLLLPQDTMFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPIEEEQQDDIVTKTVEDSVDVHQCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSMKNLRRERDTLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >AMDW01079058.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079058.1:133:1003:-1 gene:AMDW01079058.1_FG001 transcript:AMDW01079058.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPIKAEEAHQLGLIDAIVSPNDLLNTACRWALDISESRRPWVHTLSRTDKLESPDEAREILKFARAQVQKQAANLRHPLVCIDVIEEGIVSGPQAGLRKEAIAFQDLVFSDTCKSLVHVFFSQRATSKVPGITDLGLMPRKVSKVAIVGGGLMGSGIATALMLSNYPVVLKEVNDKFLDAGIDRIK >KN539502.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539502.1:22734:23962:1 gene:KN539502.1_FG004 transcript:KN539502.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAAGLAGRHGLRRREFMATLRHLHARSGEPVDVGAQMFLTVMNVVTGALWGGNVGSESERTAVGKEFRELVADITEMLGAPNVSDFFPALAPLDIQGIRKKSDLLKDRFDDIFARIIQKRTESDQAAAAGETASDFLEYMLKLEKEGGDGKTAFTMTNVKALLMDMVIGGTETTSNTVEWGMAEMLQNRGTLRKVREELDAVVGRDGVVEESHLPKLRYLHLVVKETLRLHPALPLMVPHCPGEDATVGGHRVPAGARVFVNVWAIQRDPAVWKDPEHFIPERFLPADGGGGGGRRLDFTGSEQEYMPFGSGRRICAGVAMAERMVAYSLAMLVQAFDWELPAGERLDLAERFGIVMKKATPLVAVPTPRLSNPQLYSA >KN539502.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539502.1:99186:101701:-1 gene:KN539502.1_FG005 transcript:KN539502.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETRVHIERERRSKRKLAESPYLEAVEWSKWHVFWVDERVVPKDHADSNYKLAMDGLLSKVPIPASQIYAINDTLSAEGAADEYETCLKQLVNDGVVAISEVTGFPKLDLMLLGMGPDGHVASLFPGHPIVNENQKWVSYIKDSPKPPPERITFTFPLVNSSAHIALVVTGAGKAGAVHKAFSDKQSSSDLLPVEMVSQQEGVLTWFTDKPAVSMLSSI >KN539502.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539502.1:14029:16460:1 gene:KN539502.1_FG006 transcript:KN539502.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKKKVKRGPWTAEEDKKLISFILTHGRCCWRAVPKWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLPNTKVVSQTGTSTQSTVTTESAKSNGMAYPFDPEGGCSRDMSVPTDSMEQSSRNTSSHGLDPMVNWLLEAELPADEPWLDFTSSNEDDFSGIVKQSAWDGSTTDWLLDYQDFSMDDSSLIDGARVQNSDGLNF >KN539502.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539502.1:82580:84059:1 gene:KN539502.1_FG007 transcript:KN539502.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGGGGVAARPFLKRASLHNVMWSVFGRKYELAAPESEEMAELRSMVDEGYDLLGQLNWSDHLPWLAPFDLQKTRSRCSSLVPRVNRFVTHIIDEHRARLRLADNATATAVDFTDVLLSLHGDNKLSDADMVAVLWEMIFRGTDTVAVLIEWVAARLVLHQDVQARVHDELDRVVGSDRAVTESDASKLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGFLIPSGTTAMVNMWAITHDPAVWSDPNEFKPERFAAGPSSDQAAEFPIMGSDLRLAPFGSGRRSCPGKSLAVATVGFWVATLLHEFDWLPLSEKPRGVDLSEVLKLSCEMATPLEARLRPRRKVMSV >AMDW01030955.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030955.1:27:414:1 gene:AMDW01030955.1_FG001 transcript:AMDW01030955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKNGGGGGGEKKATTTTEVVLTVAMHCKCNGCKDKIRNGVKELALVPGVEAVDKSAVESKGEVRLVVAAATAKPDKLKERLHRVTGKK >AMDW01036248.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036248.1:97:570:1 gene:AMDW01036248.1_FG001 transcript:AMDW01036248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHASFVTHEKFTVVDIQSVSQVQMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRPDKELDKTHAGPDKKNRPKDVFGRPLPTEQEFEVLKNAP >AMDW01028670.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028670.1:97:387:1 gene:AMDW01028670.1_FG001 transcript:AMDW01028670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKYFYQSRKSSRHVAVAVVGDKVPLYGGGSGLSSTPVKGAPPAPVPLQLTVRFRSRAFVLGKLVKPKFLTNVQCSVRLDVAKLGKPVSLNKACSLV >KN539864.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539864.1:58604:58877:1 gene:KN539864.1_FG001 transcript:KN539864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPAPASGGVPRSKNGSKIAFKTRSEVDVLDDGYRWRKYGKKMVKNSPNPR >KN539864.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539864.1:66:5341:1 gene:KN539864.1_FG002 transcript:KN539864.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQAERANGWRRKERKAAVEASEVEVKRSGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVDASDKNDMGTRGLAHPAKVEQARKDMGLRSPVCCFLGHSGLLLIDTPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKKHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSISVPFWMLSSLKGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKCAQGITISAPGLEYSIAGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGVYVQASSLGSLKAITEFLKSPAMNIPVCDFRLGPVFRKDVMKARFMVRKKTQYATILAFNVKVSPDAQKLASQDGVKIILADVIDHLFEQFRKYIFPRNEKTEEVVFPCVLKIIPDCVFNRKGPIVLGVDMLYGVAKVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKKSIDVLKEHHREIADEVMLSSLPEADDVLVQKHSCYFDEELKMKPRGGYSFSQRRGVCPGKI >KN539864.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539864.1:31118:31503:1 gene:KN539864.1_FG003 transcript:KN539864.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGTCAAIALLVLVALAASSGAAADQPRCCVDFHSWGGNTGCGPGQNDACNSWCQSQCRGGECKPRGDRHFCHCFC >KN539864.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539864.1:23193:26483:-1 gene:KN539864.1_FG004 transcript:KN539864.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVLQQAVEDAEVRVIAEQEAAKKAIAEAPPVIKEIVVQVVDTEKVDSLAAEVGRLKDLLGAEMKATFDAKKAVAEAELRNEKLARLLGVEEVKNRQLQESLKRMEMKASDLEEENQKLRGAVASVPYVRLPSNVNRDDPDLEPTPENEDENENEKAVYCEVKPMIVDRGVDIHENNSQLPGLNDPEAEKQQQELLIKCISEDLGFSIGRPIAAYLIYRYLIHWKSFEEDRTTVFDRIIQKISAAIEARDNNETLAYWLSNSCTLLLLLQKTLKINGAAALARQRRRASPLKTPQLQKFQENLAPNHPDRSPVPDGRLVGGLGEVCQVEAKYPALAFKQQLTALLEKVYGIIRHNLKKELSPLLGLCIQAPRTFVVSPRGSGAQGTDLAQQASMAHWQSIIKILTNSLNVLKSNYVPPFLICKLFTQLFSFINVQLFNSNGEYVKAGLDELEHWCFWLTEEYAGSSWDELKHIRQAVALLILEEKHNKSLKEITDEYCPALSMQQLYRISTMYCDDKYGTLGIPPEVVSSMRTKMVQQGSNSPSTQDDINSFLLDDDFSIPFSIDDIAKLMVHIDIADMDLPPLIQENKGSPHLMP >KN539864.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539864.1:66822:71321:1 gene:KN539864.1_FG005 transcript:KN539864.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAALTNGGAGAAEVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLFSYGYYNREEALQFQAIDCLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVIFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFVVPLAAYTLWQLMYFLIVNVLRRQRLLKDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRPLMYILLQALFTVATMALTVPIFLSFRMHVVFQILKVCASTWNGGSFILEVMPRQVVQKEKKKLEMKPMEEANSSQHAEESQGDLSANGKHSSEHS >KN539864.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539864.1:18233:22314:1 gene:KN539864.1_FG006 transcript:KN539864.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLTRSRRWNSERLDAVLDQVARVDETAMPPPLTRSRRWNSERLDLDAVLDQVARVEEETVMPTQTLARSRTWNPEMLDAALEQQQDTVMPPPTLTRSRRWNSERLDAVLEEMRVEEVAPAAPPPPPARPRRRSRSVESLPRTEELEAEIVVAEIKYPLPSSSPAMFPPGTPPAPPPLPPATAPRSKKKRSGSVGGAKDLASAIATFYQKKRKSIGIKSKKKHHHNHHHHHLADGHYSSPSSDASASPHSTHMLVNEHRSKAACLVTLKNASRQSDYLLNRMRPIYAILKHVGRDIFGSCTQDAKGAILDKDMCKCSMILICIYCYVAVTNLAQKLCLDHVDRIQTNNDRLIGMQF >KN539864.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539864.1:33716:54632:1 gene:KN539864.1_FG007 transcript:KN539864.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRVEYNMLRRDDYLEDMGFIGGNGSITRYLVESRGQLLMVARYIYNEGGTEMLRVFRFHVMPLTPAAAISGRPRATWLPVDYLEGRMLFVGKGCSRSFEAARFPGFEDAIIYFLDEGFVHDTTASAAVQERPRYSFTDMGRISGRFSCNVMMWPKVFSSSFPFASKTCVLAVVLPQLAHKFALRHFVRTRSNNHFICLFKSFSQRVEEIDIDVYRSLHEVKAEPSSGSSFFLDALVEWRELNTADNFISFYEETIHLVQTLPQIVLHCEKIFSGLLKRINMKARLSLEPILMLVAALSRDILEDFLPFLGRHANAILALLSDGGDRDPEIMEQVFTSWSYVMMYLQKYLVKDVVQVLRITAPLRFFPKDYVREFMAESVSFVLRNAPNGQQIEGVTNVLLEAAKNPSPVHIDGITALLCHVMRGTYTRLHSRAGKIMEFLLSKSNLTTIQEKIPDDKANILKLVKSLVIILGNLSFISSFYDPVFKLTDLSMLVFVRKLLTKGPKIIQTFESQILRAASLGMTGECDPQNLLDLFSIFSVNLSSPNKDLRVLTLRILSYFGKMDQRLGTDEERPHKRQKTEDSVDNTIDMKYANVLDTLLAVESTPISVSTSRKIAIFVSRIQMSLSSKMVHEDYIPLLLHGIIGILYNRFSDLWPPALDCLAVLISKHKELVWDQFIQFIATHQSNGPTVKNQDKLEATIQPQSIFDCFSIYLSTNYDCTPLETVATLLLQSLQKISDVAESRSRHLVPLFLTFMGYDNSNITSVDSYISNKCKGKQWKTILKEWLNVLRLMRNARSLYQSKILQEVLTKRVLDESDPDIQSKALDCLLNWKNEFLTPYSKSLKNLIDSKTLREELTTWAVSYDSLSIQKDHRSSVVPLVIRVLTPKLRKFKLLGSRKSLIPGNLRLEIFGSQSDNLLGNISDIVEASTEICLENLTWKKANGFLHLVEEIFGTFGMAHISPVLDVLLLIVVRLLESCMRNLRSMNEEDYPSKQSNDPDDECSMTMEAGNSMSLKEHSKDLPSADHNKLSLLMMKLLIMLVKCDILSVVTPISYLTVMQESVSIKQLKDLRSLCIRIVSLALNQYGSNDFGEEFWNIFFTSVKPLIDCFRQEASSSEKPSSLFSCFMAMSQSPKLASLLGAHNLVPAVFSILTVKKASGSITSYALEFIENLIKLDTDLEQHGDHSLKKILVPHMDVLLHSLNDFVSYRRELHRKSGTWLGQRELRLFKLLMKYITDPSSAEHVLDLILPFFSKKDLNPDECLEALRVVGGILANLRCGVSAKILNALNPLLATAGLELRLYICDIYVGLSFHEPSVSTLAMLVRDLNAVSTSELGEVDYDTRIKAYDTIQPQSFLDMREEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASIMNNESKHCIETENNSNGIWTKGSIHQILEKTYLHNMGVAMSKDISIQKEWIILLREMVYNFNHVPSLNSFIPLCKEDLEEDFFHNITHLQAGKRSKALSLFKQRIKDTEFSEDVTMKVFVPLFFNMFFDVKAGKGEQVRDVCLDTLSSIAAKVQWEHYRTILMRCFRELSLKPDKQKIILRLICAVLDSFHFMKPANDVSRNSDAMNEDSGSSLTFSSTIVSSEKQHYLQKIVFPQVQKLLGADPEKVNVSINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGYELHVLGYTLHHLLSKTITSDINGRLNYCLEDLLAVVESDILGDVAEQKEVEKIASKMKETKKRMSLETLKLISQCVTFKTHSLKLISPISSHLQKHLTPKLKTKLEMMLHNIALGIECNPSTETFDLFVFVYGLIKDTITAGESQCKENAGSGHGQENTRRNKLLGLHDSGLQNSYIITKFAVALLRNRLKSIKLHKDDEELLSKLDPFVKLLAECLSSKHESVLSISFRCLALLIKLPLPSLKDNANLIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDDQLQIIVHFPIFVDLQTNPSPVALSLLKAIVKRKLVSPEIYDIVVRIGELMVTTQTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHPSGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALSNEQHQNVSSMILRAIQKLLGRIGDQGKNSIFEYTLSWYTGEKQNLWSASAQVIGLLVGDRSLGIGKHLNNILANAKQIMECSVIASGGQVDLADETCLPFWKESYHSIAMMERLLARFPELYFKQIMEEIWMIVCKLLIHPHSMLRNISSSLVASYFSFVEKSKREKKFDGKSSLLIQPSMLFLIAVSLLKQLRAELSDTTANNLIVQNLSYSVYNLHTLVKQTTSPHQFWSSLSSSDHSAFLEGFELFGSTKAKNTFLLCTSASTDVNGSNLDGGEELTSLLVSSLLKRMGKIAIQMQDTQMKIVFNCFSMISSALGAEVSLSYANLFLGPLYKVCEGFAGKVISDDVKQLADSVRDKMCDLIGTEKFVEVYNSVRKGLKQKRESRKQSEKLIAAVDPARHAKRKLRIAAKHREHKRRKIMTMKMGRWLR >KN539300.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539300.1:53643:57561:-1 gene:KN539300.1_FG001 transcript:KN539300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDGGGGVGGIGDEQMWVRVFGTGKQRSIAKAILQVMMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVFGTGKQRSIAKAILQVMMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGILARRALHIPMIKVVFQETYQIFLSMTGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >KN539300.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539300.1:18281:21009:1 gene:KN539300.1_FG002 transcript:KN539300.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSAMEENPRRLSSASCDDDGSTHKAKATAPSSSSDPAAELGDMENNEFLVYSYVSKKLDNIENLLDKMEALRKESDEADARFAQLRPYKAFACVSASNDPETLVSNAVMNSDYTYF >KN539300.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539300.1:88127:94948:1 gene:KN539300.1_FG003 transcript:KN539300.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGKDEEQRRHAEERKESDYFGAGGGAAAAAMDWSFASRAALMSFRSSSSSAAAAREETRELAFPHFSALDGAKMQQASHVLARQKSFGAESHGIPQYAAAAAVHGAHRGQPPHVLNGARVIPASSPFNPNNPMFRVQSSPNLPNAVGAGGGAFKQPPFAMGNAVAGSTVGVYGTRDMPKAKAAQLTIFYAGSVNVFNNVSPEKAQELMFLASRGSLPSAPTTVARMPEAHVFAPAKVTVPEVSPTKPMMLQKPQLVSSPVPAISKPISIVSQATSLPRSASSSNVDSNMTKSSGPLVVPPTSLPPPAQPETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPLAKSPLESSDTMGSANDNKSSCTDIALSSNRDESLSLGQPRTISFCEESPKRNMPKFSSTKNYRLTKTVLFLFLKAVDMATAGASSFEDEIMESDIELEGEVVEPDNDPPQKMGDPSVEVSDEKRDQAQLCKNKGVDAFSEGKVYLPILIEISHYNKMSCVILCNKHFSISGKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDMKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLQAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKVAQHGSS >KN539300.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539300.1:117403:118807:-1 gene:KN539300.1_FG004 transcript:KN539300.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLGNGQCCWRAVPKLAGESPTSPEFQSRSLIWWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLYPAPPLADGGSPEQKVPEEEEVEEKSSAAVESSTSTGAGHDVFCTDEASFRYMCLAYIHVN >KN539300.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539300.1:14426:14905:-1 gene:KN539300.1_FG005 transcript:KN539300.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALWSADAALATKLVALLGRLSSPVSAVAKAPDPSSAPLVSIKSLDGDGDGNDDEEDLSRRRSGAATLKIDFTVRDEMGGVNEQIRRKDATRSRCMMAINSTGTN >KN539300.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539300.1:95679:99792:-1 gene:KN539300.1_FG006 transcript:KN539300.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDWAQQSTSRRVMAQSTMSRPVKACTHEIGPRIAFGPSQPKPTIKWSYKPMEQCRLARRLTQQCSSSSAAVTTSYMKQISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLKRVWELIDQLGLQTTAHTYIGDEGTRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAHSVVEKVKDIARGGSIVLMTIHQPSFRIQMLLDRLVILARGRLIYLGSPSTLPTHLAGFGRPVPDGENSIEYLLDVIKEYDESTSGLEPLVAYQRDGTKPDGAAKTPVPRTPRTPHQKSVQFRQIQLKSNQFSLNSGVANGNTFSNFESSYNVDGGDDDHEEDFDNSLERKSQTPMHAGGPASGYQPRLASQFYKDFSVWVYHGVTGSTPHRRPTWTPARTPVSSFQRGRAVTMTPTPQNNQRRQPPAPPSPHVPVFKPEEPTYHEYELDLEPPLDALEDDDGDGNGHPKFANPWPREVAVLSWRTALNVVRTPELFLSREVVLAAMAVILSTMFRRLSAGDVPTVNRLLNFYIFAVCLVFFSSNDAVPTFIQERASSYVVASLVVYLPFFAVQGLTFAVITKLMLRMESSLLHFWVILFASLVTTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTLIPVGWRWLHYASAIKYPFEALLVSEFKGGRCYSGDRADLSPGPLGGFKPSGLRRELNASDTACPVMGQDVLSTLDITIDSIWVDVAILLAWGVLYRLLFYVVLRFYSKNERK >KN539300.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539300.1:1571:1888:-1 gene:KN539300.1_FG007 transcript:KN539300.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARWSWRDPCGVGAVLAVPAARWPWRGRCAAKDGVGGRDGEVPVERSEELPRQQRGHWRPRWRGRRVIGPGSCDELLAGWCSNCPWKVVLRGCSGASGGGVV >KN539300.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539300.1:36320:42249:1 gene:KN539300.1_FG008 transcript:KN539300.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDDAREHVGASIASWCEEEHKSSRIVDFDDDIWYPPPPEDESDDIESKLCAFDDEDDEYGDSSNFFVPNCFSADKFAGVDSSVNGSHIENVQNDLLRHFQALVAQLLTGEAISLARDKESKGWLEIVSSLAWQAANFVKPDTKKGGSMDPSDYVKIKCIASGKPSESNFVKGIVCSKNVKHKRMVSEHQNATLLILGGALEYQKVSNKLASIGTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSFAQELLAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIVSARLGHCDTFKVEKVLESSTEHSEKKSTKTLMFFEGCMKRLGCTVLLRGACRDELKRIKRVMQLAVFAAYHLSLETSFFADEGATLPRVPSTSSVGAPETQTNREHLSSCYANQGSPVSLRTEEEKYAHNASISQIFNGISGPPTFLPLDGESQGIVSEHREVESPANHIKGDNSFHSHHPNALCNVHSVSLGNDLGSMGVTPYYDPCNSLQSPVGVSANILGEVHNLENCGSDFHVGGLNDQNKLSGGYFPGTDNHQSILVSFSSTCIPKSLACERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEHSESHVRCYKHQHGSLIISVRRLVSQKLPGEHDGRIWMWHRCTRCKLEDGMPPASHRVIMSDAAWGLSFGKFLELSFSNHATANRIASCGHSLQRDCLRFYGYGNMVAVFQYSPMVTLSVNLPPSMLDFNCHSTQEWVKGEAVAVFGEMESLHAEVYGFLSNTEKSIITMDESLKTSIERQIIEMKDLLNMERNEYEVTLLLPVIRGSTHSMKSSIDILELNRLRRGLLLDAYIWDCRLCNISSIKKDSHASRIKGSEAELHQAITNHGEMHQEPSTCPQCSSGSLRKSLLSREGHSMDTEIILPEIDLPVGMVDSFVHDIGGLDLVFSKFDVSGIAQSLSKDSIKTEPVERLPSLASILSDKIDMAWSGSCELHNNLPESTTKGNENPSYSKAIPPVRIHSFDSIFRLHHLESTGLLPASLHLSSKSVDSFRDLTSLVKDPLTNMRRAFSHISPRERGNLNVLTHLPKYIRSAAHMFAALRKKCCPGEIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFIGFAPHYFRHLAESLTSRSPTCLAKIMGLYQVSIKGLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEALHTNPIFLGSKAKQRMERAVWNDTLFLASLDVMDYSLLVGVDEKKNELVIGIIDFLRQYTWDKQLETWVKASGILGGPKNETPTVISPIQYKKRFRKAMSRYFLAVPDDWSSS >KN539300.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539300.1:45487:46515:1 gene:KN539300.1_FG009 transcript:KN539300.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDASTMAAAEADPKPAATPSYPEMILAAIEALDDRNGSNKTAISQHIEGKYEGLLPPAHPSLLTAHLARMKQTGELAFSKNNYFRGDDPLVAAFSVIK >KN538935.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538935.1:122879:126184:-1 gene:KN538935.1_FG001 transcript:KN538935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21190 [Source:Projected from Arabidopsis thaliana (AT4G21190) UniProtKB/Swiss-Prot;Acc:Q8LG95] MFSVRCGPPAFAFGLERAAITHPSKFSTLVVCGARGPRPRYPRVWKTRKRIGTVSKSQKLVECLQFISAFASNIFLVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMLGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDETEEPMRNPGTDEVEEEENMNVDSELEEAASAGLDRNVLDEAACGDLEFV >KN538935.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538935.1:86760:88528:1 gene:KN538935.1_FG002 transcript:KN538935.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGPPAVDHIPLLRSPDPGDVFSGVPVVDLGRPGAARAVVDACERYGFFKVVNHGVATDTMDKAESEAVRFFSQTQPDKDRSGPAYPFGYGSKRIGFNGDMGWLEYLLLALDDASLADACTVPSCAVFRATLNEYISGVRKLAVRVMEAMAEGLGIAQADALSALVTAEGSDQVFRVNHYPPCRALQGLGCSVTGFGEHTDPQLVSVLRSNGTSGLQIALRDGQWVSVPSDRDSFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSFIYFGGPPLAQRIAPLPQLLGEGEQSLYKEFTWDEYKKAAYKSRLGDNRLAQFEKK >KN538935.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538935.1:28160:30236:1 gene:KN538935.1_FG003 transcript:KN538935.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPVAAPGHHQHWASAGGAGDAAWADEFAEFAAILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYQQQNTKLSGGLAADHAHVHGGPPPVRAEKELMS >KN538935.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538935.1:178216:179647:-1 gene:KN538935.1_FG004 transcript:KN538935.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQKGKIYFLMPYSVLRPTFHISLSLLSCKMAECEKERTKERAGPSVHIVLIIMSMRKF >KN538935.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538935.1:18744:20045:-1 gene:KN538935.1_FG005 transcript:KN538935.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSGKPRRYAPHLLRLIEYQRPELFAEVDGSSPLGKRRKSAAFSRQCQWEDEKETALIDAECGDSRTQAAEAEVERSSQSIGDLEEMPVFGERKQFNAVDLVGYKSSIVGLGEWIHGVNEGNAELGSQKSLPSEIEVSGCEMGGNAAAGLTAKDQSSDDSSLLSLLRTRDEQDDSSSHQNVISGAKPQPGPNQQSIIEIEDEDEEDDDDDQVGVGHVPPNIQNGHFGLNNYFVQQRATEGFQNDQTLPSFLACTQQIKACMDDNFLDKMKALMDARAANQRLINMITQKDYMIAATKRDILEDLGARHVMISQFEHDIELMRLTIQQYRKLFENTSAAYLEYRNRMSRGEGDGSSLEVIGIADETEQFVRMQQLDIYQRLNKFQKLWLTKYSDLVGHLTRVAERMTYLSNGLQRLKDSTTIPDLNNGKPQL >KN538935.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538935.1:166370:171709:-1 gene:KN538935.1_FG006 transcript:KN538935.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTSSSDVSASHVGRARQRRRPTEATADGNRTNGPALLVNDQNKYKSMLIRTYSTVWMIGGFVLIVYMGHLYIWAMVVVIQIFMAKELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLTCPRKVRYHFLWNVIDLSTGWLRCDPGPMFKPEHYSLGEWVPKGFPWKEVVLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPQNYSVELILEQILRNLTFEEQKFLYQQLGEIYHERQLMQS >KN538935.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538935.1:132441:137514:-1 gene:KN538935.1_FG007 transcript:KN538935.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMSVHMDCAGCEKKIRKAIQRMEGVDDVEIDMERQKVTVNGNVEQKKVLKAVRRTGRRAVLWPHPYTGGGVVAGGAVHALAQQQNYHQYHPGAGVQAHAAHAARPTSSMTVSQKVTILFVQFPDLDKKKERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLVGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRIQGALPIEQLFLNAQIGHAISKSLHMVIAGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMENISQALAITDQDQAIARDALDLPVFSEHAKL >KN538935.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538935.1:66310:68548:1 gene:KN538935.1_FG008 transcript:KN538935.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQAAGSVLVWETFLIILVVYSAWICPLEFAFLRYLPSAPFVVDDVVNGFFAVDIMLTFFVPFVDKKSYLLVNDPKKIAVRYLSSWFVFDVCSTVPFHSISLLFNEHGHDLGFKFLNVLRLWRLRRVSSMFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDVVQAASEFAARNQLPQQIEEQMLNHICLRYKTDGLKQQETLDVLPKAMRSSISHYLFFRVVQGAYLFKGVSSRFIQQLVTEMQAEYFAPKEDIILQNDSPSDLYLLVSGAVDILVFLDGTEQVYGRAAEGELLGEIGVLCNKPQSFTFRTTKLSQILRISRTKLLGIIQENREDGDIIRSNLQQVNV >KN538935.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538935.1:32426:32974:-1 gene:KN538935.1_FG009 transcript:KN538935.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHEEQRPRRRQQQQQEGGEETVVEVPEMDGELLVELLEASLAAEDEEAVAQRKQQLGFFTADVGDGWDGQELMMNSIHPHQEEEGCEDCGLDDILSDFDGGGYPPPSSPPYLSEFWMEEMDHATAGPFAIAGECPGEEWYMDGMAMEWEDGRSYYSFHYPSYGADASCTDQLYSSPLWE >KN538935.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538935.1:103333:106024:-1 gene:KN538935.1_FG010 transcript:KN538935.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSADELRLDLEELRRLEGLAKRPRVLSALANEIRAVDAKLAKATEPQAPQAVAAGSPPVVAAAAAPAAAGVSYVTLGSFSWDQDAEKIKIYVFLEGVEQDKVETTFKPMSVDIKFHDVKGKNYRCAIPKLHKEIVPEKCKVLVKPTKIIVTLYKASKGNWLDLHFKEDKFKPSMAKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTADSVRGLP >KN538935.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538935.1:126746:131681:1 gene:KN538935.1_FG011 transcript:KN538935.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMHLESPPELSELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVRGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEEKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKSNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKNTKWSITSLSVSASKIFDIPTGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKSYIAPNHEEHCEPSLSQKEDYGNNSNLAKQCQTKEEKKVSKKLTEVKLAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >KN538935.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538935.1:144116:144430:-1 gene:KN538935.1_FG012 transcript:KN538935.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSIFRYSFTMTSKSMASLEQEATDSSESGARRGGDMLATVQESVQSFLGAVRDKITGPSGGGATAKAKGFAADKTGGHAVRARRLGDGEERREERIHVTARR >KN538935.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538935.1:156047:161367:-1 gene:KN538935.1_FG013 transcript:KN538935.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKVDPKQLDAIGNVLTRLDPGDSIVMTKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGDLKKSPHEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGRIRSCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRCAKKNTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLASGFSEIPDEATFTDVEQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQEWLLSELNGKRPLFGPDLPQTDEIADVLDTFHVIAELPYDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRFSAAWELYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMHPPISPKPEWRALMDEMAAVATKEYRSIVFQEARFVEYFRLKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWPFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYGPGLEDTLILTMKGIAAGMQNTG >KN538935.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538935.1:98943:102681:1 gene:KN538935.1_FG014 transcript:KN538935.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEASLALRRARSSFDFKGFWESRFGGKKEQETEQNGHANGVANGSVRKRTSDLAVYEQFEQQARQTEVRAAAIRDGNADAIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKLSINLSVIHNHILDYFRYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEARDELVPEEELPEVGPLKPEDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILSQEQA >KN538935.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538935.1:37994:47538:-1 gene:KN538935.1_FG015 transcript:KN538935.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEAGAGGVVLTDELCDRIVKQVEYYFSDENLPTDEFLIKFVKKNKQGFVPIGVIASFRRMKKLSQDLSIIEAALRTSSKLVVSPDGKRVRRLHPLPNNELKDVKKRTVVVENLPSDFSMESIHAKFETVGKIAKITIHDQHSVGESATIKNHDIMLSNKVHALVEYETAEAAEKAAITLNDGSNWRTGMKVRLAKRSIGSGKHNQSPKENQAIQGQPSKVEQQMVSEKNGGTDSVEGAFDNENVNSNIAHEDMRQHQKANAKGGRKGRYKSQGRGQIQQNTSGQGQVSSPATSGSDHVNKPISGPRMPDGTRGFTMGRGKSPTFQKGDNAEE >KN538935.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538935.1:112660:114480:-1 gene:KN538935.1_FG016 transcript:KN538935.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVGVAHKPGDGAGSGSARRLPLAPRRSMALRVTPRINARAAGGPSCRSERRRGRRCTSRRRPARMRMARTTVQSSTGAAPSSPTATRRAARCMSFPGEAKEQRLNRFWRLLVGLQKKRAGTRVAELETKLGKAAKKDAQVALEEAKKRVGTKGSPASAAAASPRSPSPVAVETPKKTEQQLKVAEPPAEEESSINSPATDVFEVVRTESGDKENQSAAGAEDGEEVSCGIKAALAEKELEEEETKKMIEEESIDATAIDSGEKENPEVAELKAKLMAKDMEVAILAADNAELKKQVGEAAEAAKKAEEEAAAKASLVEQDLKERAAREARIGEQLRASEAARETLEAEMRRLRVQTEQWRKAAEAAAAVIGGDAHFVGHNGNGWGSPATMPDDCDDEGFGGKRKGAGIRMLGDLWKKKGSK >KN538935.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538935.1:53333:56827:1 gene:KN538935.1_FG017 transcript:KN538935.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTARRLALLGLCLGLLLLAPSHLASAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKGMTVLLISIPIRVYILTGCLKRARGALVCMVHTVVSNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLGIVSIVSLMLF >KN541156.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541156.1:17325:18031:1 gene:KN541156.1_FG001 transcript:KN541156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKDELEGEDKVDILEFDCEQSDEVEEQINSVDKQLIIGTQVNEGGEAMPDAQLKGRKKKSRKQEEVVIAKRHSKRIIRDAVSISMKAQKRTQEKNDVSDKNKFAIFNFVDNSILANIAVDSGVMLGQNDSEILDNIETLKAKELAQSLIYLAKERLTK >KN541156.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541156.1:21734:25616:-1 gene:KN541156.1_FG002 transcript:KN541156.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPAESTVTGSRQENEIVAVKLLQPSGTGTFVAELEAMFNAIHVNLVRLLAFCSDNDDRHTGEKFRALVYEYMPNNSLHHYIFAQNSELRAMLDWPLRLKIVDGIVEGIRYLHVGSNTPIIHRDLKPSNILLGRDWTPKISDFGLARGYTAPECWQLGRVEPESDVYSFGVILLEMISGKPNGLMQQLLPHASDSGK >KN541466.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541466.1:1059:1610:1 gene:KN541466.1_FG001 transcript:KN541466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLKNKLSMGKACDELAQVVGSRRRIEESEIGQLPYLQAVIKETLRLHPPVPLLPHRAKMAMQIMGYTIPKGTKILINVWAMGRDKNIWTEPEKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLIHFKWRLPVEVERNGIDMTEKFGLTLVKAIQLCALATPT >AMDW01039749.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039749.1:236:1001:1 gene:AMDW01039749.1_FG001 transcript:AMDW01039749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NFGLENLASLSLAVNLIIYFMTVMHIGLTDGSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIISSVIELVGLLILTLQAHSNKLKPPYCLFPFDPKCETVSGDGRTHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCAGGAISVTVLVWIQNYQGWDKGFGAATGVMGLALLVFIAGLPGYRISVVQGSTALLEILQ >KN540047.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540047.1:41914:47819:1 gene:KN540047.1_FG001 transcript:KN540047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRPPTALAEPEAAAAEEEEAVAKPAPAAEAPAKKKKLAMERKKQRKEIDKERHRQDLASPEASQREAAAEALVAELRQVQVAHEKGGRKEGESEAEGGDGSSRMEAEKDDGLENCAPSVRYAIRRLIRGISSSREFARQGFALGLAVVLESIHAISVEAIMKLIPNLLEYSASMRGPEAKDNLLGRLFGYGSIVRSGRVSGQWAHEEGSPIVKDFVDEVIKLGSKKRYLTEPTVAVILDLAGKLPEEAIISEILEAPSVQDWFNRAADAGDPDALYLALKLQEKTNAQKEIFGKLLPYPFSPEGFFAEEHLLSIAACFKESTFCLPRIHSLWLVITDMLVREAASQSDIATSSSKKHKKNKKGSSSEDTKKNLHTFCEVIIEGTLLLSSHDRKHLAFNILLNLLPRLSPSLIQVILSSKVVHGLMDVLSNESSWLHNAGQHFLKELKYTGGRFDCMTKTRTVKDLVAKFRSGQDCLCLVQSLMSLFVDESSVTDEPSDQSQTTDENSEVGSMEDKDLFGQGSADLLKSWIVTTIPCVLKNLKLTSKGNSLTDSEMVKCIEEKFLVQTEVLKFLAVQGLFSASLGYEVTSFELHEKFKWPKPAISTSIRNECIEQLQFLIEDAQKDEALHVSEVKSNDLGFYFMRFINTLCNIPSVSLFRTLSSNDDNAFKKLLDIESLLFYELLLQVLLHPDEYWEAAIDVTICCKKSFPSIVQNEKSSGQPSNEGAEVFNEDGPGKSNKDGPEEHNDDASEDSNEDGPLEFMDVLVQTFLSVLPHVSGPVFRVVCDEITETGLLDMLRVVKIDLKGSRRQSGSDDDEDDACVDIEDDDETIVEDAEVGDTDSAADGPDEEMDDDSADEVDEGQDDLKESVAHESKDGDGAEVTKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLEIYLQRNTGNNLVLEVYSFLMQAFVKSHSADGSEQFKQRIGGILQKRIFKAKDYPKGDGVELSTLESLLEKALKLTSRSRYTTVASVAQNAAFWLLKIINSKSHSKQELASVVEKFQYILTDYFNNKKSRLKLGFVKEAVRRNPWIGEELFGFVLQKIGCTKAEYRRVQTLELVDCILKSWAGDDSSASKVLKKHLSQLCELIQEVLTKIPENKSRRQEVRRFCTRVLQTVTKLNLKDRFQKKLNPETLSLCEAQLGAAFVRFQK >AMDW01067426.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067426.1:99:413:-1 gene:AMDW01067426.1_FG001 transcript:AMDW01067426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEPVLAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTASKLSGHAGSRFGYTPSN >KN540047.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540047.1:22786:26408:-1 gene:KN540047.1_FG002 transcript:KN540047.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEVVEEKDCGILSQDFFCTPDYITPDAPQLASGFDANKENIPCPNSPEKSVCRSKRYKRDCSPKGLGSNDIFDSQWIAPVQFEGLDDSEEEQLKESSSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTDHQIDDNVFGGRQCKSSGFSPSVDGDGLSRYRTDFHEIEVILFHFVLVLLMSALDFQQIGRGNFSVVFKVLKRIDGCLYAVKRSIRQLHNDRERRQAVKEVQALAALGCHENIVGYFTSWFENKQLFIQMELCDRCLSMDRNQPLKCGEALELLYQICKGLDFIHERGVAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTQLPDSGPQFTSLREGKIALLPGCPMQFQSLIKSMMDPDPVRRPSAKEVLRHPIFEKLHKAPAKK >KN540047.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540047.1:49777:50694:1 gene:KN540047.1_FG003 transcript:KN540047.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRIATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWAQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALINTGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLYDFQTPVWRLSWSLTGNILAVSDGNDNVTLWKEAVDGEWQQVTTVEA >KN540047.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540047.1:59455:62313:-1 gene:KN540047.1_FG004 transcript:KN540047.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEMEMERWERGRGRGIAGDGGGGGGRRGEAADRAGAAAVQGGGGGGWMVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADEQGLPARAFDAVAPEWTPNVQCCGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVLELVTTAPLLRVSGEVANLCNALQAVSLRGAGICNSAAECYSLALNQIANRDATRAAMAEVSELLTTVCEAHKLPLAQTWLFLPPDCTGVAEQKAAVDAISATIKQCSGNLKAIVISNLDDLLLDNLDDLLLDTMADGDQQLRHEMDDLGDDQRCSDEEDLQLLENTNIGELNIHNADQIRNEDPTSQVGKNKTRRGKAEKSVTLEELQKHFSGSLKDAARSLGETMVMTESWIIKVNRSLDKMKRVMESVNCSPSPPVAMLMPAHPALLLPPPPPPPRPCLSSTVGETSSHGSCQAPPPHAKTALRKPPRCGNGDGVVTIKASHRGDIIRFRVPCSAGVAAVKAEVAKRLSLDAGAFDVKYLDDDHEWVLLSCDADFQECLDVVPALPSMSVTARSGSGAAAPVVVRLMVQEVADNIGSSCASSD >KN540047.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540047.1:14355:17467:-1 gene:KN540047.1_FG005 transcript:KN540047.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDAIRILREIKVLRLLRHPDIVAIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDVWSIGCIFAEILTGKPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNENARGYLTGMQRKHPIPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFRGISKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGGEQISFLYPSGVDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSVDSNNQDSDNEERRAISSIARTMISPPRSQEKGKNHASAYPNGIINLNSNPKIYLKSASISASTCIIRGNKGPKENGISEDMEEVVYELSDNVTRMLS >KN540047.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540047.1:53282:58685:1 gene:KN540047.1_FG006 transcript:KN540047.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSRLNPNATPFVPPPRPSFEESLSKRKASEKQVGDTEKDENVDKSAEYELPDSLSLDDYAESLGKLNISAESSSKGEATNSAFDPSQYEQNGVDNHLAVVESLSKMFPDVSADFIVEALKAHEFDTELTIDMLADLCEGDDYGHSAEVSTKEIAMECLTTSFTRNPGREYNLICPSETLSEKQRIQRRVLCHFPASTNSRRCRKFTTMAYPVSPIAGRRSNWRSFAASLNLEDGPASSDSTSSPSEQTSDGGEVYGDSSENLNSRKLKSDELKSLLADSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVYWVGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSPEYPIDGEGSMQNNILVVN >KN540047.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540047.1:6200:8130:1 gene:KN540047.1_FG007 transcript:KN540047.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSTKATELLADYLDQNLAFGTHWECFLGTIGSPLEWASKESDKGDKSDTSRDLVSLALFGTRTPAKNHSVSSLTNAGTRKHQLEESTVVENGHYLKKQRKTEVFSEGNQTNGVLAISTYEAKA >KN540047.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540047.1:32063:33701:1 gene:KN540047.1_FG008 transcript:KN540047.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSMRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFVKPDDQLQEQMNSSIRMETDEPATMAAGEQEEDEEEESSGGGGAEPEGNASTIYIGGIDKEWKTKPYARYHDPVAMAVVREFTLKPVTESSPACTRNHSVPAFVFSNGGFSGNLYHDYTDVLVPLFLSTHQFKGQVQFLLSGLKPWWVNKFNLFFRQLTKYDILDIDNDKDVHCFPRIVVGATFHKDMGVDPKRSPGHVSVNSRRFLNEREMAQAAAAVGFEVRIAEPDQHTDMSTFAQLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTTVTFKNPAKDMEVTYMDYNVQLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIKMDMDRFKKTLQEALDKLPPA >KN540047.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540047.1:38592:41538:-1 gene:KN540047.1_FG009 transcript:KN540047.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPPASGGGGGGGGGGGGGGNHLDAFASLSSCGFLSLRSPLTSGGEEKRDSTILELAQLLDVYDDLFPAKTEETGQETVQVDPLQLVVPKLSERFMGIRAAMVTNSPLISSFAANLGFHVSGTEDFVAQSGSSTASKEAGIISQALSLLGFSEGNVQETSEFDLVFLHVAMENTNSKLGKLGMKTDLNRLDKLVGAIMEAAPISSAIASRIHVSVMLSYGSAAENKDEFSIPKSSTEIDSDLNLLRPRQSYTMKAGNTLDDVRNHHPILLAQWQEGVTRSDLTEGFSFEEFIKRGGNLAMLAERFLHEVAFKLWKAPKYGA >KN539699.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539699.1:9437:11973:1 gene:KN539699.1_FG001 transcript:KN539699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDVIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVIGYSILIGLVLFLPKVFIICAIYGMVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERIRPKPSKFSEQDYMKLKGIVSIWTGQSFDQSRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLRDEMDAIASFAS >KN539699.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539699.1:59542:60977:1 gene:KN539699.1_FG002 transcript:KN539699.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPAMLLVLVTLCAFACKRSVAQSAFATFYGGKDGSGTMGGACGYGNLYNAGYGLYNAALSSALFNDGAMCGACYTITCDTSQTKWCKPGGNSITITATNLCPPNWALPSNSGGWCNPPRQHFDMSQPAWENIAVYQAGIVPVNYKRVPCQRSGGIRFAISGHDYFELVTVTNVGGSGVVAQMSIKGSNTGWMAMSRNWGANWQSNAYLAGQSLSFIVQLDDGRKVTAWNVAPSNWFFGATYSTSWVQF >KN539699.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539699.1:30509:31618:1 gene:KN539699.1_FG003 transcript:KN539699.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVVQVLLLCALAYQAVDAQWTPATATFYGGSDGAGTMGGACGYGNLYNAGYGLNNAALSSALFNDGAMCGACYTIACDTSQSKWCKPGTSITITATNLCPPNYAKKSDAGGWVPCQKSGGIRFTISGRDYFELVTVFNVGGSGVVAQVSIKGSKTDWMAMSRNWGQNWQSNAYLNTQSLSFKVKLDDAREVTVWNIAPSNWNFGTTYTSNINF >AMDW01007359.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007359.1:54:182:-1 gene:AMDW01007359.1_FG001 transcript:AMDW01007359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGHDVPPSIKVDIIDDHGGGGLGNGDGGSASFFELRPEGTP >KN539699.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539699.1:13484:13869:-1 gene:KN539699.1_FG004 transcript:KN539699.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAFRALEAEAREAKKPAAAPPPKKKIPKSSEANRDKLLKFNAMQQPALAFAAAAAAAASSMPLSIPSSREDKKPVKQEVQGSADRSLKDDHVPLFGTLQS >KN539699.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539699.1:81515:84978:-1 gene:KN539699.1_FG005 transcript:KN539699.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAAAALKPMKATSDGVFQGEDPLEAALPLAIVQICIVVVLTRVLAFFLRPLRQPRVIAEIIGGIMLGPSAIGRSSAFINTVFPKQSLTVLDTLANIGLLFFLFLVGLELDLRAIRRTGAGALAIAVAGISLPFVLGIGTSVVLQNTVNRGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPFVSLWVLLSGVGFVLSSFFFIRPLLAWMARRSPEGEPVKELYICTTLTIVLAAGFITDTIGIHALFGAFIVGIIVPKEGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVLTIKGGDSWGLLVLVVATACIGKIGGTVLASLIVRVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPLVMAIYKPARRAPPYKNRTVQRPNPDDELRMMVCFHSTRNIPTMINLMESSRGTRRRGITVYAMHLVELSERSSAINMVHKARRNGMPFWNRRRNGDGDQLVVAFETYQQLSHVSIRAMTAISDLHTIHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDEYQHINQRVLHHAPCSVVNQSPESSVQVHIHPSLRIFVWLVWQYAHELECEVNKFKD >KN539712.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539712.1:42143:46557:1 gene:KN539712.1_FG001 transcript:KN539712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDGLDAGISGEREVEAALLNSHFVTKLKRCASLAATLQFHAKAVALPGSSCPKKCGDVEIEYPFGIGNDCAKEGFELNCNKTEDGRSNMAFFGNMQVLNISLQKGQVRMMKYISYMCYNQSSRKVEPIITTLNLTDTPFTFASKENSFIVIGVNTLAYMLGSTYVAGCKSQCSPHSNATVTARDGVCTGAGCCQSSLTGNMSYHQVYFNIEYNTSEFYTNTSATDHAEYCGYAVMMETASFRFRTVYLNTRKFLDENKERVPVVLNWAVGNETCDVAEKKAASYACRSSNSKCIDSTSGPGYLCNCTEGYDGNPYLPDGCKDIDECTVNNPPPCPGHCKNTPGNFSCPNEKPPSSSHSAALILAVGLSLGAVILVITMTCTYLICERKKLANIKKKYFQQHGGMLLLQEIGLKQGTAFTVFTAAELMEATNKYDEKNILGRGGHGTVYKGMLKHGQPIAIKRCLSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVPNGTLFQFIHFNDGSCNIPLFTRLQIAHESAQALDYLHSWASPPILHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLSFLCAVKESRLMDIIDYHINTDENAGVFEEVADLASQCLEMIGDNRPSMRDVADKLGRLRKVMQHPWAQHDPEEMESLLGESSVAGLEMVSTGNFSMEGGAVRGILESGR >AMDW01040281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040281.1:197:1212:1 gene:AMDW01040281.1_FG001 transcript:AMDW01040281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADDVYAPFLASLVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDRRELLKGPLYYACAITLATVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWSMVIAFGVTSLAAAIVESLPISTRLDDNLTVPLASVLVGVLVFYYTGARNLCCMSADSSDISALVQNQMVLDRF >KN539712.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539712.1:23485:24909:-1 gene:KN539712.1_FG002 transcript:KN539712.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAGKRDMMNQPGHGGDLPVAKRRRCVDPTGAAAAGIPEDIVEEILLRLPVKSILRFRSVCKSWHAMVADPRFVRLQLDHSTTAARHRPPSMLVLADWCVPEQRRGTIDFFSYPGHGVAADFALGMTWSSPVATADEDDVADWDDDDNLDDAVDNGDAAADGDDAVDGNADDNGDINDGAIGLGGALHYNGLVLLSSMENSSIMLVCNPATKEIAELPACTPDYFRVQRVCFYADQPTGKTKVFHYFIRHCNETLTDYSVGCEVLSLGSPAWRPLADPPYLALNKASPCILGGIYWIVALPSPPTGSCTTPGMLRFDVCSEEFTSFPSPPFMGRQVIRDVSGALTELAGKLCYMHAPTDGKVELWTTSAAAADDEGGGGPRWSLHCTVVLPHPFQTIFPFTHDYQGSVFFNVDCAVIYRYDVERGVVERVVDMLEEMTYFNCLTHKLYRRPGDWKLRIIQYSESLVSVEAN >KN539712.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539712.1:49348:50655:-1 gene:KN539712.1_FG003 transcript:KN539712.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVKIGPWGGNGGGSVDISVPPNSLKNVTIRSGAAIDAIAFTYVGTDGNEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLQIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRSGALVDSIGVYVHI >KN539712.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539712.1:61531:62825:-1 gene:KN539712.1_FG004 transcript:KN539712.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVKIGTWGGNGGGRVDLSVLPRSLKSVTIRSGAAIDAIAFTYIGTDGKEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLKIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRAGALVDAIGVYVHI >KN539712.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539712.1:15371:16543:-1 gene:KN539712.1_FG005 transcript:KN539712.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTICFFRYPGHGALADLAYEMTCSSAVATADEDDTADWDAVDDGDAVDDGDAVADGDDAADWDTDDDGDDATDWDTDADGDGDDATDWDTDDDGDGADRYINNGAIRFGLPLHCNGLVLLSTMENSSMMLVCNPATREFAELPACTPDYLRIQRVGFYADQPTGKTKVVRYFIRHCNETYTDYSVGCEVLSLGSPAWRPLADPPYLVLNKTSPCILGGIYWIAILPSPPTGSCTTPGKMLRFDVCSEEFTSFPSPPFMERQEICDVNGTLTELAGKLCYMHTPADGKVELWTASAADEGPRWSLHCTVVLSHPFQTIYPFDHDYQGSIFFNVDCALICRYDVERGVAERVVDMIDDMTYINRSKLYRGPGYLKYRTIQYSESLVSVQAN >KN539712.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539712.1:60114:60404:1 gene:KN539712.1_FG006 transcript:KN539712.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLEGPENERSLSLSFLCAMEEGRLMDIKGHHIQTDENAGVLEEVADLASRCLEMIGNNRLSMRDVADKHGRLRKVMQHPWAQHDPEEMVQHSK >KN543262.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543262.1:2134:6345:-1 gene:KN543262.1_FG001 transcript:KN543262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAASKLMLLVVAAAAAAACNFSPPPAATAFATHALEQQLRPDDAGASCLPHERDALLAFKQRITLDYYGFLSSWKQGGQEEEEEEDCCQWRGVTCSNRTGHVVKLDLGDAGLKGQISPSLLSLEQLESLDLSLNWFNNGSIPTFLGCFKNLRYLNLSHTLFTGTFSHQLSNPSKVCSILTASSPTQILLLAGEVPHQLGNLSNLRQLDLGYLSDMHATNISWLAHMHFLEYLDMSLINLSMAVDSSSVFSTIPSLQSLHLSSCSLLGTSQSMTQLNLTRLVELDVSFNKFGPPLGTSSVLEFLDSMENIRYLDLSGTSILSGRVPPQLGNLSTLRHLDLGFMPNMYSTDISWLTHLQQLEYLRMDNVNLSTIADWPLVVNMIPSLKVLHLIECSLKSANQSLPHLNLTKLEEINLSRNHFGHPIASCWFWKVKGIKYLYLEETYLHGPFPDALGKMTSLQDLYFGENGNLATMTVDLKNLCALGVLVLDGSLSHGNITEFIDKLPKCSSGHLPVEFGAPDLEVIVLSSNRITGHVPRSISKLQKLRFLDLSNNSFYGELPRMPNLLYLLLSNNRFSGKFPLWLESFSTLAFLDLSWNNLDGTLPIWIGDLAGLTFLQLSHNKFYGDIPLNITNLTQLQLLNLAGNNLSGTIPQRLSNLIGMTLKHRERLDTEWYNSEVGDVCLDMLSLVMKHQELKYVSYWAFQMVGIDLSLNHLTGLIPDGITSLNGLVNLNLSWNQLNGRIPENIGAIKSLESFDFSRNNLSGEIPLSLSDLTSLSSLDLSYNNLVGRIPRGRQLDTLYDNDPSMYDGNSGLCGPPLRRNCSDTLYFLLGKATEGRKFRNGDSELTEEKIIQSRVGGIESWPQVPHQIQRLRFKRQYTSIPFSFPVAALPTVRWWRGKPSISPRLSSNYFIGHIPESICELHGLLAFDLSNNLLDGELHESFQMPKMVFLLLSNNNFSGKFPSSLQSSSTLVFLDLAWNKFYGTLPSWIGDLVNLRFFGA >KN539712.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539712.1:1853:2906:1 gene:KN539712.1_FG007 transcript:KN539712.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGDSIVLRHSSDQFLRAIDRGDGNGVTVEVSASRRANAHWVVEANPSTDSIPRLPHIEATGHITRVIRFVRAERASTDGTFPHVAWACFEFTGRSLFNLRIELARRLNFAVASDAIMCVRAGFFGRLTPLVINLPDNNVTMEVIVVTAGTTGKISFLCTCVLLVIAFSFHD >KN539712.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539712.1:28370:29572:1 gene:KN539712.1_FG008 transcript:KN539712.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRDQGTYLRADDDGSGVSMGQDRASFHAAWTVHTHHVGDDDILMLHSAANGRYLATGPGWTEQHLPGGNRASIILRDLNWDVFDAVSWFAVRSGWGDDVLLRHYSWRFLRADDTGVIADRFDGRRMARWQWVVEAIPPRNSIPRPPNPSPSFGVFERPIWFQRLAHNELVCMCFTGRSALHLWNQLSRRMGFEPDPNSTMCVRAGTYGRLTPLVTDLPRNNATMVIFVLPPESLGEICTCARLAVAFLFYG >KN539712.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539712.1:66217:67801:-1 gene:KN539712.1_FG009 transcript:KN539712.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVKIGPWGGHGGGPADIPAVLPVNLKNVTIRSSEDFIDAIAFTYVGTDGNEHLAGPWGGGGGRATTITFGSQEFVKGISGTFTDIVTSLNISTNVTTYEFGKSNGTPFSLPLQSGKVVGFFGRSGSDLDSIGVYVHV >KN539712.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539712.1:34879:41105:1 gene:KN539712.1_FG010 transcript:KN539712.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSTQMLVCNPATKELAELPDCAPDYFGVQAVSFYADQSTGKTKVVHCFIRHCDKTYTDYSVGCEVLSLGSPAWRPVADPPYLVKTKTSPCILGGIYWIAALPSPSTGSCTTPGVVRFDVCSEEFASFPCPPFMERQKMSDVACGDLTELGGKLCYVHAPADDRVELWTASAADGGGSRPRWSLQCTVVLPHSFDTFFQFTYDYQGGIFFYVDYTMIYRYDVQRRVVERVVDMLEEMTYFDRSRRKLDRCDGDWMHHAIQYSESLLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGIRLKLMWGKPQAPKPEDDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGTEGQQQAPSGSYYFNIPAPPGAERTLYPSMDPQRMGALVKSQEGDGKPGPQQAAQAQASSSSGQSYPMPPPYYHGQYPPYYPPYGGYMPPPRMPYPPPPQYPPYQPMLAPPAQSQASSSQQPGPSMQQQAQAPPQQQTTQN >KN540080.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540080.1:64514:65680:1 gene:KN540080.1_FG001 transcript:KN540080.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQALVHYYPIAGRLTCNDDEDGGGDFYIDCTGELGVTFVAASADCTMEELMCVVDNQPTDDETAVVQQLAFNCTPDVGDDGPPPLLWVQVTTFSCGGFVVGVTWSHGLADGVGIAQFIQAVGELARGLPSPSVVPVRQDDIVATQVVPPFTMALLQFLPGLKPLDLTFNNITVPTSLINHIRRFRGGQPASTTTVTAFEAVAAVLWKCRTRAVMASPEAPAILLFVVNARKHLAGVKDGYYGNCSMMHMAMAKSGAVANGDIMDVVEIIRRAKERIPEQFGEGSDMMVRELSDGQQVDGYESLLYLTSWRNIGLEEVDFGSGKTARVMTYPQRMLFSLLEKTTPICFMLMPTKEGARVMSGCVTPDHVDAFHQEMLKLNANYTAT >KN540080.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540080.1:37169:39939:1 gene:KN540080.1_FG002 transcript:KN540080.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDRVYVVSDLTQLFAELDFGRGEWVVSCMAQPMLAMFLVRCRNCDGEDVVAASGDGEADLRLADLAEPLLLPFPCSGELLVCDNVGDSHVAVIDKPLIFMQVMEFKCRRFAIAMQWNHCVADGFGASQFMNAIANLACGEPRPLVLPVWERHLLMACAPPSVVAAYPEFKPLIDGASSNDVMLSTSLDTMVTWQFLFGRQEMAVLRRLLPARLGRRCTDFQLLAAALWRCRTAALPYSLHRRGYYGNTLAYSITDASAGDLCGGTLGQTVELVCEARLRVTEEYGRSMVDLMASLRGRDMVFDGVNADGEDAVAASMLLPPPVMERFAEEVAGLMMRLSTKHDKGAITTSSSRL >KN540080.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540080.1:29718:30233:1 gene:KN540080.1_FG003 transcript:KN540080.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFYAPHRQVCLYLPSNARGRRMRRRHGVHVPEGYYGNALAYTIVHASAGELCGGTLGHTVELVYEAKLRITEEYVRSTVDLLASLRQRGRALVFVVSDATRLVGELDFGRGGEWVGAGVAQPMRATFLVRCRDADGEDAVAASMLLPPPAMDKFAEDIAQVLLVTSRL >KN540080.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540080.1:571:2602:1 gene:KN540080.1_FG004 transcript:KN540080.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding YPLNFDYDFSPLAPFLNFSLNNAGDPFAKVNNSVHSRQFEVAVLNWFANFWDVQRDQFWGYITSGTTFKGAVDDIDEIIRTLEKCGFQNRYYIHCDSALSGMMTPFMKQAPKVSFKKPIGSISVSGHKFLGCPMPCGVVITRLEHAEVLSTDIEYIASRDSTITGSRNGHAPIFLWYTLSKKGYKGLLKEVHICMGNARYLEVLLKQVGISASCNTLSNIVVFERPKDERIVCRWQLACEGNLAHIVVMPNVTFEKLTVFVEELAEKRKDWYQDKGFDIPCLAVDIGKENCYCNLHAKKLRIPKM >KN540080.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540080.1:8728:10620:-1 gene:KN540080.1_FG005 transcript:KN540080.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSKAEMVMPARPTPRETKPVSDMDDHPGHLVYIPLLEFFRCRFCHNSSSSKAKAVKAALAEALVWYYPVAGRLREIAGGKLVVDCTAEGVAFVEADADVRLEELGEPLLPPFPCVEELLCDAGDIDVVVGKPIVFLQVTRFKCGGFVMGFHISHCIADGFGMIQFIKAIVDIARGEQAPMVLPIWERNILTSRSPPPTIGATNANTNTVKFSSVLKESTSIDDDIMLSTPQESMVGNYFLFRPNHISALRSHVGEHGATTATRFELITAVIWRCRTVALGYKPDQRVHLLFAANARRHRGDGTLRIPEGYYGNALTYHVAAVTAGELCGTTLAQTVALIREAKLDGTTEERVRSTVDFLASLRLRRSGGRFPALAFDKAYAVSDFTRLGEDGLDFGWAERVGGGVATPSFVSFHSRWKLVSSDGDGEEEEAVAASMLLPKPAMDRFDKELALWLDKPSVGGLSLSSKF >KN540080.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540080.1:46986:50627:1 gene:KN540080.1_FG006 transcript:KN540080.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRLVARRSKPELVAPSRPTPHETKLLSDLDDLRNHYEYTPLVAFFRSSGSGNDVPSPPTMTIRTAIAEALVYYYPLAGRLRKLPCGKLVVDCTEEGVVFVTAEADLRLADLGEPLLLPFPCSGELLVCDNVRSDSHVAVVDKPLIFMQVTEFKCGGFAIAMQGNHCVADGFGASQFMNAIADLARGEPRPLVLPVWERLLLMARAPPSVAAAYPAFKPLIDGSTTNDVMLTTPLDTMVTRHFLFGRREMAALRSHLPTLLGRRCTDFQLLAAVLWRCRTAALPYAPHRRVRAYLPVSMRGRRWLHIPEGYYGNALAYSIADASAGDLCGGTLGQTVEIVCEARLRVTGEYARATVDLMASLRGCGMVFDGVYVRRNMKTNEFVTVLPVFLCVILVVLQGRGCSTTSSTSPSTSAVRLRLRRALDAGPAGWQLPDPEPDALADPVPARSPAPSQDRLPSRSMVCLT >KN540080.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540080.1:4178:5694:-1 gene:KN540080.1_FG007 transcript:KN540080.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFKANRSDPELVPPALATPREMKALSDVDTQPALRFYATGVEFFRHRPIVDDGHDQPENQAKVVKDAVAKVLTYFYPVAGRIRELPGGELVVECTGEGVVFVEADADVWLDEFGNPIMPPYPCVDEFLCDPGDTSVIIGKPLVFMQVTRLKCGGFVIGTYSCHNIVDAFGHTQFLKAIVDIARGDDHPIVLPVWGRELMAARNPPNVSLLQHLTPSKLSPDHPVEPNSAAQHVSSSTDHMVGDYFFFSPREIAALQHHAQLQYSSTAFEVITAAMWKCRTVALGYVPDQNKKACLLMTMNARGKWKRDPPLPQGFYGNGFVYLVVETDASELCKQSLGHAVKLVQKAKLDMTEEFTKSMVDFIALHGGPPYVAGWTFVVSDITRIGEDALDFGWAQRVAGGVPMVGDVKCKQVSYQMRCINDNGEDCVVASMFLPKSAMEIFAKEILVLSSKEIE >KN540080.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540080.1:34569:35936:1 gene:KN540080.1_FG008 transcript:KN540080.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRLVARRSKPELVAPSRPTPHDTKLLSDLDDFRNHYEYTPLVAFFRTSAAAGNVPSPLLTTIRRAIAEVLVYYYPLAGRLRELPCGKLVVDCTEEGVVFVAAEADLRLADLVEPLLLPFPCSGELLVCDNVRSDSHVAVVDKPLIFMQVTEFKCGGFAIAMQWNHCVADGFGASQFMNAIADLARGEPRPLVLPVWERHLLMARAPPSVAAAYPAFKSLIDGASSNDVMLSTPLDTMVTRHFLFGRREMAALRRLLPTRLSRRCTDFQLLAAALWRCRTAALPYAPHRRVRAYLPLSTRGRRWRSQGLHIPNGYYGNALAYSIADASAGDLCGGTLWQTVELVCEARLRVTGEYVRSTVDLMASLRGRGMVFDGVYVVSDLTRLFAGLDFGHGEWVVSGMAQPMLATFLVRCRNADGEDAVAASMLLPPPVMERFAEEIAGLMTTSSSSRL >KN542302.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542302.1:8175:8430:1 gene:KN542302.1_FG001 transcript:KN542302.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDHTAAHSVLDRGICLQSAASSSNSICIYQQNVAMRFAAARYFAPARSAHASEEDNASRRGIGSEMMMIW >KN539085.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539085.1:44928:46352:1 gene:KN539085.1_FG001 transcript:KN539085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSATYDREAELRALNATLSGVYGLVASDVTAVPGIFRVPDPKPPPPSSSQESPPLPPSIPVVDLGGTGGDREAVVVAIRRAAVEWGFLQVTGHGVPAEVAGAAVATARAATRRGSTRATRRGPPSTTATSTYTSRRRPTGATCSTSVWRPTRRPLATCRNTAAWTDWNRKNPMTITTKACVYCSSENGYSKFLLWHISFKFCEPSLFDCLSREVFFEYANNIKNLLDTLFMLLSEALGLKQGYLPDIGCKQGQMILCHYYPPCPQPELAIGTT >AMDW01039577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039577.1:148:1021:1 gene:AMDW01039577.1_FG001 transcript:AMDW01039577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILTDAALLKRQKQEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLAMELDEERRLRETLEHRLAEQQKMLDGISNTSISPDQFTDSIQFESLKTPTSKERPAEFVASRANYSKDVEFSPIPENLGTVADEDLWMQLNKGCVTDLEMLEMTPGFKCAPSLADDKAS >KN539085.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539085.1:76819:97101:1 gene:KN539085.1_FG002 transcript:KN539085.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLWVVVLVVAAAAAGLVGASPASGLDLADEGGGGGGAGGRSFDGGAVRGELPCGSHAARSRSCEEMNGSGSFDTTCVIGSSSSLDGDLCVYGDGSVVISPHVKIICPVAGCYIAINVSGSITIGEHVDLIAGSVSLYATNVSLDQRSTVNTTGLAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMAADHQFGGDGGGRVMLRASEFLNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSEIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSFVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTVTNNGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGIGVYNGMRSEGVVGSMKWPLSKLLIYGSLSSDGESHRGTKKISNGTYKGGIGGGSGGTILLFLQGLLLERNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIASVNGLVASSGGSGNDDGHFGETGTVTGKKCPVGLYGTFCTECPIGTYKNVVGSDSSLCMPCSLDSLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAIILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHQHDHVSEFIDRSRKNISSKKLNQDQPCTSYAVSRKRLTGGVNGGIINEGTLKSLECKRDYLFPFSLLLQNCRPIGYAYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNTTSVINIIVAIIYGALYSGLSSLSVSSVPHALNTKSFKSREDNEWWILPIILFVVKSLQAGFVNWHLANLEIQDYSLFSPDPDRFWAM >KN539085.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539085.1:24274:25371:-1 gene:KN539085.1_FG003 transcript:KN539085.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVGEGEGEMVERWRSGALASDSNGNVHSMQLAPQQVVDTGGKEDEEAGDSGGWEGLREADHGTPQRVPVEERAKVLNLLQRDDSALTVSD >KN539085.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539085.1:49527:54229:-1 gene:KN539085.1_FG004 transcript:KN539085.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGARIRWFHLESIIPPPYDAVDVPQMTSLALHSTRSNQGPPSRLPLMVGHRWSHSYILLGYSHQNPQMLPIASVKTEVTTTEGHQSGGMVAAVLKDMGIQAWSPSGSKENEAKRSGAGSTTHNCHSSSVDSFMMGNLNFGAVGQQILPNVKFTEDDKKKVVADKFLSEIVLTDPRRVKRILNNRSSVAKSKEKKMKYIGELQHKFQVLQLETATLCAQVAVMQRNNNGFVSLNNELKTRLQAMDQQAQWGDALTARLTAEAQHPRVVVGEISDPHVPSGSHQQQSSYMDKLQQLLTQRQPSQTQQNQPQ >KN539085.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539085.1:65968:67880:-1 gene:KN539085.1_FG005 transcript:KN539085.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQWCVDAVPDSTYTLSTTDTPRLLGMSTPRTGAWSVAGNMGDGVIVGVLDNGVDPRHGSNLGTASGMAPRAHLAMYEVCLADMCSATEMLTATERGAFLDGVDVLSISASDNKQKPFYDDLIAVGSFSAVMAGVFFSTSAGNAGPTAETVTNCAPWQLTVGASTMGRRVISKVQLGNGLVIIGEASRRYKRVQNKPIVYVGGRFADGALKAVDVRDKIVLCNRVESAAMLEKMVADAGGVGMIAISTQMQFLATTPLGANFMPLSRVSYPDGETIKAYINSTANPMASLRFAGVVLNASALPAIAEYSSRGPCDLPNIGVLKPDITGPGTNIVAAKAHPEWSPAVIKSAMMTTADVTHRDGTPVIDLSTGAPASYFAMGAGLVNPTKALDPGLVYDLTADDLVPYICGLGYNDSFVNDMIAQPLKNVTCAKSKKIQGKDLNYPSFLVTLTAAAPVATARRTATNIGKQPLEVYRAEVVAPPGVAVEVVPNRLEFGGAALQRREFTVKFTRGRNAAVNGAAEGSLRWVSGKHSVRSPLAVLLKP >KN539085.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539085.1:21065:22012:-1 gene:KN539085.1_FG006 transcript:KN539085.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNPRATSSSAAAAAASSPDAGRKEREENTAPAVYDASADIEDDYRLFLENVRVYENEDFVLEYEGKVVRYGGDEAVSAGGGSRGEDPVMEKEKEKEKEVDVVVISSSSDDESTKIISEPNPLDRGVFQRKMKKVVDKEKMDEKNEAAAPLVKGKGVGKVIGMEIEDEQLVLALPKPGTTTSLTNPSKRHETEPHTTSFLSARLKGVNV >KN539085.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539085.1:105054:108247:-1 gene:KN539085.1_FG007 transcript:KN539085.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit beta, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G43430) UniProtKB/Swiss-Prot;Acc:Q9LSW8] MKILVAVKRVVDYAVKVRVRPDRTGVETASVKMSMNPFCEIAVEEALRLREAGAATEVVAATVGPSQSADTLRTALAMGADRAVHVLHDPNPARPLLPLAVAKILRALALQENPGLVILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVILNKEKATVEREVDGGIETISLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLDVDIRSDMEVVEVTEPPKRKAGVILSSVDELIDKLKNEARVL >KN539085.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539085.1:123748:127226:1 gene:KN539085.1_FG008 transcript:KN539085.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPALVVIVVAAVALAGGGSLGFEFHEATVDAIQLGFSNGSLTSTALVRFYLHRIARLNPLLHAVIEVLSRSQLDRTLSVADAVHVLDAIVGYDALDAKATKAASKYIPAGGYVQFLRIDGLKGKRIGIPDGFFDFPNGTVRQMVYKQHLNTMRQQGAVVIENLEIANLSVIFDGTKSGLLTALLAEFKLNLNNYLSDLSYSPVRSLAEIIAFNNAHPVEEELKEHGQSILLMSENTTGIGPAEKAAIRRLNELSVNGVEKLMSDHQLDAIVTPDSAAAVVLAFHGLPGVVVPAGYDEKGVPFGVCFGGSKGYEPRLIEMAYAFEQVTKVRMPPMFKP >KN539085.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539085.1:132577:139432:-1 gene:KN539085.1_FG009 transcript:KN539085.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPHQPSSSGDIEVAVESGSGASPSRNKLLSMVKKHSYLIGWTVIDAEADASDVEMDDKFWHEMLDLFFVHGRVSKGREEDDLVFFVNNMKLNGYRSSDNMENPPPFFVRRWAPKLEKITNINLADVDWERSFYLNLIAHTSYSVTVAICSIMDLCNRAEKSKPLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGVNTGSNQEKPPKRTLFSGYVSYQNVREAYDAGRSKFESLFSLRHDRTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRALVHSAASTASKLAKHAYEAASTNKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKVKSVTTCE >KN539085.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539085.1:60156:61741:1 gene:KN539085.1_FG010 transcript:KN539085.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPPPAADLPEICRDALFEYAKQVKNLGNTLFELLSEGLGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHEDRWVDVTPTPGAFIVNVADLLQLISNDKYKSVEHRVVAKNTEPRVSIACFFSTHFHPISTRMYGPIKELLSDENPPLYRETLVRDYTARYYSVGLDGKFKTTLSEFRL >KN539085.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539085.1:28097:31136:-1 gene:KN539085.1_FG011 transcript:KN539085.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFMQQVSKSLGMAVPHFDIKTVIAATMFLKGFGGLLFIISSSFGAFLLLLYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALFGALLFFLGMKNSIPRRHSKRRAGKTKTN >KN539085.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539085.1:16451:17798:1 gene:KN539085.1_FG012 transcript:KN539085.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTIKPPPVASSKSREEAARSEASATGLSRRVPNTNGWPRVKGKHPHHRHLSACMCPIPANLRGIDVPIDGPKGGSRSQGLVGAVRTYICNDLPFYFQISWKIESPAAYVCNTKRRESGRTCINGGCSGTMAKMRAPGQCVSEHLYEKVLALCRIHIEFNDVVEQR >KN539085.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539085.1:72703:72933:1 gene:KN539085.1_FG013 transcript:KN539085.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRPATAPDSLEADRQKNTSTKSTSKAMSVVELNTLAMVLPYVSLRTSAVLEEKEEDDPRKTQVAAHQAKETRCA >AMDW01025336.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025336.1:55:222:-1 gene:AMDW01025336.1_FG001 transcript:AMDW01025336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKP >KN539085.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539085.1:115434:118412:1 gene:KN539085.1_FG014 transcript:KN539085.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDAALSLSRVEREPLRGKGDVSLGMERGRWILPENVATLSSHHETKEKVLSYSDASDVLRYAFTMTEAAIDFSMSFCWLDELITIDEFLLYETCISHPANLCTLLGYGYSLRSRAFLDFHFNPGQCFACSLLPLFSSVVQLIGFTVLYSTIDSLHGHRSIHMKCMDVLQSKVDEECCPISTKVQGL >KN539085.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539085.1:39528:42341:-1 gene:KN539085.1_FG015 transcript:KN539085.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPSRRLSLLDRCGDGSEDPVVPPRVPTILNLASHYRLDRSKFLPLIHPAPARFISSTGSSFSPYSEPPPSPPMLEVGSGFGPEDLYSFFPNHFLSMESISLPPYDVVDVPPVIPLALQSGRSNQGLPSRLPLIVGHRRSHSDIPFGYSQEHPQMPPVASVKPEVTTIEGHQLEDVAAAALKDMGIQGWSPSGSIDKEVKSSGAGSTTHHCRSLSVDSFMMGNLNFGAVGQQMSSPPLLTTEVNVGGGEPIGSAASPFAAELANVKFTEDEKKKIVMDKSLSEIVLTDPRRVKRILNNRASATKSKEKKMKHVGELQRKLQVLQSETTTLGAQVTVMQRNNNELVSQNNELKTRLQAMDQLAQLGDEHDLDTIRGKRFAQFNMYERRLCQLTISPIFQVKALQNRTKSVQNNIITNESMSAKGMVEDSIQLYSLDTELEQIKRERDEPDSSIHLIWGK >KN542298.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542298.1:3299:3976:-1 gene:KN542298.1_FG001 transcript:KN542298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQYRTFRPQANNSSFVQVQELHRLYRVQKLLMDAGSAITMQGISCIPEDDYHAEENEAGSSRPWHTFHGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >KN542298.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542298.1:16383:16727:1 gene:KN542298.1_FG002 transcript:KN542298.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIVRQSIATRKEDRGALPSSDWELRSELEAAGAEQLVTESSSKLQLLMLSAIRAHLFTAEESREAVRIRPQIGGGNYGEPRFTSVATAAATAAVEGNGRTSGGQERIFDRL >KN542298.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542298.1:13280:14295:-1 gene:KN542298.1_FG003 transcript:KN542298.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSDNNQLILDGRIGLASSLCQVEPILRNPERKRKAVVLDQQFKGVLCAGQSSQSTSHVPDGYAGDFISTFHLPSRRTIRRRLSFASDNVAIPTGDGLSLGSPTLRAVETFCGLPHPPRCVAAESRKKRKAAMICRREERLYADTLSPSSRSVRRRKIPNDNIVHQPHHTQLRQHNPTSAAQPGDDGT >KN542852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542852.1:109:4462:-1 gene:KN542852.1_FG001 transcript:KN542852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIARFFFGVSGNVIALFLFLSPVHIVLHNMSKFSEPLAAMKNVYLQQLSYSPCCRHGQDGSDMWGQEAVVLAHAASRSSVCSSKKWVRNTIRESILRRYGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERKTRLKMMGLLGLVTSIFTMVVLVSLLALHGQGRKLFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIAIPNGCGSFLGLMQLILYAIYRNHKGATPAAAAGKGDAADEVEDAKKAAAAVEMADAKTNKGQFGSILHTGNCRLTPDCVQNLPLKYIAKKGKENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEEILIEVSRTFGSKIYVDKRRNSDCFRALSLIAPEIITEDPSCRFQVW >AMDW01135047.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01135047.1:151:429:-1 gene:AMDW01135047.1_FG001 transcript:AMDW01135047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLYQVEKAKEDMLNQLYSKI >AMDW01066893.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066893.1:2005:2355:-1 gene:AMDW01066893.1_FG001 transcript:AMDW01066893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNNSKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRSNA >AMDW01029400.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029400.1:73:366:1 gene:AMDW01029400.1_FG001 transcript:AMDW01029400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSACFLVFAGSPAKLIPASSTVDENTGECPGPDLTVVYTGSFSPALCAVSCSKFLYTPVSSYTTKSHTTSQIILINHDIDDDEQERNNLTRTRA >KN540403.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540403.1:2160:2468:1 gene:KN540403.1_FG001 transcript:KN540403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRCGGCGLALYGPVSLICTGVGGERGEAATGVWELWSSAVREGGRALRAVSRLRPLVGDQGGAPPAVAALLESVTGKFSAPTPRFLHDREDDEKQKSEK >KN542730.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542730.1:44:484:1 gene:KN542730.1_FG001 transcript:KN542730.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPHLAGRFGVDEHGRRYFDLNDAGALVLEATASASLADALSHDVPAHVNELYPKANKEHADEPLLQVQLTRYTCGELVIGVTVSLLTTMSPTASP >KN539682.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539682.1:30334:35623:1 gene:KN539682.1_FG001 transcript:KN539682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVEEGNAVAPRGPARRRGTVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMFEKLKLTESLLDSKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLDAERTVEIAMAKAAMVDDLQNKNQELMKQIEICHEENKILDKLQRQKVAEVKKLSQTVKELEEAVLRGGATANVVRDYQRQVQEVNDQKKTLECELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLARRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRSKINGNGAHLLNRSTDAVRDCETNDSWKANADEGTIENTNSNTGESNKETANNKSAEMKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQEVKARRLGSSKGTGSSQVLSGSYRLPAYANVDYRQSN >KN539682.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539682.1:52652:56281:-1 gene:KN539682.1_FG002 transcript:KN539682.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSRSKRREGYVRSLLESNGVASGGAGSNVGTRVVATSDLLDDEVVSEQLTRNIQFFGMESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIEAKVQLYDPSCEDEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVITQLAKLDFQTEPIVNLDLDHYHILHHRLLEHEELIYGSAEQVLVDAEEVMYIVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFNEADAHESTTLDQIKEEEPEFYNMVSRVLKQAEAEFAL >KN539682.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539682.1:41631:46537:-1 gene:KN539682.1_FG003 transcript:KN539682.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKCGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWASDAGDTNFIEKYGTHIIVGLSMGGQDVVYVKQDRSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHWEWLPTVPLMPDAINFKLVPITSLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIAGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPVS >KN539682.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539682.1:39524:40582:1 gene:KN539682.1_FG004 transcript:KN539682.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding METPTNLTNTISAVHLLKINGYSVTRALGCSEYISSRRLAAGGYDWEVLYYPRYYEHGVYWIALRLMFMSKECKHEVKAALKCQLVHEAQIYLPSGSKSVSSKYTGQRDCGPALLLVKQDDLPGSNYFIGDSFVVECTITVLREPQEAVTNVSPNVSNPCCDLQMHLGELLLSEKGADVTFVVAGESFLAHKIILAARSPVFMAEFFGPMKESSSQCVEIKDMEASVFKAMLHFIYTGTSPELDQQHVVSDSEQDITTMTQHLLVAADRYGLDRLKLICQERLHDDINVETVATTLAFAEQHSCTQLKDRCIEFIISSRANLDAVMATEGYKLVIASCPSVLSTLLRAAVGR >KN539682.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539682.1:78009:82431:1 gene:KN539682.1_FG005 transcript:KN539682.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYRLWTRFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVLRFFVAYRDPDTYRMVHNPTSIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRAMKVTEFFRTMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLTKRYMTSLYFAIVTMATVGYGDIHAVNVREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPVSIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLDKQSFTNILEIFFVDGRRILSNLSESSEYGSRIKQLESDITFHIGKQEAELTLRVNNAAFYGDMHQLKSLIRAGADPKNTDYDGRSPLHLAACKGFEDVVQFLLHEGVDIDLSDKFGNTPLLEAVKQGHDRVATLLFSKGAKLSLENAGSHLCTAVARGDTDFVRRALAYGGDPNARDYDHRAPLHIAAAEGLYLMAKLLVDAGASVFATDRWGTTPLDEGRRCGSRTMVQLLEEAKSGELSRFPERGEEVRDKMHPRRCSVFPHHPWDGGERRREGVVVWIPHTIEGLVSSAQEKLAIAGSGEGLRLLGEDGARVLDVDMVHDGQKLYLVGGGGGDDGGAEARE >AMDW01073341.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073341.1:200:950:1 gene:AMDW01073341.1_FG001 transcript:AMDW01073341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLK >AMDW01038734.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038734.1:16:814:1 gene:AMDW01038734.1_FG001 transcript:AMDW01038734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVK >AMDW01015504.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015504.1:34:246:1 gene:AMDW01015504.1_FG001 transcript:AMDW01015504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKIRCEKDPSFLEEWNLVTFAVELMKPESKSSDYVSGAMILDTILGQKDLTAQHALIRKLVGSASSRK >KN539112.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539112.1:77538:90974:-1 gene:KN539112.1_FG001 transcript:KN539112.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10760) UniProtKB/Swiss-Prot;Acc:Q9SAC6] MKIEEAKLTAAPLRKQRPSCSNLIMLVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGQRILCRYNHIFGGKERESSHIHLSKRRHEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYTPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKLGDKEILEKPKFTWQQTIWSHLYFTGRCQKRMESGRQCGPVLMGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYREILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKMEGTLIQSLRMAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGKIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWALKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIYTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSSLKNLFGITTKLTACFSVPMDEEDEVVLDYTTDPLITDQGSKNQSSRPLHGLLRNGSVQYELIRPIKYRPRSIIRCCSTTRGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATWVMHDYTLLLNEAYKVLMRN >KN539112.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539112.1:26814:28849:1 gene:KN539112.1_FG002 transcript:KN539112.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVDPLVVGRVIGDVVDLFVPTTAMSVRFGTKDLTNGCEIKPSVAAAPPAVQIAGRVNELFALVMTDPDAPSPSEPAMREWLHWLVVNIPGGTDPSQGKQQPEAV >KN539112.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539112.1:98395:98724:1 gene:KN539112.1_FG003 transcript:KN539112.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWGHRPARAKHHRVPSLIPEDFAVVDFKEETIVSRGENTTGRQPLREEPQKMTLMEESHNIHNQAKHQCSKELSDEEDSEKGESSGQKNGDDKKDSKKHGSNGEKRV >KN539112.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539112.1:135347:136970:-1 gene:KN539112.1_FG004 transcript:KN539112.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding METAELCCLLALLPLVYCLITLFHGSRDSDLRLPPGPWRLPLIGSLHHLLGRPLPHRALRDLARLHGPLMLLSFGQAAPVVIASTAIAAREIMRTHDDIFSTRPLSAVLKVCTRYGAGMTFVPYGEHWRQVRKICSLELLSPRRILKFRSIREEEVARLVLAIASSSTPTPTPPAPVNLSKLLSNYMTDTTVHIIMGQCFRDRDTLVRYVDEAVRLANSLTMADLFPSWRLPRAMCRTTLHRAEVFVESIMEFMDRVISEHLEKRSCQGGDREEDLIDVLLRLQAEGNLEFELTTSIIKAIIFELFAGGSEAPVTTLQWAMAELMRNPDVMSRAQAEVREAYKEKMKVTEEGLTNLPYLHCIIKETLRLHTPGPFALPRECQEQCQILGYDVPKRATVVVNIWAICRDAEIWDEPEKFMPDRFEGSAIEYKGNHFEFIPFGAGRRICPGMNFALANMELVLASLLFYFDWSLPEDVLPGDLDMTETMGLTARRKEDLYVCATPFVQLP >KN539112.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539112.1:11082:15672:-1 gene:KN539112.1_FG005 transcript:KN539112.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQARLGGEITERYRGSRIRGRIAHAVDVGAIGGVGSVRDDPDRAMRNEECNVYYFYLDPTFSKITVIISTLTIENFEISKYKDADPNLPGFFKNPSRLSDDEMGEDGSPLATEPDGFLGDDEEDGAPSESDLAAELDGLDSDLDGFLEEEEEEEEDGEDRAQMKADIDAEIDGFLSDWDSDWDEMEDEEEKWRKELDGFTPPGVGYGKITEETLERWKKEKLSKSERKRRAREAKKAEAEEDAAVVCARCHSLRNYGHVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVVDCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVLVGTKVDLLPWQQMGVRLEKWVRGRAKAFGAPKLDAVFLISVHKDLSVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMSMRLNSEERKMVEIRKELRPRSFRVKAGQSVHIGGLTRLDVLKASVQTIYITVWASPSVSLHLGKTENAEELRDKHFGIRLQPPFGPERVAELGHWTERQIDVSGVSWDVNSMDIAISGLGWYSLGLKGNATVAVWTFDGIDVTRRDAMILHRAQFLERPGFWLPIAIANAIGEETRKKNERRKKAEQRDDLLLEESAEDDVEVLI >KN539112.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539112.1:103222:106315:-1 gene:KN539112.1_FG006 transcript:KN539112.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLDLNLEPPIDWDAIGDWVGPAHELEYDMVWAHMKVLMPPEMEVLPPKMEAKEMPPPEMEVLLLEMEVEAVEASRPCAVPGVFRRSFRRIGDEKAAELEAKAKRLRLAELKVGVRLDMLRKEVAETILELID >KN539112.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539112.1:2153:8119:-1 gene:KN539112.1_FG007 transcript:KN539112.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLPSSRKCLQQFVHAPLHYVQEKLSTLESKETPEEDAGDREWDNIDVSKTANDERVDTKTDDSSQNHMPAGGVHVLFRAPVDPMHEEAFSILKKLQIIEKDASSSDFCSRREFARWFIKLHSKLERKKMHRIIPNRLTFGSGTSTSGSSSDSGNSNFLPNSYLSRFDLVNWKALVEHPFATELDQKMLSKNVRILDLRAWPDVPSSILIDLMGGEQSIISKVFGNTRCLQPHKPVTKAQAAAALTSGRMEEVIRDELNRLEAENQSQLSVMGEIMEELINRGDIKRYWEDKMKVEEIREVAVDKQLQHVLQELANEKTDREKELAVLLKERTALEHQNQELMNLRSEIDGMYDRLAMESLEVMTEEQNLEKLSFDVNRKHQAVSESKSYLEAEKEALTMLRSWVEEEAARVHERAEVLERAVRRWRVPAD >KN539112.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539112.1:59682:63948:1 gene:KN539112.1_FG008 transcript:KN539112.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQYRGSDGGRKDGEAAPRREIRVRGRTSRWDRRSEVSPRTQEGFVWRRNVSHPIVPHGSLSLESEKADLSHACSGDLLVSLEFLAQKADYNVLEKDLRDKEAADVYTKSFSSGSRSVTAAQDAAALSSSAGFGGPSPFGLAASAGMVHWGGMPADDCSRANVPIMQNDAEDKLFWKHTRNGQCNTKSAYKEFYKRENQTIQQLWKARNDLKFQGIMKEPSQGNTEEEGTSKRRMESIPNGNRCYTDAAWENGVTGIGIFFHFP >AMDW01043890.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01043890.1:26:274:-1 gene:AMDW01043890.1_FG001 transcript:AMDW01043890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKGRGRAARSHDDEEDVGMAARMPRGAWVWEVRPFTRQEGGRRKLGAEADVDAMHHPRSKGMSPENIAGLLTHYAKRHL >KN539112.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539112.1:65275:73375:-1 gene:KN539112.1_FG009 transcript:KN539112.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSKKKKDKVILPPQLPPEVDDDDVVVSDEDVEFFRGNEGHARALATLDRKSIDSYVTRVAHHDEDEVERLYEERERRRKAAEALRPKNHDDDDDFEVDRVDALPVKTLQGELVYNNAKKARFDDSSNDVESKSEDKVGNSKQTIQKGERKEKSKSKKGDGKLQNVQAQTEASNGKLQSKVLEEVKEELSAEELFEKKKAQLAEIGMSMLEDPESHIRSLNDMLNICNDKDQKVVKLGLMSLLAVFRDIIPSYRIRQLTEKELTVEVSKEVKKMRYYEYTLLRSYKAYLQKLISLEKQPNFSALAVRCMCTLLDTAPHFNFRESILASVARNLSSPDDAVRKMCCETIKSLFVDEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDDDLGKDDREEEKGKPKKNKRRQNQEVPKQLPVSDNKKAKQELISKAREEVDAELRSVSFTLDPKERRMIQKETLSALFETYFRILKHSMSISNSRGKVINVSPDGSHPLLAPCLEGLGKFSHLIDLDFMGELVACLKKLSGYTDHHSGIVHDNTLSVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQLFNLILEYRPDRDRGEVLADALKTLLWEGKQQDMIRAAAFIKRLATFALSFGSAEAMAALITLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYDPEAKDPYLSGALASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISNANPLEAYRDLSMERKLSKPANKLLPLNCRKKRRGKEFVALSPAALEGSDCVAGGDELKEKLKNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKSRKPKAVKKKVEAIGFAWDHLTQDTWDYGRMALQVSIVDKDSYKRS >AMDW01023305.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023305.1:182:313:-1 gene:AMDW01023305.1_FG001 transcript:AMDW01023305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSNVKCTASAIDAPPKLDVHGVKSDKYRFACTNFDISGVQM >AMDW01055030.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055030.1:469:775:-1 gene:AMDW01055030.1_FG001 transcript:AMDW01055030.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VARVILFVYFFAHVYLHFDQVRTVFPLGFYSMMAVPPAMSAMNLLWFRKICKGMIKAMSSANRSQCVKTD >AMDW01040925.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040925.1:1071:4223:-1 gene:AMDW01040925.1_FG001 transcript:AMDW01040925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRMRNSFGDWNVKFQVPGIGMYDGAQKFGYGNGGFDDSDPTFAPNKQMSKSTVFAESNFLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQQSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNTKKDLSLAAAPLRESSPSLLASAVPSFSSAEVMNDITERSNFGWTKQQYVKEQQAPTFVDRIQKVKEDTEFSLSNGGYFKEQRTKGSVPVGIAEVADTVYQQGRQPTRKARDLTSDNNMRNSIAYPIRRVVPDEDEHLNELLQEEEDLVSAHRKQVEETLDMIKEEMNLLVEADQPGNQLDDYITRLSGILSQKAAGIVDLQARLAQFQRRLNENNVLLYAQCP >KN544483.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544483.1:597:2723:1 gene:KN544483.1_FG001 transcript:KN544483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLSGNQLSGTIPSSLCENNAGSFVFRFSYLFNVDIGDNFVHLDSTYGPEFLNAPGSTKNHWDHMQKTENRRFTYEELEKYTDNFKRLIGHGGFGQVYYGCLEENIEVAVKMRSESSQHGLDEFLAEVQSLTKVHHRNLVSLVGYCWENDHLALVYEYMSGGNLCDHLRGKISVGKSLNWATRLRILLEAGQGLDYLHKGCNLPIIHGDVKTNNILLGQNLKAKIADFGLSKTYHSDTQTHISATAAGSVGYIDPEYYNTGRLMESGDVYSFGVVLLERVKQKIVTGNISSIADARLDAYNVSSMWKVVDTAMMCTADVAAQRPVMATVVAQLKEGLALEEAHEERVDLENIASDIVSSVSTFGPSPR >AMDW01035898.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035898.1:494:590:1 gene:AMDW01035898.1_FG001 transcript:AMDW01035898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLVERVTGYGRGEDKDVAA >AMDW01036583.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036583.1:110:604:1 gene:AMDW01036583.1_FG001 transcript:AMDW01036583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFIDQHSTAVRSIGVCNGILGNNLPSPADVVKLYQSNGIAAMRIYSPHAATLRALAGTDIAVIVDEPAIDKLLTLSAASDWVQSNIKPYQGVNIRYIAVGNEVSGDATRSILPAMENLTKALSAAGFGKIKVSTAVKMDVLGTSSPPSGGEFSDAAVMAPIA >AMDW01037330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037330.1:4:234:-1 gene:AMDW01037330.1_FG001 transcript:AMDW01037330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADASPASGVGGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARA >KN545356.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545356.1:61:1766:1 gene:KN545356.1_FG001 transcript:KN545356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWANDTMGTLDASAKDSMVVSEKPEKPQKNVPVSENDSSSGDSSDEEMANDQSESDDSSSEDASEELKMQSKQGKDMTDMDFFKSKIKKNWSDSESDSEDSGDQSDHSTDDEDSSDESHDADEKDEIAHQKDSLNLKKNVDKEMTLEGSTVQEVGNSDNEEVENLDSQLKEDDNQEKEDEEAALITDEKKLALETGRLFFCNLPYATTEGDLVELCSQYGDVDQAHIVVDKTTKLSTGRGYVLFSLPDSAVRALELDNSSFQGRLLRVKAAKPLNNKNIESSYVEKKMSLKQQKLDQRKASEISGDTRAWNSFYMRQDT >KN544640.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544640.1:308:2087:1 gene:KN544640.1_FG001 transcript:KN544640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YTPLSGFYGVLSGFLVGIKQILPDQEITLFLLNIKAKWIPSLVAFISVSLSFFMKDSVSYIPIILFGIYLSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPEFLRERGQRALEQRLAEKLAAVRSSEATLHHHHQQGEDDASDKV >AMDW01037456.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037456.1:282:467:-1 gene:AMDW01037456.1_FG001 transcript:AMDW01037456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKGNLKRPLKFHMQYPHKRLGETISRSDNPIDLHEPLQLDTGSGMGTKDETEDSVIPMDLE >KN542412.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542412.1:39:1275:-1 gene:KN542412.1_FG001 transcript:KN542412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFSSPVFLRLLYLLKFFCLLPVVISNKTENDRQALLCFKSQITTSAEDLASWSNASMELCSWHGVTCSKQSPRRVVALDLSSEGITGPISPCIANLTDIRSLQLSNNSFHGSIPSELGFLSQLSILNVSMNSLEGNIPSELSSCSRIQFINLSNNKLQGRIPSAFGDLTELRTLILTSNRLSGDIPQSLGSNLSLTYVNLGRNALTGGIPESLASSTSLQVLMLMSNALSGELPTGLFNSSSLIYLNVQQNSFVGSIPPVTAISPKMYYLDLRENHLIGTIPSSLGNLSSLIYLCLTENSLVGSIPDTLGHFPILETLEVHLNNLSGPIPPSIFNVSSLIHLGMANNSLIGRLPSNIGYMLPNIQELILLNNKFSGSIPVSLLNASRLQKLSLANNSLTGPIPFFGSLQ >AMDW01003130.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003130.1:10:153:-1 gene:AMDW01003130.1_FG001 transcript:AMDW01003130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQWLGDDTARRWRELHGESDWDGLLDPFDLGLRRTVIRYGEMAQA >KN542412.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542412.1:11535:11810:1 gene:KN542412.1_FG002 transcript:KN542412.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTTPSIRVALLCAAPVIVAAPLYGGLVLRKLSRPSTTTTLDAADQKKAEQTTSLKTRSLASTGHIVIQQPPQPPPPPSNPIKADQRSE >KN542412.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542412.1:4325:5248:1 gene:KN542412.1_FG003 transcript:KN542412.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAARINSKSTSIPGTILWQWGHFPSDEPSLTWERRCSVAASPEVKQGGASMMGAASALTVGTAIEGGQRRQSRVVVEVANDDNGGHDSWPTMSTVGQQVLANSLDGGHGPMVASGSGCPNNGDKSDWSL >KN541142.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541142.1:1465:10154:1 gene:KN541142.1_FG001 transcript:KN541142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQQWTSTKPLRSYFLHTASTITYWKRLQHVIVAKRAAPTSSAETTEECVTHHKNEADPRRRRTRRTQDSFAYSRSTARNAPQNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRPYATSVVECRDFCVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRSVVDCNQTVVTLTSTRCWLRLPKQSSEHGDFLNVLGDDPLKLKAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTNKSSKTNYDTKMKRSSSTHGEKLPAKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKIW >KN541142.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541142.1:22792:31224:1 gene:KN541142.1_FG002 transcript:KN541142.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADMEATLDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFHGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALYVELDALVGIDGPRDEIIKLLDDGEQRMKVVSIVGSGGLGKTTLANQVYQKIGEQFDCKAFVSLSQHPDMEMIFQTILYQVNDEVGRIRSGDKEQVISELRAFLKNKRYFIVIDDIWSAQAWNTIRYSLLENNCGSRILVTTRIGTIAKSCSSPCLNLVYELRVLSEDDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDDYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQALEYMSLVVVDYSTSVSSLQELGTLTKLRTLELDWRIGDFHKEKLTYADNFVSSLGKLGRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNGGFRCLQKFKFVHWMNLVFEEGAMPMLETLEFQIIAHEARTECGFGPPDLGICHLSALRNLIVNIYCECARVEDVEALEAAIWLAAIALAQLTGELKLPTSRMNARSKVLAVKLAVNSGNSSVSVVINNSSDILIFHRTGDTDATCGQVGLSEAKSRKTQGRIDLRCKLVQECRRPAEAMDGFMVSVATGAMNSLIDKLTTLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLIDLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKLALFENTCASRILVTTRISTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPANLKDVSTEIIKKCGGLPLAIVTMASLLAGKTDRREEWVRIRDSIGSGLEKKYDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSMMLANVRSLSIFGYSEQMPPISEFKALRVLDLESSAKLQNSDLNNVVNLFQLSYLTESEKCNLYRNCQELYIPKCCFQRIPDWMASMNNLYRLCIRSKQVTKQILQILGDLPALLDLELRSESDDPIEILIISKCIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVKVLEAAIADATALLPNHPAPHFFRENEENMVKDDLPNHPTPHFFRENEEKMVKDEAHMQEKMLE >KN541142.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541142.1:15361:20601:-1 gene:KN541142.1_FG003 transcript:KN541142.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWNQVKISSNTIAYQVIDSTRLGQNQGPTPLLPPCVRATNGGGGGKRIRIASSSSCFANRTYDMAGLISARAGLSHSLSVTQTVPNRPLQASQLPTRCTNPSFLSAKLCKTRPLVVAAMEVSKEAPSADFANRQPSKGVLETWRNADAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTSKAMTGTVPFEEALAARLSLIKPSLSQVDDCLVKRPPRISPGIADLIKKLKANNTDVFLVSGGFRQMIKPVASELGIPPENIIANQLLFGTSGEYAGFDPTEPTSRSGGKALAVQQIRQNHGYKTLVMIGDGATDLEVCNWNAPGYYIQCKYVSLARDQLPAVVKDARQPGGADLFICYAGVQMREAVAAKADWVVIDFQELISELP >KN539615.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539615.1:76720:84659:1 gene:KN539615.1_FG001 transcript:KN539615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVPGTGVGGPHPEALKERMLCNLWEVHTLAQRNRKFNELLNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKPPEKKISEESQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGIGGFSNCLFTFLFAGVSMDEVKLEQADCDMDERVYCDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVSHPIHDQTFYLTAEHKRKLKEEHGVEPWTFEQKLGEAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPEGSKNRDEVVEVTKPKRKYGNRRGDLKSGEDQPIDESVEERKPKKRGRSKR >KN539615.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539615.1:1753:3957:1 gene:KN539615.1_FG002 transcript:KN539615.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLKEAEEMEELERTAEELQSKAAADAPDESEEEKRERVRRELQKVAKEQAERRATAKQMFDLGQRAYGRGMYGRSIEFLEAALTIVRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESTHPMISIRRQAAELRYISEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRKMVAASSQVEPSPNIFGDLSFLRPPTEWTRSAWVIVTLWIVLIGTAIYLQR >KN539615.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539615.1:38490:48226:1 gene:KN539615.1_FG003 transcript:KN539615.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSQCGFCTPGFVMSMYALLRSSEQPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFSKRDDLLYNNSSLKNADGRPICPSTGKPCSCGDQKDINGSESSLLTPMKSYSPCSYNEIDGNAYSEKELIFPPELQLRKVTSLKLNGFNGIRWYRPLKLKQVLHLKACYPNAKLIIGNSEVGVETKFKNAQYKVLISVTHVPELHTLKVKEDGIHIGSSVRLAQLQNFLRKVISERDSHEISSCEAILRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEIIDVNNNIRTIAAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKVEGDWIISDVSIIYGGVAAVPHRASKTETFLTGKKWDYGLLDKTFDLLKEDVVLAENAPGGMVEFRSSLTLSFFFKFFLHVTHEMNIKGFWKDGLHATNLSAIQSFTRPVGVGTQCYELVRQGTAVGQPVVHTSAMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILSIDASLAKSSPGFAGLFLSKDVPGANHTGPVIHDEEVFASDIVTCVGQIVGLVVADTHDNAKAAANKVNIEYSELPAILSIEEAVKAGSFHPNSKRCLVKGNVEQCFLSGACDRIIEGEVQVGGQEHFYMEPQSTLVWPVDSGNEIHMISSTQKAPQKHQKYVANVLGLPQSRVVCKTKRIGGGFGGKETRSAIFAAAASVAAYCLRQPVKLVLDRDIDMMTTGQRHSFLGKYKVGFTNDGKILALDLDVYNNGGHSHDLSLPVLERAMFHSDNVYDIPNVRVNGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQSEGSVLHYGQLLQNCTIHSVWDELKVSCNFMEARKAVIDFNNNNRWRKRGTAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNATPTAASASSDLYGAAVLDACQQIMARMEPVASRGNHKSFAELVLACYLERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGCSINPAIDIGQIEGGFIQGLGWAALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAISAARAEEGHFDWFPLDSPATPERIRMACVDSITKKFASVYYRPKLSV >KN539615.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539615.1:20596:20793:1 gene:KN539615.1_FG004 transcript:KN539615.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVRWLGGVGKWVCEHKGSAAVGLEAAGSGGKACVHRVAEVAQEKRIGGKGSRSHQRAPVVIL >KN539615.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539615.1:90798:91824:1 gene:KN539615.1_FG005 transcript:KN539615.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAGGVVLINTESEGYTTVLEDYGPGMVQVTVAGGHNITEYARSSSSSAGGCKPNATVVFDNTLLGVHPAPTVASFSSRGPSKLAPGVLKPDSAILTTSDAVDGAGNPILDEHHERATAFLTGAGHINPARAADPGLVYDIAVADYAGYICAILGDAGLGTIVRNESLSCGKLQAKNKIPEAQLNYPTITVPLPRSSSSAAPPPFTVNRTVTNVGPARSTYTVKLEMPRSLTVRVSPEKLVFSSVGEKKGFSVTVSGGGGGGGEVVEGSLSWVSGKHVVRSPIVAVPQPYLKLGR >KN539615.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539615.1:10751:11113:1 gene:KN539615.1_FG006 transcript:KN539615.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPLLLALLLAVSAAAAAQVGGNRGHGPLVVSGMNYRLVVSASDPAGATASYVAVVYEQSWTNTRQLTSFKPAAAH >KN539615.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539615.1:59671:63290:1 gene:KN539615.1_FG007 transcript:KN539615.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSGLSVQAISPYDTRVLDFRMPSDPSRSIIVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLLGVFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALLFCTAIKAVSRIEGKSRVLRCLSRAFSNIVPTGYEGFGEEYSSMNGSHQDGFSKLHGSRKTLSQDLATDSYYSTFHTNPERKKFSEEEYAAFTREETHKAMKQLVSSPDFNRWALANVDRISVTPPQRTPQNSMSQQRKRLFGLF >KN542027.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542027.1:92:2559:-1 gene:KN542027.1_FG001 transcript:KN542027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRFVRSENEGKPIGLACHEAYSCSIALYNFALLTWIPLPLITPRFVTEQEVKYSIVAFVEHKIKDLEKGGLQICPALDSITSFKTNGGHKLFSLLEIRVVHGWLANPEDNIYETVRSFSCDDLESHISSLDTLNDNSEATQRDRDASKNLLGAKNQITSYGYQSLCQELLKDEYAILYRGSDTFNLIREKDGSILILETDTNILDAYPQARWRILEEADEEPIYLNCKYIPLKNQPHIAKAKRWYSKKLNNSRNGYAPSNVTKYEASIQKPTASDETLHYRVVQFKHENLLTSIICHKGYSLWTALYNHLVLNRALAVKPSEVKLQFLPEREVKDAIIAHLKLVLGEIEKSEKSKHEKLYNCEFIWKCFIRSTADTHQIYPVLDSFTSFKDSFGRSLICVLGIELVHGWVANPEDNIYETVKSFSSDELESHISSLGARSDNSEAAQRDRDASKNLLAARNQFTSYGYQSLCQGLGKDEYAILYRGDDIFNLIRE >AMDW01138272.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01138272.1:420:2366:-1 gene:AMDW01138272.1_FG001 transcript:AMDW01138272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRACKEARRSGRRCGRRSCGRQWPPTIPPEPPPAPLPLHHAAIRFLYRDVADKSLSDKALAAMDAPPAIRFATNGTHSRTLRTSFPPSYYSIHQYHRGGRQQRRDEARGEHLQGQQASLTGADEAITDDPSNADLQGGDKTFNVQGACYSVSFGGKCYVSLSLYLLFKFLLKDLVNAVLTAILGIAALC >KN542027.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542027.1:18402:19985:1 gene:KN542027.1_FG002 transcript:KN542027.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKASKGRPLKSPRKDLDPLAAATPDPGCGETAPPEVSKPKEKAAAPTSNTEEGLCPHLERFEEDMAMFVSRLMASKFTPSCQRLMCDSKVDKSSIMVCIDCSLPFCIGDGTMNKPQGHALEHAQFYAHLEKHCVAALFSKPDTLYCFICERCLNLEMSDMEAESDSSCDEVGCEHFVLDEEEITLIVSEIFASKNVPACQHPGCTITGNTHIMVCTGCNKHFCTRAEAMKKPYGHARLHAQKCEHHWVGLWYSNPYMGYCFKCEFEWILGAPNAERGMVFGKEAFDQESGLAKRHGCVIRGIPNLGNTCYVNALLQCLFVLEKLRARMLASDAPSGFVGSTLKELFQEVNSVNNAQRPLNPTKFLDRVRMLNAQFVGSDMQDSYELLCFVQNQLEKEEKSMIPAVSTTVVDSIFRVQLSATISCRRCSYNSVSHEVMYDLTVPLPSDRPLVKSIASPSRNISCMSREKVGIKLFPNVDMSNTEIVQAIAEGRDSHITGLDLGDVDKEKPSEPLEVGKFMHPVSK >KN542027.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542027.1:12310:16608:1 gene:KN542027.1_FG003 transcript:KN542027.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METGKGMSPQNAVAPESGCGDTAPPDEVSSPEQKAAAASTSNTEGRVCPHFDPFQDGVLKFISELRSYSYDCAPRCDHYLCKNKVEKSSILVCIDCDLHFCIGDGTMNKPQGHARWHADLEQHCVGALLGEPETLYCFICERLLDLDVSNMQRGDFSCGKEEIDRIESDVSSSKNAVACHHHSFDTEDIVIIKDFVESEKGDPMCDNETCLTTGEHHMMVCSECKGYFCIEPATKAKPQGHIREHALLQEHWVAVWHNDLYVGYCFECEDSLVIGGEEGKEGLTVNAEAGSHASGSSDRHGCVIRGISNQGNTCLNALLRCLLVLGKLRARMFGPNAPLGILGTILRGLFVDANSVRHAPGPLNRALLLACVRRFDSWLIGTSIHDSHELLCCLRNRLNEEDKIIRPPNKQQGAPSSVAPTVIDSIFGGELSVTTSCKRCSFKSYSRDVFYDLSVPLPPKGAPSNSVASPPQNERPISQCKICVLSEGGDSQIPASELEDTVMVKTSNPLEVDSNKLEQIVQSKDAVHCPLQSPTMKENTWIASVSDVEKTDTAVLDNAFSGLKVSTEAKMVISSAEINSENKGKARSLDIVNDEAEDINSLVSIEECLKLHIESEMIEWTCENCSKVAQKASTISGKDGEQMMASTNVNRTVYGDQAEQSDRKTCQSELSSDLIRLSVECSSSSSQPHGSGVQNHDMPAVDIKTSGETSGMSSVEKDSSSCSIANKKPECLGGAQEDASSCRLTEKQANLLSVQCQNISIEDQERGNQVNLGHNAHQLEENQYDQQDRNEGAILTCLISKLPPVLVIQLNRSLGPLKVSGHVSFKEILDVEPFMDPSSEDKFSSRYRLVGVIENRGLSIDIGQCVAYVRTNNQQQGSGSSSWYCATDDDIKEISLEEVLKCEAYLLFYERMGC >KN542027.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542027.1:6134:10292:1 gene:KN542027.1_FG004 transcript:KN542027.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRARAAERDRAIVRSPRKGKAPRIEPLDADDSGWGGDGSLEVYREAAAVATRGGGGERCEHMTCSEYDVAEIVSKIASWGDPVCQDETCMCTERHLMMVCVECDMHFCIGRFAKKSKPRGHIEEHAFDDGHPVALWYEDPYTGYCFECEDPLTIGGEEGDKGMKVKGEEGCRASGSHSEHGRVISASGSDSGHGCVIGASGSGSEHGCAIRGIPNFGNTCYLNAVLQCLLVLGKLRARMSGPDAPPPSGMLGIILHDLFVATESVSYTRDLLDPVMLLGCVRHYKSEFQGNTMQDSHELLCCLRDSLIEEESKTRPDNLQQDAPSAVVPTVIDSIFRGQLFVTTLCKYCSFESVSQGSQDAFYDLCVALPLQNERCISQQKIAIEQFPTVDKTNTEKIHAISGGGDPQVSASELGDMVMVKTSEPLVVDSNPLDQIAQSKDDVHCPLQSPIRKEKVLVTSDREVERTNSAILDSIKPEDSIEAKMDTLSGEVTTEDKGKDRNCDVVYDEADDINSLVSIEELLGLHFKEMVEKRCENCSNVAQKASAISGKDGEQTVACTNVNRTVDGDQAEQSERKTCQSEQSSDLIRLDGECSSSSRQPHVADAQHQVMPTEDITTKGDISGMSHGEKDSSSFSIVNQKPECLECAQEDVPDCHLGEKPVNLSSGQCQNANTQDQGMRKQVNLHQVEENQYDQQDRNEGAIKTSLISKLPPVLVIQLKRNTGPIKVRRHVSFKEILDVGLFLHPSSEDKDNSSYRLVGVVEHLGPSMYAGHYVAYVRPSPPQQTNGSSSWFWASDTDIREVSLEEVLKCEAYILFYERMEG >KN539587.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539587.1:73007:73695:1 gene:KN539587.1_FG001 transcript:KN539587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFCVPIQLSKHNRKSAPCFSSQRSIMGMADRNGVRKYDQAQKGNEGSFMEGDMGNADPAGQNVFLSCQIH >AMDW01036722.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036722.1:2:532:-1 gene:AMDW01036722.1_FG001 transcript:AMDW01036722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHGKEVKSFRDKPLQFEAELTQLFGDELVDDGQMMCPGDVDSSDSSRGIEDIPLGMSHETVRGSACHHRAGKEQVVDSPPKRKVKKTFAEYQLQRISDGVAQRSESSSLTKRRRSQEEVDNCLEILVADGLPRTSEPFLKAAELLRCPIRRATFQRMETAHERLVWIDWTWQNRVQ >AMDW01026519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026519.1:20:313:-1 gene:AMDW01026519.1_FG001 transcript:AMDW01026519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAGILVVPAAAGVGNALLEAFAKVAVEKKGDVATMSELVRKLVSEGVAEEVAVTEVLEQPVFDSPEKVAPEVAEEEESGGRMITLKSLDGETVK >KN539587.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539587.1:1022:1813:1 gene:KN539587.1_FG002 transcript:KN539587.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEPFVHIRYRGELESWMYPYDTVTHIAADDMWLSFYRKVVLSASPRPGDYAAMLLLDSYFGAPAFATAGDGRWRVVPSRDGVEDAIHHGGKFLSVTYTGIVEAWERDGVSGEFTGKVVTTPISCPPQRRKYLAAAPDGRLMIVLKNTKRMKQQNHFKVQVFDEMTQRWEAAEDIGELAILVGVNSSVCVSTAKHPEINAGCVYYTNDDMWEASMRRDFRCSNRKPNVGAYSLKDGKEVSIPGLGEHLRWPPPAWFTPSFPR >KN539587.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539587.1:23391:31012:1 gene:KN539587.1_FG003 transcript:KN539587.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDGNHGFVIVLDVRLGWSPPAWERDGVSGEFTGKVVTTPISCPPQRRKYLAAAPDGRLMIVLKNTNGGGVKKGYFEVQVFDEMTQRWEAAEDIGELAILVGVNSSQCVSTARHPELKGGCVYYTDDEIGKAWLRREYGYSNSKPNVGVYSLKDGKAWERDGVSGEFTGKVVTTPISCPPQRRKYLAAAPDGRLMIVLKNTNGGGVKKGYFEVQVFDEMTQRWEAAEDIGELAILVGVNSSQCVSTARHPELKGGCVYYTDDEIGKAWLRREYGYSNSKPNVGVYSLKDGKVMSIPVLGEHLSWPPPAWFTPSFP >KN539587.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539587.1:11288:13552:-1 gene:KN539587.1_FG004 transcript:KN539587.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWVVDIIWHTLLLGPDAMGDIWSSWSVEILLGLSFVAQLVLTVTAGFRWRGAGSWMRLVIWLSWPVDEGSHPVPHLQELHGGLVRGDGVEHRRRCYSSRKGNPLQERELQERNCYVFRVMEMELSLMYDFLYTKAAVIHTLHGYAIRAVSPVFTAVSLVLVELSNVAGHHQQSDVVITRILLVATFLLETASLLRALASSWTAIWLDRDLRPVWGWGWIRHEVLCRSRWTWLHRQVASIGRLAGAKDHRRWCGKMGQLSVLQLIITGGASEREDRSWDKECERYSKEKTIVVPQDVKEMFFRRLARQLFDLRERMKADTVTEMELRNMVANMRTKRGQLTLQKYKLRPQLRWSLGDELQLGILTWHIATAIYLSRSVKAVESEDDAVDLERRVTGIWTLSNYMMYLLVVRPDMLPGLVTRKLFELTCENLATFWSEHQTSTSVAADGDGLESSSSSSRNIYRLRDLWRVSPKTIEQQNKLADMLIDQWGRKDESGVILEVWVEMLFYAGYRCSKESHAKQLSQGGELTTIVWLMAEHVGLFLVNKTSKGAEEDSWKNRKELRSSRQP >KN539587.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539587.1:80771:81088:-1 gene:KN539587.1_FG005 transcript:KN539587.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKMFLGRVLMQSVVANANAKPLAFNWFLPSPDRWSIRASQDGVAMDGDKMQLPSRKTEIGTKGPEAEAVKCPGLGWTVWPAVSRDPVRAILHGPHENHSQSC >KN539587.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539587.1:5888:6778:1 gene:KN539587.1_FG006 transcript:KN539587.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRLANPVTGEQGELPAITTIPFVNATPPEGHHFMIDMEPFEKIRYRGELEGGLLHPHPYGMFTHTSGDMRLWFYGKVVLSASPRPGDYAAMLLLDNYFGAPAFATAEDGRWRVMPSRDGVEDAIHHKGKFLSVTYTGIVEAWERDGGEFTSKVVTTRMSDGGDHRECRKYLAAAPDGRLMIVLKNTSGGVKKGYFEVKVFDEMTARWEEWEDIGKHAILVGINSSLCVSTEKHPELKGGCVYYTNDEIGKASLRRGWYSQQSVGVYSLRDGTVESIPELGMNRSWPPLAWFIPSFP >KN539587.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539587.1:60925:71993:-1 gene:KN539587.1_FG007 transcript:KN539587.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLASFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSCGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVVRADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >KN541972.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541972.1:14152:20767:1 gene:KN541972.1_FG001 transcript:KN541972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQLDDRKTVDKITLYSIYGREAAKKVRDGVKAFKNDPLKHIDLHHRVFSTRTIVSNHSAVAGDAQATPQVPVQLQPNEVEHLTAAYAPPPPMLSPTTPQYEQSNGSKGKGKRTASQGDTSGNSKRTRRSGAGETIERLAALRMASMESHAREFDAANDISHAACIQLVENDGYHPGSVHDQRILNEAVQYYPQHFPQIPQGRYLLVDSGFPSRMGFLAPYTHVRYHRDQIGHHGAPQPEGREEHFNHRHSSLRGTVERTFGIVRKHWKILKEIPFYKDDRVPAQIIHAAFALRNFTMDYRKVVNYVHTNPLYNGHPIPMQQTSFNQMYYATNSEEAMSAWCELIADAVYNHY >KN539294.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539294.1:43944:50335:1 gene:KN539294.1_FG001 transcript:KN539294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHFPGEGEVVAEEGDAVVPLRDVGKMEHGCEHYRRRCKIVAPCCGEVFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYFCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMECFSEMVEHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYCYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSFVFVLQLQPREPAHWEEGIQGVRRFAQEGIGCRDFIPFDMIFGLAMGEYCSSSSNQSKVPIILLLSASTIVQSSMVNWFSVHSSSGTILMIMTCMHPFVSAMRLKIEKCLLSFEQIVVLKYHGSFSENITRLGRTKNHGYRRTVLECNHKHSAEMHSHTAARNTTEDNENTKVRAVNADIEDKDIILLSCWMFASCTKI >KN539294.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539294.1:97907:99043:1 gene:KN539294.1_FG002 transcript:KN539294.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSFSPAQPPRLSNLFLHCPGVRHPRESEILFTAQDLLLLRVPVAAAPPPSLVSFEDCDYLVYRAAAAGKPPSLTLLPNPSPNFFHDGDVGILPRGGGLYTVAALVATPREREYKLRRFDSDGGGRWTNRAVWLDAPRRPPPVKIPINASRLNHHITTATITLAGAMGWVDLWTGILIYDLLRDDDDDGHQDRRPTLRHMPLPLPMHAITCNHGMGDKLALGCPRSLRGIASVTRRGKACLKLTGVHVAGERLPYTDIETGLPVFVVDDWTITTWSNDKMAGNFEDWQEDFAIRGSDVKISDAMRSDLLKSGLLHRNPSRSRDGDGVGEEATAVVELALRDLWVSHPTPSLNGEEDVVYLMAKPKCFHPRRGFSLST >KN539294.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539294.1:8181:16110:1 gene:KN539294.1_FG003 transcript:KN539294.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLKLVMMENHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGADQKVHIKLKAKSQSENKNIANGFELDNVLANKLSPVYLKVTIEFLSDAPVPLATKLYHSQGNYRLRLELGQLYRQSCSEHLGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQRAEVQVSAVKNEMARSRCSKDAVRHSKNTGNKKAHHQRNGTVDYWCSGSICEELLFSNEKLVLGMILDWTIQLQGHFAFDHFETIFASFLCKIPLAAVECDSDSVFSCKASHPSSSLCNGGKVEGGCSCKERLSCRLLVEVSSWSFPQSPAVLDGVVSLSSHKELFLLDLVGDMEE >KN539325.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539325.1:47565:47895:-1 gene:KN539325.1_FG001 transcript:KN539325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCYLVSCPSSCLPPCLLIIVDLTLNSSTSEAQTGPHFAFHLAGAPNSWRWPLQEKRAPCCCFSDG >KN539294.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539294.1:56447:56758:1 gene:KN539294.1_FG004 transcript:KN539294.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVTRLFCDLGVNALVHELDQDPRGKEMEMALLKLLGRGPPVPVVFIGGKLVGGTNKIMSLHLGGELIPMLKNARALWL >KN539294.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539294.1:79451:84652:1 gene:KN539294.1_FG005 transcript:KN539294.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMRIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRADDLAFRCSSDTYDLDDRALVSSRDWAVLSTEGSRPSPRFAHAAALVGSKMVVFGGDSGHQLLDDTKILNLEKLTWDSVAPKVPWGNSVILVGGKTEPASDRLAVWIFNMETEVWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYEDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKWYIAGGGSKKKRHAETWAFDVVEYKWSVCVVPPSSSIATKKDFSMVPLYHRDKIVLVAFGGNRKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELAGHLNNCAPLYSNSSVARHSLASTVEHPPRRESLSESLLQNPNLGASLHRQFHQSEACSLAQKLQKPIDDDKYDDTDDCSSCQASTPKEYRSKRTGTDAQIDMARILSSKEENLETAGSSARRIARCSSDISHLYNTKIADLIKRSSALEDQLATALVSKEQAEKNLSSVINSREQLERRLANKEKEAEMLKEKIAGLELAQEESNNLSNTVHADNVRLEREVAFLKAITDETQKARNAQYDCLELHSIRRVLAGEQSRAFQLQLMNLEQFAYGLV >KN541885.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541885.1:1026:2558:-1 gene:KN541885.1_FG001 transcript:KN541885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDANASLLQELESMAARRLDQATLGVVMIPCTALLDVPLVMCLVRGFLKDAARMARLVDAYLVESALEARLRPAEFEESLPAPSDGLKYYDLVEGKGPTAVKGSIVQDASIGFAQYVQV >KN539325.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539325.1:22759:24016:-1 gene:KN539325.1_FG002 transcript:KN539325.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVAQLVFFSVLALLLVNVHAEPTSLGFVSIDCGLSGRPYVDEITNISYVSDDAYIATGEKHEISSEYKNLALYRSGLSLRSFPSGGRNCYAVAAAARGRKYLVRARFMHGDYDGGERSLASTPVRFDLYIGLAFWFEMTVSDAATTYAFEAITVAAAGGSSSLSLCLGGHRTRDSVRVVAGGEADEQRHVPGRGGEPVAWPLHAREHGRKLLPQVRRS >KN539294.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539294.1:2600:3447:-1 gene:KN539294.1_FG006 transcript:KN539294.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCQVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIVQLARLLWEAEKSGKYQYFLCVTGDHSTPVEYGDHSFEPVPFALCRLRDFVGAMGEDNVINFPLDDFPLPSVKSGEDVAETIDVADHKSDQRKAFSGDSVCEFNEIAAARGCLGRFPGSEMMGIIKKFIKAKND >KN539325.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539325.1:51770:61782:1 gene:KN539325.1_FG003 transcript:KN539325.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLQFLLLVHPMLLLHVYVHAEPDSLRFVSIDCGLSGPSYVDDRTNISYVSDDGYIATGEKHEISSEYNSRALYTSGLSLRSFPSGGRNCYAVAAAARGRKYLVRARFMHGDYDGGERSLASTPVRFDLYIGLDLWYEVAVSDAATSYAVEVIAVAVASSMSVCLLDTGHGTPFISSLELRPLRGDMYPDAVANKSIGLYTRCNMGSSKYLRYPEDKYDRYWGIPLVYNTKWLNISTNDTGMSHGQTDHIRVPVAVLQTAITTSNTSVPLDVSVYATSQGHIPAPTDAAFFYFLHFANFEQKLCQFDIYYGKNKWEYNNAPVQLYPMQPMYFPSGARSFSNFSLVATNASELPPMLNAVEIYYSIPYSDITTSPDDVDAMMAIKTKYQVNKNWMGDPCLPKEFVWTGLQCRCDGVGCRIISLYDTYNDDPCKEKSPNKRNISVLTIAIVTPVVAVLLVWSINASPIVCILLEFQTLEVILTSEELVKITDNFSECIGQGGFGPVYRGQLQGSMQVAVKMCSRKPIHGQGIREFLAEVDSLKTVHHKNLVLLIGYCTNKNHLALIYEYMPNGSLFDHIRGNKANVQTMSWLRRTKIMHEAAQGLGYLHSGYYFSSRLTMKSDVFSFGVVLLETVTGEPPIVPGVGHVVQRVRQKVSDGDISAIVDPRLEDAYGIGSVWKVVDIALLCTREVSDDRPTMTEVVEQLKHALALEEARYIDGRSDNGQGSIKPDLSADWAPLAR >KN539294.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539294.1:103497:111897:1 gene:KN539294.1_FG007 transcript:KN539294.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALILILDDLRSCAFDADFVKSDVTTQPKDAPGVLKERDPGYDEMLKHMVGRITTKPGGKPEMGEAFVVDQYNRPLPKVRTSRPEPGEGGHRQLPPGTINVTHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVVQNIVQFVSLPQEEHSAKESHPVKSASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWARISVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKVQTEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQTCTWSTLRTYGRSPSSRGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEIYALKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSQIEEKLNQEELQSSRLKQELANELDLVRNQLSSEEARASQLEIEVSDLQQRLQKMETLEKESESLRLEKDAESDDSSSDSNQRPADKSFWRWNG >KN539325.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539325.1:88995:99304:1 gene:KN539325.1_FG004 transcript:KN539325.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSLPSYLFMLVTGLLPLLVHSQTASLDEGFISIDCGLSSGSSYLDEKTGLNYTSDDGYIYTGENNNISPEYNGQELFKTGLNLRSFPTGGRNCYALSPATNGHKYLVRATFMHGNYDGKGHDLVRSPLAFDVHMGLHFWDRISVDETAKTYSTEVIVVAKVNSISVCLMDIGSGTPFISSLEMRPIKTSLYPAAMDNQSIALQERSSMGASTLLRYPDDSNDRLWWPWQGSSGVLNVFTTGTIKRYPNDKFEVPDRVLQTAVTSPSTFIPLNFSWAVPASWPATTAVPAYYLNLHYTDFQMQQKLWSLPTSLSCLQCSVPTRYITSFNKMVKQYLQKMCEVQKLSHRNLSYNDLSGSVPDSLINLSSLLVLDLSGNQLISTIPDALCTKYSLTLRYDITNGDPCNGKSPKKKNTVVLFVAILVPVLMVVLLVPTLLVFYFCRKRAPDMLLGRTEVPDSRSKEDYKDHIHISDGREFTYKELVAITNNFSVCIGEGGFGPVFHGCLKEGTQVAVKMHSPMSAIGKGTSEFLAEVESLTTVHHRHLVFLVGYCTNKNHLALIYEYMPNGSLYDHVRVLIQAKKQLFRHCDGMIEHELDLKPHKLTGRLANCFLSRYCLTGRLTVSSDVFSFGVVLLEIVTGEPPIIPSTVHIVQRVKEKAVMGNIEAIVDPRFGAEYDPSSIWKVVDIALLCTKEASHERPTMSTVVAQLKDALALEEARASSSISDISQGASGMVSLRSISGLSDTDLHKLASKQMQRLEKNIWKELVEEIIIRMHLIAFTGTSTCTQGHFYLKDACPALQWISGAGGARDGAVAACVDAGGGVHARAHDVVGGAKWLASGAGVVADSIAIAAAAAAAASGRKAEEAPQQSSSWSWAVSGISAGVLQHGPE >KN539294.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539294.1:69697:70011:1 gene:KN539294.1_FG008 transcript:KN539294.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLSTEKAVVIFTTSNCPMCHTVVSLFSDLGVGAAVHELDRDPLHGRDMERDLARRLGRSPPVPAVFIAGKLVGSTDRVMSLHLAGKLVPMLKAAGAIWL >KN539325.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539325.1:17392:18011:1 gene:KN539325.1_FG005 transcript:KN539325.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIVPILVLPSTAMRIESPIFSVALKAVSEGLPSLVLIDVIGSKPAKASTVSEARLAKGQQPLLSFEIVEFSSRSPYGWNFDHDGQDVEACGDLPWSGLMMTTWFWVLMDQS >KN539294.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539294.1:27084:30539:1 gene:KN539294.1_FG009 transcript:KN539294.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAAEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYGYLTGMVGHHRTHLFDVVNQYRAIFNNDKSGSDENYDGGLLFSWAMHQISNHLTTLQVMLPNITEGGSLSNIRDQCMYCAMGLGLVGLDFLGLLPPIFEKAVLNLFSKNMGTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKNVLAEEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCSACFGRCYPNGAILITERRSTFDAVSQLLTVPARSNSSGISIERRQSGSIERKQSGAIERKQSEGIERKQSIDESAGATATDNGTPANGPPPVANDDSGTSSAPAQPDGQTTAAAST >KN539325.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539325.1:66542:69427:-1 gene:KN539325.1_FG006 transcript:KN539325.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLEYGVKKNWMGDPCFPPEFAWDGIKCRNTSGNIMRIISLDLSNSNLFGVISNNFTLLTALENLNLSGNQLNGPIPDSLCKNNAGQFVFRHVAKTNPELLVLYNYYSYGSDGNMCNKTIVPAYVSPQVPDIKTSPERKTNPFDPLQITESRQFTYEELKKFTNNFQQFIGRGGFGNVYYGCLENKTEVAVKMLSEFSENGLDQFLAEVQSLTKVHHKNLVSLVGYCWEKDHLALAYEYMARGNLCDHLRGKFGVGDTFNWVTRVRVVLDAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKIADFGLSKTYISETQTHISTSNAAGTMGYIDPDLVADARLKDSYDISSMWKVVDTAMLCISEVATQRPTMSTVVLQLKESLALEEARDGRDITTSSVSDAMDVLSKFGPSAR >KN539294.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539294.1:1:1677:1 gene:KN539294.1_FG010 transcript:KN539294.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/Swiss-Prot;Acc:Q9C950] DDDDDDDDELDEGRRSGDDSDSAPSVGSDLYKDEDDKEQLEKMSELDREMILAERGARIDDYKLKQLARASSSSSKADKSRRDSSPPPPPSRARALDELRAKRMRQQDPEGYRNRFKDLIPQSGSPPRRRAGSPPSDGSNDGDNRGRIADETRDDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEDLVSGCFVRLGIGKSKSGTPDYRLCIVRNVDANDPDRKYKLEGYTTCKYLNVVWDNEANAARWQMTQVSDSFPSEEEFKKWLQVAEKNGVRVPTRQEVLEKKEAIQQAYNFVYSARTVQKMLKEKKSAIRRPINVAAEKDRLRNELDMAIARRDEAEAERIRSKLNQLQKMSKPISNNEKAAKLEAMNKKNRAENFKNASEMKPVNTSLKAGEAGYDPFSRRWTRSRNYYAAKPEGESAEVSNGNDGSAVAGDEDTKNGPRDLGTESNLLHNFELGISLAGLEEFGGPKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLTVSDYKRRRGLL >KN539294.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539294.1:84821:91427:-1 gene:KN539294.1_FG011 transcript:KN539294.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRCPVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQMLLKETIGFDPLIAILKIRRGSAFNFTQQKTVNLLGALDTVELLLMGGGSSGEPGKDANKTTNQTALAQKNILDHLLLLGVESQWAPVALRCMKNPGGQALLASTIAPHPNQAHATFGTTTDMPFGSILLQALVSSDDNGDMEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSIAASAEGENGQSGQPEESYIQPVILRLLIVWLVDCANAVDCLLESAVHLNYIIELASSKCYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLRFDELRKRFAHSSSGQQNRKQLSRSSANSMSDFQEIEEEEINKGDQHPVLSEIFDSHFVSFLSKLETDIRDNIMDIFSRTKTATAIIPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLGRNAMLAEELVRTGGGNSADSLQKPSSGRERVQIESLRQELEGATRRIEALKAEHTQIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDSEVKALRQGGSAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKWMMIFRQRFQVIYVG >KN539325.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539325.1:10743:16719:-1 gene:KN539325.1_FG007 transcript:KN539325.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAIWASSVETGSHMNTDRWSIYTELFYRYPEDPYDRLWWTPSYGASSWLNMSARDTSSISYAQTDHIRVPVAVLRTAITTANTSVPLVVNTYSTSIGRVPPPADAAYFHFLHFADFDQQQQQRQKRRFDIYYGSSTRYVYRNEPVQLNPIHNRTTPSYYASGAYSLSNVSLVATNGSVLPPLLNAMEASFGTNKFQVDAIMAIKTEYQVKKNWMGDPCLPKEFIWTGLQCRREGTEYKIISLNLSHNNLSGSVPDSLTNLSSLILIDLSGNHFNGTLPEALCTKSSLNLRYDTSNGDPCNGMKSPKKKNISVRTLTVAIVTPVVAVLLVSAVLILCFCKKKRKQNVTEGLVQQYSPCSIQPTGTPDSGSHVDLKDHIQMADDHEFTYEELERITNNFSDCIGEGGFGPVYRGQLQDSVQVAVKKSSRASLHGQGIREFLAEINSLQTVHHKHLVLLIGYCTNRDHLALIYEYMPNGSLFDHIRAKYSKLTIPLFSRYYFSNRLTMRSDVFSFGVVLLETVTGEPPIMPGVGHVVQRVKQKVSDGDISAIVDPRLKGAYDMGSVWKVVDIALLCTREVSDDRPTMTEVVEHLKDAFALEEARHIDPINDNSQGNINTDLSANWGPSAR >KN539325.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539325.1:31152:33315:1 gene:KN539325.1_FG008 transcript:KN539325.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGPTVAMNTPRQFHFVFLCFYFPVLFNHHVPLATSVEFSFNFSNPGDPCDDGLLTCEHDTRKGSDVIELTKNEISGNAYSTGRASYPRPVPLWNKAGEVASFVSNFTFQIKPKDEIAICKCTCNTSGDGMAFFLARYPSRIPPNSYGANLALFNDSNNLYATGDSRVVAVEFDTFLNLLLDQSSNHVGIDVNTIISKAYTNVTKGLVSEDAIMAATISYNNLTGFLAVHLQIGDGKPYNVSATVDMRATLPEEVAVGFSAATGACAELHQLLSWSFSSTLQPATNSTKPKSSRRLLPVLVPVAVAVFVVFLCVLGFLLPPRRRIWRRLTGRTDHDIDSDEEREQAEFERGVGPRRYRYREIAAATRNFAGEEKLGQGGFGNVYRGVLGDHDRPVAVKMFSAESSAQGRKAFEAEVKIISRLRHRNLVQLLGWCDSRKGLLLVYELVPEGSLDKHLYGSLLSWPDRYRIILGLGSALRYLHTEWDQCVLHGDIKPSNILLDSSRSTKLADFGLARLVDHGAGPRTTQVVMGTAGYIDPVFIRTRRPSIESDVYSFGVVILEVVTGRRPEMEQTDQVIPLLQWIWDLYDRGAAHEAVDTKVGRDCGAVDRDSDWQLQRTLTVGLWCAHPDPSERPSITRAMNVLQSDDVTLPVLQRQTSCPDDFYRLPSGDDRSSSATVSTGVVSWASTGR >KN539325.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539325.1:104653:115693:-1 gene:KN539325.1_FG009 transcript:KN539325.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLFAATMLAAATPAAVGQYPFPSVHAYSSYCMRFGHDRTFIDVVEYRPGFLSIDCGLDGNTSSYQDSHGIFYEPDGAYVDAGENHRLSADYESIIHRRADQTVRSFPTGERNCYALPTVLGAKYLVRMTFFYGNYDGKETWSWPTLHFDLYLGVDRWTTVHADSTKTYEALFVAWASWTPVCLVNTGSGTPFVNAVELRMLGSDLYPDLTANESMNFVERVNMGSNNSLIQYHDDLYDRYWWPMSRSYPMWKNISTVSTISNYIIPLPVIQTAIEAYSPLFLSPSVVVNKHEWYKSDDGTYTITLKATAESILPPMINALEVYTRISHVNPKTLPTDFDTIMAIKFEYGIKKDWTGDPCFPVEPGWDGVKCSNAIDNTMRIISLFDSNEDMCHPHQRIKKAKRAVTIAISVVIPVMAIGALVLAYFIWRQKKNPKVSSTYPPREPNLEITPTSRNDIGDALQKVENRRFTYKELEKLTNKFEKLIGQGGFGLVYYGRLEDGTEVAIKMRSESSSHGLDQFFAEVQSLTKVHHRNLVSLVGYCREKDHLSLVYEYMARGSLYDHLRGNKDVHETLNWRTRLRVAVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSETQTHISITPAGSVGYMDPEYYHTGRLTENSDIYSFGVVLLEIVTGEFPILAGQDHIIQRVKKNIATGNISLVADVRLGGAYDVSSMWKVVDTALSCTADIGAQRLTMAAVVVQLKESLELEEAHVDSGLRGSASTVIITKKPFTNLTLQCD >KN539294.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539294.1:113016:119092:-1 gene:KN539294.1_FG012 transcript:KN539294.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRLRHRLQSPGEELFDVLAEYVGLEVHLVPNLLVRQHDVVLGVPHQHEVEPPAFLVDVHDGEARAVDGDEALGHQQPQDLRRRAHGDPERVPLGPERGDLARPVHVALHEVAPHARRRRESALQRLDDALVARVARLTARPPHRFLRRGLFFAHRDLNEILDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEETKRLARENAKDIIACGFDVERTFIFSDFNYVGGAFYENMIKVARCVTYNKVVGIFGFTPEDHIGKVSFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSAKEIRTKVNKYAFSGGRDSIELHRKLGANLDVDVPIKYLNFFLEDDNELEHIKKEYKEGRMLTGEVKQRLVAVLSELVARHQRARAQVTEEMVDVFMAARPLPNMFG >KN539294.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539294.1:37685:41251:-1 gene:KN539294.1_FG013 transcript:KN539294.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASQCPLKSWVPEGMDPGTAYLLKIRLHGHHSRENFTYKTEEVVDSDRTNFMDFIDDNREKYPWGMNEFITVNYYDPINKNYPQVCSDQCMLEMFVKNATSKEISMLIQVQSNNEPAVVLPLPDWPTPERIASGTVPNAADIHVVPSTPSLAVPSQATISQPSSSTQHVDKYLANPFKENEHVGVDDEGIYSDDEIVVVTADGEKERTDLPEVVTEQGEEEQNGVDEGEEEEDGSNQPEPLSVLMPLPPPDKGKAKAKSKAKTKAKVANKNNKEDSSATTPLAQIKRKDKDVHVSLDSPAMGTRSKKKKSLDFN >KN539325.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539325.1:43744:44472:1 gene:KN539325.1_FG010 transcript:KN539325.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTRCVMCGRFNEDAGHLFFKCKQAKKVWQELNLEEQRILLEQQPSGKSVLQAIYKRPGAEQISILVCLWQWWKERNEVREGGKPKSPTELSYLIMSQAGEFVRENAKEKPARPTEQESWKPPAPNVLKINTDGAYRCSTKQGGWGYVIRDRLGDVVQAGAGAADHLMDAFHAELLASAVAIKTAKEKGMARVELETDSLMLCNALQSNSFNLSVMGGVILEIKHVIASCFQSFPVNYCP >KN540599.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540599.1:3946:7075:1 gene:KN540599.1_FG001 transcript:KN540599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVRDGVPGAAARRLPPFTAAQYEELEQQALIYKYLVAGVPVPPDLVLPIRRGLDSLAARFYNHPALGYGPYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSNNGGGGGGGRNMPSSFGSALGSQLHMDNAAPYAAVGGGTGKDLRFPECCLFALPILSGISFQPQKPGTVSVRDFCSGAVNYLALAKYFVSEDVSPITTIPETFSSGQLKPWFYVCLYEFMTRILTFGKGTCLALAFVFPTNSQSLYLLKFRYTAYGTRSLADEQSQLITEAINTSIENPWRLLPSQNSPFPLSSYSQLGALSDLGQNTPSSLSKVQRQPLSFFGNDYAAVDSVKQENQTLRPFFDEWPKGRDSWSDLADENANLSSFSGTQLSISIPMASSDFSAASSRSTNGD >KN540599.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540599.1:42149:46896:-1 gene:KN540599.1_FG002 transcript:KN540599.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGYGVGVGVGGAGAVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAHGISFASAAPPTIPSAENNNAGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGTANLEATRTQLTDFNLALSGFMNNVSQVCEQNNGELAKAISEDNLRTTNLGFQLYHGIQESDDVKCSQDEGLLLLDLNIKGGGYDHLSSNAMRGGESGLKISQHRSIKESFVVSFWSKHTTSDDQSNQRQAFCHFTTGEVVVGYLEEDVSGHAQRLDGPVGDLVEQNLRLVVLLQVDRRVRRLILG >KN540564.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540564.1:13252:18126:1 gene:KN540564.1_FG001 transcript:KN540564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTMVVLFVVAASLLLLSQDVAFAARELADASGEASKGGDKKDDISISIGVTAFRKQNHPRPRPDKASRPSKENKDKASKVKVKKRRRSERAADGDGERCSRTEVTVIDTSTDGWKAAKLLLRRGAVWKVRDKASGVSEPEDPTKMKRRAGLVSKIQRDREKQKQKEKEATSSGNIHASSGDGMKEPDGPIQALKRSRGPEPEPEIIALLH >KN540599.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540599.1:37383:40084:1 gene:KN540599.1_FG003 transcript:KN540599.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGGRPEVSLATVRSPGHPAASTTAADPGHADTGQEKPTVESAQPANGAAPMGECGTEYRGLPDGDAGGPMPSSARTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLVLPVIWSIPEALITAELGAMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVVGLTAVLTLLNYRGLTVVGWVAICLGVFSLLPFFVMGLIALPKLRPARWLVIDLHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALFYAVIFVVVAYLYPLLARTGAVSLDRGQWTDGYFADIAKLLGGAWLMWWRGMLPSFFAARSRYGTPLAGILFSASGVLLLSTMSFQEIVAAENFLYCFGMLLEFVAFVLHRVRRPDAARPYRVPLGTAGCVAMLVPPTALIAVVLALSTLKVAVVSLGAVAVGLVLQPALRFVEKKRWLRFSVNPDLPEIGVIRPPAAPDEPLVP >KN540599.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540599.1:29147:32747:-1 gene:KN540599.1_FG004 transcript:KN540599.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYTFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKYQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKATEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPSNASLKSSGLSKTGHPVLRHSRSLPETGRATMHKVSTLTERLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVFV >KN540564.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540564.1:44551:45307:-1 gene:KN540564.1_FG002 transcript:KN540564.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNFIVLGVFLAALLMFSLDVAHARELTEANESEGKNVKPTGGPGVEDQKWRGGYYPGGGYGYGGGYGGGYGRPGYGGGYGGGYGHPGYGGGYGGGYGRGYGGGYGGSGGGYGGGYGGGYGGGYGGGYGGGYGGGGGYGGGYGGGGWH >KN540564.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540564.1:27735:28157:-1 gene:KN540564.1_FG003 transcript:KN540564.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGALDLESKAMAAFFDDDFELAAELKPRHSRALHRPGLGPHQARGGWGLGTAWTATLPRAGSIESRAVEDKDECTLTGGHRRQARCHGGGRRKEVVWCIGVEMDDFEGVLMPADGAGEVRRSQHPLPLNRRDFQQPE >KN540564.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540564.1:24668:25305:1 gene:KN540564.1_FG004 transcript:KN540564.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLILFGVLLASLLVSQDLVAARELTEAHESEGKNVKPEVEQNNWGGGYMHGGGYEHGGGYSQPGYGGGYGQPGYGGGYGQPGYGSGYGPGYGGGGSGPRYGGGYGSPGYGGGYGSPSYGGGSGYGGGYGGGYGGGSGYGGGGGYGGGSGGGGQHGGWH >KN544732.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544732.1:161:856:-1 gene:KN544732.1_FG001 transcript:KN544732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSSSSDDGGAGGELSRPRRRWRALVLGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRQVSTPAPPR >KN545232.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545232.1:975:1620:-1 gene:KN545232.1_FG001 transcript:KN545232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANILTSQKQHTCTDIPWIGLSEHTKRENITIPDKISQRSSSPASVQHTAPPDLSPKPTDRGKTQQAQVRQEREYWAWAMTYQNYSWIKSAAAAAVRANNTTRKFRRGVEVSDPDRSCGGTGTGVERSSVVGGIDPGTAAAQAFTTD >KN540506.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540506.1:382:4613:-1 gene:KN540506.1_FG001 transcript:KN540506.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSAKSNSTKAKDLAKAANNKPVLSEDPPVISESALVNSHNDGNAENCKLPNGAAVEAMGQGVENQNIVGSKAPTSPEKLSEELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRVTWLIVKLQAIVRGRNVRLSGASMQFVVKSGQHKFLSDKPSDAWKEKVSSNAYVRKLLSSSIGLEALHLQYDKRDPNSLYNWLERWTISRIWKSTSQPKKVADGKPQVRKASYAMETESAKLKRNVRKSSAVTVDSFQTSMTVEPEKIKRNSRKFSSSAADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRADASKVSSSMADAAKVSSSTADASKVSDSMAQIPPSLVNGISDHQDNQCEEAQQNACASFPPETQELHSAILLEDNSHMNLLEPDLISNPETPFASILTWEKFNDSTADAQEVEVLPLQNIDNEDNFPENGVLGKKEKPRSKEEPLSNGNLKTSKRRSSFSSKSDYPENGAQNTPVPRRKPSYMAATESAKAKLRGQNSPRLDSDSPADMNGFTRRQSLPSATNKKIHC >KN540506.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540506.1:26848:31567:1 gene:KN540506.1_FG002 transcript:KN540506.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDREMHSGFGSTTGPSSPELIFLSIIILWKRKVVDQKNASIGLKCAIGSANYMMRRGDCVVWALRQLVLFNSMFSSWFCHTLKFVKYGVDSAKLRDTTWVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSIHSNKYEHSISGPTETTVNGNNFSRVPSMKIFDRSHNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQHLPDSTKPFISEKALRKQWANFSYLNMLQGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLKREFKKGNLFDGWTEGVISFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRILSFGKGMRLQAYRTVDIRLSDHRPVTAVYTSDVEVFCPKKLQRALNFTDAEVEDQFSFEEESTSGIFSF >KN540506.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540506.1:12323:16000:1 gene:KN540506.1_FG003 transcript:KN540506.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVDEGFEMLVFTDKSTGHDELRKELAQCDMLVNVAITSQETVQWLINNSEDIPNIICFESSPTLENKLGGRYVQYTGRQDMFGKLTNIGETGGMKESVEVLKAVSNAWERHNSDDIRFCLLVVVNAYIRPVDMLQNLRAKGLSTLSCMIRNCGPLILNCLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLDLNAEIPSKPSVPPLTMFREQMLSHELAEDLFVGWLDNLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFQVRTLEGELVWRRRKYRVRRALIPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGLAYTGAVLVSPDGSCPDLDNPRLASALDKCGIKKWELYMVDNCSCTGAPLGTPGDAKLHYQIAPGKESDGTECSQMTCTVAPLSQAVWTVVCGYCGLDPVQELLALLHPLDYKYIHPNNSRSSQFTVQDWSCGPVEVIEKKQRARMAGLYERPSETYTKKRPRYPDAWFSKLAALTAGHHRAWDAGCGTGQASISIAEHYDSVVATDASEGQIRHAVAHPKVRYLHTPVDLSEDDLVAMVGGEGSLDLVVVATSIHWFDVPLFYAVANRVLKRPGGVLAVWGYNYEIHPFEDKLHGQLYPAMRPYMDPRTRLAMDRYRDLPFPFEPVGVGREGEPADVDIEVDMTLDDLVGFLKTGSVVTTAREKGVDLEAVTKDVMKGVEAEWGDPAVARKLVFKAFMLAGKPKVLD >KN540506.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540506.1:9165:10689:-1 gene:KN540506.1_FG004 transcript:KN540506.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFLALQCVQCSTMQVKPQKKSSNKWVCVVCNQRQSVMRVHARGHRAADLRRFVQEANLSRGRAAHVPVPVEDWVPAVPGEQRDEFPRERKRRMDWSDYLEGPGECDGGGHHEEVRDEAQRSKWSNYLDTSFSEEGSGFEDSGQHCTELEYSITDVAVDDEHFMLRIVK >KN540506.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540506.1:35386:38448:-1 gene:KN540506.1_FG005 transcript:KN540506.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPASSAASPTAALRSSSTQGNWLKTARNLCSSDESKKFRLGSLENEIAALEKEFSGDYHGIGFCHNDLQYGNIMIDEDTNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHVLDYSKYPDTDEQKRFVKTYLSNSVSEEPDTEEVENLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKQALLTS >AMDW01032884.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032884.1:11:382:-1 gene:AMDW01032884.1_FG001 transcript:AMDW01032884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSYIDPAYIKTGRFTEKSDVYSFGVVLLELITRKKALYDDRKSLPLSFAKYYKDDYARRNMYDQDMLSSTDDALRPRYMECLDRMANIAIRCLKEDVDERPTMAEALEELKQLSASLNVT >AMDW01038835.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038835.1:297:765:-1 gene:AMDW01038835.1_FG001 transcript:AMDW01038835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDADDDDDSTSFRFSYASKAIVVGGELGTVGWVDLWHGILVCDILIDNPRLRYIPLPPPLVPRQLKGDPMFLRNIIVLGGHIKFFEMYNHTTGSASSQGWVAATKKMNISSIASGNSSSSSSWEDDCAIKFSEIPVESLAFAQMLQLQPNLQQ >AMDW01063133.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01063133.1:430:927:-1 gene:AMDW01063133.1_FG001 transcript:AMDW01063133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSEVAILSHLCWLDIFGIFNTKHLSNGTSYGAYLVYNVQFLHTEDQNGGYKEQDATASGSSSTSSICSHECNHLVPQKHLRSLLFNMDYDGSSFVKTNNNQKKELKYVGICVRSDGWMEQEISTEISVVKQNNEENGDISIEFRGLTGSHQCQIIVEGIEIRPKN >AMDW01034267.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034267.1:162:494:-1 gene:AMDW01034267.1_FG001 transcript:AMDW01034267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >AMDW01038634.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038634.1:117:809:-1 gene:AMDW01038634.1_FG001 transcript:AMDW01038634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWQLETLDIRDTENMPATAMRRIYLHNLKHLLAGDNISTDGGGEGNKNESGSTVLVPHRIGKKTETLRHVRIKDGQADLARIGSLEQLRKLGVVLDGSQGNITHLLEAISKRRDTLRSLSVWITEPPPPPAAEHMAGDDVFVTLDHSQEEILAPSKLESLDLKCFFKGGNGGDKRGYKLPTWINEKLQALSKITLRYTLLRDDGLRILGKLKSLRCLRLLRKSYIESE >KN539229.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539229.1:70565:72088:1 gene:KN539229.1_FG001 transcript:KN539229.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQMEKKQREENETDQPQLSGAEDDAGCGRRRGDRTQHGEVPTSECGHLADQCGGAAPAAAGVVSASSSSAAGQEDAGGDQVHAGDRKNERENEQELDGGIVVVPGLGSCGCSTTLFLTLFLPLSTPLGARSISRAAGSDLPIV >KN539229.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539229.1:118148:121387:-1 gene:KN539229.1_FG002 transcript:KN539229.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSRFEGAWVFEWPIDCDTANFKDFVDDISEKYPWGIDETVTVQYLDSSLNMLCLVSSDKEMMTMFKSFGQNRSGDVFITINGPSDKSIIDIPCTPSAPIPSQACFSQISNVNQPLEGGDLADTMVDTYLANPFEHFEHVGVDEEDQYSIGSDAPESDSDDTPDPEYVPGVDEDEDDYGDDSTDDDEDWVTQDAKPDDETPINFGHRWKTCKEAEPDAKEAFALLANQRKKRKNKAPSTSSSCAAASSTPDFLAPQSKRKRAAAYDSSNSNLIASVTANATSVSSSGPNHQQSQCALVPVTEASTPPPKARGKGKKTNLTVPVDSPSMSTRSKKKLPPDSPSMSTRSKRKLIA >KN539229.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539229.1:23981:26679:-1 gene:KN539229.1_FG003 transcript:KN539229.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAGAGDLLRGVHPLDADKPMIVELAVAAMDELVQMAQLDGPLWSSSSLEPAAMMLDEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYNGALQVVHIFFVFFFIDYSINSLINMFMARLHAPWSLECNSDKMIMIKTEFLQMSMEFQVPSPLVPTRESYFVRYCKNNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDWDILSNGGAVQEMAHIANGRDHGNSVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPSGNAQTAVGENGSGSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRDSNPQ >KN539229.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539229.1:83923:84303:-1 gene:KN539229.1_FG004 transcript:KN539229.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTTMGLVVLLFVVSGSVQKLGGDNSVGSYSPLVGLIGVITGANLIFLGVKMTGSSASVSLHAMASLATTCLRRNLTMVGLFTVSFALMVHVVAGPAIGLFLIFLLGVTMITVGVHGGLTSWTN >KN539229.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539229.1:95835:98745:-1 gene:KN539229.1_FG005 transcript:KN539229.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKISELTTKGQTWSIKAKILRMWDSVNFATDEIMSFDMLLMDEEGETIHATIWKNLIDNFRPMISENSIYAFSNFKVQESTKYCPVDKDLKITFIGAKIRVTLWGQLAHSLNEDVIGNHTVVVVTSTTVQEFNGLSLRSSSATRLYTDINIPETWKIISRHSDEQNLPKLMEVDKSTQGTLEEQMFYNRKTLQEITNMGHDDTKSQDFICTTKATIDHLQDVTWWYMSCNDCNKKVVKKIDKYYCEKCKMYPENTKPRYFIRLCISDHTTTANCTLFDDVAQRMLNTSISSLLNSLDGRCEEVPTIIQQLCGRTFIFQLKLNIENLTQGKSNYIVRRTFVPDDKLEMQHLDDKAKDLLNNEKDKLNYPPNRRESSLYVHIKQEPEDSDGDKDETTSSRKIQRGSQTTKEYITIEDDTGEENDSEEDLEDDSEEGLEDGSEEDIKEDCTKTSVLQRPQPEPVATISKRIGKRLTRNLGEKKTSVYRKQETDAQLEDSDGTKSAKKRGKKLSTKIGEIKASTPGKQQLADAQLEDSDEPILKQGIKLSTNEKRRRSFVIEDESEDECTTKNYIKRIELQVGKSGLDNKCIEQRSVATTARRGRKRSAKEVDDIKTNNTDMKHQIPTIPNGQIEDEPSKTRPKRTRKMNPKYN >KN539229.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539229.1:10906:19017:1 gene:KN539229.1_FG006 transcript:KN539229.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAYAYLMHLLLNLFEENIKTAILNGNNMVSADQKLPSLYLLDSIVKNIGREYVGHFAARLQKVFCDAYRKVHRNQHAAMRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRSATATDIRQSESISPRLSHGIHVNPKYLEAQQQFKQSTLVHQPITRGNRQMNDVEEDQINGLTSKSSRGWPATNSKLQKSTMLYADDLDQQEAFRSHTGLIRPSSPHLLSKHPSILNTEGPLANSRRTMSRSPPLDVLPRNASPKRALERPPLSHSVLGPDPRRLPDRNGWFERKWAFEDGAQQPSMSILDEEYRKQSARELIDAYGNSQGKDVDERLPKMQCLDSNGMAGRPSAQKWLTSEEEEYTWEDMSPTLTDRNRTSVPSLPPLGTLRAGFLGPNSGLLESDIVRHSWPGQAPRPAIDGPPLNLEDRIPTNGPVDRTNNRRYPGNFGVQNGAFLDYQSSEHTLDPGRTTMPVPPWQQTIGQPLRVQAPQPASILNRMPLPTDSEVPVKRLATGGTYDALNVDIPLLEKQRSSPAHAPMEWPLNTQSLTIQPIPPDTKHPRGASDGLDSRPFISQGSSSSVFVPQHHALDRRTMNADDLAQPSYQHPDLLSLSQQNQGTVLGNQGQPHHPPQFHPHPHSHLQETIRSFAPSMSVAPPQNIFHGQGGSAAALLPSSFPVPPAVPPYGLQSMPGFPLPSLPSGPPPPSQIGPSSSQVGGPPLVSGLLSNLMQHGIISLQPPSQPQDSVGVDFNVDLKVRNESVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADAKEEKELAVPADEDQTTCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIGGLERSQLGPIVHAKCLSGPNNT >KN539229.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539229.1:87715:90027:-1 gene:KN539229.1_FG007 transcript:KN539229.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKRRIILNFPTLHLTTAQKKAYALIEIEKLMRQSGKSLRDYPDIELPNGAELDELGNRLINEELNYDKDQLKEEHHAILKNLNGEQRKAFNAILESIDKGLATLMLRTKMHSLEAPHRNGKKKLRGLAIDGSVNACGSI >KN539229.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539229.1:28688:30279:-1 gene:KN539229.1_FG008 transcript:KN539229.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTAAAAADSGDNMLHGRADAGGLVDEFESKSCSENVDGAGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKASIN >KN539229.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539229.1:117:918:-1 gene:KN539229.1_FG009 transcript:KN539229.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVKLSPGPVAFSGTNLRSRSASVSSVSSLKPSKFVVSSLRPLYLAPLDGPRAAGQAQRQPLEFRCAASAADDKESKTEVVPVRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMV >KN539229.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539229.1:112862:113206:-1 gene:KN539229.1_FG010 transcript:KN539229.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFIVTLFAVSIGIGFGGYGLVLTLLGILTGVAFITVGVKMSDDPTAICTTTFHGVFLLAERLRRNLAVVGLVVASTANTAITGESSPGICFDLFAALLVGIALIIAGVLGA >KN539229.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539229.1:68953:69483:-1 gene:KN539229.1_FG011 transcript:KN539229.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYVVVGWQRKGGDGEHMHTAPVRMSRARRCYVRQGEGVPQLFQCQRCPGAVVRHVGGARGLSPGGGKGILGSFLVDLTEIATAESFNPWFGGRTLRFPLGVVPASRVPSGQGGFITIGGRDGGCPAARWGARRTWTRRPVTGFRLQTSSRWRGAPSRPGLAGRSFGRSRTKLT >AMDW01017342.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017342.1:15:254:-1 gene:AMDW01017342.1_FG001 transcript:AMDW01017342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNAMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQP >KN538809.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538809.1:117723:120178:1 gene:KN538809.1_FG001 transcript:KN538809.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGHSADGVFVMIVDEDKSHANFARGMLSSLNFHVIVYSSPVNALVFLENNAQDVAVVLAAVDMKQLSGFQFLEAARVKRQDLQVIMMSAETTMSTMMRCVKLGARFLVKKPLNEETVGNLWQHVDLKVLKMEKIRELLQDPGQETVITISYEEQFSGETEADENNEEEEVNSFEAKKADSVKVQSDEKGHDNAKISNTAAAEGSDEKVSSGDGHVVPKAYNNVNVEESTGSNNTSGEQVSDKIKSDARVGVILVDYPDFEDDETKKPTST >KN538809.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538809.1:61999:64204:-1 gene:KN538809.1_FG002 transcript:KN538809.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFVGSVAEKKPPLPGPSSSSNLHLLQDLPPPLSSDRIEEGGEALESSEGAQSRGQQGSRDVVVAAVLKQPDPREEHTEADEEMVASTGVFISLGEKKVEELGINGGSGDKEDEEEEEEDELVSLLVFVPSLTMDAAAAEITAPSQASPSPRKVQKAMQAVAQHEERHEEMAPMEEQEEI >KN538809.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538809.1:19024:21965:1 gene:KN538809.1_FG003 transcript:KN538809.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAEVAAVGAALSCCFKCCCSQFEQYVVEADKYVSDLRSEVSKLSAMGRDVQSRVAARPPRESLEDALALAASSSMTRSRGRYDYEAVQERQIETMVVGMDPYLNQALRHIDGDEVGVIGICGMGGVGKTTLLRKILGEFLPGKERNKDFHKVIWAVVYKRSTATVDAMDNDIARLQNDIARELGLPPLGKMPADDDDDDCSRQVLEQRAQPIHEYLSTRNFLLLLDDLWSPLELKSIGIPDLNSSGGGGVSRLKHKVVLTSRSEAVCGQMKAAPGLIDVQCLNDDDAWSLFEFNATKQTIESHTAIGRLARQVMSECQGLPLALNTIGRALSTKSGDPKPWKEAYEKLRNARHSEITGMEKDSAAMLHRIKISYDYLPSQMVKDCFLSCSLWPEDCYIEKAKLIECWLGLGFIAGSFGIDDDMDIGMNIITSLNEAHLLDPADDDSTKVRMHDMIRAMSLWISSDCGETRNKWLVKAGIGIKTEQRVAEQWHESSPDTERVSLMENLMEGLPAELPRRERLKVLMLQRNSSLQVVPGSFLLCAPLLTYLDLSNTIIKEVPAEIGELHDLQYLNLSESYIEKLPTELSSLTQLRHLLMSATRVLGSIPFGILSKLGRLEILDMFESKYSSWDGDGNDTLACIDEFDVRETFLKWLGITLSSVEALQQLARRRIFSTRRLCLKRISSPPSLHLLPSGLSELLGDLDMLESLQEFLVMNCTSLQQVIIDGGCDGDRSSSSSGYCLPALESLQLLSLNKLEQIQFQRMAAGDFFPRLRSLKIINCQKLRNVNWALYLPHLLQLELQFCGAMETLIDDTANEIVQDDHTFPLLKMLTIHSLKRLTSLCSSRSINFPALEVVSITQCSKLTRLGIRPQGKLREIRGGEEWWRGLQWEEASIQEQLQPFFRFLGR >KN538809.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538809.1:166515:167788:-1 gene:KN538809.1_FG004 transcript:KN538809.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRNKKKPRKGAAMVAVVEAEGASQDKGDLPLSPGVMDEASRVLGCHMLVPSQGLPTELVSILSRAKDYFSDLEKNWCGDDFDVLDWCNGQVLISVENSITDFQQRLAICTPLNPTKDFTFIPHRQLDVPQGYIKMDIYDFFYEKGNDGQMSIYMVRLGCSPTRKSICAMIFGFKDGAWSYNHISTMIDLPSRWLQRKNSGLLIDTKFYMLGPSKYILGLDLVSMSLFIIDLPNGLEHSNPEMLQLSREEDSKLYIIHLNGLQLHIWFHDINNTGNTSNWVLIDTISLLEVFGHIANPSWDSEVDIKIARGGNSGDFIYLHVDDDVYLVHIKKRMVEKVFDNGKVFRVHPFMMTWPPTFTKRS >KN538977.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538977.1:56595:60382:1 gene:KN538977.1_FG001 transcript:KN538977.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDPPSPYPIVETCDVCGPRHVQILKRSAAVCGAVAVCRGGAVARRSRWSTLVTAAYYTGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTILPGITRRSIIEYARSLGFQVEERLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >KN538809.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538809.1:3895:7118:-1 gene:KN538809.1_FG005 transcript:KN538809.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKPLRKFTGAFQALAQKVGPGSPPMTTKDFTKACSELQSLFHLMGDEAEFWYDEYVPKVEQMQSRSRDAATLEELVEQDMANNSVKAADSNTTILLRLKRALEVVKVLFEQLLKGRGVEFQSAATTAYMVVFAAHHEKLIQNIVIEAIQSLPTRAWLMSKINEEEGDVLIEIKKYVDASEVVINYIGDLFASKGLEMDW >KN538809.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538809.1:155229:163376:-1 gene:KN538809.1_FG006 transcript:KN538809.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNLKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAATEEAKKSNHVVRKLEKRQQTRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGSWRRKCRPTSSLGDGWLAMPMPSLLEYSKALERGREERSKRPNYRAVLEYDGIISRRVDSQESGRVKTREELLAEERDYKRRRTSYRGKKAKRNPKEILRDIIDEHMEEIKQAGGIGCHLDVPGDIAQSVLKNSPHDGTYQGSFNPTSSSYGKDIFGIPSVSCEKLPCANSFGIVSSRNHGTRDSYKDLRNGSHQCQYQKVSDHENRSIKDSESTVDQRYSHHHENSRHQRNSDDHRKYGYKYNKNGSDYYSESSSCTRWSSEREYDRMSRVRSNDVSTTSHTRHRSVSVTQDKFSDRYDPQSAYSDVDPATSMIDEASTGQREIYHDGAHHRRKHDHHY >KN538809.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538809.1:136525:137938:1 gene:KN538809.1_FG007 transcript:KN538809.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLSLMEISVMPTLQELCSLASTFMNNAQDIVVVLATVDVKQLSGLKFLEAARMKHQDLQVIKVLSIEEPAGAPTSTLSSDFNILVVLLIQQYNQSK >KN538809.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538809.1:52598:59039:1 gene:KN538809.1_FG008 transcript:KN538809.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEKGTEEHCVCFAPQLCYGNTAYNVISSDEIRGEILFVLYKLSLLNTTPWDDICDNDNVDLSAIGRSLLQFSLEVLLKTQNDDVRLNCVALLLTLAKKGAFDILLLSDPSLINSAEAEDNVPLNDSLIILFADAVKGSLLSTNIEVQTGTLELIFHFLSSDANIFVLKTLIDQNVADYVFEVLRLSGNKDQILRKKALEDSIIETCETYLLPWLESAIVDGNDEETLSGILQIFQIILSRASDNKSFKFAEMLASSSWFSLSFGFMGLFPTDHVKSAVYLVISSIVDKVLGISYGETIRDACIYLPPDPAELLYLLGQCSSEDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGAKFPHEIPGSLMLTLLVHLYAFVRVISFRFGIPHSPEAEKTLFHAMAHKEWDLLLIRVHLIALKWLFQNEELMEPLSFHLLNFCKFFCEDRTVTLSRSTQLVDIQMIAELVYSGETCISSLLVSLLSQMIKESAEDEVLSVVNVITEILVTFPCTSDQFVSCGIVDALGSIYLSLCSSRIKSVCSLLIFNILHSASAMTFTCDDDAWLALTMKLLDCFNSSLAYTSNEQEWKILIGILCLILNHSANKVLIEPAKAIILNNCLALLMDGIVQEACAKGPSLFQHNQETTFGELLILMLLLIFFSLRSLQAILEASIDWQEFLQYSDDTESSSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLNRISDQRSCLNAELRCSAKYLKSMIAVTEGMVFDQDSRVAENCGACLTVILGWERFGSREKAVIRESKWSRLILEEFAVALTAPGLTSKSFSNQQKIAANIALSLLQLSQVPDWLTSLFSDSLISGIVANLSARNVTAEIVTLFSQLMAKNYLNQEHIAGLHNLFQVCRRQAYEGGGGSKAQPSEQKAEAARSADDVRALLFGMMLDQRAGSRATVEMEQQRLLREIDSFFFQESSLREQNSVK >KN538977.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538977.1:117848:118074:-1 gene:KN538977.1_FG002 transcript:KN538977.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTDMGRLASSRSEKSRVFSSANGK >KN538809.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538809.1:28936:31525:-1 gene:KN538809.1_FG009 transcript:KN538809.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKALRKFSGAFQALAQKVGPRSPPMTVKDFTKACSELQSLIHLMGDETSIWFADYGRKFGCNLFQVEQIQSRSRGAATLKELVDQDMDNNTVKAADSNTTILLRLMRALQVVKVLFEQLLKGRGVEFQSAATTAYMVVFGAYHKEPIQNMVKRAISSLPTRAWLMNKINEEEGDIFIEMRKYVDASAAVINYIEELFTSNGLEMNW >KN538977.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538977.1:33221:34784:1 gene:KN538977.1_FG003 transcript:KN538977.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFWRMSRGLSGPRVWPVVGSLPGLVRHAEDMHEWIAANLRRTRGTYQTCIFAVPGLARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFGDLLGDGIFNSDGETWDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRFKKWMGLGMETTLARSVQHVDRYLSAVIKARKLELAAGNGKGDASSATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCTVLAASRGADDPALWLAAPLNFEELDQLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGTKFEPHDSFRFVAFNAGPRICLGKDLAYLQMRNIAGSVLLRHRLAVRPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPIVDELRGAGEYAAAARATAACA >KN538809.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538809.1:93628:100571:1 gene:KN538809.1_FG010 transcript:KN538809.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEDLEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANRLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPSLVEELGEGSTATRALLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEMQTPNPMATPLASPGPGATPRIGMTPSRDGSSFGLTPKSTPFRDELRINEEVDMQDTAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEEEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASIEILRQTLIKGGESRSRSTFVPPTSLEQADELINEELLWLLEHDNAKYPLDEKTQKDKKKGSKRQANGTPVPEIEDFDEDELKEANSMLEEEVQYLRVAMGHESESLEDFVKAHDACQEDLMFFPNNNSYGLASVAGNSDKIAALQYEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTSATELECFQELQKQEQMAASYRIRNLTEEVNKQKALERTLQSRYGDLLTSYKRIQEQLEEHKRQLMIQEEMEAQKRAQEEEMEAHKRAQAEEEKEAAKAEEEARKMDRAADEETAGSKQVNEDQMDVDNSNADGDEFVGPIPPGPGTQGDDNVVVVEENSSSQGGDAATTDDGSCGMIDASKSGGQDHTDSKDELPTVGASLDDGSAAASSDRDVSTEVNATVPE >KN538977.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538977.1:9311:10504:-1 gene:KN538977.1_FG004 transcript:KN538977.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVYSITRAEIEEFWRRKEMEEEEQRLTAEKEAARIKAKTLMIEDYAIFEQMIREILEEGMKGDSARAERDITTNGAAVTKSTEGRIGIKDWWRKSTYAYLNEPAMTSTDENGRRKHAIKYIPQERCMNFFSSIPSQHNTTTFAIF >KN538809.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538809.1:129317:132627:1 gene:KN538809.1_FG011 transcript:KN538809.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEGIPTGRLSAMVIDEDKCHADSTSCMLSAELNFSVTVFTSPIKALDFLQNHAEGVDLVLADVHMEEMNGFDFLKVARELHKSIQVIMMSTEMTMYTMKRCVKLGAQFLVNKPLDAGTIKNLWQYVDLKVLRMEKIKDLLQGIGDESTCANETNSLAENPKNDTKKKYYLMWTPHLQKKFLHALQILGKDASPKNIKKIMGVDNIDCRQIAAHLQMQILSEDAEYDDVYAAMRRALQYGIVFDESKHSSDPSGDEDEQVVVGGDQDGCANEANDIDSSGDHHQVAAVVTKPCNANASQEIINKTTNSDGMQATKGSKAAVFRLVDYSESDSD >KN538977.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538977.1:92203:93196:1 gene:KN538977.1_FG005 transcript:KN538977.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEREVDRLGRRLLEHRREDAARLLDAAASRLTALRPRLLVSDADAIMYIAEVTTGSQHIAGTPVAKADQEKKEKLRIVKAKSEANIGAMPMVLKRVGESIAKIEKLEHFNVNIHPVFKTKR >KN538809.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538809.1:140106:142835:1 gene:KN538809.1_FG012 transcript:KN538809.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVMVIDEDRRHAYSTSSMLTQFNFCVTVYTSPIKALDFLEDHAQDFDLVLAEVHMEELNGFAFLTASRKIHKSIQVINLKVLRMEKIKGLLQDDSSKNTKVIKNKGTTDCTQIATHVHQMQVLNGDDVYTAMRRSLHLGTIFDESNYSNDPCSNEDKVGEDEIGGYGCANEANATHSSDDHNVAVPDLSCNIADDVSQEIMSKATTCVDHRKQDTTPTDGPAAMSADEANATFSTGNLQQVNVIVTCNGDGSQESIQKNTCDDQHAPTGSKPEIFRLVNYSDSESD >KN538977.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538977.1:132963:144009:1 gene:KN538977.1_FG006 transcript:KN538977.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQPGTNHFRSRLAAAVKTRKISNLEDLTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGYFKKLYKLDQKIRHFTTGNVSHESHVDKNLLYRVVVIDLLVYILPGYPAEAMQAIDLFGCAMLSLQIAKPLYYILQTIVCIPFMSGVLELGTTDPVKTRKISNLEDLTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGYFKKLYKLDQKIRHFTTGNVSHESHVDKNLLYRVVVIDLLVYILPGYPAEAMQAIDLFGCAMLSLQIAKPLYTIVCIPFMSGVLELGTTDPVSEDPNLVNRIVAYLKELQFPICLEVPSCTPSPDETEDDDTVFDGLIEEHQMVILQGEDELGDVVVAGCETNGANPDTITMETDEFYSLCEELDLDLGSYQLVIPTSARETVAAAAAAANDVDGVAYSRASCFVSWKRAKNPAEKVVAVPMTAGMESSQKLLKKAVGGGTAWTSNIDGRGSVAITTTTPGSSIKSHVMSERRRREKLNEMFLILKSLLPSVRKVDKASILAETITYLKVLEKRVKELESSSREPSRWCPTEIGQGKAP >KN538809.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538809.1:145195:148404:1 gene:KN538809.1_FG013 transcript:KN538809.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEGIPTGRLSAMVIDEDKCHADSTRSMICTQLNFCVTVFTSPIKALDFLQNKAEGVHLVLADVQMEEMNGFEFLKFARELHKSIQVISVGDKSTCANEMNSFPENQKDGTKRKYYLMWTPHLQKKFLHALEILGEDASPKQIKMIMDVDNIDRKQISTHLQKHRLQLKKKLRKASFTKGSNEDTSNPSAKNHLTCRTMTLQPHPYTNQPAETTMQIHSEDVEHDDVYDAMRRALQDGTAFDESKYSSDPFGNEDEDVVGDGCADKANAIDSSGDHYQVAVVLTTPHNVDYTQEIMNKVTTSDDVQVTRGGKATVSRLVDYSDSDSD >KN538977.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538977.1:129:911:1 gene:KN538977.1_FG007 transcript:KN538977.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQEILLEKKRVITVQGRDKAGRPIVRIIGKNFPGTVAESQKHVTHATRELGGGGHAEAALKGYVRRRVMPAIGDAEFVVVYMHSGVDRAENFPGVGAVRAAYESMPAAVRERLHAVYFLHPGLQSRLFFSTLGRFLFSSGLYGKLRYVSRLEYLWAHVRKGELDVPEVVRRHDDELEQRPLMDYGIEASERCGVFDAASMDTTASLHSLRCAS >KN538809.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538809.1:66834:77259:1 gene:KN538809.1_FG014 transcript:KN538809.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPSQTPTPPPPASPRRRPPPPATILSPSFSRNPLRPSAASASASAGPFPFPSSDCEEHPCVELFDWWLKRVEGDDRKVRIAGHTERNHKPHLFTSAPIVKRHKACMLEAEDSIIVLIDGPLDLSQMENNGYSLEVQKASTSVTALIHDMVFNIEIMHAANLLNNSRSSSGDDADSFEKGSYLSNKKPRFEEYTCDPDISAKEKTTAFNEGSTGSPAVCNKVGNQQIDLVVKSFSKERGHGNIDLSASLTSREETTRDKTSEDAGNQNEFIHSDAEYQEAGSHLVNSDSIYGMSTESGNQNEFIHADAEHQEVGSHVVNSNSNFDMSTDNMICEMGDGSANAGSAVSQGSKEVLATVLPERANLSPDSCLDNILPISTCNSNNCLENQGFPEIAQHMTLNEEVVPNEDISTSVHSDVESLGNHLCASREASYIPSYIHNAEFIFSMFLPLILVVLLMMHIYNRLDNFYLEKQPVGPAEVQRSECDILQGSPRSPKQHVGSAQEQRPEQSMSQGAARSPMIRTPIPDGAPSLRNQHLGSAQEQRSEHFMSKGATRSPMIRTPISYGHYSPLTRGKAKSSSVSTPESLKLRRTRSGRVVVPTLDPGCQRIVYDRDGLVSGVAGLEFESPPLKESVHDSSVLRIVCGRQLLRAAFMGNIVNTVNKFSGNNADGVPIRRCSYLPNSTCDENGSSVTGKSASAINGSIDGHGTLYKDVGNEGIHLATDSTSKPGCRGDTNHCTNKEREARNVIVHTDSRQNGDATNSDNAVLICNQTAGHMSYGLDGESNRSSGSLAAVVSEVLVSKAPLEKICRTNLEETGDLENTPNAHVSKRSRLHRVSPANSLFDREACDDFIDSAHNLDCSRTPNASVHDEMVPNEDKTPCTSLDVRGCEGTRRASLKRRVNKKRTKREASYPTTPLNGNTGALVVIEPPLTRTKAKGKALSLATPESLKRSTRSGEVFGL >KN538977.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538977.1:94100:100326:-1 gene:KN538977.1_FG008 transcript:KN538977.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGKAAQSPPNLGALLANPRGGCHWCAVTEFLGQVPLLQCLPSSSIRRIADAVLVKRYEPGGYVAREGDPVDGLYIILDGQAEVSAPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWNAEEAPENHSLLEQILHLEPLEALAAASKTVDCLKAVHSLHAIFLIAGDKNLPIIYQVHRARDGTSFATRKVEAKQKGLVIFTLIASFQKDELGFEHQAAIMPDVPPPEELLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSASQHKPRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQPSQTPRPKL >KN538809.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538809.1:40992:43898:-1 gene:KN538809.1_FG015 transcript:KN538809.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGKAAVTETAPTIIGCFAKELDLLVNAGHNVEDMADALSQLQSSRDDLQNATSNSHQQTPPMQVSNWFERVQEVEDKAEKIQKDYSDRCRCMGSFSPNIFSSYAISRRAVQRHQKVNELLQEYNTVKNLTSEYCPPASCIPKSVPTPIIGKASYVTQVLAWIRDEDTRIISICGMAGVGKSELLRDINNRFLPGAEMGQAFKLVIWVDNASSSDVKSVQDEIARRLKLDDLGGWEIDAEAPERRATPILSFLKDKSFLVLLDNLERPVSLADIGIPNPKFRRPSSLRQKVVLTTRFKGVCGRMRSCSRIDVGCLDRQDSWNLFLAAAAAGGEQPVIKDKEIEGFAQQIVRECGGLPIALSRIGGAMAMKRHPDDWRRMAAFLESSQIHRIPGMERDNTVLLHDLKKSYDHGLSTPTDRECFLCCALWPRGRSINKADLIDCWIGLGLIREPSLDDAVQKGFSMISCMLEENLLMPGCNARDEVKLQEIVRDMALWIACDCGSRDNKWLVQAGVNLGAQTKLIELCQRAGAAERVSLMCNAIRELPRPRFLSSTCPVLTVLMLQHNTAFTHIPAAFLRSVPALAYLDLSHTAIEQLPEDIGTLVNLQYLNASFTPLKMLPVGLRNLGRLRHLFLRHTNHLSAIPKGVLRCLTSLQAIDMYPSRYMDWTDDGDAASTEGEGNEGIASFEQMGSLMSTVFVQFLGITVNAIGTVQRLGRLINVCTRRLLLTRFDSPQHVTLCPSQFKAAMSSFSMLETLMELGIAECPTLEQLVLDGEEDESNPQRPRNQSWCLPKLEALELRGLAKLEAVIWRSMSISFFLPALQRVKIENCGGLRSVGWAMRLPCLQHLELRGCTSMRSVISDEDLEPPQDGGEGQLLHTFPNLVTLILVNLTELRSFCSRPQVSLPWLEVIEVGCCVNLRRLHVMPQGRLREIRGTMEWWQGLEWDDDTVQASLHPYFINKSVDE >KN538977.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538977.1:71769:73229:-1 gene:KN538977.1_FG009 transcript:KN538977.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAAARNREEQSVLNVNLLLPLFAATVFGGCHRLEPLPAEKRSMWRREMDCLLSVCDYIVELFPSKEIMPDGTVREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFCHRDGDKWWLPVPCVTKPGLTESARRDLRQKHDCASQIHKAAMAINNGVLAEIRIPELYKQTLPKCGRASVGDLIYRHMSFPGKFSPEYLLDRLEISSEHDALEAADRVEAAMHVWRRKASQGHSRSPWSAVKELMESDKNVMLASRAGDVLLCLKQRFPALSQTTLDASKIQYNKDVGQAILEGYSRVLESLAYNIVTCIDDVLFADESARKI >KN538809.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538809.1:105516:108294:-1 gene:KN538809.1_FG016 transcript:KN538809.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVVIESGQCEVWILYIILASQFHAICVFSVTTFLYEYRGEFLLFKYADMMKFSKNMEQNSKSTNMTYDRRSIQEWFSAGNQICPQTQQVLSHTILIPNHLVRTMISQWCTENGLTLPEIENQEQDHVTNSEEKTFDEIFVKITSSANSGGRKQAIKDLRLLTKRNSEFRAVLGQRPDSIAQMILARSTPGLQNDPQVLEDMVTIILNFSIHDSNKKIIGDDSEAIQFLIWALKSGDMGSRSNSAAAIFTLSALDSNKEKIGKLGAMDPLIDLLEHGSIIAKKDAASAIFSLCLLHENRSIAARSGIVDVAMRAIDDQSLVEESLAILALLSRNQEMVEIITEFNGTASMLRSIRESECKRSKENAMVVLFAICTYNRTKLKEVEADESVNGSLALLAQTGTQRARRKASGILEKMKRTMHNRHCSC >KN538809.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538809.1:45724:46172:-1 gene:KN538809.1_FG017 transcript:KN538809.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEPSVPKVPVNHGGGGGFGAARGYSGDQACREVEIAIDMKEAAKGVEAGGSVKRRCWTGRDRGTVAVTGVLPVPVVGVGGWGEGSGRVGNGGKSAVGGRGGDRRGIAGGDGGTGGVEERNGRGDVGMRED >KN538977.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538977.1:84780:87158:-1 gene:KN538977.1_FG010 transcript:KN538977.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNHGRCSLPRLAVGAAVLLLAVSLAATPAASHAGHDDTGLHSNYLVIVRKPYAYDTNLYKNVSSWHASLVASVCDMAKEALEKDPSSVSRLIYSYRNVVNGFAARLTPEEAGDFSDDPVSLGGYSAAMHGVLVSAAGGNTGPGPSTVVNEAPWVITVGAGTTDRRFVATVKLGSGVSLDGESLSEPKDFGAEMRPLVHDVGDGMCTTESVLKAMNVTGKIIICDAGGDVSVAKAKLVLRSGAAGMIVIAPQVYGSVIVPRPHVLPTVQMPFVIGQKIKAYIRSTPSPTANFIFKGTVFKAKSPVAAPFSSRGPNRRSRGILKPDIIGPGVNILAGVPKIEDLALGAEEVMPKFDIKSGTSMAAPHISGVAALIKNAHPTWSPAAIKSAMMTTADYTDNLRKPITDVDGTPATYYAIGAGYVNARKAIDPGLVYNLSSLDYIPYLCGLGYKDQKVNSIIHPGPAVECAKMPKVDQKDLNYPSITAVLDMEPYEVSINRSATNVGAATSTYAVEVDVPATLAVEVNPAKLEFRALNEVLNYTVTVKTASGKAPASTIEGQLKWVSGKKYVVRSPILVCAGTGGKSAASVGAAPA >KN538977.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538977.1:149889:151399:1 gene:KN538977.1_FG011 transcript:KN538977.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQVRFPRLSRRIRSWPSSAVQRCSPPSSSHSPRRSLPLSARSSSGAAGFGTRRTHADCFLGGWGEQEQQLGHQSHNGGKAWAMAKYGDDGSKAQTMARKERKKGVKARMLDYSVLMFKHHHPGVQMGNEQRCQQEIEDTKHGLESGSITYDPAKLKFSDDIKDSW >KN538977.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538977.1:29895:31131:-1 gene:KN538977.1_FG012 transcript:KN538977.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEAKKTGLEGTGLSLPGSSHGNLRSAGSDQQLKQMLDSLKSSKSPAVINYGASWCRVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGEKVDEMLGTGEERLHDRLWLHS >KN538977.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538977.1:14335:16653:1 gene:KN538977.1_FG013 transcript:KN538977.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVLQRPMVSVRSLYQLDRKLGSGQFGTTYLCTERATGNRYACKSVSKRKLVRRTDVDDVRREITILQHLSGQPNIAEFRGAYEDNDHVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVIHRDLKPENFLLASADDDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLQRNYGKEADVWSAGVILYILLCGNPPFWAETEKGIFDAILVNQVDFSTSPWPSISESAKDLIRQMLHRDPQKRITASQALGSTPELCFAILICGYESNSLNGCLHAAEHRWLKEGGASDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDRSGTITVEELKVGLTKLGSRISEAEVQKLMEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >KN538977.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538977.1:47477:48965:-1 gene:KN538977.1_FG014 transcript:KN538977.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITVLTVMGVNNSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQKGLYETTGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRGHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >KN538977.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538977.1:67301:71055:1 gene:KN538977.1_FG015 transcript:KN538977.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSYKQRCKSEKVHMGCMSGLIHMFDFRRSPKLISDGTIRRSSVRSDLKGSEDFHGIIFSDEDKDYGVKTIHASRPSIKALMEEEMASGTQILKETQRNIFGEGSDVDLDLATSLMELYRNHNGSRDIITSEVSDHSSSLIDKEHNTDASTHPKQISCSIEIALEAVAEAVISHQSANGKYTSSSYEARPNEFLDALQLLSANEEFFLTLLKDPSSRMLQCLQNLYTALGSPMLELAEDDKQTKSKVTINSLEQSEVSKYSVQKTHNSFLKEDKLVMRRPPKLNDSPRGVSRIVILKPSPGRSQTSLISSSAMSSPVSTRADLQGQEESDKYARHFSLRELKRRLRLAINNNRKDVMSSAFQKDDSTQQFILESMSTSMDSSECEKAEKPSIVDKKTIPEDSGSGMGNDATHCASSFFYEKAKKHLIERLDNQKNDTSQIVHKSEPFGKLLSYSENDTFSQTDCPQEDVQLSEDSTASSALLTTEQEDISSNSDPPMKFGELIPSDTITLANTQLDELKTDHASHPVKEGTISQELTSEGIDSMNDATDTPQVSIQIETSTESLEQINTDQCFAEESQTMNALPEVSLHTPEKVNEQDNHSPSAVVGLTKPSILTFSCSPENADDKEERLSPQSVLDSFLGDGISPSHKTRTQDELSMPSTRILFKEDDTPSGTPTLQNTPQEEAILDDKQARLSFIKVVLEASDFLSEESSEIWYVDGSLLDTSVLAEVGTLYCLTDDAVFLFDCVEEALCKIRDNFFGCDPWVAYLKHNVRPAPVGTGLIQEVDNCIDSLVSDEVPSTLDQVVLKDLESGSWMDLRVDTEGVAIEVWDTLLDDLLEEMVFDLWL >KN538977.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538977.1:79873:82155:1 gene:KN538977.1_FG016 transcript:KN538977.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKLSLLSFLPFVFVLAIAVEATGDELGTFIVHVQPQESHVVATADDRKEWYKTFLPEDGRLVHAYHHVASGFAARLTRQELDAVSAKPGFGLGVAAGIAPHAHVAVYKVCPNESCAISDILAGVDAAIADGCDVISISIGSPSVPFHENPVAVGTFGAMEKGVFVSMAAGNAGPNVSSVINDAPWMLTVAASTMDRSIRTTVRLGNGLYFDGESLYQPNDSPNTFYPLVYAGASGKPFAEFCGNGSLDGFDVRGKIVVCEFGGGPNITRIIKGAVVQSAGGAGMILPNHFPEGYTTLAEAHVLPASHVDYVAGLAIKAYINSTANPVAQILPRGTVLGTTPAPAMAFFSSRGPSVQNPGILKPDITGPGVNVLAAWPFQVGPSSAQVFPGPTFNIISGTSMSTPHLSGVAAFIKSRHPHWSPAAIKSAIMTTADITDRSGNPILDKQRAPANFFATGAGHVNPEKAADPGLVYDIAPCDYVGYLCGLYKSQEVSVIARRPVNCSAVAAIPEHQLNYPSISVTFPRAWNSSEPVLVRRTAKNVGEVPSEYYAAVDMLDTTVTVRVFPRTLRFTGVNQEKDFTVVVWPGQGGARVVQGAVRWVSETHTVRSPVSVTFA >KN538977.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538977.1:113130:116796:1 gene:KN538977.1_FG017 transcript:KN538977.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPLPSGKNFRSQLAAAARNINWTYAIFWSISTSRPGALTWKDGFYNGEIKTRKITNSMNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALSPEDLGDTEWYYVVCMTYAFRPGQGLPGKSFASNEFVWLSNAQSADRKLFHRALIAKNLYYILLHFHAILAISSLDYSFLFLIMYDDDGAADLPTSQSAPIKTIVCVPFIMHGVLELGTTDPISEDPALVDRIAASFWDTPPRAAFSSEARDADIVVFEDLDHGNAAVEETTTTTVPGEPHAVAGGEVAECEPNANNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDESCRPSPSSFVAWKRTAGSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDGSAAAMTTQGSSIKNHVMSERRRREKLNEMFLILKSVVPSIHKVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEMVASDGDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKVVVSAAKSSQQKCSIYLSVNLCIYVCLEF >KN538977.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538977.1:78316:78979:-1 gene:KN538977.1_FG018 transcript:KN538977.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLDCVERAVFRSKAKWGGRTVYRIVRLSWLSRALTFLIFETTVYLALFGKPCSNLNGDEELRERKKKARYSFCFSAQNMAWSL >KN538977.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538977.1:39831:47272:1 gene:KN538977.1_FG019 transcript:KN538977.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKDAKKTTKENLIDTFHRLISPNDQKGSTKSKRSCRRGNDSSVEKSCRSTTVSRPTSPSKEVSRCQSFSADRPHAHPLPIPGVRPPVTRTVSDITESKPILEKRGKPPLLLPLPKPNRPPRRHGNSEVVSEIVVASPSSNCSDSDDHGDSQLQSPVGNDAENATLVTLKNKSSNARKECPGPITAKNMKEIHRPANQVHGSHILSTSPRGVAADSYQSNLQNPRPLVLDSAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRSSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTNRHDDGKKKQTHKLPLPPLSISHSSFHPNNSTPTSPISVPRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEQAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEQGKDFIRKCLQRDPSQRPTAMELLQHPFVQKAVSLEKSVLSEPLEHLAVISCRPSAKFSDGCAYKKYFLIGIRGSDNLPEKRCKIFFKTQQSTYSNEGFAIPSRSPDDLFANRPTDPDLGQFIRVHQVSQGLQERVVSEADILSPQFGKRLGNVFDLRDKLSPSERFTHHAFVDHVKLNPSLDLTSGSPHLGLKHGHGELVIREAHMHRGKAVSHCNLWWIGDLVLFTEPFAGIGAVPGRQRSSQRNLANNAIPPLQVPAKSVQSVCGHTGGFIVPSHRAVAANMNQNVNKGEGFVDICVGNCRNMAAELNSNSRRIA >KN538977.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538977.1:17135:20661:-1 gene:KN538977.1_FG020 transcript:KN538977.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPLAAAPGYEVTLLGAESGPTEEVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVIDFNNTDTAWMKYDAMSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGLKFHGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >KN538977.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538977.1:163564:169184:1 gene:KN538977.1_FG021 transcript:KN538977.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEPLQPGTNHFKSLLAAAVRSISWSYAIFWSISSSCPGVLTWNDGFYNGVVKTRKISNSADLTAGQLVVQRSEQLRELYYSLLSGECDHRARRPIAALSPEDLADTEWYYVVCMTYSFQPGQGLPGKSYASNASVWLRNAQSADSKTFLRSLLAKTIVCIPFMSGVLEVGTTDPVLEDPNLVKRVIAYFQELQFPICLEVLKSTSPSPNETEDSDIVSEGLITHNAIEEGQMVVADHECVSNANRDPITMEIDELYSIYEDLDLDLDMDLDTVRFLEDNGWPVNPSSFQLRSAKSNEMAVPVVTGIESQKLLKKVVDCGARMSTGRGSRAALTQEIGIKNHVISERRRREKLNEMFLILKSIVPSIHKVDKASILEETIAYLKVLEKRVKELESSSEPEPSHQRATETGQQRRCEITGKELVSEIGVSGGGDAGREHHHVNVTVTDKVVLLEVQCRWKELVMTRVFDAIKSLCLDVLSVQASAPDGLLGLKMQAKFACSGSVVAPGMISEALQKAIGS >KN538977.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538977.1:52438:54196:1 gene:KN538977.1_FG022 transcript:KN538977.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVPVIVVHYRSSVGMDQCVSAFDAWQRRENRERKLRNQQYGINNLVLPYWEKPADSSRFRLPNLRYDVLTRPEVSIHFADVEEAHTADGDGKPVVESLKLSCHSEEQKTIGLMRGGMRRFRSTIVVAEEDDQGAGDGFPEDDLGAGDGFTLDDLEAAEQLMQLSCSGGWQEEQAAADDDDGDWWGRKRKRPRYRSLSEF >KN538977.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538977.1:102400:103002:1 gene:KN538977.1_FG023 transcript:KN538977.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAIAARMATGEAKVVEHWQEVTELIRVEKAKKYLEQHYTCDAPPPPPDNDGGGEDADEEGSETLRPVALPPAPGPELRKPKYIARVRSGFEWNKYNRAHYDHDHPPPKTVKGYKFVLHYPDLAGGKPSQYTVDEDGSNSGGEGETCVIRFHAGWPYEDVAFRIVNKEWEYSRNAGFRCTFDSGVLHLNFQFKRFFYRR >KN538977.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538977.1:22844:29040:1 gene:KN538977.1_FG024 transcript:KN538977.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MTELLTRYGDVEEVWLDGAKGEGKDMDYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYSCWSPFNKSMVTIGHIIPEYSRCGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATILLDIYYKSVGRNCLLILNVPPNSSGLISTEDMQVLQEFTEIRQTIFSQNFAANATVTASTVRGGLGNQQFAPSNVLQGSIYSYWAPEEGQNSWEMLFDLGQSASFNVIQLQEPIQMGQRVIKFRVEILVDELWQTIVEGTTIGYKRLLQFPVVEGQFLKLSIDVARADPLISFFGVFMDSFSVTYSLENHEKPSVVNSSEPPPPASASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKESFATLIPPHCDHLLNAAYSFLVSHGHINFGVAPAIKERIPKEPTRPNTVIVVGAGLAGLAAARQLVAFGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEGTFNKLLDKSSLLRASMGDVAMDVSLGAALETLRQTDGDLSTDQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGVKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLKILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSTNTQACAALLMDLFRQPDLEFGSFSVIYGGKASDPKSPAILKVELGGPRKKGATEGGKADQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNSSRTKTRPSKLKIGIPKSKS >AMDW01031048.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031048.1:108:329:-1 gene:AMDW01031048.1_FG001 transcript:AMDW01031048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSDSVEGIVLSFVNE >AMDW01037580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037580.1:186:698:-1 gene:AMDW01037580.1_FG001 transcript:AMDW01037580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAGAPSAWD >AMDW01035486.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035486.1:215:556:-1 gene:AMDW01035486.1_FG001 transcript:AMDW01035486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKEEEQKGEAVAQVFAALETLEEAMKGKVFFGGDSAGYVDVALGGFLGWIKAAEALAGVAFLDGARTPLLAAWAARFSALDAAKEAIPSVERLREFHVAMHAAAATVAGN >KN542360.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542360.1:938:1465:1 gene:KN542360.1_FG001 transcript:KN542360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRINIDCKEFEVTDKAVFIEFVNRLLELRDPVAPISKFSLWYSMTGSGCDTVKKDTGRCISHALQKQAWAVEIYIDMFYSYLKPLVLDHSVFTSTCLQIIWFSNVILDDGFFKQLEAGCPALEDLFLDECFIGDVEIASQTLKVLTIKRADFSTDPKTSISTPSVTSLTLSDPI >KN542217.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542217.1:5191:6961:1 gene:KN542217.1_FG001 transcript:KN542217.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCFGASNILLCAEDSSSVLGLGGFGGGDGEDEVVAAELGCGGGGGFDFFGFGGGAVFPVDSDEFVALLVEKEMDHQPQRGYLEKLELGGLECSWRKDAIDWICKVHSYYNFGPLSLYLAVNYLDRFLSSFNLPHDESWMQQLLSVSCLSLATKMEETVVPLPMDLQVFDAEYMFEARHIKRMELIVMKTLKWRLQAVTPFSFIGYFLDKFNEGKPPSYTLASWCSDLTVGTLKDSRFLSFRPSEIAAAVVLAVLAENQFLVCSSALAESEIPVNKEMVMRCYELMVEKALVKKMRNSNASSSVPHSPITVLDAACFSFRSDDTTLGSSQSNSNNKDYNCQDSAPASKRRRLNTTPI >KN542360.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542360.1:8135:12462:-1 gene:KN542360.1_FG002 transcript:KN542360.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQPLCAKISDFLKLEVMDPKHRIAALTNTAAAFSERVTTTTSTSLEANLYGRQEEFYAATKEITGGRDGLTVLPILGPGGIGKTTFTQHLYHAVWIKKHFHVRIWVHVSLNFDVLRLTREIFNSLVAGEGRLRNIEEPHNLEQLHRQLEQMLQSKRFLLILDDMWSCDSEYKWDKFLAPFRKTMVKGSTVIVTTRSDKIANMVKSGTDLLICLDSLNPEAFWAFFLACAFGDKKPADHKDLLDLGREIVKKLRSSPLAAKTVGRLLKKDLTRRHWSRVLNSKEWEHEGNVDDIMPALKLSYDCLPFHLQKCFTFCALFPDDYQYQDSELTHLWSALGVITCSGQDGRIQDIGLRYINELVSNGIFQKVDGVKFSHEKGREVKHRYYVMHGLLHQLALIISSRECLSIDCSNPTLVYIPPTIRHLSITIRSIGDTLGVDHYQNFKENMRNLKEQISIADLHTLMFIGECDERFSEDFKEILKEIKHVRVLRLFQTALELPRKLIHLRYLRIQASKKPMNTQLQLNRSVTQEHDQLTMGETQTPATNDPPASLPSSLPEYYHLRFLDLQDWMGMPEVPEGMHISRLIHLHHFLATKKLQSSVAKVGKLKLLQELAMFQVKRTDRDGFELQQLGELRDLGGALTISNLHKVKTTAEAEKAKLKLKRNLVRLKLVWDEAGSEQTKEEANSIEGLQPPANLRELCIKNHKGNTCPSWFHSTNSFKALEVLHLHGVSWNTLPPFGQIPYLRKLKLENIAIENFKARAESLENLRSIEFIGMLSMTTWESTNLFTQLEQLKVSNCPVLKELPLSQNLQLSQTPTQQDFHPVQQILAVMFQRYFQAFPVQQKCSMPNLHELVVQDCPQLSLPLMPYTPMLQLVEVATRQYILLYNKYTLEIRGVDNNLCDLGNLDNVLAFHDMKWLVRVTIKSVREMDNDGNDVGVLQFPSKFTSTLSRLGIFSRNDITLNVNREVLGKLKSLQWLQLGGCDLSCAAMQAVNDDHPLENHLKVLRVYGYGMPALAQDWLMTRMARTVVAGSFQLEELDIGSISGVLDVQICNHHFSTSLRRLTFRNDKLLQSFTEDQETALRKFTSLQELVFYGCDRLQSLPSSLRTLPSLKRLEVSYRQLQGEKVITRQDSRCEALNMTQAHSVQIFVLAKGICQSQRDNIECTFNKKNCLEACNGSTYMG >AMDW01035599.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035599.1:10:489:1 gene:AMDW01035599.1_FG001 transcript:AMDW01035599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVENESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMAELVSDEAEELGMEFQFHGVVGQLEDLDFSNLRNVLEIKSGEALV >KN541283.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541283.1:7496:8640:-1 gene:KN541283.1_FG001 transcript:KN541283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATADSNKLPLSASALVSRTESGRHLLKIDGYSRIKDALTTGNYLCSVDFYVGGVGWYFRYYPNGGNSGCSDCISVHLVSHKSVRAQFTLSLLDQDGEPVPSYTYNYEVQNITSYNGVGPWRFIQKAALERSGEGADVALEVGGKTFLAHRSVLGARSPVLRAKLFGAGPEAQDFEALLHFIYTDSLPEIKTGDMVAMLPDLVAAANRYKMERLRLVCEEMLCKYVSVTTVAAMLAFAGEHHCHGLKKKCLRLLDDPANLRNIVETEGLEHLTKSYPSVLKDLIAKFATRP >AMDW01032565.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032565.1:13:436:-1 gene:AMDW01032565.1_FG001 transcript:AMDW01032565.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IALLQDDKKALERHLKLKEVALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEESILSTGDVANAVRFYQNQAAKLN >KN540907.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540907.1:24884:25165:1 gene:KN540907.1_FG001 transcript:KN540907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLLLSRAGALTANHATVAVAVASGKRTRHAEKLSEMDSVPYIRKEGYVLQGGKTGYRPLGKGAACNPGCPGRGDRYTGRGCKSRYQCRGM >KN540907.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540907.1:38635:38943:1 gene:KN540907.1_FG002 transcript:KN540907.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRLLISSIVVMTFLLLLSGAGALTADHATVAVAVAGGKRTCHAEELSEIDSVPYPQRRVLQGGNPVYRPLGRGAACNPICPGRGDRYTGRGCKSRYQCRG >KN540907.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540907.1:34326:34625:1 gene:KN540907.1_FG003 transcript:KN540907.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGFTATVCSGLRAAKERRGMDQRSPKDASYARNCAEAHPRARNGRRWLAAGLEEERENLLVGGSSARLPWQAFSWNLGDHHGAAARSEMHWIIGNG >AMDW01075078.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075078.1:1051:1562:1 gene:AMDW01075078.1_FG001 transcript:AMDW01075078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPDGIMGETIAKDVTQLIGNTPLVYLNRVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGK >KN545063.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545063.1:14:2395:1 gene:KN545063.1_FG001 transcript:KN545063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTWFLQGLAFWKKYEFQQFVTDPQFSCPLRLNTKHAPDKNQQQQNCSARHGFGHAFRNSWKRKDTDNKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQPLIAAAREHESSAAIAGSVEVTKLLASDDGTLARDSVISVVDGKVKQDIALRLQNVEHGELEIELECVPLSQ >KN542103.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542103.1:9042:10457:-1 gene:KN542103.1_FG001 transcript:KN542103.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIFSSLVGDMASRMISALTGQFRKQSMESKLSTIHHLVTKIQSVIEDAEGRQICNNSLLNWLSELNDSAYQGWYVLDMLRYSAADGEEEVEDDGDNRNQSFAMSRFNHAKRLRLAVNTSKAILFRSSDTCELNCVLANLHKISEDLKEFILLLRSQPPMVDRPTTTSLYIDNRIFGRHVVREKIINFLLQEHNGPFEEALSILPIIGHTGVGKNTLVQLVCNDPRVRNYFPVILYYDFYFMNPTEDSISSTCYHPPRVLTEKFCGKRFLIVFKNIDFRHIQQLKALFPSLRSGKPGSKIIVTSNNRHVSSIGTAKPIILQVLPEAEFWFFFKAHAFGSTDLEENHKLMTIGKAIAQRLKGSFFGAKIVGGMLRANPSPKFWWKVMNSDVWKLPINGNGFAYIQNVTSHLLLPHVKKHYVAVTTALASNCITHPDVHSLCSAGQFVNSPGKHIMGDDGRVHNFDVLLCR >KN543470.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543470.1:5320:7200:1 gene:KN543470.1_FG001 transcript:KN543470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGSPEDLVVTNSTFLGSKKAQQPINGLKDASTLSLEKEQSKLEKLKPSVKNNGAEIKKPQLTKSNSSLSKQALNSIIDKKEVVSSKTKPASARSTPSSPTSVYSLPASFERFSNDIKQRTKSKGADKSSPSRLSLLEKAASVLKATTAGRKSSAGNLLSNTMSSIESGPKALRRSWEGNAEAKSKGNSDSKAAKTEKKSENRSTSTPRRKPLVEEKPLHKDDSKIQTPPRKSSASAPSDDSEKIVNKPSSPLRRTSGVSSNTNITNLVKIASNSKKLTDASASWTSLTPSLAKLGKELLKYRDAAQMAAVEAMQEASAAENLLRCL >AMDW01038210.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038210.1:471:731:-1 gene:AMDW01038210.1_FG001 transcript:AMDW01038210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KAPVPDEEAPAPKDRAPVPDEEAPAPNNRAPVPDEEAPNPKDTVLGPDEDAPVPKDVIPEPVEAVPTPKATDPDPDEEAPVVVVTV >AMDW01034059.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034059.1:149:415:-1 gene:AMDW01034059.1_FG001 transcript:AMDW01034059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRK >AMDW01031397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031397.1:87:329:1 gene:AMDW01031397.1_FG001 transcript:AMDW01031397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IISLQHLLLLISNTLLNSTGPTSGQCRWAAGVEEIREASLVLSLTVDGGAPMSRVGFGGGMVPEVEARRKSGQPKVETDW >AMDW01038306.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038306.1:292:600:-1 gene:AMDW01038306.1_FG001 transcript:AMDW01038306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WIFGFVAFFFPRASPSVRKGVLPWHILFGLFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALIVVLFGASVVVAAVSPARVEEPHEYAPIPES >KN538825.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538825.1:222141:228201:-1 gene:KN538825.1_FG017 transcript:KN538825.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIVMCFFLLCFIIIDDIWDKKSWELIRCVLQNSNCGSRVVTTTRIFEVAAYVSDVYKMKPLSHEDSKKLLYTRIVGDEDKCLDSDPSADACEKILKKCCGVPLAIITMASLLANKPMEDWPVVYKSIGLGHGGNDDVDNTRKILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNMLIWKWITEGFVHEEKAAGIGLFELGEGYFNELINRSLILPAEAEDKGYIDGCHVHDMVLDLVRLLSAEENFVTVLDGSEELVLPSRNSRRLALQCKSSEPNVECPLLANKGVEQLRSFVVTECCDISMASTSSHVIRVLALENCLILDHCSKHSLQHVWSLLHLRYLGLQYIDSIELPEDVGHLKFLQVLDLLGTQIKELPESLGLLTKLVCLRANRIYKVSAGLIGELTSLEEIWIEAENDDRIQFMKALGKLSKLRVLRIRLSTYEPDERPNRDLLDCLHNLHSIQTVDIYASSGKKSVMWEEGHASPQCLRHLCLQTLKFCRFSMWLNSSFLPNLCYLELQVMALKEQDMETLGRLPELNYLKLDSDYTATISTGGTSGDVYFQKLRIFKAPRSLVWFDLHNIICNEKAIMPSLESLKFTVHVRFLKDANLLCFDKQLGFGNLGRTSLQRVEADIYCAGAHTKEVEEAEVALVQAAAVHPNHPTLKIVRIFEDRLLSPYKEPEVVHRDANVRKTKDKGRDFGFSWLYWNPYIQKFSVSINYENASLEEVEEAEAAARYAVDVHLNRQH >KN538825.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538825.1:196783:208695:-1 gene:KN538825.1_FG018 transcript:KN538825.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREQLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQQLLPVVINSSKDRVPNIKFNVAKVLQALIPILDQSVVEKNVKPCLVELSEDPDVDVRYYANQALQACDQIMMDSVERRDSADREAPPPSSRKRKGHEGGGNESDPEGGKRARASVEPPPPKEERPRRERRRFEDADANGKHGDERGKGDKDNSTHGAVNGDSRSGLVPNAGAQQPLNAAPVVVPSSVPMPSKVSSITTTNENEGVSIRSDEVTGKSSTDGSTSSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPVLNNKLGVTSTDTQIPKKETQPVSSSGASEMAAGAALTEKMAATAGAVGIPGLANIPNLDAVKRAQELAAKMGFRQDPQFAPLINLFPGTSSELTVPQKPAKAPVLRLDAQGREIDEHGNVINMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINQNKLLRPRRPGFQFIEEGKLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGRPPKQKQKEEIPEIEPWDAKILLSTTYDDFSMEKVNMEKITIYVEHPEPLEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMELEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFEDPNTLETIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAAVIADGISVVVVEGGKKSIKRFSVHNCRSEAAAKKVFADASVPHYWDLAVNFSEDSS >KN538825.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538825.1:164167:169851:-1 gene:KN538825.1_FG019 transcript:KN538825.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDLIAEKKKHHSIMRLLNPVNMEILPNQWKELICDLSKKIMQGIALALGGPVDAFEGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKVVYGEHLIQKVLNNFIK >KN538825.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538825.1:217508:221086:1 gene:KN538825.1_FG022 transcript:KN538825.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase catalytic chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/Swiss-Prot;Acc:Q9SJ89] MALHRTCLLVAIVLGGEVMFIVLVIVSAAPPQVFNLGSLFEEVLRIITSKHTISIVASQPSSIRKAGAAGADASDKSLEIMRKFSEQYARRSNTFFCSEKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQACSFFTMFSSQIPSSSPLSLHERHFYKFLKAITLEEIKDATSKI >KN538825.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538825.1:177977:180205:-1 gene:KN538825.1_FG024 transcript:KN538825.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTWVKLEQKGDGPGARSSHAITLVGGTAYAFGGEFTPRVPVDNAMYAFDLKSQCWSVLDASGDVPPPRVGVAMAAVGATVYMFGGRDQEHKELNELYSFDTATNKWTLLSSTRGVRVLPL >AMDW01038981.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038981.1:9:690:-1 gene:AMDW01038981.1_FG001 transcript:AMDW01038981.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSSLETLDASHTRIAQLPPEVCTLRSLEELDLRSTRIQQLPERIDDLVALRHLRAGDGAASTRIPKGIDWGMLRDTLETLAAVDLRECSADVVRKLSLLRCLEVLSVSLSLRQCTDKAYQDNLSFLVQRLKCLRSLTIRCELGCSMEFLDFSPEDAPQNLRHVAMHARFLTVPRWIAGLNHLSSLHIRVCKLAPEGVKILGHLHRLECLELGLDFLPREAIVIQ >KN539581.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539581.1:1169:1372:-1 gene:KN539581.1_FG001 transcript:KN539581.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARMMSSFLMMFWHLEIAKARVDAANAELEAIPDMPGNWMDDFQVVCYGAMRFNESVSVLREYMA >KN539581.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539581.1:38326:38505:1 gene:KN539581.1_FG002 transcript:KN539581.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLERLHAAESEEAALSAAVDGFAGLVEQLAGRCQGETGGGEGEARRHPEAARRSPER >KN539581.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539581.1:26795:28453:1 gene:KN539581.1_FG003 transcript:KN539581.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFTKSALLGMSFLASSTVGGCFPLMEEELAVYGWGPSDNAITYYGVEATMDVYGFNLEHGQQTGGFISIYNKDEASAINNVIAGWNIEPESYNDSQTHFSTWFTQGSNACPDMRCPGFESVFSSEIVPGMVINPVSTTSSDKQYITVRVSKAATPIKSILLSDIYQIATVSLILNMMVSFMGDLAIFASCL >KN539581.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539581.1:7257:7409:1 gene:KN539581.1_FG004 transcript:KN539581.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEEEAKLEAMGPELPGLAEDVVALFRAQKRFLDCLRVLRQFVASTR >KN539581.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539581.1:54361:59036:-1 gene:KN539581.1_FG005 transcript:KN539581.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSGLQEFNLENEIMMHLGVELVQLDPLSGCMLIALEHRHSRKTMLDRAYARLVLHWANSMCVQEVILVLGVNDSRSGSNLTNYILCCTVEVLITDPRSVVHVLYLFQLDIIEIFKGLMCNLGGIGGIRKMEMANCCEEFIALLCLRNRLIAFYLESIDSRELHIALHIGFGGVGKNEMFFFQLHKLCLRKEKHGKSDNRVITFAFVNLAED >KN538725.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538725.1:100950:103869:1 gene:KN538725.1_FG001 transcript:KN538725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49970) UniProtKB/Swiss-Prot;Acc:Q9XJ35] MAVSSLSPLFTSQTGSSIHNKILLGFTTWLGLLGISIFMQTTIKIMMDNYKNVPQFLYGLSSAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGVHEGPAGYSMGMGMGTMSMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINFRFSKRSKSKVYTINLSMAYGQAAMLLSLGVKAKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLELLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRPAAGAGRWSTPSVPR >KN538725.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538725.1:167469:168568:-1 gene:KN538725.1_FG002 transcript:KN538725.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRVVRRRHDGEEQDGVAVLDDVRERPDDGGFGDVPGGGPHGHHNHRLRSPALVRTGDHRHVRELEQPPSASKAFRFQQQVSGLVEWGQGGGPSESLGLRIERKIATFIWVLRAWRRDAWRRGEEGQNGGRPPPVSAATAISGFRAIPSISIHGD >KN538725.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538725.1:48302:49497:1 gene:KN538725.1_FG003 transcript:KN538725.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKPPPPHAPPPRPPPPPPPVEGKPKPPPHAPPPPPEAKKSFMRRMFPFLLAANVFVGAYMLMRTYQKDSGKKDTESDPTSATPTSSPAAAEKPAEPIAAPIKVLTPISQDDQRQLYKWMLEEKRKIKPRDAAEKKKINEEKALLKEFIRAGSLPTL >KN538725.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538725.1:9298:9567:-1 gene:KN538725.1_FG004 transcript:KN538725.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTAGKRRRSLVVRRTSLRLARRERRAAQGTLWRREASELLRREEEERLCAVRRRGDPGRRWCRTAISFAIVFDSIIIIRDNGISRR >KN538725.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538725.1:145891:147987:1 gene:KN538725.1_FG005 transcript:KN538725.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVWRANARVVERRGGREAEERGGVISVVLAHGYGASQAVWDKLVPSLSKSHNLLLFDWDFTGAGAGKDDDEYTFGRFADELIAVMEERGVGASGAVVVAHSMSAMAACIAAQRRPDLFAHIFLVCASPRYINLEEEGYVGGFDEAAIHGMLAAMESDFDGWVRSFLPNAAGDASAVEHLLKSFLAMDPIVALKLAKMIFLGDQREVLDGVKTPCTIVQSMH >KN538725.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538725.1:650:5779:1 gene:KN538725.1_FG006 transcript:KN538725.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWAGVVFAVAAAALLCSSDAADDVVSVSFSKTPPRVSRSASAVFTFQVLHTNGSGPCQDCLITCKVDGERAWECGRNSSNGTAVVRYSRLKDGNHTLASSNVVKQAGREPYTSGNENGLDADTVAPTASVKAAAGFTSGSNVSVLVSFSEPCPGGGGFTCNATYCHLSVYGPGLVDPSSLQVLRPALQYSVHVTIPPELLYGRLILVMAKGFCTDAAGHHFIRTANSTFTLRFDRRSDSMNIGSSIPEKLLQIEGATRVVEATNDDRDLRVYLSFAEPVMNSSSQILAALTATDAILTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGNSIISRQGTPVSSSEPYTFLYGYFHEASKSIYTAQIQAVDNLVSVHVAENSAQDVAGNTNLPSDRLEVRHYSVPASSSSIAIVTTVIFAATAAFATLLTVSTSSLLASGVIQRPPSYLVSEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSEMLDRTALAADVLRRPPGVAMAMTSTSPLDGKPLTAMEYRYLFENQDMKPEAQIIMKLQDLDGWKYFFRNIFWLAVIASAILLLHAALLLYLKLRHSHTHVGALVFPRLELMLVILAMPCVSQASAALIRGGTTAGLAVGIVLTGVLTAFLVALLLFLSLGVTTGRLLQYKEVHQEGREYHWIIVSDDENEDAEAPFLQKLFGILRVYYTFLESVKRVALGIVAGAHASSDHSSRAHAVVVLAIASFQLFFMLLKKPFIKKRVQLVEIVAVASEVFVFAACLRLVDSGGGAVAEGRGVGLAMLTVFAVALAAQVCNEWNALYRQVRLLSSDRRSFVEGAKAAWVGLLLLVLPSSALGEQLEKMKKQQQEEQPEAVALGGGGGGTERSWLGQLREMAKASFSKEGQGGEAEASGSRAKGGSRSMSSVASSSDSKAKGPENSHSQWSSKSKGLYKDLEAIFSNR >KN538725.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538725.1:148937:152142:-1 gene:KN538725.1_FG007 transcript:KN538725.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAGGATLCAAREEQELLATRLNVNGIRPPHCAAESILIYLTAPGLSMMPMRVMASDSIASVKLRVQTSKGFVVRKQKLVFDGRELARNDSRIMDYGVSHGNVLHLVIRISDLRLITVQTVHGNKFRFRVEPGRTVGYVKQQIAKNSTHDDDHHSLVLQGEVLDDAHLIHDVCRTDGAVIHLLVHRSAKLAARPVDRDFEVSIVARNRNAAADAAQPTLYLQRDFAIEPDASGQEHVAVFKPVDEEPMAANNPRGLPPSPTGEGLKKGTRVGEGAIREVAAYILDHPPGGRRSFAGHHGSAGVGFAGVAPTALVRCMHRSFKQPAASEQGPPLFKVGSLQAFVKNSGSCEDMGPRAFPVHEVHKICVLDIRLANADRHAGNILTCRDEHGHGLTLVPIDHGYCLPESFEDCTFEWLYWPQCREPFSEETVEYIRSLDAEEDIAILRFHGWEMPAKCERVLRVTTMLLKKGVDSGLAAFDMGSILRGMIGLKKLPSSHQGCRGCRRIEAAAAAPAIASSLLFTCVASGSTCVAVRPLQLLSIKNLPSRPRSLFDVCCGPTEDEDDVACLTRSYWLSLRPAAGAYVAVAPVVLAAARGALVVSGRCRCRRPGVTARGCEEKKEYTKRQKYPSTHGTASIHSAVPRGTEAVSAIELG >KN538725.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538725.1:137561:138972:-1 gene:KN538725.1_FG008 transcript:KN538725.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLVLLLLIIDPHAKRVAQIAPYKLGEKIGRCSIQVQLDDGAKCRVEDFLPEDVAGILIAHLKNTAEAHLGHRIDNAVVTVPGHFNGNQRQEVSSGSTEYGGFRYVSVVDEQVAAAAAHGLHEDRGDGKVILVFHLGGRTAHATKFVIRDGTPSLIALRHDPFLGGDDFTARVVDHMADLIRDKHGGRDVRADAAALRRLTAECERAKKALSYQQETVVTMRLDDGDDDLLISEPLTRSKLEELIGDLVGRAVDLVESCDASGGVVVGVDEILLVGGSTRIPMVRDLVKDYFHGKEASNEKGVEPDEAVNRGALLLSHPHHARYLDPCYDYWHSR >KN538725.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538725.1:106820:112673:1 gene:KN538725.1_FG009 transcript:KN538725.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRPPIARARRGSLQMTVEELEGVGGCVEVVIKKDITEHDDGVERRAVCVATLVWEGVEAGRCGAGREVVIRKDEGDIMEHDDGVERRAVCVAALVWEGVEAGRCGAGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >KN538725.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538725.1:36287:46501:1 gene:KN538725.1_FG010 transcript:KN538725.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTDQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPMVPAKSSEPKDAKSEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNANGNQQLGGCGEVVAAGEPVETPDSTSNVILRASYSGAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTMCTNMVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESGQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEREDSILKKARLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHKSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINSARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKVLVDKNSGDGADSAEDSGSSQHYHFTLPGIPKACYNYSLIYIFNCLPHMRGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSVIQPHSSHVAALTQACPNNLSGGVLTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHSGQNMEEHRQIVMPEFCMQVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISQPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGLHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRLNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVETTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHIHSSQNSIQRMMMHQNLQTNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERILPSSSHDVLERQIPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVYAPLNPGPG >KN538725.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538725.1:11089:18498:1 gene:KN538725.1_FG011 transcript:KN538725.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQIRDKKDVRSVAEKYVWVMFIKNKRCTKLRQTKVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIAVLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLALLCHSLPLMLRYAAVTSAESDHAALHLSRACSILMLIAYLAYLFFQLNTHRQLFEPQQVEDDDDDLVIAQDDEPVLGFSSAMIWLPLMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVVPVSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQQINRQKQKRACMDAKHILYLESVRSDQIEKMEGYDEREFWQFSDQLRLHNFSSLSIADSIWSSPSPAAVDHSSNKLAFANNNNNFPAQQQHLLNNATAKTYFNKSVGRPANNSFYNSNSAVVDAFNGKKKAAVDAPAGGGRNNNKKNSSSNDNKMSSRLKKSQLPASESVPKEEAIGGYIFVCNNETMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEATSFGGSNIDPGAWEDSKCPGGESRFPAQII >KN538725.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538725.1:61195:70810:1 gene:KN538725.1_FG012 transcript:KN538725.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYAVVPRFFDLPLWMVAGDAAVDGLDRASFRLPAHFFAVYDGHGGVQVANYCRKRIHAILTEELRRAEDDACGSDLSGLESKKLWEKAFVDCFSRVDAEVGGNAASGALPVAPDTVGSTAVVAVICSSHVIVANCGDSRAVLCRGKQPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNAATASTSSAQISGDSSDPAAQAAADYLSKLALQKGSKDNITVVDKPIKKAGGKKGKKGAKAALPDDDDYEPPAPPPPPGDDDEEEEPINLVFTDAEDDDLDFDFSKAKKKKKKKDKGARPVPLEHDDLDLDKPAPPPPAAAADEADDDEAAAAAASKKPQKKKKKKGGFTVDNEDIDKLLAEIDDTSPPTEEAEPVEEVPAPDADDALGKKSKKKKKKGGFTVDDEDVDKILAEFEDQPPPVDDPEPEPEAVKDVGNVASSTSVDDAEGKKLKKKKKKSGRTAQEEEDLDKLLAELGEGPTPAEKEKEVLPQAPPAAAMVKEDTETAEDGKAGEGEVESAAAKKKKKKKEKEKEKKAAAKEADAKKEEEKAVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLKKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQVADGAVPETKKRPIYDSKKKKGQQKTVETAKVVEEQPQEVNETINDEEEYVLVDQESQSQVEESEEKTEPDQDVEESKPEEEEDEDEWDAKSWDDIDVNLPKTSAFEEEEAKPVAKKVAEPVQKQENSKAQSTVATVKKVANSNKGETEDGESTSANARRNRGASKKGPIKEDETKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCTNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTYNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQCTVLEVKGPIVTTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVLKPDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVRIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDMATKGQKVAIKIIGSNPDEQQKSFGRHFDMEDELVSRITRRSIDLLKENYRDDLSMDDWKLVVKLKSILKIP >KN538725.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538725.1:104434:105523:-1 gene:KN538725.1_FG013 transcript:KN538725.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQGGGKQQAAAASPGPDQKDEELDPKFEWLDNANNFLLRLYLTGFKKEDFRVQLPSNANIDDITGRFEASVLTITVPKRPAPTSSSAPPPTSVQEIKQKAPTAKQEPQPQFDEAPNKKKKQQEEEEEEAAKKKKQQLQEEEEAAAKMKKKKQQEEEEAAAAKKTKKLQEEEAIAKHKPATTTTERKQAEPTAAAPLPGHVVDRESLAEKVKRRAEEECAKAAAAAEAAEEKTATALSRWRERVAGELEHLGDMRWAEGVMETARRNKDVIATAVAAFSLGFFVSHKFFCRR >KN538725.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538725.1:161636:165296:1 gene:KN538725.1_FG014 transcript:KN538725.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKATASGLQGLYEWTFSWFIYHQLARKKYSFPVPVIHLSDNWWIAKLLMLLDQNMNDVAEVSLDGSSYMVNLTIQLICWTNWDEEHFKKESIETFLFNRKCTGEILDYTWWYYAEPAGGNVATILCPVASIK >KN538725.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538725.1:114585:119592:-1 gene:KN538725.1_FG015 transcript:KN538725.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRSVPARGRDSESSSRKASSSSSTGTAAGCWGRLPLLISSGGIVTSSPPDRSPPYLQTPAEPTLYAGTTNNCYKPFLPEEAFSGSISPSLVATDFQLRQFTYADLQRATGYFRPETFLGVGGFGRVYKGWIQMNETAHGKPGTGIPIAVKTLNYDGLQGHDEWVAEIHYLRNLKHPHLVKLIGFCMEGRTPLPWFLRVKVVLGAAKGLAFLHEQEMPVIFRDFKTSNILLDEDFNAKLSDFGFARDGPVGDMAHVSTRVLGTYGYAAPEYVLTGHLTSMSDVYSFGVVLLEVLSGRKAMERNLVEWAHNNANDRSIHRLIDPGLGSNFSMAGAQILARTARSCTRQNPRDRPLMSEVVHTLETLHRDQRANATTSYSYSQSQPPSPSANPSPSPSPSRSPMRSSASSPYGAPYPYGGIGGHASPLRHGTPPATRTRRAMA >KN538725.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538725.1:124589:128544:-1 gene:KN538725.1_FG016 transcript:KN538725.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGVGERRSRFRRICVYCGSAKGKKPSYQDAAVDLGKELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPDYVPEYSIGLVWEDQNQKQNNLVPELDSGITSS >KN538725.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538725.1:50893:57087:1 gene:KN538725.1_FG017 transcript:KN538725.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSCEAQESRKEELEKLMQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGEHRVRCCGYYVFVTLSSQKDKLGVMYRTSILGDPVPTDDSRVDKTQEEMMVKEMSNRRRKRGESKPQAHDAGDTTPIDNILTSLHDAPPPRRELPLLQLNDHQEADDADASSSSSPHQQRRLWVKDRSRAWWELCSSADYPEADFRRAFRMSRPTFHFLCDALAAAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRVVSKRFGLGISTCHKLILEVCAAIRNLLMPRFLHWPDHPTSTAYKTRFEATSGIPGVVGAMYTTHIPIIAPKVSVAAYLNRRHTERNHKTSYSITLQGVVGPDGTFTDVCIGWPGSMSDEQVLRKSALHQRAATAAGSMSMSWVVGGASYPLTEWMLVPYAQRNLTWTQHAFNEKVGEVRRVATEAFVRLKGRWACLQKRTEVKLQDLPAVLAACCVLHNICETRGEDMDPDLRCDLPPDEEEEDTVLVSVQSEAANKVRDDIAHNLLHRGLAGTAFF >KN538725.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538725.1:23411:24817:1 gene:KN538725.1_FG018 transcript:KN538725.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLLCLLLLQLVGLVVAGGGRWRWQEEFLRLPASDEATRWAVLIAGSNGFYNYRHQADVCHAYQIMRKGGVEEENIVVMMYDDIAHNPDNPRPGLIFNHPSGPDVYAGVPKDYTGDDVNVNNFLAVLLGNRSALTGSGTGKVVSSGPNDHVFVYYADHGGPGVLSMPADGEYLYADDLVKALKKKHAAGGYKSLVVYVEACESGSIFEGLLPGNISVYATTASNAEESSWGTYCPGDDHDAPAAEFDTCLGDLYSVAWMEDAEAHQEGRLAETLRQQYRTVKNRTSDEGTYTLGSHVMQYGDMELAPQSLDLYYMDTSPAAAAAAGAGAKGSHSYTVSVNQRDADLLYLWRKYRRAGEGTRLLHRERLVQEMGRRSRVDRSVEMIGGLLLGGAKHKQQVVRERAAVVDDWECLRWMVRTFEAQCGSLGQYGIKHMRSFANICNAGVPHHAMANAASLACPSSPLHL >KN538725.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538725.1:73921:95103:-1 gene:KN538725.1_FG019 transcript:KN538725.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLGGGGKVAEPKDLAATDKDRPSSKKNRRRQKKPATSATISPPVAAMQTLFDTSREFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDSDNNALETSDDSVLGKFIAHASFSDILFVLTTGARLAKVNTDAVFDASSETTVLYPENGGNLHCFTARTACAVLDVMGPPYNRADGRDCSYYDESPYLSSSGGDARYSWLKENHSTFEMKGTVAALASAGDRAGRVRAPERRSCSGSTEHPDDLIPPGPNMSKQMAQVSRRRLTRVGTVLLPTFSTVLEAGVEALGDGVVLVGARAAAPRGALQREAAHGREAVEVDLAGAERVQLADERLGDAEEANEKIKGGIVVAGGGKARAGGEAAQVDGRLENETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKQAFFYISTERSFYTLTIQVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVPFLITASFIFVAFLVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLSRNKMEEVAKVSLFLLFLNVVPSLRDKAQVEQLLRYIVEEVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDEELMDLLFSFVRPGHPHSTLLAGYFSKVVICLMLRKASPLMSYVQEHPDIVVHLVDLIGTTSIMEVLIRLIGADETIYSNYADTLQWLENTDVLEMIVDKFSSSKSAYISYTILTKQDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALQESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVDGMLESLGDLLKLLDISSAENVLPTTYGCLQPPLGKHRLKIVEFISVLLTIGSETAEKELINQSAVKRSIDLFFQYPYNNFLHHHVESIIISCLEVNRSQLIDHALNECNLVGKILAAERSSSLSTESNTPTLLSEGKVPPKIGNIGHITRIANKLIQLGNSNSIIQSHLQENSEWVEWQTTALVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGMYSNDDIEEAQVIERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSSSPNSEETSLNTEETDEVQIGEDTSIEPQLESVSLENGPVEEAGDLADVSKQTDSNTEDEKLLCTEEEDLSKEAEESERHVDVRDGQVDIQAEDAAEGSCGDMGTGIAVDEPVSPSSEPNNASAGASPDTGDIHTAGSTGSNDSGTELHAKEDSQDGQKTDEPTTTE >KN538725.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538725.1:154112:154618:1 gene:KN538725.1_FG020 transcript:KN538725.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPRMATFYYVHLLGFSVGGARVKGVSQTDLRLNPTTGRGGVILDSGTSVTRLARPVYEAVRDAFRAAAVGLRVSPGGFSLFDTCYNLSGRRVVKVPTVSMHLAGGASVALPPENYLIPVDTSGTFCFAMAGTDGGVSIIGNIQQQGFRVVFDGDAQRVGFVPKSC >KN538725.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538725.1:28738:32345:1 gene:KN538725.1_FG021 transcript:KN538725.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYSSSVKIDIDVICSYLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPIANNNRLSATEEGRRSGWSDMDAMRRQVPPPAINAGCLAKQKSPIGHEQSTSKDAMFSSSTFLGRSSGSSRRPAVSSSREPSTEADQSRSRTTDASPGAFQRSGAPRWSPQMVDSSDNRRTPSGRHPSNAKNYESTIRGIQGLNFDGDDRIQY >AMDW01025982.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025982.1:16:297:-1 gene:AMDW01025982.1_FG001 transcript:AMDW01025982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFLFVNGEGLGRYWVSYHHALGKPSQYLYHVPRSLLRPKANTLMFFEEEGGKPDAIMILTVKRDNICTFMTEKNPAHVRWTWESKDSQPKA >AMDW01125615.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01125615.1:475:765:1 gene:AMDW01125615.1_FG001 transcript:AMDW01125615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAAAHRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPK >AMDW01040694.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040694.1:104:744:-1 gene:AMDW01040694.1_FG001 transcript:AMDW01040694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHMDIRQNLGGIRGASLFPHSIRSTAGKGRPARMSDPGHTISNGDHRHYADNGSTNGHFSGDSNGALSRNGGSSTDSPDRGSMGGKETLSELDMYGSSRYEAMLLREDVKNTSWLHGFDDSKPDQSPLFDHRFEPLPEPFSPL >KN542378.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542378.1:8226:13028:1 gene:KN542378.1_FG001 transcript:KN542378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNPNPQTIIDMKALVEGLDESMRNLDLKGKGIVPSSEYHISVVKSGIRNVDSSQYAPHSILVGPYHCDPNQRMEPVKLAALHGALPGDEQERRGTLHRYLGEIAAANFLAEVRRYYADGANKFEDLTLSKLLLVDGFYILHCFGIGRFGGGSSSSGGGMCAQDNIEHIRDVFYLLENQIPFFVLVKIHDLFFPPAETSPIKSTADIVLDDLEKSLRPLLTFLGYTQLEIRGVSPWHLLHLLYMHFKPTAVPEPDDEMPANDYHAAGMTFEKRRLDSSSKARNILDVELRRLTVHIPTITVENNTFRILRNLLALEQQSPALGVDVTAYCLFMSHLAGTAKDVDLLVRKEVIVHFMGSDEEVAQGFADLCKGLSVNISDTGRNYLHKTWEKMEKRYNSRPINWIKQLQRKHLSNSALVFALLVAMIPFVCTILQTVYAVKSYKASN >KN544372.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544372.1:320:2180:-1 gene:KN544372.1_FG001 transcript:KN544372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTTTTASYLRLLRRLPRRSAAGGHQLHALLAKLGLLHRPEFLSALLSRLPPSPSALSLLLEAPPAVLSPSLVCPVIVAFSSSPAPSSALLLFNHASSCSLPTPLPTFPALLKSCARAFNHSSRATAASVFVSKGMELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGADRVSQAMPVRNLSWWNAEIARNVRIGYMDEAARIFSEMPERDAVSWNSLISGYTKLGKYTQALEIFQEIQDNGIEPTELTLVLVLGACAKVGKLDLGTNIHRNLQSKGIVADGLVGNALIDMYAKCGMLDLAKKVFDRMSMRDITCWNAMIVGFSVHGYSHEALELFDSMKIEPNQVTFLGVLTACSHGGLVNEGRKYFNSMIKDYKIVPDVKHYGCMIDMLCRYGKIEEAYLMIKENPSTASSVLWKMLLAACRVHGHIDLAYKLFHELHKLILTDNGGLVTISNVYAEAKRWDDVEHLRMKVRCNGALKHAAHSQIDVILPDGYICDVAVKITDSAA >KN538754.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538754.1:251142:254922:-1 gene:KN538754.1_FG001 transcript:KN538754.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCGGGGGGGAGQMAAWQGRVGATNIGRLRQGKTSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKLLLMSNFPSLPVQNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQGLNAKPPCFILSWQITDMDISKEAAPSEFIKAVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSPGELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRAVFRL >KN538754.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538754.1:221753:222247:-1 gene:KN538754.1_FG002 transcript:KN538754.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPSAPAPRASTSPASPVAPARYKDEKDVTDVTVRNCVLKKSTNGVRIKSYEDAASVLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTFKNITGTSSTPEAVSLFCSDKLPCTGVTLNDINVEYAGKNNKTMAVCKNAKGTATGCLKELSCF >KN538754.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538754.1:261868:266814:1 gene:KN538754.1_FG003 transcript:KN538754.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRARRSFFGMQAEEEQAPYPTLIPVEKRPQKVAIDLVDAIREIKTSANEKKRNFTETVEAHVMLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAADEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKINFSDENLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTAP >KN538754.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538754.1:281120:282257:-1 gene:KN538754.1_FG004 transcript:KN538754.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLARSSGYSFVALALLACVLLAPCQAAKAGLSTKFYAKTCPGVATVVRSAVAQAVAKEPRMGASIIRLFFHDCFVNTPDAFDNAYFKNLVAQRGLLHSDQELFNGGSQDALVRKYAGNAAMFAGDFAKAMVKMGGLMPAAGTPTEVRLNCRKVN >KN538754.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538754.1:193635:195325:1 gene:KN538754.1_FG005 transcript:KN538754.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLVGCVACGVLRCRKNRGKEAEEEKRKAHWGGKDEEEVVAVAVAKGGKEKLLLYEYMEKGDLHRWLHELPAGRPDMEDDTGGDIWEVAEDKRSISDWPTRHRIALGIARGLAFLHQGWAGSGRPVVHGHLVPTNILLGEDLEPRISDFGHPSDTTPEGDVYSFGVLVLELMTGQAGWDEASVSWARGIIRNQKGLDIVDPRVRDEAGGGPETSTVEREMVECLRVGYLCTAQSPEKRPTMQQVVGVLKDIRVAPASSSST >KN538754.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538754.1:270022:271524:-1 gene:KN538754.1_FG006 transcript:KN538754.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWVVVVVAVMAVLFAGGAAGGQLSTRYYDGKCPNVQSIVRAGMAQAVAAEPRMGASILRMFFHDCFVNCTNFRAHIYNDANIDPSFAALRRRACPAAAPNGDTNLAPLDVQTQNAFDNAYYGNLLVRRGLLHSDQELFNGGSQDALVRKYAGNAAMFAADFAKAMVRMGALLPAAGTPTESYRARVAHYATSIRQSQQPQLINVCFFFVFP >KN538754.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538754.1:176298:180021:-1 gene:KN538754.1_FG007 transcript:KN538754.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTTERYEFGPLVGEGNFAKVYLGRHRATGEEVAIKVMDKEKLVRLGATELIKREIAVMQRLRHPNVVRIHELVSAVAYCHSRGVFHRDIKLDNLLVDEQGNLKVADFGLSALADMERREAHLQTVCGTPLFLAPEVFKRRGYDGAKADVWACGVVLYVLLTGRKPFPDEHVSRLYRLIGQNQFQCPPSFSPDLARLVRRLLQPDPDRRITIPEIMEMRWFKKGFKEVTYYIDSNDRLRSLDGLDGEPELYDSDTDTIESSSSSESPTPVAGTPRGMHTSVSAPALSELDRMEDSASLPLTLPLPPRPGMPRPKSLNAFDIIASSPSFDLSGLFEERGERMRFVSGAPVADIIAKLQEIAGMVSFTARTKDCQVSIEATRNGQKGALAISAKVFELTRELVMVQVCKKAGDTAEYRRFCDNELKAGLRGLVVDALPPPINNDVHPWKHVSGSLCGSGDRGIDTLTVTPVNAGDCSL >KN538754.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538754.1:233175:234513:-1 gene:KN538754.1_FG008 transcript:KN538754.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRALFLLAMVCVAAHAKDYPKEEGAKAEGPAAASGGGGGSTHDVLKLGGKGDGKTDSTKAVNEAWTAACAGTGKQTIVVPKGDFLTGPLNFTGPCKGDIVIQLDGNLLGSTDLALFKSNWIEIMRLESLEISGKGKLDGQGAAVWSKNSCAKKYDCKILPNTLVLDFVNNGLINGISLVNPKFFHMNVYKSKNITIKDVTITAPGDSPNTDGIHMGDSSKISIIDTVIGTGDDCISIGPGTEGVNISGVTCGPGHGISVGSLGRYKDEKDSYEDAASVLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSDKLPCTGVTLNDIKVEYSGTNNKTMAVCKNAKGTATGCLKELSCF >KN538754.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538754.1:292094:293724:-1 gene:KN538754.1_FG009 transcript:KN538754.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVITGRALVAAVAVVVAVLLGGAAEAQQLSPNFYSRTCPNLATIVRSGMASAVRTEPRMGASILRLFFHDCFVNLGGPTWSVALGRKDSRTASQSAANSNLPGPGSSLATLISMFGNQGLSARDMTALSGAHTIGRAQCQFFRGRIYTERNINASFASLRQQTCPRSGGDANLAPFDVQTPDAFDNAYYQNLVSQRGLLHSDQELFNGGSQDGLVRQYSTNPSQFSSDFVSAMVKMGNLLPSSGTATEVRLNCRKVIISDDHRLRRRPGNQCAGEISKHKKKRNVKYLFDNKRTKKFLVHLPQLDFVDVANLQFAKCRIEMVAHAR >KN538754.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538754.1:244402:245740:-1 gene:KN538754.1_FG010 transcript:KN538754.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRALFLLAMVCAAAHAKDYPKEEGAKAEGPAAASGGGGGSTHDVLKLGGKGDGKTDSTKAVNEAWTAACAGTGKQTIVVPKGDFLTGPLNFTGPCKGDIVIQLDGNLLGSTDLALFKSNWIEIMRLESLEISGKGKLDGQGAAVWSKNSCAKKYDCKILPNTLVLDFVNNGLINGISLVNPKFFHMNVYKSKNITIKDVTITAPGDSPNTDGIHMGDSSKISIIDTVIGTGDDCISIGPGTEGVNISGVTCGPGHGISVGSLGRYKDEKDSYEDAASVLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSDKLPCTGVTLNDIKVEYSGTNNKTMAVCKNAKGTATGCLKELSCF >AMDW01039815.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039815.1:468:773:-1 gene:AMDW01039815.1_FG001 transcript:AMDW01039815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVERAANADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR >KN540712.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540712.1:2537:12923:1 gene:KN540712.1_FG001 transcript:KN540712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFAAGSNLSTSTSFNAEKESRKNLNKFYKEIRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLFVNGNYGGPLGASSLAKGILGNKTLRELHLHGNGFGNEGVRALMSALSAHKGKITVLDIGNNNITSEGSLHVAEFIKRTKSLLWLSLYMNDISDELDVIMQISHHRAGAGFRSKLIYGSGFFHMRIKVPAGYTAGVVTAYYLASEPDRDVQDEVDFEFLGDKDGNPITLQTNVFVGGHGDREQRLRLWFDPAADFHDYSILWNPFHLV >KN540712.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540712.1:36525:37970:1 gene:KN540712.1_FG002 transcript:KN540712.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDQNETPDITKISSPKWHPLHSYALALQIPLMDRLLDTGVEINLLDKDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHLAIQSRNRDIAKILLVNGADKTRRTKDGRTALDISLCFGRDFKSYDLAKLVKLVPANRKM >KN540712.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540712.1:30284:31726:-1 gene:KN540712.1_FG003 transcript:KN540712.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSALSSLLIIPAPLRDAIYDYIAKNRYDWFGKDDECIVTKNKELLERFIDRDEMLGGGPSNSF >KN540712.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540712.1:17543:29432:1 gene:KN540712.1_FG004 transcript:KN540712.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWGGTTQKCDSCGRTVYPVEELAADGRVYHRPCFRCTHCKTTLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGNKGQPNRFSSMFVGTQDKCVVCNKTVYPLEKVNLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSNFEDNTPNAKVDIEKQPEHEDATKNPGGPGQGDGLTEKPLESELTPEKPSQDDIVAEKQSQSSVDVPKQSESTTTVQRSEEGERVSKGESNSHVVNKKPLESSVEKPLQSSAVNLQPSGSSAAVRKPWQRNLPTDKPFLSNTTTEKSTPSSAAIEESLPSNGVDAKQPESSTSSTVVKRPWQRRVASENLPQSISPSDKPSSASADNAKPSENSKLIRKPWQRAVAAEAQIQNSGPTEKPSFTNDTKPSESTTSIKRPWERKVVNEKPLQNNIDTEKPLQNNTDTEKSSSSAIDVKLAETSTTPTVPQEHSGITEKPSQTSADDVKPSESTAAVVKKQWQRNIGFQKQPQSSVTDAKTPESRGIGKRLWQRNVPTEKQSQSGVSVVTPSQVSVADTNPLQSSVVVKKPWQRSVSREKEPEKDISSNKPLQNRVLAEEAQKTNVTADNKSQIIQDKKNNGATAENASQISESSKILPRTANKLQADTSTEKLSESDILALASSHITEPSKKPSENTAENEKPSQTDIATEKLPLTQSVEAMSEPSPSDAAHQEISEREILTEKLPESAMAVEKLSQTATLTEKPSKKDAAEKLPQTNEPSEQPQESEETAEKPLQNEANAESTTKQSDLCLEKPPQVDANVGNPTEPESDATSGVNSSDAQIRPTAEQLVGPQGIVSAEKTSDQILEANTDPAADQSSESQDVAPAKVATEQPLENQKAAASEQPLEPQHEAYEENPQEHNSDATAKESSEPERDTASDQLAEQPSESRTAGEKATLRESDVVTEDPAEPQIDAAAERSAEVLSESQTGVETPVLHQSDRTNEVPSEPQTDEASDKSTEQPLEPENDASVEDEKPPEIESDDVHDKPSESTPDTETLPHNTPKSSISISEAAEGNVSEEAPPQSSASIETPSESAIAVEEPKHDDEASIKPSEDSSALEEPPQDDSASVEPSENTITLEKASEEDEGSVKPSEGNDALEKTLEEDEASAKLPEDSTTFEKPSEKDDAGTKQSEDPVTLEKEPEEDKGSVEPTQDNAALEKPLEEDEASAKPSEDSVALDKPSVGDDDSAKPSEDSMDLEKPEAHKPSEEEEDSAAKSSPEDAAVEEAPLQVSEEGRRREFSMAPKRKPPARAAAAAAKSDPDGMFRGVSAFVVPHAVQSRRLEVWKQRLAQMGGRVQEKLAAKGGGGGAVTHVLAADAKALLRELDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFAINYEEEFKPKKEGGAAGSGVLQSAKRSKISSDGPENRKETAGGNRESRDAIAHPNEDSDVVKGPSTYTSSQSASGDSKETIASQNAFKAEEASSGESSTYAPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKIESADQVKDLPAIGKSLKDHINEIVNTGKLSKLEHFENDEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLQKDDSLTSAQRIGLKFFDDIKQRIPRHEVSEMEKLLQEVGTDILPGVIIVCGGSYRRGKSSCGDMDIIITHPDGESHVGFLPKFVQRLKDINFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKKVYPRNRHAFGLLAWTGNDVLNRRLRILADSKGYILDDTGLYLATPGSGGKRGGRSDAIINCDTEKDVFDTLGFPWLEPHERNL >KN540712.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540712.1:38843:39708:-1 gene:KN540712.1_FG005 transcript:KN540712.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDKVTEYVKERILALRASNPGKYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEEIKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQE >KN540942.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540942.1:123:3401:-1 gene:KN540942.1_FG001 transcript:KN540942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTWQLWLLSLLTHAILLFTASSQSINGDDLSALLSFKSLIRKDPTEVLSSWDATSNATNMTRPVFCRWTGVSCNIRRHPGRVTTLNLSDAGLVGTISQQLGNLTLRRVLDLSNNSLDGDIPTSLGGYQKLRSMNLQMNQLSGTIPADLGQLAKLVVFDVGHNNLSGDIPKSFSNLTTLVKFIIESNSIHGQDLSWMGNLTSLTDFDLMANSFTGNIPESFGKMAKLISFNVGANQFEGHVPVSIFNISSIRFFDIGFNRLSGSHPPDVGLKLPRINRFNTIANHFEGIIPPTFSNASALESLNLRGNKYHGLIPREIGSHGNLKVLMIGDNSLQATQSSDWEFLTSLTNCSRFIFLDIGQNNLRGAMPINIANLSNELSWIDLSGNQIIGTIPADLWKFKLTKLDLSNNLFTGTLPPDIGRLSVINMYISHNRITGQIPQSLGNISQLSALTLSNNFLDGDIPISLGNLTKLQYLDLSGNALMGQIPQEVLTIPSLTLLLNLSNNALSGTIPTQIGHLNNLNKMDLSMNKLSGEIPKAIGSCVQLSFLNFHRNLLQGQIPESLNNLRSLETLDLSNNNLAGPIPLFLANFTLLTNLNLSFNKLSGPVPNTGIFRNATIVSISGNTMLCGGPPYLQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSLFCTTAYCFIKTRMKPDIIDNENPFLYETNERISYAELQAATDSFSPANLIGSGGFGNVYIGNLIIDKNLVPVAIKVLNLDQRGASRSFLSECHALRRIRHRKLVKVIIVCSGLDQNGDDFKALVLEFVCNGSLDEWLHATSTTTSTSYRKLNLVTRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMVAHVTDFGLAKIMHSEPRIQSSSLVIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDGVTSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVVYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATK >KN540942.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540942.1:25034:28797:-1 gene:KN540942.1_FG002 transcript:KN540942.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKLPSDITLTVVLIDERRDGCLHFWDDLMSEDEAASLAGDEDDDDEDPVSEAAAARDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIIDPDTGKSLGRNLPGEICIRGQQIMKGYLNNSEATKNTIDAEGWLHTGDIGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQIEPEIGEIPVAFVAKTEGSELTEDDVKQFVAKEVIYYKKIREVFFVDKIPKAPSGKILRKELRKQLQHLQQQA >KN540942.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540942.1:15577:24123:1 gene:KN540942.1_FG003 transcript:KN540942.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLRHARRHSTSSSAAATTASAVPLTSPAFAVFGANTGVGKTLVSAGLVASLLASPSPSPSTVAYLKPLQTGFPDDSDARFVFDRAPALLRRLPLAGGATRLVASNHTLFPSPAESSTLANFISLLQMSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLLSYLRKRVPVHVLPPIPEDPKDDLTDWFFESSSAFSSLKDSLQSFHSRRVQRLNSMQRKSKDLLWWPFTQHDLVPVDSVTVIDSRFGENFSAYKVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDNGSTAIEIALKMAFRKYACDHGIIVDSEKDIRSEGSVHFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPAVYIKNKSANLSLPPSLMHDQLSSCDTCFSSLTEVFCKTRDTSSAANVYVSYISQQLSQYAMSNNSEHIAALIIEPVIQGAGGMHLIDPLFQRLLVKECENRKIPVIFDEVFTGFWRLGVESASELLGCFPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNIDLDRMKLKELWDGALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCVQASNVKNKPLSFGNMNLSY >KN540942.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540942.1:9399:12258:1 gene:KN540942.1_FG004 transcript:KN540942.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQPCKREQELGWEGSHLSSAHQLFDEMPSQSEVSKDDNRILETFPIKSSMNKEGIFMDKALDQILEKFELMEAKRRQEDKLNQIFQKLEEIEARRSKAAEETIAAIRATTAVLKATSPTTPMAPPTPAPTKCLTECPNHNFTWAMASSSHIGEDLAPTAACELGDHKEMGHTPCNITKDSSEVMPTMCSTKCSSPTVEPDLAMAAVVTSATTSAASMELVATGNAIGATYINNLDHPKVTHAKCSMSGSGVKRGTDQVVLVFPLMASPMEFITSLVEPSPPTGLKLGAVICVGNQVPMKCSMKCARDDKLLMEYSNKNPWPPPWLEGVVRVCELRPLPCSGFNFRWIVEHLVPPWPPPTQIFVGVVKNAKRNKE >KN538715.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538715.1:185731:188972:-1 gene:KN538715.1_FG051 transcript:KN538715.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHGFREGNEGKGVEKNFSMFVSSSRLASLQRLPHHFLNSLRTKKSLAKKDVVGINKWRFEKLKEHIQGDIDAENEAYERYTQNVGLLEETFGLTEDAADEPEPEATSSEERMETLVSEAKVRLKSDSANADGFRDRIATILDQKIKELLESKSTYEDDNPSDQNSDDHPKPVKLSIKQQMERGAKMTELLAKMIRAQSEVDLKICSGIATQLFGKKNELSNQESVASVTIPYSFPKLWTRVEIDDAMMCKINGEFSSSTEQRQKP >KN538715.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538715.1:165295:165939:1 gene:KN538715.1_FG053 transcript:KN538715.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDVMYHEKAAGNKLVYFLTGHGDVHIVHLRRAAAVVEPLLSKRKGAAFAAPYSTISKYTAAKNLVLCNGNLYQIWRNTSCTVTAQLPSGGRCRVLADQIFVLRYYPRRRPCWNPVKELGGCSVFVGMNNAISLHVKGDDHPGLRANCVYWIGGQGRNLGMEFDMETGTSTPCFPGIPLQRDICWYHLGDARSSNSHNLVSPAYQLRKRTRRA >KN538715.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538715.1:139763:144454:-1 gene:KN538715.1_FG055 transcript:KN538715.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATRPAVVIDNGTGYSKLGFSGNSEPCFTIPTVVAVNESFLDQSEMCSSANWIAQYNAGVMADLDFFIGDEALSLFKSSGLYTRKHPINHGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECAGEIMFETFNVPALYISVQSVLSLSAGYAFLKSISDEDSVSVFCFAIPLYTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPFSGSDVTQFVLQLLQERGELIAPEDSLDIARRVKEMYCYTSSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFHPEIYSADFSTPLPELIDSCVQSAPIDTRRDLYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNARHHVEVKPVEVNVVAHPIQSYAAWFGGSVAASNPEFYESCHTKEEYEEHGASICRTSTVFKGMY >KN538715.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538715.1:242388:254854:-1 gene:KN538715.1_FG056 transcript:KN538715.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKEFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEDDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAADSGAAAPSAAKEEEKKEEPEEESDEISVHFSSIFLQQGKKSKVTCIEYIELFLAVTYIGGIIAPLNYRWSFEEASQALELVQPTVFIFDGSYSSWALRLKESNSLTSVNLYLFLGNLCSISQPANSVSVEQIKGSSGGTTRAVEPVSDPNDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDVYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDTKSAFDAILEHRVTSFITVPAIMADLLSYARKQKILNHGMTVTKILNGGGGLSSELITGASHLFPNATIFSAYGMTEACSSLTFMVLTRPKIQEPKDQLGSSSEGVCVGKPAPHIEIQINRNGSNSSSSSPIGNILTRGLHTMSGYWVNNSIDTSDSVRNGWLDTGDIGWVDKTGNLWLMGRQKGRIKTGGENVYPEEVESVLSQHPGLAKAVVIGMPDSRLGEKIVACVSIRDGWNWVDARAVHKGEGREVSAQMLQDHCRTNKLSRCSTEFRNAERREAAATVDVAEGGGAEVIEKDPNKAVPLFWAAINSGDRIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTLKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAHMQSENYDEAEMLYRQALAIEADYNKECNLAICLIKTGKVAEAKYLLQSIPDNCSDESHVRSLARAREMLMELESPTLHSPITQMKSKESLIWLTIDAENLGHLQPQVSSTALTQLKSEEPHISVAADAEKQEDCNSQVFPSPITQMKREEPESLIATSGEKNEKCLNEYQDLSRLFNDAATPQSLLEKLRKRLVKEDTLNISIQHQVQIPSFVECLPNSGGSTHAGENTRPEGKALVNGVRKTWADMVEEDERQLGDVSSTIGMDTTKRNVSCKHANEEMYRTPSFSQESSALKRSSVGDHPQSSSADSWRHSDSKISTDENVNMKFVRTAPQWRQQKVQDYSNRVSQRLDTSHLSDRAEGTEQPPWRSSTAQRSLFPDWKSKCERYGHGYVPFGDNEHFQGSSHFEATNRWPKNARPWRPQNRLRVFQEITNEINQKQTRALWLTIYTTLLFGTTALDDTAWFLVECFHLLAGHLLLHDGLQDLSVAPPSGQFAEMAMQMHPATGLSTHWSQVDSPPLKLREGANMVVLLQDQLLPMAFSIANVCEDCIMAYMSASASSVLFYTSCLDMQQLPYTGHVITINKSEKA >KN538715.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538715.1:136487:138386:1 gene:KN538715.1_FG058 transcript:KN538715.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFRTILWWILPCFVVKVAREMFRFSHIFPESTWRSCVVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALATCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLG >KN538715.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538715.1:255879:260774:-1 gene:KN538715.1_FG059 transcript:KN538715.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQDWYEQQLAFPQHVSLSGLICIISSAFYLFSLNDSSTTIMQCSIALFVACTIFWRVSLSIIQGQAVSADRLRLPFRWARCSYRVRGVQVRFQGIGRYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLERCIREKIENGDDSCVIDLSDIPGGAKAFELTAKFCYGVKFEMTASNVVHLRCAAEYLEMTEEIAEGNLIAQTENFLTQTVLRSWKDSIKALHTCDDILDLAEKLQIVKRCIDSVATRSCTDPDLFGWPVVQYGGPMQSPGGSVLWNGISTGARPRHSSPDWWYDDVSCLSLPLYKKVISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSISSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHRILDHFLAMDQETGGASPGLGEDAQMLASPSLMPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLIPTISGEQYRPGWPLASRENQALREGMDNMKQRVADLEKECSTMRDEIERLGRSRSTGKGRFSLNMKPQICSTKEAIPTTATATASEEKMAVVKGDTTPRLKLSRHKKKLSIEA >KN538715.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538715.1:205227:208581:1 gene:KN538715.1_FG060 transcript:KN538715.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEYFDARRKPHNVGKVIAALVLTTLCIFVLKQSPGFGGSSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNMGAVKVLQELFPQPGRLQFIYADLGDQKTVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIVETTRQLPINPYGKAKKMAEDIILDFTKGRKDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTTDGTCIRDYIDVTDLVDAHVKALNKAEPNKVGIYNVGTGRGRSVKEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINTELNWTAQYTDLKESLSVAWRWQKSHPRGYGSN >KN538715.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538715.1:222829:224555:-1 gene:KN538715.1_FG061 transcript:KN538715.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MSELICSGCPTLLFYNRGASNIRCPSCHRLNSTRSANQIAHLTCGQCRTTLMHPPGASTVQCATCRYVNHVRDARPQTVLVENPKTLDDKGKLVSNVVVGVTSWKR >KN538715.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538715.1:160237:161253:1 gene:KN538715.1_FG064 transcript:KN538715.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTSTATESMSKMETVRGTHRFTFHGYSLSKGGGAGRCIRSGTFTVGGYDWCICFYPEGQGGGGGDREHVSVKLRLLVAQVESEGADVTFAVRGETFTAHRLMLAARSPVFKAELYGAMKEKDADHVIAIADVQPAVFKALLHFIYTDDMPPDLLATADEDNDDDSNRIDMARHLLVAADRYAVERLRVICERVLRRSLGVETVIDTMALAEQYSCGELKEACLEFIDSHSKRIVESDGYKNLKRACPLVVADMWERIVRSRRE >KN538715.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538715.1:148628:150572:1 gene:KN538715.1_FG065 transcript:KN538715.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAAAN >KN538715.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538715.1:179605:180069:1 gene:KN538715.1_FG066 transcript:KN538715.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVFAAELFGPMRNNAGGAIKVGDMQPAVFKVFLGFIYTDTLAAMDDLDADEDDRRELARHLLVAADRYDMGRLKLICADMLARSLTAQTVASTLALADRHGCRGLREACVEFVIAMGMNDEVVITRHPDQLNCISLFKYFFYQIGSLLKIH >KN538715.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538715.1:190162:193721:-1 gene:KN538715.1_FG067 transcript:KN538715.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIMFDNQTKPCRSRVDSKSNINVLKPKFGSSWGSQIVKGFTADKKTKKTAAAASKKPPLASVENVNTSNQQIPYHSRVKRSLMGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERELELQSELRQCRENPRVSELEKDLDSRKNEIDRLVRLKTSLEAEKTSLSEQLSALSCMVEQHEENARLDGHGNRVSSMDGGNASSSENLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLAVIEKTAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELSNSDQAARAMTDADYNDEMACHVDDCDGDARLDQNSSDHKKFSIAERIKQWSQNDKNCEASKKEALLDRAWIEAAECRSPTRRHSLGGPKGCAQEFSIVKRRQSDTFICLPEATDEAISCNKDEAIREKRELLVDKYDFGRSESSRFLLGKSEVCKSQSMDVEKRALRIPNPPPRPSVSVPHSGPSNGSAENPPKPPPPPPPPKFSTRNAGVMKRAPQVAELYHSLMRRDSKKDTSGSGICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKVVTISEKTERSVYNLLRTRDATMRQCKEFNIPTDWMLDNNLIGKIKFSSVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >KN538715.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538715.1:218023:219985:-1 gene:KN538715.1_FG068 transcript:KN538715.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMELDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGDQVFPRVKEKCASKSWFASEDIVALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQKNPANLDGFFNVMLICVVILLILLLCFLFPSLYSHVSAWRTRRALARSSSQNKRHLKLFKGHKDGYMRL >KN538715.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538715.1:211700:215988:1 gene:KN538715.1_FG069 transcript:KN538715.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSNWFFGWFLLPVMLLSRCFDLSVLVAVLGKLFGVEHEACAAATARSQDELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSGIALLR >KN538715.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538715.1:172886:174145:-1 gene:KN538715.1_FG071 transcript:KN538715.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPYDIIRHNIMPLLPAVDLIRSQLIAPSWRKAFVDAMQPPSKMANFQPRFGGKVSTHFLLPTDKVQKIASKRMFLPSFPRIDRQLEGHHPVVVSESFGLYCVITLSPEQMWVTNPVRQAFQLVDLLAPIRASQNVGIAVLPEEKSESMFYRLVLPIAEDDAGEGTTSVSFLSWTSNKRHWMRVGNAVVINTWAPLNALQINESLYFQLSQLDIVRVDHLDRDDVQITHIAPPDDIGPQDTFALRWKGRFALSTLSDGEISIFSLEGEDWDKVATFPLLAFIEKRMGVWGAISQTLRFREKDSPESVLSRISESVLLMGCCNGYALLKLSGKRWAFLKLVETDLVEAEQLEVENGQYFTLGAVTWARLSRAVSLVPPFCQTGSYLIAAVHLLVFLRSCVTVDVLNYGCSLRFCLARLT >KN538715.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538715.1:155242:156345:1 gene:KN538715.1_FG072 transcript:KN538715.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRSTCTTETAKGIHRFEIFSYSMMNTEAEDDSIRSGVFNVGGFDWALLYYPDGIDDDSKGYIGVYLELISKNGEPWALVDMNLINQLQPGQHRQLFTKTDVPTPFRSSSFQESTLGSLKCMKRSDIESTPGFIVNDCIVIECNVTVIYEPKVSKTRALCEAETSSALREIEVPPMEISSDFAKMLKDGVGADVTFRVGEDTFRAHRAVLAARSPVFHAQLCGPMKETQMQQITIQDMQPSVFEAFLYFIYTDCVPRMDDLGDGEKKHLIMHLLKAGDRYGLERLRIMCERFLATNLDTEHVSAILGLADLLDLKKLKEACMEFMVPSERMDAVAASQGYQQLKRAFPSLAFEVWERRSRVRRN >KN538715.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538715.1:182935:184062:1 gene:KN538715.1_FG074 transcript:KN538715.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MATECTTSTSTTATVEGRHRFRVAGYGATKGAAPGHRVASGTFTVGGFDWAVVFYPEGVTAADVDFVSVYLELKNAGGGGGGGAMARAFYDLRLIHPATGEPRSVRWPMDGSTSRVFSQAFPSWGHPRFMRRRELEEMGFVRDDRLTIECVINVVQDPVNILGHLAGLLGDKDTADVTLVVRGEEFAAHRAVLAMRSPVFKAALYGPMKESTDANAGRLAIDGVEPAGAQMIMHLLEAADRYVVERLKLICELMLCKSIAVDTVAATLAMADQHHCQKLKEACVEFLATSKKMEGVMESQGYKKMKLSCPSFMVDLWEIIGRKMTSYSVIPNIYARDKD >KN538715.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538715.1:268665:278304:1 gene:KN538715.1_FG075 transcript:KN538715.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MQWGSAQRCDGSPPEDNEDKGENVVFSVVDGFTKCEKWIRDDVVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTMQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRTRPLPKDPVFLFIGILSASNHFAERMAVRKTWMQSSEVRSSKVVARFFVALILYWSSKFHSAWLYGFSLCVEWDDGSMNRKNNSRKEVNVMLKREAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAVHIMKCDDDTFVRVDVVVRHIKLNNGGRPLYMGNLNLLHRPLRMGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFVVSQHANQSLRLFKMEDVSMGLWVEKFNSTSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLFLFDQMPSRDVISWTALLTAYADGGDLASARLVFDDMPRRNAPSWNALLSVYLRAVRPRAAHALFYKMPAKNAVSYGAIISGLAKAEMLREAELVYEEMPRQWRDPVGSNALMAGYLRVGELAMALRVFEGMTVRDVISWSAMVDGLCKHGSVSEARRVFDAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLNMRREGVQVNTTTLSVALDACAEASLAREGIQIHNLIISMGFELDIFLGDSIIIMYSRFGWMVDAKRAFNCMQQKDIVSWNSLITGYIQHDMVEEAHVLFKLMPQKDAVSWTSMVVGFANRGWMRESVQLFEQMPGKDEVAWTAIISSFITNGDYLSAVRWFCRMSQEGCKPNTIAFSCLLSALASLAMLNQGRQAHAYSINMGWVFDSAVHTSLVSMYAKCGRLAEAHHVFSSISNPSLIAINSMITAFVQHGFVEDALKLFTKMQNAGYKPNHVTFLGILTGCARAGFVQQGYNYFESMRPVYGLEPNPEHYTCMVDLLGRAGFLAEALEMINSMPQNDHFDAWEALLSASSLHSNLAFAKIAAQKLLEKDPYDATAYTVLSRMLSSAGMEDVEMLKVVKLSNMTMSWKKLNCMRRRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSASLDDDNKEDMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDAVVGRGRMVAESDLGQLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPAQTRIFINTHALGRNTRIWDDVDAFRPERHLPAAADGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPDDIDTQEVYGMTMPKAKPLVAVATPRLPPQMYGRHGKQV >KN538715.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538715.1:158615:158899:1 gene:KN538715.1_FG076 transcript:KN538715.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGAICERAMCRSLDAGTAADTLAMADQHGFDALRRRCAEFMASPDNYYLVAGSVSHGRLSPSLRREVWNKYYDTCCSRYGDGGPHSDSNKD >KN538940.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538940.1:46195:49102:-1 gene:KN538940.1_FG001 transcript:KN538940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVLPFHFLVQLDEDNGSVVGVDFKIKFLTIGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLAEVWSKEIESHSSNKDCIKMLVGNKIDKEDERTVTREEGLAFAEESGCLFLESSAKTRDNVEKCFEELALKIMDVPSLLEEGSSSVVKRNILKQKQESQAKHGGGCCQ >KN538940.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538940.1:116526:118314:1 gene:KN538940.1_FG002 transcript:KN538940.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPVPQQVASLALPENSELVRLLEERDKIIELSGTELQKLRLANWQLAQANSQMLAELNLGRDRLKKLQHQLACSRAVIATKTSELEEAKKAMKRNRNLPLPEKAPPAIETAQQQQGSDRAAQIKDGDVVNPEPTAASDASNASCTKKLSNASRKRMQRSRSLGPAATTRLAAAPKEKENVQRRWTKISGVPNDSAKKSMRTPVPQPSEHREDLFEIEDLQLAIGGAGDGKAGTAAASDPPEQAAAAAAQFPRRSSLGRPIRRATERVASYKEMPVNIKLRRS >KN538940.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538940.1:21169:28706:-1 gene:KN538940.1_FG003 transcript:KN538940.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRSLLRRRLRSGKVLADAASLSSMQVVNGSKVMLMASQGLHQGDGPITKNSSVPAPSTRRASNVKEAQIQKSDTNVSKIRPERWKATGIIALSDSSLKQSVAVVSISFPPLQRLEPGQIQEEEGSTRVSSRLAVPEEVWGCGSSIRVLDVSNNCIEAIPQEIAALRSLQKLILTANDIADGNISWEGLTCVQTLTVLSLSQNRTRVMLGQQYQRCQGNLSTVADYGHAGKAQLVTLPSSLGSITHLRELRIANNRLENLPVEIGLLKHLEILIANNNRITSLPSSIGGCESLNEVDLSSNLLAELPEAFGNLQHLKALSVRNNGLTSLPSAFFIKCSQLITLDLHGTEITNDVLRQVDGWEEFDERRRKKHQKQLDFRVGSSGVFDEGADDDYRRL >KN538940.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538940.1:57662:60665:-1 gene:KN538940.1_FG004 transcript:KN538940.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQGAVLRRGVRLQALPQRGQAPIEERKIVLTVLILAFDYLLQNSLEIDLNDRHEIPRHEIKKVICSLCDKEQDVQQYCSGCGACMGKYFCEKCNFFDDDFDQFWICSFFFRTGGVDKFFHCDKCGCCYSNVLRDSHHCVEGAMHHNCPVCFEYLFDSTKDISVLHCGHTIHLECLNEMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMIWILCNDCGATSNVNFHVLAQKCPGCSSYNTRETRGCGRPAAARSTV >KN538940.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538940.1:98997:104618:1 gene:KN538940.1_FG005 transcript:KN538940.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGAVPPQIQHVRPPPPPMQQFPPMQMNGQPVWPPQNTQLPPHMPPQMHYRPAVRPPPPNMMPPPPLGMFYYIQLLDHRIGAFSKAGAVC >KN538940.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538940.1:118807:121219:-1 gene:KN538940.1_FG006 transcript:KN538940.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAARALPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYIDLLSLNNLLLAYEDKSAFAMCIFSLALGPGEEPMTFVGKTAGKIVPARGPADFGWDPVFQPDGFDQTYAEMPKSVKNQISHRGKALALVKEHFAAANYKVQNDGSA >KN538940.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538940.1:19356:19769:1 gene:KN538940.1_FG007 transcript:KN538940.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNVRGTFLVCREAANRIPAGAGGRVVTFSSSILGTLLPGYAAYTATNGAVEAMTRIMAKEVAAKGVTANVVAPGPVRTELFMAGKDEAFVKKVEERSMGRIAETTDVAPVVAFLVSDAAAWVNGQVIRVNGGFA >KN538940.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538940.1:160904:164827:1 gene:KN538940.1_FG008 transcript:KN538940.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDYYLVRKGEMVAVYKSLNDCQAQICSSNTVILPVDKVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQTFNRLILHMVCLLHFDGASKGNPGKSGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHVRRKLSLAYAWLGKLKQQLYL >KN538940.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538940.1:135351:147732:1 gene:KN538940.1_FG009 transcript:KN538940.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/Swiss-Prot;Acc:F4HW51] MDENRKLSDDMSKKMEPIHSNGEAGEDKTIIIEPESDANKLEVETDARHGICEAHKVHEYGTMENDLHEEASTTDDDSENDSYEYLLRESDNEQTSESDAGEGDNEAPLTDEEVETLITEFLDAESKAAQAQESLEKESLEKIESEVRLELSESLQGNELESAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGVELPSLYKSIESQVPNVCETEAWKNRAHWAGYQVPEEANKSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKIPVGDDGSAQCHEKSWNAFNELTKSKEYAESSFRSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVEGAVSDIKGVDEIELSEVQRRKYRKVPEEDDAKMTKRLRRHLKERRTRHLHKENIGLASSSNGRCELPPKKLKTYENGVSVELAKRTREDDVEFDNKRSKTVIIESDDDMQTDSKPDSAPSENADEIIDLDIFPSQSPILGDKVRPKSFKCTICTEMLNVPEVHRHPVLDVIICGSCRFLVIEKNRLEDPVSGGYCTWCVKSEQLQSCSSCKLLFCRNCLSKNFGEEGLSEARVAGWQCCCCLPSQLEHLISDCDKALGGVESSDPENDFAELSVIESNGPFSKHKMKKRIRRIMDDEELGEETKLKIAMEKARQEHLKSMQEQSASKLKSNNIGISLEAPSEVSEYVGDGHIVNLAREEDEAPVRIPSSISAKLKPHQYRITITLVMISVQLYLYCYRKLKYIMIFQVSGIRFMWENVIQSVKKVKSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCIQLGLRTALIVTPVNVLHNWKKEFIKWCPAELKPLCVYMLEDVPRCRANIQYLLKKWRIKGGVLLIGYSSFRNLSLGRSARDKTVANEITNALQMWVLIQSIYICHNQCGPDILVCDEAHIIKNRRADTTQALKQMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPEKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAASEKSFQRSGFFAKYQTLALIWNHPGLLQMAKQKGNLRQEDVESFLMDESSSDDNIENYLPNGEKLRSRNDQLSKKSSDVVNEESNWWENLLDENAYKEADYSGKMVLLLDILSSCSELGDKALVFSQSLSTLDLVEFYLSKLQVNGKEGKYWKQGKDWYRIDGSTPSSERQNLVERYGQTKPVYAYRLMAHATMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDEELLEQSGSTMNGHSKVGTEKPPTPNSSETTEHLPLDRLMVNLLHDHSRWIASYHEHEALLQENEEERLTKEEQDMAWLSYNKLLEVAPRKATHDAERKPSTVPTESSLIQPPKAASRSRQPQQPKITSNNQKKCNNLSHLLTLRSQGTKPGCSTSCKECGQDISWETLNRDGRSR >KN538940.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538940.1:63872:94760:-1 gene:KN538940.1_FG010 transcript:KN538940.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGDIMNNRSVCVKSLICQVTALKPCLLWRVKLALLCSQIWHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRWKEFCTDTSLEKASLYLQAEEVDCKTDADGLTCLADSLPDWHEFSVERQHGSGSNICPFVLTATLRKSYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGELMIHNTQARHTDLQVGRVTLQCSDKPALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSETGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVTDSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAEKKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKSKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGAKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDDILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLVNSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPLSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWSEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKDSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNATEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLSSLGEVCSNIVEEGKLRFLVHFDVSSADLHYGFSLSLPGGWPVEQKLKDFIWYSKETCVQRNCMYLQSLGSQYAAYQISTLKSNSSLLGPITSTHPYILPATSLLELQFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQFETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEVLSKASTTEVIANIEYMLKYAMDFSLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVKVASSILEMWYNYHTFLWTYCSGRPKVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLDLEGKVRHQCEELAGSSSAEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEVDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYFEREYLKKIKEDDIERALGAIFAVMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHAGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNGEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELADGAGVGAYSSNSLKKFQNILYNMFGYYMQFLPLVLGEIEAGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNFVTNSNHDILQKPVMVLLNQESVARKVPCWLDQQLPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKNGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELSAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSALSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDMSQLEGTANEMDALRKPEQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDVMDKADAYDDRTAPELPEPKDDSEDVDMDGTERIDEMDADGEELSPEEEKLAADGSLDDSDDVEDVDAAQHGDNEIDGDQEHAEDGQMETNNMEKEVHNIESLEHPSQGIQPNSAEMDYNRESESNLANSTDLNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHSTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVTRDDLANDRELSTPMEIDTDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNMISSLSFEQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE >KN538940.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538940.1:30550:31839:1 gene:KN538940.1_FG011 transcript:KN538940.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MECDLAWRFRPAEDTNGRTTYYKDYRRFTLTRDVNTCNLVVGNVGEYHSGTGAKRSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASELELVVGEAVNDNLPVVESESEFSRGKYLIYMGGGERCKSMNHYVWGFLCALGEAQYLNRTLVMDLNVCLNSRYTSSGKDEERDFRLYFDFEHLKQSASVIDQSQFWTDWGKWHKKDRLKNHYTEDIKVTPMQLRDVKDTLIMRKFGNVEPDNYWSRVCEGETEAVIKRPWYLLWKSRRLMEIVSAISSRMDWDFDSVHVVRGEKAQNKQLWPNLDRDTSPDSLLTTLNDKVGAGRHLYIATNEPDKSFFDPMKGKYRTHFLDDFKDLWDENSEWYTETKELSNGNAVEFDGYMRVAVDTEVFLRGKRKLETFSDLTRDCKNGVNTCPASA >KN538940.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538940.1:7627:14933:1 gene:KN538940.1_FG012 transcript:KN538940.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVLQNRYMIGPVKMIWEYQIREVLIMFSLEDIGALSDAKMASLRIFEELQDVDYLARSCSRAMKAVGVVEASCLFGLVIFVMYDIGDVMMMGAMVVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPIKLPVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLVPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >KN538940.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538940.1:105252:110357:-1 gene:KN538940.1_FG013 transcript:KN538940.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGPVYVVTDPSDGDPVNPAPGTLRYGAIQEGPLWIVFAGDMTIRLNEELLYVSNVIIHNIHVHDCVPAGNANVRASPTHYGWRTRSDGDGISLYSARDVWVDHCALSRCADGLIDAIMGSTAITVSNSYFSHHNEVMLLGHSDGYLPDSAMQVTIAFNHFGIQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTEEGQWAGWNWRTEGDMMVNGAFFVPSGEGLEAIYDKASSTDPKSSALVDQLTAGAGVLGGPRDNGEAEAYAGVNYAGVGTGGGGGGGAGAGGMGYGYLGMVYGSGGNWSCRANLTLQLTSLFLALVALICLHPL >KN538940.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538940.1:171193:171717:-1 gene:KN538940.1_FG014 transcript:KN538940.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKSARSASSRAATPTPASRRSTPCSPAASRCSSTRRRRTTSTLGTYRGIGPDYRSYSVFVPHTDVVAGGRNASIEAALRRIPAATVARMREEVIRLIPRITYRDPAATLVTFRDAFDVAVDAVLDRVARRRRAAAEGREYVDVFDGHDSWKHNLLDDGQTQIGPHEFDPYL >KN538940.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538940.1:126247:130097:1 gene:KN538940.1_FG015 transcript:KN538940.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAASPTAAAPAIAASACAAGDSAAYHRGACFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVANVASNIKAGLYDIGIAAGLESMTVNQVRLDGQVNPKVESHRKAAAAAASGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGARAVLLMRRDIAMQKGLPIVGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPAKVNVNGGAMALGHPLGATGARSVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARCIPIHNRLSKDAM >KN538940.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538940.1:40325:45655:1 gene:KN538940.1_FG016 transcript:KN538940.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSLRDIAALYNCEASLEKVEEFRKAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPTVGRILRIEKLAETIINDESFSASSWTLDSFTEIFVTKLKSYPPHIAAYRSGLEIDPNISKTDAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSIKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVANGSVGQITAIADNGIPLSEQDVLFVRVFWIDTSGQHRCRVVPAGRFYEIARKKGIGLTFASMGMTSFTDGPADGTNLTGVGEIRLMPDMSTLLRLPWSRREEMVMAEMHIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMMAGFENEFFLRKKVVSGEKELWVPFDNTPYCSTTAFDGASSVLQEVYTSLKAAEIVVEQLHAEAGKGQFEIALKYVLCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWENDQNVFMGSSEYNYYGMSRMGESFLAGVYLHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRSLRLPEPTESNPADYASNSKLKRMPKDLMESVEALAADKIMHELIGDKLVTAVIAVRKAEIDHYEKNPAAFADLIHRY >AMDW01039425.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039425.1:347:604:-1 gene:AMDW01039425.1_FG001 transcript:AMDW01039425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAAATEKARPGRSRSTRWPAVDGGLDAPTVFPGSEDGAWLQDSGVAATDDDEICHDGWQAATVEKRCGAAIGDGCDRNGIG >KN540982.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540982.1:9778:11340:1 gene:KN540982.1_FG001 transcript:KN540982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAIQDVCADLQPQSMVVADLGCSSGANTLLFVSEVIATASEKIPTDNKTRESTMEVQLFLNDLPGNDFNHIFRSLEQFKQSTMQHCTQRGLQPPPHYVAGMPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPEHLDNNMNKGNIHIGVSTPPLVAQLYLNQFEKDFSRFLQLRCKELVPGGRMVLTILGRKNSDVIHGGGAINSNCELLSQALHTLIAEGRVEQEKLDSFNMPMYGPSPDELKQLVQRSQLLDIVDIQVFDLTSDAVEKSKLEVGAPAFATQDNVHEAIGHNNAATLRAVMESLFANHFGESIIDDLFAVYAHNVTQQLETPEKKGGVTVISMSLQTKVLK >KN540982.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540982.1:33703:35496:-1 gene:KN540982.1_FG002 transcript:KN540982.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVYALGLISQNVQSAKISSIGFSTSTNQLAFFWVPFLLIHLGGQDTMTAFSIEDNNLWLRHLLNLCIQVFLALYAFWKSTGRHNLQLLAPAILMFHTGIIRYGERTWALKCGSRNGLRETSWQLPKLNVERQVFRFQGDRPLEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRFISHVFMIAAFVLFLIASNKQQYKRVDIVITYVLFIGGFVLDVCSFFLVVMSPWTWAFFRAQNCYRLAHISWLILCSCIGWPEKKPLWSSSMGQYNFLSSSIGFDESRSSSKMFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEAIGAESETASSSTANIMDICRKISNYVVYLLVVQPSMLPLTGTADDTTAAFYEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWRETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSGQFCAFHFPKESEQ >KN540982.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540982.1:23012:26192:1 gene:KN540982.1_FG003 transcript:KN540982.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAMVSVATGVASAVLEKISTLMEKEYGKLKGVRDEITSLKDELSSMNAFLLKLSDIEELDVQVKEWRNQIRELSYDIEDCIDGFMHRVDCSSDSSDTKCFFRKVIHQVRTLGARHAISNDILKLKARVDSASERRKRYNFDAVISSSSAIVNVDPRLPALYAEAESLVGIDEPTNDIIKWLTEGDGELVQKLKVVSIWGPGGLGKTTLARQVYDKIGRQFDCQAFVSVSQKPDMRKVFRNILISVTGVEYIGIEEWDEEWLINKLRDFINCKSSTDWQIIRCVLLDSNIGSRVLATTQISYVAESCCPADQDKVFEMKHLSAVHAEKLFLKGIFGSGDSCPPHLKEVSNGILRKCGGLPLAIITMASLLVNKPQTKEQWEKYRDSIVENDPIVNRMQKILSLSYNDLPHHLKTCLLYLSTFPEDFIIERDRLVRRWIAEGFIATEGGCSLEEVGEDYFNELISRSLIQVVGIKYDDRANTCRIHDMVLDLIVSKSIEENFITFIGYHNRVCGLQDKSLRVINIENNDTLENYYLNGIGRLFQLKYLRLSEVSISKLPEEIGELQQPETLELEHTKINELPKSITRLKNLVFLRADYTSLPEGVGNMKALQKLSWIKVNTSAPSTTLHEMGSLTELRYLDINWCIGDMCSDMKSYTESFGSSIIKLCKHKLQYLRIRSEGSQGCFLGFLLNSWSCPPYLLQKFDMYTEYYFPRIPDWIASLSKVTFLDIKVNPVDEEAFRILGNFPSLITLWLWTKTVVPKRRFIIHNVGFKHLKEFYFGFWRIEMGPIKFEVGAMPKLQKFLFDIKAQGAGPPSGDFDIGIEHISSLRHLRIGIDCIDARPCEVEVTEAAVRNVTSVLPSNLQIEIERHRAGQMVKEKMGSTDHDGEQNRGIGMHQE >AMDW01021274.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021274.1:121:288:-1 gene:AMDW01021274.1_FG001 transcript:AMDW01021274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAADAVLRGDSLHALSTVPAAFTDRDMAGLYGNVGAAAGSSSSSLENDNSSASAP >KN547872.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547872.1:73:396:-1 gene:KN547872.1_FG001 transcript:KN547872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRVEAPATMQSYSPIDLVVVLDISNNIDLTGAQSSEKSSMLDVLKKAIKFIIKHLDNDDCLTILIFGSNPVEICRKDWSSSAEKKVDELVVGHIGSRSGLEKAVE >KN539225.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539225.1:30062:32694:1 gene:KN539225.1_FG001 transcript:KN539225.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKSVSKHLQNIAFRFLFSYSIEPLMEGILSLIAIKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >KN539225.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539225.1:75082:79341:1 gene:KN539225.1_FG002 transcript:KN539225.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSYGENVRRKSHTPSAIVIGGGFAGIAAANALRNASFEVVLLESRDRIGGRIHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGHQVPQELVEKIGKVFETILEETGKLREETKEDISIAKAIAIVMERNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVEIVRHRNRVEVTVSSGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKLSDEAAAQFAFSQLKKILPNAAEPIHYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGLMAAEECRMRVLERFRELDMLEMCHPAMGEQTATVSVPLLISRL >KN539225.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539225.1:9267:10736:1 gene:KN539225.1_FG003 transcript:KN539225.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKHQNKISGSSFPFPVSSYMLKCKEQLTFKDSSRRRIYGSNLFAMLFSGDERSQFAYITFEDDEGAERAMLLTGATIVDMSVIITPATNYQLPAAVLADIEDALERAKSFDEKHQLTSTATAKVTSLDRKMGLSQKFSTGTLVVNEKMKEMDQKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYILTGAAWVTDAYSKVATTATDAGAKSKEMVVAEQDDKHQDDKPAKDYSPISSKEFVQEGKHQEGERPKKNMPEKPEMGKRELENQEAAIPTAHAQENAQITGKEHKHQEADLPKADIPGSFTMAAESEKHKHPYSELSKTHLPGSPATIPVCTATTDVNSTKTPKKPENAEGFI >KN539225.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539225.1:42975:45215:-1 gene:KN539225.1_FG004 transcript:KN539225.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11860) UniProtKB/Swiss-Prot;Acc:O65396] MVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQVSSQYMDKRSIPVRYKGPLAAPTLQLLTKEDLNKMYFSDFKMIDINGYACFLTRTGVNILRISYSYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >KN539225.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539225.1:55046:58021:-1 gene:KN539225.1_FG005 transcript:KN539225.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRINMATNVLGFNISMPIMIAPSAMQKMAHPEGEVATARAASAAGTIMTLSSWSTSSVEEVYKDRNIVRQLVRRAELAGFKAIALTVDTPRLGRREADIKNRFNLPPHLVLKNFEALDLGKMDKTNDSGLASYVASQVDRSLSWTDVKWLQTITSLPILVKGVMTAEDTRLAVESGAAGIIVSNHGARQLDYVPATISCLEEIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLAEITRNHVITDSDRIRRSRL >KN539225.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539225.1:80553:92371:-1 gene:KN539225.1_FG006 transcript:KN539225.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVGLLEGGIGWLAETMLENLAETDKLGQWISQVGLTDDTEKLRSEIERVEAVVVGVKGRAIGNKPLARSLGRLRELLYDADDMVDELDYYRLQQQVQGDPWQGGTGSLDEHEAEQAERPSSNAAIASSSGAKKRSKAWGHFDITEEENGKPVKARCIHCHTVVKCGSEKGTSVLHNHLKSGSCNKKREATDQQPNPSSSTADTAANSTLVELGGSGSDIRKRMRINGESTHNDAPYAHPWKKAECSTRIQQITRELQDARGAVSEILKLHGPCSVGNSNHRTSTTTTLCRRTSSLNPHKIYGRDAEKNTIMKIITDDSYDGVTVVPIVGIGGVGKTALAQLVYNEPKVKRDFERIWVWVSDNYDELRITMEILDFVSQERHEESPCRKEIRKGVSSFAKLQEILNGYINIQSKKFLLVLDDVWDSMDDYRWNILLDPLKSNHPKGNMILVTTRLLSLAQRIGTVKPIELGALSKEDFWLYFKTCTFGDENYKAHPSLKIIGLRLPLNLISSLKTLSIAWCNDLTFYGSKVDFAGFTSLEELVILGCPKLLSSFTHDDGNYEQLNGRWFLPLSLGELDIEDVDSLEIMQPCFTGNLTRLKKLAVWGNQSLTSLQLHSCTTLQELIVENCSSLNSLEGLQFLGNLRLLRAHRCLSGHGEHGRAVLEGLEPHTNLKHLEIYSYNGATPPTWLATSLTSLQTLRLECCGQWKMIPSLERLPFLKKMELESMQKIIEMTVPSLEELMLIDMPNLERCSCTSMRDLNCSLRVLKVKKCPVLKVFPLFEDCQKFEIERTSWLSHLSKLTIHDCPHLHVHNPLPPSTIVLELSIAKVSTLPTLKGSSNGTLTIRLPNDDDVPDKLITLDDNIMSFHNLSFLTGLEIYGFQNPTSISFHGLRQLRCLKTLKIYDCPKLLPSNVPSELTGEYMSGENHSALPSLVRLHIEKCGIMRKWLSLLLQHVQALQELSLDNCKQITGLSLGQEENNQPNLMSAMEDPSLGYPGEDKLMRLPLNLLSSLKKVSITLCNDITFYGSKEDFAGFTSLEELVISRCLKLVSFLAHNDGNDEQSNGRWLLPLSLGKLKIKHVDSLKTLQLCFPGNLTRLKKLEVWGNQSLTSLQLHSCTALQELIIRSCESLSSLDGLQLLGNLRLLQAHRCLSGHGEDGRCILPQSLEELFISEYSLETLQPCFSGNLTHLKKLQVKGNSSLVSLQLHSCTALEELIIQSCESLSSLDGLQLLGNLRLLQAHRCLSGHGEDGSTIHCSPSLSLVKGLEISGADQSVEISVAVTGSPSPSETRCELCDQDGISFT >KN539225.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539225.1:7719:7979:-1 gene:KN539225.1_FG007 transcript:KN539225.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMIWAAAEDLARSRPVVLSLYRRILRALNSSELPLGHAARLAKKAECRAVFVFGAEERSLHNIRDLLDAARHTLGLLNRGRLP >KN539225.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539225.1:115039:125533:-1 gene:KN539225.1_FG008 transcript:KN539225.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCSTVGAAAIGWLVRSILGLFTDDLSSWSAQVCLDEDINKLISEMKRVDGLVLEIAKGKKIDSELLALSLSNLKDLLYEAEDVLDELDYYRLQEQVIRGNSGDVTATTALGPSEDTAPSSTSSSFSFSQIGSYALRCSSNILSKASDVTSQKMKRQKTHTTRAGTLLENKGRFSAMIDQVAGKLREARTSVIEDLETVGLIVAATSDWSHHSTPPATNAATSSYLLEPKVYGRDAEMESIKKLIMTNKSNDITVLPIIGTGGIGKTTLAQRVYNDPEIGNQFEVKMWVHVGVSNKFDVDKMTREILECVTKKSQQKGNFNMLQEELQEKKKFKTFLIVLDDIWDVTTEDCWNRLLAPLRSNDVNQSQEEVTGNTIIMTTRIQTVAEKCGTAGSITLKALEDGDTSQLFEAYAFGNNNPASYPNLHDLGEKIVRKLNGNPLAIKTVGRLLSRNLTTDHWNSVIENEEWKSLQNIGGVMHALRLSYDHLPNRLQQCISYCSLFPEGYFFSEAQLMQIWIAQGFVEKSSERLEQKGWKYIAELVNSGFLQQVESMRSASKYFVMHDLMHDLAQLVSQAEFATIDGSECRELEPSIRHLSILTDSAYRKDKYGNISRNEEFEKRLLKVTSRSKLRTLVLIGKYDHHFFQSFRDAFKEVQHLRLLHITSTFAYFDSFLSTLVSSLNCKHLRYLRVENKEPQGALPQALSECYHLQVLDIGSCGTLDIPNGITNNLVNLRHLVGDVVVASRNARIGNKTSLQELSDFNVHNSIGIEIAQLQSMNQLVQLSLSQLKHVTTRVEAYGARLRDKQNLEKLHLSWKDAKDGYDSDMSYENVSYCDMSSENVSYSDMSSENVSYCDMSSENENDCDMSSGPCMDMETRREGLPMGDTNKSPILKPFPDIASEVLDGLQPHHSLKHLRISGYDGATSPTWLPSLTCLQTLHLEKCGKLQRLHFESLSLLVNLVLIKMSATEISIPSLEQLVLTELPSLNTCSCTSIRNLNSSLKVLKIWYCPELKVFPLFENCQKFEIERTSSWLPHLSKLTIYNCPLSCVHGSLPPSSIVSKLLIRKVSTLPTVEGSSSGTLRIRQGPAYCSDNDSDQLETLDDKPCFPGNLTQLKKLQVRLSPSLTSLQLHSCTSLQELIIESCKSLNSLEGLQSLDNLRLLRAYKCLSGYEEYGRCILPQSLEELYISDYSQETLQPCFSGNLTRLRKLHIWGISSLVSLQLHSCTSLQELIIESCKSLNSLEGLQSLGNLRLLRAYKCLSGYGENGRCILPQSLEELYINKYSQETLQPCFQMNLSCLKKIEVLDTASLKSLQLQSSTALEHLRIKGCASLATLEGLQFLHALKHLEVFGCPGLPPYLGGLLGHGYELCPRLERLEIDDPSVLTTAFCKHLTLLQRLELYIQGMDGARLTDEQDTTLQLLTSLQELQFEYCYNLIDLPTGLHSHPSLKRLKISWCQNLARLPEKGLPPSLEELLISNCSDELAQQCRTIASKLKVKIDGRYVD >KN539225.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539225.1:101689:102048:-1 gene:KN539225.1_FG009 transcript:KN539225.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVVIIRILALIPDAYRNAERLPVALISGGVVEAVAAIFLAFFKPPGGLFEHHGKAPFYLYYGILGFVAVFGFAEATAGFWVSGDLVERRAVGKTFLWVSILPLVLVAALGGFVFMK >KN539225.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539225.1:46130:52093:-1 gene:KN539225.1_FG010 transcript:KN539225.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTNVCEYEELAKHKLPKMVYDFYAAGAEDQWTLRENSEAFSRIFIEEIYKDRNLVQQLIQRAEKAGYKAIVLTVDAPWLGRREADVKNRFTLPQNVMLKIFEGLDQGKIDKTNGSGLAAYVASQIDRSFSWKDIKWLRTVTSLPVLVKGIITAQDTRIAIEYGAAGIIMSNHGGRQLDYLPATISCLEEHESQVVREANGRVPVFIDSGFRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRNALRMLRDELEITMALSGCTSVVLQPDPFLSELTSMYERSTEKGSVWVTMKRSSMKCQARLKKMEAKGEAVELRCLVRATDGKKNICTSALSAKEYLKFQASYATVLKAHMHALKKRERKDKKKAAEVEKIPEKAPKKQKKAPSSKKSAGSKSFYAWNVKLRN >KN539895.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539895.1:25355:29756:-1 gene:KN539895.1_FG001 transcript:KN539895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAAPVGFDWGEEEDWGERDLDAADGNDDDDDDDKPVAASADHEASELHKRVQEAFDCSPKGSWPCLCCAIDQMYKKKNRSSGAFNKKGMESHYQLLHREIWKSRYRSQCKKCYNFFKNRELRKIHEDNVLTCSGVLLNIIGEFKESEESFEGEKATVSCSLAMKDLLGGDESQVKLSESLFGFAPIIITLLYKGRLTSLEMEICYAEKSYICFACDKYRWLKCDTMAKLVNNPQAEDYLLAYRPNGHDIQVPLDMILWLIAIQVRMITIWTLVVVSIAAPLDINPVYEGLHSECKYTMVSAVRVSVIAFSDAFLSYTRVIVWVCKYLNVSVAGLLQEEKREIWLHYFVGIKVKEFICGRPSEEVNKEVALFDSECNQGRDICFAREEKWLVYDKTHSEVKEFDSWEKVLEEYSRSSFRPQIIFFERIDPASKAAGTEVTV >KN539225.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539225.1:16239:23426:1 gene:KN539225.1_FG011 transcript:KN539225.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHSPLCSRSRPVLVVRPTTAAAAAAVTGFTQAIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTDEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIVGFHEQEKSVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISEQDVTEEAPEEITGKSIEGEPLSRETEEVLFADDDPRIIKDEQYEPDIAPAQDDVDPQVLRRRLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNRSMSALASEPDILIKGAFNGWRWKKFTERMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESEIDEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEERAAMEADRAQAKAEVEMNKNKLQNILNSASRYADDLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAERLVKSYEKDGDWWYADVTLPERALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAEMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRQSFTWGNTEIKVWFGKVEDVPVYFLEPQNGMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHYSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQRLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYLELYHSARKN >KN539225.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539225.1:3933:5477:1 gene:KN539225.1_FG012 transcript:KN539225.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATKWEPESLEGMEAHDAYLIASQLNLLKEQQDATYVANLQMELQQARDRVSELETERRAAKKKLDHLFKKLAEEKAAWRSREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYDNERKARELTEEVCNELAREVEEDKAEIEALKHDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDVEAFIAACSCARGDIMVVEEAENIIQAIKTVRAQDIEFRYEPPAQSEDIFSIFEELRPSEEPVIKEIEPCYKNNSAMCESEIQEASPMTDIFLEKPTKVYSSKSPQNESDTEDGSSWETISHEDMQASSGSPDGSEPSVNKICDGSISWTSRNDFEYKEAEKLKDDSTDVYMTNMNQPKKKESALSKLWKSSRPKNNDVCKKDAVEMINGRSSNVRLSVGTHSTIDSGIQEIGLSSPSIGQWSSPDSMSMQFNRGFKGCMEYPRTSQKHSLKSKLMEARMESQKVQLRQVLKQKI >KN539225.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539225.1:64847:66669:1 gene:KN539225.1_FG013 transcript:KN539225.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MSSILRSIQLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPDYVEHLIKIGTDLVCRPGIPRFSDVIPLLLAFVRSRQAPGKPVLWVAHNAKQFDARFLAQEFDRCSAPLPADWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQVFQKMTFDLKLTNEELINEAMKASEFSKIS >KN539225.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539225.1:130750:131209:-1 gene:KN539225.1_FG014 transcript:KN539225.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRYLWAMSLSIFAGSSSTALGYQTATQVLLWWFIDGVQFIRMAYMDFADNGSLSKAFELNGSEFGGYSLYVDEVRPRPDKEMVDLAVTVAVGGWAR >KN539225.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539225.1:95016:95966:-1 gene:KN539225.1_FG015 transcript:KN539225.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MELQISVKKSRTQPDRMWARFAPPADRKTLHCFAAGCKVVLGNGEDALFWKDNWLPDGGSVENRAPILFSFVKNSGMSVAAALRDNSWVSDIRGGLSVQALGEYLALWDVISGISLDPASRDEILWKAAPDGVFSVRSAYSLFSAGRTRCPLGKIIWKSRAPARCKFFMFLAMRNACLTADNLQRRGWKLAPVCHLCSNDGETCQHIFMGCSFTQQVWGRVRTRLGLSCSSPSADFADWWLAARKSVAKVDRKTFDAGVILVTWLIWKERNARVFEGIAATIPQLCSAMGDEWETWIAAGLVSPMCHSVATHSVRF >KN539225.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539225.1:24105:26367:-1 gene:KN539225.1_FG016 transcript:KN539225.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPADDPRSFEQQWRVHCAYCDGAYDQVGFPGLEIQIHSCWLFFPWHRMYLYFHERILGKLIGDETFALPFWNWDAPDGMSFPAIYANRWSPLYDPRRNQAHLPPFPLDLDYSGTDTTIPKDQLIDQNLNIMYRQATRKAELFMGQPYRAGDQPEPGAGSIESVPHNPVHRWTGDPRQPNGEDMGIFYSAARDPVFFAHHGNVDRMWHIRRALLFPGDTDFTDPDWLDASFFFYDEEARLVRVRVRDTLDPAALRFTYQDVGLPWLNAKPSTGAAGTPAPSGGAFPATLDKTVRVAVTRPRASRSREEKEEEEEVLVIEGIEIPDHSTYVKFDVFVNAPESGDGTATCAATCAGSVALAPHGIHREGQLSPRKTEARFGICDLLDDIGADGDKTIVVSIVPRCGCDSVTVAGVSIGYAK >KN539895.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539895.1:59192:60436:1 gene:KN539895.1_FG002 transcript:KN539895.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGRALLGVGVGLGFTTQSVPLYMAEMAPARYRGAFSNGIQFSLCLGALAATTVNFAVEKIRGGWGWRLSLALAGVPAVFLTVGAVFLPETPNSLVQQGKDRDTLTGINAIGFYLPVLLRTVGMGESAALLATVILVVVSSASTLASMFLVDRFGRRALLLAGGAQMLVSEALIGSIMAAKLGDEGAPSKAYATLLVVLIGVYSTGFGWSWGPLSWLVPSEVLPLEVRSAGQSVAVATCFALTVLVAQCFLAALCRMKAWIFFFFAGWIAAMTAFVYFFLPETKGIPIEQVGSVWEEHWFWRRIVGTDEIHASSKLSK >KN539895.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539895.1:11570:12629:1 gene:KN539895.1_FG003 transcript:KN539895.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVPACCAREGEDFATVAANVHAVLLDERAACGHGSWVNPMKEGGGEVYPVVGPGVYLTSTSQIDECRDHDVALLSSFALLDLVGTKSLCYAANEGDFVNPVFQSHRGFTM >KN539895.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539895.1:39808:40818:1 gene:KN539895.1_FG004 transcript:KN539895.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVEAAFDEDEGGLVYDIDEDPSEHPPVRIPAREARNCMYDRAVLSCGPRTRAGDAAAACVVLLLHKPMCELSYARPGDKRWTWVSPDAGTGLQWRNRYCDAAYNKDDGLFYVVRRDDSVHALDLTGPSPVARKVFHEREWSRSLASRFLENVHGLCGIPFRYLVHTPSGEFLHVWRFRNSVSSYDLSLDDQDDNDDDDDSCDSLQEESSPEDEDDDSCDPPDEELVTEDIQLYMTDFHGQKLEAMDSLDDHAMFIGYNAPLCLPTKDFPGLSPNCVYITDDSLEYINYSRRNNKRDIGVWSIEDQKLQSLGGASPIKDPWLNWPAPIWITPSLL >KN539895.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539895.1:48691:49956:-1 gene:KN539895.1_FG005 transcript:KN539895.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGISDWSSLPKDIVIVVMGKLEIPDLLSAGAADDPDLATFYSPSNNATFKVRLPGPPFRRRYTVGSDHGWIVTADELSNLQVINPLSGVQIDLPPVTELYNVESFTDEQGSLMYNNYEDSMHRDDPLGFPVPYPPQRLRLFLYFRVILSCSPSAGSECVVLLLHSPDGQLSFARIGDHSWTRLTDIENLWDRGYRCAVYNKNDGLFYLLHFQGSIHTLNLNGPSPVVNEILKGVTAWDNPTKSIVMTPRGDMLQVWRCRELRWNDAPVQFPSEDSDDVHDPCQELYTDEMLLYKVDFDGQKLDKMDSLEDHVLFLGFNSSICLSAKDFPNLRPGCAYLADDSYEEIGINKHTLREVGIWNFKSETLESLGDPQSVLPWLNWPPPIWITPSIL >KN539895.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539895.1:8980:9936:1 gene:KN539895.1_FG006 transcript:KN539895.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLYEAVWPDEVKSDPQKREELEEFLSPDPVAYRAQKLRMFLYHRVILSCSPSAGRNCIVLLVHKPDGMISFARLGDERWTHINRAASNGSLKRDIGYTDALYNKNDGLFYLLSFDGSICTLDLSGSNPVARHIMRKKTIWDNPSKYIVLAPWGDLLEVWRLRETEETDEIPDNASLDGDSEPDDEIPDNVALDGDSEPDEPDEDRSDRWFTEEIMLYKVDIDKQKFVKMRSIGDHALFLGFNSVVCLPTKDFPMLKPDCAYLSDEFYEEICGNMHNWREIGIWDLKNCKLQSLGDVESLHPWRNWPSPIWITPSLN >KN539895.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539895.1:66010:72012:1 gene:KN539895.1_FG007 transcript:KN539895.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQQEPGSGSGSGSGKRMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLISGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >KN539895.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539895.1:44559:45836:-1 gene:KN539895.1_FG008 transcript:KN539895.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADWTSLPDDILFEVMRKLGIPDLLNAGAVCSSWRPTYSSLRLPITDKSPCLLYSCDADADDDVATVYSPSSGATFKLRLPSPAFRRRYMVGSDHGWVANADELSNLQVINPLSGVQIDLPPVTELYHVESFTDDRGRLMYSNQDNWMRRHDPQWLPVPYHPHRLRLFLYYRVTLSCSPSAGSECIVLLLHRPDGELSFARVGDRSWTRLTGIENLPETGYRYAFYNKNDRLFYLLNCLGSIHTLDLNGPASSPVANQIFKEMALWDNPNKSIAVTPRGDMLQVWRCRDPRWVDTPVRFPPEDSDDVYDPCQELYTDEILLFKVDIDGQKLVKMDSLEDYVLFMGFNSSVCLSAKDFPNLKAGCEYLADDAYEEICVNKHTWRELGIWNFKSETLESFGDPPSVLPWLNWPPPIWITPSIY >KN539895.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539895.1:15373:16131:1 gene:KN539895.1_FG009 transcript:KN539895.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRVILSCSPSAGRECVALLVHRPDGMISFARPGDERWTHINRTTSNGSLEWDTGYTDALYNKNDGLFYLLSFDSSICALDLSGSSPVARNIVKKNTQWDNPSKYIVLAPWGDLLEVWRLRDFDEPDETPECSSAEFEDRSDKWLTEEIMLYKVDIDKQKLVKISSIGDHALFLGFNSVVCLPTKNFPMLKPDCAYLSDEFYEEICGNMHNWREIGIWDLKNCKLQSLGDVESLHPWRNWPSPIWITPSLN >KN539895.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539895.1:1998:6564:-1 gene:KN539895.1_FG010 transcript:KN539895.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEFNRATAGDASSPAIHFGDGSGYVDPDPGHSFQAIYEQVYGDAYAWGTTSPATKPGVPSPPMSGFAQEAEKERAGMSSTVMNGFRPEKVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVSNDGKQLRAGLPQRTIFDALHDAGHSFGVYYQFPPSVLFYRNMRQLKYVGNFHPYDIAFKRDCKAGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVAHGQRLVKDVYEALRSSPQWHEILFVITYDEHGGFFDHVPTPVAGVPSPDGIVSAAPVSFAFDRLGVRVPTLLVSPWIEPGTVVHDPASCGGAPEPTSQFEHSSIPATVKRIFGLKEFLTRRDAWAGTFDTDRERP >KN539895.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539895.1:52371:57041:-1 gene:KN539895.1_FG011 transcript:KN539895.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVWATVMMQKRGGTSARRPISTARKAALMKLQLDCRASMTSLPQSTRRGPINQPGSHVPYATWNLCNRGSTRRGSWMLGGWSHTTSASTPNSCLRIRGGRSSAQNARIISRTSKTMTGITKHSEKIISTLAECGGGGIPVKLLLYLQIGLAYIKMLLVDAEAVMSPIAKACFWEKLVNNSPEDALLAQLQNGHEPEVPLAVILQYLMRLMDEDRIRKELELLSSVPKRASDCEKELLESWRWETTDGDDLAERKKILSRAKEIVFELIDSEVTFLNILYIMYKFKPYFHSAIGSAQVGMLLPDAEVDYWKKWLLKNCQVDYLTVISPMAKACLWEKLANNPRPQNGYERQAGNITVYRVVESVLERLHMAQTPLHFEFKGESLVPKFLGCICQTHTLFGLHIIEKKCNCVNEVPTKTAELAISHVYKGLHSESMYTLASAVCCDDEGRSFACDMDMMKGIVKAIPSQLSHLNLTHIWFRVIPYHHHLCFVSLQSGFKHAKKRDPGLLQ >KN539895.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539895.1:17522:18325:1 gene:KN539895.1_FG012 transcript:KN539895.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCELSYARPGDERWTWISPGAGTGLRWRNLYCDAAYSRNDGLFYVVRDDDSVHALDLTGSSPVARKVFDERTWSTSLPSRYLEDVHLPCAQYLVNTPSGELLHVWRFRQWVSSYDSSSDDQDDSSNDSSSEDQDDDSYDSSSEDQDDSSSRDLYEDLITRDIQLYRTDFHGKKLDAMDSLDNHALFLGYNTSLCLPTEDFPGLKPNHAYITDDSLEFVNYFKRNKKEIGMWNIESQILKRFGDESSLEEPWLNWPAPIWIIPSLL >KN538857.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538857.1:206264:206809:-1 gene:KN538857.1_FG001 transcript:KN538857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKEVDASNNSGKPRWLAATKGSDHSGGIGAFSLGAWRCKGVARRSASMCCGQLDHSSGMHDDGEVWLRAGPCSDIAGIVRWSSRRIWGPELRLGRIAPSSWAATTADRPLCPIPSHLLGAPTLLGWFIGRKELHGETVKTAAGEEGRGCRHVAVGGGIVTSPPFLGEEMEVMACEEG >KN538857.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538857.1:187717:191386:1 gene:KN538857.1_FG002 transcript:KN538857.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSDKPFFPANATVDLAPYLRSGKSDRKGAIIGGILGGVAFLFLLGLLAFLWTWRSRKLLKPHRGDILGATELQGPTSFYYQDLKVATNNFCEESKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCSSKGSECLLVYEYMANGSLDKFLFGDKRGTLNWKQRFNIIVGMARGLGYLHQEFHVCIIHRDIKSSNVLLDDEFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEIISGRKLNDARLDPDSQYLLEWAWKLYENNNLIELVDKSLDPEEYNPEEVKKIIQIALLCTQSAVASRPTMSEQQVDVYL >KN538857.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538857.1:163706:167817:-1 gene:KN538857.1_FG003 transcript:KN538857.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYGVQEIHLPNVKSALPYVEINLDVFPEREAEFASRAGASARVPQIFLNEKLLGGLVVLNSLRNSGEFERLVRDLAGRRCPDAAPRVPVYGFDNDPGKEGGDREDAMVGIVRVLRHRLPIQDRIVRLKLVKNCFSGADMVDGIVNHLECSRKKAVEIGRELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAIPKYYNFIRGATNDGEPKLAAAIGQRMTKIMVAILEAYASDDRRHLDYSRIAASEEFRSDRDELLRRRYANMVQELQRVDMSALPAEESLPFFLNLHNAIAIHAVVRVGQPGAIDRRSSFSDFQYVVGGHPYSLATIRNGILRSNRRQPYTIAKPFGSSDKRLELVQGKVNPLVHFGLCDATRSSPIVRFFSTQGVEPELRHAAREFFLNGGVEIDLESRTVHLTRIIKWYSVDFGQDRETLKWILNYLDPTKAGLLTHLLNDGGAINISYLNYDWSLNV >KN538857.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538857.1:141083:143678:-1 gene:KN538857.1_FG004 transcript:KN538857.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGCTLYINGFVQVFFPWVRQIGFQKYSLSIIARFLLNFAFFQFVPLVSATISQSQANSGGNNDGVLRNESELLAALLWLILVELIRKKVQGMLLPTDGSSFSRGIGRLTLMDVSYEVSHLVWVGYLIFANLGRQTRTETLEFRCYLLGLTLIYIFTVLWSLCLAKLVLSLLNRRLASCSLHTARNPLVVAAYMQKLMEKQTATSPPAITLSTCKFVVMGEDRLVLHYNKVNNDDDNNNNKRKRRMRRRDNGVLEPVTIHGYGYGVARRVGGDQNEHKHMHLLLTDPDEYLRLTEHDCVEKGRLITVEDVMNMHEQHANLFKGRRRQLLEDLCISFSLFKMFRRRFEHYPMVEVGSAMARGVMLDGVLKLEGCEPVGKAQKLCSKFTLNRVQGQIQRGFQVLQLELDLLVHYYQQAAAPVVMSQPILFVVNFVSSLFLLCLLLGTVVYILFISSQGEPVYCQIIGWTTTGNGPISNVSFYVTVLLVLTVIAIETHEFWIVHVFSSWNIVRMVCTYHRAAHRPWLRWLYFLVIRVRFLTFSVGKSEMVIYQMSIFDAASPLQKLYATVRAADVALPAIATGRIIDALRSDAVVSRTTGIVSLPDIDGLDFRTMTTTEIILACHLATELLDNEHDDHPPPAADDNDDDQQQQKKKKEKKEDDRKIASVLSRYCMFLVAQIPELLPDDETWVSDSCCIDRYLSKTLGNKAKCVMGDCMHGTVLV >KN538857.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538857.1:152016:160665:-1 gene:KN538857.1_FG005 transcript:KN538857.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKLAFNLPAVFTIGPKITPAAAPEVDGASNQGRVLMPESEEALLLYAKLIAPHDHASNHVKQLVKGVIEGETRVLAASMTMEEIFQGTKKFKQEVFDQVQLDLNKFGLYIYNANVKQLVDEPGHEYFSYLGKKTQQEAANKAKVDVAEERMKGEVGAKEREGLTRQNAAKVDAETKVVSVRQQGIGLREEAKVKAEVQVYENEREAEIAAAQAGLAMKKAGWEKQSKVAQVEGVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQYETQVQESNAALYNRQKAADATLYEQVKSAEARKAQADATFFEQKLAEDARLYAKQKEAEALATVGKAKVEYVTSMLQALGGDYAALRDYLMIDGGMYQEMALLSGEGAGAGAMQQVAGVYKMLPPLLSTVHEQTGMQPPAWMGSLPKDGAN >KN538857.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538857.1:199583:201157:1 gene:KN538857.1_FG006 transcript:KN538857.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCTRVNLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPSAVLKPQAPRDISLLLSFLSASPLGKVTVAARGAGHSIHGQAQALDGIVVEMSSLPSEIEFYRGEGEGDVSYADVGGGTMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFATFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSIAFPTNVDFNPDFGTKKNPKIYYCIEFAVHDYQNNINVEQVVEVISRQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRNSGLWEVHHPWLNMFVPRAGISDFRDLLMDSISPDNFEGLILIYPLLRHK >KN538857.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538857.1:147389:150737:-1 gene:KN538857.1_FG007 transcript:KN538857.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGASEYLAITGWGIDDVKLAKKAWVFAGQKCVKFDATPVSYDIDVQAMSSEKLPFRLPAAYTIGPSPKIKRNPVVDGPAAPADTQRRPEDCDEKALLLYAKLIATSQIRSPNHVIDLVKGVIEGETRVLASSMTMEEIFQGTKKFKQQVFDQVQLALNELGLYIYSANVKQLVDDPDSPGNDYFSFLGQKRQAEVEGKAKVAEAEARMKGEVGAKEREGLTLQNAAKVDAETKVLSARQQGVGCREEIKVKADVEVYENEREAEIAAAQAGLAMKKAGWEKQSKVAQVEGVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQYDTQVQDSNAALYNRQMAADATLFEQVKSAKARKAQAGAKFFEQKLAEDARLYARQREAEALARVGKAKAELVASMLRELGGDHGALRDSIMIDGGVYEEVARVNASAMSGIQPKISIRSGAGGANAGASSAGAVQQVAAADVYDMLPPFLQSSGGFNKLPL >KN538857.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538857.1:172695:178896:-1 gene:KN538857.1_FG008 transcript:KN538857.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACTVSQDNGRRCLPAWMLKPNDEVSKTRYRSEPVLESNKQPADLDQIKPAKRKRGKQVKIVDEEDADELGALQPCQGWKKVRRKCVDAVKDDNDGESAKITNKNARKVSRRSAPKNSGKRKLDNVEPEVSSSDSIDDDIELTVEDLLSIAEEYIKADRLKQHEVKTTKTARYNENRCSPSISTEADIGGSIINARSMMGLPDTTRNARSMKGLPDTTMNAQSMKGLPDTSETNTAPSEPSRDEINKQQVQQCTPSVTATCDVAQDMLNIFFGPLLSKCSGYEKKPEVVQDANHATEKKDLSCDVQRQGEHATEKKHLSCDVQRQEEHATEKKGLSCDVQRQEEHATEKKDLSCDVQRQGGLVTKKKSSLKDKMNVDKLKKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASGEEAGASAGAAQDDDDDVPELVPGETFEEAAEEKKES >KN539133.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539133.1:72727:73910:-1 gene:KN539133.1_FG001 transcript:KN539133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSPLTLIPETCRDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGVILVVFTATAGASSCYFVSKLIGRPLISWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDSKTLVILFLIGTVAVAPTILKRKRIYE >KN539133.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539133.1:111920:116599:1 gene:KN539133.1_FG002 transcript:KN539133.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGVPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISSSHRLLLPLLVSLHFLFPSFFMFFSL >KN539133.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539133.1:55580:60501:-1 gene:KN539133.1_FG003 transcript:KN539133.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDNFLQPPAAAAPAAGGGKGLPVPATGDATNLTSSSSSTSSLTLSPPDFLRQVQAALKRHRPTGSMQSNQPRATRVLVSRGEGSTKAVANPSVAQNPEGKVMQQRRGPLGASRLRNAAPDQNKAVVSSQDELLLTTPSTLGTITDTHDQNGGQNHQPKSDTDLLVDRKKSSMEVSSSQMASANALVGEDFKKDLFYLTSDPQLTSQTGDNFPVAQVADDQGKNHKEIRIASAAVEMDIKYDAANLSRRIDEACDQNHGEPMTRCSAMGSSVTAVSLYSGPTIQSKSAAQIDQYASPAQMPQCGRESSGVSGHGSQKLHGVAMNHADCNTNKQQVDTNGGMDKPVSSSAVCLPSQGLSGNDQSLSAKDDGAPRRSKVEKERRKKNYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECTIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLQDDSLSPRDGRIKDDHYIYMVLEYGEIDLANMVAQEWKERNTSNMKIDENWLRFYWQQMLKAVNTIHEERIVHSDLKPANFLLVRGALKLIDFGIAKAIMNDTTNIQRDSQIGTLNYMSPEAFMCNEQDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKNFWAKFKVVTDKNHKIKYEPVDNPWLIDLMQRCLAWDRNDRWRIPQLLEHPFLVPLVPRDLPSIDQDPCRLLMERVRVHWANPKLHSFIAELEKDQCRPATQM >KN539133.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539133.1:77483:78777:-1 gene:KN539133.1_FG004 transcript:KN539133.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSCPLTIDDLLHVLLHLDPRSIVRCAAVSKYWRRAVIGNASQVRRHANRQADRRLLLGYHYREVYPGRLRFSARSTWSPSTGHQHWSDHLPVPSFAPAPAAAADGGHPERMYAQLACGDGLLLACRGILEEVSVYSPLTGFHATMPRYDELLTDTYFLHSAHGDEMNPTPNSFQVLAVEVEPEGELALQNYSSETGAWGPVIRPVNDRVLMPRVIHYPVAAIECQGAIHWLCGSWPSCDEPRRRCSLEGYDNITHTVAVDISTGHAWMTRLPKQCVMSSDSVSSNKMLVLATAADGRLALLRREDACTKLVEHAGLAHFRPEHKDWADLEVRLEWFCRRSRCVVIWVPYLGLFVLDLENMQMQRAAGDSQTHVWPYEMDLTVCYSSLKQFH >KN539133.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539133.1:91109:97015:1 gene:KN539133.1_FG005 transcript:KN539133.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARTISAPEVIQCDLPPSFPASIPEESLNSSSNSTATDSAEEPTAAALGQESPKAAPAVAAVAPLAGLPLLSPKRGGGEVGIRGARPPVLSPPQPLMALAPPPMRRSIVAKDMSGVSAWDIVNSFAPSEEKSEVRTDDERVDASHMSDTEEEEEFADEGVAGVDGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVAAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPKKTYGPQADIWSLGCTVLEMLTRNIPYPNVEWENDLKFPAICQKMLKISLASSEIRTTVVRERDNGWNMKRDLRKEPHGDECMKSKWRPRRLLACVNLSEF >KN539133.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539133.1:37410:46554:-1 gene:KN539133.1_FG006 transcript:KN539133.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPCTTQESGILLGKRESRRAIGQTPTTSLVVSRHDGWAAVAGSAHTRARTARLPPPLSLLGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQRDYVISMVVTLKQQGTQKKARQCLALPHRRMKGARAKENGMEADLLSQIPHTAIRCRSRLQLLQLTTLESLVVAVDSEVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKASENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >KN539133.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539133.1:120087:123767:1 gene:KN539133.1_FG007 transcript:KN539133.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGRGPSIWDAFAHTPGNVAGNQNGDVATDQYHRYKEDVNLMKSLNFDAYRFSISWSRIFPGITPYINLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTSYSADWQVTYVFAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLQH >KN539133.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539133.1:130919:135113:-1 gene:KN539133.1_FG008 transcript:KN539133.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNIIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWFLLEKPEMDVSQIQFNYWIFFFNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADNAPDRATKDKKISSIYKPDNFMDSNDETTVGSLASEGAGVDEEAPLIPSSRLSYVTRTQTGSFNSR >KN538830.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538830.1:64410:71172:-1 gene:KN538830.1_FG001 transcript:KN538830.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSWTTGWEKAAADDDDEAESAPAPAPPAPSPQEAAESMILVPGPRVVLYGLMRGDCRADDSVLFINAGGSATEGCEPSSKLSEDSFFEGGDAIETSEDIVEGGDYPSLYHSARYGNFSYKIDGLAPGDYFLDLHFAEIVNTYGPKGIRAFDVLVQEEKANTLTHILSELDVYAVVGGNRPLQVRDIRVTVESDGAIVINFKGVRGSPMVCGICIRKRVAIAVTDMVTEGNVLCKRCSAHTGNSPLQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESTNQELERLKIELHQVVMQSDNIEQVVDRQADQLRSVSQKYENANKLWAAAISNLENKIKAMKQEQALLSLEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSPSSKKLEIKQASEGSHHVPGIVEAKVENIKEYALHHGESGEPDEWRMYKNERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQLYKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCSTLQQKIKELELKLKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKPRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTGPVTRATRQQPAVNKTRGWVRKRPYAWTDAWCLALAYVRTNPLQIATRFRPPFSSANSHQFFGVVDSQVGGSLLTTL >KN539133.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539133.1:143409:143657:1 gene:KN539133.1_FG009 transcript:KN539133.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHHHHHHSHQIKAPTPTWLIVKATPPPRDGAKKLAAAAYSPLLLSPSVWQKAQDAKKGKADGGDDGALPASPRITCMGQ >KN539133.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539133.1:79018:80458:1 gene:KN539133.1_FG010 transcript:KN539133.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVEYPHQAAATAAGGGGVEIRAAKRFKLSARFADSEWTEDEDEDEDEYKSWIVSIHNDQCRQYDPKQRRFNSYRTFVGHAATPELLKHLDEDATIGPERRPLDALTEQELVESPYVLHVKILESDIGFPINLFGTVLIREHLKCVYIFRRDRDDCQLIKSSGEILNLIAPYVGPTDESIDFEINLKIRGNMGESNDRIFSKGFTEAPETSNSGQTKRVLLSSWLSTLELAYTTAHFTVQVAIGINILKGSSNFLGIIKACGTKNEGDAVLYDSEVSGTRIALGDDGSIALSRNVVVLHVDEMLLLKFFVYDDDMISKSAPIILTLGHNDESFNIEQGSYKLRVKLDWTKINLLGNIN >KN539133.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539133.1:62066:64536:1 gene:KN539133.1_FG011 transcript:KN539133.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVQLILPSFELDLKEITHTFSKFACNAHTICDPELRPLGTGLYPVLSIINHSCVPNAVLIFEGRTAYVRALQPISKNEEDSEEDALLEGYRCNDQKCDGFLLPNADNSEVGSMYKTIEELERKLYHPLSITLLHTRETLLKIYMELQDWQTALMYCRLTIPVYERIYPPFHPMIGLQFYTCGKLEWLLEYTEDALMSLTRAADILRITHGTKSEFMTELLGKLEEVRAEASFRLSAGDER >KN539133.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539133.1:19255:22799:1 gene:KN539133.1_FG012 transcript:KN539133.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGVREGEDGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDMPAISGTFFPPVGAGGGGAVRKRSSVEGVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYREATTVGGGVPYVRTQRSTRRVASGGTCWAPSAAATPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELSPADFDPKEKFWTRFPPEGSKVTPPHSSSDFRWKDYCPMVFRHLRKLFSVDPADYMIAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRTWFRDLHEQLRRDCEFLESEGIMDYSFLVGVHFCDDLSASKTGLSTFTASPMRLPIHLSSLTNDRYSEFSMKRESFQGGGGMPELCFSDSDFDRIPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLNGGGFLAPNQTGEVYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >KN539133.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539133.1:98970:111032:1 gene:KN539133.1_FG013 transcript:KN539133.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQQQQQQGGVVRVDQASHASSFRELDDAFLQTQTKIWLGEVLHLRFDEAVIVADLLADGELLFQVSKVLWKRLVRMNKEQMKQSKVYIYERTSSGKSNGKYMPYPKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCMCIRLLSKKARTMRLTVPDFDIVTHTIAMPNYIVGGIRRSLEQPQCSSSGSSGYSPSANSKALNQQRVFGAENDQQCETHYDSDEAESKLSALEPEDSVSEDNISTLLKSGNMPKEEKEGYGDSEHGMHEEKSLSESVGSIDFGNMESDSVGSTPLFHKNESYCCIESPTDQCSRTRTIRCSLSSEESDSISSHLVVDSSKAKRTQGEHLEPLNGNGKRFANDPEKESDALQKVTFDQQCDLLACDGESVCSNCDSTPYSSLTPRDSTGGKLPAVSEDDSACRGLEPEFRCGNKTGVSQKEDKQVESEYKAENDSCAQMNENDVPKSGKGMLKSVAGGITLVGAVFFIAHLRRSKDRSFAGVIAPFSEKSVQGDSRAKKSLFNSNCGFNFGAVSHWEGSVDHSCAASGNVLVNSIRCHTGLACLLTGLARDSGDALYDMKLKLNATGNQLSDWNQNQVNPCTWNSVICDSNYNVVQVFFVCSKLVCLMTDALLQNIGIYGIHWSSITTNWRASVFECFIFGGSCDEIATVILEETRSWSLPGNKITGGIPEQIGNLSSLTSLDLEDNLLVGPIPASLGQLSKLQILRLAYNKLSGSIPGSLFQVARYNFSGNNLTCGANFLHPCASSISYQGSSHGSKVGIVLGTVVGAIGILIIGAVFIVCNGRRKSHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDSFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGAIVDRNLSSNYDGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIFNQEAIELSAGR >KN538830.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538830.1:41295:41748:1 gene:KN538830.1_FG002 transcript:KN538830.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWIVRACSARGDRQAKTRVDTQQQQQQEEMQVIDAVRLRRRDRSVWAWACRFDRFASCCTPTRVPFYHFRCDYRTPW >KN539133.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539133.1:125336:126649:-1 gene:KN539133.1_FG014 transcript:KN539133.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGETAMKHLNKEQEADQSNFRDTSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGVYEDSD >KN538830.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538830.1:1834:6805:1 gene:KN538830.1_FG003 transcript:KN538830.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPGRLDELLRRHGNILPKGADKEIPLIRQDIEEITSILHGHHSDATELEDYHDMVVRCWTKEVRELSYDIEDCIDQYEDAVEQYEHAATVGRYPSVSTIRRRKFSRRPVGSKTPLVPEKLKQRLWMANKIREFSLRVQEALQRHAILHSSDLGGIANTSAGHPTLCRKRADGVRHVGLDAAINKVQEWLADGEKKLKVVSIVGVGGVGKTTLANELYRKLGRQFECRAFVRSSQKVDMRRLLISMLSQVHLQPPDNWKLNSLISSIRTHLQDKRYLIIIDDLWDICTWDIIKCTLPDGNSCSRILITTEIEDLALQSCGYESNYIFKMKPLSEDDSRNLFFSTVFGSHSNCPPELCEVPYDIVRKCGGLPLAVVTIASLLATQLEKHEQWDYINETLGYSLMANPNLEGMKQLLNLCYNSLPQHLKACMLYLRMYQENSIIWKDDLVNQWIAEGFICPSEGHEKEEISRAYFSELVDRKFIQPVHINDNGEVLSCVVHHMVLNLITYMSTEENFAIAIDHTQATTRLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLAFCGVLNCMPSITGFQLLKVLILHFCGDEDSISFDLTEISELVRLRYLKVTSNVTLKMPTHMQGLQYLEALKIDGKIDAVPSDIIHLPGLLHLSLPARANLPNGIAHMSSLRTLGYFDLSCNTSENLWSLGSSFANTLHIHSATSTRVSVYGWSSVSSPPALLQRLELLPCVCIFSSLPNWIGQLGNLCILKIGIREVTSNDVDVLGRLPALTVLSLYVHTKPAERIVFDDVRFSVLKYLKFRCSLAWMKFEAGAMPNLRKLKLGFDVHRADQHDAVPVGIGNLSGLEEITAKIKVDCTAGDICRRFAESALTDAIRMHPGHPIVNIRCVDWTFDDKDNNNVGTRDEHRTIEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSARSWWLSRPPFEPHPPFDDKDNNNVKTQDEEHRTIEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSARSWWLSRPPFEPHPPFDDKDNNNVKTQDEEHRTIEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSARSWWLSRPPFEPHPPFDDKDNNNVKTQDEEHRTIEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSARSWWLSRPPFEPHPPFDDKDNNNVKTQDEEHRTIEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSARSWWLSRPPFEPHPPFDDKDNNNVKTQDEEHRTIEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRGVLSARSWWLSRPPFEPHPPFDDKDNNNVKTQDEEHRTIEKQHLIVKEGLNEKSVDESTEAGERERSGGKVSGSGTGSSKGGLFGPTFQAVGGYMDRKFGLDRED >KN539133.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539133.1:65430:71342:-1 gene:KN539133.1_FG015 transcript:KN539133.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIATSSLPLSARGRSSSVSFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVITLRKEKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGRRASEKENREKAERLKEELLAAIKPLDRGVDATAEDKERVEKIVQQLEEVNQVKEPLKSDLLNGKWELLYTTSESILQPQRPKFLRPFGTIYQAINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAVRFDYFKIFNLISIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMVDPTYRVPL >KN538830.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538830.1:150253:159115:-1 gene:KN538830.1_FG004 transcript:KN538830.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNLDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPGARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSYESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSAIVVADQPPVNGSVPASNHLTLVKMPSQNITEESNVTYEEPPVEIPKENGAPVEVESKVENIPETNIESKVEPPAVHPASQADLLADLLGPLAIEGPPAAVEQNPVQGLETNQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCSKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTAPLLSVQALILPPSHLKTELSSVPDTIPPRAQVQIPLEVVNLRASRDVAVLDFSYTFGTSLVNVKLRLPVVLNKFLQPITLSPEEFFPQWKALNVQNLKVQEVVKGVKPLPLPEIASLFMSLHLAVAPGLDNNPNNLVACTTFFSETTRAMLCLVNV >KN538830.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538830.1:7:471:-1 gene:KN538830.1_FG005 transcript:KN538830.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASAFLKAVMGRLFMALEKEYSKHKGLAQEAQSLQLDLRMIAAVMDDQLRAMGRATTADARTAVARLHAEEMLDLAHDVEECVDRFLHRLTCRQHRGGAGAGASSSSLVRRMAHELSKVQSRSSFADEIQRLKTRVREAQQRIIRMKPTLD >KN538830.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538830.1:162178:164232:-1 gene:KN538830.1_FG006 transcript:KN538830.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQWLSTHMPPKLTNRLKTGNVKNNNEEGNSSVMQPNQFIVDQLENDWRYLSVTAFLLEHPSTKFDVALLAPQGSPVLVLKHIIAAANTADHGDTYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCPKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSILQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPAMSYCVISGGDDQAVHCFSFTLGSLQDCAINTSLDSPDNGAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRMPTRELEFYVDFCNLIKTLKYVRQNVHSMPTCRLVRVCLNNLIALIEQWIVRSLSCSAVLVSLMADGDNGKWNCPSVRPS >KN538830.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538830.1:137982:145328:-1 gene:KN538830.1_FG007 transcript:KN538830.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSNLAASGIAVGTYAAAVKRQCTTCAIRVHTPFAKYASSKIVVDFDDQNSWEYLFKLYWVDLKGKLSLTLEELTSAKARWNAPTTYTRKEKDESSDDLYDANNDDDACSDCSSGKRKRNSSRKKGRKRRKPNSDCSIATKKVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASRYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRTHKVSEKYSVGKKVTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYSNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQHDPKNSLQAGSGKDLNSRYDISQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPQSKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPESSPDNQDTEREYPSPPPISGSKEISQQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECPSPPQIPVSKELSRQSKILPESSPGNQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDNQDIKCEEPSPTPISKSKEVSPQSKILSESYLDNQDVERECPSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEEVGLPNSGVCTDWGLVEQVNPEANDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPSAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFHENGYCRKGASCNYLHP >KN538830.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538830.1:86500:90517:1 gene:KN538830.1_FG008 transcript:KN538830.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAVRRRDLASPLGTLTTNVQSACAANVCSRWAGFARTFSAKATGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGELLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWLETTDGKQYSPSQIGAFVLTKMKETAESYLRKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEGIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADSSGAKHLNITLTRSKFESLVNSLIERTRDPCKSCLKDAGITTKDVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGIETLGGIFTRLINRNTTVPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEAEIQKMVHEAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVASEIETAIADLRNEMASDDIEKIKSKIEAANKAVSKIGQHMSGGGSGGSQTGSQGGGDQAPEAEYEEVKK >KN538830.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538830.1:39021:39705:-1 gene:KN538830.1_FG009 transcript:KN538830.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MENASVVNADVEWCDEYGIDTEDWMSLSMRATQRKWRVWCHRSAMHKPIGLMQRKKEYCICGFARSIESIPRYKYLKLKYETADGVRIKLFMLELEACSYAEPQMGLGNEGEDHELYEDPDVVREAFERQARLQHIADGDESSSSGGSSFVVNVDFDWSLKYGGH >KN538830.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538830.1:20121:20540:-1 gene:KN538830.1_FG010 transcript:KN538830.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVERWRRRDRGRGGGGSSGWIWHSPRLDLTTRVRLHRQCVPVHRRGGMVLLRFPSTLMMAMVVEARPLSGSCPYTVGARLSRKEEGGCRGGEAGGGLAIMAGARSSPVKVNEPDPQDDLGYLGLDGVGLWCQRRWSRST >KN538830.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538830.1:50998:58300:-1 gene:KN538830.1_FG011 transcript:KN538830.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPASAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKRNIIQAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKKYASNHLFTNLIWLFKISLRVLLMTLQNAPPGLDYDRDKRFSKLKDAAQLALKKMPSSEQEALSMIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFRLNHEQTCVTAVSPGGNVHETSRGLVSEPGDADSERKVDGYRKQGEAAVKLASDKQKSCTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISRKLQEGSSLRKSASVGHWPVDAKPMDLITNLLSSLQQNEKADATQYRLGNMDGGTEVETSISEGERSLLVKIFELQSR >KN538830.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538830.1:80915:84697:-1 gene:KN538830.1_FG012 transcript:KN538830.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSEFVLNHEGLQQVDASESPSSKPLGHMGRSKKYIKVAVEDIVSVSACSDLTLPPGAGLCIETIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >KN538830.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538830.1:115898:121417:-1 gene:KN538830.1_FG013 transcript:KN538830.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAASTFLRSGASPLRPLSRPLPSRLNLSRFGAVRPVSAAADKSRGGGGSAMEAQPSYPGEIHVIVGPMFAGKSTALLRRVQVEAGTGRNVALIKSDKDNRYGLDSVVTHDGTKMPCWALPELSSFQDKLGTEAYNKVDVIGIDEAQFFDDLHDFCCKAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGRRAFFTLRKTRETKTELIGGADVYMPVCRQHYLDGQIVIEATRIVLDLEKSKGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSVDGILLLQRDHDTAIRLLHPFTGDILDFPPLETLRRYVSSKLVGDKWNYLRRIGAASINVSADQVVSPMMWSPGMVQVAFATSGEQQWRASSWYFKQIFSPLAFQGKMYVVRHELTYGEPEILQIDPPELEGTEPWLPPPTLIAKCPAKTPDSNNHVFYCLAECNSEILVIALSTGVHKKFSIYKLADFMLGRRTARVTSIGGNAIFIGKRNLCVSSKAFPTVVGDTIIFFHYQKHYLAQYHLGSGTLEPASDGCVPEFAIPSPTCIIYHIYTCCFREQWNKGHISFQGLLTINWRVKRKWRSGGGY >KN538830.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538830.1:130341:136566:1 gene:KN538830.1_FG014 transcript:KN538830.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVAEYLLLDPWLVLWLVLASMAFALLHLRRRPRPPLPPGPRPLPIIGNMLMMDQLTHRGLAAMAARCVLLLSGLPQPKFDRVWHERNRLDAVQLKPAEATNPRFLRIIFEEDGESSSDRSVQELALAKGVDAMVMSLGNDSEVGNTIKEGDGDQDALPSSSGTDKSPGESSHDDLLGINKLTLDDIPANNHRKMALLFALLSACVADKPVSQEEEDRKSTRFRKGYDARHRVALRLLSTWLDVKWIKMEAIEVMVACSAMAAAKEQEQSQESASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFAFDEDDFCRPWEGWQDNLERYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEAARKMVAGRFVNVYSTDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLSAANEETDGTVA >KN538830.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538830.1:44734:46575:1 gene:KN538830.1_FG015 transcript:KN538830.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAMSGGVVVVAVAYAALAVVALRMAMSYKSAMYAVRRLWRWADEWAQAYQYHEVPRFACDGGGAENPLFRKAAQYVAALLSLEDADAASVLSSASRTNGGFSLQLGPGHTARDAFLGARLAWTNRGDVLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLWASAPFTHPATLDTVAMDPDLKARVRADLENFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDIYDVDLSRAGSDDLRALLLHTTPRSLILVEDLDRFLQGGGAGDAEARAARVLSFMDGVASCCGEERVMVFTMRGGKEGVDAAVVRPGRLDVHIQFTLCDFEAFKALASNYLGLKDHKLYPQGIKQKRWAS >KN538830.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538830.1:21401:22280:1 gene:KN538830.1_FG016 transcript:KN538830.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEQILCYFDRAIAALHGGAGAGCREDDDGHAAVRGGKCKPERGSAGARAAANSKRIRVEKKATMHDNFLWRKYGQKEIKNSKHPRLYYRCSYRDDHGCTATKQVQQSEDDDTASPVYVITYFGEHFCGRVADIGGPVVAGDDSEESEELMVISFGSSGGAAAMGGASAAYPWPCCGEDAKNSGASQPWSLPDWEEVPPLTASVAEELIEESTPEPELVGLISSPDLDEYSLLDLELGESSFGIDRFINFDELSVYSIDNAR >KN538830.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538830.1:60058:62062:1 gene:KN538830.1_FG017 transcript:KN538830.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDPWSSGAEQASVCRCGYQAGTTVEDGSYKNGRTRRFAGPPTATVMDAKGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDQALESGSKIACVVSQVLFHDQVRAMEKSEEWPAIFKSTSKEGSEAGTQEGTRPQIEEEPDSDEDDDLPPLEANTNRQRPFELYADSESDSDS >KN538830.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538830.1:25853:37803:1 gene:KN538830.1_FG018 transcript:KN538830.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSWIWGFSGRCLLCCLWRCRLPFVGAVLRAPCGRTGFAAGALLVAPPSAPPSKGRRWVLDARSRFGAVVVGALPTPAGRLGSVVAAAADGCRWKDGSLMVYNPAYFLHRGRCVRLSDFQVLAAIFFSPLPSQAFTVVSTALSFSLLLSLPAALSSVDTLGQLVSVAFFADWVFVHTRAHCHILLLSLGLHWIAVFFCTVPCKATTEAEGKAKAPQPASSVELSPPDVEHKAKAAHQLLQDSPSTDPSLLAKDIQKKSRCLLNRDRPATSAPATKKLFRDDAPSKIEKRQ >KN538737.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538737.1:116757:119337:-1 gene:KN538737.1_FG001 transcript:KN538737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFHLAHRVVHAPLTRSRCYNNLPQEHVQLYYSQRATDGGLLIAEATGVSETAQGYPNTPGIWTKEQVPLTATFADYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGRVQIPYKLWHFRKAFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGMVEPEVVKVDGRVQIPYKLWHFRKAFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >KN538737.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538737.1:83645:88237:1 gene:KN538737.1_FG002 transcript:KN538737.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPKANHAAAAAASADNPTAAAAAAAKVKVKVKVLFFARARDLTGVAEAPVEYMIDQWVTDNFKAKVGKYSKSWKQMLQINHVIFGWVLVPHGVTCGRVKGGVEITYEDKQSSAFGVHAMASATFGAMDSVLSKLTDLLTFEYKLLEGVKQDIVFMKSELESMHAFLKKMSEVEDELDEQVKCWRKEVRELSYDIEDHIDEFAVHLKDEPGCELHGIPSFISQIVRSIASIRNHYQIAKEMRGIRAFVGEASRRHKRYKVDDTISKPSKVTVDPRLPALYKDASDLVGIDGPKNELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTLANQVYHNLEGIFESRAFVTVSQKPDMMKILREILSGVGYNGLEAAWDEGKLIHEVRKYLRFVRYCVVLDDIWSISVWEILRCALPENNRGSRIVVTTRITDIARACCAPRHCDIYHLKPLDNTSSRRLFFKRICGSEDSLPSHVKGVAEKILKKCGGMPLAIISIASLLAIKAQTKEQWESVNISLESGLDKHIGFEGMNWILSLSYNHLPQHLKTCMLYLCLFPEDYIISKDILVQQWIAEGFVFPEHGRNLEEAGYYYFNELINRSMAQPVDIEYNGEAMSCRVHDMIRSLIISKSNQENFVTIFSTSEAASVMTPGKIRRLSVQYIDEECGMVPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENHHLKHIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPAAVVRLRRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSITSLQELSRLSNLRVLVMSWRSFGMIGDVRSYNNNLVSSLGRLGTCSLRSIYIQGYNSSLQDFSLDLWCPPPSLLQKFVANKCLSVIPNWLGSLINLSYINVDVLRAAQRDLDILGELPNLLFLRLGSETAPQERLIIRDQCFEHLKEFKFICLLTEGLEFQVGAMPRLERLCFQFVALEIIYATGGFDFGIQHLLSLKEAFVKIDCFAAWAGVGNAAEAAIRNSARALPNNPLLNIERFSANDDDMEEDFGFVVLGRRMQQRMPQPET >KN538737.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538737.1:16059:24112:1 gene:KN538737.1_FG003 transcript:KN538737.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAGSVVAAAAAAGGGGTGSSCDALYRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNELTSPKPEPHEPEKCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMTQNPPWQELVARDLHGNEWHFRHIFRGSPHHSLILGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMSSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSANKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMSTSPWANSDWRSLKVQWDEPSVVPRPDRVSPWELEPLAASNSQPSPQPPARNKRARPPASNSIAPELPPVFGLWKSSAESTQGFSFSGLQRTQELYPSSPNPIFSTSLNVGFSTKNEPSALSNKHFYWPMRETRADSYSASISKVPSEKKQEPSSAGCRLFGIEISSAVEATSPLAAVSGVGQNQPAASVDAESDQLSQPSHANKSDAPAASSEPSPHETQSRQVRSCTKVIMQGMAVGRAVDLTRLHGYDDLRCKLEEMFDIQGELSASLKKWKVVYTDDEDDMMLVGDDPWPLMRWTLTTLLQCLIPLSRVLVNAALLILYIQRAVLFNGLCFQIHWINAGGFGGWKEGYSTKRASGVCREPVVDAIDVEGPQGIDMLAIALRKHSLNVAQGSNLEALPFITELVIEILVVQTQRKTYIEVLS >KN538737.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538737.1:191894:194071:-1 gene:KN538737.1_FG004 transcript:KN538737.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVPVSVNFVGNNHIVVEAAAASGDDDDEAAMEGGSPPEVMYMHFANRRSPGAGRKRRSKQGRRRGRAMEAEHFVRIADAEPTVARPLQGLWKGISESRTLEFYLVTYDDIGGITCRQVSDKRGQNSGFTPIFWTTNTTFLEQPFSEKELDHYSRREHIQGVDSDHAATENRAISRILCINSSYDVVDHHLSAPLDDMRNVEGRIWLYDDGTFGFGFSGSNSIIDLKHVSSDGSILDALH >KN538737.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538737.1:1809:4765:1 gene:KN538737.1_FG005 transcript:KN538737.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEFDDDWELPSADITVVLCGKLGCGKSATGNSIVGREAFVSEYSHASVTSTCQLASTTLKDGHTLNVIDTPGLFEMTITSEDAGKEIVKCMSMAKDGIHAVLMVFSATSRFTREDSSTIETIKEFFGEKIVDHMILVFTYGDLVGENKLKSMLNNAPEYLQKTVELCKNRVVLFDNMTKDRWLQEKQLENLLDVVDSVNTNNGGKPFSDQMLACIKEAHAREQEVHDAIGYSEEQISELKKEIQRTRDEQLANITNMVSYRILNLSILFVYN >KN538737.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538737.1:200540:202319:1 gene:KN538737.1_FG006 transcript:KN538737.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKETILSIFSIHNETLNVWTHLIGFLLFLCLAIFTAMVIPSGDNLHSNSSRSRSNATAMDYYYIHGDLMVMSNMTRVLRHEALAAAACLLLHDPADLSQHEQISTSCPTNTSSCYTTSSSSHLHNVRQHAIQIFNRNQYFPQPKWSSYSW >KN538737.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538737.1:187188:188808:1 gene:KN538737.1_FG007 transcript:KN538737.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein WLIM1 [Source:Projected from Arabidopsis thaliana (AT1G10200) UniProtKB/Swiss-Prot;Acc:Q94JX5] MATSFQGTTTKCMACDKTVYLVDKLTADSRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQSGSVEDEDSEY >KN538737.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538737.1:135925:161569:-1 gene:KN538737.1_FG008 transcript:KN538737.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKEAKTSSNQGEPVLKVSLSSVPSGRWDRKFFIGVDLASLGMLAINTIIDGMFNLSSSDVWYGMYAGINPGFASPPRINPSSSSSSAHTSHMRATEIGVVPCPIKPQFEPAATAAETTCLPGMAAPGGQGGAAVADADDREEGCDLFQCFCRWRKYSPERDYACISSGASGDSIPSGPSSLAAPLTVSDSGRVVSCSNDMLAIPACHTVFSATATMAPNYHNLCNMCVHSHCFDVRRSNIELLPNASTLLVLMREKATSSYCLICAAGTSSHHRRRNKQECSYQWQAVHGADNNNGIYFIEAVRYSHSPEAHKEPKPLKMIGTICLYCKEGCRKQQQQQQLELEKKAVAPPRRSRKAMRHAYDASSHGDLVLVVSLDSITKIG >KN538737.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538737.1:70175:75013:-1 gene:KN538737.1_FG009 transcript:KN538737.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSQIAIVGANVCPIESLDYEYLTAFFAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKLIKFENLTVDMTVFIIDCWKYYEPVCSWYIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKVLRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGILTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRFTGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLNGKMFMKDQLKTSGSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDVSTLTLIKPHMECTYMRSNSKDLEMLTSD >KN538737.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538737.1:24854:34170:1 gene:KN538737.1_FG010 transcript:KN538737.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRYYYYFDSDVHATKQTKNEQTAMGGFHGQPRENKQQAAATSSKLVQQQQQQQQQTHDNTSPSLLHVMVLEDICAEWSDSQMIYDNYSRYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKESQSIQQEDRPTSNPLPPQSVAFASQRMEPSLSAQEDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYNSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMAALFGPSDDSVYGKPSDTPHFERSQSSDRRRLRSSDPRYTPSRTRGEDAHKQHSSRDYSHSKHERSSHAEHRRDRRESSAPDKHRHREIKDKTGKYSSNMESERESRKYSRGEKHSIDHGNGGKGDHGKVWSRKKRFERQGSLEPGSSGQSSDFTDPDTTESPSGSKSTGRHHKKTRRRSLEDPNLERHRSSSHKSTEEHSTRRSSRHRDMEDDEKDDGRGQSVAAKHKDHHEASDDRWVATNSDADSDLETQYERSSGKGSKLGMKDHTRSDAETGYGRSRSETTKSRRERKRQITEYNPDIVKHWSAFSYCAVPEDTSGSSGTESLPASVMSSNALFCQEPQ >KN538737.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538737.1:93019:102955:-1 gene:KN538737.1_FG011 transcript:KN538737.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQQQQQAAAPAMAAGGGGGPQYRGVRRRKWGKWVSEIRQPGTKFAPFYIVLFVCVSFALFGNRGDRPGGRGFDGLVSRMFVARYIGEAAALSSVSVDSCSAEAGQDASVQQMVLDHGSVSFGRFAAESLSWEKRSVFDHNRRQEELSNLTMPGLVAQKKAFFEEYYKRARLLKAQEEINQTEATTEEGADHYDANGHNIQEPKLPAVSSEDPVARAPSSSFEPSTGVSSSGEKRCQDPHGLGYLTFNPLFSQITGSQNIQLEGSVSDQMHHAEGDFPCAAHTNTKDVLNHEPLERKVLAPKHIVSNDNGENVVVSRIVLPIASLQREHLKIDLERQEPRKNAIFSSMPTKSSKEPSTSVIHIPRIDSRRNSENRNSQELKDPFHKRVEMKLRALSDRMNADKAAASSRSVFHQHAERAVTSSRSSMASCRSSTYQNGDRVATSSRSALGQNADRVHASSKSAQASRRSLREPHGAVSLPRAVVNKGSHVSLVALSNSTTQKFAASHPKHSVMPNSSQSASTLHTTQVSLKRSAGVSSVNNRPQNKRKQLSTPSTWDENKLNRGYARTSAPSSARSSSIGILPYKTAKAPKISNGKNVAVKQTEMMQKSTNGNHPAGGRNVQPKNVVSCNEQKRKLKTNQACCTHLDQTGEAKMAMTKTKTILSEQSARCRSADADDFLDQLRSCTSWISFRFLTHGRSAWLMAGAVQTKVWRQHEQG >KN538737.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538737.1:132118:133134:1 gene:KN538737.1_FG012 transcript:KN538737.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSFEAICNESEMIAHLQSLFWSSSDADPCFGSSSFSLISSEGYDTMTTEFVNSSTNVCFDYQDDSFFSAVETTIGNKRKVQMDTENELMMNRSKEVCTKLSVSKACKHSVSAENSQSYYAKKRRQRINERLRILQELIPNGTKVDISTMLEEAIQYVKFLHLQIKLLSSDEMWMYAPLAFDSGNNRLYQNSLSQE >KN538737.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538737.1:168036:172993:1 gene:KN538737.1_FG013 transcript:KN538737.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGFASMLAAQQRVDSLVDEWETLESFLKDTHGMDLRQDATARRWLNSLRDVAYDAEDLIESAVLQQQGDRYPAVNSVLHPISRYRFAQKVEEIRSRIRDMQRVDSLVDEWETLESFLKDTHGMDLRQDATARRWLNSLRDVAYESAVLQQQGDRWRSSSYHIGNNTIFGLEQDTDMIMERLLQPTEHREVIVIVGMGGIGKTTLASLVFNKARGVEERNTRSGQAPPKATDPGSSSKRSHFDVCAWVPVGQDPDTLHLFSTISIQIGAALDLSRDVAEIKHHMFTFLLDKRYLIVLDDVWREETWHELVDAFPMSTNGSKILMTTRSKVIAISADPVSYPHELNPLSDEVSFHLFLSKVFPMSNLNQAMSYPPLMEDLGRQLSKKCGGLPLALVVLGGLLSAKEKKHDVWSSILNSMNWNDNETVTENIGLKLR >KN538737.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538737.1:176248:183549:-1 gene:KN538737.1_FG014 transcript:KN538737.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRVAASAASLLAVLVLSLVVSDLSSAAEALLAVKAAPHDTANVLADWNAGFGVGDGGPCNWSMHGSLFQGRGHVDGSTGMPRWASARYLGYDIDLSRAGSDDLRALRRGAGDGVHDVRQQDGLAGEKLGEDASMQPVLHRSTATSYSVASRRSSGGRYQSVMTRLVSGCGLAASKVVASGRCDKFFICVNLAALSMLLTVNKSLIDHDFWDDAAQSFTLHYTLFSDIVQLIVYQII >KN538737.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538737.1:90666:92152:-1 gene:KN538737.1_FG015 transcript:KN538737.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAAASEISDWEVLSAASAHGGGGEDDGEDFVVLVPGEGGGGGDVLHDHFALVAPSDVDGFPGEEGSWSGLLSDPGDEGKGDVSDSVSEGRLDPPVEEDWSEERLRFGGGVEEASGEPSVLAAASPCGEVRREEAAQREIEQGKEADGTGEDLDPDVIVVAELSRLESPENSDVQLEVEDGGSSLPEASEIGDALGFVQEELVQWKSGDVTSGCGEPEGEAKGGSSPLAQSPVAGEFSLAEAAAVGDAMGAVDSGNAASGCGEQDGEAKDGSSLPLARAPGADGGEKQVVVWWRLPFRLLQCCAWKVKPIWSFSIAAALLGLFVLGRRMYRMRRKARGLPHIKIAFDDKNLINCVGMISLITIK >KN538737.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538737.1:34334:39263:-1 gene:KN538737.1_FG016 transcript:KN538737.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVEVTVAKYPNRVLVYGIEGEFPMLFDIDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGISIPPEGLPSFQPGQPWDSADGRYVPNEGFYEDIVAEDPNYASPSQPADSSEDHVEGMHDSTIEGEEAAVDVSESHTTDHDIHVEAIEDLTAGVSEVKLPEDNATEEPTEEREHQNLSTEEIDSLLDKCLLQALHTSVKDKDLPIPGSTLWSNHILPCRPTGVTLDIKKSSHKKLSKWLQSKSSAGLISSKEDKHKKEVMLLCINRGHPDYMAFKPEKRVQEPVVQHDNVVAEGSSTKQLEVAETYKPGSHVNPIFMAVGADTSKYYSASEASDIVFRYVEKENLVKQTDKAKVILDVALCDALYKGAIKKGSAYPTEIHKRDLGSTFLNRMQIHHRVARGNEVVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGCNLSLVFIS >KN538737.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538737.1:113674:116216:1 gene:KN538737.1_FG017 transcript:KN538737.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDLAGLAATPGLRFTEPQIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDFFRSKPVACDPASLPKLPPSKEYDAKLRGKEAAMRQNATAAIGGKGSMSVKSGRNEQSKAAAPAQDAIGGGGDHQGFGGRNKRIHYSGPLVPPGGNMEDMLREHERQIQQAGDDLHGWRRVERRPDEVKISDGGCRQADGDSDGGAELGV >KN538737.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538737.1:208401:209590:-1 gene:KN538737.1_FG018 transcript:KN538737.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTVILTTLNSAWAEPGSVVDVFLESFRIGDDTRWLLDHLVMVSLDLTAHRRCLQIHRHCFALATDDGFDFSGEKNFMTDGYLKMMWRRIDFLGHVLAKGYSFIFTDTDIVWFRNPLPHLHHDGDFQIACDHFTGDPDDLSNSPNGGFAYVRSTSSTAAFYRYWYAARERHPGLHDQDVLNLIKRDAYVARLGVRIRFLSTDLFAGLCEHGRNLSTVCTMHANCCVGLRRKVDDLGLMLQDWRRFMATPGSDRHSVTWSVPRNCSMKKLER >KN538737.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538737.1:40924:48487:1 gene:KN538737.1_FG019 transcript:KN538737.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAKAVVEKKQVPDIIVNNAGTINKNNKTWSVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSASLYQSTEQCDISNMPILLVLSFHIHQAPKAATMILSLGLDDNGSSLTAAHVIPLFLFILCKIFHLLCSNLKANVRNHCKGEEAAAIGPSVQELAEAQHGVGEQDELEDELEVVRHLEAGCIHHLQNKNKNPQISR >AMDW01076736.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076736.1:68:1001:1 gene:AMDW01076736.1_FG001 transcript:AMDW01076736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEELEDQISTIIQEEREERILRKAEMEAAK >KN541614.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541614.1:3387:13583:-1 gene:KN541614.1_FG001 transcript:KN541614.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPNPHRFLDEGHVVHFGGDLRVPRVDLTMAHRSQLMDRDALVLMPPVAYDGVHPVSFVNHDQGSNGWGESWTVSVFLLESDFINMPPEEDLAPAGPQPNHDGLANDHGVEFDVQGPGDWDDLNNTARKLAMTDSDEASDEGSKSVTFGGQEDDVFQNTYKETTGTKSTQKRGHGYFGKLTKHKLHKIVEEQAREVQRLKDDLARNTKNQEELKSCLKDELMKEIQKVMSEQSKQPLTQEATLKSNASNKEAERSNVNNTDATALHTQERPVHALETTNENTYKETTGTKSTQKRGHGYFGKPTKHQLHEIVEEQAREVQRLKDELARNTKNQEELKTCLKDELMKEIQKVMSEQSKQPLTQEIDPKSVITSEKENWPSTKQNIPTSHVTATQENVNPTTCKDTVSKVAKSLFTGNAGNKEKDHPTTQCSTYFIAQIDPKSDVTTEKQNIPTSDVTANKENVDPTTCKSRVSKVTKSSFTENAGKGTAVKYVSSKQLLSGALTRASKRKQLAHANTGSNINQQEQLALGDYRTEERQRTVVAGLGLERQRRPGCLLGFFSCIILLPPSLHSLAS >AMDW01040229.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040229.1:47:1112:-1 gene:AMDW01040229.1_FG001 transcript:AMDW01040229.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCCLYELFLQYNFHTATKFGWVSHASNYWLCDGIIQENIAKSISSALQQEIRWDCDDSSLDTALEELMEKPPFMVVKDDNVYGAGQYHWISITSKDTKVSSMQSIPAVTSSFFLTFETADDSKPKALPASFFRHASNLGVLVLCYCGFDFASPPFLICHGLKFLGLDHCTNDITCEKDQHVDWAALSSLYALDLRYTEWDEITSQEKIAVMYNLKELNIVGFRCWQHYARKLQGQLPCLRRLRVVKPTDQADISTDIANSFVEKTQLEILDLSGDTSMETIPNSMSNVDSLLVLIVDGCDRLKNVIVSDGVFPSLTSFSFDGYGPTYHWASTVELPPKEMRPFVDNKRDIKT >KN545805.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545805.1:61:1318:-1 gene:KN545805.1_FG001 transcript:KN545805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKAMEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLDNNWLSENTMQQVCGSTPCVRDTDHLFLELPLLREKLE >KN541269.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541269.1:23412:24776:1 gene:KN541269.1_FG001 transcript:KN541269.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHDHGGRLRVLRTEHVTRSSTGGDADALSERALPLDHLPGRQAVHGETGYWERFPERILEARRDGAPFSVAGSPRFRVYDVDFGFGTPVKVEIVSVAKTGAMSVAESRGGSGGIEVGITLPPEHMGRFRKYLADVIAGLI >AMDW01070514.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070514.1:135:341:-1 gene:AMDW01070514.1_FG001 transcript:AMDW01070514.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGRAHPLAASPLHTPLPARPRPQLRLSTTTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGT >KN543383.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543383.1:3887:4237:-1 gene:KN543383.1_FG001 transcript:KN543383.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDQVLLFVCLRRVLLLYVAISAVAGGQSITEVVVGDEVVHAITKLQVGDGGVDSARNFTDVDGGDVCHREVPSIITEEDGNVDDDEVQYAITNEGVGADDDGSDVKVTTRHLA >AMDW01039322.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039322.1:162:536:-1 gene:AMDW01039322.1_FG001 transcript:AMDW01039322.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVWQRGEGQVEVMAWLHKSGMS >AMDW01040942.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040942.1:673:7207:1 gene:AMDW01040942.1_FG001 transcript:AMDW01040942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF ABI3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) UniProtKB/Swiss-Prot;Acc:F4JCU0] MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRFHDDEYSTARDYRRHDTYHCNDGKHHEFESYGGVELHNNYCAADNYESGSYRDFGFDRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILVQVPLHKLLNWLQVYCLAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQTLAFSGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNNSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAVLDDKEPSNSADDKLNNATGFTVKAKRSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTAFHAPSDAGGADSFSNMPTSTSGLTANAGAPTSAPFKTELSALSSYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGETGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >KN543428.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543428.1:3367:7930:-1 gene:KN543428.1_FG001 transcript:KN543428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTITVVPGVDVYNYTWTSPKSFFLQDAMVQIVGCDMDIYYNQSVLLCSITCPKEKMTEAEAMMNCSGIGCCTSPVDTFISSFDLQFVQHNSTKESHSNNSSLWNKIQFTLYSADLEWSIVDQTTCSSRAIGNQKSYACVSGNSSCRSTNLGYQCNCDSGFAGNPYIPEGCSHDKGYNPFPQRTDCSRSCGNISVPYPFGLEEGCFARELFHLNCTDANSTLRFDDYNQVTDINVEEGFVQIKHAAGGYGDQEFMAIDGEPHLYDGPWEYSISVGWAVANLTCPEAKQNASGYACVSTNSNCVPVNSTSGYVGYRCNCSPGFQGNPYIQNGCTGGIAIGLGGGIGILLLSLSVTFLIRKKRSNRQRQLRKKYFQKNQGLLLEQLISSDETATDHSTKIFSLEELKMATNNFNQARVLGSGGHGTVYKGILSDKRVVAIKKPNTIKEEEISQFINEVAILSRVNHRNIVKLFGCCLETDVPLLVYDFVPNGSLNHIIHADPNNREFSLSWANCLRIATEAAGALYYLHSAASISVLHRDVKSSNILLDASYTAKVSDFGSSRLISNDQTHVSTNIQGTFGYLDPEYYHTGRLNEKSDVYSFGIVLLELLLRKQAIFKSGSDSPKNLSIYFLSEITVRSIMEIAAPEVLEEATEDEMNNVASIAQACLRLRGEERPTMKHVELALQSIRDNGVRSDSAADRNHDIQPVQSKRYLHRQALGVDVNNLANMPSASCYSLEQEFLLSANLAR >KN541155.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541155.1:153:1055:-1 gene:KN541155.1_FG001 transcript:KN541155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPAAEEDGGGCVARWMRRDVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALIYGGILIYRRQPLT >KN541155.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541155.1:21038:24044:-1 gene:KN541155.1_FG002 transcript:KN541155.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMSSASSSRGGGAASSAAVVRLREACEDAADGSVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVVGSAYYMAPEVLRRSYGPEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGAIDFNREPLPRVSANAKDLVRRMLDPNPSTRLTAKQVLEHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARNLPVEEVDKYVQMFHHMDKDKNGHLSLDELLEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSVHLKKMSNDEYLAAAFNYFDKDGSGFIELDELREEVGPNEQAILEILRDVDTDNDGRISYQEFELMMKSGADWRNASRHFSRANFSTLSRRLCKDTLTP >KN541155.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541155.1:14778:20260:1 gene:KN541155.1_FG003 transcript:KN541155.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPDFVYAGNLVYVSLLVCKWNISKLSDEWFADEEKVRDIVGLLLNGIDLPNSRKLTCGICFEGYSSDAMSSAGCDHFYCHECWEGYISAAISDGPGCLSLRCPDPSCGAMVLQNMINKLAKDDDKVRYARFILRAYVEDSKKCTEEAHRPVNCETVSRWILKNSAESENMNWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDVFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLTVRSDNTEPAPSIAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVHSTAQGTTSSNATNIPSKKAVTKGKTKKQLPRTSSDNSDEGWPCERCTFINPSSVDACSVCDKHRY >KN541155.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541155.1:5665:7484:1 gene:KN541155.1_FG004 transcript:KN541155.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEAPAADTERCEEAASM >KN541155.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541155.1:26880:30543:1 gene:KN541155.1_FG005 transcript:KN541155.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAPEDAPSAAKGAKGEAKRKQSNWRGGGGGEGGQGQKRKRKEVFVYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLAAKFKCQSILGVDIDSGKRIVINSVKLFSCYTDPDLVLLGLIETANWNLRRISRLDKVAVENTKAHNLSVTKWIHLNWGDDGIITLFVKIWRLLRSGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEVVTDKLVGAVTGFDRPIEVYHK >AMDW01030353.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030353.1:9:266:1 gene:AMDW01030353.1_FG001 transcript:AMDW01030353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRDYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >AMDW01036034.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036034.1:174:362:-1 gene:AMDW01036034.1_FG001 transcript:AMDW01036034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSSSGGRFTGGGGQKGRWPGFEGKRAAPVVKWSHAEAMKKKPSGRGDAAVTGDGGGWMR >KN538706.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538706.1:367692:370397:-1 gene:KN538706.1_FG001 transcript:KN538706.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MDIVPVVALWCCLVLLPSWAYGLGSMASIAVSYGEDGPVFCGLNSDGSHLVTCFGADASVVYGAPSRIPFVGVTAGDGFACGLLLDTNQPYCWGSNSYVKIGVPQPMVEGAMYSELSAGDNHLCALRTSVKGFHSVNGDTSVIDCWGYNMTATHTVTGAVSAISAGSVFNCGLFARNRTVFCWGDESVSGVIGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQMSTPSSTDEGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFTLQNSTLAPKGLRVYAIVAGDYFTCGVPAETSLKPMCWGHSGPLALPMAVSPGICVSDSCSHGYYEYANHGEVGSGSKTCKPANSRLCLPCSVGCPDDSYESSPCNATADRVCQFDCSKCASDECVSFCLSQKRTKNRKFMAFQLRIFVAEIAFAVILVFSVTAIACLYVRYKLRHCQCSKNELRLAKNTTYSFRKDNMKIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHTELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISALLDPVLSPPSDLEALKKIAAVACKCVRMRAKDRPSMDKVTTALERALALLMGSPCIEQPILPTEVVLGSSRMHKKVSQRSSNHSCSENDLVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADMDGRTTTDGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >KN538706.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538706.1:332174:336523:-1 gene:KN538706.1_FG002 transcript:KN538706.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASCSSPFLRPCHASPVPDRLKFIGKGHPPPYPPQPVVSHQSLGGKKSKTGLSFPTTAAEKPIDQLVTYQKFVLTVFPHLYKAEAVNMETYTTDEALEFMGFGKFQLLVLVYAGMGWVVESMEIMLLSFVGPLVRDEWNISAENESLLSSVVFAGMLVGASGWGFVSDKYGRRICLLCSTLFASGMGFLSAFSPNYSCLLALRFLVGIGVGGAHVYTSWFLEFVPAQNRGTWMVVFSCFWTIGTVLEASLAWVVISVLSWRWLLALTALPCSLLIPFFGTTPESPRYLCVQNRTSDAMLVLERIAIKNQTALPPGVLIYHQEAKVDHSDLTSEKEDLLPVSEKECTFGNAMSSRYGGGIAALLRLLSRKLLRSTLLLWFAFFANSFAYYGLVLLTAQLSDANRSCTSGQTNVVPQKDVNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFTCCAFIGPLVLQQNELLTTVLLFGARAVAMGSFTVLCLYAPEVYPTSARSTGVGIATAIGRIGGVVCPLVAVGMLRSCHQMEAILVFELVLFLAGVACFLFPIETKGRGMD >KN538706.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538706.1:381334:381759:1 gene:KN538706.1_FG003 transcript:KN538706.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVELILLNTSISSCIPPEIGKLKKLRVLDLSHNELAGELPASVGDMESLEVLNVGHNMLAGEVPEAICELPRLRNLTIAGNYFCDEPVSCLHVPLRDDRMNCIPDWPHQRSPEECIAFAHRPPPHCAADGCIVIPPP >KN538706.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538706.1:428126:434501:-1 gene:KN538706.1_FG004 transcript:KN538706.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding METMKPMMNLQQRKQRRDPHQLGITTILFIRWLRKMGRWLKKSGPSANIVPIQLGAIAGNDPNKRVGSILNDLEVVETLVANMTLDEIDDMVVKRLLGNLLSDPRNDKYRKVRLRNPRIKETVADRECGVDLLEAVGFRVADKGGELFAVMDEVPGDARLGWIRQAVLLLQRARPSTPPPKQADAKGTRPDGVGEQQGVKRPVDRQPQIRVFFSVAASSVAENDLPDSFYSLSNEEIRNEAKMRRGRLEQSRLLIPKSYKEKQALAARQKYKQALIRIPFPDGVILQGVFLPTEPISSLYEFAASALKQPSLEFDLICPAGPRTRVTPPFPQPGERAHTLLDEDLVPSARLTFKPK >KN538706.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538706.1:323005:330400:1 gene:KN538706.1_FG005 transcript:KN538706.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGLASSIRVDREWCWSLSSILRQWLGSEANKEDARGGGRFGVVATTCEVIVVVGCMKEMSAGQFYCKGEERVDGGAGVKRRGAPQKEGVGGETKSPKKVEGKTYKYKGFVIEGCELDSTIIMIKSSDLVHIPSIFTSPEVNSQKGSKTSICIDRSLSQAYHDSPDNASILPTNLARRIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDSIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSVSNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMLAPNTSEMNTYNQGDYSSQATMPTSAGNSGQENPKRKRQKCQNGNVDSCKRCSCKKSKCLKLYCECFASKVYCSESCSCRGCFNDHSHEETVLSTRNRIESRNPLAFAPKVIRTCGPGLEFGEDSNATPASSRHKRGCNCKRSYCVKKYCECFQSGVGCSMSCRCENCKNSFGVRKGIERFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLASSTRTSSHLHSPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >KN538706.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538706.1:414577:419309:1 gene:KN538706.1_FG006 transcript:KN538706.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSVILRNSLMFMSVTNNAAELLKHPHLQPYVLQVHLKSSPARNIIPSRQSPVDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSIKDYTTTRSVKDLSIDDSLVEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKASYNRVNRSELLSRTPVNRSTRAIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLTLHGRRSPTPTPQHCVIDQSITKDKCMRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >KN538706.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538706.1:311133:311842:-1 gene:KN538706.1_FG007 transcript:KN538706.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAGNMTHMLKQVDTSLFHTRHTGYLNCVAKALPNSRSCAFFYLI >KN538706.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538706.1:353625:353828:-1 gene:KN538706.1_FG008 transcript:KN538706.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLLPIPPLGHLPRLNAVAAICIRECISTKIILLLRAELQQPCEEEREQQQQQQSGNQSPNQISE >KN538706.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538706.1:356926:361958:1 gene:KN538706.1_FG009 transcript:KN538706.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMGPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISLLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMHLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKENTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEVLHIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSERAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNLEAHYAELEKRHSDLQEEKDSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQEGWNREEQLEEEEQNIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVSKVLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNTLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNHKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVMSKDILSVVFRSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGRGYPVGRDTDLLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQSEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDCLQSNKLRMEENALSTQVLKTNMRSSGDQNTVRTVKDMELQKLHGTIKALQKVVTDTAVLLDQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGNKTGSLGQANKTVAQANEKMLDSHGIVGASSSHVRNDLRPPQSESFERDNYKRPPSELMVVKELSIDKQELPRSITTEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFITQLIDSNGKLSKKAEEFTSEDGLDGDNVDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQTSTRTSKTMHRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMKANAIDD >AMDW01028231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028231.1:24:290:-1 gene:AMDW01028231.1_FG001 transcript:AMDW01028231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLAVARKNGVVELLNPLNGETLAGVKAAAGRAAPADSSAEEDPLATLHLFRRHALDSSM >KN545801.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545801.1:708:1139:-1 gene:KN545801.1_FG001 transcript:KN545801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDLLREGNMKEAAMVDLWTEVETHQFNPALSPIVFQCIINPALHGISTNQKVVDETVEKLKKVREVYEAHLSENTYLAGDFVSFTDLSHFSYTFYFMATPYASLFDSYPHVKAWWERLVARPQETWCRNGTSYSEGVRKS >AMDW01013320.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013320.1:3:221:1 gene:AMDW01013320.1_FG001 transcript:AMDW01013320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELDHGVAAVGYGTTVDGTKYWIVRNSWGADWGEKGYIRMKRDVSAKEGLCGIAMEASYPVKTSPNPAPKKIKK >KN542393.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542393.1:3610:5193:1 gene:KN542393.1_FG001 transcript:KN542393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLIEDAIKAFCGAALPKSMVIADLGCSSGPNALTLVSTMVNAIHRYCMEHKQPQPEMCIFLNDLPCNDFNTVAKSLGEFKHGHDSSSHHIIVTSMVPGSFYDRLFTSTSVHFFCSSISLHWLSEAPEELVKSKIPMYDSDDKLRLLNREIVANAYARQFRKDFMLFLSLRAQELVLGGQLIFSLVGRCSSNHASKSTQVWKLLAIALNDMASRELHELIIGIKVSFQGMISKEKFDTFHIPIYAPLDKELDSIIEDEGSFRINKTMVYDAFLDTDGMLPSPNIMASMTRAVFEPVIVQHFGFSGETMADFSSAVERLSSSSFLEAEFPLVCLCLSLTRAR >KN541146.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541146.1:5422:9815:1 gene:KN541146.1_FG001 transcript:KN541146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLCVLLCILVVSEVVGPVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDANPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAALVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTDEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLMQQSTSAASLSLAASPATKTQCPNASPTLRKSFWHKQNGLTIVDQKPLQDQRGDAPFQGGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >KN541146.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541146.1:22132:23881:1 gene:KN541146.1_FG002 transcript:KN541146.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGPGPLLFSSQPRAVAALRAASVARTPSPATGYRRVATEPQVVFEGGRTFPEEVARVQASIKAKQDKLMNDLQMLRIELAEAEKTMRNDLNQATAKVKCMEKVANAFILATALTVPSLFILASKYQGV >KN541146.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541146.1:17208:21765:-1 gene:KN541146.1_FG003 transcript:KN541146.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAKKASVLVPIASGTEPMEAVITVDVLRRAGADVAVASVDPGSAQVGGAWGVKLAADALLADLADAEFDLISLPGGMPGSSNLRDCKLLENMVKKHAGKGKLYAAICAAPAVALGSWGLLNGLKATCYPSFMDKLPSEVSAVESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKADEVAGPMLMLAFNLVLTSFKVMRPQHGVEFSLKELNSTSWNVGETPQILVPIANGTEEMEATMIIDILRRAKANVVVASLEETLEIVASRKVKMVADVLLDDALKQQYDLILLPGGLGGAQAYAKSDKLIGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPGMWNKLSDQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERAVELAKTMVFM >AMDW01085339.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085339.1:6:466:1 gene:AMDW01085339.1_FG001 transcript:AMDW01085339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGASGSTGMKQDDGDHRPITGASSRRCPSCGHDPDCNKPFDMVGMPAGVRFDPTDQELIEHLEAKVKDGGSTSHPLIDEFIHTIQGEDGICYTHPENLP >AMDW01038505.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038505.1:43:789:-1 gene:AMDW01038505.1_FG001 transcript:AMDW01038505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFGADYNSELHDQNQSVGASVEARSTMRRNYYGSEGDRGKLSEQSYNAPSSVMNKSSGGVNSSQDLYHEKPGAGARRGTTTKTAKSYFDSDDSEDELAQRKEVQTKWSREQIQSRRTQMTSDTKKETGIRVGVQHADETEAKSPAGSFSRFTEQRRDSPVYSRVPVPRTSPKPVRVEPPLGKGKSQEADLNTVSVTGKEENTESPAETPKESTSKTAPSHVHPKLPTDYDSFAAHFMSLRTNRR >AMDW01040413.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040413.1:95:1393:1 gene:AMDW01040413.1_FG001 transcript:AMDW01040413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KDLFFVHSTRKHSNIVEITEPHSVGGSISSIVLLQRISRVTSSNLSIFSLENVSSPEEAAKADIAKISNLRALRLHWSVNSDCAVEGPKVQDEAVLANLQPPRDLVVLDIDGYRGSVFRGWLSDASTLPCIRDITLSSLSRCRHLPLLGLLPNLELLQIAGMPELTKVAGQPFKKLRELVLARFENLEEWSTEISSDDGQVMDIPMFPNLEYLEIKSCHKLRFSPSFPARCFGTLSSLQELTISKCSTLTTLPESIAGLHSLKKLHVFRCHNFTTLPECFGHLSSLQEARIDSSAKLASLPESLRCTTCLVDLIFWCDDELERQYRSGIDSHKFAHIKNVKING >AMDW01024531.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024531.1:35:331:1 gene:AMDW01024531.1_FG001 transcript:AMDW01024531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLRGKRIMFVGDSLQLGQWLSFVCLVNSAVPDTPGAKSMERSRTLSVYTVKEYNASIEFYWAPFL >KN540796.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540796.1:8894:12953:-1 gene:KN540796.1_FG001 transcript:KN540796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRLPLLAGLLRSASSGASSLPPNPKNVSGREGFLWSSCYFHSTRHQTSREGEQKAKELLDPFALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPVVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEGHNIKVIPFFSLQVILLLATAVNNLVTGEFMQMRITPIQRCSMDYYLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >KN540796.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540796.1:28008:29088:-1 gene:KN540796.1_FG002 transcript:KN540796.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRCTQILTRLRKQKISVWFNSPVDVERLKLHDYHAIIRNPMDLGTVKENLAFGRYPSHEAFAADVRLTFSNALRYNPADHHVHRYAGNLLATFEGLYKEALSWFEQECQRLEPPMPLALPPPPQPPVPMPMQAPPRIGGGGRRPKPKAREPNKREMSDEEKHKLRVEIGNLPEEKMGNVLQIVQKRNTDPALMGEVVELDFDEMDVETLWELDRFVVNCKKALSKSRRTVAMNGDAVDATAIVPIEDDTVQENDTPEKRVSEVDMVDEYVDIGDEMPTANYQSVEIERDAQVASSSSGSDSGSSASSGMAEQM >KN540796.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540796.1:21509:25573:1 gene:KN540796.1_FG003 transcript:KN540796.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKKSVALAVAPAAVPAKGKGGKKREAEDEIEKAVSAKKQKAAPQAKVVPAPKADAKKAKKQPPPKKAASSSSGSSSEEEDSSESEEEVKVQVKKTTKPVKQESSSDESSDESSDDEDAKPAAPVANNGLKKGKPASSDSESDSDDEMDEDEKPAAPVKKTSVTAQKKKDDSDSSESESDESDSDEDVPTKSKAPAVAAKNDDSTDGSESESDSEDEDAAPKGAAKKESSSDEDDDSSEESSDDEPKQPQQKKAQEESSEESSEEDSDEEDEKLAKTPKKKTPAATKSQNDEPKTPASNQSQGTESATLFMGNLSFNLNQDQVKEFFQEVGEVISVRLATHEDGSSRGFGHVQFASSEEAKKALELHGCDLDGRPVRLDLAHERGAYTPHSRNDTGSFQKQNRGSSQSIFVKGFDSSLEESKIRESLEGHFADCGEITRVSVPMDRETGASKGIAYIDFKDQASFSKALELSGSDLGGYNLYVDEAKPKGDSRDGGGRRGGRSGDRFGGRSGDRFGGRSGGRFGGRDGGRRGGRGGRDGGRRGGRGGFQSRQSAGTASTGKKTTFGDE >KN539983.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539983.1:6855:13378:-1 gene:KN539983.1_FG001 transcript:KN539983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGGGRVVVAPSAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPISQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSYECHALVRDEACPCCGSVGKSVPQILSTIKGPWALIYWQAAPTVKVMESVEDNDFSESTNMSYWEELPCGIYSIQLKSLEKSGMCMKDACVSEVRKHDWIDSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLVYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVSLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQALEAAGFDCAHRSAVDALVDVVLRYVVHLGRTAAFNANLAGRVLANEYDIIQALEEIGTDFDGFVGAATSDRCLVGSGVVRELIDYVESKPEVPFVRPLPSFPVPRIEPQPAQSFAMAGKESGMKHVPEWLPVFPDPHTYIRTEVWSEEEAKARVDKVEQVRQRRKAEKSLLSLQRRLALAGADGFRPAVTENTAEKGKEIQVAGSKRNPFLEPALPPGEKEVSDVAVQPQRRKISVLDAFAPAIQAANMMDIDTGPGWDNNQNQKSVVPKERAPVHLKIGIDKKPLAAALNSKPLDLREDPSFLKEEVKDERKRRAGMILRASMENPQELPQL >KN539983.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539983.1:50840:62605:-1 gene:KN539983.1_FG002 transcript:KN539983.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNHYTGQFNATTHVIVGGGGAMLSPFRATVPYWSLFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDILACSIDNCPSTTLAIQMALTQSMDVNDLISRLAEFQSHTNFDSNLKRSLKEEYDQAVGAAAHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFIEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLYTYRLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMWQPRKGQDTSEPVSVAKAKESSALQSLSLGSVVGQEDREFVLPVSAASEADSAVSSRRDMVCQSPLPPDASWECCWRTDWRTDCPVPEAHDPMLEEASGFPGSVHSTTQVGLAASPVGLEAQQGGLVLSTVAGPAVESAGSRPEVQSQPSPLAMEEAHHDASTFLQPVPLGRSGSKAKHGNGSAGSLKEEYDQAVGAAAHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFIEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLYTYRLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNINMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQSKCVVSGSDHYSGPFTATTHVVVGGAGAGTSDSKFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >KN539983.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539983.1:39492:42717:-1 gene:KN539983.1_FG003 transcript:KN539983.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLWVVATWLIVCAAAHPGEQPLSRIAVERTVLAVDESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENMRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAISNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRRFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLPNGTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPVTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVNASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >KN539983.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539983.1:32766:35467:-1 gene:KN539983.1_FG004 transcript:KN539983.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMLANGSRVVGEGKNLMDSFRDCSAVDVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSEDFEIDECMFGPVPSPASARPFIVTDGRRVISKSRYQPVPVPFHIGFEKEGYRNSSEMAVSVIGIAPLPELKKSKRERQEFPNAGMSLSALQSSKPSKSTGLLDRLRIFSTGVSIGIISSTLSKKNELDALKGTVKRMENLVQDLHDELEMREGLTVKELPNEMSVKNDDDESKAHVTDSEPMSKIEEELEAELARLELNITSNHLNEQTFDFSEVDQDVIGDIVQGELKIDMAHSDLADYSSESAHGRDSRESSPDYAHDANYPVSPRDLSLRLHKVIQQRLEERIKELETALAQSEKQTQVQVMATEQILCERTCSDSDSGSPNQESPVYIQETNSLVEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSREPNRTQESDGDDEDEFEEDDQDSKMLIQQIIERTKQGSPVLIHAQRILFSVDD >KN539983.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539983.1:64425:68575:-1 gene:KN539983.1_FG005 transcript:KN539983.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMMYPSWNDISVYISEYWSVIIATVIFASVTGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPASSHIWRKELGSRSKGLKCCVCLKSVSSPQYMGGVIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSIETGDICDLGPLKRLILSPLCVKELHWTGAAGLISSITHGANELASNVRERIRSRGKKYRKGTISVDSDSSGTIDPPSDIEGDSQETNNAAKRREDHANGELPEVHESSESENDKQLMTENTTSIPNSQHEDSHVHNNQKCEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELGKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPVAILPAGTGNDLSRVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSQPKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNTFDYFPWDVKLEIDGSKINIPQVKCDAQVHMILINYLSDSEGILVANIRSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTKMPIQVDGEPWSQDPCTIVVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENNGIITASQKRTLLHEIASRLL >AMDW01032368.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032368.1:6:383:-1 gene:AMDW01032368.1_FG001 transcript:AMDW01032368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGAYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQ >AMDW01035011.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035011.1:127:468:-1 gene:AMDW01035011.1_FG001 transcript:AMDW01035011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPP >KN541578.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541578.1:8114:16616:1 gene:KN541578.1_FG001 transcript:KN541578.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVNRGVKLCGFGIPGQGFYSLHVDIPENELARIPVRGILTVIQGVATVGMVVNELKNRFVGLNWEWKVKQLNEKEFVINFPSEEVRSKISTCKSFDFDTCHIKASVVETGMTEEAIDELAVVWVKIFGIPKLARNEDAVKAIVELVGEFEALDNLSLRRDGPVRVRVACKDPRELHFVMHVYINKVGYKIRWEPEGFAPHENKIPSPPEDGDDDDKGDEGNEDMNVDEDFNNQSPVRGRQNKDNSSQMGGRGQLHSAPPAYKGKTCQQDSCRSLVKKMACKKLSLLECVNSGSKDLVLWEGEPEEVQESQELELPLSGQFTLRGGDEEKMISTDDSQEKCDIPTLSDIERLREEEEFEEEDSFKEVSYKKRPKKMLINPEELSNIAIAANISLGKNVVDVSSNIDTIKAKELVQDKLAELRWKQEKEKEEVPVNESLRNSSVEVVSPIVDEGNLEIDDAGSIEGVQPDKMSPAETLALSRRGGSSCLTFRRSFGELESEEWLALCNIINSIEVNAEPDSLLWGLNPNKNFTTQSLYRSILFRGIRDTKMQGVWRCPCPMKVKHFLWDAFGWNVLPRDFEEFFLLSSSMNADDFHAFLTLLAAICWVLWITRNNMIFRGKLVYSPLMLAFQINFFLQQWKDLSSKVAVGIDKFSGRLMNFIHSLGTPRSGIG >AMDW01039085.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039085.1:222:426:-1 gene:AMDW01039085.1_FG001 transcript:AMDW01039085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTKTGGEIWDMEESQSPRMGSVILGVDGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVG >AMDW01035302.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035302.1:290:349:-1 gene:AMDW01035302.1_FG001 transcript:AMDW01035302.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANETSILVDASKYIKELKDK >AMDW01040780.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040780.1:1067:1921:-1 gene:AMDW01040780.1_FG001 transcript:AMDW01040780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPSETKHSEAKPLETKPLETKVSGVKRKVDAIPATTRPGKIQKPAQDWSCALCQVSATSEGALNEHLEGKRHKAKLAHCGANNAIKDRKSSLKETTVNMYVAGPSDAPKKICIQVDGAMHEVVQKSNYLWCDRCKVRCDNNVTMADHLRGKKHSGLNKVWTSINAVRMNKKKEQSAATWEETVNENESTEIPVEAKDDSAGLSTEEDETCRYEIPVKNRKNEGTDLATEVDQSDSEIETPVEIMREGLNMATDATDENVRMEDPLEIKKENSDETNLAPKEEQH >AMDW01037419.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037419.1:45:587:1 gene:AMDW01037419.1_FG001 transcript:AMDW01037419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RCLTEILILVSQAGGSVAYLIFIGQNLHFVFSQLMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVK >KN543362.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543362.1:1224:1601:-1 gene:KN543362.1_FG001 transcript:KN543362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDSLLASLVLLNSRAPSSLPRHRTLPPSPLHLPLRSAHCVGRLRWAPLLLVSTRLVEVASSALFISHIASGGAQPSSDKAEALLPAVAASTSRGCERAIADNDKAMAMTKPRRAFFPVAATG >AMDW01040900.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040900.1:348:2978:1 gene:AMDW01040900.1_FG001 transcript:AMDW01040900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKLLEGEKLEVVDFTSFVERSSDPGRYVIFWELSADASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKDILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGL >AMDW01004072.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004072.1:12:170:1 gene:AMDW01004072.1_FG001 transcript:AMDW01004072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAATTGVDSVALAGDGKDQVVVVGDGVDSIKLTTALRKKVGHATLMTVGE >KN540467.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540467.1:7368:9320:1 gene:KN540467.1_FG001 transcript:KN540467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLERSLCSSPVRSVTAGPLVPLEVSENAVTSSVASPLPDARNALVCVQDSSISVAALVPVLACAVNDLETVSTEVVPATVSLVSVMPARAAAVAEVAHATVESVVVPSSVSEDLSPAVNLSSMPPRLRCKNKGFLAWYGTCPSSGQPNSVPPQEPRDIFVCSEQLSANPVLSPPQEPDSTRSPPPTLSSPSAAEQIRRALAGLSPEGSIHSVSYSPGQVPVITSDDTTAAQDFAFGEPDPVNISGDSDDDILDIFIPFVDMRVTAHYATAYVTPPCECPGRVIRKAMQDAHPRFQFTLISACRGAMTLRFIHSQDRDFAVDHQENLTAEGHLVRLERPEDSAARFIQHNTMLSELDCIDFPPEMLFPNKIRNAFENYGELMEVDDQCLYGDEQSSLRLVVLHYPGKRMSPRFRLRYNFGIVCTVYVRVLRTWELAMNVDEDGNYIKHYEQFLFPHQLDPPRSGPTVGQEAELGNLNQTPSNQTSNNQGLQNRGRLLAILPAASTVSIEEISDTPEIFVPPSIHSAPSSIHDCLQDLVSSDTENEHELSARKRRRQKKRTIDSDVKKRYSERLAAKEGQLYISLESKAARAKKLKEQLAKCSSKLNDAVHKHNLLDLNFKTTPKALEDLAIVCSLNDLDIAQLRKVFSSVD >KN540467.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540467.1:40388:43808:1 gene:KN540467.1_FG002 transcript:KN540467.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPALNSWQNESLAFCDWHGVTCGNEQPARVVALDLESLNFSGQLVFPCSVGLTSLERLHMPDNQISGHIPPEIGRLTQLRYLNLSMNSITGVIPDTISSCSRLEVISLWSNDIQGAIPPSLAQCMSLQEIVLSNNNISGSIPSGIGMLPNLTYLFLPSNKIEGIIPESLGSSPNLTYVVLWNNSLTGGIPSRLASCSSLYYLDLSKNKISGQIPAALFNSSSLVNLDLSYNELSGSIPSSASMSSKLQYISLTSNNLSGGIPSTLGNIVSLSYLMLAMNNLQGNIPESLTRITGLQTLDLSYNNMSGTVPPALYNITFLSYLGLGNNQFVGRIPTDIGYTLPNIEILVLEGNGFDGPIPASLVNASNLQRLELRDNAFSGAVPSFWSMPNLVELDLGANQLDDVDWSSLSSATSSPQFQAIYLDNNNIQGTLPSSIGNLSKSLQLIFLTNNRFTGTIPSEIGNLTNLTVLNTRGNLFSGGIPNEIGNLRNLFVLSFGSNKLSGEIPQSIGNLEKLGELYLQENNFSGPIPSQLASCKNLVMLNLSYNSFDGSIPTEILSISSLSEGLDLSYNNLTGPIPPGISTLINLGSLNISNNQLSGEIPHALGQCLHLESLRLEANSLHGSIPDSFMNLRGVTEMDLSENNLSGEIPTFLETLTSLQLLNLSFNSFEGTVPTGGAFNNSSKVFLQGNKNLCTTKSTVLLLPPCTPSTSNKRKNSHIIIIVVPLAVAAAILMACATIIQLKRRTKSKDYVDIDQSCKGLKKFSYADLTKATNGFSSANLVGSGRFGQVYKGTMAFETHPIAIKVFKLDEIGAPKNFFVECEALKNTRHRNLVRVISLCSSFDKIGGEFKALILEYMANGNLENWLYSKQKRPLDLGSRITVAVDIAAALDYLHNWCTPPMVHCDLKPSNILLDEDMGAHVSDFGLAKFLCSDSSAMFNSLTSVAGPRGSLGYIAPEYGMGSEISTAGDVYSYGVILLEMITGKRPTDDIFKDGLNIHKLVESEVPYNIGDIIETDLISCYNSEETKHDVENANNAMAGIVGCITQLANLGLRCSVDSPKDRPQMEDVYAEIVEIKERFSVLCT >KN539094.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539094.1:66900:69241:1 gene:KN539094.1_FG001 transcript:KN539094.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKQSALIVAAFVLLCSGCLCGVADGAKGGRKTKGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPDRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVDKGGKPYVEVRVKAGEVKVFSPEEISAMILAKMKETAESYLGQRVTDAVVTVPGFQHQVRVEIEALFDGVDFSETLTRAKFEELNMDLFKKTLGPVRKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLKEMFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLSGIAPAPRGVPQIEVTFEVDENGILHVTAADKAAGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGMAGKIGDDDKETMEAALTEALEWLEDNDGGARTAEEEDYEEKLKEVEQVCGPIIKQVYEKSGGGGDASAGAGDDDDVNEL >KN539094.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539094.1:29118:31054:-1 gene:KN539094.1_FG002 transcript:KN539094.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAGRRNAAVLAMALLCVLLHGELAESAVYTVGDRGGWGFNSGGWLRGKRFRAGDVLVFKYSPSAHNVVAVNAAGYKSCSAPRGAKVYKSGSDRVTLARGTNYFICSFPGHCQAGMKIAVTAA >KN539094.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539094.1:9778:10167:-1 gene:KN539094.1_FG003 transcript:KN539094.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLEQESGHFGYHGDVAIAADGSLDGIVKVQPETLPALPSIGDIAVHNENGNGKTVVPRSNEHHMANAVLDIFEECLREVKANGITYGANVNGGSELARRWRAQRIDELDVLSRRLRLLVEDAAAAGR >KN539094.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539094.1:110348:134697:-1 gene:KN539094.1_FG004 transcript:KN539094.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSCFGFLRKPRVSVSRPRDADGILSEDLLNHKSAEDPDGSFYTGDDPDRSFYDRDDLDRSFYNGDDPDRSFYDGDDPDHLYGSDDGQTRKRSEDIILSRAQNGFACRESLVKETKKVFRSEDENGSKMVNQYVHLGKIGSGSYGKVVLYRSMKDGKLYAVKVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGLGEATSRNYLRDIISGVMYLHSHNIIHGDIKPDNLLVTSTGSVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVAEHPWVAGDQGPVVEYFCRCGFGRRKRDDLKGEYTPVNGEFSPEYLNYFSLLNFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHMLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDCKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNEMLSDVKPATLVQADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAEDNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMEDRICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLTQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQMFAQWSQVLQSSQPNLKIFKCLIGSFSTGILKMIMENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSSMGGMNTGMSGMQSAQPNQSFDEVFLSRFVRLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLVGYGSKTMVQTTSDDCHWYVDEVQLDQIHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAVTFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPHVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDKLVEGYLLGAARRSNIFAHILIWHLQGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGIMLLSGSLYGKNGDFKRLTEGVSVLRIDLPVVIPKSDYITTPLGEWQSENVMLSVFPDPRYVNIVTTNQLRIYHTEHKDSK >KN539094.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539094.1:53:244:-1 gene:KN539094.1_FG005 transcript:KN539094.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPSHRAGEMVSEAPMAAGAVVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLIS >KN539094.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539094.1:138362:141846:1 gene:KN539094.1_FG006 transcript:KN539094.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNLITALTPKNDRWRIKVKVIRLWDAVNPTMVDEFYGIQMIVLDAEGNSIHVSISKQLANHFRPKIKINSIYTFKNFKVMEHDKYRVLKNNLKILFYHDTIVKETCLYLDVIGLLSGMKPIEQRMLGKNTSRERVCNMREIELLLLEGEKVKITLWGDILANMVDDDLLGKQTVFIATGLLVKEYEKLLSFGVTSSTEVFLDMEIPASMEILSRHNAEKVLPTMIEVDASTQGTIEEQMFYNRKTLKEITELRYSNIQQKEFICTVKAKIEEIKSRNWWYMSCDKCFCGTRKESNVYICNSCGKEAVNPKPRYVINLEISDHTTRTTCTIFNEEAERIFGHKSVSTMLEEQNGQIDMIPDTIRQICGRILIFRLKLTKRNLEECKEDYKVNYTFTPNEKLEMNYVNDKAEESMLKYNDDYGFTDLQKSENEFGQHNFQVKEEPKNESSDDYEKNNHRKTKKERSNMRSTKRSKKEPYITDSDGKTNEKAITIDDDSEESLDDYFDEEYNKKVTQESTKTNPSKRRVTRRFKIANKAKKGKCSEMKTQRNKEPYTTDCEKIANDKPISLDDDFDEEYNKNMVRGKGCGKKSTNMGTCTKSAIENTIQDDEVHDGKIASGTAKRPSRKFIISDSEDEHSSNTKVTGDSTSCNSSKEDINKGRCNYNIENIKVDMNEQSSRCRSTRIRRPPTRYSY >KN539094.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539094.1:108006:110263:1 gene:KN539094.1_FG007 transcript:KN539094.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELYNPVAADDEEQQIEQKPAARVVPFSEPSPEFASGSVEADGLSGFDVPDMELASFAADMESLLMGVDEGFDDLGFLDDEKPHVKLDLDMDMDFASISPAPAPEREERKRKRPEMILKLDYEGVIDSWARDGASPWFHGERPRFDPGESWPDFPAGSRGGLGAAVTAVTGGEREARVSRYREKRRTRLFAKKIRAPYRSTIRTKCTMCALKQPAGCVLVHNFMCKLSSLLGDLKGTNCCNHV >KN539094.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539094.1:77108:79396:-1 gene:KN539094.1_FG008 transcript:KN539094.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGELRLGPFGDTCALVSVLFSCLGMAFRFAEIEYVAKVNDLIGAAKSYGTLNDILDKDVENDCVKKQGSHSRNLRRVRLGLGLIKVLFEQFLSTQECSLYDAATTAYGQVCAPFHSWAVRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIDYIDNLFLSRSISLDW >KN539094.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539094.1:24973:27840:1 gene:KN539094.1_FG009 transcript:KN539094.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAFLSSLVDSLSTTALSGITSLWGVKEQVDSLIHELQAVECFLKDADLREIRRQATSNNNWFWLHSLRDAAYDAEDLIESVELHEGRYHTLNPLLQPLNSYRFAKQINEIKSRFQSIIDGWAKNASMLRELRDMSSSSSSLTSAADSLWRRSSCHLGDDVVVGREEEVGMIIDRLLRCTAHREVVGIVGMGGVGKTTLASLVYNKVSAIQTGGTSLRPDSPKGTSSRSSVERYFDACAWVPVGQNADALGLLKITSAQIGVELNLTQVAAAKNAMFRFLQHKRYLIVLDDIWTTETWLELSEAFPKSTNGSKILLTTRSKEIAVSADPSSLPYELDPLSEELSFQLFITKVFGLNHIDTRSCPPQLKDVGHQLSKKCGGLPLALVVLGGLLSGKEKQVEVWRNILKSMKWSNYEAGNQCLEILALSYNCLPYHMKLCFMYLGAFKEETEISVSKLTKLWIGDDFIPQQDGKTKEETANDYLHELIQRCLVQPLLPAHKQGFKRVRIHGLLCELARSEARESRFFYCENGDAVSKAEGKYYRRLALHTKLIAFHELSNSEKLRSLLIFPGVRESCVITVGHQALRSFSRAFCHTLFFFSLRGFQHNILEQKTSMQYIRVLELEGHERLAHDLKSVQSNLNHLRYMSLRNTNLREFPFPESNFPLLQTLDIRGTSIRKLPSIFETLDTLRHIYLNWMVSPNIRRLTNLQTLHGVVIPNTQAERDLMALTNLRKLRFSTTWGIEYRPYFSDGFDFDRYIAESGGWDENLALAESLKQLGNLNSIFIIMPFSSAITSDIVQAVTSHEQLHKLNLQGRVHRHLLEDLHFSCIKSITLAGSWIASSPMESLGSLTTLCELKLKDDALRCSEVSCLQNSFPELRYLKISGLKKLRVFHVGHGSFPNLTRFSIHECTEFLSTVEVMEHATRLQVLKIKEMPSVLPDVTDFCHSRNINLIS >KN539094.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539094.1:13124:19260:1 gene:KN539094.1_FG010 transcript:KN539094.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLALTLTSPLLRSFLLPAPSRRLPTGPLLSLRFCSAAADAADAPAAAAPAAAAAPDHPWPEWGDFLEKLRAKGYFEQPTLASRADAAEGEVAATAAAGEDPGASVDNYPSKDLNRLKNACLKFGRERFDLLSVLPKQDIRAIVECGCPNIFRKPVNSAKRLREFLQIDEGDACSACKLHGSCDKAYVIPNAEDEARTVDVMRILLNYAIDPTSLSGENSLNGGVQESARKLLSELTMLSDTTIDPSIPKPVFQTSSKTKTFDKGIAKPKFSAGKGRETTETEMKKGDWLCPKHWARRPRPTTRPKEPHWEAPSSDDANSTREAHLLDDIRLWLLIMVLEDYDIMVASFIVYFTVILFIPHPQCHFMNFARNKMCFKCEESRPKRQLIPGEWECPSCSFVNFRRNKVCLKCKHEGPENDTHDSQHGQNRWRNTRGADRSRSFDDSFDREDDGSDPDEGERRRAAVRTRAASTLRRSTGKSKIFDSIHEDGNGREDDSEDVLPYEGQRRHIVSKRATPAQRRFTAGRSKTRHDKLIKKFTTALNTDDVVKGNITSRNGSSQLVSSWINGRAQMDSLRTRLMPNEAVQMINPKIAKKLSPLKHLPKN >KN539094.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539094.1:54882:57532:-1 gene:KN539094.1_FG011 transcript:KN539094.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MPPKSDSVEGIVLSFVNEQNRPLNSQNAADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAIGEVESEVRGLQSNLTLAEIKSKEAKLQREVHEMEEKLNKLRSGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGIEYDEDVGVNLQSYSDMLTSLSKRRKVSR >KN539094.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539094.1:72984:74196:-1 gene:KN539094.1_FG012 transcript:KN539094.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPDPEKPDFWEGPQWDALGFFVQYMWAFGVFFGLVACGFAVATYNEGATDFRETPSYKESVQTQEFPEESESSGSDVFEGNPTEVAPALE >KN539094.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539094.1:88265:90332:1 gene:KN539094.1_FG013 transcript:KN539094.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >KN539094.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539094.1:93746:101388:1 gene:KN539094.1_FG014 transcript:KN539094.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MSSPLAVVSSFWKDFDLEKERGGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASSDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMAEQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKETEVNLLLDEVERAQARLVSLEREKGDLRSQLQTTNEDATNSSDYVDSSDILESSLNAKEKIISELNAELRSIESTLSSERETHVNELKKLTALLSEKENALTELKKELQERPTRRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSNLLEEAEKKIAELTAKAEEQQKLILKLEDDILKGYSSTDRRTSLLNDWDLQEIGSNEVAEGTDPRHAPQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLVVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDVESGSSDVETKYKKMYEDDINPFAAFSKKICPDIYILLHYWITSPCIYVAVQNVSFELSQIKIFQIWRRSGWEMTG >KN539094.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539094.1:52667:54234:1 gene:KN539094.1_FG015 transcript:KN539094.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSMLGLAVTRLGVLRGHRQVRCAQARGDVEQLLRLGHPDRALLRAEQVIREQNTLDAFLMLESYCNLITERAALVDSHRECPEELREAAAGLIYAAARCGDVPELQEVKRLMAAKFGREFVSSAAELRSGCGINAKIVQKLSTKQPSLESRQMVLQEIAAEKGIAGVVVHVVHEPSSHDEDSGLGHRRRRHDGERRHRVHQPQVDLEEDGSSSSEIEDSAEDDEAVSHESTAESEVKQRGLTRSVPVSVRTKRIY >KN539094.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539094.1:20068:20861:-1 gene:KN539094.1_FG016 transcript:KN539094.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLALVAYAFLNFWMSFQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPLFFATLLVGGLQHPLVAAGLGAFYAVARFFYFKGYATGIPDNRLKIGNNQSANTLNFDFIYEWFPKYRWY >KN539094.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539094.1:58866:64161:-1 gene:KN539094.1_FG017 transcript:KN539094.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPRDDDDEDDAEDGASSPKRHKSDHHRHRHRGEGDLEGAAGVATVSPAAAGPAEGEAEDGEILDQATAAVGVGVGVGRGLDADAGEAGSVRGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGELDRYSTRRWETEERGCYKKKKNSGYSGCHIGRHTDICNSEEKHLDERKHGSLVEKKFDLHDLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNNYKETDRVGSRYGEEKLHDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYMEEDEENYLEKIEDRLAKTKEHESEKIKDESKKGTEDILEKQQEKCAHCIDNKEITKINKEPAATKQRLNNLRAKEEIANNHELSNVFVGAKFCNVRKSPTLPKISISLEILDNKRATSASGLQEGSLGVSHNKRTTNALGLQEGIPMRGKQVIVGNKFDGQQRIGRKLKNTLHNNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVNAKDLKAQKDGCREVAIKIICNNIEKYRSVLESLHMNLREVIKKFGHGNGLKLTAVRAYARQIFIALKHLRHSGVLHCDIKPDNILVNKDGNWLKLCDFGSAMSAGNNEITPYLGPFPKKMLRKGNFTMQHFDQNMNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVSEALSHPFITGK >KN539094.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539094.1:143581:148246:1 gene:KN539094.1_FG018 transcript:KN539094.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNHPTDDGSPTQDSSNLTARANSKELSRLARSKRKRKLDLRRSGSPSTKNYSSADETNALQRKRKLDEKRTGFQMSNNESSADTGNPLQSSNFTAEVNSTELARLSRLNRKRKLDLRRSGSTLNNSSTCSNPANHIERSRKEKYQIPSTNMETNSLKMNDRVSKEGNITRNKVADTGNPLQSSNFTAEVNSTELARLSRLNRKRKLDLRRSGSTLNNSSTCSNPANHIERSRKEKYQIPSTNMETNSLKMNDRRKNLNYPTLRLTEQQKKGHALIEIEKLTRQAGKTLEEYPDIELPKCAELRELGNRLLNEEMSYDKDKQKEEHDSIFGKLNAEQK >KN539094.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539094.1:22908:23412:-1 gene:KN539094.1_FG019 transcript:KN539094.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSAMRGAVAVAFLAVVVSCIFLPGCGVADAATYYVGDSLGWSLGSGSWPSGKKFHAGDILVFRYLPWMHNVVAVDEDGYADCNPPPFSRYYTSGSDSVRLARGDNFFVCTRYGHCNLGMKMVVTAV >KN542612.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542612.1:2039:14110:1 gene:KN542612.1_FG001 transcript:KN542612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPHPPHRNGNHVPAPSGESFAKFFECWISEQSRDLAALRSAASAATKPPAPPHDAELHRLVDRVLGHYEHYYRTKSAAASTDVLRMFSPSWTSTTENLYLWCGGWRPTAALHLLYSKSGAQLETQLPESLATVKMVELAGGGGMDAEGMEMEMRAKADGMRRVLEMADGLRLETMREETDLTASDPAAASVISSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFALLHLHCIQSWAHQSSSAAPSPTWGCPKCRFPYPKSQTPTSYLCFCSKTVDPAPDPWILPHSCGDVCGRRLNADRDSGCEHNCLLLCHPGPCPPCPAIVPNAMCFCGSHRETRRCSHQRYSCSGKCNKRLGCGIHRCPVDCHDGPCPPCAVRGKHQCECGETMEERLCSERVFQCKRECGGMLQCGKHSCERGCHAGKCGGCPLQGRRTCPCGKKDYPSLDCDAEAATCGSTCEKVLGCGRHKCPERCHRGSCVETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACRRRCCEGDCAPCPEVCDKRLRCGNHKCLSPCHRCHGPIAPPNPEFTLKPIKRKKEKHIDSTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKRRQFPCQNLCGNPLNCGNHYCTKACHVLQIPLSQPEGDQSAILSLASASAFAEPCEECNLPCQRFLSSVLFAENYRIVPICAQKYVTLVNVRCACNTLKKEWICQDVLKEYRQSGRDPKQIPKNQYAVGLLACGEDCVKKVKAADSELHLRKIQEIKTPAVEVENVPKRRKRRNRGQESVESSKFQEIKAVALKFLLVIFLCIIVVAGLYLLWKGVYRLSDWMNDMEEQRARQRHLKPGRLYSLTGDHTSAPFAVLSSVAVTTPSDSVLSSVAVTTPSDSDLEKFAALCNPDLDCLCLYGNSDGTWEVAPPPEMVPPELPEPALGINFSRDTMYRSDWVALLSVFSDSWLLAVAFFHGARLDRDDRERLFNMINDLPTVYEVVFGLEQSDEQSGMDNGAKDTPAPQFTLHDDANQLIRGKARQNMIYAWMPRSGLIG >AMDW01004810.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004810.1:12:206:1 gene:AMDW01004810.1_FG001 transcript:AMDW01004810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNRRSVASFYNPAFEATISPAVGAGGEYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKSA >AMDW01035182.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035182.1:231:442:-1 gene:AMDW01035182.1_FG001 transcript:AMDW01035182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHK >AMDW01022711.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022711.1:53:226:-1 gene:AMDW01022711.1_FG001 transcript:AMDW01022711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEESPASSASSASSAGVVLSPTIDAAAPQGPAATPVPPAAVASVTPIPSASDSG >AMDW01026236.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026236.1:2:274:1 gene:AMDW01026236.1_FG001 transcript:AMDW01026236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FAADVDLEDLGLNAFWKKGIDDERADVNKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGGAPAVAVTGDGWFHPKSIEEFYRLFDSHMFDE >AMDW01023637.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023637.1:270:320:1 gene:AMDW01023637.1_FG001 transcript:AMDW01023637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYGRRLTERIHSERQ >AMDW01027516.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027516.1:29:343:-1 gene:AMDW01027516.1_FG001 transcript:AMDW01027516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKVKLVFQPAEEGYAGGYYVLEEGAVDDVQGIFGMHVDAGLPAGVVASRPGPFLAGSARFTATITGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETDPLQGA >AMDW01040627.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040627.1:20:1163:1 gene:AMDW01040627.1_FG001 transcript:AMDW01040627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRLYLGLWKFPATADLPRGVSFPNLRELGLCSVVMDTQDMDFVLARSPVLETLCIKGNLFRMRIRLASRSLRCAQIIGSSYEEIAVVDTPCLERLIVSGTWRHDGASSGSVKIGNAPALRVFGYLDPAANVLVVGKTAIKSATKVSPSNMVPNVKILALEVRFGVRNDAKMIPNVLRCFPNIERLHIKVRSKVEVLRAAKRPTGCSVLVTPSTEPEECNIWSFKRGSDFSRFDPFTDY >AMDW01012745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012745.1:12:236:-1 gene:AMDW01012745.1_FG001 transcript:AMDW01012745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYATGRVSAPTGCCCDGVRTLNSAAATTADRQTTCACLKQQTSAMGGLRPDLVAGIPSKCGVNIPYAISPSTDCS >AMDW01068250.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068250.1:541:2814:-1 gene:AMDW01068250.1_FG001 transcript:AMDW01068250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKDDMARADSVKFRAVFTQVEDLHRLVQRPREQIADAEALLDIATSLVASVRTHSALGITPSDFVSGMLKKFGEKRRDDYEAASLRWLDVGLYTSRIFMAVPGCSTMVGPMNTEVLPRRVRVCRKRTAKPRGSECPEQLPDSSNAAKTDTDRNMSVIFDVLRKKKNARLENLVLNRKSFAQTVENIFALSFLVKDGRVEISVNDEGHHLVYPRNAPAASAITSGKVVYNHFVFRFDFKDWKLMKDMVVEGEELMQHRPPAPQVGTHGSSSSSPTTTTGGANEGPETETPAAPAHSTAIRKLCRNRGLVMHDMQEEAATTTTTGGRTMEEKRSAMDAQ >KN541196.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541196.1:899:5129:-1 gene:KN541196.1_FG001 transcript:KN541196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGGVTVCEINRDLVVADALSDDRAKDAYGDVLGMVFSPIPFQPDTIVATHEPPAVNEAAEPAEVVPGTSLVSTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGEIFSESRQFSLTHISTYLVSASCNSPSFTIWDVSQGLGTPIRRGLSSISLVRWSPSGDYLLTAKLDGTFHLWETNTWTSEPWSSSNGYVSGANWDPEGRIALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFARIDSLRGGKSLRLKVFYFSGMISAMFINLKFIFPFCPFPKAKTPLSYHVSF >KN541432.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541432.1:1377:9646:-1 gene:KN541432.1_FG001 transcript:KN541432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVAGKAANALVQSVTRMCGVDGDRRKLERQLLAVQCKLADAEEKSETNPAIKRWMKDLKAVAYEADDVLDDFEYEALRRDAQIGDSTKRKVLGYFTPQSPLLFRVTMSRKLGNVLKKINDLVEEMNKFGLMEHAEPPQLPYRQTHSGLDESADIFGRDHDKEVLVKLMLDQHDQQNLQVLPIVGMGGLGKTTLAKMVYNDPCVQEHFQLKMWHCVSENFIPISIVRSIIELATNGRCDLPDSIELLRRRLEEVIARKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNQRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVTIGKCIVHKCKGLPLALKTMGGLMSSKQQVHEWEAIARCNIGDSVKGKDEILSILKLSYKHLSSEMKQCFTFCAIFCKDYEMKKDMLIQLWIANGFIQEEGTIDLAQKGEFVFNQLVWRSFLQDVKTIELDVKGRDFFPRFKLIVCKMHDLMHDLAKDVSGECATTEELIQQKALSEDVWHMQIPKGELKQISGSFKGMASLRTLLMAMELPSYTDLKELKLLKELKLRSFFLQRLKLRSLRGLSCDCWDNPSVITSQLINTKHLRYLDLSRSNIVRLPDSICALYKLQSLRLDGCNSLECLPEVEKLAEKGTKMVIISNSSRRSSVTMEKLESLGFDPSCFLGAITSGELTHQYLQKRDDPWFSALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYASAMSLAGVNPHECITVGDSLHHDIKGANASIVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPSYVLPSFTW >KN541196.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541196.1:31539:32439:1 gene:KN541196.1_FG002 transcript:KN541196.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRREGGNDNVSVGTNEEAEYSSSISRLPEACVAHAISFTTPMDACRCSAVSAAFQTAASSNAVRVGALPAAGLPLRSRSRRRSCGFHHLQEGALPQPRTRPRPPRSKQHEFLVGKNKRSQVLHAIIEIIMDSMGAQSPVLEKDLLTGFQKVVRYMDKYAKALRDELQKHSSSDK >KN541196.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541196.1:11189:20166:-1 gene:KN541196.1_FG003 transcript:KN541196.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWNGAARASAALVVSKLASKKRNTLRVAGVPGSIESVSSLLYVADEECNLLGLLIIKKLARDHDNCSKIGYAMYLIAAKAVACLESNYNLPERAKELLAELEAKLTMVKAISEAADSRLITNTNLVQWLVGLHAAAQEAEDALDEFEVNNADITRKRKASDLILSPLRSLKSLVVPDDSLIKLEHVVKILTQLCATSTTFIELTKMDDSRANQYKAEDTGEASSHLPVDVPVFGRDEVKELILEVIVGSSLHDPAESSSRIEKVRAAKHNILVLPIVGMSGVGKTTLAQVIYNHAKVKQHFEHRAWVYVSENFTIKRTLQEILHSFQGHDGAIFNGDESMEATITKLRIKISEGHRYSSFFLVLDNLWEQMCQEWSTLLTALSDEARQHGSVLLVTTQSQRVAQIVATICPINLKALPWESFWPLFQYHTFGGTEVPDDSQNMLLIAEEIAQKLDGLPLAAKIIGNLLRYRFSWDNWRRVAESDWWNLDEALQGILPYLRISYQHLSPKQRQCLAYCSIFPRNYLFDKDRVVQMWLAHDFIQWNNIADGTRLEDVGKQLFDELVERSLFQPTFVSNKYVMPDLVRGLAIAVSLHQCFLHSERSTRVLSPALGNIRHLALQISSLEQWQELHKYKNLRTLLLFGRFESDAFFNILDGMLENSPSIRVLDLSYVEAPGKIWPQDARPLRKLRFLDLSFTKITKLKDLPTNLQVLHLRGYNADSVPQSITKLTNLRHLYVDDSALSKIQGIGQLTELQELDSFIARKGQGFTIRELRNMRELTGRLCIRGIENIKSKEEAMEAGLMDKKHVGALVIEGKKVPKFALEGLQPHPNIQELTIKFYQDQDFPDWVHPNNLINLLHVNLESCRFLSVLAPLGHLPLLKLLTLRKLPSVKHVDGTSFGGFPSLEELELHSMEQWEEWTEPDAAAHAYGSSLFLEHLRKLHLAYCPSLRRFPYLPCLSVLKELKISKPGSYILALPACSQVLASLITLAIEYCNHSVVLSAHQFKSLENIELIKSEGLRLADGFQCFSNLRKARVEGCPQLLSAITTSVSVGLGQVYCTAQQQQHQQGARLLTRLRTDESLLYGCYALKCLPSSLVAMPSIKILELHDLHGFLSIPNDALPPTLQELHIFNCTSCLSIRVSKDGADWPYVAHVPYIRVNDATIWGRESYRDMKEKERERESAAAPTHPPARKRSNGAPAGRSTTAFTRPSQQPRPIPRWLHGRCFRCLGFDHLKAAYRAPPRCYRCWFPGHLERNCTFREGEQQKQSVAHKPPPPPSKVVPVVVSAATPKDLPQPRAPKVVPAIPVVAASGSSSPAAPGARPASNAVALAPAREDLAAGDPELRPAKGRCVLSWTAGMAAAERQLLGRALVPSVRSGRPVVSPAMMVDELSRSCGIHPDSVRVEVTRPSDFLITFASGVDCSVVLDRSGRFQVAGAFISFRRWHRSVHADSSKLSSVVRLAIEGPPAHIDEPEALKQFLNKFDCQLIETFPPVDACMTEVLAWAANPSALPKEMVLDIPEPMAEWWREPEVDDPVMFEALSAEGPPAPPTDKRRLSYNLLLHVLEVVDPALPFVASMAVASPVSPPVPGAVLDELPTLLLGVDTPSPSMPAPEVTALPEELCGGSRAFTRPSLAPARVAAIARLGFYAPGSRGENFGSPAFAPSGGLGGKGGQVPRPTDCLLQARDWAGPWVSGARPCTPVSRPSGRPAHKKPSFFAWAARADR >KN541432.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541432.1:24218:28022:1 gene:KN541432.1_FG002 transcript:KN541432.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCIGQNQETKEEVSFETQDTSLKAGKVADGKGAPYSPPEDDDDAEGDCPFQDGAQGTVIAAKKKKKKSKAKKKKGPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENTVRKLIKENGLEAAHWTPNGGDKTVLQYDDVMKLDFGTHINGYIVDSAFTVAFNPTFDPLLQASRDATNAGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHGIGPYQIHFGKSVPVVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRAAKAKQLLVTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDAGIVEPCPPMCDVRGSYVSQSEHTILLRPTCKEVISRGLPSNSDTLAKSNRVSREKCNCKFTIEEKKLERGGPY >AMDW01038249.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038249.1:18:387:-1 gene:AMDW01038249.1_FG001 transcript:AMDW01038249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQLQVLLLLVCLLFDAPHLSSAAATVPTPPFSFNFDFSNMSTYKPDDLRFEGNATVHGSFVDLTCNAYGLDISQCTAGRMSYNHPVPFYDQTTKEVASFSTQFTFKIIVPRFNNDKEKGD >KN541432.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541432.1:21900:23331:-1 gene:KN541432.1_FG003 transcript:KN541432.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPYKDGGDSGNREDKINELIEKLN >KN539466.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539466.1:97777:101428:-1 gene:KN539466.1_FG001 transcript:KN539466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIIGCFLVASGVILAVARFNCLQSLHCLILFIYGFPYTFLKYKVLFQESVFIDGAKRLKGRRPDIFVVNSFGSGFQALFVFLLLPLLSNLRGIKFAELPAYLNGGAECFLNVDDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSSSFILGGVARYMSGTQGQKDPLPSSAAPIANSIDTTLKCRTILEYRTIRNCPSKLQIPVCSLQTITIHSVPPWLHTEPSGAVITLQYCIARIVCILESAPSLEKENAQLFLVLDKCLDMLHWYLVYDNKWAGRIAGAIIIILNPIIIEARACKNSTLRICNISSINS >AMDW01016778.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016778.1:53:166:1 gene:AMDW01016778.1_FG001 transcript:AMDW01016778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVEIVDRYDDACVPANTTDKLAYIQNPTISKNCRRTLK >KN539466.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539466.1:71527:75741:1 gene:KN539466.1_FG002 transcript:KN539466.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAFFAAKSVAQPAITYLVNKALNRISADGDLRKRLQQKLPTVEAILYAVNQQQTPSNPGLSEVVWQLRDAIQEAEDALDSLEYLELKSGTGSRNKVSMSSVVSRISRKFHVADSSRATESLKDALKKLDSVLDNAGRSLPVTHASSYSHGQENIQDLASRWETARELTTTVFGRLKEKDEIVEWLGVQTPNHTDHKLSVCAIVGAGGMGKTTLAQFVCQDRKVQDHFGNKIIWVHVSKIFDPKVLVRKILESFNQYKASADALDTLQNLSKQFMTKRFLMVLDDAWEDAENERWEQFLGPIRNNAPVGGRILLTTRMRSVANAVKRQMSSDTYKCLELGGLDEENTLNLFNYHVFGDFTPSDRVELQLIGEQIAKKLNGCPLVAKVIGQQLQGNTDNARWKKILNHGIHQLDEVDTKIMEVLRQSYHNLTNEVQICFQYCSIFPPHHKFKMEDMVEMWVSSGLILQREDGVKNLKDTARVYFNILSQKSFFSLVPRELTVDPSEDYYVLHDGMYELACSVSIGECSRLKSTDHNTDILPTIRHLYVEGINAEAINIISKSKYLRTLVIANEENSIQLELANDLKKSIKGRTSLRLLKLCGNGWFCMNDAIAGLEHLRYIYLSAAEEPNLIKLFKLCHLEVLQILKIEKENKSSSISVNLPHLQKLFLPKSTLARVPYIGGLTTLRELNGFSVKRKEGHKITELKDLRKLQKISVLDVQNVIDHSEASSAELDKKLDLNVLSLEWSTDQSSFDDMILNKLVPGSNLKHLVISGYSGTKSPLWMESKYLSNLVYLKLDGCVEWDKVPPIGYLWTLKHLFLMHLPKLEYIANSSYSTSLYGYRGTDPDGLPPHLIIFVVNDCPCLSELPGLPFTLQHLDISKVGMSSLPTMCDHKGLRHVSMMESQLSILNIESCEFLVSLNGCFLQEEHCKVLTVLNLVRCHMLISLPEAEVFLRMSKLESVKIIECSALSSLGGLEALSYLKVLRIEYCANLVTASSSRLPPASDESSHLKLETLEIDDHLLLAISPLRNLCLTKRRIILCRSKMAELPAEWLLQNRSHLEHVEISNGELLGSLPNMHEMHALRSLLLHNTPLLQSLPFMPPNLWALVINGCCNELHENSKIGGSEWTKICRIHYPLILTQKDMIALPVISLHVTFCLHVSMHGCYCCPMIQLIIHGVLLQYNGDSGTCCGTKRADMSGQKTAIVEEQQNY >KN539466.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539466.1:55217:58756:1 gene:KN539466.1_FG003 transcript:KN539466.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAAAAVSVAIFAGKTAAQAAITYFTNKTLDRLLADGEDHRQRLQVKLLAIKAIFRDVDCLQVRQDPDLGPWLWLGQDAMEVAEDALDELDYLDLERKTRNRKQKRVSWKQSFNFWRPVTDNALRRHAPDPLSLKEALETLNLVAETANSIRAIVVRQFPSSSKDDFQRGRETTRELTTTVFGREEDKDTILQWLGIPGPVHTPEVTQGSTSDQVSLQTREITNGNSYDQQPIQYQQRTQDNVSVCTIVGNGGMGKTTLAQQVCQDKRVQDQFDKIIWVHVSMRFDSKILMKRILEKINLGKANVESSDVLKSSIDEVLMCAKFLLILDDVWEDVAHDTWKPLLISLTKSTLQGRIVLTTRLGSVADTVRNVMPLDRYKCLELTGLEEKHILKIFNYHAFGDSRTGNHEKLQLVGEQIVKKLAGCPLIAEIIGSQLKGKTDRSEWDNILIHLNQNIHLLDGIAPKVMDVLKLSYTNLTSEVQLCFRYCSIFPPHHKFRMEELIEMWVSSGLIMRRKNNIKSLEDIARDHFYLLVEKTFFKLIPRELHADPYEDKYVMHDMIYELACSVSIGECLWLKASECKDMQSHLTLRHLSIEGLNPEVIRIISESKYLRTLIIANKETSLDRTHADELLRAIRNNTSLRLLMLDGNCWDCVNDVISELKHLRYISMATSESNLNKLFKLYHLQIIKLPEIERGKKVHSIDISNLIQLQKLYLPKGILSRIPHIGRLTSLRELNGFSVKTEEGYRITELKDLNKLRKVIVLDVQNVRDDSEASSAELENKVDMKLLSLEWCADAGSRNQHQILERLIPHENLRRLNISGYNGTKPPGWLGINYLQNLLHLKLDGCLKWDELPSLGKMSTVKHLFLEHLPNLKHIAGSKGLPPNLVTLVVKECPELLDLPDLPFSLQHLRINEVKLSSLPVSDQDGSRNISTEEPELTVVHIEHCDYLVSLLGSFLEEQHHKSLTNLQLIHCSILDQLPDEEFFERVSKFESIKILECNNLSSLGGLGALSYLKVLEIKCCSNLTASLPEPLMASSRSLLELYELEIDDDELLLQNPLRNFSCTRRLIISSASKMTKLPEEWLLKNISVLEHIEIKDAALLESFPSDMEMFDSLRSLCMDNIPLLRSLPVRMPPKLWSLFINGCHAELDKQCQPGGSEWYKICSIPTRCISCRK >KN539466.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539466.1:4238:4810:1 gene:KN539466.1_FG004 transcript:KN539466.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSELARKPRVLKKVQAEIRAAVDVNGRVQPDDITKLSYLRNVVKETLRLHPPTPLLLPRETMRHIQISGYDVPAKTRIYVNAWAIGRDPASWPDEPEEFNPERFEANEIDFKGEHPELMPFGTGRRICPGMAMAMANVEFTLANLLFAFQWSLPEGTTPDNVCMEEEGRLVCHRKTPLVLVPTVYRHGLE >KN539466.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539466.1:39221:45986:-1 gene:KN539466.1_FG005 transcript:KN539466.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSYKRGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAATSMVVRDKIHVLPREILGISTFGLSVFLLKWFPIKWVDALLLIFSRLILGNIEKYGLRRPKIGPLQIKCSTGKTPVLDIGALKKIKNGEIKVVPAIHCFTEDGVEFVTGCREDFDAVIFATGYKSNVPSWLKEEEFFSESDGFPRKAFPHSWRGKNGLYATGFTKRGLQGTSYDAAMIAADIARRWTKSLAGPTAAADADHHETYIAN >KN539466.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539466.1:93516:97322:1 gene:KN539466.1_FG006 transcript:KN539466.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGEALDLAMEEDLDLYSWKSVVALAISLLDRALSYFGEDGEEEEVWGANLFGAFVGKDRFRVLERCGELRLSLMNWPELYHCGYEEIFEFRVAVEEFHDLIKQIELRWREEEGHDATFFNVLNATMASKTRLPVFRRKDKGRRHAAYSSRQDMLHDLEDGVSELKLHLPAGDRGLGDVASRPPEHGLHSSWTATEEMVGRDREKEKIVQWLIKQPAAENSEIVSAGHIQIFAILGVAGMGKTTLAKLACQEPIVSTAFDFVVWLQVTYDFNIEMIAKVVMETVTGVSREYYSSKFLAHALTGKMLLLVLDDTWEDKNMHKWEVLVATFRNCKRGTRILLTTRMQSVVDMAEAAFGSPAECLELDELGENDNLLLFRSRLPSQLYSEDYAHLQLIGEQIAEQTGGCPLITEEVASWLGSSMETHHWNAILQEGWQKMGRKDIFARLRLSHDHLPSELQSCFRYCSIFPKGYKFNKVELANMWISSGLIPLRSSEHDDTGLQYKKDACLFSAEDVGEQHFTALVRKSFFSRMLETDPSDGKLKEYYVLHSLMHDCAQFVSQNECARVDNGHFKHVKITTIHLSIAYCGNLTGLSNLVHLRTLIIQSECCLDEESKLMLGNFLRSSKRLRMLHLDVPYLSHALDRISDLTKLRYLFLHSCDNSHIQRLFKLYCLQVFKLNYLTGKEADLDGIKNLRSLRCLQVPDSMLSKILQIGMPTTLQELHGFEVAENDGYKLRALSTLTNLQRLSLRNLQNVRNRVEAMDIKLKDKLYMKFLLLSWKKHLNYPENLDHQIIDCLEPNKGIQQLHIYGYNGLQLPIWIENSSLTHLVSLELEYCMKWRTLPSLKELSSLKYLKLEHLFQLGTATQELTIEEQYGSKESDNAFLPPFLNTLIIRWCPNLKKLPALPCSLEKLIIKHVGLAVLPRIQEVYASTSKTPSVDSCLSVLHIESCAHLTSLDEGLLTQQQHLQFLKTFLVKHCEKLRHLPAMGFTELHQLKFLEIVACPMLRNVATDGCLFPMSVTHLDINQCGYIEESVLMSLQNLTYLRSLSLFSCNNIEILPSDEVFRKLKNLNDMSIARCKSLLSLGGLGAAASLRALSIMCCDKIHSSLSPQAGCSFKLQNLKVDRQALLMVEPIKSLRYIVHLHIGDDYSMEALPEEWLLNNSHSLCSVEIGVAENLHTLPSQMKKLGSLKILHIERAPRIQILPQLPAALSKLTIWGCDPRFLKLYVKDVGSDWDKIKNIAHVDMKAYSEGAHFPSVINIDFVL >KN539466.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539466.1:12358:24419:1 gene:KN539466.1_FG007 transcript:KN539466.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHHPEFASCTANDDEHHLDELELELVVQDVQRQQNNGSANTDQHERENLLLLDDSSKSGALKRRLFFDNLLKNVQDDHLRFLHRQKERIDRHGLVKLLGLETERAKINVLEDVSGIIKPCRLTLLLGPPGCGKSTLLRALSGKLDKSLKVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIIPDADIDIYMKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALVTMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKETIQNITIGNRILINHGLYYSWHFYWISIGALFGSIILFYIAFLLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPFFVFLVWFKFEDKGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKVIEYFEAGFLNIFPHMYLSFLDYSFSLVFLKKISGVLKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDESSQHRNDKQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVQIPKWWVWLYYLTPTSWTLNALLTSQYGNIEREGHR >KN539466.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539466.1:63665:63991:1 gene:KN539466.1_FG008 transcript:KN539466.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIGDDYPMKSLPEERLLQNASSLRLIEIGVAENLQRLPSQMKRLELLQSLHIKRAPLIQFLPELPASFSKLTIWGYDPLTQGFWSSMKAMLVQTGEKLKTLLMRI >KN540285.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540285.1:35206:35678:1 gene:KN540285.1_FG001 transcript:KN540285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KN540285.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540285.1:9344:9544:1 gene:KN540285.1_FG002 transcript:KN540285.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLAVGLWCAHPDRGLRPSIRQAVSVLRFEAPLPSLPVRMPVATYGPPVSTASAPTSNDTSAGR >KN540285.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540285.1:25675:27709:-1 gene:KN540285.1_FG003 transcript:KN540285.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHLLVVVVIAVIVSLCVAAVGQDNKKVVLPYNPSCSTAGNYTGDSQYKKNLDQLLSTLSASAAGDGWFNTSSVGTGADQVFGLVMCYADRNATQCQGCLAGAPAGIMQVCPGSRTADANYDACLLRYSDKSFFSELTYGADPTIAWNVYFTPFVDNMTAMNDTRRRLMSQLAERAGDTKLRLDNGSLPYVDSKLGTSALYGLAQCTRDLAASECRRCLSGYVDGLSNTFPNNSGGAIKGYSCYLSCDRKQQRQHRHYSVVQDDRDIFLAEMTHEQ >KN540285.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540285.1:17217:25219:1 gene:KN540285.1_FG004 transcript:KN540285.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRECKKCLSGYPAGIKQVCPGSRTVKANYDACLLRYSDVPFFDAADLTYGKLENNCYPFSYNVLYVVENMTAMKDKLQGLMSKLADRAGDKQLRLINDSVPYVDSKLRTSRLYGLAQCTRDLAGSECQKCLKKYVDDLGAEYTNQSAGNIKGYSCYLRFDLMPFDITLPPAPSPLSSSPPPPIPATPVAPPSVSAGLVAGLTVSAISFLVVLGFSIRFVLRRRRKHAGLTKEQEMEEGGIFDDETAMEDDFEKGTGPKRFRFGELAIATDDFSDEHKLGEGGFGSVYRGFLKEMNQHVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWANAESDVYSFGVVLLEIACGRRPIMADYQSEVDEDRIHIAQWVWDLYGKGRILDAADQRLTGEFDGGEMERVMIVGLWCAHPDRSVRPGGGRVGWARAAEEGVGAGFGCRASVPAALGGVGSFGIAARCNATSSSAVSEATNALPRTEPVVSAEWLHANLKDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAREAIEKVYQGQLVGPSTFEAKLQPHLIWNLDQVKENIDAKTHQLIDARGKPRFDGTVPEPRKGIRSGHVPGSKCVPFPQLLDSSQKLLPPEELRKRFEQEGISLDQPLVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVATAA >KN540285.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540285.1:50252:50680:1 gene:KN540285.1_FG005 transcript:KN540285.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVIVLLDGPDVLHDLVVVAASSSVLWLRSMVSTGGSESALWIKVFRVDLSAQRLEEMATLGDGGDSHALFIGCNQPFWVPAGGDGECSSGILPNHIYYTDKEDGNTEAPRNIGVYSIADGSFSPFQATQPWLTWPLPTKN >KN540285.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540285.1:12236:14298:1 gene:KN540285.1_FG006 transcript:KN540285.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVIFLLFLAVVVGSLCETAIGHHNLNLPYQPSCSTTGNYTGDSQYKKNLDQLFTTLSGGAIAGDWFNTSSAGTGADQVFGLIMCYADSNATECQKCLAMAPAVVQHPCRGSRSVNANYDACLLRYSDEPFFSELTTYGGNTNVSLDVDDTNLYVENMTAMNDTRWQLMSQLAERAGDTKLRLDNGSLPYVDSKLGTSALYGLAQCTRDLAASECRRCLSGYVNDLSNIFPNNSGGAIKGYSCYLRANTESDIYSFGIVLLEIACGRPPVMAPEHQAEKGQDMIHLVQWVWDLYGKGRILDAADHRLDGEFNGGEMERVMIVGLWCAHPDRSVRPVIRQAVSVLRGEAPPPSLPARMPVATFLPPIDVFSYTSSAVTGSSTSSGNIGVPNTQTSSLLR >KN540285.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540285.1:31498:34011:-1 gene:KN540285.1_FG007 transcript:KN540285.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSHHDRYGVDHPTDDEKNDGATKDHLGDCKENVRTTTDSEEHDKTIEYPEMATSSDEDASDSEEEAGMSSDSEDDSSYNPPKIANTAFILEDSSHRDGSIYKGNSEWKRTYRIADLEEIRFTLLYKLLNGSLIEISGPKRGIEIVSDVLIEFDMRIKTGAEEENDRQLIDGVLVIGCETPTDVTLRRRIHGDCGTVEIKFSHLRNAVEATVQVAILEVQSHFNLSICSYVGSLPDEMQLFCGHIVEPCGLKKFVLAAMMRNLLRLKLKVSQGGSYAEHFCSFEPNHHGHSCQQINTEFASFLVKITWSTLDFGNPQKYV >KN540440.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540440.1:13081:13413:-1 gene:KN540440.1_FG001 transcript:KN540440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIDVTGSKGEATTVAGSAVEAWSGGGGGKGPLEPPTGNTAAATSGRCLPGTPLLDPLAANTTTVVSIGFVSRSHRRQRHCRIWPVGNADAITITSSAAGEGRDERGRR >KN539513.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539513.1:55362:59929:1 gene:KN539513.1_FG001 transcript:KN539513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSWKEIHQIEKQALPSFFDGKSLRRTPEIYLGIRNFIMKKFHSNPQMHLELKDLTELSDGEMDAQLKVLEFLSHWGLINFHPFPPAVQGMSELFESITNADTEEKISVVEKLFQFETVQSYLIPAPNQAELTAPIHTPSLLSEPTLAEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCMLHFLQMPIMDLFLHDGDVNETSQETAEQVSAELGTSCVTEKKEVEDKAEEIKTNDRKTVAKPKLNLTETEVNLDDNAANNDTKSSGEINVDVCSNTGVSNRSSDTEPTKKETSGENTSNIVNDALKYAFEAVGHIPKIEELGSFTEAGNPVMALDPPNDLKDPPVSISFANTDGGQQKDKDATSNPSVTDKDDNLKEESALSVEEPNTTSPSSKNTRKLSNAKESKDESPQMEPKPSSANDCDNPISQVAKRASDNIRGHSSTSLPVSLNNTNEPCFISSQEASAGNTKDTSHTERIEGDEPIYEDPPLQGKVESNKIEHEVTDLSTVQQDESIQTSLKKGCIQDFLDSTRIGISQLLWHFLDYLLLKSLTYHNSIKKIVADDVSIQRLQRAAASAISAAAVKAKLLAKHEEYQIQRLAALVIDKQLHKMQAKMSVFTEADNFVLRAREHTERTRKKLLMERSVIIASRMAALPRRPNHQPGMPGSRLPVGYGVNQHLRRS >KN540440.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540440.1:41861:44177:1 gene:KN540440.1_FG002 transcript:KN540440.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSHKDSETLRSPSRRPGADAADEGEVPRAERRSSGADGCPGELVALSKAHYWANPWQPMSTQHRILQLCVGSEMSVLVVANAAAEFGVEARFNVADGQGASKLVGMGTAAKIGIFVGLDEVAGLEALVVAGTSMTATLCCNSLVGSRVMLVSSRLR >KN540440.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540440.1:33593:33982:1 gene:KN540440.1_FG003 transcript:KN540440.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFGRTRTNYVLRIAMHGEFSHDEDQKNQSPLEENMDNSIPSCGEDIVAVTRQLVILMKPMPSAKA >KN540772.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540772.1:124:4890:-1 gene:KN540772.1_FG001 transcript:KN540772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 [Source:Projected from Arabidopsis thaliana (AT3G23430) UniProtKB/Swiss-Prot;Acc:Q8S403] MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFATADHLLSPPRAAKEAAAGAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKMGAWSCSRRSSLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVSSGLFTGTFVSLFIIYAILAHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >KN539513.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539513.1:12639:15869:-1 gene:KN539513.1_FG002 transcript:KN539513.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTKLLQKIYPINVSEAVRVITSYLVDTRDDANTDIYLDGWDGLATSEVLRATAQDPPPDLKSKFSKIIHIDWSRWKSRRALQRTIAEELMLPQWVMAIFNRQDEEDDFCGVDEISRLEIEAVGTEIHQALQQHRCLVLFHNGSNKIIDLHDFGIPQLTTCRWLALGCKILWTFRGRLRLSGNLEVGGEHLKLYCDQWVSRLHLERLLQEETREIAPGITQETATNCCLYLLMLHSAGSKIIDFNWTTHASNYWVCDGIIQGGGDPDQAWKHAAALHREIWLDDYTSNAILTNFFEYAFNNTLSRRWMFVADSTAKPVRWFFVIPGDASEKGSVPTDQSTSFFMANITTIPIDMFHQASKLHVLKLCRCAFSFSEPPFYFCQSLRFLGLDTCKDIIEAQSGEGEMQEQDTPTKNQAHEEQAGEKQERETTTMEFFQKLWVLDICSTDWTIPKNALDEMGKNIREINIRNGRVWGSNSLAWRRVGRNLHKLRVIEPISNWETGQKDEFMGMAKLELLDLTGNQDIQVLPNLSGPINLKTLVLDGCVGLEKIGPQGLLPPSVESFNFDVGSDTSCKILKISLQGCKHLEHFKLRGTFPVLEELDLSRTSIKKLDLSVVDVPKLECVFLMGCKQMRAVLWWPTHRNLKVLRIDTIHGSSSSCCCLPPCIDHQMKYDAIVITGDARFIQSLCLQPALQSIEKRFYLNLHKLASSCSINKDKGRSSIKKNKIGIVDHQYCYYEQEAVAGGALMVSTSSINASLTEGLAAAHDHDTMLPWSQPLDRHVEIGQGVSVTNVESPGGIRDIYTLLTGHTDSLHVHDNSSITSSVIPKLPESARKLSVWELKSCCIQRCPKLQAVFASFGSGCHQLFERLETILVDHLLRATCIWSKGIIYKSRYNLRNLKSVHVCFCPRLKFVLPLSEYTQMLSLEILHVISCGDLRHIFPLDEDDLPEWRRQKLVHYFPSLKRIYLHDLPSLEQVCESKMCAPALETITMRGCKSIRHLPALIDHPLPLRPVVNCEKDVWDSLEWDGLDAGNYPSLVYQPSYPRRLAHHPSLFDPRHPAYYRTKLPRGSLLF >KN539513.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539513.1:66240:67835:-1 gene:KN539513.1_FG003 transcript:KN539513.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALLRLIKSLSPAVHGAHLSASSIHCLLLKAGLLHVGAHLPTALLSAYDALGSPDHARRLFDEMPEQGLVPRTAMARAHVASGQAAQAIAVFGDMVADGVFPDNVALAVALGACHGAGSWTARRNPGKMIHALIVTSGIEPDVFVSTELIRVYGECGELAVSRRVFDDMPLRSTITWNAMLHQYARHGKVDTAYELFLAMPRRDVVSWNTVMAGYCVAGRCREALGLFRQMVSPSSCAVHPNVPTMSTILGACAGAGCLETGIWVHAYIERNRMNDDGYLDRCLIDMYCKCGSIDNALQVFEKAPRKRDLFSWTTVICGLAMHGRATDALRMFDMMQDNGICPDDVTLVGVLNACAHGGLVNEGLGYFYSMEAKFRITPKIEHYGCMIDLLGRVGRLQEAYSMIRTMPMDPNTVIWGAFLSACKVHGNMELGKIAAEELTRLDPDDPWGRVMLSSMYAKAQDWIGLARERREMNSMQVKKTPGCSSIELKGEVHEFVAGGSQHPQYAEICSVLQDVEALTNVG >KN539513.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539513.1:80122:80918:-1 gene:KN539513.1_FG004 transcript:KN539513.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDVGFNAGDELFYAIPGYNLENGIDKLHDDHSVRKMLNYTKKSKSAELYIKHLEQGVSATPRFGQDVADNHGEESREGAGPLFIKPMLHFDKLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGNENPTAPKGRKRVFADVDSLETSFCNVSNSFAKFLEAEKDNGIQLAMMNRVDVQEDTKKPSYLMQSRNCQTFPLKRLSWLFA >KN539513.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539513.1:4574:5809:-1 gene:KN539513.1_FG005 transcript:KN539513.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLQEDILPVDTIVQSSLIKHRALSTIAAAPASSSSSAGGEEMPPAASAAVVFLCIAKEAELMVELLKHGATDTDDIIQQSSVIRLCAMSLFHLQGCQSLVAAGAMLGVAKEAKMLCDWMKKENKLVIFSKSLSLPCCELEESRLIRIRALDVMMTILQQSSFPSSKHDLEFGRGLVMNCAIYDQE >KN539513.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539513.1:71142:72827:-1 gene:KN539513.1_FG006 transcript:KN539513.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSMAGMAMPAYANYIARMQGLAGFKMNMNFGMAGNSALQQQLLASANWPYALAGRYGMQAAGWPFGGNNANQFSAAPKDWRSGDWLCSCGFHNYSSRTQCKQCSAPVPSGIPSTTMKTTVPDTSSTLGTKRLASEELANEWDNKRLNPGNASYPLSTAGTDNLFGGIEQGAGSSNVQTPYSKFDNGNSIALPSGQVSAMPGLIGKG >KN539513.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539513.1:776:3383:1 gene:KN539513.1_FG007 transcript:KN539513.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEAPARSFVVVVLAVVALSAIVAVAGTKKALVMTTTRPFLLAQSHPFNYIFHRGRGGRARRGARRDTTGGAAASPRRRRRRRLRQLGGGDVGSANWLRFYGGEADDDLLSRVYRNPAAFYRSYVEMERRFKVYVYEEGEPPILHEGPCKNIYTIEGRFIEQLELMSPPDDGGGVRTWDPTRAHAFFLPFSVSQMGPYASRGQPELYTNAIRALCNANTSEGFRPGKDVSVPEINLYDGDMPRELLAQAPGLESRPLLAFFAGGRHGHVRDLLLRHWKGRDAATFPVYEYDLPAAGDYYSFMRRARFCLCPSGHEVASPRVVEAIQAECVPVVIADGYALPFADVLRWEAFSVAVAVGDIPRLRERLERIPAAEVERLRAPARGEAEARCGNLVWHTRAPTKVKFFMWLAEKGRCLTADNLSKRGRQHHQTCSLCTSSDEDCEHLFVSCPYTTRVWRMIKGKGWAGIGIQLPAEAGLELGEW >KN539513.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539513.1:29896:29958:1 gene:KN539513.1_FG008 transcript:KN539513.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEGSEGNEVNAGGACG >KN540772.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540772.1:35411:39787:1 gene:KN540772.1_FG002 transcript:KN540772.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLRLRLRLRVVSGSAPATHGRTSAPAHHPLGLWEFGALGDRATHAHTRAPECTLSVGSGNCGYTSVAPGSGADHAPVFFIPAPPHGYFTTAAGPGATSFPAVYAVAQHNGNANANGNGPSPAAASNAQAYAPQVAYDSNGRAIYYTSVLPQYASASFGFGLHDMRLEFLCIEGDLCDQWSNCHYLIGLAYKYSVSLWLFTGSQSEAFILYDLVLLGCILRCASPDQELIVHIVVLSDWFITVHNSLCQIVQEMVAKEHLQCPIILISISMAFGRNGDVVAQKNAREHAAWGTKRTKRAGAMPSKEDLSFFRCPQALVQAAAEETALSSIQNSMAMAHEWKGGSYRALNALTCRDLLLVSGGSCA >KN539513.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539513.1:86332:91272:1 gene:KN539513.1_FG009 transcript:KN539513.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMEQADRIPPGQRAPLEQWATKLRSAFYDAEDILDVADYHRLEKQVISQSGVMPMLDRAKDIISGKISELKKILNKLEKIIEEGSQFLPPPVGTTGNGTNGSNISNTANKMTGTVTTSSALTEVIIGRDKERDEILRMLHETADDCEPSSWNSKCYSVIGIYGIGGSGKTTLAQHVCNYERRDNHFCLIMWVHVSQSFSVNKIYREMLQAASGEPSHEFCNLDSLQMKLEAALTSKRFLLVLDDIWPEKDVNSQYKLDQLLSPLKVGKRGSKVLVTTRFADAAMSLGARDPLKVPDLIEKDFLLLFMHYSLDGVSLDSREVEQFQTIGEEIMKKLKGSPLAARLVGARLRKQLNPMFWRRVENQDLLTHTMGTLWWSYRQLDGQVKRCFAYCSMFPPGHMFARDELVELWMAEGFIKTTKGDEQMEDVGQNYFDELVSCSFLQTTKTADGSENERFTMHDLLHELAAMVSGNDCIRVEEGENKEFPPYARHLFINLYDPVKATEQVCKLKKLRTLIFTSAFGGSAMTIEALEGMLKKLRKLRVVQVCLDGDMMMIPASICDLKHLRCLTVYSFGWIKILEIPNTGVLSCSNVKSMGNLISLRHVRNPSSWLLSESSVLVFPWIGELKSLRELSHFAVMNEKGHELQQLKSLNNLHGTLSICGLQHVGSKEIALEAKLTDKKHLTGLSLTWFREQSCNNTDLDVEIIEGLCPPSQITHLQIYGYHGWKYPTWLSQNQNCPVTNLEFLHLWNCFNMEALPNIAELFGNLRELRVFNLPRLNILPRLPDMLKRLNIRNCKALVVTCVEDVEMIRSMLIERVSQTDLPMKITHHEEISRFASEQPDMFNAMLCNIIGLSSPVPENSENMLASIVPFICCQIEPEDYPRLLLPASLDCLHLTKWIITDTALQNCLRSCTCLTVLELEGVPFCKAIPYDVLKPLVELRVIDCVHFTRLQGLADLSNLRRLSIRNCPNLETLQDSDKVQAALYWLSVGDITLVPQLLSREACSSLPRLTVVDSTELRGEEILEQLTSLKFLNLHSCIWDNLPQNLASLASLKKLALINCKNIRSLPMLHGSLQSFKLSFCDPPFMKSCQEVGHPNWQKIAHVPRKHFNYG >AMDW01004743.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004743.1:17:157:-1 gene:AMDW01004743.1_FG001 transcript:AMDW01004743.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQ >KN539513.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539513.1:49094:49303:1 gene:KN539513.1_FG010 transcript:KN539513.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGTTFALAALVVAGLVFYGATVAYALTHYLP >KN540772.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540772.1:15782:18277:-1 gene:KN540772.1_FG003 transcript:KN540772.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGLLLWCLHCVPVWCCSPTYPDPDDPIGTSTAAASNLVEFNIYRTCSGNVCVTSFFHAGGVTKIDDLSVLQEKIEISTNSKDINEADKMHLYNAWSTLLDTTSDEVMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFSQNYSDSEEWRQMFFSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCKGIARSSWSCYFLPETSADCQKRALDLMQSKDSRANGIIKVKENYTSKQIWAGHIPRIWGRPWKYMQPTTEIDGRLVKNHRKMDRTWWIAQALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDPRKPSISRTESDIERLVWSEHKPYIPRPLISMHEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFW >AMDW01034361.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034361.1:66:515:-1 gene:AMDW01034361.1_FG001 transcript:AMDW01034361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKVVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KN541048.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541048.1:564:1271:-1 gene:KN541048.1_FG001 transcript:KN541048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGSSSSSAIHADDGRDRLSDLPDELLGHILSFLPNVEAGRTAVLSRRWRDVFGCVDTISLEEYEGERSDDWVTFFYEAQEKKSCSGHLLDGLSAVLLSRRRCNGRNLPLRRFGFALDSITGWAVVFVDMCLHHVFRHASKELHLDLRFFIGPICERDGCRKRKAEMKSRRKTPEKSDDDIDEEHLYSTRGGYTLPRKLYSCVAPRTLCISYAWLGSTFQNLSTCRSSRRCV >KN541048.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541048.1:28090:33456:-1 gene:KN541048.1_FG002 transcript:KN541048.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPLGHVLFDEFLRFNPKNPYWFDRDRFILSAGHGCMLQYALLHLAGYDSVTPYISFGSLFLLCTRIMIAPKQQCHHKLDKKQVPDILLMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLKIVDHHTYVILGDGCQMEGVSNEASSLAGHWGLGKLIAFYDDNHISIDGSTGIAFTEDALARYEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGTKEVEATKNNLSWHHEPFHIPDEVKRHWSHHIDEGASLEAEWNKKIVEYEKKYRQEAAELKSIISGELPSGWDNSLPKYTPENPADATRNLSQQCLNALAKAIPGFLGGSADLATSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAISNGIALHSPGLIPYCATFFVFTDYMRAAIRLSALCGSRVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKIAVLNRQRPSVLALSRQKLQQLKGTSAEGVAKGGYIISDNSSGNKPDLILIGTGSELEIVEKAADELRKDGKTVRVVSLVCWELFEEQSEKYKESVFPSEVTSRISVEAGVTFGWEKYIGEKGKAIGVDRFGSSAPAGKIYKELGLTVENVIATAKSL >KN541048.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541048.1:25392:26444:1 gene:KN541048.1_FG003 transcript:KN541048.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFTATPLHIFRCDTLRRLRLTNFQLDVPEGGVSMPSLETLSLKRIMATTDEAVQQLVSGCPNLADLTLEQCPSVADLVVASPRLESFAMICCHNAAHVVLHTQRLRTLRYKGGLPAGENFLLIADCTNVLAMTIDICERLVGKSAPAVVPITKLITRCASLTFLHLHLRPAMAYHSGSFTRALRHLPHLRQLALKGLLKDDQTVRSVSTLLRNTPDLDVLSLFPLRSQLAKPYYLGVDSDDDYDSEEEEEEEDGGASDDNQGVRVPLSLWESNIECLHKLRKIKLHNYKGKPNERLLAKYLLSKATSLEQFFVTLPAKTTADRQLKLTNELKYWRANKRAIVSCTLL >KN541048.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541048.1:2071:2906:1 gene:KN541048.1_FG004 transcript:KN541048.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMQRRDLLSDLPDATLAQVLYHLGSVDATVTSVLSRRWRNVHAAVPVVNPKKGERWGDVSRQKTYFDHQVTAAILGKDLPTRKFRLDVFYPPYDLRDQWFAIVSVSGLEEFDATADFDEQMRHSFTATPPHIFRCDTLRRLLLTNWTLDVPAGGVSMPSLETLFLKRIMAKDGAVQRLISGCPNLADLMLKLCPSVTGLVVASPRLDSFAMICCHHASHVVLHTERLRTLRYKGGLPSENFFSIPNCADVLS >AMDW01034388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034388.1:5:449:-1 gene:AMDW01034388.1_FG001 transcript:AMDW01034388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLSWDNSSSSWMLIFQRPAAGSCEVYGSCGPFGYCDFMGAVPACRCLDGFEPVDPSISQSGCRRKEELRCGEGGHRFVSLPDMKVPDKFLQIRNRSFDQCAAECSSNCSCKAYAYANLSSGGTMADPSRCLVWTGELVDSEKKASL >AMDW01039850.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039850.1:377:1026:-1 gene:AMDW01039850.1_FG001 transcript:AMDW01039850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LASPSLHPKEKAVNVIKFSARALKILSGQLTIEASYKITTKTKVDITLDSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYPFRLM >AMDW01035236.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035236.1:7:441:-1 gene:AMDW01035236.1_FG001 transcript:AMDW01035236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPTDGDPLLNPPAPASDRGNGGVRRVPWASLIGFVALAINFALCIYRAEGDRGAIAFVTFAYLNLLLLFWCIRQFDQAPHGSAARGRIRAAVWILATSLTAVFTWKVAALMPLPVAAVAWVMAAATVVGGFYGFFIHEDK >KN546054.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546054.1:350:923:1 gene:KN546054.1_FG001 transcript:KN546054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMYPSSI >KN540112.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540112.1:62380:64453:1 gene:KN540112.1_FG001 transcript:KN540112.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCSSALVLPCLLVIAMAALQSAVVFADTVTAKRPLSGSQSALVSKRRKFALGFFQPENSQHWYLGIWYNQISEHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIILWQSFDHFGNTWLPGGKLGRNNKLAGVSTRLVAWKARNDPSPGVFSLELDPNGTSQYLLEWSITQQYWTSGNWTGRIFADVPEMTGCYPSSTYTFDYVNGENESESYFIQFLTWIYAAKDWMPFWSQPKVKCDVYSLCGPFSVCTENAMASCSCLRGFSEQNVGEWLQGDHTSGCRRNVELQCSSNASVMGRTDGFYTMANVRLPSNAESVVVIGNDQCEQACLRSCSCTAYSTTAAAHCGMETSSTYRMCLPLVAKEAALF >KN540112.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540112.1:40008:41366:-1 gene:KN540112.1_FG002 transcript:KN540112.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHVQENLKKRIRAFTGAFVVMISPVLLAIVLKKVNLTGPGKYQGRIGTLEAFIDLLQRGFAHLAAVTLQMGIMPFLCVMVSEACASKPPLHYVAPRLVAASKVLVFLSNFLLMALGCGILLLFRKNALLVLTFCSVLSVCIVTVHIWYLRCCNVNQAELSADEEAENHSKLEHLLELSAGITVMMFLVLEFVALEGLLRNTHQQGQALGPLKAGQEEFLGAALLISFAVSALGVSLSGHVTSGCVVLTAEFTRGLNFVLHALPIAAVVVLITLGVLPHAWRLAGWLPLLPPIIVLLVLLARYHREAMKQQEPGAATGSSTSSSSSAGQGSETGSTSSSSSAGQEGSTSSDEKKPDDPKPAPLELTKVAFTGFLAVAVPSVTSASVCVPSIFFVFFSATTVLLGLLWRLLTHEAQPPPYVLKAANHASFFAHMSVFFAGIAFWVMAALTVH >KN541489.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541489.1:1193:8663:-1 gene:KN541489.1_FG001 transcript:KN541489.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCSANGVVGESKNSSKRWNMKCQPASSCNGFLKGKPSTGSESVHPVIEVYLAGTFCGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELNEDSLQIQRIMITDMSTYCKVLISQIFDSLYPFLPYRSFQVSESQNPKSEQDVDVDYNSLYTRQDFIEGEDAIATSLIIKDDSKCKQSFLLCLHSGETEDANRRLTEADQGKRQQTGCDFMVQDSCRGEGEEGVFFSLRAGGNGQDSHSSSQRPHRSQKPQQAAPRAGRAEATANRSHQQSGNGIAGAGKPRHRATPATNSHQIVPFQGGGSAKQKPANSSIDMQMALAIALSNRGKLQNVELVSRNGSIFFRDTDHAMPESHLVSPSAHVGKVAIGVKKLNDILMAYSSGGGARDTSRRSSVDIGRELFRGAMDLEESLSMLVMLQEASDYMEGSGNGKVLLLEGKENLKNSARSPSSARLVEIVDEDSEAEQAKNSKSLSMQIVPHKNSPGFSTPNSSSVLQVSTVTGNNSRSNVSKGDKDDAKVRMPNVIAKLMGLDNLPSTKVANKGMEKFVKPEALSRKDRRANAVSGRLPIQIIGSERVSSKGHNMNLLPGEWKIGLKNYEVYKSANLQVSNSSSVPAVDKQTRQTMRQMLSKMENAERRGSLGQQLHEEKKLTEETNQQKVANVGCRTDAGKKMDFLKRIRKISGRRQVTEEKHIIQEENTTSGKKQTTSMKQLLGTDSEDKSKRTRVKFNKENLATTEIKNAARGKNGKTDQRKRQSYNKQTDVHSVPKKSQKYHEMKSKDGIQNLEHKRSTKSEATQLKILPYTTEIQQENGKHGEENDIVNPSDSHGDGGISEQFTGMVEDSRTGMASPDQFMKQIAEVTTIDVHASDRSNVVDQSVTQTNDDTSSNTASETTQTPETFTEGEHHQNLQLVEFKEKPMDDLGDAKETSNPTDLQDQKMHVVSCDSFTENQLLLMRMLLKDQYLLETAKALVRNPVPVHFVNASADRWSEKGSSLFSDVAREVIRKKGKRTEAIIEVSMANTTNMKLQYLDDLIMELDGDIESLNISKKSQHLGEDCTAENLRRILHRDMQNNHPDANSMWDFGWNRISDLPIERNEVVRDLEKNILGGMITDVARELIELSVRHGCCACEA >KN540112.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540112.1:22228:23742:-1 gene:KN540112.1_FG003 transcript:KN540112.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MASNATRNTDPDQQGVRFSSMDQPPCFARPGQSFPAFPPLFGVQSSSLYLPDDIEAKIGNQFESNPSPNNPTMDWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAGGSSEAQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPISSNPALRHLSNTLCAPMILGSNCNQRPSTNDEATIPDISKTPDYEELMNSLNTTQAESDEMMNCQNPCGGEGSEPIPMEDHDVKESDDGGERENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKDSSSESAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKVTGASEQPQGSEAMNTMVGSAGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGDS >KN540112.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540112.1:3937:5897:-1 gene:KN540112.1_FG004 transcript:KN540112.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRSKLVSHLLDSGMADTVAGAVDYRGRPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWLGRYRAIVLASVIFVLSMGTLSMSSAFPVSRAGHIAVFYVALYMVALGEGAHKPCAQAFAADQFDEKDGGECAARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVVSLAAFLLGTRSYRFYTTRAASPVARVAKAFLTLIKSWRSNRRTNPASGGKGDGDAGDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRSFNVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPSGITMLQRVGAGLALSLVAVTLSALVETRRLRVAAGAGMADAPKARLPMSLWWMVPQYVLVGVADVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISKSGASWFANNLNRAHLDYFYWLLAGLCAVELVAFFLFARVYTYKKKGNDADGNGDYRGVDDDGICV >KN540112.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540112.1:18139:21227:-1 gene:KN540112.1_FG005 transcript:KN540112.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MAASTSATSAPSFSTPTKPASSSSSSSPNSVCFARASGRSRMASVVVRAEAVDASISPTVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPPVIAEAGMNAIKDGYTRYTPNAGTLELRKAICNKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGATPVILPTSISENFLLRPELLASKINEKSRLLILCSPSNPTGSVYPKELLEEIADIVKKYPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVKSFKELPGVKISEPQVRIDKIQYSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKCIRMSYAAALSTLQTAMDKIKEAVALIKPRVAA >KN540112.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540112.1:50998:52353:1 gene:KN540112.1_FG006 transcript:KN540112.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSNSETFYDNLAINTVSVIMTVNIFRGPEDEDVFVGFSCVLVFIIRKYFWVLRSERGRFMMGLDIYTNTVAVVVTAYALLLANSLQYIILVPFPISVAGFIAYLYMERLEHGDVGLLDKGGKKTGDKGGKKTGELEMVVIPYFMLLVSAIVIPYKDGPVLSHFLLFSCCALGTLALLYSRLAEASPSLKPALECIQMGYMVMLFITVHTVAAEWLGEITALVTMPELIAGLVSANVFIKVDGHGSMLFIRLGAVLAGLLTSTYAYDRELLSTWNTKATVACSIAGCLPYLSIWMVSRWPGRIPSSDKAIQLLKFAANMCLTGASLMLFALLAEKTMAYRLFGLDEIIDPSLLKHLPAVYFSTAVALCFFGKHLAGALADLGDGIRALQSYPAARASMVTKGRRRRRKKKI >KN540112.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540112.1:8746:10965:-1 gene:KN540112.1_FG007 transcript:KN540112.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAVTDALLLPRSEGAVAGAVDFRGRPASRASTGRWSAAMFVLGVEIAERFAYHGSMGMLTLSSALPAFHGDGGGCSYTSKSLSCAPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDAKESVSRSSFFNWWYFGMCSGTAMTTMVSSYIQDNIGWGLGFGIPCLVMAFALAMFLLGTRNYRYYVSTQSSPFARLARAFVALIRGSKDDALAVVDDDDGGDHREELRGVLRLFPIWATCIIYAVIFSQSSTFFTKQVATLDRRIGESFRVPPAALQTFISVTIIAFIPVYDRAFVPVARRFTRASSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAGMVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFANNLNRAHLDYFYWLLAGLCAVELVAFVFVSRVYVYKKRVPHNGCDVM >KN541489.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541489.1:19687:27451:-1 gene:KN541489.1_FG002 transcript:KN541489.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPEESGDGKAPEEESEKAPELELDELSEGDGYGEEAEEGQKKAPKKTKKQPPLFSSSAHYHWKHCGAKSGHHYIPCVDFDGDGSQRHRERSCPRSPATCLVSMPKEYKPPAPWPERKEKVWYGNIGHPRLSSYVKGHGWLNRTGDYLMFPPDEWEFKGGSRHYVEAIDENSHGRIVPLLGHLAMDDEELLFYKYMAKGDLTTALHTSTYWSPLSKYLDTVFFTLSFILQCGQGYQMAPDIDWGKNIRVVLDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSKRLPFPSGAFDAIHCGDCNIPWHSNGGKLLLEINRILRPGGYFIISSKHGDLESEEGISASMTAICWNVIAYNSDDVSEAGVKIFQRPPSNDEYDLRAKKDPPFCKEDQNKAPAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRIETFPEWLGDLQTRVEADHKHWKAVVEKSYLDGLGIDWSNIRNVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLKNRCKQPVSIVVEMDRILRPGGWAIIREKLEILDPLEKILKSLHWEIVMAFRKDKAGIMSFSRWLRALGAAEVVAVGEGDVDGGDLEAVFEEWCGRVVRVVKGEEIGEGHKGESDGFDELELEEEESDDDEDEDEVDGGEVDMEDIAGKAPAARRRNGKVEGALSNGGENGVRDMVTPIIRTSLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINVLIDELHRRHISTFLVTNAQFPDKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHDKDQRTVYRLTLVKGWNAEEIDGYAKLLSLGQPDFIEIKGVTYCGLSATSKLTMENVPWHSDVKDFSEALALKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFRSQDYMALTPSWAVYGAEEGGFDPDQSRYKKERRHGAAALKD >KN540112.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540112.1:30529:38311:-1 gene:KN540112.1_FG008 transcript:KN540112.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGDALARQPTFADTVPGYLREATFVHIQKRICGIKIAHACKRSGGADSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEGIKKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDVTPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >KN541489.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541489.1:16621:19143:1 gene:KN541489.1_FG003 transcript:KN541489.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKSGRLADARGVFAEMPERDAVSWTVMVVGLNRAGRFEEAIKTLLDMTADGFTPTQFTLTNVLSSCAVTRAGAVGRKVHSFVVKLGLGSCVPVANSVLNMYGKCGDAETASTVFERMPVRSVSSWNAMVSLNTHLGRMDLAKSLFESMPDRSIVSWNAMIAGYNQNGLDAKALKLFSRMLHESSMAPDEFTITSVLSACANLGNVRIGKQVHAYILRTEMAYNSQVTNALISTYAKSGSAENARRIMDQSMATDLNVISFTALLEGYVKIGDMESAREVFGVMNNRDVVAWTAMIVGYEQNGRNDEAIDLFRSMIRSGPEPNSYTLAAVLSVCASLACLDYGKQIHCRAIRSLLEQSSSVSNAIITMYARSGSFPWARRMFDQVCWRKETVTWTSMIVALAQHGQGEEAVGLFEEMLRAGVEPDRITYVGVLSACSHAGFVNEGKRYYDQMQDEHQIAPEMSHYACMVDLLSRAGLFSEAQEFIRRMPVEPDAIAWGSLLSACRVHKNAELAELAAEKLLSIDPNNSGAYSAIANVYSACGRWSDAARIWKARKEKAVRKETGFSWTHIRSKLHVFGADDVVHPQRDAVYAMAARMWEEIKGAGFVPDLQSVLHDVDDELKEELLSRHSEKLAIAFGLISTPEKTTLRVMKNLRVCNDCHAAIKAISKVTDREIIVRDATRFHHFRDGLCSCKDYCTPEKATLRVMKNLRVCNDCHAAIKAISKVTDREIIVRDATRFHHFRDGLCSCKDYW >KN541489.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541489.1:12368:15554:-1 gene:KN541489.1_FG004 transcript:KN541489.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MTVGMINANPVVHERPERAAHPHPADAIDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDSHSH >KN540541.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540541.1:30047:31873:-1 gene:KN540541.1_FG001 transcript:KN540541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGSRNPSSSHLLCLSVGFLWWLHCARRVYVWLWASDTKNTSSNYEFVEVSIGARLNRHNLHVQQLGPETNTQLSTFHFSAQNKQIHDLHSKLILDHPRGRSQQIHRLIASGTGNGIFDGNIKVNRYAQQTDAGQETKCLLLSSKALVNVKPNLQIIADDVKCTHGAAISGEHDPNAIYFLQARGIDAKTAADALNFAFGAHVINQIPFKPIEKKTLAHFKALLASSRQNDE >KN540541.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540541.1:9036:11627:-1 gene:KN540541.1_FG002 transcript:KN540541.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIQQLAAVLLAAVVVVAAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSLWGWGSDDPADDYTEAAAARPLRSTADLPKYVDALPQMPKILGYGIGPFGFPVPINLTIGMYHTTWQFHRNMTPTPVFVYGQSLQTATFPGPTIVARHNVPLYVTWENHLPDAHILPWDPTVPTAIPKNGGVPTVVHLHGAAQAPDSDGHAFAWFTRDFAENGSTWTQRTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNANGTLYMNSTGVVPSVHPQWNPEFSNGLPFTVIASDASYLSAPVTVSNLLLSPAEIFDVIVDFSLAPEATEIEMLNSAPYPYPTGNATNPDLDGKVMKFLLAPKGQLDDIPLPDNSTVPEHRVGVPYASVTALPPPLKTRYIVLYENTTKPDNLTMNLYINGLRLEDPPTETPRSGTTELWHVINLTPDNHPLHLHLAEFQAVKMQQLVDPDTFTSCMKQHNDTVICNLDQHAVGALLPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLKMLP >KN540541.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540541.1:33014:38604:1 gene:KN540541.1_FG003 transcript:KN540541.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRILLHDDLHHRISPITPTVLLTKVLLNDGHLRPLLRHNENPRVRHHHTTIAAATVTEHDVHRVVGHTYPLRHVHDHDILRANGVEVEEEVLVGESRGGGESGGRTVPDVARREVREGGDVGARGGGEDAIGEVDVGGVGGGGRRDGGGLPGGERDGEGAEVGDVGEAVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSPSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTARKNKPLIITVQENETVLDDTPDKFNIEAVSTRGRSSASLQVSSQPPPRLISEHLPAGDPPSPLSRSRKRRSFSKEEDDLLLKLHALLGNRWSLIAGRLPGRTDKEVMNHWNSKLMDSTTDHPPSLHHRRRRRRLRSEAHDERGAKHLGHNSSSSSSKDANGDECTVADDASSCNHQDDNRGVAELNLELTLSTPCIYLLLGQDREDGSKSNQGLI >KN540541.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540541.1:41117:41670:1 gene:KN540541.1_FG004 transcript:KN540541.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNLLTLTLGALLLAGIPISSAAVTTNSNIRLPTDGGGGDEWPSMPPTCSCQDKVRSCSGGCGKCVQVESQPPRFRCLDRYHGFPGPKCHNQPA >KN540541.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540541.1:4444:7038:1 gene:KN540541.1_FG005 transcript:KN540541.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMGENRARVVALVAAVVVVVVGVAGNVAAAQAAVTAADLQRVAGSLQMYVDALPQMAKIRGYGFQRGQAVPINLTIGMYQKTWKFHRDLPATPVFVYGQCPDSATFPGPTIMARHDVPLFGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLIIADRSFNVDGSLYMNSTGVAPNIHPQWAPEYFGEAITVNGKAWPSPTAEVELLNSAPYPFPTGAAPGPLNGKVMKFVVQPNGPLDPPDNSTVPDHEVPYASVTALPPTTMTRYIVMYEYLTPTGQSTHLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHIHLGMFQAVKMQQLVNLQAFTDCMTAVNDAVKCNVDQHAVGPVVPVPDHEKTWKNVIKILDHEDNAMIRPLKLLA >KN540541.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540541.1:39779:40213:1 gene:KN540541.1_FG006 transcript:KN540541.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVNPSFVVGPILSPAPTSTALIVLALLRGELPRYPNTTVGFVHVDDAVLAHVVAMEDARASGRLICSCHVAHWSEIVGSLRERYPGYPIPAECGSHKGDDRAHKMDTAKIRALGFPPFLSVQQMFDDCIKSFQDKGLLPPHA >KN540541.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540541.1:385:612:1 gene:KN540541.1_FG007 transcript:KN540541.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding EILSEDDGKLCELKEEHGEEICAMVTKALLELNEYNPSGRYPVPELWNFKENRKATLKEVVQYVLNQWKKNKRKR >KN540541.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540541.1:16667:19183:-1 gene:KN540541.1_FG008 transcript:KN540541.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIQQLAAVLLAAVVVVAAARDEPAAKNYQTQWDTQFHRDMPPTPVFVYGQSLQTATFPGPTIVARYNVPLHVTWENHLPDAHILPWDPTVPTAIPKNGGVPTVVHLHGAAQAPDSDGHAFAWFTRDFAENGSTWTQRTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRKFNVDGTIFMDTVGAVPSVHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGIPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPANATLDGKVMAFNVSAKWQVGDDMPMPEPVNSTTVPEIGVPFAKVTALPPTMKTRYIVLYENMTSDMLTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDTFKSCMLKHNDTFACNLDQHAVGALLPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLP >KN540541.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540541.1:43955:47137:1 gene:KN540541.1_FG009 transcript:KN540541.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPWSCGWCGRRRSSGCGRGGGADREADGGGGAASGREEEQWSLFIELPVLEAATRGFSDDNLLGRGGFGPGVLENGQDIAVKKLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGHKILVYPYFPNGSLDHFLFGDTSLPSAYYRIMLQNPTSGFNFQGDQNDELAVAKHIFAQTQSHRVVQSISQSIGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDKHLDAEKTDLLNYTWKLSEEGRQMEIVDPAMAEWDADEARLCVQLGLLCCQAVVSDRPDMYTVHLMLSSDSFTLPKPGKPAIHGRVGRWMSTTTTASSAASTSNTATTLATDTMTTRASATALGGIAEDDSRNSISISFTTEGRSTVAVN >KN540541.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540541.1:23096:25857:-1 gene:KN540541.1_FG010 transcript:KN540541.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQQLAAVLLTAVVVVAAARDEPAAAKNYQTQWDTVMSILNSVQRRRYRLRILNASNARYFNVTLSNGALPFTVIGSDSSYLFRPVTVSNLVLSPAEIFDVIVDFSRLPAAVTEIEMLNRAPYPFPNGPNVTDPNLDGKVMLFKVAGKGKVDDMPDKSKVPEHGVPYASVAALPPPTTTRYIVLYENQTAPGNLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHLHIATFQAIKMTKIEGFQVFKDCMIKNNNTATCNLDQHAVGPVVPVPEEEKTWKNAVKIPPEFMTSVVVAFRLVEANQPYPFDATTEPGFVYHCHILDHEDNAMIRPLKLLP >AMDW01038471.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038471.1:138:308:1 gene:AMDW01038471.1_FG001 transcript:AMDW01038471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >KN544024.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544024.1:285:902:1 gene:KN544024.1_FG001 transcript:KN544024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVSASGVAEAAGNKVYGKVELENFSLALKWSKIGNFHMSLIQDTIITLSVKGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDIYVLSSPEQLTLCSDVAFANASSLATLPILRSPRVL >KN539426.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539426.1:68000:72127:1 gene:KN539426.1_FG001 transcript:KN539426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.4 [Source:Projected from Arabidopsis thaliana (AT3G21670) UniProtKB/Swiss-Prot;Acc:Q9LVE0] MVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTITISATIAATFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVAYTDRLRVYVTRCALLIVQYCCCQPVTVKAEMDTSYREEAEDDNSVRPLANTARKSKSCMVLDEMNGWSKCLDRAAIMEADLAASPAKTNQTSASPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVIDELR >KN539426.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539426.1:24570:27774:1 gene:KN539426.1_FG002 transcript:KN539426.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLLGQPEHKFIKWIGRKLIFGKEKVDYEKEETRKKIRKFKESAWKCVYFLSGEILSLSVTYNEPWFTNTKYFWVGPGDQVWPDQKIKFARVGSVVLAIHDASDVFLEVGKMAKYSHCDLLANVAFLLFVVSWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDEHED >KN539426.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539426.1:75340:76898:1 gene:KN539426.1_FG003 transcript:KN539426.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDASSPSAARDAKKKRGNRSAKLKQSKLDVRREQWLSQESDPSSVWIGLVKDGKEVKAVVSPGAAAGANSGSPILASPHPPLPRRRAEIRTREGDPEDFKEDSVGASQDVGSSDHESPLHSPVSYNPPIGCLQQKHCSGNGGGRSFSSGSSAWSSSRSVTDSDDDTGGSPENDDDGVLDDWEAVADALSVDDNHNHQDPVPADPPVVPASCPVPANAVTRQEPIKSSTRAWSPDDAFRPQSLPSLSKQVSFPASMGNCWVAMGIGSAQKGVPSKPTSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPACRKQYISASSGGETVGSEREMGNLRLSRSCSMGPRY >KN539426.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539426.1:91028:93022:-1 gene:KN539426.1_FG004 transcript:KN539426.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPCRGGPGDAMKWVVRSTDAGLWKRCSDDQMAEARCVEEVFDAVVVATGHYSQPKLPSIQGMGDWKRRQLHSHWYRVPDPFRDEVVVLVGCGDSGMDIALDLLAVAREVHLSSKSVEAAATPAMSKMLARHANLHLHPQIERLCDDGRVVFADGSGGGKVTVDDDNVPLGPLFEHTFPPSLAPSLSFVGIPRKVMVPWFFEAQGRWIAGVLSGRRALPSEEEMTRSVEEFYRARELAGVPKAHTHDVEPHKMYELGEKYCDFPRTEEWKRELMAIISRNTSDDMETFRDRDDDSDDVRRCLQEWYALAEHQAQDEEDPAAAAAQAPVHSSL >KN539426.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539426.1:4217:20862:-1 gene:KN539426.1_FG005 transcript:KN539426.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVSSSGHRLLPLPVLPGTFTTPPPPPPPPLLSPRRPLLAPRRRRVRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESRMSESRNTQLVYLDAEFLAVTDGKAVTEKENCPTSDQSFVRFSPQFLFCANMDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARMVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKMVSALGNYSCSVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKSWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQSTITEFAASLCQCNFQTKTSRWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGDATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMMHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKFFYTLHDISISKLCAAHRCFLLRLLPVTLLVMESAVDTTNNFLVAILHPQHSHELYFRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRFYIQTSSFDYFGLHRVYMLILILQNYKHVHRPYCNHASGISSGRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNVVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTIKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSRVKGLCLQYIEEPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLATYIQFAHYVDRQNDITSRIKNKGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSIGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLLAKLEQKSVVHPEKRSTYAKIGVQFMFIMSCRRTSSLLVIALVISLLLLDRPIAHARHLKNTSMSSRGSSTERGLEDSKKKLDEEKITKKVETVQVGSNSVHGGSPDVHQFAKIVVVERRGPTPHPKKHNL >KN539426.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539426.1:80789:83267:-1 gene:KN539426.1_FG006 transcript:KN539426.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAFHLKLSRSYIFSSNLCQKSVMDWIKEAESQSLANINKIKELQEQLHGAQDTAASLQIELQRSNTELEQARSTLAEERRNNLRTCNKINSNKNSSSSSRKHLQGRVSSKSKNTAKESGAVENLETLYRCDSDLGSFMARTKNPELYRNGCTQRIRAIKQRSPNLDTSLVENSKQTSALNSRSKTGKTDTNRNPQSTRSIMEQILQTKFLANCKRKRGRRSRPSYMHDNSGEHGQTEYKSSDTSDGNGCLLLLQALEQDLSPQKASSGSVGEGLADQKDELLKDEKDADLNLHPASPGPNDVLSVNNMQMKRRKRSKTIRVFESDFEAKAAPELGNTLPKSSNNNSMLNSEQSSDPPAGNNGPVLQCTAENLMHVTDAANADQLKSENSSPLVPQSTESEIGDEGNSRVEHKECRTPDNNAIVLEEVNVDKSCIILASDGADSSIVSSLDKEENAKEATSGVAVQAEGARYIKYTFNRRKRKAAPLDSTPHGAVPEKSSSVVCPSENHEPHAKPETQDLVIESPPGDNQLIHVAQQMINFELLV >KN539426.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539426.1:406:2468:1 gene:KN539426.1_FG007 transcript:KN539426.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding FAQMMFHRVLVVSLGLYVKKDNLMMKILTTVKFLMEDVLEIKLPDTVVTSDFGGNFVKDVCIDEGVLPHRKISAEKKLDEKSPPNFDFLMIDTNSDLKYGGKGDAKKYAHGQKPKTVLLPVGFAADDNTEKQCDLESRDTASFASDISERKISLHELLKLESAEESKERLKLESAEESQPQHQSTASAINEKNMPPVHEEAIAQVSTNDCNDVATASKTDELITSNVSSINNTNGSSVTISDRHDATAALDKPMSTAEITDSLIGSKEFNEVGTAEAMPDALTSSSSSEVQQSEKSNDHPESFTSEPIANPQDENAVATSSSPHVVESSDANRQMNNKNSDNDGATDAHDFNQTDSESCADATNDGRISTSSTDAQKDSTHVGELDVPDNNAKGKSLIGNGYPLEPCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVWEVCAAVVDEQVTVGLGQQPGEDGESGAEAKQAAPWLEEGLPLLEILSIPFSYTS >KN539426.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539426.1:34083:36856:-1 gene:KN539426.1_FG008 transcript:KN539426.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRGCADSSAVTVVEVTARRGRRAVRKRRAVEIDADSQQASGGSRGGYRRRGGRVLGAGGVHYLTEEQHACGYMSDAERHPGGGFVVDSDDEASGGVPNSDLPLGSGFVLDSEDEVCGGDPESELPPEGTFVPDSEAAAAEERKLEDIREEEEEGMGGKSSFLCPIFSFLSCKSRRYEDEEDDGELSGEWPARYGSKVRSSDEDYGAWWVGERDVDRKASDYINSFHQRKQVAAA >KN539426.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539426.1:94625:96283:1 gene:KN539426.1_FG009 transcript:KN539426.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDGQAAAGLGAAASLLSASLYTVDGGERAVIFDRFRGVLPETSSEGTHFIVPWLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVDRLPDIFTSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAAEFNIVLDDVAITHLAYGPEFSQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATAAAGTGLIELRRIEAAKEIAGELARSPNVSYIPAGDSSQMLLGLSGAR >KN539426.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539426.1:46585:60468:-1 gene:KN539426.1_FG010 transcript:KN539426.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHNAQKDETIARGTLVAVVACGEDGECQIGMEDNGEGDDERRRRMGRPWREISDGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKAIEVHQTIFASRLGIQTLDCYSKFSRTRMQCGGMVLREVSALVLACRYWLFFMFDATRMSVEFLQPFPLIPVAVYNYSIGATSFPLTWHVKTHVLDGRPILLSLIPYSIKVDTLSRLVVQISFPYSAAAYLTVPGVLIPMNMKTLRQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGYTCGSAMPAEFCWKLTYALFEIKQEMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKRDPQFSKLFFVQVVFVVISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSVVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >KN543860.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543860.1:3261:4859:1 gene:KN543860.1_FG001 transcript:KN543860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVEKKQQQMELVDVESGGLPVERQDSLFREADSWGRTMSLAFQCVGILYGDIGTSPLYVYSSTFEHGIGHPDDVVGVLSLIVYSFMLFTVIKIVFVALHANDHGDGGTFALYSLISRHAKVSLIPNHQAEDELISGYSSSGKPSATLRRAHWLKQLLEASKAAKISLFLLTILAIAMVISDAVLTPPISGTYSG >AMDW01037132.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037132.1:7:408:-1 gene:AMDW01037132.1_FG001 transcript:AMDW01037132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EINHAGAGGLWAEFVSNRGKAKHICVTQDDRSYRGACFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSCFERNPVALRMEVLCDYKGTNVCPSGGVGVYNPGYWGM >AMDW01032137.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032137.1:32:420:-1 gene:AMDW01032137.1_FG001 transcript:AMDW01032137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGSGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGKRPVDYSKSVGE >KN541899.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541899.1:2999:6283:1 gene:KN541899.1_FG001 transcript:KN541899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPEGGRSFVRRDQLLNIQSDVHKLWEEGKVFEAEPGSKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLSREAQQYGYPPVFPELDSTIEVADDSQPDQGVSVAPDKFKSKKSKAASKVGLQKFQWEIMRGFGLSDEEIAKFRDPYHWLSYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPNLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFILTSRAALNLAYQGLSKVPEKPTCLAELSGNDLIGLPLKSPLSFNDVIYALPMLTILTDKGTGIVTSVPSDSPDDFMSLQDLVSKPALRQKFGVKDEWVLPFKIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKKQLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVQCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEIFSIRPEQMTDEVWDYVFCDGPAPTTDIPPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRTGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRDLLWRFMEVQTRLITPICPHYAEHVWQKILRKEGFAIKAGWPVAGSPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGAATPPPSEENKLTVGLIYVNEHYYGWKEQCLKVLQSKFDSQARSFAPDEEITEALKNCSIGQETNFKQVQKLCMPFIKSKKDEAKSVGPHALNLKLPFGEMNVLEENLELIKRQVGLDHVEVLSASDEATRAKAGAHVSMLDKTPPSPGEPVTIFISKQEFEAQH >KN541899.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541899.1:11643:15707:-1 gene:KN541899.1_FG002 transcript:KN541899.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ARVYREKENHVNLYAVLLRFLRLLLHTILKHPDYRTDNSSTLLEVIGELEYLKPIVQQKQQQQQRNQQEKTTEDNIGSAMEPLNLTGNNAEIPLDGSGTTSSLQKVEQHQTTVSLPIIHSNTPIPKDLVPAKLNQDDLDGHSSTSQYSPTNSHDSRCSVSVEEKTSEFSSSSEEEFPHVESIPKQISSMSFHSTQGHGISDCSPYRMVYVPEELIGRFLNEAVENTAKSLETCGIIAGTLQVDMDVKYFIATDLIIPKQESTSYSCEATNEEEILDIFEQLGSPSHLGWIHKDLREAFAIVVAPSKRKQNIFHLTVPDGMDEIGDCDDRGFHPHDWTTYEECSHVKWDSTISLHNVVDLREC >KN539613.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539613.1:47967:52586:-1 gene:KN539613.1_FG001 transcript:KN539613.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHTTWTEAELHAFLEACMEEIEARTITSTCPNRLGYANLEVKMYQKAQKVVNRTQLKNFWDTCRRRFQTWCWLESMATGLGRCPFTGNILASPEWWTRMDQAHTLQLVLYRGVISESLLLALCDFNQGPQQAEILDIEDLIDQALQEPEAQTPWRGKRAVTGGTSSGSSSKRSRGSYASDALNCLADLRVQSNESRARREELKQAKSARACMELLKADGVSSRDPIYHMALRVFRDGFLREFFLDDCPTPKARLYFIQSQYQDMAQYQPLPPPGFGGYLQSAPPGWRHFMFVSKSVVKTDMQVVQPGEKRLSTSFKTMSTSSDITSGSSAGNYTDEEDNIIDMLVADLEAQVQGGQSQRATNNRMARSGSTICKYFHKVLDAVYSMAADINKPVDPSFQRVHNRVAQDENFLPFAGAAGAIDGTHIPVRVAVEEANLHRNRHHITSRNVLVVIGWDDRVIFVDAGWPGAVYDQRVLTEAVRNYPHKFPRLPWVSTQHHHITPPDNVHLKFLMFTVQNRLYNGYPPIPQAPPLRDWYDAPNIAAGMRAVRDAIANEVYNN >AMDW01129477.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01129477.1:52:372:-1 gene:AMDW01129477.1_FG001 transcript:AMDW01129477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWESRSQSSSMAPQPTIEYRLFVCALLLHRRLYLAPKSRKPMKGRGGDWMTVVGVEESPSLVARAGAEWRAQGEASWMSPSLESRRCRQHKQEGRPEWRTAGESE >KN539613.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539613.1:35747:36751:-1 gene:KN539613.1_FG002 transcript:KN539613.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHGSSRKTKAEQWLFGGRWRTVKETKHPVASEAKPPAPTFPTAIQKDGDICLEKSRVHLPGLGQREIIDIAPGRKSMPEVEINMKEVREIIDIAPGRKSMPEVEINMKEVVSVLGVKVMAADMSPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHARGCFLYFSMDKIIVMLFKTKIRKVLASS >KN545337.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545337.1:858:1184:1 gene:KN545337.1_FG001 transcript:KN545337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLAGGRKLNKEQEGVLRSKPIVIALIDELERMRALLAAALAEELSPCPAPSLSVTPSSSTSSGADLSIKDLLTLIYFSSLFDVKSQIKFITTMLARQGARLMHHL >KN539613.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539613.1:56150:57289:1 gene:KN539613.1_FG003 transcript:KN539613.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAVKCLRIGKAAADAGDSARAVKFLSKAKRLDPSLPIDHLLDPLLNQDDPPSSSASSSSPQAPPPPPRSAAAAASAEEATGSDGLRERKQKGKKKEEDESAGERSYTSEQLEVVRQVKKHTRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTYNRRAASTARAYNGFYEDEFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHGHGAQNSGGSTVRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTTRGVTYYVKLPNFEEQYPHQSTERATLERHVERDYFSILSQNCRVEVQRRHWGISYETPHCDMLRKFEATAQ >KN539613.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539613.1:87413:92244:-1 gene:KN539613.1_FG004 transcript:KN539613.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEEDGEEAAGSGGQRGGAPLYAVGDEVEVPRVLQYDEGSNVEVMLDTGKHREAWVTATVIKMVSSKNYVVRLKNKEGSVNIVDYCYIRPQPTFNRKKFEYELEPSAEVEVNLGGAWSLGIISDVGSCGYGVRLKGHDSSEEEDYMLVSRALLRPYCKQDDQELMPCAAKKNARKRNHKVSTKNSLSTVSSTSSEDNDQYSSVPNKIARIDTMEKEDQDLSHSIHPRQDKTGLLLKCETDVKAPLNKQVTRLNKLENKDIKGKACMLVSRKPPNLGCTRSGGKRRRSMKKEFACTSLNLPCISAPKDAVEIKGKSSYVDVVEISDNSGYDVIHISDDSSCNPRRKRRRQNLLEEELHSRHTSYPIQESQSRLNMSPARSPLNCDPLLDVITHPKVESCNHVLSPILSPLETPQAHSNNNAGQLIPLSSYPVLEKLPVKVLPEMNETKETNHAHLQAEFLAPDDCTTGDQNYALPIKVESRVADMCKKEAGSGLTTSKNSMETVTDRGEDNSRRPRSGDSEIPNYSKLEPHSSEQPSVEADLPCMPVPNNVTVNTLPLVTRHTFQFVSRNKLEVPVRHKKAPDALEMNTNSVDFSSKEQTHCNLEIFSPNSKKIIDVTHYESYASLQQHPLGQCQIPNYWSASGQSSFVHPSITMNLSTILPASNSHPTTFASSLLMGPCEKMEVFENLPQLPHFGKLIGCPPELREGKALGLMASFANIAESIQNMRIQDEENVFEEKLRCLSELEEDGFDVRALKERLENLLSIKNRQAELKKKRTRLDQFMLEREVDNASVEQSQKLLDTMIKELELKLLEFLEKKASLTEKKAANCSEIAKLKGDMDRMEGSFLSAEHDFHTTAAAPW >KN539613.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539613.1:25619:29488:-1 gene:KN539613.1_FG005 transcript:KN539613.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKLVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASNLTKSMTFPRWNDLFDIYNLTEAKTASAVIDLQRLEILAGSYFCAAGALVGVINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSVHVYPTILIALICAFLSITYNVKKTKGKNQGSMCKWLVSYLLLSVDVWLMMRSEERRQDEPHPNPIERLSPNVLKTNSVVDPSEPKILDASSSASRTGQYSATTHLSGTIGVMGISASSSLRFLQKLVHWSTRDGEEAPPFLVCNDPLLKKELMSSQNSQRPSDCNTALGKLRLRRLLLEKSGVCCIAMPCNTLHAYHDEISQGCSVPSLHIGDCVVKELKSANLKPVEYGSNVCVGILCTDNTLNAKCYLNKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVRAVNTIILASDDFVGILPDDDPLLKKCIDPMDALVRETIMCARTDSLRP >KN539613.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539613.1:85671:86895:1 gene:KN539613.1_FG006 transcript:KN539613.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVGAFEIPAASITIFFVGAIMLTLAVYDRVFIPLCRVLTGKQGFTNLEKIGIGLALSILGMAAAALCEKKRLAVAFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFFSSVLVSLVKGATTWLGDTIDRSRLDYFYWLLAVLSVLNLAAHLVCAK >KN539613.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539613.1:22268:23340:1 gene:KN539613.1_FG007 transcript:KN539613.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKPGDWSCRSCQYVNFCKREACQRCGEAKLGVERTDYAAMGGGWEGWGFSVASQAGWKNGDWICPRMECNVQNYANRTECFRCNFPRYYG >KN539613.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539613.1:37171:37510:1 gene:KN539613.1_FG008 transcript:KN539613.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLYDQKEVLKYSTTSLCSSGSIFWMSVGPAYVRVLTNVPFSMTSSFSPGVLLINRIGDNVKTLGNSFIPVGCSTQFHVVQPIFYKAQ >KN539613.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539613.1:39671:40874:-1 gene:KN539613.1_FG009 transcript:KN539613.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/Swiss-Prot;Acc:Q9FV53] MTVTPGTVKAGDPVLHEPAQEVAPGDIPSEKVQGVIDRMVAVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIINPKLKTTSKRTALFFEGCLRYSLECFFVMLLLVAHMHCTGLHCACSHVSSGDGSVDGYRALVERHLDVEVSGLDRNGRPIKVEASGWQARILQHECDHLEGTLYVDKMVPRTFRIVDNLDLPLPVGCPPIGAR >AMDW01000314.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01000314.1:42:474:1 gene:AMDW01000314.1_FG001 transcript:AMDW01000314.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLALARASQPLRAYDAFLLAGESHPRHRPSTSSVNALLAGLVGAKRVDLAEKAFRSALRRRVSPDIYTFNTVISGLCRIGQLRKAGDVAKDIKAWGLAPSVATYNSLIDGYCKKGGAGNMYHVDMLLKEMVEAGISPTAVTF >KN541200.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541200.1:3395:5277:-1 gene:KN541200.1_FG001 transcript:KN541200.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHCFAVAFALSALLAGLALAVDDATFGMEWTAAELGEARSVIARVSNAYNSGAGSSNSTGDTKHCRIMRELQARFPSRTMVEAVPDWDACVRAWRLEKHRELHAVVGAVGHGPGAGHIAPATPSNNNVAAASANNNVGAPFWVPLLYNPEIEQRMMEMQAQSQKAWDDQQMKMAEAATDPKEGAADK >AMDW01038682.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038682.1:207:728:-1 gene:AMDW01038682.1_FG001 transcript:AMDW01038682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGRNPTFDEKFHIPLIEGLRELTVTVWNSNTLTHDDFIGNGRVQLHKVLTRGYDDASWPLQTRHMSNGERLVNLCCLILVDIAFPHGFSYVHQKNKPGKISATSTTYSVPPVP >KN541200.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541200.1:31736:32468:-1 gene:KN541200.1_FG002 transcript:KN541200.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRTVMIDNIDKVLERGDRLDMLVDKTANMQGNTIRFKRQARRFRNTTWWRNVKLTYVIFL >KN541200.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541200.1:16282:29660:1 gene:KN541200.1_FG003 transcript:KN541200.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGFLLRGPAAPSAVPLRGRSGRGGGGGLAFSASSSNGAAVPSSLSDSEKKGPVVMEIPLDKIRRPLMRTRANDPAKVQELMDSIRVIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRNLQPDNLNRFNKPGVYGGAIIICNHMTKREFFEKKLFGLPGYAATFIKKIRVGMLLFVFELGERKLYGVFEATSNGALDILPNAFTSLRKPRPAQVLNLVHLFASKRIELQPYQKPKSRVIYDYKISLAHLGREFSPRTHNKTFSSHSSSTFCNNRFSLPRSSYLYTKQNAKHDACKYESPLHSPLKSVIFKAPDVKGESLEPNPDYIPLELDDCKSDSDADPSDSLETVSFYPTLEGCISYEDQDLKPFNGKFNGDDGHHSHVLIPGLNSECETDRNSVFSRNVKERQSSLAKGGKGCKRKAIVEFDEQSSPRRGCTMKRVSFSFSGEEISVTSEKSLHRPTAFAELRKTRESSAEEGKQEVGCVVQKARSKGEDVSAKIKLMGLSLPEALRANRVHSCSSNSQSLVTQTVPWFLRRKTKSFLVGREFVFRLSWALNPCKNPRTRDTANIERHVLHEIKEAMFLAKLIPADRIPMNFRMGKAQSKEGSTYDGAIFLCNRLTRRECFEKKLFGLYAHCADFIQKVKVGATLFLYDTDQHKLHGVFEATSDGSMNIIPDAYVSSGKRFPCQIRFKRIWFCKPLMESELHDAIQNKFASKNKLSYGLSHQQVVRLLHLFSSRNRLQPRQNQNLQDELPKESEMSSLVNQTDIQSSSNSSSHGSFKSPCQTCSSSTHGERAATLSHKLADPMPLMHRGLKPYTSGAVKSKDSLRFSLHIGANTDIVTVPVSQEAMDDKSSDDYIPLPQEENTLEGIDDLSDLLEDESYSSESQGTIDSEEHGTFHQACARKEDECYPPVVNFKLRSDSEGRSSVFSRLLGKPRTLGPRKKSSAKAFPSMSSGHLPQRKKQWRKKQSKPFPCDRDGVLGTHQANKLRRIPALDYSFVWDDGSRSTNSFGRKPSEIQTCLELCEHGNKWDMCTKEHSRSNEFKRLFVPEAIRKLIRPYDKELNIPPVFPGVHDGNEVNAKEEVNDSSLDLKRRGKDEQDFGDENDNVEEATRKKRRLAEASFSQEEYLSGGALVPKGTKDMDMLAISDGNCKDKSICLSPRDTCAEMARACLQTKVVLQDEQQKNIQDCCEEVAGATSLILEHSESMDLLPKHNCRNMKTCLNIETKSQVASGNLETRRSLEDTQKQSVRSCHGVINGDKILLMENLETMDILPNHDEDCLAKSTFLRNDSNHLETEMPMQEKQSPSVQSCCEALHGDNMLIQEKSENMLYKIDADSDCGKKKGLPFDKAYSNIDASSLETHVPMQEPLRMASSCCGIVNADQVCAGEVGKNSLSLDENGGYVTYPCNTISALENAMAVDTMESIHGDSGNNGNNLAACRSMGSDYMEEVHQLVTNCSEISAAVPESSGTLNNFAKCYGDSANKNSLLDQTSENVSTDHQEVSMLPQDQHYHSCSGDTSSALEYSDTNAGDGDSEHRNSFDQKGGETMYPVTVVLLQAEQHQKLQGEPESSSSHEISNSDSFVVCAEDSRSKSGLSAYRMVTDLETNSESRTGFFNSSSSESGENFSASASSSENAQQKLSGSAVSAEVARLQHDPGE >AMDW01036656.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036656.1:111:363:1 gene:AMDW01036656.1_FG001 transcript:AMDW01036656.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVS >KN541200.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541200.1:8153:8581:1 gene:KN541200.1_FG004 transcript:KN541200.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVELGEARSVIARVSNAYNSGAGSSNSTGDTKHCRIMRELHARFPSRTMVEVIDLYVNLTVETTVQLQDAGATAAAVVHPTFGLANDNLGMPVVNNNNGMVFGGAPMKEGVVAMNSGEGEVVNQDIGFCHFAWAQKTQ >KN539844.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539844.1:68505:69193:-1 gene:KN539844.1_FG001 transcript:KN539844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKNSQLQPPPGYPRLDSEQQAGKKKGRCCGSSCRRSTKRGETSFIEGCIAALCCCWLCELCCD >KN539844.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539844.1:1799:10035:1 gene:KN539844.1_FG002 transcript:KN539844.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCRHLSALAKICMAFHHNQKLPDKMEMNTAQEKVRNRVAGFNLFEEESDDPPAKNGVVDDPDTTTTSDCNTDMNEDLVKREEGMNFHCDPPLHEHTGIWVPVSVPPMTKHDHEEWHKGFGHNGDYFPEDEFNWEIDEENKEMTMWDVFSEMVVAAKDKVISVASYDLGRRGMSMLSKFFFQEAWKDMAQTLADANAGIANELLETERTIWLPDSAASACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTHDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPYTILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAISTCGIGYGAQHIITKSDNFRLTHQAGGELADFIIVLRNTEAVKTFSGKAHLSVGAGVSASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENAQFYGGPIKAPDILMGSMARPPAAAALYKVLSELFDNPEKQSL >KN539844.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539844.1:46897:50451:-1 gene:KN539844.1_FG003 transcript:KN539844.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSRTLPHNVSSTPDFIGRIMANRAKDEMYAVGWCRADIDNTTCKSCVTDALRKVQENLIAKGYDYTIYALAECSPELTSLECQKCFKDIAYAPSCKLGSKGARASIIWCNFRYQLYPFFMGLPMLNMSIDQSTFKVEGAHHTKHRAALIAAIVVPSVVVIIVVLTLNFFWWKKRVSRKHTKLSNAELVKGKNIIEGSDDLGGENKSIEGSGDSGCEKDTCKTQCTSALVSFDEITSSAQGKKIALFLDYDGTLSPIVNNPEMAFMSPEMRETLRDAAKIFPTAIVTGRSRKKVFEFVKLEELYYAGCHGLDIMASRADFESTSEISEETNPFQPARKFVPMISQVLKSIIVPIGKIEGAMIEDKEFCFSVHYRNVEEKDWELVKEIVINALKDFPSLEMKPGKMVLEVRPGDVADKGKAIKYLLETLGLNDSNVLPIYIGDDETDEDAFKVLREQKNGYGILVSEQARETAALYSLKDTSEVMDFLKSLVGWWKTISIAAAQGA >KN539844.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539844.1:23627:24181:-1 gene:KN539844.1_FG004 transcript:KN539844.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDLYYAEDLVVDLISALYSYQMKKSRWKPVFALETGGPSNADSQDFEDDGGFLGRTRLGRLIQAAGRELLEKLNSARSNSPTKIFLVLFGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPMSRPPGRFQSLIAMVNYWKAGVCLGLFVDAFKLGS >KN539844.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539844.1:75310:78852:-1 gene:KN539844.1_FG005 transcript:KN539844.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding PDYGVDVCPLKYNEYIPCHDASYISQLKKLDRSRHEDLESICPPQEKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSRLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPENAFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLMNITTSMCWKLIAKHVQTAIWIKPEDQSCRQKNADTKLLNICDSYDNSSPSWKIPLMNCVRLNKDQSNMQKLPSRPDRLSFYSRSLEMIGVTPEKFAKNNKFWRDQVSMYWSFLGVEKTSIRNVMDMNANIGGFAVALSNDPVWIMNVVPHTMSNTLPVIYDRGLIGSYHDWCEPFSTYPRTYDLLHAFHIFSHYQSRKEDCSLEDIMLEMDRIIRPEGFIIIRDENAILSGINDLAPKFLWDVTTHMLENEESKPEKVLVCRKKFWSIV >KN539844.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539844.1:14815:14967:1 gene:KN539844.1_FG006 transcript:KN539844.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPLGGLPISTTQGRVNAAFRTGVAGNDSAAVKAGVAENGMHSQWLSP >KN539844.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539844.1:52095:59079:1 gene:KN539844.1_FG007 transcript:KN539844.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAYLPPCISIIALFSKGLTSLVEYNEKKMFEVKLEEIKLTLTTMINEEAEISEVTEAVKQEVKDAKFPAIEVVRMLWDVLMETVQWSGKNQQQNSNSALGQMKRKGKMPEKVRSIKFTGSQSECEILISDSQPSARQPPAGTKRARPAEPTKDDGARNIRRKKQVDASQMDAELVERFYLYNIAILNIPHVVDDGSPSHVNKQTIYTRCSMLSKEHAEDTTPVICPAEMGIQEDTTSKKCSEGTGDILVSTGCKSSVCSRGTAILYMTNISKQCAK >KN543006.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543006.1:2475:2729:-1 gene:KN543006.1_FG001 transcript:KN543006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRMAMVSLALVLVGLLLVATTANAKNAHAGGLKKGGSEAEGRVVYADMRLVVPSDSDSASAPAPAPASASDGPAPAPSPNN >AMDW01033162.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033162.1:324:458:-1 gene:AMDW01033162.1_FG001 transcript:AMDW01033162.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGKEAKEALLKMVQGKNLKVYVYDEDRYGRCVGDIYCDGVFVQ >AMDW01034160.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034160.1:26:187:-1 gene:AMDW01034160.1_FG001 transcript:AMDW01034160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNLEYLARVVFNADGIMYPDSVVGTDSHTTMINSLGVAGWGVGGIEAIVAMLGQ >AMDW01037911.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037911.1:159:724:1 gene:AMDW01037911.1_FG001 transcript:AMDW01037911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADAGFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIQNVKANATEFVNS >AMDW01010415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010415.1:3:191:1 gene:AMDW01010415.1_FG001 transcript:AMDW01010415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDADAEADEGKGKELPAEAITDVKARVSELEKECMSMKQEIRRLGKPRRSWSLLTRKCGFGTK >AMDW01020419.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020419.1:10:240:-1 gene:AMDW01020419.1_FG001 transcript:AMDW01020419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKLPSGRKVAVKRLTQSLVTDKRKEDFIREVEMMSNTRHAYLVELLCYCQEGGEMILIYEYMENMSLDLYIFGTHS >KN540045.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540045.1:55480:57992:1 gene:KN540045.1_FG001 transcript:KN540045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQSRSQLGRRPPFLEGTSDKDPLSSLQPSSSSKIYSAQQRTGKSSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLELYTGSNDRQILVWSASTPAFTEMEDDGKRQTDFVVDEDNWSD >KN540045.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540045.1:2869:4921:1 gene:KN540045.1_FG002 transcript:KN540045.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPVDADEEAGSTETLPPPDDVPTKTVHVKFVLQKRCAFGQRFLVVGDVAALGLWNPAKAAALDWSEDHVWTVKKELPAERSIEFKFLLQDRSGHVEWQHGRNRILHVADTSNTLIVCEDWDEAKNQQVSEEIGDADGIFSGSDGVFQEDELQLGEEQETNKGVTVGVDDAKSALVTYIYREMMGANDAIQPQLALDKHHKIPDELSVEANMAAQDGNHTATPAAAAGYAGSNGEDIILHKEGEPVENNRLGLASIFFNDMAWTSKALQQLLRSLGFQIGTRRT >KN540045.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540045.1:38747:45630:1 gene:KN540045.1_FG003 transcript:KN540045.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) UniProtKB/Swiss-Prot;Acc:Q3E7D0] MTWGVSVCVVPIAVYGFQHYISMLGSIILIPLLMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIIYSPEFFGLNHNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVISPTVAAVGLSFFSYGFTKVGSCIEMGLLQLLIVVMFALYLRKVKLFGYRVFLIYAVPLALGITWAIAFVLTATGVYSYRGCDANIPASNNVSAYCRKHVLRMKSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCVASVIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGNRRAVGFGAIVLILLSFVVPSYFQQYRLQPNANSSVPTYFQPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAARRESAVMKDYELPFKIGHAFRWVKCVGL >AMDW01067191.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067191.1:99:687:1 gene:AMDW01067191.1_FG001 transcript:AMDW01067191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LFGIKAMFTIEVACAIRTNGDEWKDWNLAENSPDWSQLQASPGTNPVLHNSLLYLLAQDGRLAVYDLCRHHEGFKILDKPNSFGFKCEDSYLLESNQGELMVVAIERRGKKVHLVKLNEQSMEWEKVDSLHSQTVFTGSLTTMMKKTKFNRMQNMIFLPRFYQWPETVHVDLVARDGELAFVPKLPFCADTYLDTC >KN540045.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540045.1:17376:31542:-1 gene:KN540045.1_FG004 transcript:KN540045.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMATDILGPGWGRFYIGPIQFLVCFGAVVACTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFMMILAQMPSFHSLRHVNLISLVLCLAYSFCAVAACIYLGSSKGAPEKDYSIAGANTRDRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNQSQGTLLSNFMVGGRAVIPEWLLLIIELFTLLQLSAVAVVYLQPTNEVLEGLLSDPMAGQYAARNVAPRVLSRTAAVALGTTIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKKGAVFWLNTTIAVVFSALAVVASVAAVRQIILDANSYKLFANRRHNIGYAFLYRRRIDAYEVMKDLLPDGVIYQFAPLDCPDAIESFIGYWKPNLILLMESELWPNLIVSAAEKGIAVVLLNARMSLKSFNRWSSPIGLQLVSLMLSKLSLIIPLGYLGSLKDNQVHKSMIYREVHVIYLAVGDIDAGEKAVAAIEDLQQQFSSRPVWMAASIHKGEDEIILRVHDELIRMYPNLLLILVPRHPRDIKNVSQFFTHLLPDPEETEGELRMLYRVTPIAVIGGSFLPGLAGHNISEAAAVGCAVMTGPHVGHFYHMLVEMWQINPFAVKQVKGEYELLEALKQLLGDSRALEACQRAAKDAFSNMSDGVVNRVWNLVRTFTIGSQTDTWCSFSSSLHIGMRQTALQPFCISSSDTHTKWSKKPRQRSEQECRIYAIQRFIYVNGLHIGMRQTALQPFCISSSDTHTVEVDFLMRLFERVIHDSVAEFLSSRLPVSLQKIAREAEETTRNNSQLDLTLAISYSGRRDIVQACRKLAQKVQSKALAAEDIDEALFADELETSCAADEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTNTLWPDFGEADYLEALCSFQSRDRRFGVRKL >KN540045.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540045.1:58975:67892:-1 gene:KN540045.1_FG005 transcript:KN540045.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEATGAPPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTAEEPEPNFPDAEVERLASSITFLREWRHFSYEPKNVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDNGKAKAGSFCSSDFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPSVKRPRGRPRKYPLPIAKVEDSSQNDRSQEFALIDPLVSSAAVPCDVAIAYPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLPTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDCGLGPTENTGSGANITHVAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTHILPESGNKSNSTGPRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLMVLAKSNCDILANDIGRSSCAIENSVHLSVGTSHAATPAQGICLAKCKEESSAKKGRGRPRKQPISTECGCSKACRGEEQKTQTIPKSSDNAALVENCKKESCPGKGRVQDKKKSVSNERSSVVLSVEVHNMDGSSASTAYTSCCTPACNFENAETNQAVSVPSENSAQVIDELKDTEVARFKESTKDDNMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVRGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCVADGTAVCFKLTPRFWEKEPGRNRVPYFLCGSLSEEGTTIKIGIALPNSPLSNVPLGTKRATKTCKDVAQLHVIEEGKLLTNSEYNCAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPEIFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRCQRI >KN540045.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540045.1:6870:12979:-1 gene:KN540045.1_FG006 transcript:KN540045.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/Swiss-Prot;Acc:Q5YDB5] MRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEAHCFYLQVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTSFAPNNKDVAPIPEGMSGAEVGKRLNGLGLDFFSPRANQLMMPISMAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVLFSNEKMGIGIGKTRDEAQVLAAEKALQNLESKYLSFMAPVAGVLNKDVNKPPGSGNGFLEDISLSDDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQVSFKSADMNMRCKYNIG >KN540045.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540045.1:47258:52458:-1 gene:KN540045.1_FG007 transcript:KN540045.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVAELEEQVQAVTAQRRQAERAATEVLGILESHGFGGNLSDVLDSGSEHDGEEDDDPRDGRSDGDTAGSRGEEQPPAQCEAAEDALSGTAEPGGGLSWKGRSTAPEEQRGDVEELAWSQKGQQDGSDCTDDGQADMDGEDTGGQYVIRYEKDGEMERVLERQTELIGQYEAEEEAQRQWEKQFNENRSSAKVHVEAENKACQIENGWEQSKEHARLADQAVHCNEEAKPGVKNHPSASNNRSAGLLLNDSLPESHASGQEAAADQRDAHEEHHDHCHAQSQGSSNVAGTMTGKNQEQGNENPDGCSSYCDIKAPSDGSPSMSDATLNSKVSDWSSSRFHDHGDNQVDAGPDQQPTSNMDIESVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDDEHSEDYGYSPVDDEFNSARDELCSSSQSPDQEILALPAPEDYHDRENLPVNDDATISLTEEQTSSSPHRQEILALPAPVDDYHREIVDDIKIPICIAGLFRLPTDSFPKDEMLSTCSKYGGSELNLRPTAAAPQNAFVSNTADRVTVAPSEIRDDHGFSTRPCYDPHSSGLLSVPTSGRCSTPSSDFTIRGASFLSGIPGLAEDFRKGRPLADADLFMQRGCDYTISNKWML >KN539399.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539399.1:57910:58062:-1 gene:KN539399.1_FG001 transcript:KN539399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLKAPTMAVARAITVHDLASAKRHVLLSGSSTPSIGSVHSIVSTSLY >KN539399.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539399.1:94899:96553:1 gene:KN539399.1_FG002 transcript:KN539399.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRGRPQDCLPCCAALAPRPHRSSHRMGMAPALGYRHHQGQGTAAAGHPCSASTARHRGLLQQEPSRSWWVRGCRAVVATAAPEEDEGATMSAGGSTATTTAAEAKEASDPGTPVPVSSLPDISSGTQIPKSVIPRYQPVPRYQIPSTSRYQISDTLIPKSLSIDRETTAYFAAQLDSDSFIIIGLSKARGLITFHNLTNFSTCRRLWINMPLSCFSSVLASLRGGAAAAAEDDAGEEGTAALLRWRLLVGEAAANLEEEVAKHTSTMRLCLI >KN539399.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539399.1:27094:27388:1 gene:KN539399.1_FG003 transcript:KN539399.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPFAAAVVVAVLSFAVAAAAAQAPAPSPTSDGTSVDQGIAYLLMIVALVLTYLIHPLDASSAYKLF >KN539399.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539399.1:62811:63071:-1 gene:KN539399.1_FG004 transcript:KN539399.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNASLAKAQAVHLPTEYIRNEELECHEKEMHGIHRRTRLSTNLRLGLCPFPPRISATMTGKVMSGRCPGFIEEQGSHTSCLRSTRR >KN539399.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539399.1:77417:80028:-1 gene:KN539399.1_FG005 transcript:KN539399.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSLQRQSSFHPGSSPPPDPGLFSLDAAADKGKPPDDGVLPASQEAAAPPLADAGQVEVGFDDISVLPSMDGFASFFLGFLGCREK >KN539399.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539399.1:7997:8773:-1 gene:KN539399.1_FG006 transcript:KN539399.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKIALPTSPMPGAIRDLINPASSAASAASKEGTVGSVFFLEKDLFPGSKMTLHFTRATAGAALLPRGRADSVPFASEKLPEILSQLSIPAGSPTADAMRSTLAECEAARLAGEMTKHKHYCATSLESMVEFVASSLGTRDVHAVSTEVDRAGPTPRQAYRVEAVRPVPVPGGDMVACHRMPYAYAVFGLHGLKGAAYTVTLAGTDGTMAEAVAACHGDLDGHGVAVAEAYERLGVAPGKVAVCHFLPQDDMLWVRN >KN539399.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539399.1:106587:110484:1 gene:KN539399.1_FG007 transcript:KN539399.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAALLLLVAAVGASHAASPAEMYWKIALPTSPMPGAIRDLINPASSAASASKEDTVNNVFFLEKDLFPGSKMTLHFTRATAGAALLPRGRADSVPFASEKLPEILSQLSVPAGSPAADAMRSTLAECEAAPQADEAKHCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPTPRQAYRVEAVRPVPVSGGDMVACHGMAYASLISMARSLAALLLLLVAAAGASHAASSPAEMYWKIALPTSPMPGAIRDLINPASSAASASKEDTVNNVFFLEKDLFPGSKMTLHFTRATAGAALLPRGRADSVPFASEKLPEILSQLSIPAGSPTADAMRSTLA >KN539399.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539399.1:72432:75953:1 gene:KN539399.1_FG008 transcript:KN539399.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMVVLVGVTVCTVTDVSVNSQGLIAAIIAVWSTSLQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTAIVMYVHHLQRKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNKRVDTFNYTAIVTFFIILSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVAIGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHNILSSQSELDQKV >KN539399.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539399.1:30499:33725:-1 gene:KN539399.1_FG009 transcript:KN539399.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMETVEKIISTGINIHGATKLEDDLSYLRASLPNARLVINRGEWGRFKNKDLAVLLTQLKDTTYDTEDLLRKFDDQVLRQKTEDTDRSRAGQFFSSSLYRAKNLICGSKTRIKDAQDKLDKAVDDLERALKPLGLKMEKVQHMPETSSVVGVPRVFGRDKERDLVIEKLGVCSMIGCDNEQDQMIESLHVPLTRSGGAAWAKGKRAAVGTVATSASRAKQLKGESIRARPRLAQAKFISNVSVLPIVSIGGVGKTTLAQFIYNDPRVEAHFGKRIWDNKEIIESITRKEYKSSNSLDALQVELRKQLRRRKFLLVLDDMWPNAKDEWETFFASLRYGFEGSMILVTTRSPDVANLVASNNCNPFRIEGLDRDIFWEFFKKCAFGKQCPESYPQLHDIGRSIASRLCGSPLAAKTIGCLLNTELTVQHWKTVQNKELWELPHRDNDILPALQLSYLHLPQELKSCFAFCSMFPKGYSFERDEIVGMWVAQCFVAPEGSMRLEDIGIRYLDDLRGRFLLQTDTNCLDQSRYVMHDLIHDMAQSISVDKCFLMQDLSYQNQRRMPHAVRHTSIEVDSESLSQTRDIQYLNKLHSLKFGTILIFEITWFNQLSNILFLSLKGCMLVRLPDSIGELHSLRYLDISRSHVQELPEKLWYLYCLQVLDASSSSLKVISPDVTKLINLRRLALPMGCSPKLTEISGLGNMSLLRNLIHFTVGTGNGRKIGELKGMNQLSGTLTISSISNVKSKEEAAEARLIDKQYLQALVLLWRDQPVPRVMNDDNGVAEGLCPPSRIQRLNVDSFAGDSFSPSWFNPESLTTLRILELSKCIFLRSLSIPSLPSLEELRLASLGVEVLTTFAGSTGGGRMLQQASSSIRRSNAISFLTSICLDNCGMLRNLDQFLSPEHLPSIKSIEIRLCRSLQSISVGSFAEFYHLKDLKISWCDNLVCEQAMVLPPSLRRLYINKCGDLDKSFPACLQNLTHLIALNLEYCYMESIPIGTNHQLKYLFLFGCSELSSIEGLHALSSMKYVYISQCTKLLQVEQPFKSDLMTMEEKEEHHKFFEFV >KN539399.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539399.1:1348:1758:1 gene:KN539399.1_FG010 transcript:KN539399.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASECDSFVRMMSEETHVEAYSLPMQNEDLHTPTNGAKGRAKRSSNFNRKEDIQLCTSWQSISLDPIIGNEQPGKAYWQRIAEHYHANRDFESDRSANSLEHRWGNIQKEVSKFQGCYDQIERRHPSGIPHQELVS >KN539399.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539399.1:82266:87019:-1 gene:KN539399.1_FG011 transcript:KN539399.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDMGMERTCSGDASYMRAWPQRHNELNLNILGAARLASDSSMIVHHDGDDLYDLSFLDSGVVSVVLILNGSVVFCNRIRIDDVRLSEAHYGTIDDIAFKDPINNFTGIDNYKRIFWALRFTGQIFFKALWIDIISIWQPVEDVIMIRWIVHGIPRVLSDGRGRFEGTSEYKFDKNGKIYEHKVDNVAKNTPTKFKVLPVVEFIRSLGCPSTPKPTYFEMSSLQLISLLPFWFKLTWMRCYLSLYLILANLSKG >KN539399.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539399.1:56433:56525:-1 gene:KN539399.1_FG012 transcript:KN539399.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLKAPMIAMLGAITVHDLMACPAQWE >KN540579.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540579.1:46416:48185:-1 gene:KN540579.1_FG001 transcript:KN540579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDWAVASTGLDVTLNAGLDKQAANNWSCPTAASGRKSACISSNSFCRNIADNYRSGYVCRCDKGYDGNPYVASGCQDINECERAKENGCFGECTNTPGAFLCRCPHGARGNATIPNGCTKSNLGLTIGVGIGSGAGLFYILALGAVFLTRRFKQRRARTLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSNVTVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDIYSFGVVLMELLTKKKPHSYRSAEDESLVAHFTTLHAQGNLGDILDAQQEVLHSVSTKKSKELHVSWNHAISEGTNLETTRQYSLEEEYLLSSRYPR >KN540579.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540579.1:29791:32485:-1 gene:KN540579.1_FG002 transcript:KN540579.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALAILLVGFAPATPSSSAQQPPGCPATCGNISIPYPFGIGAGCARDEGFQLECNHTSSPPRLIVSNSTGRRPRQQLLSLSLADGEARTFLTAKRRCYNSSTGDMVSENDQNATEMSLSGTPYRFSRSRNRLVALGCPNLAYLVDGRGSYISSCTSICRTPESVAAGSTVGFTGEGCCQSSIPYSVDVYKPDIIGFKQGKTAGDSVLLNSTAASSILQSSTVCRYMYLAEDRWIDAAYRDGAVDFNRTDDFAVHVVLDWAVRNAGNCSAARRNLAAANYACRSADSECVDTGDGDGYRCNCSKGYEGNPYLDGGCKALTTGSIIGIGVGSGAGILVMALGTTFLTRRIKNRRARMLRQKFFKQNRGHLLEQLVSQKADIAERMIIPLAELKKATNNFDESRKLGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPTSLPWEYRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPEDDSLVAHFTALLTHGNLGDILDPQVNEEGGKEVKEVAMLAVACVKLKADERPTMRQVEMTLETIRSSSLQQEVVPSVAAEESKEKHVSWSYPICEGTSIESSRQYSYEEENLLSSRYPR >KN540579.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540579.1:22024:24795:-1 gene:KN540579.1_FG003 transcript:KN540579.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLALLLLLLQLWSVEAQVAAPPPASCPDRCGDVSVPYPFGIRDGCHLPGFRLTCDATRAPPRLMLGNGTLQVIDISLANSTVRALDLAGAVNFTYDVSKQQVSIGRPSYRVELTNLDKGREFSGRVPEAVRIAELGWFDGLAADLLNQSLADTSRRTPVPVVLDWAVASTGLVVTLNAGQNKQAANNWSCPTPGDGEARKSACLSSNSKCVNVADNYRSGYVCRCDKGYDGNPYVAGGCQDIDECKLAGRCYGECTNTPGDYECRCPRGARGDPRIPNGCVKTNLGLSVGIGVGSGAGLLVMGLGAAFLKRKVKKQRERMLRQKYFKQNRGHLLQQLVSQKADIAERMIIPLLELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGVATAVQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSSDDESLVAHFTARLTQGNLRDILDPQVKEEGGEEVKEVAVLAMACVKLKAEERPTMRQVEMTLESVRSSSLQQEHGVGAKKSRENHVSWSYPVSEGTSTQSTRQYSLEEEYLLSSRFPR >KN540579.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540579.1:5741:12173:-1 gene:KN540579.1_FG004 transcript:KN540579.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAELGICAEASAWTGTNSAPLRQQGDISEGAQNTMTGFDFDRLPQDILCHIHSLIPLRDAACLACLSRRFLRSWRCFPNLTFNQETFSLNVYEGTSYEKEKKPVDIIDSILQNHSGTGVKTLKLDVSNYFKPITADHINNWLNAAVKPGIIEIAVKFPHLTVLNVLFCTNLKMIEIYAPKLTTFDFRGRPMKILTSDSSHLKYMTLHGTFFSGMIQYARTELHSIASNLQTLTLASSKEDFITPMLPVKFLHLRNLNVYFDGIRFQSYDYFSLASFLEACPALETFYIWLDTGYGFDTSGMCKRMNKLDVLHALSAVEVAKKYIEGKVPSNCCKCADHGRFMSLYSNKQFVYIGTFFRNCTAERRKNLFEFVLDRASMSHVTSAPVDDGNRTVLPLADVSTEAFNLNVCEGTSNEQAKKLVDRIGNILQNHSGTGVKTLKLDVSTCFKLITDDCINNWLHAALKPGILEIAVKFSHDKPMFNLSCSLLSCAGSSLQSVSFFSCGFHPPLLFQKLEKRVFQICPHY >KN540579.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540579.1:20504:20956:-1 gene:KN540579.1_FG005 transcript:KN540579.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVEGGMTTAIVVGGGGIGSVDEEVMQFMEVACVPATDGDAEEDEFLVGGDDEGLDGEALGPHGGAVDAEMGDARPRGAEEGDADLAAAAVAGGEQGAGGGGVGVVGDVGHAVEEHPAAAAGIGRWRRVGRRGQRDLEEVEVVLLRVI >KN540579.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540579.1:34503:37307:-1 gene:KN540579.1_FG006 transcript:KN540579.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSALHMQAVALGAAVFLLSCLASAQQPPAPGCLDKCGDINITYPFGVGGAHCFRDKSFQLECNVNKSHPRLMMLAYNQQLLSLSPDGEALAALNVDRQYHNCNLSQGTISASSEPNTMTFGTLSSSTAYRFPVSTYRFNTDSYVVPVALDWAIRDVHNCIAAELNETNYACRSANSDCVDTTDGAGYRCRCSKGYEGNPYLHAGCQDIDECQRKNEYPCSGNCTNTLGSYQCSCPPGTRGNPTIESGCVKTNQGLTTGSIIGIGVGSGAGLLVMALGAAFLTRNIKNRRARILRQKFFKQNRGHLLEQLVSQNADIAERMIIPVAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNELLTKKKPYSYRSPQDDSLVGHFTALLTHGNLGDILDPQVKEEGGKEVNEVAVLAVACAKLKADERPTMRQVEMTLETVRSSSLRQELVPSVAAEESKEKHVSWSYPFGSIVNGAPMYNV >KN540579.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540579.1:15454:17172:-1 gene:KN540579.1_FG007 transcript:KN540579.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSVINFPRLYREFDRICVLEFPFVDAPHLLETILEVGKPGEEKTWLIGNLPELIEITRFRVAFLRVSSSLERLLSLWKTHGILEIDWDFIGAVEHAVAALHPLSDHQPEALRNGVLGLLERLKLLFPVQPDDADSTDVIASTKLVSDVELIVKDMSLLGLIPIKYPALRDQSAFLPVSVVENQPEVQESPYIRIRSYNELLLTMDSQFPTDICIVSFKLSDATKVSHRVDKAIEKGWWVGNIDILHTTSHFRSIISRISESISNLQMTLLEGEIDWFEIKRIKQLNDRITALCDNQPMELCNITFEFFTNIDTVLSEFPSIPADIITNQMEYPNELVKGIPAKLDKLLFEANTIQGLKVQYPDPEGLKVNGLSDITPVANSPQLYLHLKHMCILGVTFAHAPYMLEQVLKVGKEREVNRWLVGNLAELKEIASFRTAFLCLVSSLESLSKKHRILEDDWNMIAAVKDAVSRLPPLSDRQPEALRAEVLKLLERLEATLPVQPGGSDSAGANSLEVLSSTLTSDVGAILKDMYEVGLRHIEYTQVDLPAFMELEDEEENQPEYPDTDHLPPSP >KN540579.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540579.1:25639:28575:-1 gene:KN540579.1_FG008 transcript:KN540579.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFPSPATAAMLVLVVLLLQLQLWSAEAQVAVVSGPPAGCPSPDRCGNMSVPFPFGIRAGCSLAGFGLTCDTTTNPPRLMVGNGTLQVVSISLADATVRALDLAGAVNITYDGNVGGNGTWRSLGATVGGPFVVADLRNQLVVTGCNIQVTLMGDRANGITGCSSFCSINDRWTSFVANVSGNASCSGIGCCKTPIPIGRTSYLVDYKTLESSHEHDNKLPNVVRIAEQGWFDGAVAADLLDNSKRDKSPLTPVPVVLDWVVAFTLETALFSAQTGQFADDGNWSCPTAGSGRKTACLSTFSVCRNITGNYRLGYVCQCDKGYDGNPYVTDGCQDIDECERAEEHGCFGECTNTRGAFLCRCPRGARGNATIPNGCTKSNLGLTIGVGIGSGAGLFILALGAVFLTRKIKQRRARTLRQKFFKQNRGHLLQQLVSQNADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSNVTVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDIYSFGVVLMELLTKKKPHSYRSAEDESLVAHFTTLHAQGNLGDILDAQQEVLHSVSTKKSMELHVSWSHAISEDTSLDSTRQYSLEEENLLSSRYPR >KN540935.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540935.1:8433:10194:1 gene:KN540935.1_FG001 transcript:KN540935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGSSKKGPSGRRTRKLQKLKFKPKVPPQKPRKSVPEKPNLEQSKPIDEELMKRLKEGTTKANWKKKPFHITRAAPLDAIPEQQEQDDRRRMLLFQLPKSLPLPRISAAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTREKHCCLLGEIESRHVVVTPDVDSLLLNDNRG >KN540935.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540935.1:29107:29430:1 gene:KN540935.1_FG002 transcript:KN540935.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRSRTYSLCSSDMSAARRFGRVEEEDVVVWEEQKQWAVDGGRFAGGEREMTAHRRHRSLEELAGEVGASPQWRQQGGLARGRSARIFSCISGM >KN540935.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540935.1:19606:23672:-1 gene:KN540935.1_FG003 transcript:KN540935.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSFLAAAPGDEDAVRCKVVAHIKSDAIEKALAAIRAAERLPIDLSYHKAYCYYRQNKLQEALDILKGQEETSAILQLESQIYYRLGRMNDCMNSYEKLQKFKVESMDLKINIIAAMVAAGRASEVQATMKAQKVDLSSRALRDTRSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVDEYGDDDIEYELAPVSAQLAYVHQLQGQTQEAMETYNNITSRNLADPSSLAVATTNLISLKGTKDAADSLRKLSRLIEKSTAPNQLQLIESLESKLSPRQKEALYSAHVLLLLHANKIDQAHEFVSGLLGMFQDSTFPVLLQAAVHVREKKVQKAEEVLSRYAEKHPENSKGVLLSLAQIAASANHFQIAADSLSKIADIQHMPATVATLVALKERLGDSNGASSVLDSAIQWWKNSMTEDNKLDVFMREAATFKLNHGRDEEACQLYEDLVKSFGSAEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARPFEAPKPMEQDVTDEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVSRDGTSSASASSSSKASQATKTPAAAPEPPKGSNKSRKKKSRS >KN540935.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540935.1:18020:18298:1 gene:KN540935.1_FG004 transcript:KN540935.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHYDPKKKKHAGLVLTLSVAPPTHAPAPAIAGAALPPAEGKADVDEHEAEQVPMAPVSPPAEVRGNIVRIFGVDIEKPADEEEQEGGSDV >AMDW01024802.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024802.1:43:288:1 gene:AMDW01024802.1_FG001 transcript:AMDW01024802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKGLNNAARTTADRQAACRCLKSLAGSIKSLNLGTVAGVPG >AMDW01040440.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040440.1:753:1474:-1 gene:AMDW01040440.1_FG001 transcript:AMDW01040440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGAETARFPGVLRGRTGESRGAYSRGYTESIRVYGEEVAEVPLVGTRFAHRRQGMCRLLMDEIQKLLGEMGVERLVLPAVPEMVATWTGPSFGFREMGQADRQEVAHHAILCFQGTTMYHKHLLPQPQPQPQLEHTTTTTTPAGRIPSPIPTPIPLYS >AMDW01015022.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015022.1:16:249:1 gene:AMDW01015022.1_FG001 transcript:AMDW01015022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPYEVYYCHRPADAVALRVDLHAVAGVGLGGATAVAVCHVNTTTWDSAYFELLKASRGDAICHYMPQGYVLWLAN >AMDW01031837.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031837.1:27:150:-1 gene:AMDW01031837.1_FG001 transcript:AMDW01031837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VESSEVDLSDFQDADDGTNVPIDNDAGQPTEMDAAPQQDGP >KN541561.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541561.1:23812:24246:1 gene:KN541561.1_FG001 transcript:KN541561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQVAASSF >KN541561.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541561.1:4048:4485:-1 gene:KN541561.1_FG002 transcript:KN541561.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MINPKRLVHLAKKWQHMAALGRRRLTITGATKEGNLRCSSAIADKGHCIIYTADGERFGVPLTYLSTTVFGELLRLSEDEFGFTGEEKITLPCEAAVMEYVMCLLRRKPSEEVEQAVVSSVVMPCNYKSSTSMVSVNLSQSLAIF >KN541561.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541561.1:20230:21108:1 gene:KN541561.1_FG003 transcript:KN541561.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQQKMVSARGGRHTASATDDCCSTSSLAGKGHCTVYTADGARFEVPLPYLGTMVFGELLMMSQEEFGFAGDDGRITLLCDASVMEYVLCLLRRDASEEDQIAIYSKERLYAGIDHQTNVSMSRTEKSCPKD >KN541561.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541561.1:17209:17631:1 gene:KN541561.1_FG004 transcript:KN541561.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKKLAQLAKKLQQRMVSAGGSGQKAVTADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLTMSREEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHQFAVCT >AMDW01031036.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031036.1:230:415:1 gene:AMDW01031036.1_FG001 transcript:AMDW01031036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVETEDVVFVHGFISSSVFWTETVFPAFSEAAKGRYRMFAVDLLGFGRSPKPADSLYTLREH >KN541561.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541561.1:25741:26166:1 gene:KN541561.1_FG005 transcript:KN541561.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFKVPLVYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMVPTVGVNQQISCL >KN541561.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541561.1:6232:6489:-1 gene:KN541561.1_FG006 transcript:KN541561.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARRLQRVKTTAAREDDACCTTSPVADKGHCAVYTADGRRFKVLLPYLGTTVFSELLRMSQEEFGFAGDGMDHTAL >KN541561.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541561.1:22189:22614:1 gene:KN541561.1_FG007 transcript:KN541561.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRKLAQLGKKWQRMVASSGRQTASIDGCCSTATAYVADKGHCVLYTTDGARFEVPLMYLNTTIFCELLRVSQEEFGFASDNKITLPCDASVMEYVMCLIRRDASEEVERALLSSIVRPCLNTSVVPPMRLNQQFAACS >KN539868.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539868.1:71309:75968:-1 gene:KN539868.1_FG001 transcript:KN539868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLAALLRARAAPLLSDHAVCNAVNTCFQVVQHAASGRRSELLQRTARHCMHEILQAVFARLPDIPAADAADADDLSVAGFGARCMADIFNFLCTLLLNAPDMVTTGDGHGAFITEEDVQLFSLVLINSAIELGGEAIGKHPKLLRLIQDDLFYHLIHYATESSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMYVLLRLCGGGGGAQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGKLLCKAAFPASSPMTTVQLQAFEGLVNMLTTIADNVEVDKAPDHAAYAVDISDYRLFWVERWDATAAAGSGNNETWVDFVRKRKLRKKKVAIAANHYNRDEKKGMEYLRLSQLVPTPPEPRSMAFFLRYSPGLDKNKIGELLGDPEEQSLRVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFYEQQTAEQCRIGSIFTESRKLPDESVQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLIAVVSSANVHRFTTFWPQLHDCFAAVSQLPLFSPCPFAEKAIVALFRVAFENNIKIIQQCRIGSIFTESRKLPDESVQNLGRALIFAAGGKGQKFSTPVEEEETLPLFSPCLFAKKAIVALFRIAVRLLSGGGGDRMAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMEHAGNVQTPIGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDGAQVVTRFNYAACIEAAFGFAALKISPLDISTRILQLMANSVNWLILWHKSGYSDPGNAWSSSSSSSSSSAAAMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAELGRAFALAAAGELELGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGAGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQELVPRMLKRMIVEMKEKGVLVAMEGDELWEITHIQIQWIAPAVMEELFPD >AMDW01039626.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039626.1:229:396:1 gene:AMDW01039626.1_FG001 transcript:AMDW01039626.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YAYSGEAADDDDEESQSLTKGTDGDVGMVKVDKDRSGGVSSAFSLEDEAEEDKRE >KN539868.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539868.1:65064:65501:1 gene:KN539868.1_FG002 transcript:KN539868.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTYWEHKGAMQMKFLGNKVSLINCQCHNPYQQLHKSGYQTYCGWLLVTWSWGELAASGYGGVGVFTFGTRNIGEKSNGWTTGDAEDFACCLAEHRCRPCMVDILSARIKLPYGIHEEKNNRTISTSTGHKSGFRSQDSKDQCIGI >KN539868.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539868.1:56587:56839:-1 gene:KN539868.1_FG003 transcript:KN539868.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDGVTVVGRAEIDTRAPFRSVKEAVVLFGEKVLAGELHAGAGRRLLATSDQLQQMMTVF >KN539868.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539868.1:370:1992:-1 gene:KN539868.1_FG004 transcript:KN539868.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEEWTRIIANSIDEAAGRVIELLEDTSKGNVMYFHGWAGLGASAVLRAVAKRLTMRPSASPSPGRRRWEKMIHVDCSVWQSKRALQKAIAQELQLPRSVMALFDQHDEEDDFSGVEQSARGVIQDVSQAILSILASRTFLVIFHNGSNGYIDLLECGVPVITGILNKTVLWTSRSSFRITDLDMENVSKEDRDKLAGLSDVAICAYPTAYNEHDVVKFLGMLLHEEAEEVARYTGVSQSAGMSTELVKKCIMYQLMLRQQHVDYTQHWDTHAANYW >KN539868.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539868.1:49954:51312:1 gene:KN539868.1_FG005 transcript:KN539868.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVTTTMILVVVGVLLLLLWRARRRRGGVYLVEYGCFLGEPRHRVPFATALEHGRLMTNLLDEESTNFMVRLHANFTTPTPSLADVVVRRYGLRADVRSVNLSGMGCSGALIAIGLAENLLRVAPPGSRVLIVATEILSSMLYTGRKREMLVPNVLFRMGAAAIIMSNSPEKARFRLGPIVRTLTAARDGDYRCAFQEEDDEGITGINLSKDLPVVAANALKGHLVAFGLAVLPTSELLRVAISFINHKIINKFFMITTTKNDNNSNCYRPGFHRLFQHFCIHPGGRRVLDEVQRGLGLTDDDMEASHMTLHRFGNMASSSLLYELAYIEAKGRMRKGDRVCMISFSPGIDCSSVVWECIKPTDHHLHHGPWAACIDRYPVQLPKIVKRPA >KN539868.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539868.1:66582:68620:-1 gene:KN539868.1_FG006 transcript:KN539868.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVLVGSQARKADAIRKRYEAANMEILLGDKDREVRSYQKIAVDAKCIILRLVHNLSVMQSCSITRSRLSICRTELTENDLEDFRISIAALAAENYELKEKLKEVEKHAELAENTVDHHIHSPRDLRAELKKLKHAYKTLSSEKEKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEELQDQNKGNEIGRLQAEAVDAKMNISILEDKLQEMLSLVKEKDLEIEQLKHGQPMTSQINKKDTNQRNRKCRSQDPPSRDKSTNLQPTPPGRKVKISRQHASSSKQKQVQSRNNSRRQKIEGDKSEVGEKRKRALPSSSCPNSWQVA >KN539868.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539868.1:18713:21858:-1 gene:KN539868.1_FG007 transcript:KN539868.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGGGDGVTVVGRAEIDMRAPFRSVKEAVVLFGEKETPLLAGDGDVHGPGLHRLRLMNRAATTPPAIWPTFSQQQVSGGVAAVTRHVPAELEEARQELEKERSEKQKMVGCILSLQEELSNAMSELNKLKARDDVGDGDGGEAAAKVIDLQVEDLKFVEIDDDKPQPRQQSPSPGEFQKRRYVTFADPPPPKAASAYDRAPPQAPLPDVVIELRHRHNHSSTPSPPQLREIDDDMYAIRGEAIERSKLRPRPDVDDDAAGRRRRRLVEELDAVGDGLVVVRVQRVQVRAARPLRRVAAAAAASTVMVVVVAVDGGGGEGDRRRPRREGEEADEHLPESVHDPGRPPHLGLAVMRMRCFPPKALCLKPRHE >KN539868.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539868.1:60324:62799:-1 gene:KN539868.1_FG008 transcript:KN539868.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASALGVGVVYGAVKLSILKMLVLGLDWFGWNGLRRQNLTKRRRQMRITEDRNAWRLEMEDGLGKGDEGITRLPQCRL >KN543093.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543093.1:6033:6467:1 gene:KN543093.1_FG001 transcript:KN543093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVARMLGNGRCEAQCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >KN539455.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539455.1:77261:79136:-1 gene:KN539455.1_FG001 transcript:KN539455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVSLSRRHHLTPRPAASTSTSLRRSRLPVGCAAAGLRRHGRRRGLHPPVFALRDARDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVGMTDPNPIVASKGIERLQSAGIDVRVCMEEEALCRNLNEAYIHRMLTGKAFATLRTTLSVNGVVVNQIGTGADQPGGYYSQLLKEYDGVIISGISVNMTTLPTSHEAGAKQPLYIIIAQGGNSQLNIQFLREECASEAVVLTDSPVTVKPPGVEVLVLDRMSLEFILEILAQRGLCSVLVDFREAGGDFAYLLKNFQEEKLVQKVVVELLPIWTVTKASKGQVTWCLVGASHFH >KN543221.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543221.1:88:4990:1 gene:KN543221.1_FG001 transcript:KN543221.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HEDETGGWGLQALRSLQRLVIEECPKFFSAYEAPGCPFPSSLQCLKINGRMEGVQTLDFNSNLNFLTQLYIDGCGEDLRCEGLWPLLTQGQLSELEVTETPRFFAGLDPKLGGLQDVQEQQLPPLQCSSKPLELWTDDFAGVLVKPICMLLSSSLTNLLLGWNDEVERFTKEQEEALQLLTSLQDLQFYSCDKLQCLPMGLHRLTSLKRLEIEDCPSIRSLPKGGLPSSLQELDVSECENEKLKQRCSYVSPVGFLILRLYILVITPEDPQWCLASWTGIYYGSKYHHGIITVENVLNICISKDGYASVLLLSLLSLDEYYKQGNELYNGKTRERHKDWFRQSSTDKDMKMNIDKNSHYILLVHCGVSSDLRLILYGTRMRGNKRLSSMNINDWNYCNEHPNKASSFPLYEEDNMDKCKQVFINREQCYPSGLKSWEVFNAS >KN539455.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539455.1:5136:10666:-1 gene:KN539455.1_FG002 transcript:KN539455.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKAVDRLPGFAGPLPFSLETGYVAVGEARFFYYFIESERSPEEDPVLLWLTGGPGCSAFSGLIYEIGPLFFDFHGYKGGLPTLHYKANSWTKISNVIFVDSPPGTGFTYATTAEGLKSSDTIVVHQLYTFIQKWFDDHPQFSSNPLYVSGDSYSGIIIPTLTMEIAKGKESSDERHLNLKGYIAGNPLTDTTHDDNSKFPFLHSLGIIDDELYEVARKNCKGDYMTPPNSQCANSVQAIRDCIRDVNDLHILEPRCEEDGISLVSDNSASSHDRRTKLLESAVSSICRNATYVLSKIWANDEAVRESLGIHKGTVTTWERCNHDLLYKKQIVSSVEYHLSLITQGYRGLVYSGDHDSVVSLIGTQGWLRSLNLSITHGWRPWYVNSQVVGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMVDRWLSGEPL >KN539455.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539455.1:54253:61339:-1 gene:KN539455.1_FG003 transcript:KN539455.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEFSFYNPNMTSAGDAAQFGAALSRLMDRLALAAASSSSSSSSSSRGRRRFAFGQTNITGGDDSLYAFVQCVDDLSPDDCRRCLQSIAASLPMTRGGRAYSLTCYTRFEVVPFYRPPTATNLVVVASPAPAPESALLPTESRDAKPSKLRIRLALAVSTVAATLLVISCFIVVKIRKSGKFQLRIIGKNSNPKENIEELLDNYGSLAPKRYKYSQLKDMTGSFSEKLGEGGYGMVYKGTSPDGHFVAVKFLHDLTRNGEEFVNEVISIRRTSHVNVVTLVGFCLEGSKRALIYEYMPNGSLDKFIYAENSKTTLGWDKLYEIAVGIARGLEYLHRGCNTRIIHFDIKPHNILLDHDFVPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFSRRFGVVSTKSDVYSYGMMLLEMVGGRKNLKASVDNPSEMYFPDWIYRCLADVGSLHSFDMEHETEEIARKMASIGLWCIQVSPTSRPTMSKVLEMFEKSADELEIPPKHCFYSAIQEDSSEEES >KN539455.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539455.1:37015:39444:-1 gene:KN539455.1_FG004 transcript:KN539455.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVFLFGLLLSLQCNFPACSATNSSIMMAGQVLVGGNKLISSNGKFALGFFQTGSSKSSDNTTLPNWYLGIWFNNISKFTTVWVANRDKPITGPIFKLSELSVSRDGNLVILNKVANSMIWSSQIENRTKTSRNIIVVLSDNGNLVILDASNPSNVWWQSFDHPTDVLLPGAKIGRNKITGQKYSLTSKKNSEDPALGLYCMELDPSGSKQFYDKLCNSSMVYFNTREWNGRYFNSVPEMGVNAFADPKIVDNDEEEYLTYTPFDKTVITICLLDVSGLTKQLLWVEELQDWETVYIQPKDSCDVFSVCGPFIVCNNNALTLCNCMKGFSVKSPRDWDLDDRREGCTRNIPVDCSGNKTRTGLTDKFFPIPSVRLPYDAHTMETVASAHECMQVCLRNCSCTAYSYGKSSCSVWHDQLINVKQYNGTTNTNEDILYLRLASTEVQSWGHSRSGKIIGVAVGASVSVFSYLAFILLLMIWRSKRRSCDHRMNEIKEGAGIVAFRYADLQRATKNFSTKLGGGSFGSVFKGILNDSTTIAVKMLDGARQGEKQFRAEVSTIGMIQHVNLVKLIGFCCEGDRRMLVYEHMVNRSLDTHLFRSNGTILNWSTRYQIAIGVAKGLSYLHQSCHDCIIHCDIKPENILLDTSFVPKVADFGMVKLLGRDFSRVLTTMRGTIGYLAPEWISGVAITQKVDVYSYGMVLLEIISGRRNTLDECKSTGDQVVYFPVQAARKLIKGDVGSLVDHQLYGDMNMEEVERACKVACWCIQDDDFNRPTMGEVIQVLEGLVEPDMPQVPRLLQTILGGPAST >KN539455.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539455.1:89704:100442:-1 gene:KN539455.1_FG005 transcript:KN539455.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGANLVVINPGSANVRIGFASQDVPFNIPHCIARHITQRKDDTPTLSVRDKVVQILGANEVIDLQIASLLKIPFLDEEMPSANQALPPKMGRVDALSSQQNKDDSKFTWTDVMDRKRPVDKDADVDPLQRSTPDDTEPNSEENMYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSIVLCDLGFSTAVIHQEALAAAFGNGLSTSCVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNKPIDMLMLNKLKESYSQIRSGSFDAVSVVHSYEHERSVGHQKAKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYSSGNGGFGRIELQRKLFCSIQLVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVNNRFKEAH >KN543221.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543221.1:6320:9359:-1 gene:KN543221.1_FG002 transcript:KN543221.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METRATKCRTMQLLRELQTNKLDTSSKIIDAPRQQDDIYAGIMPELPLDKLPEDILHHVYSLMPLKDAARAACVSHGFLRCWRRYPILVLNSKTIGLAKRKLSLYAEDVPLYEPALKVDDMESYAISKIDHIINNHSGIGVKVFKLQLFACPNIDAAVLDKWFVHVIKAGIKELSLEMSLCKKRTEYNFPCSILSMALGCNISLTSLHLYEVHISGEEIGQFLSNSFALERLVISDCNDIIQFKVPCLMQQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQIKDIRLTGYNQPNTVCYARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKASDAAKRYIAGKVPSHVEYKFLEPCRKCHIGY >KN539455.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539455.1:18104:21375:-1 gene:KN539455.1_FG006 transcript:KN539455.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKGIESSDKRHLNLKVARKNCKGDYNSPSNSQCASSVQAIWDNATYVLSKIWANDEAVRESLGIRKGTVKTWKRCNYGIPYKKEIVNSLEYHLNLITQGYQGLVYSGDHDSKVSLVGTQRWLKSLNLSITDGWRPWYANSQVVG >KN539455.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539455.1:68708:74500:-1 gene:KN539455.1_FG007 transcript:KN539455.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDWPESPSQEVLYADEIAAVRAVLGAGLPEARVVAAISRCGGNAERAINALLDDATAGVEDKPEPKKGKGAKTAAPPVKAERDAGSAAPKPPPPPVKVEVLDDEPLGSQESNGCSARVKKEREDELLVKAPPPMPDRVKEEDGVAAKRGAATANAAGISLVPRPKKRSRVHDEADTIDLTATHPVPYLNPRPIRAVPPPEAMEMLESRRVRARPPPPSSDLRMVVAPPDAEFGEFPEERDWFLVGRSYVTGLSTNRGRRRLDAGELVHFSFPSLERTYGGIKVSNKKAAALAEIVRFSTNRAGEIGKLSTEWTKCLVPLVSSSKVKIQGKIVFPTVELRLMQEILLYVSFYIHRSVFTASGNNSSWDLLAPANVDYSTNPLYRLFRLLKLRAFTKADITPEELAAGKRPRNLRGDDNDEDEPMAIVGLENRRTAGQTFPEQGTDEQAISEAALNKIVGTAETYDLEEAEPPSTLVSVLKPYQKEALFWMSQLEKGIDGDQAKKTLHPCWSAYKIVDKRAPAVYVNVFTGEATTQFQSVTQSARGGILADAMGLGKTVMTIALILSNPRGVLEQDKRGTRDRDTKAQTSRSSVRGGTLIICPMALLGQWKDELEAHSTPGALSVFVYYGGDRTTDLRFMAQHSVVLTTYGVLQSAHKNDGSSIFHRIDWYRVVLDEAHTIKSPRTKAARAAYELTSHCRWCLTGTPLQNNLEDLFSLLCFLHVEPWGDASWWNKLIQRPYENGDERGLKLVRAILRPLMLRRTKETKDKMGNPILVLPPANIEIVECEQSEDERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADTQKYTDLDELAQRFLDGVQRDSARRSAPPSQAYVEEVVEEIRQGATTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPSGGPCPLCRSPITKSELITLPSQCRFQVDPENNWKDSCKVIKLIKILEGLQEKREKSIVFSQFTSFFDLLEVPFNQKGIKFLRFDGKLSQKHREKVLKEFSESKDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQKVQARKQRMISGALTDDEVRSARIEQLKMLFT >KN545906.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545906.1:282:739:-1 gene:KN545906.1_FG001 transcript:KN545906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARFAGCSPACYVVAYIYLDRLLRRRRRACAFSVDSYSVHRLLITAVLAAVKFMDDI >AMDW01038073.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038073.1:30:431:-1 gene:AMDW01038073.1_FG001 transcript:AMDW01038073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTTTVRTRYTVMSKELLDEHPELRTEGTPTLTPRLDICNAAVLELGATAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLATRLGLHPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVVAAETT >AMDW01016993.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016993.1:4:267:-1 gene:AMDW01016993.1_FG001 transcript:AMDW01016993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPAAVLPKVPSAIVVNHIFQFRVLEMVDAFINDEILLRRTPAKTGRTKDVDVDDDLGTKLLARWERFVLEHQFWVGLDLGSLDGEITA >AMDW01022004.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022004.1:6:308:-1 gene:AMDW01022004.1_FG001 transcript:AMDW01022004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLNENELPTGRKCVLSDNAPDAGVGVVVLSLQGPAVWFCRVGGERWSTHTYDMGYFSLPEEYCAPKKRHLFDVAGGVGGRFYFCEDKGFSLGTLDFTGDGE >KN541692.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541692.1:447:1781:-1 gene:KN541692.1_FG001 transcript:KN541692.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPLRLRLAVAFLSLLLTVSASAAAASGGGGGFNVSFDSAALAFSDLTLLGDSRAVAFGGGGGSAASFAARFSFVIAEQNAGSTGGDGIAFFISPDRATLGATGGYLGLFNSSSSAAKTNASIVAVEFDTMLNDEFGDPSDNHVGLDLGSPVSVNAVDLAAFGVVLNSGNLTTAWIDYHGADHLLQVSLSYSAAKPAKPVLSVAVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSATNSSSFSNTTGNASAQTVPGEAAAGGAASRKKRFGLALGILGPVALAVSFVFFAWVSIRKLIELTSRKDAGFLPELVKGPRKFSYKELSAATRGFHASRVIGKGAFGTVYKAAMPGTATASAVSYAVKRSTQAHQSRNEFVAELSVIACLRHKNLVQLEGWCDDKGELLL >KN541692.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541692.1:21880:24243:-1 gene:KN541692.1_FG002 transcript:KN541692.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVESLMDRRSNPSYSRRASMVYTPAPAKKIEGSDLKSVKSPQSVSVKKRRDPGETGKKSTADSNGENGAVAPVGLLGGENKPKDKDEIVLLREQIEELQKTLLEKEEALKSAESLVGEMNTLYSTVDELRRQVADKEGLIKSINSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGDLQDGSLPSSFELEALQSTE >KN541692.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541692.1:13162:14467:-1 gene:KN541692.1_FG003 transcript:KN541692.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTLNASTVFFLLLLLLCVSVMSPPFTPASASPMYKMGCLSFDSLVISDDAAEEMGLTADAKVALLLYHAVAAHYSEEALRVINGEVNTLATDGGGGGKVLNLTIEEDDDGAGATVKLSSSSGNVARVTKTIQDVDPPRRVPHRRRANAIGGGGQRLFRRRRGSPVTGAGQM >KN541692.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541692.1:7133:7902:-1 gene:KN541692.1_FG004 transcript:KN541692.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRSGTAARSPSFANREEIIRRHRQAPETAREARPPAPTTSLSRSTSSAIVDTEAVEAEVHNGGRVGVHGSKPREGWVVRDGWRKWVAVLFRQGSAEWSSIRWVFGDAMLLFIPCNDLVIAAPFLFSHADSVESASFHFRLHDFCTATCLGGATTTAAVGSVNDRTTIAAASGSVAVMGYSIATAIITHMLHSKQDLRGIGRTTGPR >AMDW01040255.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040255.1:198:1064:1 gene:AMDW01040255.1_FG001 transcript:AMDW01040255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPKLIKDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLQFFDRIGGIFAVTKRVMTYGALHDISQMQRLLRDLTGNLTFQEAYDMTGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRLKEIVRTYGGRFAGK >KN541464.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541464.1:203:2164:1 gene:KN541464.1_FG001 transcript:KN541464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEANVAPKRGIYAPAEEYIIHAERPNETLVEAFKNMHIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGTVLVNGNDTEEQWVLTPDQ >KN541464.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541464.1:19832:27850:-1 gene:KN541464.1_FG002 transcript:KN541464.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTNMGSQPLPSSNIQPNQAEYPSMLYPSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVAITSQGMSAAKSEVLTSVGAERRAEDEKLNSDLVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATQPKLKRSRASDIDPVVANTLSESESKRMKSANDEEAIDKDSIIQKADDLAVRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLTQVPSKAPEDQTKSDDKESTDDKTGIQDNDKAPDGTSQDEDNGAGKNDPQDDLEYVDNEKSDLMQELMVDDLKDTKNLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEVGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTTQAYKGRQHVPPTSARPVEQMRELVQKYGGIRCTSVEIAKRPLTCQKNLWSHLFGLMNDVDVATMHAESGSEDDDLDVGIGDVPFELEEKMKKIAAAKGKVRQKGDGAAKHAAMEAAARSAKLAGAKKKEKTNSLSIDRLLPSPSSEFRMSTGLICSTWSVWFRLLNALLMNISTISVGFASRIDLKLCFTGWLFLKSALRIGSTVTLKLMNKEYAAKYPFTRNRRFAYSYYKL >KN541464.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541464.1:13260:13490:1 gene:KN541464.1_FG003 transcript:KN541464.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLWQEAELRAKALKDATWGEMGDGDLRRPLAEGAMRTRALHAQIARLIIDDMDRRHREFFLTGDERVLWPPSP >KN541464.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541464.1:3430:11629:-1 gene:KN541464.1_FG004 transcript:KN541464.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKEDERNERIIRGLLKLPANKRCINCNNLTTNVATVCQIYITLYDLRLEQWPRGTTICLYKFLDLHLYQLQWSTRAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKATPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPNVARTIDPPRPIDPPRPIDPPRPMDPPRPIDPPRPNGTRTIEPPPQMQRTSTASSIGSSEGTSEQIKVASTISLIDFSADPEPSASVPPPQSTPTSQQQPASAQPVQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNSVDPLESALAQLSFSETPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTIGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPPGLLGTSSFGVLPQQPQQLYQSPAPPNHYMMQQIPNMSEQLPNTMLPILVPTRRISVLKYFQGVGSIVQFFVVSTLMSKLWHYRQQGGLGSLNMGFDQQAAPSWLYNSFPQGQWSSVTASEHKLHPSEFLMHVPIVFVYLCLMRLQHVVYLPQVQLAIKIYVDGNTGLWLICIIV >AMDW01028564.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028564.1:157:363:1 gene:AMDW01028564.1_FG001 transcript:AMDW01028564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPKVTERKADKDHDDNNDGEGGGFFDKVKDFIQDIGEKIEDAVSFGKPTADVTGIHIPHISLEK >AMDW01038839.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038839.1:351:728:1 gene:AMDW01038839.1_FG001 transcript:AMDW01038839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HLITNFYHLNAYSSVADTTDLLQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIA >KN539743.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539743.1:47177:52193:1 gene:KN539743.1_FG001 transcript:KN539743.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPALEKLYRERWSPEDGVGCIVLSPNKDLAGQIFNVFQKVGKLHGFSGACIVGNRKGLDEEKAVINNMNILVCTPGRLLQHMGETTNFDCSQIQILVIDEADQVLDKNFQEQVDNVVSQLPKVRQTLLFSATQTKSVKDLARVSLKDPEYISVHEEASTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLKSRILVFLSSVKQVKFVYEVFKKLRPGISLRCMHGRMKYEVQQAIVAEFKEGHSVLFSTDIFARGLDIEDVDWVVQVDCPENIALYIHRVGRTARYNKRGKALIFLCPEEEKMLEKLKAAEISQRYAEMLREMQEHDKEDKLEHKRILREKKLQKKLKLKRRRNEEMDAGSEDSGSESDRHQKTASKGKKRYFNSDDEEGSKDAAKDGDVLAQQEALALKLLSKMHSSSLQPESEILISASLVPRELICIGSGNVPDSIILVVNVRGLENVLKAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQRHQENTFCSEYEKSKVLADRIALQAAAEGVPITIVYPGVIYGPGKLTTGNIVSRILIERFNWRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRVGERYLLTGENLSFKQIFDMAANITNTMHLNSIYLSG >KN539743.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539743.1:73540:73879:1 gene:KN539743.1_FG002 transcript:KN539743.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSTPKSLLPVEQPQSQVDSSAAETEEQTIMMEMHHLDLDDHALFSGDVGQPNEANNDHWDNNLAD >KN539743.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539743.1:24315:24886:-1 gene:KN539743.1_FG003 transcript:KN539743.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAARAYLATKIDPRALRRLSLARSRCIDADGRSSWTTLLCLEPGDSTTDVFDGVEFTWTSVPLATAASGGAEKKAMGGDRQFLLELSFDAEAEHTATAMDSWDAFKTLAQNYFLVDDHPLFPEIRELLAGVEVTPAEASEMLLRCEDAGVALRGLAELLREKKHKNHH >KN539743.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539743.1:53098:55130:-1 gene:KN539743.1_FG004 transcript:KN539743.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGHAAAAAGVSSGSEDDDDEEAGFSRSYFLAKEKEPSSGKKRARAAGKLSDLNLVDEQVLRASLAEIPPKHEREVEALTRCYKEQYRNWLFELRCGFGLLIYGFGSKKMLLEDFASTTLTDFTVIVVNGYLPSINLKQVIVTIAEIFWEQTKLKRKRQTATRSQLQPFASQSIDDILSFLNNQTSDNGDDNVCLLIHNIDGPALRDPESQQYLAQVSCCPQVHVVASVDHVNAPLFEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQVAHEKEEGMHFSSLYTKCRERFLVSSQMTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELA >KN547831.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547831.1:69:333:-1 gene:KN547831.1_FG001 transcript:KN547831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRNKKKPRKGAAMVAMVEAEGASQDKGDLPLSPEVMDEASRVLGNNDLLKEILLSLGLHIPLVHATLVCKHWLHIIANPEFLSRF >KN539743.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539743.1:65148:65969:-1 gene:KN539743.1_FG005 transcript:KN539743.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLLLRPSSSSAAAPFAYAKVDKVDAEEARHLQAQYLIHKFLMKKSNAMLLDLASVICSAIRNP >AMDW01034974.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034974.1:46:261:1 gene:AMDW01034974.1_FG001 transcript:AMDW01034974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILLEMDRILRPEGTVIIRDDVDMLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGEEKE >KN539743.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539743.1:21305:22532:-1 gene:KN539743.1_FG006 transcript:KN539743.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFLGGGGGGRRGRNVLSMVPGDSMTDVFEGVEFKWTSVPAEGRFADTEVSLELSFDAAHTDMALRRYVPFITEEVEQARRRDRELMIFMNEGSSWRGIAHHHPATFDTLAMDPELKRSIVTDLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANHLRFNLYDLDLSEVHSNSALQRLLIGMTNRCILIVEDIDCCFSASEDADAALSGLVEFLEEKKEKKKKQAMCEAGK >KN539743.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539743.1:77812:78782:-1 gene:KN539743.1_FG007 transcript:KN539743.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSLGGGGGAHCDAGKKKRAVLVEEELAAAAMAAEEEEEEVRKQGGEKVTELFVSAYKTICSCAFLWKDPDLIGNHSQLFMQI >KN539743.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539743.1:61214:62534:-1 gene:KN539743.1_FG008 transcript:KN539743.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAPGGDEIRSLWIGDLQYWMDESYLSNAFAPMGQQVTSVKVIRNKQSGHSEGYGFIEFQSHAAAEYALANFNGRMMLNVDQLFKLNWASSGAGERRAADDGPEHTIFVGDLASDVTDSMLEEAFKTSYPSVRGAKVVFDKVTGRSKGYGFVRFGDENEQTRAMTEMNGATLSTRQMRLGPAANKKNMGTQQTYSTNGRHLVNFQ >KN539743.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539743.1:29400:35031:1 gene:KN539743.1_FG009 transcript:KN539743.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIANGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWDILANDVLFDEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTNDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNVTTIQAVARLLVTGIWKRSVIVIQAHVRGWIARQTAVRNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLADTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKR >KN540338.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540338.1:7808:19670:1 gene:KN540338.1_FG001 transcript:KN540338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSPTNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKRMIKIIDEDADSFARRAEMYYRRRPELMSLLEELYRAYRALAERHDHAAGELRSAQRKMAEAFPDEFQLDLDDDLPAETLSTETEADSRDMTPFFLSFINSGDSKKRAKDDQEHEKLQKEISSLSQENQELKKKISSVLENSDRAESEVASLKEALAQQETEKEAAFSQCQQSSDRLQALKSEILQTQEEFKRLKEEMQNGLENLSTAEERCLLLERANQNLHSELDKLKNDSKERHGELNEKHVELEKLSISIQEEQLKSMQAEMTRLSLEKQLAQAKEKLRILTLEKNGEASKFNDIEASKVRLQNDLDKIREENRKLEEQNNSSISAIIRLQDEVISLKNAQRLLEEEVSRHVEEKKVLQYELSQLKDDKGDSERKHFSIKEQIQVVNFNVESLQALAQEVRDGNVELKETIKHHEGVKALYVDNLMQLERTLERNAHLERSLSAAATEVEGLREKKVALEESCKHLNSKINGFQSERSMFIARIEGISHTMEKLSEKNVFLENLLSENNTELEILRRKLDDSEESTHALLNQNSVLRSEKRTLVREVDSMNGALLNLEAQFTELEGHHLDLQQEKNKALSEVIMLQEMLRLEREAHKELNYSGKTQFSAVQKQLSFLLEEGRRRENQLQDEEHKIVEAQMEIFVLQKCLGDMAEANSDVSGQLQKQKELCEIQEKLTFLSENNQRLTEGIGSVMEELHLDDKYGSLDLMKLDVIVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNERHDLMKISCELRKEMEARNRRVEEMKGEAKFLVRQLSELQESRQSLQAEVIKLIEENSSLSGKLYDSREKEKTFDDDFSTLLGEAISTDILGVVFKSLHDERTSQLQSLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSKTMSICDSSGSEIGAGRRRTMRRDTKLLKSGRKSQQDSTVNIEQRKEIDHAGLEKSNELLREKLHKLQSEVQALRSKEQLVIDVKSCDAEITKLLTNMQMATANAALFKEKVLELIASCESFEISEMVQKEVLKEEITRRNSYVNALKDKLNAVEIENSRLKVDLNGDFTLLGALQTEVSALEKQTMSLAKDCLPSNKLRMEEFSVSPQLSKIAVKPIHGEPNATKMVKDMELEKLHGTIKALQKVVTDTGVVLEQERLDFNANLLDARRQIDLLRLRDDMAAAGGGGGGSAALKDQGNEQFKAGNYLKAAALYTQAIKLDPDNPTLYSNRAAAFLHLVKLNKALADAETTIKLKPQWEKGHFRKGCVLESMEHYEEVRVKDNSLLFLNREKDNYLFFIFCYVSFSQAFRVWQAEKIVAISSFQIALQHNPQNTEVSRKIKRLTQLAREKKRALDVENMRSNVDVAKNLDSLKTELAGKYGDAELGQSIFTFVVNVIESAIKVWHDTGKVDARVNFLLDDQKTDTEKHAPVVNIDKAFDSPHTHSSCFTFLRQYSEESFSKAACMVAPKSAISYPQVWKGQGSRKWKLDQSDGFFVQFESPILRKIWFVPSTTEKGRVLCRSPELLDISIHEVLPRIFKETA >KN539743.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539743.1:12703:14143:-1 gene:KN539743.1_FG010 transcript:KN539743.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARELVPHDLRAALSWAASLVRARVEPRPPERRTAIIRSIEGNGHGHAQCIESRFFVDAHAYLATKIDPRSMSRFFLGGGGGGRRGRNVLSMVPGDSMTDVFEGVEFRWTSVVAEGGGRFSESSLELSFDAEHTDMALGRYVPFIKEERKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANHLRFNLYDLDLSEVHSNSALQRLLIGMPNRSILVIEDIDCCFSARSREDGKERKTPAADCYGDGGGGYDEDEYYDEDEGNWRDDFSEKQSLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDAALLRPGRMDMHVYMGYCGWDAFKTLAHNYFLVGDHPLFPEIRELLAGVEATPAEVSEMLLRSEDADAALAGLVEFLEEKKKQAMCEAGK >KN539743.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539743.1:68626:72656:-1 gene:KN539743.1_FG011 transcript:KN539743.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKPERKLETLVMAYSFPNLTPEFTVPRQTKGGVLILLAGMLPDPQIALDALTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSTWMVTALSAIIAAIAGVVVILLRDKLSYIFTQGEAVSRAVSDLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLMGFKFDYGIKVLLILDIPQFDTHSIDFSVVESTGKGIVGRHDWRDAHPNTYFNMDHIQNRLEQGGTVTAFPCRAVENTNSSKGRRQQSIRQATINLVSSFDP >KN539743.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539743.1:36125:43001:-1 gene:KN539743.1_FG012 transcript:KN539743.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRRPPPRTSTPPASPVINQKHDLIESFGALISLIDTKRGMVGLGVRKEEIRVEVEDATYLVIRTELDDGGDGDGGRRSFARKFRLPAMVDADGISAEYTHGVLRVTVPRLHWIPEIGPVGGLAGGASPFRLISNGPAGWPNHNGPHRFGPTSSGGRERRGRGMEGGGSGGGGGGGEGSTSGARFPILQANRDPESNWEVDVAKSLEEYLLRICSGEINGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYTLVLNALEFLSQKKNERFNANYDHTRVLKDKRPILYLVSHVNRDSSNGCSYHNLPYCFIDPTTCCSFSNLAANCVKHLKHSRQDQENSSAQANESDPSTVPNEEDDVFSGLDDVPVEARTTLDNNIDRDDLLKKIVRPPANLLVFEGDCLDSAASELELYLLATCGFFGDFLLLDPCDAPAVSDFLQGKQSAKEDIFAGRGSSARSKSRTNVFCSPNGRSGGTGRRPTPGKVQEGNPDQTQESNPDQSQEMNANQTQEHIDDLNVNDDHWSDHPADHDFPDNDMPHPDDADAGLKSFARQVIGAPKRNIIASLFPMEKMDGASFKVHLSQQETHHVPEPPPLYEKLMRSLEHGEPESHLFGDLKDGHEPDIGVNDFDDIHEPDMPDDVCDMDVDMDIPTYPDKDRNPPFDIGSYGEQIIDTLSSRTENAGIASFSEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQTTNGLVCHTASNPFHVRLIGPNQRPEIEARFARKRVNSPPRNKSGGESSPAQQKSPKKHGHKNGKVPVKTSIKLTPDGKRRRRSVARIALICHVCKGDIGKYS >KN540338.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540338.1:31920:39525:-1 gene:KN540338.1_FG002 transcript:KN540338.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQTPLEHLEPVLREDIQKVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIAHEKFSCLTSDAEWMELESDVQSGPVPGFGKKLGYIVDVHMQEYDKEAIYFDEAVRTAKRQLLKSRVLNLVQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAAAVRDTTESNLNEFDQGCADAVIEQADWDYSKILEKVRRDVEDHTLSIREGKLSELTNHAKEKLRKALVEPVESLFDAAGPSTWASIRNLFKRETEAILPEFQKNLAGFEMESATSEGMVSKLRDYARSIVENKAKEEAGKVLMHMKERLTKSSIIRSPYRSISHTSDKVACHCQALKLLSVLAAIRWDEKSDKIEKILTSTLLDGSVTSKSKGASASSDPLASTTWEDVSPKYTLITPSQCKSLWKQFKAETEFAITQAVSTQQAHKRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLIKALAVQLDINREFQNGVVPGIISVTAKLIPTLQNILNKVATEQQQQQGHHQDAAAEAPQQQQQPQPQPQPQPPPLLLSPRSPMSELRRPLHMPFSPVRKAVSPSPSSSSSTVTSPRNAGEDQKPRQMGQLVVYTVQLEIGRYEHFVVLI >KN540338.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540338.1:26536:30066:1 gene:KN540338.1_FG003 transcript:KN540338.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDISLANTVCNRFRAEAIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAQLLKHPQLQPYVLQVQLKSSPTRNILPIHQSLTDKVKKMTFPSDVVDSARRRMARRNSLGNERTVTFSKPSPERNSVSSTRSIKEYTTTQSVKELSVDSTEAGDEVTSKAIITKTSSILRTPKSLPAKTYTARNQLEPPKTSYTRTYRSELPSKTTPNKIARPARRASLPLSTYETPTKRSISILEQLDSPDVSVNAPRIDRIAEFPLASSEDPLLPIHNKLSPGHGSCSTPPFINRSITKDKCTIQVLRTDGDNGSDSSGRNATAASSRGSNDSRQQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >KN540099.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540099.1:12110:26085:1 gene:KN540099.1_FG001 transcript:KN540099.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLPLCVRHLDPLPRKPSLPLSRAKISSPPDTNPPKKSPSTILPAPKIRGVESRPPGGFVNLINAPLNHMHHVAEGSPSQPINVENGDVARTEKRLSWTNDEDLRLAEGVEIVGRNGVGVVAEATILDLTGTFTGSPPPAMVNKGVRESRGGATASEVVWWWRRGSGGTGPAVDYGSRGDSGGVRRKKDSSGERRSEEAAGIGAGPVVDCSSSGDSGGARRRQGFAWRSHRAGGKSGGGESVGLVMISYSEKDKRMRLDEIADER >KN540099.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540099.1:60146:64643:-1 gene:KN540099.1_FG002 transcript:KN540099.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYRCRPENRRNKGWAYYSSLSCVNFTLFYLKSRPFLQRLEILEDAHDKKDELRAEDLELKLNEPFLNFMRLRLKPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFAWTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILTIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKYTNPHLTCLFTDAGEQGGSDWLNRIMFNEHFADDLWHMGLHDDAF >KN539841.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539841.1:58095:59327:1 gene:KN539841.1_FG001 transcript:KN539841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEALARFPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRFYKSGWTDFQPRVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDRNIKDMLCQYANPPINVKADFASVMDVLQNKLNGKI >KN539841.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539841.1:45466:48734:-1 gene:KN539841.1_FG002 transcript:KN539841.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFFFFFVVFHLAVLMATLLLANAPANRLSGNLPSSLGNLTVLEILDLDSNNLTGEIPPDLHNLKNIMYLELSRNELSGQIPHGMFNGTSQLVFLSLAYNKLTGSIPGAIGFLPNIQVLVLSGNQLSGPIPASLFNMSSLVRMYLSKNNLSGSIPNNESFNLPMLQRVNLNTNHLTGIVPQGFGACKNLREFILFSNGFTGGIPPWLASMPQLVIVSLGGNDLSGEIPASLGNLTGLTHLDFTRSNLHGKIPPELGQLTQLRWLNLEMNNLTGSIPASIRNMSMISILDISFNSLTGSVPRPIFGPALSELYIEENKLSGDVDFMADLSGCKSLKYLVMNTNYFTGSIPSSIGNLSSLQIFRAFKNQITGNIPDMTNKSNMLFMDLRNNRFTGEIPVSITEMKDLEMIDFSSNELVGTIPANIGKSNLFALGLAYNKLHGPIPDSISNLSRLQTLELSNNQLTSAVPMGLWGLQNIVGLDLAGNALTGSLPEVENLKATTFMNLSSNRFSGNLPASLGLFSTLTYLDLSYNSFSGTIPKSFANLSPLTTLNLSFNRLDGQIPNGGVFSNITLQSLRGNTALCGLPRLGFPHCKNDHPRQGKKSRLLKIVLIPSILATGIIAICLLFSIKFCTGKKLKGLPTTTSLESNNNHRAISYYELVRATNNFNSDHLLGAGSFGKVFKGNLDDEQIVAIKVLNMDMERATMSFDVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLLYSDRRCLGLMQRVFTGKKPTDAMFVGELSLREWVNRALPSRLADVVDPGISVYDDMVSSDDAQGESTGSRSSLAQLLDLGLQCTRDLPEDRVTMKDVTVKLQRIKEVLEA >KN539841.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539841.1:34776:38686:1 gene:KN539841.1_FG003 transcript:KN539841.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLQGLMKSGKERQVRKEGAGAAVRKSLHKKNGIRNGFIVPIGHGGSEGGGKREAVSTRYLLAQTFQLHFTKSTNISPKLLFQSVCAETEIFWISDGGHSIHIMSALDVEPPNSDNGGGDGERESTTIKLTAIEAIFTSEKVQDIVPISKDSVLILGQVPFCSHWFACTNPHIIFGISFDGFLAEDRVFQHSSQATATSEQVKPDYSQNTTTQLFLHTHFACQDNCSSGCDIWKKIRLQSYAQLSCCGTWIIIKWVGYEATSLVLHKEVDQFRDWTLSPWCPGVQRVFSFQVPGPEDFRNQ >KN539841.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539841.1:1056:6323:-1 gene:KN539841.1_FG004 transcript:KN539841.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKVRIELLHPPPIQWRKLVQFIRFAATDWGDEESRRGRRFGSVLYASIEIYFLDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLRDGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQGPEKGHSRTRELDSPDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQPPQSFLSTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEIPEPVSAEEDKPQIEEPAAAVPSTEVVPPPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIPTGGETSTSGTATLQDKGFDPTGWELALVTTPSTNTNSMAMDNNLVGFLTLSLRIFMGGGFDKLILDSLYDEGTYRQQMQQQQLYGSAAPNPFMASDPFAMSNQVAPPPSVQMASMAQQPQQMPMMMQPNPFGPPLQPQHAGIAQAPNPFLDAGFGPFPASNGMHPQANPFGTAQLL >KN539841.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539841.1:15583:29663:1 gene:KN539841.1_FG005 transcript:KN539841.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEADGARWPEPRGDAAGAPPLERGDAPSPRFDSSRALRLLRELGSNVTEDLVVLMPNLLSFLKHDDPAVVNQSIASGTNLFAAVLEEMTLQINKCGRVDAWLEEMWAWMKQFKDAVHNLIHESVPVATKLFAVKFIETWILCFAPQSKSDRMQPTEGRNRRLFDSSRLSQFHPSLNPAVLEADANRALILLVDILQSACAHQGSFLVGAINSLAAIAKNRPVYYERILPVLLGFDPSLEVAKGAHPASLRYSLKTAFLGFLRSPCQAMIESKDTLVRQLRVLSPGEATEQIIRQVEKMTRNIERASRASKDEPSTLDMPYGDVSRKYPAARSSDAFATADGVAKRARFDTSAALNPPFQGASDYSNMQVDNEANVDHSSDPALLNCDMSPVEKMIEMIGALLAEGERGAESLGILISTVEADVMADIVIETMKHLPETSILLATSNNGQQKKIQSSSSPLTENLPANSHSMPYSTQFALPADGVSMSMSDVPVVSGVHDSKRDPRRDPRRLDPRRTVAPAATSSIHVKGETTGVHQTNNLSNVPYPVSGKVENSLDYSGDLSKNEDVQQTSCQPNQSLPKENSEILDDALELEPKFEVQALADVGFHSSDVDKEMVNPLSPEATSNNELDSVELEVDPFSPVLKASTPEDTTNHDLPVLPSHLELSDDEKILLHKLAIRRIIDDYKKNSVNTRFSLLAHLIAQRHIIYHYHDQGHELAMHVLYQLHSVSVADSPESTAPASKNYENFFISLARSLIHSLPASDKSFSKFLCDAPYLPESMLKLLEDICVSQGNSQQTKDSDGDRVTQGLGTVWSLILARPPLRQDCLDIALKCAIHSQDEVRGKAVRLVTKKLYELTYASERVEQFAIDSLLAIANKHGVETDINFTSLKESSPEFEAGSQETSVSGSHISDAEPSESTCNKTDLVSPKQSAVSVSEAKRHTSLFFALCTKVVKPFSFFQRPILLQHLFNVYGRSPKVVKQVLLSTSKVLNFDYWITTTVCSLRRKIMQCIHWHIPNLILQTLTEDSTPSAELVAAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDRVALKKVTDACTACFEQRTVDNVPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVILQLPPPQLEIALNKYPNLRTPLCSFVNQRNMHSILPRQILKVLGFINEPHQAPIPFVPAAMQTADATSSLPGATLM >KN539841.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539841.1:53052:55275:1 gene:KN539841.1_FG006 transcript:KN539841.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRIPCPLLSSARARARCLPVPASGTACRAASSSAAAAAGDGGALKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESMYDDLDLPFAKLRLLPKGIGRPPGKMDPANFVLRPFNRKEQEELDFAFHRGLEAVRIMALEGFNKSATYVNTTQSSEMLNR >KN539841.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539841.1:9932:10081:-1 gene:KN539841.1_FG007 transcript:KN539841.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVARSHGAKKRRGASQIGGGCRVARGNEARLRIIFRCVALLVCYRD >KN539841.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539841.1:40834:44286:-1 gene:KN539841.1_FG008 transcript:KN539841.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCVSMVLLILLVPCITAQSALTSPSNNTDLAALLDFKAQVKDPNGILASNWTASAPFCSWIGVSCDSSGKWVTGLEFEDMALEGTISPQIGNLSFLSSLVLSNTSLTGPVPTELGRLPRLQTLVLSYNSLSGTIPSILGNLTRLESLHLKSNKFFGGIPQELANLNNLQILRLSDNDLSGPIPQGLFNNTPNLSMIRLGSNRLTGAIPGSVGSLSKLEMLVLENNLLSGSIPAAIFNMSYLQAIAVTRNNLRGPIPGNESFHLPMLEVFSLSENWFDGPIPSGLSKCQNLDAISLAVNNFTGSVPSWLATMPNLTAIYLSTNELTGKIPVELSNHTGLLALDLSENKLEGEIPPEFGQLRNLRYISFANNQITGTIPESIGNLSNLTTIDLFGNGLTGSVPMSFGNLRNLRRIFVDGNQLSGNLEFLAALSNCSNLNTIGMSYNAFEGSLLPYVGNLSTLMEIFVADNNRITGSIPSTLAKLTNLLMLSLSGNQLSGMIPTQITSMNNLQELNLSNNTLSGTIPVEISGLTSLVKLHLANNQLVGPIPSTIGSLNQLQVVVLSQNSLSSTIPISLWHLQKLIELDLSHNSLSGSLPADIGKLTAITKMDLSRNQLSGDIPFSFGELQMMIYMNLSNNLLQGSIPDSVGKLLSIEELDLSSNVLSGVIPKSLANLTYLANLNLSFNRLEGQIPEGGEFSNITVKSLMGNKALCGLLSQGIESCQSKTHSRSIQRLLKFILPAVVAFFILAFCLCMLVRRKMNKQGKMPLSSDADLLNYQLISYHELVRATRNFSDDNLLGSGSFGKVFKGQLDDESIVAIKVLNMQQEVASKSFDTECRALRMARHRNLVRIVSTCSNLDFKALVLEFMPNGSLDNQLYSNDGLHLSFLQRLSIMLDVAMAMEYLHHHHFEDVLHFDLKPSNILLDNDMVAHVADFGISKLLFGDDNSITLTSMPGTVGYMAPEFGSTGKASRRSDVYSYGIVLLEVFTRKKPTDPMFVSELTFRQWINQAFPYELSNVTDCSLQQDGHTGTEDLSKLSEDSIIVNTCLASIIELGLLCSRDAPDDRVPMNEVVIRLNKIKSNYYSLCGSNGAHLVKYPEIPSLVHLETNQNGSEEKNL >AMDW01036771.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036771.1:19:370:1 gene:AMDW01036771.1_FG001 transcript:AMDW01036771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIK >AMDW01026339.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026339.1:3:287:1 gene:AMDW01026339.1_FG001 transcript:AMDW01026339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKIGDLGLAAVLRGCTSARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYRLTDADARRFIG >AMDW01028522.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028522.1:83:343:-1 gene:AMDW01028522.1_FG001 transcript:AMDW01028522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHPQTSVEDQAELSTLLEVPLAAGSINRGSEVVAAGMAVNDWAAFCGADTTATELSVVESVFRLRDGRPGALGADMSRSLVVSSYL >AMDW01036247.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036247.1:134:450:-1 gene:AMDW01036247.1_FG001 transcript:AMDW01036247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQ >AMDW01009353.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009353.1:30:206:-1 gene:AMDW01009353.1_FG001 transcript:AMDW01009353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACRMDEYLSWICRKLLRVATSLNGLLRMAASGAAAVIAAAPSVAATLSEMVARKLSMD >KN539354.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539354.1:47207:52105:1 gene:KN539354.1_FG001 transcript:KN539354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELVTPSQSNSSCFQQHKGGLVLDGYSTCLQLHMALFYWIEELEFECSVGIASRVDDHFSVDGFFSLCYEYTFLKHKDQKALYSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKESYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQETQQKLQQRDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFLKQFHDSVSKSDYKALRSAFGLKHFPSHPSFNFYKYLIRALEHDFKRVVGISWYMWLFVILFLLLNINVHALFEHYHWWLVNNMDSESGISSLMEQGWHTYFWLAFLPLFCAHPSDLQLRHSTTIRHRYTCRLQK >KN539354.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539354.1:15855:16965:1 gene:KN539354.1_FG002 transcript:KN539354.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRRVFSKSPCSSAGGGGGGRGCHNCNCAVGVGFGFRFSTRKSEDLSVHQSVTGDEANERGSADHKRRWSSLRLYLCGDEISTVAEDENDDDDDGTVSVKSFETCAMPQELQAAATVARPANGVDGAADADGHPEEHGSMSISVKDIAPPTAATDSQVEAATMIQSAFRGFMARRQLQKLKCSENGCCTTDEPRSPTTASIAASVEVQVGESLSNLRLSDDSAAAAATSAQHRSSQRSRPQAFRVKVLYTDQEPVRNAM >KN539354.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539354.1:86171:90798:-1 gene:KN539354.1_FG003 transcript:KN539354.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRKEPETAAAEEDPSDWIKAVASVFRMLGEMREREKREEEELREERELAAWVAATRAESYARKLTKKSPIKSTLEKLKAALPLAPMSAKRKEPETAAAEEDPSDWIKAVASVFRMLGEMREREKREEEELREERELAAWVAATRAESYASFLP >KN539354.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539354.1:100733:102074:-1 gene:KN539354.1_FG004 transcript:KN539354.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVELGAPPSPEPLSPLRELIAIPTVVYITDENPEFAALADQAYARFPFLFVTMILACMISSPGAVAKTLEVNMARWLERWTPSSSILDQNHRFFNYECYIHLCSVLASLQEIFSDKCTAV >KN539354.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539354.1:9414:10904:1 gene:KN539354.1_FG005 transcript:KN539354.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MPRRALASLRDMLAGADDDVSPNAHALSAAVKACAVLRDRKAGACLHGSVLVRGFADDGVLLSSLVDMYGHVAAPGDARKVFEEMRAPDGICYTSLISAFVRNDWFEEAVRWFRSMLMMNGVRPDGCTFGSMMTALGNLKRGSQGRQAHAQVVTRGLCGNVIVESSTLDMYAKCGLMVEARKVFDRMQVRNEVSRCALLGGYCQNGEYEKVIALFREMDKEDGDWYSLGTVLRACAGLSSVKPGKEIHCQFLRMAGWRDVVVESALVDLYAKCGAVDYAYSVFEASTVRNTITWNAMIGGFAQNGHGERAINLFNRMVREGPRPDYISFIGVLFACSHTGMVEQGRNYFNSMCKDYGIAPGIEHYNCMVDLFSRVELLEEAEDLINKSPFRNDSSLWADILGASATHSNPDVAERVSKKMMELEPQYHLSYILLENVYRTVGRWEDALEIRRLMESRKVKKEPGMSWVDANRSKLHVCDSNEKVSELVTSMEMDIS >KN539354.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539354.1:91479:91739:1 gene:KN539354.1_FG006 transcript:KN539354.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRKALNDWYELEATLDNDEEDGAAAAPSEQDTPPVAVELAHATTTKTVPELDEDPSQTANQTPAASLANDDGAPDHQDSAGVE >KN541947.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541947.1:625:2611:1 gene:KN541947.1_FG001 transcript:KN541947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDHTTLRKIEIKVTSYVTVLDGRKEYKRGSIVGLDVDPEEYSIIEMERDMSAYYEWGSYQEASFWYNKNGQTVKLTSDVELLGLLRSTRILKFGMIVDMSEHINADVQQSQVIDESQVVDASDDMVEYENALADIFNGNDADELSNEPIFGVSAAGPPRVEEEEKEHYMEVGVDPDGDEPTGANEEWRYFKKGKKVLDEQIFKGNEVRQEDQEMSKNHQICHDQEMSKNQQIHQDHGTNNVPGDEVVLDTAIVPHTSYDRDDPAIKAGCTFVDKSAFVLTIRQHAIKNEFETNIKHSNKDRYRAKCADPDCKWVVYAKRVLGDVMFMIVNIGPAHTCASTSRMQGKEASTAKKKAAEPKQNFSDAASKNANPHSRKRKKVSVTEIASSPATKRANIKRPAIKRSAATSPTEGITTAPITKQTPTKKIATKRSLVS >KN539354.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539354.1:5661:6467:1 gene:KN539354.1_FG007 transcript:KN539354.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQHVVPAAAVHDDNKCEVHDMQGTKVAEEEGAPLAVAEEELHNNGGPNSKVQEIVVTKEQEATAITVVVDDTADDGGGHGIAHEVEAKLAVVETPPAAADAKEAEPEEEEGGGGRRRVQAKKTTEKAASKAAIVPVNDDDDDQAHEEVAVAAPVAAEHQETVEAAAAAAGEEEDACEKSKVHEE >KN539354.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539354.1:27216:30137:-1 gene:KN539354.1_FG008 transcript:KN539354.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASNSRARLLQQLANAKSELSRLLCALGELSISGIPDKTTGTIKEQLEAISPFLEKLCREKDKRVREFAGVQLQIQTIRGEIAGSLQVGDHMETPRVNEDDLSTKKLNEFLSELQALQKEKSNRLHKILDFVSSVHDLCSVLGMDFLSTVTEVHPSLNDSVGAESKSISDATLSKLSKMVIQLKEEKSKRLERIQALASQLTDLWNLMDTSADERQLFDHVTCNISSTLDEVTAPGALDIDLIEQAELEVERLDQLKASKMKDIAFKRQTELEDIYAQAHITIDTSAARDRILTVIDSSIFEPSELLADMENQILKAKEEALSRKDILEKVERWMSACEEESWLEDYSQDDNRYSATRGAHLNLKRAEKARLLVSKIPVIVDTLMAKTRAWEQEHGMPFSYDGVHLLAMLDEYKVLRQQKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKAPGPRANGGAVNGTPNRRLSAHQNGGGRSVSRDGRRDSGRPAAPVNYVAICKEESSNNNPAASSP >KN539354.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539354.1:110700:112664:-1 gene:KN539354.1_FG009 transcript:KN539354.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVGEEDARQLSPIRELIGVPSVVYLASEDPSFAAVAAQAYADSPFLSMTLAIKAAIRKRQDLMEVSAESRALQSVIAQEIRDCNTRLAGADRREVSLLVYRRSACESSLEFHESNELYALRELEKLDQMIDNYYNALVSLSGHIMTNE >KN539354.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539354.1:38573:39585:-1 gene:KN539354.1_FG010 transcript:KN539354.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARCAGHGGGGGFLLRRRLLAAAAFLAAFGLCAAAADDAATGRGRGHDHVMLSVEDMFPDSSSSSSPPSCDAPPRVHNKRKETAMNLN >KN543485.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543485.1:4913:5372:-1 gene:KN543485.1_FG001 transcript:KN543485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVILIFSSSFLQAAQGSDKKMAMKYDVPVKRLVYRPSAMQAAVIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSQQQP >KN541009.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541009.1:5145:8051:1 gene:KN541009.1_FG001 transcript:KN541009.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVPASLATAPLQALWLNNQIGENQFNGSISFVSNMTSLQELWLHSNDFTGPLPDFSGLASLSDLELRDNQLTGPVPDSLLKLGSLTKVTLTNNLLQGPTPKFADKVKADVVPTTERFCLSTPGQPCDPRVSLLLEVAAGFQYPAKLADNWKGNDPCDGYIGVGCDAGNITVLNFARMGFSGSISPAIGKITTLQKLILADNNITGTVPKEVAALPALTEVDLSNNNLYGKLPTFAAKNVLVKANGNPNIGKDAPAPSGSGGSGSSNAPDGGNGSDGSNGSPSSSSAGIIAGSVVGAIAGVGLLAALGFYCYKRKQKPFGRVQSPHAMVVHPRHSGSDPDMVKITVAGGNVNGGAAASETYSQASSGPRDIHVVETGNMVISIQVLRNVTNNFSDENVLGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWKEHSLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLSKETFQKAIDPTIDLTEETLASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFAESFTSADGR >KN541009.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541009.1:28801:32244:1 gene:KN541009.1_FG002 transcript:KN541009.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRHVAPFPFLSPTSPLLSAPIPFSATFVIAQSTTYPHATPTYQATTLPPTPMAHLCGPHIIIARRAPWVGYRRCTRHARGVGPTGWSHHWVSKRKYHFIIPAPADWDRQLGADVILGRTDHLLHGLIHSNGFGHLVMLRGRDGGSTALSGRDIMDIWDRLCSALRARAVSVVDFSQKRSLDLRLLLSVAHGDTWFTRWGYCLARGCFSVSTSTYAASVEALAALPVDYLRSRHVRRVVTIYRRLSNKPLVTVREFLRCLLDWKHLEPPLQLPPVKTCTRLQFMLPKPSVMKRHRQQPCQRFEDVIDLLQCRWSKKRLLDAAEVVVDRLLEHGSGAEMTRQAVRDAARGAIGDTGLLDFVIKSLGDTIVGNCIVRRVPDAETRVLHFSLEEYEEPTPALLDVEVECTPLPPVVRWPSTVEVERDLRAVYRAMVEVRSEAAQAVLDCKHWVKWWGLGDESDDQLRFFVEWQPQPWEAAELIRPMPLGEIVVVPLHASMGELLVESEHALRDTYYFFEEFQAEGLHGIAGEKWDPVMLGGAENGDTISVYGNGADMETELRCHGGLDLWEVRCVCGAQDDDGERMIACDACDVWHHTRCVGISDSEPVPPLFLCVLCGGALMAAGPINS >KN541009.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541009.1:17955:20857:1 gene:KN541009.1_FG003 transcript:KN541009.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQPSALNVFSGSPVITKSAYQVSISAYTSTSDDISALSCLGAEIFANDSWFSILVFGKKKVEPVVKDAAVPGETSSISTLFAKKNVGAVLMDKEAPWKASPVAIESQYSRTGDPDCS >AMDW01037643.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037643.1:43:664:1 gene:AMDW01037643.1_FG001 transcript:AMDW01037643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESDWVP >AMDW01040880.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040880.1:341:1842:-1 gene:AMDW01040880.1_FG001 transcript:AMDW01040880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSHHVGSRSEKDCIARFTRLPFGEQFMGPKEDKIQFGNDCDLNEESGSHISKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGQAAISAQSQVDISASETDSSINISKEEESSCTNGLSANDLLKEASANAQVQLEKERKAIEQSLSDIVGVQMKEIQDKIRRFEQKELLMEKERKQLHCLKELLFSDQLAVVQHQRRPPAVTTESKDDEKPKPVISIS >AMDW01043690.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01043690.1:374:463:1 gene:AMDW01043690.1_FG001 transcript:AMDW01043690.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFTDLHTADGLKALEQHLSGKTYVSG >AMDW01040808.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040808.1:256:2404:-1 gene:AMDW01040808.1_FG001 transcript:AMDW01040808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISGSIPSDFGNLVGLRSLYLFSTDISGVIPESIGKLENLTTLYLNNNSLSGHVPSSVGNLTNLMKLFMQGNNLEGPIPANLGKLESLNVLDLSRNHFNGSIPKEILELPSISQYLNLSYNSLSGPLPSEVGSLTSLNELVLSGNQLSGQIPSSIKNCIVLTVLLLDSNSFQGTIPVFLEDIKGLRVLNLTMNKFSGVIPDALGSIHNLQELYLAYNNLSGPIPAVLQNLTSLSMLDLSFNDLQGEVPKEGIFKNLSYLSLAGNSELCGGISHLNLPPCSTHAVRKRSKGWLRSLKIALASIAVVLFLALVMVFNLEQSGSTRSFVAECEALRSVRHRCLLKIITCCSSINNQGQDFKALVFEFMPNGSLNGWLHPKSDMPIVDNTLSLTQRLDIAVDIVDALEYLHIHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILTESASKTQQNSSNTIGIRGSIGYVAPEYGEGSAVSTLGDVYSLGILLLEMFTGMSPTDDMFRDSLDLHSFSEAAHPDRILEIADPTLWVHVDAEDSITRSRMQECLISVIGLGLSCSKHQPKERMPIQDAALKMHAIRDDAYLMFSGSLSVDMEEETKQISSDLKQQ >KN540600.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540600.1:22621:24254:-1 gene:KN540600.1_FG001 transcript:KN540600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPWFYLNADVLRLVHKRLPCLVDRRRMARVCHNWRVAVAPQPQPPPGTRPLPSILVRREYRTSFACALAGCATHGFGHPLPAYARAARYFGAYDGGWVFVCFYRTLDYALLSLRGDEQLPLADVETLTDVVAATLSSPPDDEHCLAAAIGHSCVMYDPRVHVFWRMEHQVLEDVHEEKATAVESVTTSVLEDVIHHKKAFHFLTREENLHVFSIAPMVFRRFSRGGRDYGGAFAVRYLVESGESLLMVVRLVPHPPLFPPTTWAFKVFEMVEPPLGTPINNDEAPYAWKELESLGGRMLFVARGCSRSYDAIKYPGDEFKEGVYFLDDGRLYDEASQFVNPVPQYPCSDNGKLILLFPRRKSLNQHGIHSDSYAESA >KN542514.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542514.1:6105:7157:-1 gene:KN542514.1_FG001 transcript:KN542514.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSGDSNGGTSSKRSQTDVASQQNGEPLDGFMEDEVAKRFNLENNSFVIGGKDIPMNLSYVENIMGLPIFGAKIEVCRDSPISNDLLHAYKPPGENCITFKSLDISILSSKVLDDHFKWQFVLFTIG >KN542449.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542449.1:8660:14694:1 gene:KN542449.1_FG001 transcript:KN542449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFVPTLGRMVAGVAKERLDMVLGVSGEMKRLEATLKDLGNVLGDAERKRFADTAAGTADAVRSSRHGPRSPRTTGCCVPLLTCFRNPALAHAIAGQVKELNQRLESISKRSAMFEFVRASSSSSHRHNLADWPKTSPVMVHGDLVGEKIEEDANALVEALTSDDRRDNVLVLAITGAGGIGKTTLAKRVFDDQRVRDEFERRVWVCVSQDVNEADLLRSVIGGAGADERDDYASQDRSSLEPALQRALSRKKVLLVMDDVWSDGAWNAVLRDAFRSAAGGGSRVLVTTRNEMVAMRMKAFYTHRVEKLQPEDGWLLLKTQVVLGEDQNDIENLKEIGMEIVTRCDCLPLAIKTVGGLLCTKNRTPRDWEEISKSAGWSMAGLPDEVHRAIYLSYADLPPHLKQCFIHCSLFPRDEVITRVDVVQIWIAEGFVQGDGGSMTLEDVGNQYYKELVMRNLLEPNSQYYDQSGCTMHDLLRSFANHLAKDEALVLGQGQNLCNINTRTKLRRLSIASEDVQLSVLKDQKQLRALMLFRRIKVELDTVLHHLPRLRVLHLGGVNLTSLSPSLCNLKHLRYLELSGTMVAVIPDSIGDLKYLQYIGLINCTNVTDLPGSIVNLHNLRALHLKGTNVNEIPRGLGRLENLVELTGFLTHADHDTAWNSLQELGDMAQLSLLYVNNLENACGRSVAKKAKLQSKQHLRYLSFECTARTSGGNYQVGEITEEKHQIEDVFDDLCPPPCLEYLSLVHFFGRRFPNWMSSGTSVLKSLRSLKIEDCTCCEQVPALGHLPSLDFLLIKHAPAILRIGHEFLCSSNAVQMNNKNSLFPRLEKLGFDGLDGWEEWIWEKELEQAMPKIWSLKIIKCKLKSLPPGLVHQTRALKELYVSEAQNLVAIRNFVFLNELHVYANPSLKILANLPKLRRLVIIQCPKLNILEGLTGLQSITLQDYDTEIFPHYLEEANVIKLEVFCNIELLKLASIQAGLEWCKFVHYDFSIVLSCLQELRRIQHHVAWMGQLFWRWQNGGLSFTTLDMESRQSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTRRDITKQFEDKGNKLEIKMKALEGQTDKLDKSLAELRDMGFVSKKEFDEIVEQLKKKKGLDGTVGDISLDDIRLFAKEIVEMEIERHAADGLGMVDYALASGGGKVVKHSEAFRKAKSFMSSRNSLLEPAKKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVSLEHVDKSVAYDRSSAPKDFQVSGWYEGPEDDSDKESRVVTNLGEFSYDLEKNNAQTFQLETADSRVVNMVRLDFSSNHGNSELTCIYRFRVHGREPGSP >KN540600.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540600.1:13539:14399:-1 gene:KN540600.1_FG002 transcript:KN540600.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELGRPVPYIGMVMLAATLSSPPEDEDCVGAAIITYGPYEAGRRTHAFWRVQSAKAFPDQAAAMGHGPDAIDEPALEDVIHHKGAFLFLTTEEDLHVFAVRDFHEDGNGNMKMAPRAIRRFSRGCSRSYDAGDYPGDEFGEGVYFLDDGRLYRESAVFTARRRRRRYPCRDTGKWLPAADAAGVPRVDKFLPEQGPSDYSPPGWLLP >KN540600.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540600.1:25458:27006:-1 gene:KN540600.1_FG003 transcript:KN540600.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPWRDLDADVLGLILKRLLCLVDRRRMARVCRNWRVAVKPEQPRPGTRPLPSILVPRADVPSFACALAGCATHAFHPPLPADARTARYFGAYDGGWVFVAIYRTMDYALFSLRTNERFPLADIDMLTDILAATLSSPPDDERCLAAAIINTSFSLMDDPRVHVFWSMEHQVEEKATAVEFVTTSALEDVIHHKKAFHFLTREENLHVFPVAGFHEDDDGNLEIPPMVVRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPQLPPRTWAFKVFEMVHETPINNDGAPYAWKELESLGGRMLFVARGCSRSYDADKYPGAEFNEGVYFLDDGRLYDEAFQILNPFAQFPCSDNGKLILLFPRRKSLNQHGIHSDSYAESA >KN542514.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542514.1:14845:15078:1 gene:KN542514.1_FG002 transcript:KN542514.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVPSSCASADAAPSTTPTIKLLIAKEAQVVLFAEAGKDVVDFLVGLLAMPVGAVVKLLAGENALGGVANVYAS >KN540600.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540600.1:33430:33696:-1 gene:KN540600.1_FG004 transcript:KN540600.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAPCGVALGAGGGYEIEGSYLRRSCAVYDERRRAVVAEVQAKEAVGTDVFRLVVGAGMEVSVAMAVVLALEQMFGKPSLLRSWSS >KN540600.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540600.1:3988:5020:1 gene:KN540600.1_FG005 transcript:KN540600.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELGGAPLLHEPPPPPQTPPRRRRTGVPVPILHGDNCNIDQYYFWLPLVDAEGVHRGDLCSPDVRGIAEAVRDGARVVYADVVDGLGGGRVVARIRGQLVLLEVAPLAAYAGGDGGGDDDGDGDGLQMYVPKLSAENCEHFFWVPLVDEIGTLGGHVPSSALGGIADVVRGGSRVVYARIGGERPIPLLAGGRVLLLVGGHLVSLNVVALGLGVAALARDREDDHGVAAVRRQDGGGGGDLADGGDEDADAVAIVRCVEAVLEEEAFLINAEGRLLRIGRPML >KN540600.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540600.1:28455:29928:1 gene:KN540600.1_FG006 transcript:KN540600.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELGRPDGPVPNIGVIMLAATLSSPPEDEDCVAAAIITYWPFQAARRTHAFWRVQSANASPDQVAAMGHGPSAIDEPALEDVIHHKGAFLFLTAEEDLHVFTARDFHEDGDGNMKMAPRVILRFWRGRRDYGGDITTTDGAEFTFCATIYY >AMDW01037693.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037693.1:272:490:1 gene:AMDW01037693.1_FG001 transcript:AMDW01037693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQNANQGFRHMPNRRNGVANPAMLHQHHRFSSPMQPMQQAVKHVVPVGELQAPINNLQTSLASANPEQQRE >KN540600.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540600.1:643:2810:1 gene:KN540600.1_FG007 transcript:KN540600.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGNLPVWYIQIAAAAYSELRYRESMEVLLRGEEIEGFLVVYDILQKWSEDALASSRHHRDLTPHVPERVHACTIHPGPVRADGGADAVLVFRRRGEGRGYHDHVPDDARIQVEADDSGDADAVLGAEVEEAVPAVAAGVAEVEEEPAAAPSGCVTEEMLIAEHHLISTSDQINTMPIICVTEEMLITWDLKKYLFD >KN540600.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540600.1:17438:18583:1 gene:KN540600.1_FG008 transcript:KN540600.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPWRDLDADVLGLILKRLLCLVDRRRMARVCRNWRVAVKPEQPRPGTRPLPSILVPRADVPSFACALAGCATHAFHPPLPADARTARYFGAYDGGWVFVAFGRILEYALLSLRNGTRFHVPDMSMGMVAATLSSPPGDERCLGAAISHSCMMDNPRIHAFGILRHRVAEEATHDPAEFVTPSVLEDVIHHNKAFKFLTREENLHVFPVAGFHEDVNGNLEIPPVEVHRFSRGGRDYGGAVAVRYLVESGGNLLMVVRLVTDPLLMPTRTSAFKVFEMVEPPTGTPIHERSPHSLGRSWNRWVGGCYSSREAAPDRTARTTIRTLSSTRASTSWMMGDSTVRGCRSWLPIPQNTPAETTASARRRRRLPAWTSSCRSKAR >KN540600.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540600.1:44110:46958:-1 gene:KN540600.1_FG009 transcript:KN540600.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding AGVILGPSVMGQVSTWAVKVFPERSLLTLETVAHLGLLYFLFLVGLEMDVNTIRRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLIAGVAFVLACFYVVRPLMWWIVRRVPEGEPIGDVHITLILTGVMVAGVCTDAIGIHSVFGAFVYGLVMPSGPLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTKVRDPITVGLLVLVFVMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNPGGGGASDHIFNAFESYEEMVGGVSVQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILASAPCSVGILVDRGLSAAAARMAALHRVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFVPPDYRVRSYSNTNYRSVASDADPRSIGMDTEGKTELQMDEEYLGDFRTRNIGNDAISYSDKVVANSEETVLN >KN540600.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540600.1:21000:21347:1 gene:KN540600.1_FG010 transcript:KN540600.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPLGTPINNDEAPYAWNELESLGGRMLFVARGCSRSYDANNKPGSEFSEGVYFLDDGRLYDEELMLDEPDFREYPCRDTGKWLPAAEANPRVVEFLPEQAPSSYSPPAWLLP >KN543343.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543343.1:6524:7894:-1 gene:KN543343.1_FG001 transcript:KN543343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKTVMFVGDSLGRNQWESLICLLHAAAPQSPAQLVSVDPLYTYKFLEYGLVVSFYRAPYLVDIGVAQGKKVLWLDDISENGEAWRGADVLSFNSGHWWDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSKNCYGETAPAAAAPAAGLNATTAQATSGQDQVIQATLRSMKSPVRLLDISALSALRKDAHPSVYSGDLSPAQRANPGGGSADCSHWCLPGLPDTWNQLFYALLFYQ >AMDW01022796.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022796.1:70:216:-1 gene:AMDW01022796.1_FG001 transcript:AMDW01022796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRSIEKSITRGSKVAEVQITTLIELLMRLAVKLDSIHAEGDSSSQKNIQ >KN544806.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544806.1:1154:2536:1 gene:KN544806.1_FG001 transcript:KN544806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNTVTCTLFRDEGYNDLTASLQQTPLFREVYIKADLVQKKKVRVRCKRTEKGNLQVCNKRQRTEKGNLQICNKHIKADLVQKKKIIVSEQ >KN538938.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538938.1:82280:84316:-1 gene:KN538938.1_FG001 transcript:KN538938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGLPPGLKFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHGRGDEAFFFADARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITAPADLASSTMRLYRIRFSGHGKKRKREPESQSAHHDDGRARCAPQIAMPETALLEDSAPPPQPVLPPAAVVNSVSDGAVPPPAPVVNCDSDVTDQYSSLVFSDQPGSIYEDELQSFVPEFAARNLFVSLPQGSHEAEADVVGGALPAQSMSSFADVGGPENMDDQSCSGVVFANLSDLIVLPPVEASGAAPAPSWASSLDNQNDEAPVFFEFPESMDDIVGCFDFATMDDPSCTSAISEEPFLPPAAMVNHDDGYASDNADQGCSGAVPLPSAVVDLPNETDGADQSCSGVVDDSSMVFANIHPLDSPAEGGHEAEAGAGGGRAAPAPSWVSSLDNQNDEAPMFFELPESLDDMVSCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGAVPPPSAVVDLPDDSDGADQSCSGMVDDSLPGYYEAELKVPLEYAARNPVDSPSKGGHDHEAEVDASGGAGSMMSSPDKEKEHSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELFDLWS >KN538938.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538938.1:185751:187922:1 gene:KN538938.1_FG002 transcript:KN538938.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHRLRGAVVLMVAAAAIMAMAMSAVRGDFAADRAECADKLVALSTCLTFVQDGAAAPTPDCCSGLKAVLAASRKCLCVLIKDRDDPNLGLKINVTKALSLPQLCNAPANISDCPRLLNLPPNSKDAQIFEQFAKQQAAMQGSPSASPGQFP >KN538938.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538938.1:49361:51274:1 gene:KN538938.1_FG003 transcript:KN538938.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPCAAAAPGSGFGAVDGVLPPELLLEVLLRLPAKPICRLRAVCRSWLSFTTDRLFLAAYAAVHPHPLLAVLVDSFPSRCCVDLVDLSGNVVEEILGVGGECRVLTASYDRVLVAGEHHRVSVLDPATGSVSALPFGIAEDIARRNGMRPAWFAFGQTNSTGEYKLFRILEDLEDGYEADPVCEVFAIGDMNGQWRKMESPPGYLDPSCTNGVVFEGAAYFFLDHWQMDPSYYFATGCIPSFDLATEQWSTALQGPVNRILEEANGTLNYADLTDRLMLAQLEGTLCTAHFNDRISAVDLWFLVDFENGVWSKEYRINIEFAFDGFGDGVQPLLVTDEGNVLIAKSLLNAVYKIISFLQKWKKCKQKRSKTDVRTAVIAYWRI >KN538938.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538938.1:20203:22559:1 gene:KN538938.1_FG004 transcript:KN538938.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGQPVNMQKEQVNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFSKCIEKSARKAVPVTLVHTAF >KN538938.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538938.1:116479:118568:-1 gene:KN538938.1_FG005 transcript:KN538938.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIKVTKSWEAILSRLPDNKCNSRIIVTTRIEHVARACSSASLEEEYYIHRVKPLQFEDAKKLFINAVFGPQQDCPEHLKDIMHKILTRCSGLPLAVVCIGRLLAGYRSPEGAVEMWTRVCNSTGSLMENNPTLDGMRHIITLSYNHLPHHLRACMMYLSLFPEDYVVDKRRLLYRWIAEGLVSEQRGLTPMEVAESYFAELVNRHMIQPSCTETLGTLMGCRVHDMMLDIIVCKALESNFVSFVGGQCRDPSYGSVRRLAIQSDDLGSSIENTNLRHVRSLTTFHPQGHRKLLDCLAEFTLLRVLDLQDCKDLQNKHMKHVCQLFLLRFLSLNGTDITKLPSQINKLQHLQALWLIGTLLVKVPESLVDLEKLEHLGFKNKHDRTILLRLPRHIRKMKALQSLYRFEFREDDAQLAEEIGDLVQLRVLNVVLNCSNCSEAKVLTELAKSMGRCSQNLCKLFLEDMHFNANNMNFLLELPSPPKFLRLPNLSKIILGKRCCSEDKLVASGAFKFPQLKELILGPNDGKPRVFGFEEGAIPKLETLEMNFHKEDMILDGVQHLTSLKEVRLRGWKHNSALHRAVDQLKAHSMSRHRSEQLKIIVTYF >KN538938.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538938.1:8118:12900:1 gene:KN538938.1_FG006 transcript:KN538938.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MWPACQDAKRTVDARGGRVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNIGEGVGFGDGFVEVLAATQTTGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDKGADISVACVPVDESRASDFGLMKTDKNGRITDFLEKPKDESLKSMQLDMGTFGLRPEVADTCKYMASMGIYVFRTDILLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECSVDRSVIGVRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTIIRNCIIDKNARIGKNVMIMNSQNVQEAERPLEGFYIRSGITVVLKNAVIPDGTVI >KN538938.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538938.1:132508:134427:-1 gene:KN538938.1_FG007 transcript:KN538938.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMPDNKCSSRIIVTTRIEHVARACSSASLEEEYYIHRVKPLQFQDAKKLFINAVFGPQQYCPEHLVEIMHKILTRCNGLPLAIVCIGRLLAGYRSSEGIEIWTRVSNSISSEMENNPTLEGMRQIITLSYNHLPHHLRACMMYLSIFPEDYTIGKNRLLYRWIAEGLVSEQRGLTIMEVAEAYFDELVSRNMIQPPRVEPYGRTVSCQVHDMMLDIVISKALESNFVSLVDGQCQGTSYGRVRRLSIQSDDIGSAIDYTKFSHIRSLTTFRPKGHRKLLDKLAKFTLLRVLDLQDCKDLQNHHMKHVCQLFLLRFLGLSGTDITELPSQINKLRHLQTLWLFNTLLDKVPESLVDLEKLERVGFSNRCNSKILLRLPRQIRKMKALQRIYSFELREDDTQLAKEIGDLAQLRVLGVILNCSNCSHKQVLTELAKSIDRCSLHELFLDDMNFQANNMNFLLELPSPPKSLRVLYIRGIIDRIPGWVQSLTHLILIELWWINLHSNEIYGVLYKLPSLSKIILGRRCCSDDKLVASTAFKFPLLRELFLFPDEGTPRVFGFEKGAMPKLETLVMNFHGEGSILDGIKHLKSLKEVRLYGWKNYNSQRSVVDQLKAESLSRQKLHQFKVIVTYNDLVSKL >KN538938.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538938.1:111108:112591:1 gene:KN538938.1_FG008 transcript:KN538938.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLKLETGNTIINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQKDNSDNLGEVESILSDVIGVSQASLLARRVTVEVSDCIIRRGGRLAGAGIVGIPEKMEDDSRGHIFRRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNRIAIEHTKDGSGIGAALLAAANSKYAATQISTR >KN538938.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538938.1:90278:93773:-1 gene:KN538938.1_FG009 transcript:KN538938.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGSAPGSSSSSNLMRTFGPREVEDQFHIYRLKDEIAAVVATIDKIYSHLQLDKGSLEKKNGFCFGLLDPITNILINTAISELSPATAAQAEVGREEEKAKDLNNNAAPQVEGGGGSRKRRRTGDNAADLNQRSLDGLTAFLTCLFPYLPDAEARLYLDAADADPIVASLLIIRRRGIREFDLSSQPTEAAVEVALRCAAVAAKHPDPRSLVLGWKQLSPVVEALFGSAPPSPRETTTMHGDVARRVLRRLQNDNAAADPVLRLEGSWELAKRRLTRARLMGIYAGPKWLPPARAHMKRVLLATIHGFYLQAMGRLPTSELCDSLHRSMLMGGHCYGPLDPVSNIIVNTIWYEHNFPAASKQFPVAMISTTMLSCIVARSLYGLVSFLCTRYPGLTPDLAMQRLLVTGVNLKAADPNLSPTPSATGRKKRLDFSDCAQVLDNPDTSHIQHSVVEESTPSAGVDESYIAAATAGFHGYPLAQQEFLASPTGLLSKLELVSEVLHTQVCVPGSQSASDGLLSPQKLSLLRAILQRCPSSTGKLHQQQDVACRKEDHPFELHFICGVNELVSGPVRSLGEKVGDYNPWTRDKYYHTHINFLAVCKARLYDPPTLFFAECGKDGADTCWCVPVIPQKPEAGQVRCIYCEYQGNRILHPAMESFHGRDEFEKLFYGSDGSYTNDKLITNSDLEVDWVHGVQDGAIYRDCCPDSDDDEDDWIYIF >KN538938.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538938.1:35738:47084:-1 gene:KN538938.1_FG010 transcript:KN538938.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRSSQLTERVRRMPASSNSGILPLEVLFDVLVRLPAKELCRLRIVCRPWRSLTSDPLFMKTHVARHQETFFLASFKDDETHIHIMDFAGNVIKQIGIPVDHKVLCTRLDLVCVATNKNSCHVLNPVTGDVYNLPKSPAEEHTYHVNLRKPFTSFAFGHVASTGEYKVLRMFNRPAFTDLGIPQLCEVITVKGGTGQARWRGKQSREFFVECQKANSGVVVNGVVYFLIDSVYDSMIIGGDGAGIHPDFICSFDLEVEEWREDIQGPISRNFVYDMDFPDEYIAIWDQLSLAELKGYLVLVYHQSYRSSTIDLWYLIDYETRTWIKQYSIQIESFVPVRECKVKMLFLCCMKSLQSLIHCLVCHVYPMIKSKYFTRSSLYNLKEKTIIIDISLLPDDALREIVTRLPTSDAARTQLLSSRWHHLWRSAPLNLDLRDAGDISRVLATHPGPARRFAVPDLGSSFPNRRATLDAWFAAPALDNLQELELMGSYRPLPPSAARLFPTLRVAVFSRCSFPDNSAAAAAFCFPRLEQLTLEYVAVSEATLHGVLAGCAALDCLLLRGVRGCRRLSISSPTIRVVGVCVTRALKELIVEDAPRLERLLMPEVWQLLRVSVISAPKLEALGWLSNHCTLEIGTIAIKCSIGEFHFGSLTAVACGVKVLALDIDTLSLDMTIDFMRCFPSLENLYIRKFSHECNNVWRQKMRHKMLDPIECLDLNLKKVEVSGYCGNKSHIDFAMFFVLNGRVLELMRLECGTRRDDNNLDIKEPADAKLLMLLTLFDNTTFGKDEEIKFGEIHLAQVLLAMYIHCWNQTVLWEGFTFTVVLQFAKDDEIKFGGSPFAQVLLAKDTRLTN >KN538938.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538938.1:26877:27642:1 gene:KN538938.1_FG011 transcript:KN538938.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVINTFRAIEKPVLRNIRRHLPRIPAFAIGPMHRLLGAPEEHGLHAPDSGCVAWLHAHPPRSVLYVSLGSVARIDREVFDEMALGLAGSGVPFLWVIRPGFVTGIVSDALPLPEPLTAVVDNGMGKPCFGDQTVNARYVTHQWGVGLELGEVFDRDRVAEAVRKLMVGEEGAAMRDKARGLKAKASKSVEDDGASNAAIDRLVRYMVSF >KN538938.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538938.1:67883:68620:-1 gene:KN538938.1_FG012 transcript:KN538938.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSASGVVVNTFDAIEASELGKIEAELSKPTFAVGPLHKLIPAAEHSSLYGPDRACLAWLDAHPPRSVLYVSLGSVARIDRDMFDEMAWGLASSGVPFLWVNRPGSVRGCMPALPYGVDVSRGKIVPWAPQRDVLAHPAIGGFWTHCGWNSTLESVCEGVPMLVRPCFADQTVNARYVTHQWGVGLELGEVFDRDRVAVAVRKLMDGEEGDAMRETARRLKIQANQCVAATLAIDNLVKYICSL >KN538938.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538938.1:86172:88929:1 gene:KN538938.1_FG013 transcript:KN538938.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTEEKAHLDNEALQRYCGAWRDMQCSRGSGGGGGDAFVVGAVYHIAEDLTDITLMDAYSGEVVRRMDGLSRPGLKVCAGGDMICVVSPGDGLLRVVDVATGDLTDLSMGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEKELPLKKILAVNKVPLVISESTLNPAHAE >KN538938.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538938.1:164917:167846:-1 gene:KN538938.1_FG014 transcript:KN538938.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGVVHFLAVDIPLPFLPFEHNDDDDIRPGAIARFDLDTEQWRPLLRGPLNIHQIQQDADLSPPLLTLTELKGFLVTVHRDRSHQSSSMDLWFLINSEEETWVKEYKIQIQLRPREFYAHPLVLDERMIVFCVRPKGRVMVYDLETGKCRDLGVGDCVEVLLRERDRVELNVWLEKLKQKMEELRLGDVLPDTALINCVASAMVSSKLRRTSPCSSGTKLPPDLLFEVLLCLPAKELCRLRVVCRSWHALTTDPLFIKVHGSRHTDPLFAVTFWDGKTNWSSDDTTHGISIMDMSGNVLKRIPISKDSVRISLLRTRLDLICIRLPGKGIRVLNPATTHHLGM >KN538938.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538938.1:98078:100225:-1 gene:KN538938.1_FG015 transcript:KN538938.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGLPPGLRFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHGRGDEAFFFADARAKNGRGSRQKRTVESGGYWQGQRMCVDGEKLVVPDGGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITAPADLASSTMRLYRIRFSGHGKKRKREPESQSAHDEHGRARCGPQIAMAETALLLEDSAPPPQPVHPPAAVVNCVSDITDQGSSLVFPDQPGSIYEDELQSFVPEFAARNLFVSLPEGSRDVVAEAAVIEDLALPPQPVPPAEVVNQADDSEGADQGCSSVFAALPDLIVLPPEEDCGSGGAAPAPSWASSLDNQNDDTPAFFEFPESMDDMVGCFDFASMDNQSCTSAVSEIAVLEEPFLPPPAMVNHHDGSVSNIADQSCSGVGDDSSRVNLTGSIDEDELQSSVPDFTAHNLFVSLPQGSREADAEADSGGGVASAQFAEFGCPESMDDLSCSGVVDDSSMMYPLNSPAEAGDGGGRAAPASSWVSSLDNQNDEAPMFFELPDSLDDMVGCFDFAAMDGQSCASAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGADPPPSAVVNLPNDSDGADQSCSGVVDDSLPGYYEAEPKLLLEYTACNPVDSPAKGSHDHEAGVDASGGTGSMMSSPDKQREPSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELFDLWS >KN538938.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538938.1:101713:104402:1 gene:KN538938.1_FG016 transcript:KN538938.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPATGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGAILDPGNTGMGLSWSGTCHMPVALVGRALYEVLPQSWALRISTALMMFRFGVIKV >KN538938.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538938.1:51879:59641:-1 gene:KN538938.1_FG017 transcript:KN538938.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMGKRKRPVDDGGDSSEPELPADIIAHITGRLTSQGDLFNWRNAVVVDISDGGATVAVLYRREREFAMARTGQRSWRLVNNKLDGIVDMARHGDGKLYTVHLSGKVVRWKFNCNVRRSPKILESVLVIDSPYHYVVKADNNVNAITMSREYEHDHRDRAGECCYLARAPRGTLYLLKRVYKHKQQRPVRPRARPGVRRRLFVPDWVNHPTNFSFALGQTVSTGGDQYKLLRIRTDRALQVQVCSVLALGGDGVNGGRFARWRKAPSPPQNVFTGRRSVAVVGGVTYFVLSTAFIHRGTPGGEDWIVAFDLEAEQWRPDLLGGPPVTTTTRDARLYVSLAALRGSLVVAQDDHRAGTLDLWFLLAGDSGKVGPQQYWSKLYTVTMPYHGQPWRMDGKSPEAVVVLDDGRAVFWLYVVNLIAHRVQWKASPRTNMLNLDRFLVVDMHAADLFQDYSHAIGLISISY >KN538938.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538938.1:28805:30321:-1 gene:KN538938.1_FG018 transcript:KN538938.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRRRRKAEEEEISAADRFAALPDGVLARIVAMLPYWDVIQLSAVCAAWRRLRLHRAAPVVNIDLREFVLFGAFLPGPVAAREIRITICHGPGGARAARARRLDEWDVDVPSTATDLDVRGSGYRAPAVYADCLRVLTLYHMELHDRDTPRLPSLRSLTLQSVLVAAAVPFAPGEWCPQLESLEMESCTVEHRQNDDEPFGHVTVDAPALDELVVVCSTGWAVEYEGFALRAPALRRLCWWGQFAGRVAIDVGMPGSVTEGTIEFKSNGELEEMSCREMKFYRAQLMQMLHGILPDVPPARIADVARPSMTVRTTTVMDDGEMIPEERLTCDLRRLISSHHV >KN538938.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538938.1:73424:79410:-1 gene:KN538938.1_FG019 transcript:KN538938.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDFRKTAGPAGGPPPVSVGDVVKHIHTLNAAFRDRLAAVLAEYSRDAITCLVADAHLLRTVEVARRLAVPTLVLRTGSAACLRCYLAYDMLLQKGYLPPKESQLYKPVKELPPLRVRDLFNTNDELVFEVLARIAETVRNSNGVVINTFEELEPMELERVHSELGDDGVATVLATGPLHRLSTMNTGSNSFNLRQDRSCIEWLDTQAMRSVLYVSFGSLASMDSDEFMEVAFGLEKSGHPFLWVVRPNLVRGVERACLPDGFESAVEGRGKVIKWAPQQEVLAHHAVGGFWTHGGWNSILESICEGVPMICRPQFADQMINTRYVEAVWGAGFELEGKLEWCKIEKAIMKLMGKNEGAEMRERANELKTKVARCLEDDSELNRPVEEMPPLRVSDLFDPSKYFNEEMANKILALSTETTTNSSGTVVNTFEDLETPELNSVRDELGAGIPVFAIGPLHKLTSNGDRSSLLDQDRSCIEWLDTKEPGSVLYVSFGSVVMVSQDEFKEVAWGLANSGRPFLWVVRPGLVVGVSGKPELPKGFVDAVEGRCKVVDWAPQTEVLAHRAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQLVTARYVQETWQIGFRVEGKLDREKNEEAIRRLMEGEEGAEVKQRADELKKKILICLKNGGSTQQAIDKLVDHMLSL >KN538938.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538938.1:140623:162336:-1 gene:KN538938.1_FG020 transcript:KN538938.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVASYAEGVAQALVGKLGQLVSEEFRLLGGVRGEVGHLRDEVAIMNALLRQHSEADESTVDHFVREWMNQVRELAYDAEDCIDLFLLRISYAPPRAVSSATHALRRPSQLVGIEDQVQRLSEKLTSDDQSDGSLKVFSIVGFGGLGKTTLAKEVCRSLEENFDCQAFVPVCQAFDAGKDISALLKRVLDQVVVRVKKNRQSDLQEVEDGDGRARSVHGSLDQTVQPLQPVTIAPREKGWTHHPLASVGPSRLHTVVAIAPLTLARSIDGSTRSSKGGIEFGHSGIGSLTALPSTSRPAPVISVHPSRRGHVRGKRALPLLSLEPPLFAGGYSSGGKAEKRRRWWYLIVIDDVWTISTWEAILARLPANRCSSRIIVTTRIEHVARACSPASPGEDYIHRVKPLQLQYAKELFVDTVFGPQQHCPEQLAEIMDKILARCCGLPLAIVCIGRLLANYTSPGGIEMWTSVCNSIGSHMESNPTLDGMRQIITLSYNHLPHHLKACMMYLSIFPEDYVIDKDRLMNRWFAERLVSEKRGLTLREVAQRYFDELLSRNMIEPFYVEHDGTVKEYRVHDMMLEVIVSKAVESNFVTFVVGQCRGMPYGSVRRLSVQSDDLGSGIENANLRNVRSLSTFRPQGHRYLLDRLNEFTLLRMLDLQDCKDLHNQHMKHVCRLFLLRFLGLNGTDITELPNQINRLQHLQTLWLYDVLLDNVPESLVDLEKLERVSFKNRRNDRKFLRIPRDIKKMKALQELTRFVLFKDDDQLAEEIGDLIQLRHFNVFLHFSNGSQAQVLTKLARSICRLCSLHILCVEDLQFKAGNMNFLLELPSPPKFLRELHIGGTIDRIPSWVQSLNHLVLIGLWWSNLTSDEIYGTLYKLPSLSKITLDNCCSDNELVARTVFKFPVLKELTFVPDVSKPQVVRFEEGAMPNLETILLDYKHHTVRIPERILEGVKHLTSLKVVRIQASTTPSTALSKLVCIDDQVQHLSDLVNSDQKLTSDNQRDVSLKVFCIVGFAGLGKTTLAMEVCKSLEEEFHCQAMVSVSQVFDAGKDLGRLLKQIIKKVVRVTRDHRGRGLQEEQELRSIDEDDVDELAMMLGDRLDGKRYTH >KN538938.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538938.1:33082:34539:1 gene:KN538938.1_FG021 transcript:KN538938.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGADGLPPGLRFDPSDDELVGRYLLRRLQGQPLPLDGVVLDADPLSAQPWRLLADHGRGGDEAFFLAEAHAKNAKGKRQKRTVDGGGFWQGQRMCVDGKKLLVPSDDGGGEELEIAWRKYVLSFFAEGERGSSGWVMHEYSVTAPADLASSPLRLYRVRFSGYGKKRKREPECPGAGGHDDGRAHGAPRRAEAETALFEVEVGPPPPPLLVPPPAAGPADHGTDQSSSGVTDMVFRDLPDLIADDGAALLDQNQQDWSEVADQNSFCVMGEDSSLLLPDLPGMIDDNKHQQFVREFDMPHLFVPQAEEAIAASADHGTDQGSSGVIDMVFHDLPDIITLPAEQADAGGGAETALLDQNLQDCSEVADQSSFGVMNDDSSLLLPDLPGMIDDNEHQQFVREFDMPHLFVSQAEEAIAGGGGGAASAPSADNQNCEFNDGEDMALSDFEFPESIDEVLSYIDFSTSDTSCRDFTMDELFDLPVD >AMDW01037411.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037411.1:35:667:1 gene:AMDW01037411.1_FG001 transcript:AMDW01037411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKERESMQRRRDIMEELSTSIKATTTVIMAASSSPPMAPSPSLPTNCSTECPYASSPDVTASSGHLDNEPTLAVSLHLSDGGDKDQTPYIVIKDLSNVTSAKCSTVGLDINDGMEQVAVVFPTMKCIPKVVLISVEPMDIFLPRSIASPKQDRPRPTMCSMKSTLHENKALMTMYDLHINPWSPPSLETYRSQAAIYVLLFGTLLNEKL >AMDW01062076.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062076.1:404:1443:-1 gene:AMDW01062076.1_FG001 transcript:AMDW01062076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKSAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIGSTGGYVARSCLASIVSPKTKFQILQLIQLGHELFYYSTIRCAICSLCNASVSPVTMVTATRLDS >KN539240.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539240.1:67301:68178:-1 gene:KN539240.1_FG001 transcript:KN539240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCVDHPEEISKLAKVKEQVSEVKGVMMENIEKVLDRGKKIELLVDKTENLRCVLSACTVLVIALPSFFLSFYSQYIRDGCRRVESSMEDYKCKKVEEGHGEKRKVGKGYDPRWSSPIEITMKICNDDVSR >KN539240.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539240.1:110777:112030:-1 gene:KN539240.1_FG002 transcript:KN539240.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILAHLSAARDVMVASGVCRKWRTACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDSTHEFSAAPVIAWLMYTRETLRSLSYNVRTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIESLALDFLEVVTSDPQSTMELTSHTLKSLFAKSVGVDKIILDTDNLEVLNLNALNLDLFELIGKGTLKHLKIDDVSVTHMDIGESTDHLEVVDVSNFTIVRPKLYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVTSEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREECQMLASFTSFIVCLMRKYVHVDVQFEYE >KN539240.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539240.1:34846:37609:1 gene:KN539240.1_FG003 transcript:KN539240.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKTESHRQGRGRRASRFRGGGGGGDEDGDELPSSAYDAPPPHHEDSDGDDTDEAAAEDEHEGGVDGGDQEQREVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHFQEDFCGTALLSAEWLRTDARRTAVGLDLDLESLEWCLENNLSKIGADGYSRMLLFHGNVLQPNVARLVKQKICDAMQDLHVNNDNGSLASNICDQSDPARPKCSANSTMSDLVLPARDIICAFNYSCCCLHRRKDLVLYFKHAFNALSKRGGIFVMDVYGGTSSERKLRLQRRFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSSGNAKEYNANRDVKYEELQHFNQADAWNAYVVGVANI >KN539240.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539240.1:77445:78834:1 gene:KN539240.1_FG004 transcript:KN539240.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKIARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPRRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPHRLAGVALVVPAVNYWWPMPASVSASAYSKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILTEIQRKEQETGQVDRGKATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAAKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRDL >KN539240.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539240.1:92296:92871:1 gene:KN539240.1_FG005 transcript:KN539240.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGEIAILLDFA >KN539240.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539240.1:30419:32151:-1 gene:KN539240.1_FG006 transcript:KN539240.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDVDAGGSGNRLLSKRSIHELVAQIDPSEKLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSSILEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNAKGSAAQAAANQKNQTSKPPATGSP >KN539240.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539240.1:113119:115747:1 gene:KN539240.1_FG007 transcript:KN539240.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAISQITNESREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVIRVF >KN539240.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539240.1:120731:121585:1 gene:KN539240.1_FG008 transcript:KN539240.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIMVVVALAGLAAGARAGGIAIYWGQNGNEGTLAQTCATGNYRFVIVAFLPVFGKGQTPVLNLAGHCDPASNGCTGVGADIKSCQSLGIKVMFSIGGGVGSYGLSSRDDAKQVAAYLWNNYLGGTSPSRPLGDAVMDGIDFDIESGGGMYWDDLARYLKAYSRQGSSKKPVYLTAAPQCPFPDASLGVALRTGLFDYVWVQFYNNQPCQYSSANGVGNLASAWKQWTSIPAGRVFLGLPASDLVSKVLPMMKKSPKYGGIMLWSRYYDGLTGYSDKVKSSV >KN539240.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539240.1:122676:123150:1 gene:KN539240.1_FG009 transcript:KN539240.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ferredoxin-4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G10000) UniProtKB/Swiss-Prot;Acc:Q9FIA7] MPAPAPAAASGVRCRAFSTPITKNARCVVADPRKLLRRPGNLQLTSGGARISGRFRASAAAVHKSELEVPEDTYVLDAAEEAGLELPYSCRAGSCSTCAGKLASGEVDQSDGSFLADEQIEQGYVLTCISYPKSDCVIYTHKEEEVH >KN539240.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539240.1:88708:90221:1 gene:KN539240.1_FG010 transcript:KN539240.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNVKVTDDQEVTSQERDQSSGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEEAKVVDGGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWAEINQAPAHTSAPASALQPHAGRGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGGPMMFPVGPVNAMYPVMGDGMGYPGGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADTMNCIGNGMLIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAEKTVLLTKKQMLVEKMMEQSKEKMNANRGGSQLRRSGSCMW >KN539240.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539240.1:41846:43039:1 gene:KN539240.1_FG011 transcript:KN539240.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRRPFAVLLFVVLCGAASFPSVLRRAELLLDPSLRRALRSWHRLRRHDPGVLRNLPSLLSLPGRIPSCAVVGNSGILLGASHGALIDSHAAVFRLNNARISGFAANVGAKTNLSFINSNVLHLCARRPNCFCHPYGDGVPILLYICQAAHFLDVASCNASSRSRHAASISVTDPRLDVLCARIVKYYSLRRFVAETGRAAEEWSSTRDAAMFHYSSGMQAIIVAVGVCDRVSVFGFGKAADAKHHYHSNQKAELDLHDYEAEYAFYRDLADRPEVVPFLNDAGIVVPPVVFYH >KN539240.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539240.1:8461:10007:-1 gene:KN539240.1_FG012 transcript:KN539240.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAEALHGAAEALAGSLQPHVATAFFVFSACTAYLTASWAGEFDNLCDWYAHLLRTSPAQTVHVHVLRNVLTANPVTVDHVLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAARVVASELRCRLIPLLHSASREGNGKVLDLQDVFRRFAFDSICKISFGLDPGCLELSMPVSTLVEAFDTASTLSARRATVPMQIIWRLKRFLNVGDERKLRDAVRLVDALAAEVIRQRRKLGGAATGSDLLSRFMGSIDDDKYLRDIVVSFMLAGRDTIASALTAFFLLLSDHPEVATAIRDEFDSKFAAGDDTLPDGTVVAKGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRDGRFVPESPYRYPVFQAGVRVCIGKELALMEMKAVIVAVVRSFDIEAIARSSRRPKFAPGLTATFAGGLPVRVRRRRARASGHSPPI >KN539240.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539240.1:97673:102026:-1 gene:KN539240.1_FG013 transcript:KN539240.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHHQQQQPPQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKAYVQNLETSRVRLQQIEQELQRARSQQQPAAACSLQTRGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYNLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQRPTSIAKNNWEFHALQKLQGFQSMNSVQEKDTAT >KN539240.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539240.1:116844:117803:1 gene:KN539240.1_FG014 transcript:KN539240.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPMQLIGTVFVALLATCHAGGIAVYWGQNDGEASLAETCASGNYEFVIIAFLPKFGKGQTPRMDLASHCDPASGGCTGQSKDIRACQRRGVKVAVYLWNNFLGGSSSSRPLGDAVLDGIDFDIELGGAKFWDDLARDLKSLGRSGGRRVLLSAAPQCPFPDEWDGGAISTGLFDAVWVQFYNNPECQFSAGRGAFMDAGSPKYGGVMLWSKYYDDRTGYSSAIKSYV >KN539240.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539240.1:61608:63170:-1 gene:KN539240.1_FG015 transcript:KN539240.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVVAASPFFEWLKPPPRPASSSSWSSSFSSSSSMASRDQETVVPGEDGGGEIQEDHKSGMTCLPLLSMLEEGNSKRHEHPVKEEIMSSAHAAGVLEPAVELNIGLPVTGSSAQEVTMEEDDEEEDDDDDVGKEEMDEWKPMHGGCKVEGDEEQYGEAVASVEGSSSITAVGDMFGGVGAESGVAMSSRYWIPTPAQILVGPVQFICHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCCAAGCKNNTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHLPVAESAAATPADKDRIISFQR >AMDW01032559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032559.1:81:293:-1 gene:AMDW01032559.1_FG001 transcript:AMDW01032559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AMMCGMTVALFLWIVFVLVYYQEPWADALTLIPIALLGLGPLLYGGTGLRDFYIETYAMAPAAAADDNEP >AMDW01037078.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037078.1:114:588:-1 gene:AMDW01037078.1_FG001 transcript:AMDW01037078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TWAVRRRSRLEWGRVEAQNSGARTSCRAALQWLSSTARSHVNVGYGSPLVLPGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEK >AMDW01039898.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039898.1:73:585:-1 gene:AMDW01039898.1_FG001 transcript:AMDW01039898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNPEARKDEDRIQTALESEKDQSPRQAVVKSEKDQSQAAVKSEKADTVKLIQFMEENYEKYVANVDSFEDFYHAIVELI >KN545274.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545274.1:959:2015:-1 gene:KN545274.1_FG001 transcript:KN545274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSYPFEDFIRVLGMEKGDVDPEEDEEEEVEEYVEGDYMDDMEDMEDFEGLPGGDYGETNEDDLLDERIAKKPKVLGSDLRSNIGKKSKKPTEVELDEDIIYGYQAKDVN >AMDW01037201.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037201.1:51:648:-1 gene:AMDW01037201.1_FG001 transcript:AMDW01037201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGNVQNAGQDHNQDWVNWDEGEDNVNVNVPEDFMPEVPQPVDAVHHISSSLSSAPVSVSTSDSTFTSVVSISSDEDVMQPVPDNSIVIPPVSVDAELFKLLCQRFPQVMFDKNFLKDASFWSAFSPVLSSVTGNSAGPSNWTSVSVLDSASVRTDEVLDPTPLAVVPPSDFLALPDPSPKAPVKRSYKKRVAGSPVVTT >AMDW01040530.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040530.1:227:884:1 gene:AMDW01040530.1_FG001 transcript:AMDW01040530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNMLMPSNIDTTIREADA >KN542361.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542361.1:77:619:1 gene:KN542361.1_FG001 transcript:KN542361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEETSAGKDDLLAIDDSNQDKAKHATVVSDENKAPIIEKKKKKKMVRYTQEQIEYCIANPEELWDIKVIKLTELLSKECLARMGQEQIVRRKKLKEVLRNERENVYKIPDKAKDILKQYYAKGYAEYEVVDNNGDVDEDEEVPARVAHPGRRRFRNGIVMKKN >KN542361.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542361.1:10533:10934:1 gene:KN542361.1_FG002 transcript:KN542361.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAPFLDLLNAKFYHGLRQLAELRHRIHNRAGFIPKPAKAEAYRAATDALWVRCDELLKELNNLQKGYESAGYAVPAVPDHMKQRF >KN542361.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542361.1:8515:9171:-1 gene:KN542361.1_FG003 transcript:KN542361.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKWMETEITERWDGWSSAEAEARSSGEAEAEAEERSSAEAEADRRRRCFEKPEGILYSWYDKRRTHQSVGWGTARRATASLASENMVEKKLEGTWGGLLRSVKKKKKKKKR >KN542680.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542680.1:541:8443:1 gene:KN542680.1_FG001 transcript:KN542680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase 2 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MAGSVSYCVLPRGGYRGGVVRRAAERGAAAVLIAGHADGGVERGTVILGGPGDPLTPGWAATAGAERLDFEHEDVKRRFPAIPSMPVSGKTASAIIRTLGGPALPAEWQTGVGLPVDVGGVGPGPTLVNFTYQEDRKMGMIQDIFAIIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIARRLGIMLQSGWTPRRTIILCSWDAEEFGMFSKISFICVPFISFLHFEGSFFQIGSTEWVEENLEDLQSKAVAYLNVDCAVQGIGLFAGSTPQLDNLLVDVTRQVKDPDVEGKTVHDTWNKMTGGINIYHLRAIPLCRKNFRSVEVDMAVPVEGACESQLYRLQRIIATQLVVSIKLSMQEFPGYHTALDSYHWMEKHGDPLFLRHVAIVEIWGLVALRLADDPVLPFDYQAYASQLQEHANAFSSMMENSKWVHLLNRSIEDLSDAGIEFLKEAKKLQYQNISDGYSLMRRRLLNDRLLLAERSFLQADGLQGRGWFKHLMYSPPEDYESKLSFFPGVADAISRSSNRSAKEQQAAVRHEVWKISRAIQRAADVLRGEFSNRNESLYSSVSVAP >AMDW01030765.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030765.1:29:337:-1 gene:AMDW01030765.1_FG001 transcript:AMDW01030765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQCGLLPCSSGDGANYSFSGSSMIDILWSSFRNSTILSNGTSENPALTKPKGAEKLPPGLVVTESDLHMRRLWGSPRE >KN542966.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542966.1:3510:4877:1 gene:KN542966.1_FG001 transcript:KN542966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAQRDRLYGLLASLSAEMPLPPHIVALMQSQCGCVVDPNGEEMDVDLGSAKDAALFQLLNLLEEFAQQQTTKIQPRLSEDQEPPKIEAPDATSRSSSICQLMEDGEIADEGADMDMDICGGVSPLPKQEEDDELINTSGGGGGFSLQSPPAKQQEEELVRDASPVAVDKFPQTESPGSSAGSSSGSSSSSSSSGGSSGSGSSSSGSDSDDDGDSASSRPDNSQLPAEAAAKPLEQQQVTVCGGVSPLIDELSPLPKQQEDDELIDVSGGVSPVSVNKFPDSPRSSSSGSSSSSSSGSSSSSSESDDDDDGDSASSKPDTADHPTEAEAPKLQPLEQHEVAEQDKKLIAERAASPNTEMQELIARAQERQRLRRELERKTARELERKMAREQLQEMRRTARPVFDSIDPRVMKQLGISGDAQYIVSPVKSRHSLHRRGGGGLLQKLGFFLKRIA >KN542966.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542966.1:5174:10096:-1 gene:KN542966.1_FG002 transcript:KN542966.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVIASGCVLRVEHVLHRPPPTPEDVARGCEFCVEQILHHPHPTPEVIVGSRILHVEQVLCCPRLTLEFVTAAASFLLSRSSMDELEVIPGGHLLLVAQLSPATFLDEQRTGFRQDDDFRIDNAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSSLSSYGAYIRPVPYPNEVGLRMLIGGAAREAAILGFHITPLFSYYAYHGPIFRVMDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKIASRAKVNSPPLGTLINSLRKVDEADKIVAVEEHTSVFGMSSPSRFPIN >KN541430.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541430.1:3574:14031:1 gene:KN541430.1_FG001 transcript:KN541430.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYTIEVHYKQRYLIRHNQFKIKKLTNLEDISNIDWSAMFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDHKENCCSCGLFSCCKSSWQKVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDTNHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFIDNISSLESSSIRHFDSSKEEEYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPMERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >AMDW01039665.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039665.1:548:954:-1 gene:AMDW01039665.1_FG001 transcript:AMDW01039665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVEGQGESWHGHVTAVSVATEFRRQKLAKKLMNLLEEISDKIFAKIKELIPV >AMDW01029152.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029152.1:25:346:1 gene:AMDW01029152.1_FG001 transcript:AMDW01029152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >AMDW01040311.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040311.1:59:1259:1 gene:AMDW01040311.1_FG001 transcript:AMDW01040311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGLDSTFAQPAALEEAVSPSLSEEIREYEEYLKEHTFDSMEAAFEYLRTGQRVVLPKVEFSGDEPSSEQFLLEKSEDQSTLEPEHDKSAVTQGQCDDSSLEEITQNGKKWMSEEAMVAFEKYITRRDDLKEYDYHFDELLHQCFNVEHYYKIFHHFNFTVKMKAPCSTDWTSVLYFAEVKELLGHKIYFCSPLEPNEDGNCYACKNQGMENLKHPIVGVFDRGFPTQVFPYTYSSGSEDEAWL >AMDW01027177.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027177.1:23:202:-1 gene:AMDW01027177.1_FG001 transcript:AMDW01027177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AQKDDDVTIKVLYCGICHTDLHIVKNDWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDT >KN547287.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547287.1:77:422:-1 gene:KN547287.1_FG001 transcript:KN547287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLYERWCSVYYASSSSRYLGDVESRFEAFKANARYVSEFNKKNDMTYELGLNKFADMTLEEFVAKYAGAKLDAAVLLASIREAEEEEVLGDVPTAWDWRQHGVVTPIKDQNPC >KN542799.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542799.1:2930:3250:-1 gene:KN542799.1_FG001 transcript:KN542799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLQDAAIGAMDAEVAPEDDMTTGGIMDHLAQEDAMDAEVALEDDMTTDGIVDHLAQEDNSADAMEVEQLAQVCVHCGRVHENGLDEIKSNPIWGGSKFRSQPM >KN542357.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542357.1:7119:7661:1 gene:KN542357.1_FG001 transcript:KN542357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVDRSVATVKMTSDFFILEMMPTQIGEAIHTYCLAPSTNLRAEDIPDPHPDLLPAILSAFLAPVVDSIRTPRTPLPSSLPTSAASWGGVFAGMLSADGV >KN542707.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542707.1:1100:7655:1 gene:KN542707.1_FG001 transcript:KN542707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAHERVLPRGMPLGAAGAVGWGSVGRGSVAGRQVSQDDLSSLLSTSTSDEPTVPGKKKRSSSSSGASSIPTGVRLEGISKSYKGVTVLRDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGAVVKAKDNMRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQIHVHDLYQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >KN540516.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540516.1:35042:40182:1 gene:KN540516.1_FG001 transcript:KN540516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQGGKPPAPDAGGGGGGGESKKGSPCEECGEQPWKYRCPGCSRLTCSLPCVQSHKRRTACTGKRPRTDPVPLSNFDDLQLLSDYSFLEETKQVAESAHRLIGAFGRNYGGFGGAQLPKWLFYLRKAAQRRGIWLQFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSTDVVLTDHKFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGALAASF >KN540516.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540516.1:25249:25514:1 gene:KN540516.1_FG002 transcript:KN540516.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDVGIDSDYAVTTIGVGVLMVKYYRSHHGALAVFLLTLAIFLFLMASGCGTKAVLYTLHGNPPDEQRLLVDDPLD >KN542707.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542707.1:10045:12357:1 gene:KN542707.1_FG002 transcript:KN542707.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANIGEDGGLYGPSSPAPPLIPAPIFTGDGTNAAAAAAGLRGANGFIAGAATGLLRATTAAGFMDDAAAGFGFSAAVVDANAEFSTAAARIGFFPSNAAACAGFCAYFARSPTPDATTASRTGCSAGSGDATAAGAGGGGGGARGLLFRAGSAASWQCSVRPSKTRN >KN540516.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540516.1:40695:41570:-1 gene:KN540516.1_FG003 transcript:KN540516.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFNGDEFAPFLGFIGAALALVFSCMGAAYGTARSGVGVAHMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIITTGINPTAIPYYHFDGSVHLAAGLATGLCALASGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQSRAH >KN540516.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540516.1:48542:48988:-1 gene:KN540516.1_FG004 transcript:KN540516.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGCLIAILARSCWHLAVAAVKLPALLCCDAMLSTVAFLTFPLRLLAAVDRERKATLALLDRLVGEMQRQMERLVWENRELEEKLGMALKESRAMEEILDEMEEEHDDAFARITLLETQVK >KN540516.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540516.1:7392:7679:1 gene:KN540516.1_FG005 transcript:KN540516.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSPSSIAPKSSAQHSKLKANYDHSLTTIMAAATVAKVALLLLFLVQVMSVIGGGAAAARPLLLQADGGAVIGMVTEMLGGAKSRGNPRTHCC >KN540516.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540516.1:42841:45662:1 gene:KN540516.1_FG006 transcript:KN540516.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRKKWVAWVAAVTIFVVLMLVTPAIPQNEEYHDFADQRRLFLGIPNTLNVISNIPFFFVGVVGLVLCHYKNYFRLKLDHYCSQGELWSWTLFFAGVTAVAFGSSYYHLKPNDATLVWDRLPMTIAFTSVMAIFIIERVDDRAGTKSLAPLVIAGALSIMYWRFFDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYKWTHQIVSGHTLKHLAAAMVPVFLALMLAKRTVEPERVSLFQQWKVKLVAVRETRFNKNRNTVDYNYIAVSTTPEP >KN540516.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540516.1:538:738:-1 gene:KN540516.1_FG007 transcript:KN540516.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAKAMAMARAVVAVLLLVQILGAMAVSARTMKGEGWLEDGIGMVVDMLGELKSGGNSPTHCC >KN540516.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540516.1:27097:29829:-1 gene:KN540516.1_FG008 transcript:KN540516.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVAVSVYLLVCSAPAAAAAAKKPSSYPPVAKGLSFDHYKKSCPQAEAIVFSFLRDAIGKDVGLAAALIRLHFHDCFVQGCDASILLTKTPGGPDGEQQAIPNEACGRVVSCSDIVTLAARDSVKLAGGPSYKVPLGRRDGLTSATPSQVLGALPPPTSHVPELIAALAKLNLDAADLIALSGAHTVGIAHCTSFTRRLYPTQDDTMDKWFAGQLKLTCPKNDTVNTTVNDIRTPNAFDNKYYVDLQNRQGLFTSDQDLFVNATTKPLVTKFAVDQSAFFHQFVFSVVKMGQIQVLTGSQGQIRANCSVRNPGAAAADEELPWSAAVETVVEAAESIVL >KN540516.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540516.1:32110:34288:1 gene:KN540516.1_FG009 transcript:KN540516.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKVDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSYLNLPSEVVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGGGASSME >KN541671.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541671.1:2560:7438:1 gene:KN541671.1_FG001 transcript:KN541671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPRRHCRFLVLDAVGIGVVGGDADAVSTSRRALRSAKIPESEFTTLPNGLKYYDITVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIEPITTSLERKHLLTTRKDRANRDSDIDLSDSPTKLDPSYGSSSIREEEEEEMDSIHCAPRKIVQTENPCSTGRIVSSEFSRQASHTEEIMAAQASESSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMTIRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPASIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPN >KN541671.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541671.1:10927:23294:1 gene:KN541671.1_FG002 transcript:KN541671.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] MRGSSFSSLLLPCSHGHGGGGRATSSTCAAAAAACLALVALVILVVSVVDPRAQASSWFFLSSSSSSSSSSTLEWGVGDAASGDDIIQVMPQAQRRRDVKLERLELGLAKARAAIREAIQNKDNKPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKLFKVFVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTRDPDQAHVFFLPFSVVKMVKMIYEPNSHDMDPLRRTISDYINVVSTKYPHWNRSLGADHFMLSCHDWATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRIMLKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNISNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWIRPGIREDELVKRASSLVVNSGMVSDADLGPVISKQAKEHICKLIQSGADNGARVLLDGRNIVVPNFENGNFVGPTLLADVKSEMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >KN541090.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541090.1:8265:10281:1 gene:KN541090.1_FG001 transcript:KN541090.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQGEEAPEDLVLPFISRMLMEEDIDDKFFYDYPDNPALLQAQQPFLEILSDPSSNSRSSNSDDPRLSPTSSSDTSAAINSYDATATATAVAASAAVPVPQYESIELDPAAFFAAANSDLMSSAFLKGMEEANKFLPTENKLVIDLEASSENNYLRGLEEAKRFLPSDDKLQVGFAAAAAPVVMMPSHENCTEMMEKLRIAMKEEAAKNEASAGGKGGNGKVKGGRRGGRDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARIAGTGSLVHQSLVAKRTSAVDILQAYQLYMAAICFKKVSFIFSNQTIYNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVRMTGIDLPQPGFRPTERIEETGHRLSKYAQEFGVPFKYNAIAAVKMESVRKEDLNIDPDEVLIVNCQYQFKNLMDESVVIDSPRDIVLSNIRKMQPHVFIHAIVNGSFSAPFFVTRFREALFFYSALFDVLDATTPRESEQRMLIEQNIFGRAALNVIACEGIDRVERPETYKQWQVRNQRAGFKQLPLNPEIVQVVRNKVKDCYHKDFVIDIDHQWLLQGWKGRILYAISTWTPNDALSYF >KN541090.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541090.1:24600:27296:1 gene:KN541090.1_FG002 transcript:KN541090.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGSGDESAAAAASTNPGRGRKRPPSPSTPTPCDDGEDSDDGWAVSDSGSEEEEEEYDDEEEQEGMHRPFTVDDFPRLSSDHSVQTDALYDIPHLRLRGPSPLSLFRSFNDPLTDKRRHWFGSYYRLDDESEISVDNAGTVDCLNGCWCLSMNLLQFIDLKISGYRHIQPGRAKIFGFFAVRDDLEPLRNYVFRHGIDSYEAVSVKPKTGMACLPLTSPARGICITSHALFEFQLCIRTEDSPKAEDEPKGDTLIEGCTEFTNILRSTSFTKTVRLYGEKCGLDVKFALLVLHNLVSELDLLWRWYDRSHLDLCIEGSPADIGLGEKLPCVRWEHKFGAGFHRTADEVVKLGDFTTISVKVTWKAVDKRPPPKG >AMDW01033594.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033594.1:101:394:-1 gene:AMDW01033594.1_FG001 transcript:AMDW01033594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFEGPLVNSLLSIRQGGLKMYIIEDKGGAIALMLASLFFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKPNMPNFFTQLSQ >KN541291.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541291.1:6070:7876:-1 gene:KN541291.1_FG001 transcript:KN541291.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATCRLRGSVAIANAFLVANCDGLKRWVICYEKLAGILSDYPDDHMLTTFGAFSSNGTDSLSPYWSNQIKMIITTLSDMGIRNVSHGGMSNPESYALTESLDIKLINMGKNENSRTYQHDLADFGTFLCQTPYIGASKCTSWTGFHFLINSNAMSQRYLWADIVKGHPLFLDPPSKLRCYVNLFEWSELIQISEKTAFCDACYKLMPDLTQKTLWGWISCCPASVKIYLNQAYKGISIYEDIITFVKNMILHGRQELMNANGGRWSDAHFCHKIEQLFPGFMSVAYALSKATRSDLNKLLGRA >KN541291.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541291.1:24540:27927:1 gene:KN541291.1_FG002 transcript:KN541291.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAVYSGQSMNSTILDVFKSRNINGKFSGTVIHFYSFSYEGKDDTWYKGEIFPVTSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHIDRAILCCTPCTSNMTSWITKQVQIPSDSYLQEDSKNMIRSILNLSKVMWDLGYACDGLDDPQSFIMMDSNVKLLPFGIRKRQNSDSEMMHRIKFSNLLENHLSQRWNDIEVNEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYGKWTTRDAHRFSRNTASHYISHWRRLIPSSNRTKAPFDMVDKELKKCFPGLLLFATELDIQ >AMDW01136750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01136750.1:227:617:1 gene:AMDW01136750.1_FG001 transcript:AMDW01136750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVK >AMDW01032358.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032358.1:19:354:-1 gene:AMDW01032358.1_FG001 transcript:AMDW01032358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTPDDRVVVAQTLQTILASILPLCAASQPLFKDDPEYAKVVTFGFLGTIVLVAYAGVFAKAANSKAALRLVVSAAIAAMTFPVASQLSGIGYIVTASLTGAIMSAFIMLAV >AMDW01038695.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038695.1:67:363:1 gene:AMDW01038695.1_FG001 transcript:AMDW01038695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRLSYEQFAAFLANIKELNAHRQSREETLQKADEIFGSENKDLFMSFQSLLSRSLS >KN541194.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541194.1:8308:20228:1 gene:KN541194.1_FG001 transcript:KN541194.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTHVATLGVGAQAPPRHQKKSAGTAFVSSGSSRPSYRKNGQRTRSLREESNGGVSDSKKLNHSIRQGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVSGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDETYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKHISLVYTTVALNIDGKKYTIDTVRSGHGSYRLRMNGSTVDANVQTLCDGGLLMQLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASSQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSELRARIARSLSELEMFTEESKGLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGHFDARNGAVLGDKRWGAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVKTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSSGQIGDMEAGSAEEPLSFTSTSILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKDVENAQCYVKATELVFADKHGSWGTPLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLEVANKNGSADTKLLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQDLKAQRVSQSLSSLSDSSSDLQALPQGLSTLLDKMDPSRRAQLVEEIRKVLG >KN541194.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541194.1:24837:27754:1 gene:KN541194.1_FG002 transcript:KN541194.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLAPAALPPRGLQSKAIMFNQVGNAESQLLLHGKGCIVADAIIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPTTSLVVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLVIIVSSSRYILLQTWPDFRNSSETANRQILTSLETFDYIVVACLPGISEEVLRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIVPMASHSINNIVGGLIWRFTNNTERE >KN542986.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542986.1:8316:8729:-1 gene:KN542986.1_FG001 transcript:KN542986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVLSFVEDEGAFNSSVDGRFAALDTNRDGLLSYADMANELMSLRVLDKHFGVDEAAMGADELVELYHGLFLRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >KN540913.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540913.1:380:5338:-1 gene:KN540913.1_FG001 transcript:KN540913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRAGFVWALSVHVSNSLQIRSPALSIKMSVRRRSESMEGLLSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFSFQQELFSRNLLPDKHNDYHMLLRFLKARKFDTEKAIQMWAEMLQWRKEFGADTILEDFNFEELDEVLVYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFHEKFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLGRMQKIDSDYYPELAHNKEAKFTRHTRRLSEIEQRRGSFARLHLLKGRSSDTSTVESGSDVDDLSSPMMRRPVECSRLAPVREEMQIRARDSAAYYSCDDHFVVVDKTVDYGRGGAMPDKTSAPEVRAQARPFGGSTTSYATGSSSNRGGISSSNRSRTVVPKENTDEGFFRRFFRLLLALIIKVFAFFHIAYGQQEMRVDNPLPPAEPEPTSDDHPAVETFSVDRISPVIERLQRLEGKVDELGNKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVIRRQLRDMVDEYRHLDSVFGLAQRMGGRSPETAKYQLPF >KN540913.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540913.1:36657:38078:-1 gene:KN540913.1_FG002 transcript:KN540913.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LGRLAGLIILEAEDSNAPLDALKRVGKSIAMHIVATKPLFLSKELVSASAVENERGILRTQSVLNDLSKEVGSKFTVGNFVRMEVGEGVQRPDEYTGSEATAHAA >KN539436.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539436.1:53220:56045:1 gene:KN539436.1_FG001 transcript:KN539436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIFMSNTATRELCFRSNIFGLPIEYQPFVRNIRQGMPLFLFDHTERKLYGVFEATSDGGFNIIRSAFSSIGCSYPAQNDMINNRMGNFMYELIFGYFHLSFWYLKPLTGYKYYAQNYSFSFELSFSPRPLTEDEFSPAIKENYYMPWKFYFDLSYQQVVRLYQLFDEKRVEHPIHNHSKSANLENDPFRKGTQERKSLSPNIPHFPADQPGLFMPASTPRFSTVEASYCASTSMHQAPHPHMSMPLGTNPFGVQIAPVHNSHHDQAELPYNNNMLFPGYLPSGHVARDTTQELGLSANHSYPPSMGYAYGCLPPPGHRPQDTIAGDVNYAPPYPQFPLPNEQGSATNRRDYYDVHCKQCQFEDIYESEHQHFSKTKVLAPPILNQQDVPVYPAIAESAFDQRKECFTEKDSENTRQKQSFNHTDMVSSGLGNSNRAYMPDHLNKNPDIRSESNTIAVGQHAQSSVFSRLSRIPPPLHQEIPGPSLNKLILSLSQRAEHWGNKDKIITNDVCEQLVSEQVMDTPYPLAELNQQSGLIEEDIEGLPFMNFKRRSETRNLDANLGKEISGQVKRRKLVRPSFGDVNNAGSSGKELEAKGLEGEKHSNDEHDENKFSIDLNKPAAIDGDVAKEDDTTTALPHPSVAIKMHKEKPSEENMSKPNSPNTTEERKKQDPSLDSATHTEKISLELDVADLNTIDQSKLQAILSSSLLQALDKLRREKLNNSEEAEEVKIAT >KN539436.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539436.1:90435:93676:-1 gene:KN539436.1_FG002 transcript:KN539436.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVHSFPLTDKDLESEESMRNLYERWRAEHTVSTDLADKEKKFEAFKANARYVSEFNKKKDVPYKLGLNKFSDMTQQEFTAKYTGALPEPDDDDLHDGATLITTHPLLAAGEVPSTWDWRDHGAVTRVKDQGQCGSCWAFSVVEAVEGINAIMTGNLLTLSEQQVLDCSGYGSCSGGNTYDAFNYAIDNGITLDQCFNPPSTGDNYFYYPAYEAMQETCRFDPGVFTGPCGKSLNHAVLVVGYGETQDGVPYWIVKNSWGTGWGEKGYIRMIRNISDTEGICGIAMYPMYPTKKCPCPPALATAAAY >KN539436.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539436.1:19455:24006:-1 gene:KN539436.1_FG003 transcript:KN539436.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METRTNEYSRKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQDLTTYSCRTYEEKSLAAKIQRWLEGHQFRKNLILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEGRMRNDVVVIISVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPVYVYRYFKRGKTSWTSLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAFIAANTNQVSHAFYISLPAPILWPAFAVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVAFTVLSLLVEIPYFSAVVRKIDQGGWVPLVFAAGFMIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLLLFVRLESMMEEYSDSDEYSTLMMSLPNNPGISNGGVTTTGTNNVMEVMSCTSTHDSIVPVNSRSNDTGSSQVMPASGQMAFQSVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFVKKIAINYMYAFLRKICRENSAIFNVPHESMLNVGQVFYV >KN539436.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539436.1:57962:64097:-1 gene:KN539436.1_FG004 transcript:KN539436.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLLVNKEKIGIFKVLGTLPMTTGQITSGTKIMIWKGSDEKTVGETLYPPSLHPVIATPLQMKMVDDPALSNVVGRASKMIGNFMSYYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESRDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILVVSVRWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSCWVVTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVASASTRWKSRRSTWGKPPATAKTPVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMDRDLHVFASRRYNRGWEQHQIRAPPLKAKEGEEIR >KN539436.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539436.1:75433:77197:-1 gene:KN539436.1_FG005 transcript:KN539436.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPQPHVPFTDEDLESEESMWSLYQRWRGAVHTSSLDMDVAETESRFEAFKANARYVSEFNKKEGMPYKLGLNKFADMTLEEFVAKYTGTKVDAAAMARAPQAEEELELAGDVAASWDWRQHGAVTPAREQGTCESCWAFSAVGAVEGANAIATGKLVTLSEQQVLDCSGAGDCIGGGSYFPVLHNYAVKQGISPAGSYPPYEAKDRACRRNTPAVPVVKMDGAVDVPASEAALKRSVYRAPVAVSIEATQSLQLYKEGVYSGPCGTTVNHGVLVVGYGMTRDNIKYWIIKNSWGKEWGDNGFGHMKRDVIAKEGLCGIAMYGVYSVKNGHKNCSYPASPAVVASY >KN539436.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539436.1:16543:17317:1 gene:KN539436.1_FG006 transcript:KN539436.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSNSVVMTIDSWQQLIDSLKGNVVVLEFMAPWSEPSKFMEQPFKEVASEFKDKNSNVKFAALNFDNFKNLGRRLQVEALPTFLVVNNFAVVDRILALSKTELLQKINDKLKLIN >KN539436.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539436.1:99793:100053:1 gene:KN539436.1_FG007 transcript:KN539436.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGQLLAFPVISEAIRKLGSAIGDETMMRWNLTDVLVEMKMKLETMGGFLEDAERKSAREATVRWWLQMLKDFAYNLSDMLDEY >KN539436.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539436.1:106409:106666:-1 gene:KN539436.1_FG008 transcript:KN539436.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding GVFNGPCGTSLNHAVIAVGYGVTTDNINYWIVKNSWGTGWGESGYIRMKRDIAAKEGLCGIAMSAIYPIKKTAAISFVVDAAVSY >KN539436.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539436.1:72525:74048:-1 gene:KN539436.1_FG009 transcript:KN539436.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATCVSRWPDLPADLIREISGRLREVADYVHFHAVCKPWRDAVVSPPPLFFPWLVRCLDESTPPWREPCWADEDKLLFRSVSGHKQATFRVSRASCLGEKFAQWSATYHDGKVINAGRQFYRVGMLSIAPGDVFTGRLEKRSLPQLYDEPASYSYFFELGGELMWAYVHVAAAAALLDHGHYPLKGGDLVGSGAVSLWVYSREKKSGRWVKREGRRLLGSSVLFLGWTSSFAVEAGQLAGEVDGGCAYLMIDSVGRGLGRRGFLLLDRCTVYSQLNYTGG >KN539436.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539436.1:28750:33702:1 gene:KN539436.1_FG010 transcript:KN539436.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAIVVFFLLVVAFYAFFAPFLGKQILEYVAIGVYTPVAFAVFILYIRCTSINPADPGIMSKFEDGFINVPANSDGLQGINLPQKGNSTIGTQSPTSTCRSSLDGHSNQRGLSTRDANDCRKPDESEQAANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRLAIEFGVGIAVIVLCFVDKNALSNIQDKLGNGMTRAPFAVIVGLFTLLSLVACIPLGELFFFHMILIRKGITTYDYVVAMRAMSEAAPEDDEEAHITYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPVDARRGHEGDLSSSGNASVRSSMSVDYSGTKESRGEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLASHSQFHAPPHQPPPPERPVPGIVPGIVRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRAVPGLDLPARTPRFLANPTGESSNHGKNLAPANASSSAISSGQPSERLTYTGQSIFFGGPILSTSGTNAQRSEAGTRARPDGSRDPPNAFQRDTRGERARTGSFPVFAPGTFQKNPPFDK >KN539436.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539436.1:3219:5156:-1 gene:KN539436.1_FG011 transcript:KN539436.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYTIPRWLRTCIWLAYVSSDALAIYALATLFNRHAKARSGASCGGTNANGGQAGVLEILWAPVLLIHLGGQRELTAYNIEDNELWTRHAVTLVSQVAVAVYAFYKSWPNSTDKRLWVSAILMFVIGVLSFSEKPWAFKRARIQKLAAVSSLVQGTTRHDGKWEKAYRFCFTDLEEQSARKRGLTTRNRVHMLLSDMSLFAAVSELKRRGVLDSVDQEGTAILSRAIGAERFSKRWLQNAFGLIYTRAKVTWTPAYLAYHLLLVPALHVASITLFAVSHKRGRYNATDVKITYILLCFTAVLDISAFFFRGLIHLVMFVAKVPSLCEWIAQYNLIDAALRRLQPTGWLIKCATRIGCYEGYFDTKHDKLYSKVAGYLVFDLLRSDQIEGLDLGSYRNLDSEMNNWILSHDLRRRACGEGTKVRSTLLGSFDRSVLFWHIATDLCFTCKPPTFPAHPREVITEAISNYMAHLLNFRPDMLLTGSRQHLFAEAMQQVEAILKLRAGRHFKRPSIQDDKAMVDTIFMRSDSGPGPNEYPLVHEACRLTQELLLLDDETRYELMYHVWVGMLFYSAAMCRGYLHAKSLGEGGEFLSFVWLLLSIKGTKTLSDKLQMPDQPNAPPVQQHAQGSQHGEVFQKQEDWDFRN >KN539436.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539436.1:80912:83695:-1 gene:KN539436.1_FG012 transcript:KN539436.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNPLAVGLLALLVAAMAVAAAAHDDDDVPFTDEDLESEQSMWNLYDRWRAVYASSSSHLGGDIESRFEAFKANARYVSEFNKKEGMTYELGLNKFADMTLEEFVAKYAGAKVDAAAALASVPEAEEEVVGDVPAAWDWRQHGVVTPVKDQGSCGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATPDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTAAISMVVDAVDSY >AMDW01040667.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040667.1:31:1446:1 gene:AMDW01040667.1_FG001 transcript:AMDW01040667.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CFRSSSLATRLAFWHPVFGSFENILKALKMNAALLGSDLDKVAKPNLAFLAQCGINASDVTRTTLSLYSCRLFTVNPRFLQDAVARVEELGVARGWRTFHRVLSTVAFLSRETIASKMQLLDDLGFSHDDFLVIVRRAPQVLRLSDGRIRRSVEFLIRDVGLEQSYIAQRPTLLAYSLERRLLPRHCLLKVLKAKGLLNCDLSYYCIAAMSEEKFVQRFVDPFKDKIQGLADAYTSSCSGEANGVRSLYLVALEIENCKDWLGTKMYCPAGLKSLGFHNLFSTCEEYFYRSSVAKWIGMTSCHSSHIMCLPEVDLMVLEVELELPLPDTLLPAAA >KN539436.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539436.1:102835:104265:-1 gene:KN539436.1_FG013 transcript:KN539436.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVQDDDDDVPFTDKDMESEESMWRLYERWSRAHGLAWRDLPEKQGRFEAFKANARHVNEFNKKEGMTYKLALNRFADMTLQEFVARYAGAKVDAAALASVADLEEEELIVVGDVPATWDWRQHGAVTAVKDQKDCGSCWAFSAVGAVESANAIATGKLVTLSEQQVVDCSGDGDCDGGWPNLVLAGYAVEQGISLDKYYPPYVANKMACRMVAAKPVVKVDGTLQVASSETALLHSVYRQPVSVLIEVDTNFQLYKSGVYSGPCGTSINHAVLAVGYGVTPDTTNYWIVKNSWNTTWGEKGYIRMKRDVSDDKGLCGIAMYGIFPTKNKRTTFSAAASAIADAAFY >KN539436.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539436.1:34876:41974:1 gene:KN539436.1_FG014 transcript:KN539436.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTVSFSYPAKPLPKWPCTLPKPPPRVRCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEHVNQIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSSRIAKLSRGIAVIKFVSGDLIRVDFFQVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMDLVDDSEEKIGVNIVGKALLVPAMTIARNAGADGPAVVEKLLASEWRVGYNAMTDKFEDLVDAGVDSRPVAWFFFADVIQAQLPALLAVATSIALDDTRPDGFVIAGHEGDAFAVPVRRDAVLGSIPGGEARGISWRAVVCTTTTTSRGQDDDAAGRALSLPAGPYVVLTKRARGSWPALLRTVADDGSLVRPSGYAVDALGEYVASSLRGFLGTVGGGYRALAVPDGREGDGRTWRIFLESGQVAGADSWPAHRRHSSADLLGHRRLGAARAEVNPCGCPDWQNRRGFAVRIPTDYHHVDVDGDCFVEGAVMLQRPRWHQFLAMRIGMDVFYGFYSVEGVHHRSSSSVTYQIHS >AMDW01034822.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034822.1:44:502:-1 gene:AMDW01034822.1_FG001 transcript:AMDW01034822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRCENCSNVAQKASAISGKDGEQTVACTNVNRTVDGDQAEQSERKTCQSEQSSDLIRLDGECSSSSRQPHVADAQHQVMPTEDITTKGDISGMSHGEKDSSSFSIVNQKPECLECAQEDVPDCHLGEKPVNLSSGQCQNANTQDQGMRKQ >KN541523.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541523.1:6499:7680:1 gene:KN541523.1_FG001 transcript:KN541523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNDLYGHIPSIGHSKVLEILDLSNNRLEGVIPESLTAFPSALSYLILSDNDLQGGVLPKNSAMFHLRHLDLENNHLTGHLPPELTMSTELLILNVNNNMLSGTIPNWLFSPTELQELRIILFKGNHLKGSVPDRWCSSRNLHILDLSYNSLSGNIPDCLSDLVGVYFSNPQKIIFNESYGPLAKQSYEDSMNITTKGTSMLYKGLPLELFIGIDFSMNNLTGNIPPNMGFVPGLKSLNLSFNHLRGTIPETFQNSLTLESLDLSHNYINGNIPSELTQLCSLSVFNVAHNNLSGEVPSEGQFPTFDKSFFEGNQDLCGQVVEKKCPASNKSFGFIGGESSMKMDTMDSPIIYWSFIFGSFATGFWATIAVLVWNASLREKWFNAVDNLITC >KN541523.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541523.1:20554:21507:-1 gene:KN541523.1_FG002 transcript:KN541523.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPKVTERKADKDHDDNNDGEGGGFFDKVKDFIQDIGEKIEDAVSFGKPTADVTGIHIPHISLEKVELIADVLITNPNPVPIPLVDIEYLIESEERKLMSGTIPDSGTIHAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKIRVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKETTNIKKQEVTTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDDDDQVFVS >AMDW01038325.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038325.1:515:748:-1 gene:AMDW01038325.1_FG001 transcript:AMDW01038325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STSPPATPERLGDGLSWALQAAKEAEDVAAVDCSGHGSVFLDGVTGEDGRPGCECNRCFSGPDCSARTPNCTADADR >AMDW01040871.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040871.1:138:2915:1 gene:AMDW01040871.1_FG001 transcript:AMDW01040871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSVEELGAGEGSPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDRSDGGVVRNVVRWSIENLLEEQEGATLMVSAKFAVMSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFFSLQILQFLKTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSNYQEYTIGRRQLNPCK >KN539055.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539055.1:104640:108748:1 gene:KN539055.1_FG001 transcript:KN539055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVDRLKDGFAKFKTEFYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLKDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCAILEKEAVNQSLENLKTYPFVKEGIANGTLKLVGGHYDFVSGNLDLWEP >KN539055.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539055.1:141471:148958:1 gene:KN539055.1_FG002 transcript:KN539055.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRRGESARLGLGEEPPQAPHHQRRREDWEIDPTKLVIRGIIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEQEISSLRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNIQTEHGHFGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAETTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQKALNEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARREQRCGKQK >KN539055.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539055.1:52857:56062:1 gene:KN539055.1_FG003 transcript:KN539055.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRDEADEMLGRGFKDQIYDVYRYLPPELQVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >AMDW01029898.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029898.1:14:250:1 gene:AMDW01029898.1_FG001 transcript:AMDW01029898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWYYPCLVRSIPGKMMLGRQWKPGCSCWSSMRKLQGAEKEEMLRTMRGMEAKLDDEIAANLHAIKAHSSSHQHGFFN >KN539055.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539055.1:112520:115263:1 gene:KN539055.1_FG004 transcript:KN539055.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCCCFPVYKPARENPMRSTRESLIQHKPRPTTPYHHPPPPPFITYTDKVDFSYSLSGMNAVERFKTGFENFRNTIYDARPELFERLKTGQSPKYMVFSCADSRVCPTLTFGLQPGEAFTVRNIAGMVPAYDKRGQCSIGSAIEYAVVVLKIGLAAKKKVERENMLLPFDDQCTVLEKEAVNVSLRNLQSYPFVKERLQKGTLKLLGARYDFVYGSFEMWDL >KN539055.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539055.1:129490:132255:1 gene:KN539055.1_FG005 transcript:KN539055.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEALRYAKKEIMAAGPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFAVADPAKAHLFYLPYSSQQLRISLYVPDSHNLRPLAAYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWGSYTTTAHGDLRRNTVKALCNADSSEGIFTPGRDVSLPETTIRTPRRPLRVRPALLEHWGDGRDDDMRVYGPLPARVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWSAFAVVVAERDVPDLKKILQGITLRKYVAMHGCVKRLQRHFLWHARPLRLRDGRTYHIMLKRLAVEMRELVASPMNAMKENHTALLIFLLKGSLPKLDIHRSLRDAAADEADMRRYANTCSEARKIRWSDAGNTPLLSLLWNGAFQVKDERLGLCLIQEEAEVVARGAPENKPRRNQAANLAERLRDRVDADDDVHSDGVPAIFSVVQWGEEKVIKPPKDEAMYLSVV >KN539055.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539055.1:20476:21966:1 gene:KN539055.1_FG006 transcript:KN539055.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEGDQQPLHILFFPFIAHGHLIPVADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDASRGTGSPEISITLFPFPDVGLPPGVESVPGISSKAEKGKMAEAFLRFREPFDRFLAEHHTDAVVVDSFFHWSSDAAAEHGVPRLAFLGSSLFARACSDSMLRHNPVEASPDDPDAVVSLPDLPHRVELRRSQMMDPREREGEWAFLQLVNAADQRSFGELFNSFREMEPDYVEHYHTKLGRRAWLLGPVALAAGKGMAERQDTDTDSGRLSPDEERCLRWLNGKAAGSVVYISFGTLARLLAAELTEIARALQLSGKNFLWIITREDTDASEWMPEGFADLMARGERGLIVRGWAPQVLVLNHPAVGGFVTHCGWNSVMEAVSAGVPMVAWPRYTDQFYNEKLVVEMLKVGVGVGAREFASFIDHRSQVIAGEVIAEAIGRVMGEGEEGEAMRKKVKELREKARSAVKEGGSSYDDAGRLLDELMARRSSSTS >KN539055.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539055.1:151602:152584:1 gene:KN539055.1_FG007 transcript:KN539055.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVDAVNSGADVDEEPIAPANMSLPGTSSFIGEFLILVGAFQRNGKVRNFPYHYFRECEDFVTFLHFFLYTSGVALSAGELLFLDQNLPPVDEAPPSREILDLDLNAPLPDPEPEVEIVPGDDQHVPPIQEDNPITFHLQNDEQRESLEQMLHSVKERCIMKLRNELIVNKRSRKWGSTKF >KN539055.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539055.1:117190:123219:-1 gene:KN539055.1_FG008 transcript:KN539055.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDDEQTAPTSTATEPGRPTVGITGQLVKQVRLNKYHNDVASMAPHDQELLLELRGSSSSTDRAGLDLVAVIDVSGSMDGDGIDKAKTALQFVIRKLSDLDRLCIVTFSISATRLCPLRFVTAAAQAELKALVDGLKACGKTNMKGGLETGMSVVDGRRLAAGRAVSVMLMSDGYQNHGGDARDVHLKNVPVYTFGFGASQDSNLLEAIARKSLGGTFNYVADSANLTGPFSQLLGGLLTIIAQDLELTVTRFHGEATIKRVVWVDAGTYPQTTASDGSSVTVSFGTLYSAEARRVIVYLALADKTASPPYDANVCLAQYRFTFQAQQVTSNPDLITIKRRPSAAPGAARKPQPVENELARRQHADMIRAARDMAEANKMEDARNKLEEARKALEENFNQAANPTVCPRCESDSLRLLDRASSGRNRAPHFAEETYAII >KN539055.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539055.1:56793:60151:-1 gene:KN539055.1_FG009 transcript:KN539055.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAEQVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATASVETVAEAHVRVYEAMGDNTAGGRYICYDHVVQRPEEFAELERQLGIPRRTAAAAAAAAQDSGDRPARFELCRQKLARLMSTRRRCTYDDYYSVAFD >KN539055.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539055.1:6147:9255:1 gene:KN539055.1_FG010 transcript:KN539055.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDEQQPLHILFFPFLAPGHLIPIADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDSFRRNNGGLAIELTVVPFPDVGLPPGFESGTALTSQDDRDKFYIGIRLLREPFVRYLSEHHVDAAVVDSFFRWSADAAAEHGVPRLGFLGTSVFARACTNSMLRNNPLETAPDDPDAVVPLPGLPHRVELRRSQMMDPKKWPDHWEMLQSINAADQRSFGEDVAARGTSELSPDADGYLRWLDVKPRGSVVYVSFGTLSSFSPAEMRELARGLDFSGKNFVWVINGADADASEWMPEGFAELIAPRGERGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVNPGASRKNKEQLNSDVPNLNKNVLQKRKVQAQTKI >KN539055.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539055.1:137074:140274:-1 gene:KN539055.1_FG011 transcript:KN539055.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLRWGASSLRGGHYSAPPSSRLFSALRRPPAAARCEPGSRVMLKGMDYPELENWVRSQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMLTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCTSGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMILLQPFINIKLLQGMGEPLHNIDNVLKSSAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLQESNCALAVRNWIMPINRKYNLSLLLGTLREEIRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >KN539055.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539055.1:157372:157937:-1 gene:KN539055.1_FG012 transcript:KN539055.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding IGIGSVKCVVPPFSRTQREDGDAAAASGEWSGGSMDGNSASGSSVVAQALAVELGSSVPAALVDGEVRSGCRSAGPYIDSGPWGSISIAVAALIVPFYRAIGGLGSRSNRLHFPIPAGAEHRFPIRIARSKPYRNQPAQHRLARTHQGPRQAATMANEVWY >KN539055.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539055.1:73770:74413:-1 gene:KN539055.1_FG013 transcript:KN539055.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGVTTMDFLVPRHRRQDREQRAPQHPHLPAAHALHLRLILVMSSRMEAGSVVWGKSSSFRVLPSAGQASLQRIVVFGDMG >AMDW01085911.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085911.1:3023:4354:-1 gene:AMDW01085911.1_FG001 transcript:AMDW01085911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLGTYGIVNPVISSSSSEEFASGDTDPDQQQASDEDDFVDIFLPYVDMRVVAHYAFAYVITPCETPGRNIRKAMQAAHPSFQFSLISSCRGSMAMRFIHRNDREFAVDHQDSLRAEGHSVMLERPEDSSARSTQHNTMLSELDCVDFPPELLFPNKIRNAFQNYGELMEVDDQCLFGDEQSSLRLVVLHYPGKRMTPTMKLRYNFGVVSTVHVTVLRSWDLAMNIDEEGNYIKHYEQFLLPHQLEPPRSRQAAGLNSASNLDQPPNSPVTQGHGTRSRGVPLLMAPCSVQIEDISSPPTEDVDADDRDKCSVFQQGVPVPESECQDSTLSDLEPEHELSARKRRRQKKISLDIEVKKRFSARLAAKEGQMYISMEAKAARAKKLKEQLAKCSSKLNEVVSSHKLLDLNYKTTPKALEDLAIACSLDDHDIAQLRSVLAVVE >KN539675.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539675.1:6156:9183:1 gene:KN539675.1_FG001 transcript:KN539675.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVLLLFACSSGVAAAAAQGIERIKEDDPVGKLKVYVYELPPKYNKNIVAKDSRCLSHMFATEIFMHRFLLSSAIRTSNPDEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIKFISKYWPYWNRTEGADHFFVVPHDFAACFYFQVSHAQDQYVPTFQEAKAIERGILPVLRRATLVQTFGQKNHACLKDGSITVPPYTPAHKIRAHLVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPQTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWEEIAVFVAEDDVPQLDTILTSIPTEVILRKQAMLAEPSMKQTMLFPQPAEPGDGFHQVMNALARKLPHGRDVFLKPGQKVLNWTEGTREDLKPW >KN539675.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539675.1:76629:78255:-1 gene:KN539675.1_FG002 transcript:KN539675.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAKELHFLLVPLVAQGHIIPMVDLARLLAGRGSRVTVVTTPVNAARNRAAVEGARRGGLAVELAEIAFTGPEFGLPEGVENMDQLVDIAMYLAFFKAVWNMEAALEAYVRALPRRPDCVVADACNPWTAAVCERLAIPRLVLHCPSVYFLLAIHCLAKHGVSGVTVPPMFLPAEAEGVQLTSDGVVKAVTELMDGGDEGTARRARAKELAAKARAAMEEGGSSHADLTDVIGYVSELSAKKRQERDAGETAQQPPPSPAELGDISGDKVEADPALSVQS >KN539675.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539675.1:56409:58185:1 gene:KN539675.1_FG003 transcript:KN539675.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYVGYRCTCLPGYDGNPYIPDGCKDIDECLQTPRICKELCHNIEGNYSCTMCPDHTEYDVIRMQCAPRRNQSLLLGIIIGLTIGFGVLILGTIVIAIIRRWKRDVHKKMRRKYFQKNQGLLLEQLISSDENAGEKTKIFSIEELKKATNNFDSTRILGRGGHGTIYKGILSNQHVVTIKKAKVIKEGEINDFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFELLHHDSSSTFPLSWGDRLRIAAEAAGALCYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPVDQSHVVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELLLRMQPIFTTMSGMKQNLCTYFLSEIKTRPIIDLVDRPVLEEANEEDIRQVASLAEMCIKLKGEERPTMRQVEITLQLLRTERMTPSHVSPDRNQEIESLLTQGAIDQVMHALVNVDRANIASQRSQTSCYSLEKEFLSSASLPR >KN539675.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539675.1:31568:43592:1 gene:KN539675.1_FG004 transcript:KN539675.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTTACWLVIRLVFVKGNTGRRPCEADGIRMVPGSRGLPIIGETLEFLALSPSLQIPAFFQRRLDRYGPLFKTNMIMEDLIVSLDPEVTNFVFQQEERLFQMWYPNSFIKIIGTDSLMRTHGLLHRHIKNLALRLFGPENLRREIIQDVQITVEANLSSWLNQTGIELKEAVSSMIFSVTAKKLISYDSSTSDGKMWKQYDAFTQGLLALPLCIPGTAFYKCMQGRKNVIKMLKEILDERKNTEEHRESVDFFDVLIEEVKAENPDQMTENAALDLLFLLLFASFETTSSGITAMLIFLTDNTAALQELIEEHNNIRKRKADKNSEITWEEYKSMKFTSHVIHEALRLASIAPVMFREAIEDVHIKGFTIPKGSKIMICPYTVHLNPVVYKDPNTFNPWRWKNIPEPASGASKDFMAFGGGLRLCVGAHFAKLQMAVFLHCLVTKYSWKAIKGGRMALSPGLRFPEGFHIQLFPKA >KN546267.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546267.1:142:466:1 gene:KN546267.1_FG001 transcript:KN546267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQQEETMSMAQGRERGGEEDAGGGGESGFLTTMASKIGAAVSGANGGGAEEDGEGNGDGNAAAVSGGEEERMRGGDVGGGIFGKLLSTESMAQGEER >AMDW01040645.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040645.1:13:1622:-1 gene:AMDW01040645.1_FG001 transcript:AMDW01040645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPRPPQFVPLDVGRGGAAACELRDCESGAYKVVKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEMGSLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNSRFFNLSVQNRLLNIDENIYIPITKGEFAVIVSTFN >KN540449.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540449.1:47072:47614:-1 gene:KN540449.1_FG001 transcript:KN540449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSREYGGQWLSCTTRHIRIYAAYINPETNAFDQTQMDKLTLLLDPTDEFVWTDETCQMVYDEFQDLVDHYEGAELSEYTLRLIGSDLEHFIRKLLYDGEIKYNMMSRVLNFSMGKPRIKFNSSQIPDVK >KN540449.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540449.1:4904:7224:-1 gene:KN540449.1_FG002 transcript:KN540449.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWKVCEEIIFLKVLTEECKLQKRDQVGALEDDVLVGDHDLGAPMRPCLTSDHHQQPISCPSWHDPRPQSWNEKRGFLFCKEDRAILCRECDVAVHTTSELTRRHSRFLLTGVRLSSAPMDSPAPSEEEEEEEAGEDYSCSPSSVAGTAAGSASDGSSISEYLTKTLPGWHVEDFLVDEATAAAAAASSSSDGLFQVVCSHAHTASNNKKYM >KN540449.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540449.1:38672:40390:1 gene:KN540449.1_FG003 transcript:KN540449.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESWWLPTWQPLLVLLPTMLLLYHTVSSWHCGERCLPLPPGPRGLPFVGNILHTSDMTHRGLAQLASRYGGLLHLRLGRLRTVVVSTPEMARLVLHVNDRAFADRPTTAAIDYLTYGRADMVFAPYGPLWRQLRKLCINRLFNRRRGASWAAVHDGVDSLLREVAKNSGAVVNVGELVFGMSMKITLRAALGMRNEGEDAAADLVAVLKEFSEMFGASNLADYVPWVGWMDVQGINRRMVAARAALDRLIDRAIDEHLAHPKPVDATDADMVDGMLVFLDDMPECPGVGTATMKYMDGADACAGMLRLSRDNIKATIMDVLFGGTETSATTIEWAMSELMSNPEEMRRVQDELAEVVGLHRQVTESDLIGDKLPYFRCVVKETLRMHPPSPLLHHEAGEDCDVAGYRVPKKTRVLINVWAIGRDASAWGDDPAGRVQAGTLGMLAVELALARLLHGFGWSLPGGTGSAGELDMEETYGLTAPRAVRLSAVPVPRLSHL >AMDW01031267.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031267.1:7:144:-1 gene:AMDW01031267.1_FG001 transcript:AMDW01031267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRDRDPLVIGRVVGDVLDAFVRSTSLKVTYGSKTVSNGCELK >KN540449.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540449.1:29200:29883:-1 gene:KN540449.1_FG004 transcript:KN540449.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYAHHHSSLRDDEEENGRFLNLCLLGFWNFGNLWFLLCVYVGAFWTEKDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAAVAAPEEEKSKDDSQPSSAVTRMTSRS >KN540449.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540449.1:48765:49549:-1 gene:KN540449.1_FG005 transcript:KN540449.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPDPAVAASVPLVRLNHVSFQCTSVEKSVDFYLRVLGFELIKRPESLNFNGACIPTRPLPAINPMGNHVSFQCSDMVAMKARLRAMDREFVVRKVWDGETVVDQLFFHDPDGNMIEVCNCENLPVIPLVVASTPGLPELLPPAMQTNVHG >KN540449.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540449.1:14495:19789:1 gene:KN540449.1_FG006 transcript:KN540449.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVSRQGVFKPFGAAYGNSENAFTDESEVNLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVDIGNKLSDDSQKYGTGNTCTSGNTLSKAAMYYGKARSLMEKERGNMLRAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRVRESAPNGDVITKLEAAEYKLEELKSSMVGLGKEAVAAMAAVEAQQQRLTLQRLIAMVEAERAYHQRVLEILDHLEQEMVSERQKIEAPPTPSAENYMAQPPPSYDEVNGMFASSSVDDSVTSVDFFLGEALDSFKAESESELNLSAGDIVIVRKISTNGWAEGECKGKAGWFPHDADGNKMAWKSRQINDALNRPILYGFTHASTRIRPVSCKQADETAGEKVFQLLTKGGNPFLNARTECLDLPLTGPPPRSRRADGIGVKVKVKTPKHIRLASWSDFIADLLVTYRSNRSLISYSG >KN540449.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540449.1:43258:46436:1 gene:KN540449.1_FG007 transcript:KN540449.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPFAAGDYPADQVDPDYLYFLRHIRPDGDSYTLELPSDGVSPPSLVKYEAPADAGSTDGECVSDPSPGRASTNRPPEEKESSVEVDAEPPAPSWIDSLVDIDEDYRLFLKHTRVVNDNMVLEIGGAVVTYPCAASSESSSEVEDAREKEVAVDSDEPVVILPDPKVCDWVAVGDASVRTLDSKKKRKMSSSNSNNAGPSVPPGSQGVIWPAHINSRPDSDFKQRLLDALSKPFSRKEYIKLFDMASIRTPLVKLRQVRNDVKFYPTQEMGNSYFDHYPDLVDQVMHTSFPNGLALMRGFFFWLQNNAHEDQFRPWVDVSKDHEVIPLID >AMDW01010933.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010933.1:116:205:-1 gene:AMDW01010933.1_FG001 transcript:AMDW01010933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSDLMLLGSDATYADRFFRNHVMSKLFA >KN541000.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541000.1:4304:8600:1 gene:KN541000.1_FG001 transcript:KN541000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGYADYFAAEVDGAGATELYGLQQQHQQQGVGVAEMFGQLLQEFCSLPVKSTTSPSSASKATKPPQEEAAGGGGSSSWTAPTQIQSMDAAELQRLKGKLYTMLEEVDRRYRRYCEQMRALAASFEAVAGERAAAAYTRLASRTISRHFRSLRDGVVAQLQAVRKQLGEKDTAVPGMTKGETPRLRVLDQCLRQHKAYQAGMLESHPWRPQRGLPERAVSILRAWLFEHFLHPIVRFRYPSDVDKHILARQTGLSRSQVANWFINARVRLWKPMVEEMYAEEMKDEEGSGQSTQASNPQNPNPSSYTSEVRGGGGEDRGEQKPSRAQLLHDAVAIRHLVARWN >KN541000.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541000.1:23609:24631:1 gene:KN541000.1_FG002 transcript:KN541000.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVAGAQCSSPLLVGAGRALSDGHHHHHLLPCESGVVVVARDRTASSRRAGAGSGKARAPLLATRRILLRYLRFLVPLCRKVRSLPLRVLSPRSAKGSLAAASSSASPARRSTSSSYASAAEYWCHGNADTAVRDAILYCKKSIGRQAPSIRLTNANLWYFHY >KN541000.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541000.1:16248:19713:1 gene:KN541000.1_FG003 transcript:KN541000.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTWLPDDSYLSPATVSAVASIQGPIIFDPAQGYTRMVAPDAVYKSQRTTNSTTSNVTWTFAVDGNSSYVVRLHFCAFEELSSVIGEGVDFNVYLMQAMGTRELKAKDYATLSMPTQAFYMDYVAVVPTAGENLTVSIGRAASSDSKKAILNGLEIMKLRAVDMTPASSSGKTSKVVVVAVIAAVLGAAVLAGVALCVLLVRRRQRRATLPVPEEEEKESVGTPWSPFTPDGEGSFGSAVVTPRRMNMKLHIPLAEIMVATGDFDDANILGVGGFGNVYRGVLRDGTRVAVKRAKRASRQGFPEFQTEILVLSSIRHRHLVSLIGYCNERSEMILVYELMAHGTLRSHLYGSAAAAPPPPPPLSWNQRLEICIGAAKGLHYLHTGHSDNIIHRDVKSTNILLGDGFVAKVADFGLSRVGPSTGQTHVSTAVKGSFGYLDPEYFKTRQLTDRSDVYSFGVVLFEVLCARPAIDQSLPPDEINLAEWAMQWSRRGRFDKIVDPAVAGDASTNSLRKFAETAGRCLADYGEQRPSMGDVVWNLEYCLQLQESQPSTETALDLDDSAAHLPRDIIDLGLPRTSYLLGPMDQKGFDGDRSESRLYVGNLDFRVSESDIIKMFSPFGKIIAEDFLWHTRGPKRGEPRGYAFVQYTTKEEARLAKEKMNGRLVCGRPVVVHLASEKCFVDSGNSHRAMKDKKLAGGSGGKSVQTDRAAKIAAIKHKLKSLEDEGCSTKRSRLKSDDLPGSGKQSDKKC >KN541000.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541000.1:11732:13689:1 gene:KN541000.1_FG004 transcript:KN541000.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGAGEKPPASNGVHGSGKARFTLLYGLLLYVVMPVLFLYMLVAAASPFYNPRCSPESNAAMARFVVAMPNASSVNGSSPSSSPPPTPVRPMRSADEAPTGLRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDRPVEEFYSKSSRTGLPPIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHLGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRKLFDGPVRLDSAAVAQQSVCYDREHHWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYMRDSRMDRRRNVTVTEYDRHRGKQPDCRWRIPDPAALVDHIVVLKKPDPDLWKRSPRRNCCQVVSSPKKAGKNRTMTIEVGVCREGEFAQL >KN541000.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541000.1:29294:31980:1 gene:KN541000.1_FG005 transcript:KN541000.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGSCPQGTVYHWFVLFAVWLCGSQHVLSQKTPLEPKDKFLLSDPPIGLFDPIEISPSVLPHNANPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVAPTSGSSGPRVN >AMDW01038286.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038286.1:131:594:1 gene:AMDW01038286.1_FG001 transcript:AMDW01038286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIDAAGDDSGEGNQADEEPVADEDKKRKPLSPRMTRQALRKMAQQQSSLPSSHRGRSAARSLDKPMPSKLRTPPTSPSPRTSVPRSPRSPTDGAASQDTRTDATPQ >AMDW01040752.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040752.1:95:1869:1 gene:AMDW01040752.1_FG001 transcript:AMDW01040752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYWKEGPEGSMVYKYKLQRIPGQPELALHIIKATRKSKVREGVCVPDISQGRERIPIPAINTIDDTQPTAFKYTTEVIYPHSYAKEPPKGCDCTNGCSDSNKCACAVKNGGEIPFNSNGAIVEAKPLVYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGNKGWGVRSLSSISSGSFVCEYAGEVLQENGDEHVETDEYLFDIGHHYHDEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDHNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >KN543885.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543885.1:635:3854:-1 gene:KN543885.1_FG001 transcript:KN543885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGKVVSTPRKVDCLADARVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKNLDGVKIKRIAAGMLHSACIDEKGTLFIFGQKTEKVGFGRSGDELRPTVVEDVPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGYLFSTFSRNLLLQLLLLQLYQCLSTLRMFAYIKFLTSGTDMVRSPEVLKSSLFKFPVSKVSCGWKHTAVISGGDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >AMDW01039126.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039126.1:254:546:1 gene:AMDW01039126.1_FG001 transcript:AMDW01039126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTE >KN544096.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544096.1:144:1050:-1 gene:KN544096.1_FG001 transcript:KN544096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGYVFVVSANKPVKVWKSRHQTIQMFDIF >KN544096.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544096.1:2348:3731:-1 gene:KN544096.1_FG002 transcript:KN544096.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding PLGPMRYTDRIYNEHEALRMRNSVNILSVKILSSDVGFPINVYGTVIARDSLDEKCIYLFRRPRDDCQLINSKLHFKVTRHYLIMLLDSQDDSLILTGPTRGLVLQDAVYFEVDLRIKDDRLRGKKKERSKGLLMIDGIRSVIKVKNQVENDTFVGKLGTVELRYAIVKDAVEATVEIKVVEGYFRGEVAACTTNIQDKVVLLDSRTRCVMADNLDVQLSRRVMAVHNKEKLLLTVVNQDDEVPTGCVTQTIDFTPKFNGSDVTEVTCGSVKMLVKVTWSLMV >KN541617.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541617.1:448:631:-1 gene:KN541617.1_FG001 transcript:KN541617.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSYVATVPSPSTVLAYLAAAKVSILLGLSDPELACVTSNFIPTSDSARGAVLAVAVA >KN541617.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541617.1:5618:7778:1 gene:KN541617.1_FG002 transcript:KN541617.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQDEILKKDRAELLQAQAELWCHTFGYLKSIALSNTEHTCQSTYVLVCVSQLQVGASLCLGNWLTSSDENTPFGMAHGMDFWDFTGHDAEYSMLFNKGMASDSHFVVNIVIHECAEVFVGVRSLVDVGGGNGAMAKAIADAFPHIKCYVLDLPHVIHGTPTDGIVEFVAGDMMHFVPSADVVLLKFVLHDWSDEDCVRILTRCKQAITNKEEGGKVIIIDTVIGSPSQQILEAQLSMDICMMTLTTGKEREERDWHKIFLEAGFTRYKIMPILGVRALIEVYP >KN541590.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541590.1:9059:17695:1 gene:KN541590.1_FG001 transcript:KN541590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLMILPCRELLRLVLVGATLLILAASTDQHVATLPPITLPGCIDKCGNISIPFPFGMKKTGCFLPGFEVTCNDTFTPPPLFLGTYPQRGPNCHEFDESNYSATEKGQPLHPGSYEFLPMELMNISLTEGVARAYGPVSSDCSLNETYHLLKRRMTYVMDPFLVSTRNVLTAIGWSFEAKLAKSLRGSGYLKSCSVRLYGPESATNGSCMGGGCCQAALTENITNIAVSFVYMQQNSSMWGLLPLPGQARLRLQNMLVQAATAHAPMSPTVIVTFATAATATMGILTYLTDVMVLWPSGNALGRGAIGGLFIVAVLVFLALIHREKRKMREFFKKNGGGFGEVYKGRLADNKLVAVKKSINVNAAQKDQFANEIIIQSRVLHKNIVKLIGCCVEVDIPISVYEFITNGSLDDILHAEGLAYMHSKTTNTILHGDVKPANILLDDNFVPKISDFGISRLIVVDKNQHTDKVIGDMSYMDPVYLQTGLLTKKSDVYSYGVVLLELISRKKATYSDNNSLVRNFLDAHKEKRKATELFDKDITLAEDLDVLDGLVRIAVECLNLDVDQRPEMTKVTDDLFILMKSRAKETKQ >AMDW01079054.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079054.1:163:373:1 gene:AMDW01079054.1_FG001 transcript:AMDW01079054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFFFFLLLLVTISPSTARLSSYGVNTVGQLAIVSAPILAFGLCKL >KN543843.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543843.1:3:2358:1 gene:KN543843.1_FG001 transcript:KN543843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CEDGKKYGAENTCTSGSTLSKAALCFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVASMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPAVESSMPPPPSYEEINGVFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRDRVLASKVAQVF >KN543503.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543503.1:8:2986:1 gene:KN543503.1_FG001 transcript:KN543503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTFVNLAHFAMTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLFLNTIAVVVLVVAKLPNMHKVRIFGINAGN >KN543718.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543718.1:4164:5837:1 gene:KN543718.1_FG001 transcript:KN543718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELVLVVLIFSLLLMAQGDVTKVPSSQCQRKCGNVEIPYPFGIGVNCSLSRSFSVDCEATNEFGIAKPFVGNVELLSVSLSNSTIRILNPISSYCYNASRLMQRSNYLLNATDSPYRFSYAYNKFTVIGCNTLAYISDYTKVNYQSGCVSKCSDLTGLVDGSCSGMGCCQTEIPKDMSYYDVKFDDKFNTSQISRFGRCSYAVLMEASSFNFTTAYINTTKFNDTYAGRQPMVIDWALRDIKSCDVAERNETGSYACLSSNSKCVDSTNGAGYVCSCSDGYEGVIIGFLALMVMAFCAHMIIQRRQLKKTKQEYIQQHGGLLLFERMKSEKGLAFTVFTEAELIRATNNFDESKIIGKGGHGTVYKGIVKNNVPVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLMGCCLE >KN545001.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545001.1:1935:2549:-1 gene:KN545001.1_FG001 transcript:KN545001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLANLAIFLRQADEVRMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >AMDW01034788.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034788.1:102:435:1 gene:AMDW01034788.1_FG001 transcript:AMDW01034788.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVCNGLRAPLLSVKILAISVKFSSKNDMDKLMNLLNFFPFVETLHVQASYTRYDLTQDSTDTVGSSYHEKLDPI >KN545301.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545301.1:580:1907:-1 gene:KN545301.1_FG001 transcript:KN545301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGKEMRRRAAEWKELGARATQPGGRSLVNLDNLIKEVLLPKLDKDAVALESIELNEDVSGMEEQRVSSSM >KN539370.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539370.1:57183:58340:-1 gene:KN539370.1_FG001 transcript:KN539370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRLAKSLAGWKPKLLAPDARLAIIKHVLMALPLYFMSVLELPVWTIKEIERKCRGFLWKGDENAGGSCSLVAWDKLCLPIENGGLGIKDLRSMGVALRSRWPWLCRTQPNKPWIGNGEETYFWTDNWLPGGGSVANRFPVLFSFVCRKNKTVAQGLHENAWVSNIRGGLSIHGFLEYFALWDLLSPLHLEQGVKDVVCWWPEAKGVFSVKSAYSLLSAGCTRCALGKIIWKSRAPERCKFFMYYAMKGACLTADNLQRRGWRLAPICHLCSNDGETCSHIFYGCPYTREVWGLVRGRVGLLYAAPDDVLSSWWCKVRKAIAKKDRRSFDAGVILVTWLFGRSAMRMYLRDEPARLSTFVRP >KN539370.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539370.1:23210:25162:-1 gene:KN539370.1_FG002 transcript:KN539370.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASCGLGFGDSINSLDTSRHWSTVQHTSGLKATTIDYSTYSSFSIASSKTLKRKRSAMAGPEGTGNPLLTLGLGHSPSSSDNSKVSSATAYAMSPSSLKEADEESSADLGLNFELCLGNDMVHCQKKSPVGAENSPLTNSHKLDLHLSLSTGSPESAVTNTNMVSPIIHSGLEIPVTNCSPAIIGEGSVPCTWVFEKSVISSSYASEATYTFPFSKVPKTGDAAMSSPVISSTLVTSMKSPVACTSGSINPQQRNSITKNCQFPGCVKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHDGCSRAARGKSGLCIRHGGGKRCQKENCIRSAEGHSGFCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFSGCNKGAEGSTLFCKGHGGGKRCLFQGGGVCPKSVHGGTQYCVAHGGGKRCAISGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQVDSNFGVGAPQCDRFARSKTGLCSAHCALVQDHCVRGGTLGAATFQFATDAKFDEMEVTPVKGDPHAQASSDDQSHLGGAHPPAVPAITSDRFSEGRVHGGGLLALLSRGGNHANAGNSKNGPSTMMTWE >KN539370.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539370.1:60069:63527:-1 gene:KN539370.1_FG003 transcript:KN539370.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGFQLCFPLPRWIRPRQARHQEYCGRCSTSTRDVPPQPQPQPIQCPEFDPLTYKPVYMSACSNTGSLSSLTRHRGKTSLTQDLERYAQIASMIEKSETRQRENLWGFVLLGSTAMGVLYHANARFTENAVSEVEQKWNIESLSEKLKQMQAEDEKRKAKWVPWRISVNSVLGTLYGRMPYMSSTNQVSGCKEKQYLSLLVENEMIAIVMDRIGRMDLC >KN539370.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539370.1:92321:96340:1 gene:KN539370.1_FG004 transcript:KN539370.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALGLTVLTAVGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGSGWMFYFVVIVQTAINTGVSIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALAFLSQLPSFHSLRHINFASLLLSLGYTILVSAACIGAGLSKDAPVKDYTLSSSKSEQTFNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYIPSITGYWAFGSQLLAIGLVYSQVAYEIMEKSSADATRGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPMFLANTAIMVVFSGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >KN539370.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539370.1:15260:22390:1 gene:KN539370.1_FG005 transcript:KN539370.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGDLSPGAMQLQMVSVASVLEAMGYEMKVFTFKDGPCTNIWRTIGVTVDLLPEDTDLHISVDWLDGMIQILDGWKEAFSRANVIVFPNYVLPVMYAAFDSGNYFVIPGSPAVPFQDRISAKSYDQDVRVSMGLSPSDFVISIVGSQFSYGGFLMEEALVLQAVGYLLQQYPSENSTQLELKVRILAENVTEKHRMALEAVSLNVGFPRGAVEHVASEDKDNLLGISDLVIYGSCLNEQSFPSVLVQAMCLEKLVIAPDLEIIRKYIDDGMNALLFPSKNIGKLTQVLLQAVSNGKISVLGQKIASAGKVHAKNLMASETIEGYAVLLENVIKFPAEVLTPLTAGEIPVALKQEWKWHLFEDVKHLYHMNGTLAGYNILQKLEEKWRSNQMEDHHSNASKIDDTFSLMAWEEERADEIANIKKRLEEEEFWKEHLQVSGWIDIMQLKERSEQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLSIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRVTARKANLSKKAETALLEAIQTQKHGDAFYFWVRMDQDERNLASQDFWSFCDAINAGNCRSAVLKAFQRMYGVQLDDDLHTLPLMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAEMYDKHHETGHCILSLHRDQHCYSRVLELIVNVWAFHSSRRMVYVDPETGAMQEQHLLNGRRGQMSIQWFSLATLKSMDEDLAEEFDEDHPDRRWLWPKTGEVFWQGVYERERNMRQQEKERRKQQSKDKILRIKKRARQKTLGRYIKPQPEDAGSLNDTRTVDR >KN539370.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539370.1:82689:85647:1 gene:KN539370.1_FG006 transcript:KN539370.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSTSFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSSRSIQLKTDGLLLSPSRSGDIESPKDILCERDSECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMDNISDVNCKGEHKVNDDDDGSLGMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSVIDGNEKHEFESKTDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKENENIALEDANAPLEDTRNASDAASLSERCEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPLSERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDTGVFVNGRELHQRDLDLLVGRGLPRISGKSYSVEISGNITDEETGKKLRSLGKLAPT >KN539370.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539370.1:8139:12921:1 gene:KN539370.1_FG007 transcript:KN539370.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYQALAKRHPSFRERSETTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRSELYDLEGIVKVKRQAVLAFTGFNCFKFLGFMILCDSLKSFQQPIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTSPNVLRGLLSHLADAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHRNITIRDQVNSILFITLNSCIPSSIHKTKTKRHATWFYKKSQSRFKHLFPAHELGFSWNADQDWAEIESLDIQSYPQANFATVQDKLGAEIY >KN539370.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539370.1:41481:42515:-1 gene:KN539370.1_FG008 transcript:KN539370.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISKLSDNFFAIIVPTEYDCLMASTRKKEIVDIIIKAIKSNSEYQPQVASSNRFEYHAAAEVIKEVEFEEAEGGVKTRITHKAKAKS >KN539370.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539370.1:104621:106463:-1 gene:KN539370.1_FG009 transcript:KN539370.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVSVDDLPADARVETRILTVVRRALPHLRDLLRSLLDSPAGVAVFLSDLLSPRALAVAAELGIPRYVFCTSNLMCLTSFLHNPELDRTTTCEFRDLPGPVLLPGCVPLHGSDLVDPVQDRANPVYPLVIEMGLDYLRADGFLVNTFDAMEHDTLVAFKELSDKGVYPPAYAVGPFVRSPSGKAANDACIRWLDDQPDGSVLYVCLGSGGTLSTEQTAEVAAGLEASGQRFLWVVRYPSDKDKTASYFSVSGDGDGEDSPTNYLPEGFLERTRGTGLAVPMWAPQCHA >KN539370.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539370.1:51933:53940:1 gene:KN539370.1_FG010 transcript:KN539370.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKEDRWVMSQMFDEMKGPAASKPLSKNEHRLAELLAAARGKLHPASILLGSDYSVRRRLGGRLKEVHWMGESFAMKHFIGDTDAAGAEVALLCSVAYPNVAHAAYCFHDEEKKEYFVVMDQLMAKDLGSYVKEVSCPRRRIPFPLVVAVDIMLQIARGMEYLHAKRINHGELNPSNVLVKPRQPDGGYVHVKVAGYGQPAGITAGGAKASANGNANGNDNSCIWYAPEVLRSDGVADAAAAGRCTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNICAGERPLFPFQAPKYLTALTKRCWHADPAQRPAFASICRVLRYVKRFLILNPEQQQQQQQGQTDDAPTPAVDYLDIEAQLLKKLPAVMEREKAAGAVHVAKDRASDSGSDGNSLYGDENGFGAMSPEHTFSAVSNGSLRSRPASSDGRLPTAKKADGKAPRQAGPQPKVKPVNTAARTPQSARRALGVKPDDHLQTNGAPTARRRTPEMASE >AMDW01038914.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038914.1:190:836:-1 gene:AMDW01038914.1_FG001 transcript:AMDW01038914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLK >AMDW01036548.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036548.1:61:375:1 gene:AMDW01036548.1_FG001 transcript:AMDW01036548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALCLTFEAGAMPKLRRLDLEFNDDGGGDGGFGNALVGVEHLAGLRVLSAKIGGFRSAVDAAATTGEEQADDRSAAMSRLRDAIDLHPSRPRVDITYTQGRYGLS >AMDW01057580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01057580.1:991:1485:-1 gene:AMDW01057580.1_FG001 transcript:AMDW01057580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCKYLMLISILAATITYQAGLTPPGDVWPAADDGEGHAAGDPILRDSDRRHYLAFLYSNSVSFAASILVIVLLLRVVVVRKLSSFLPLITVVHAVAVVDLLALLVAYATGSSRDRGTSVYVVTVAITVLVYVAIYAGLSSRHCGREQDGNGGVGSRPSSQAARV >KN548766.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548766.1:103:306:-1 gene:KN548766.1_FG001 transcript:KN548766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIYRLLHPHFRFTMEINALARGNLINADGVIENSFSPGKHCMELSSVVYDKFWRFDMEALPADLIR >AMDW01010604.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010604.1:60:158:1 gene:AMDW01010604.1_FG001 transcript:AMDW01010604.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IHLGDTPHSLTKGDFESLARRTDGFSGSDIAVC >AMDW01035744.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035744.1:28:565:1 gene:AMDW01035744.1_FG001 transcript:AMDW01035744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSYLIRERRKLQHIKQKYFKLHGGLLLFQEMNSNERKSFTIFSEAELQHATNKFDKNQILGHGGHGTVYKGLLKDNTEIAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLSNLIHGNHGQNISLVTRLRIAHESAEALAYLHSYASPPIIH >KN540330.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540330.1:42927:44213:1 gene:KN540330.1_FG001 transcript:KN540330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMAAGSLHSILSDGFPDGLPEQCIAVVLRDTLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETAPSTSSAFSGPINHAPPPSGAALSSSCFNDMAGTPYWMAPEEPAKRPSAEKLLRHPFFKGCRSRDYDYLVRNVLDAVPTVEERCRDSTQLCGCARGARCVSPCRHASSSGSDVVAAKNRRISGWNFNEESFELDPTDKPPEQQQQPCFPFHHDNDDDDDMVEHEQERQDGKDGLSDVAVPHLVTILGSLEMQRDMVMQVLEGDGGGGGGGETVGREEMLVGYVRELEKRVQELSTEVEEEMARNAHLQELLHERACENHTDSSHTSGSR >AMDW01040121.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040121.1:88:1098:-1 gene:AMDW01040121.1_FG001 transcript:AMDW01040121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRKQQTTTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIQPSLPPVILDTTVRTTNNHHPPAAAPVVTAVAESAARARARSPATSSSSDMVMTSTSGDTGNWSGLHGAYACRQMIAADEDCWGDTPAATTTTSGSDGGNSTCAEEMELLSGPIRSPLHIAADGNWMDDLLLVSDGLIDISSANITHLFSF >KN540330.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540330.1:3600:4869:-1 gene:KN540330.1_FG002 transcript:KN540330.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSVFLSRPSSTRPAISGMFTDYTPNDNLVEDHCNGLLLLKSGVANPATRQWVPSPPAPPQPVWMKDFYRFDSYLVFDPTISTHYEIFKIPRVPSTGFRVLDPMLKSLQWPPSLCVLQVFSSRTRQWGERLFVRDGAAAGTVTDMALAFPFDHYNGVYWHGALYVRCQVDFVMRISLSDNKYQVIKLPMNTEVCQYKNHFLGRSMRGVHYALIDNEHRLRIWFLNELYGQMTWELKHDNDLSFLLRCQEICTQNDGPWTLQYHNYFGYPRQNDMDNYYEAYKKHIVRKYHYEYESVWYKNHCEDIKKDVVVRVNNFEWVSDNDDILDTANPNEERCRRNLSILGFHPYKEAIFLNQEMKRGLVYHFNSSKVQNLGSTFPKPYDGLYI >KN540330.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540330.1:23103:23330:-1 gene:KN540330.1_FG003 transcript:KN540330.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFAAIVVVAGAGDVDADMVAIDVAELVVLVNVELSADEVVGGVAVADVVEEADVLAGDEEDDAVAAGVGVGA >KN540330.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540330.1:19955:21497:1 gene:KN540330.1_FG004 transcript:KN540330.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDDATQLLPDDLLAEILGRLAPRWLAASRCVRKAWQAIIDSRRLLRADLLPLSLGGIYFNFHDERHSVFFSRPSTRPIISGMFTDYTPNADRVEDHCNGLVLLWGGVANPATRQWAPFPEPPPPCTEIKGVCQLSNYLMYDPTISSHYEIFQIPRALDRYHDELDPMDDTSQWPPSPSVLNVFSSRTGEWEKRSYVREGEAAGTVADMALSFPYDHFNGVYWQGALYVHCEADFVMRISLSDKTYQVIMLPITTEVSEYKSHFFGRSKNGVHYALMDRDQRLRIWFLNESCGQKMWELKHDKNISFLLKRHDKYGQNDGPWTLHYFDYCENYDQNDIDAHYEGYINEDYNEEYIAAQDCKHFEAASYEDRNGNSIRNVIVPINKFEWDSDNDSILDIENMNDEHRDTFFSILGFHPYKEVIFLNRQMERGLAYHFNSSKIQYLGKTFPECYHSEVHEMYASFVYTPCWIGELSEST >KN540330.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540330.1:6035:9684:1 gene:KN540330.1_FG005 transcript:KN540330.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPDSWMPTRQLDKHAAAARDMGRAAKRMPLPSDQLGFLLRVVLASLHGAIAVAAQEHPLAVARSVLRAAALPGAIAVAPQDHFLAVAPPEHHAAALPGATQNHSLALAVAVARPEHHAPVFPGAIAAQDQHSLAVSRPDHQAAVYPDCVASSFPPPAQGEDYPEDQTCEANKLASQLIDSCGNGSSPDVRCCETIVVVVDLPSCLCLVSLRPKIKESQFSAFTLITSYRACGGLRAVQQKDAALCYGFNETEDEQPNLPPPAGNGVGTATMKQPKVLLDPVDNFSN >KN540330.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540330.1:31624:33437:1 gene:KN540330.1_FG006 transcript:KN540330.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLIGRGDDNAMLSIQADNLYVTGFANRTGHWHVLYPKFADQIPEPKTLLTFGDDYHSLLGDGSSQNLPKINLGLHATLNAIETLSNYQPSSDNTAIKIALTTLIVTLPEAVRFRPIRYRLSDGWFTGTRLTSHLAKEVVSWRDMSCAVLIFDKYGRWWASAEAGILQGKFQIRSKFDTLQYLDVVLWPRPKKCSLPILDDQHIQQIRARMDRRGQGRADDWLARLPILRAAPPSDNYPSCLRPPVRRLIRSSSTTSAVPSTSTRYTEDEDDMIGFTGFYNVDIFFSHDPNILPRGYEKHKNKLGKEPHIMTSKSLQLFISQER >KN540254.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540254.1:9118:14047:1 gene:KN540254.1_FG001 transcript:KN540254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAWALLLLFLLHLPTIATGSDAPFEDNFTVRCHPDQAAALIQLKESFFWDISATMLPSWQDGTDCCSWEGVGCDTSSHLVTRLNLSGRGLYSYGFDPALFTLTSLQHLDLSMNCFGLGTTEVARFDRLTLLTHLNLSRSGLEGRIPMGINKLVNLVSLDLSHHFLIDGNDIIYDENEDGIIVRDDIYNDIFESSLLSLVANLSNLRELYLDRVDMSTNVDDWCKALSQSVPRLQVLSLEGCWLNAPIHQSLLRLHSLTVINLGYNHMPANPFPMFFMDFVNLTVLRLSNNNLEGWLPDRLFQLKNLRVLDLSFNMNLSGYLSKFPCSLETLRLEGTNFSYAKPISSSNFKMLKELGLEGKLISMDFLMSFGLIRSLCHLELFNMELLGDSGSKLLSWIGDLRNLTSLVLIDFDFSSTMPSSIGNFKNLRSLRMSGCNLPTPILFAIGNLMDLESLVVSNCKTYSSIPSSIGNLTNLKSLSVNDYGFPGPFPVAIGNLKSLKSMEFSNCEFTGPIPSTIGNLTKLQTLDFSNCHFSGSIPYSIAQLKELRVLSIVSSNMSGRIPKSVVNMTRMIYLGLSENYLSGKIPAPLFTLPALRFLDLLDNQFSGPMQEFDVLSSCLVNLLLTSNELTGEFPKSFFQLTSLVYLMIDFNNLVGSVDLSSFQRLGKLRALDLSHNNLSVMDGEGDTSSSTYHSKLMYLKLACCNITKFPGILTHMHDMCYLDLSCNKISGDIPKWIWEKCGNSLRHLNLSHNMLTGLQLSSYVLPCSNYLEVFDLSSNRLQGHIPVPNASAIILNYSNNNFSSVLLNFTSYLGETIFLSLSNNSIVGHIPHSICNSSHLNVLDIAYNNFGGQIPHCLMENGWLDVLNLRENHFEGTLPSNITSVCALRSIDLNGNKIEGQLPRALLNCSNLEVLDIGNNLIVDTFPSWLRGLSSLQVLILRSNQFYGSLGVPNEEKSGEKFSSLQIIDIASNNFSGNMPLQWFDMFESMQRYNNTGQLIEQQYVLGSFYQDTITISYKAFSVSFERILTTLTAIDLSENSLEGSIPESIGKLVSLRVLNLSHNAFSGEIPPELGGVTALESLDLSSNQISGEIPQELTNLTFLTVLNLSNNQLEGEIPGSRQFATFESSSYEGNAALCGYPLPKCGSWSPPSAEPHAESSSEHVDIVMFLFVGVGFGVGFAAADEVNENARKKDTPDCILVAFLRLTPKLSENS >KN540254.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540254.1:51005:52766:-1 gene:KN540254.1_FG002 transcript:KN540254.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTELQIDLNMHGHLQATQKGFVGMNIYSFWNYPFSSSSADIAATQRALDFMVGWILDPLVYGDYPEIMKKKAGSRIPSFTEEQSELIRGSADFIGINHYTSVYISDASNGETVGPRDYSADMAATFRISRNDTPSGQFVPTRLPRDPKGLQCMLEYLRDTYQGIPVYIQENGKNGANVKGYFVWSFLDVFELLAGYHSPFGLHYVDFEDPNLPRQPKLSAHWYSKFLRGEIEINIENTISPDEHEHADQ >KN540254.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540254.1:41111:47580:-1 gene:KN540254.1_FG003 transcript:KN540254.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTSSLVSLLLLLLLLLQLLLLVAGEATAEAALNFTRQDFPGDFVFGAGTSAYQYEGATGEDGRTPSIWDTFTHAGRMADNSTGDRAAAGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNDLIDELVKRGIEIHVTLYHLDFPQALQDEYNGWLSPRIIEDFTAYADVCFREFGDRVRHWTTVGEPNVLSIAAYDSGVIPPCHCSPPFGTSCAAGDSTVEPYVAAHNSILAHASAVRLYRDKYQAKQKGVVGTNVYSFWPYPLSRSCADIDAVQRVLDFTIGWILDPLVYGDYPEIMKKQAGSRIPSFTKEQSELIRGSADFIGINHYKSLYVSDGSNREKAGLRDYYADMAAHFRGFGQFDKEDSLNDTERVEYLSSYMGSTLAALRNGANVKGYFVWSFLDVFELFAGYHSPFGLHHVDFEDPSLPRQPKLSAQWYSKFLRSEIGINIENMISLDEHEHAYYQ >KN540254.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540254.1:37877:39937:1 gene:KN540254.1_FG004 transcript:KN540254.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKESTERGRDIVNPSSFSNAVSSVKFGSDAYEFIADLLRQGTTDDGEGEKPTGYWEKVDEEGSRMLDRIAANARHRVEANGDDEYVTSACFWTEIV >AMDW01031422.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031422.1:18:293:-1 gene:AMDW01031422.1_FG001 transcript:AMDW01031422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDGFDEKSRAPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGD >KN538766.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538766.1:124600:126089:-1 gene:KN538766.1_FG001 transcript:KN538766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPGGPLTTNKAAALAKFLERKLQQPDGLESLNPDLVKLAVRNAKETIKASKGEPSTSGRIVRHVSSFGDSSESYHIAQKDKTFQNEGEQSKKKKKKKKKKKNLKAAKDSKSQKASKKKKLKSL >KN538766.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538766.1:77583:80457:-1 gene:KN538766.1_FG002 transcript:KN538766.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCSGSSGKGGVDAKSVAALSPGPRPAASAAPGESRVLAPPPISLRGAWKDSISSGESACWRCPDRSNSSRGSGIKKEDSVRRGGSAANDGPAKIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQIIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPGKKPLDWNARMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQPAGEQNLVAWARPLFRDRRKFCQMADPSLQGCYPKRGLYQALAVASMCLQENATSRPLIADIVTALSYLASNHYDPNAPSAKSSRTCPSTPKAKAHRRTTSVPDAQHAADSLNWNFPDLGRKETTRGEFEQDHSEGYGSGSSSGRNDGLDVPELLALHNGQNNSEADIYHKSSVKLDAHEKQRSGSGKGSRQF >KN538766.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538766.1:32982:34464:1 gene:KN538766.1_FG003 transcript:KN538766.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGKGSLESDMKVLCGGCVGGRPPQSRVEAEGKTRDRWGWQQPMPGFGFQQHLIPGMRPSVGPIPNFVMPMVQQGQQPQRPAGRRAGTGGIQQPMPMGHQQMLPRGSRGGYRYASGRGMPDNAFRGVGGLVPSPYEMGRMPLSDAGAPPQVPIGALASALANSPPDQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKAKVAEAMEVLRNAQQQQANTPTDQLAALTLSDGVVS >KN538766.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538766.1:164130:165258:1 gene:KN538766.1_FG004 transcript:KN538766.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDAKLVATASVQGTLVRVFRVADGELLQEMRRGFDRADIYSIAFSPDSEWLAVSSDKGTVHVFHINVCLPFSSKTGGQDVTQSYGAKTIKKSISSIKDLVTWGYFDPERSVAQFHLRDNVKYFVAFGTRPNKYIVLIIGMDGSFYRCQFDPGNGGEMKQLEYTNFLNM >KN538766.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538766.1:27459:30492:1 gene:KN538766.1_FG005 transcript:KN538766.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATSLYVGDLDVSVQDAQLFDVFSQVGSVVSVRVCRDVNTRLSLGYAYVNFSSPADAARALEMLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFSVFGNILSCKVATEMSGESKGYGFVQFELEEAAQNAISKLNGMLLNDKKVYVGPFVRKQERENVSGNPKFNNVYVKNLSESTTEDNLKEIFGKFGPITSVVVMREGDGKSRCFGFVNFENPDDAARAVEDLNGKKFDDKEWYVCRAQKKSEREMELKEKFEKNIKEAADKNQGTNLYLKNLDDSIDDDEKLKEIFADFGTITSCKVMRDLNGVSKGSGFVAFKSAEDASRALVAMNGKMVGSKPLYVALAQRKEERRARLQAQFSQMRPMVMPPSVAPRMPMYPPGVPGVGQQLFYGQPPPAFVNPQLG >KN538766.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538766.1:186739:187796:1 gene:KN538766.1_FG006 transcript:KN538766.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPPPAPAMTPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNVVPLMVLGFWHMILGNEGNQSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >KN538766.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538766.1:160465:160698:1 gene:KN538766.1_FG007 transcript:KN538766.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPAKADWQMVGTPTTTKGASKHGSLEFERGLNAGLVGGAIGGMLVGTEMVSDAAFYHVGYRAGLADRDGWAVY >KN538766.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538766.1:140042:148455:1 gene:KN538766.1_FG008 transcript:KN538766.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLAPSVSKHNGSMVPLSDDSSKKEVHQSTKVERASSTHDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGRGSLSPEFNNVPIESPRTILQIMTCFAVPGGFLLSPLRDDLVQLTQKVVPTAKKWETNANTENVQERYEGYAAKRMKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKSENVKAEAAECLENSGPGSSGMDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQLDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETLLNDSNEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSGAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDHDTLENGSGLGELRPKKNWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPTLPAPVVIEEHWQIQASVYPLSNQHAPIRSKSAADNIQFPVERDSKSVDHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRHSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPSSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEHSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHIAKTSPIESVSSSPPRISNNDKVSHDKILGKDGSTCANTNNMQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKGSNAARSHNDLHSTNKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFVKEKSHPKSNKHDMQNSKAKMLPSPLKESKVESHSAPLRSNASKLTAQLRRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKVPHPSSLSCNAHESDSFLYVSFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAVVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >KN538766.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538766.1:70277:75806:1 gene:KN538766.1_FG009 transcript:KN538766.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDDLSAWASQPSASGPATASSAGAGFDLNSQAQAPAAEGLKYDLFVSFVRGRRPHMSLPHQIRLERSQMIGKVYMTDLTALYTWTCSRCNDLTQGFEMRSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAIHLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSDGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDAAAHLACPRVAAACVDYLESAPWDEADEEEILRTIPCLGPQYQCVLARLRPIDPAPVAGILLSAFRHATSARSPQELKSAAQEQLEYMLTEDDDAPLLAFDDDIVRSQVKDCVAALLSRFSGFMSSILMKQGEAPLGRGDAEVQQELHSLVSDISWVCQILSKLEMMKCVAVYWIGVSSDVVEAVNKACGGIDCLKTRLKVIEVSAKVLEAIAFGNIVLPTEKRCDAVNVWIGFARRTKPLVGHPEHDDDDGDAEAPKINLDSEVWQSLESAIVSIVLTLPSNSQADILSDWLQSKLAKYPDLTEAFEVWCYRSKAAKRRLSFLSHANRVS >KN538766.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538766.1:42522:43704:-1 gene:KN538766.1_FG010 transcript:KN538766.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGRLGTATARVVRRTMTRLKDGGAPWDYEEAYPVLYLGGGEGGAGDRQWEPGAVGLALSLRASALAGGGGYGLATAAAVVGFAGGRHGGRGVCFADAGQWLSRRRQQRSGSSRLVAPGRGAASVGRGGCEGSAGRGRASGRGGAEASSALHSLAARFEGRGGMGGLGATTFSSHPMCSMKCPQGKRWEREGERDGVLGHWQVGSRVLGPTDQRSTGIGEVRNISSQGFFFFREG >KN538766.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538766.1:98701:102276:-1 gene:KN538766.1_FG011 transcript:KN538766.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/Swiss-Prot;Acc:Q9FKK7] MGAKLLLLLVASSLCVSAAIAGQQTCPADLDSKCGDWEGEFFPGIPKIKYEGPSSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKSWPWEDGTNSLDMAKRRMRAHFEFMEKLGVDRWCFHDRDIAPDGKTLTETNKNLDEIVELAKKLQEETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYGAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVVKLIEDGSLDELVRKRYQSFDSEIGAMIEAGKGDFETLEKKVLEWGEPIVPSGKQELAEILFQAAL >KN538766.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538766.1:128514:133550:-1 gene:KN538766.1_FG012 transcript:KN538766.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETGPAAPQDQWKSYCRTISLLAFQSFGVVYGDLSTSPLYVYKSAFSGRLNNYRDETTIFGLFSLIFWTLTLLPLLKYVIIVLNADDNGEGGTFALYSLLCRHAKFSLLPNQQSADEELSTYYQPGVGGTISSPLKRFLEKHRKLRTCLLLFVLFGACMVIGDGVFTPAISVLSAISGLKDPGPGGIPDGWVVFIACVVLVGLFALQHRGTHRVAFMFAPIVVVWLLSIGAIGLYNIIHWNHRIFLALSPHYVIKFFKMTGKDGWLSLGGVLLAITGTEAMFADLGHFTAASIRLAFVGAIYPCLVLQYMGQAAFLSRNMSAVEDSFYQSVPSLACIVVMFVTTWLMALVIIFVWQKNILLALLFVVAFGSIEAVYLSAAVTKVPQGGWAPIVFAFVFMLVMYVWHYGSRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHQVLVFVCVKSVPVPFVPEDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYESSEGRMAVIHTEDTTGTGLVMRDSNDEASGTSLTRSSRSETLRSLQSIYEQESGSLSRRRRVRFEIAEEERIDPQVRDELADLLDAKEAGVTYIIGHSYVKARKNSNFLKTFAIDYAYSFLRKNCRGPAVALHIPHISLVEVGMIYYV >KN538766.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538766.1:150333:151940:-1 gene:KN538766.1_FG013 transcript:KN538766.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g32430, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32430) UniProtKB/Swiss-Prot;Acc:Q84MA3] MPARDLVSWNALICGLAQDGDCPAEVIGVFLRMLRDGDVHPDRISVCSVIPACGGEGKLELGRQVHGIAVKLGVEGYVSIGNVLVAMYYKCGAPGSARRLFDAMSERDVVSWTTAISMDGEDALTLFNGMRRDGVPPNEVTFVALMSALPADCPAMGAQMVHAACLKAAVSGEAAASNSLITMYAKARRMDDARMVFDLMPRREIIAWNAVISGYAQNGRCNDALELFSSMARCLTPNETTFASVLSAVTAVETVSMAYGQMYHSRALRLGFGGSEFVAGALIDMYAKRGSLEESRKAFDETGQRSLIAWTAIISANARHGSYAAVMGLFGDMACSGVAPDGVVLLAVLTACRYGGMVDAGRDIFDSMAADCGVELWPEHYSCVVDMLGRAGRLEEAEELMMRMPAGPSVSALQSLLGACRIHGDAEIGERVAGILTEKEPTESGAYVLLSNIYADVGDWDGVAKVRRKMRDRGVKKEIGFSWVDAGAGAGEALHLHKFSSDDTTHPRTEEIYAVADVLGWEMKFLRNCSQMEMI >KN538766.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538766.1:155546:157998:1 gene:KN538766.1_FG014 transcript:KN538766.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALEVTLISARNLKKISQDFKRILKLFDSRISTGANEFCIIHDVMMMMTQLEVWQKFKISTVILPDSFTVTL >KN538766.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538766.1:84897:98477:1 gene:KN538766.1_FG015 transcript:KN538766.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta catalytic subunit [Source:Projected from Arabidopsis thaliana (AT1G67500) UniProtKB/Swiss-Prot;Acc:Q766Z3] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVQKGNSFISGLLSDLEKALQDGAVLNRVFQPYESHIPYLLHFLMDYNLYGMGYIHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKPTIWISSTVPHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKMVRSLLSIWEELEHLRLLEEAKPADMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPLEEGNTQCPVSSSAAQRTSSQLFEEHEKRVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKRGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLCASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAGSELPQSSKLGFADIPPFFNQTAEENKQNESFQHMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHTHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGPGRGRYRCIEYVNNRSSLNLEILNQLDLVNRTSELARVFGVDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFINQHHLWKARVVYGDTDSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEPRYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAVEAGTRSSSSYRARRKQPCTTGTSSLGAKALGIGKESNELSLNGRLQLVCVIFLICSSPVTESWMVRSGTEAADRVEQCAEQSCNTGTTTLEKRSNEVQFDSMTNTGDNNGA >KN538766.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538766.1:59857:60627:1 gene:KN538766.1_FG016 transcript:KN538766.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKISDFGIARIFGSNAAQSSTTRIVGTIGYIAPEYALDGVCSSKADVFSFGVLILEIISGKRTGGSYRYKDGKLYCLIAYAWLLWKDGRWHELIDECLGERYYASIRTCMQVALLCVQEDAEDRKSMDEVVKMLSNEQAASLPEPKHSAYFNVRPSGGDAPPSACNISISMITPR >KN538766.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538766.1:102654:111019:1 gene:KN538766.1_FG017 transcript:KN538766.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVVVVPTPAVTLDRFPTAIPEPRASTFTRRNGEEEEDEDEVELGLRGATTFARLPLRDSPDGGDLAIGQFDAGVATQEGLTSRAISRQLVEHLDDVEEDEVEEELEEEEQVVSRLDIFEGAKGRGARAFLPDDDDDDDVVVFDPDYDRYSDDEEFVATAVEQSPRGDAIAVAELEKLKYDNDEDDDDDEVVVFHPDDDDDDDVFEDYDEEEGETKEKGVPAVMRCFDTAKIYAKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVFVEVDGDMNSLLPFRKSVHFRAGRGAHGQGRQQAGAKGDDVVVKVPPGTVVRWIDLELKLVADVGIVGAPNAGKSTLLSAISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGEQPEYEFEAVRLELELFSPSLVDKPYIVVYNKMDLPEASERWNKFQEKLQAEGIEPYCISAMNRQGTQDVVLAAYKVLQKERQRMKDDEEWNGPENLNHVADAIKRERRAPMNEFEIFHDKGTNTWNVVGAGIERFVQMTNWQYSESLKRFQHALEACGVNKTLIKRGVKEGDTVVVGEEEKERVLKHYREIISIDDGCLYAEATEKSAGVCLAAKDALVMASHVMHTAELNLAAPNETSTETVHRTVRMYVNIVMAAADDSYDRKVRKKTVESYLRALRGLAAVTHILLDDALEAVSHRAPMDCLAEYAFNSDVKPLYDDFQAEMSTLVDKIDKALDPHICRIAVWVMVRATQIAGTIIGLMVSRRKRALENARSKMVADSAPV >KN538766.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538766.1:188274:189686:-1 gene:KN538766.1_FG018 transcript:KN538766.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRAYADSGAAARAAEAFELTVSRLGGLDPRSLTSSLLSLRRAGHLDTAADLLKQAATSCSDSVTPLSASIVVDGYCKSGRVAHARHLLDEMPRHGVKVNALCYNSLLDAYTREKDDDRVAEMLKVMENEGIEPTVGTYTILVDGLSAARDIGKVEAVFEEMKRKNLSGDVYFYSAVINVYCRAGNVRRASEVFDECVGNGIEPNERTYGALINGFCKIGQMEAAEMLVTDMQVRGVGINQIVFNTMIDGYCRKNMVDKALEIKMIMEKMGIELDVYTYNTLACGLRRANRMDEAKNLLHIMIEKGVRPNHVSYTTLISIHCNEGDMVEARRLFREMAGNGAEPSLVTYNVMMDGYIKKGSIREAERFKKEMEKKGLVPDIYSYAALVHGHCVNGKVDVALRLFEEMKQRGSKPNLVAYTALISGLAKEGRSEEAFQLYDNMLGDGLTPDDALYSALVGSLHTDKKQKC >KN538766.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538766.1:62299:63153:-1 gene:KN538766.1_FG019 transcript:KN538766.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHMHDVFAQRTSIISHEEEEEVAIDPTKWGAWAHRGHRLWASMSEDFWIHVYKVQRCPRSSSHDWTSCPYAHKGERARRRDTRRFAYAAVSCPDYRPREVAPGAVPSCAHGLRCRYAHGVFELWLHPSRFRTRMCSAGARCPRRICFFAHSAAELRDDPNSIASAILTPMPMPMPMPMPMPIAMPMPPTDADHHPRVSAMRDQLDIIEEAMRNRLRLYSNANANAAASSSAGVATTTTPVSTLANGEGSIGKRCGCRRCVEEEDALLNGYPHYDLIMDLVDE >AMDW01040590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040590.1:1240:1410:-1 gene:AMDW01040590.1_FG001 transcript:AMDW01040590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >AMDW01018655.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018655.1:4:280:-1 gene:AMDW01018655.1_FG001 transcript:AMDW01018655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATEVETSRVIRFVRGDEGGEFEESEWRALRVNTNSLMHLRLTLANLLGHNRDALHTTVCVRAGAYAQLSPLLVDLPIGNDRIDVVVLSHGTP >KN540499.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540499.1:5541:11405:1 gene:KN540499.1_FG001 transcript:KN540499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACASFLGDRHVASVRLTELKNSEVDALQQLPNLLEGHEEDDGEGPLPRHRRDEPLGLGRWGPPPPPPRRRRGRQSVLEELRRALDELRECGELGAPLPDPEGAVNDGGGGEEPPDNEEEGGGGGGGNDDDWAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEGDDLGDGYVLVKNDDATEGMAFFIATYILSLKTANEWSPDQIRKVLKLPIILLPALKKTFSSRKRKGKLANKATHCQKALQYSCKIVSIHWFATKNL >KN540499.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540499.1:36446:37988:1 gene:KN540499.1_FG002 transcript:KN540499.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDYAERLRGGGGDGDGDLDLRVRMDAIDWIWKVHSYYSFAPLTACLAVNYLDRFLSLYQLPDGKDWMTQLLAVACLSLAAKMEETDVPQSLDLQVGEERYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYVDYFLRELNGGDPPSGRSALLSSELILCIARGTECLGFRPSEIAAAVAAAVVGEEHAAFSHVNKERVSHCQEVIQAMELIHPKPASPSRVFVSSSIPRSPTGVLDAAGCLSYRSDDSAVASHYAASSWGYEHDSSPVSSKRRKISR >KN540499.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540499.1:19662:22160:-1 gene:KN540499.1_FG003 transcript:KN540499.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METEEVGFGRRRSGASSLAVRAKDSDDFRVLISEKPAEPAEPAPAKREGWEGFGREVGDGDGEVQMQGESASWNVLNQIGVELDSENSYTALVYGTSALVTIWISSIVVSALDSVPLVPQVMEVVGLGFTVWFTSRYLIFKENRDELITRIGSIKKQILGSRGD >KN540499.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540499.1:11553:17259:-1 gene:KN540499.1_FG004 transcript:KN540499.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVPKHYRVTGRGWCRTTSMWELGAARLPRCRAIGTQDRIGAALLSRGTWRCSAILHNRPARWSCMSTPPGQNGSTRGAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLRRMEPRMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIETTLDRILAFSQDVVSGKIKPPSSPAGRFTQILSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELTSTLVIVISKSGGTPETRNGLLEVQKAFRDAGLDFSKQGVAVTQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAALMDEETRNTVVKENPAALLALCWYWASEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQVDIQLLRYRTMTFFSMNAMTEESNILQGTRSALYSNDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEARHIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDEDMLAA >KN540499.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540499.1:49757:50148:1 gene:KN540499.1_FG005 transcript:KN540499.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYLSTARVAFCSDSPLSYEAGGGSKEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLVSVDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQ >KN539817.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539817.1:62011:62940:1 gene:KN539817.1_FG001 transcript:KN539817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPACHRGRTTLWRAIAFASLELGLITGGAEAECPDTKCGGMDIPYPFSIFGQDNSRPFLGDFEVLNFSLQLGQLRVLNRISSFCYNTTSQETEPHKWNKNLSAPFRLSDTGNKFTVIGCRTLAYITDRDVKYMSGYVSACQRDGVTSATNGSCSGIGCCQTTIPKGLDFYQVSFDKGLNMLDSIYNATPCSYAVLIDSSNFNFSTSYLTSLEFYTTYGGREPMLLDWAIRTANNCDEAQKNLTSYACKSDNSECINSSNGPGYICNCTNGYHGNPYRQNGCQRKIPCNLITARILELRDYPQYLLKCRK >KN545049.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545049.1:1160:2200:1 gene:KN545049.1_FG001 transcript:KN545049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSNLSLADIKNALRLLTLLDYYDTKKLHSLSDGSELTTTLYQTTGDASGNMGHVNITNLRGGKVGFASAAPGSKFQATYTKSVKQEPYNLSVLEVSDPITFPGLFDSPSAASTNLTALLEKAGCKQFARLIVSSGVIKMYQAAMDKALTLFAPNDDAFQAKGLPDLSKLTSAELVTLLQYHALPQYAPKASLKTIKGHIQTLASTGAGKYDLSVVTKGDDVSMDTGMDKSRVASTVLDDTPTVIHTVDSVLLPPELFGGAPSPAPAPGPASDVPASSPAPEGSSPAPSPKAAGKKKKKGKSPSHSPPAPPADTPDMSPADAPAGEEAADKAEKKNGATAAATS >KN544152.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544152.1:1416:2181:1 gene:KN544152.1_FG001 transcript:KN544152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YIMDPKMKQLQEALVDIETDAEQLLLARHQLVENDRIRNGNREALTALRKRAKTTKTSVPTPFEVIMKGLEGTSGKQLVKEICPTCGDHDPKEDTWLMFPGSDIFSRVPFHVAHTVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADRISPGIVKSLVSLTDKPK >KN539817.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539817.1:1151:1351:-1 gene:KN539817.1_FG002 transcript:KN539817.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRPRGKGADELELAIPSMVRRWLRPEAKAVCGGDGERREEGSQRARCATPSVVFLPRCVVAL >KN539817.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539817.1:51426:53273:-1 gene:KN539817.1_FG003 transcript:KN539817.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLGSSATLNPGHWFLGALPALFSQFPNLHKVEINYSGWAPGNGSQIDNQGLRVLSFSLPLLNDLTLSFCSEINDSGLACLTNCKMLMSLKLNSTPEITSRGLLSLAVGCKTLSSLHLNNCKGIASSTEWLEHLGTNGALEELVVKNCKGIGQYHFLMFGPGWMKLQKFEFENEQSFWSIFRRDRDPSYKAHTYRYDLLCEGLKDLRLVRIVSEPKGPEIGLRFLLGKCRSLEKLSLEYVSGLIDNDMIALSQTCKNLKSISLWLKPEHYNVGDDIIFRTGFTDESLKALALNCPFLQKC >KN539817.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539817.1:44520:49807:1 gene:KN539817.1_FG004 transcript:KN539817.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFNILAILPWLCLVVLAGDLPPNCERNCSGIEIPYPFGLEPACALSGFNLTCNTTDGKLYFYDVELLNISLLDHAIRMRMDISNYCYNDSLHDMDNDNIIGWSLDLTDTPYRLADLGNMFTVIGCRTLAYIDDLDAAGNLTTGCVATCRQEIYNFSRCSYAALVESSRFNFSKNYSTSSAFYDYYGGQAPLRMDWAIGNKTCEEARHQANYSCISTHSDCFNSLNGPGYICNCSKGFHGNPYLKSDDPDSCQDIDECKEPNKNPCHGKCRKKVGGYNCTCPFGTRGNAYNGQCDRGLAIGICATILLALMSLLGIEWIKYKQRMKRQDIMKKRGEYFHLHGGQLLTDMMNIENNISFKLYDRDEIELATKGFDKTSIIGERGQGTVFKGYNLDQVSNPVAIKKPLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSTNILLSNNFIAKISDFGCSKIRAADGHNDVVKGTIGYLDPEYLLKFELTDKSDVYSFGVVLLELLTRRTPLSNQKVSLASVFQEAMKEGLFLELIDTEILHEDNMRLISDLARLACQCLAMTSESRPTMSRIAEELRRIEKQVRQHRGVLTSISSLSLSTSSSADTSEHFTGETNGYDSLRRVAAMSIEFAR >KN539817.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539817.1:78527:78823:-1 gene:KN539817.1_FG005 transcript:KN539817.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVFAAATSERSTSTCVAVFAGSVNFSSHAWLDPLISPPLLSGSGLPVHGGDDSVELAEAHGDASDASGDRKFEHHFVISQRTATAATRSLGIGA >KN541974.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541974.1:1771:3294:-1 gene:KN541974.1_FG001 transcript:KN541974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPPGFRFHPTDEELIVHYLMNQAASIKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSVNNTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEGSTVEQLEDIHDNNSSEAPAPADMNNQQSDFQPMTAMSMSKSCSLTDLLNNLDCAALSQFLLDGSSDAIAELPAPPSPLIYPNQTLNYNINNNMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSSSDFLRVAKKPQLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNLLSHPFPLNQQLLLNNHLQTQ >KN539817.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539817.1:21084:21848:1 gene:KN539817.1_FG006 transcript:KN539817.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSWLLNLKGTPFRLSDFGNKFTAIGCRTLAYLIVDGELTTGCTATCKANDLMKLTDSVCIGIGCCQMAIPGGLQSYGVIFDSSFNTTEIYNISRCSYAALVEASSFNFSRNYSVSSAFNDHYHGQAPLLVDWAIGNDTCNVV >AMDW01011154.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011154.1:63:209:1 gene:AMDW01011154.1_FG001 transcript:AMDW01011154.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHTLGTSHCSSFANRLYNFSGTMMPDPTLDKYYVPRLKSKCQPGDKTTL >KN539817.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539817.1:57852:58340:1 gene:KN539817.1_FG007 transcript:KN539817.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVDDLLHQTGVSTKDIGVLVVKLQSVHPDVVAVRKLQGTRATSPATTWTGWAAAPACSPSNSPTVSSGWAAWRSCCPTSDRRRRDPSTSWCTVRTHNGGDDSSRMAMARRESRCVHGPHSSRRQCAEDEHHHAGSPRPATIRAAAALRVDANRQEACSR >KN541974.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541974.1:16644:20641:-1 gene:KN541974.1_FG002 transcript:KN541974.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VDPGLSSYAGRPREAAYSKQPLLDKANQAVPTWLMKKTPLELGATAGLRLIGDGKANQILEAVRDIVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEVPDGQDPYITKEYLKGRDYNIYVHSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFIDSEAPSAKSTPAAYKAASEKVCILSVEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEAAANSILPLLDKANTVVPARLMNKTPLKLGATTGPRLIGDEKANQILEAVRDVVHTKSKYQHNPNWINVPEGSQQGSYMWVALNYLLDKLGGDYSKTVGVVDIGGGSIQMAYTISSNTTANAPKMPEGKDPYVVKEYLKGKDYNIYVHMSINKFTLLLSII >KN541974.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541974.1:9677:13563:-1 gene:KN541974.1_FG003 transcript:KN541974.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHVMGIVLAAMLLLMASSLAVAGTAVLGRKGGAMTNDDVVEGEATTGPGRYAVILDAGSTGTRVHVFRFDKKMELLKIGDDIEVFAKVDPGLSSYAGRPQEAAKSIMPLLDKANHAVSTWLMNKTPLELGATAGLRLIGDDKANQILEAVRDVVHTMTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISSGTAANAPEVPDGQDLYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYQKCRDDVVKALNLDAPCETNDCSFNGVWNGGGGAGQDELYVATSFYYMASDHEQGRLQQIGFIDSEAPSAKSTPAAYKVAAEKVCRLSVEEAKAAYPRARDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAISPKKKHQET >AMDW01038654.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038654.1:78:653:1 gene:AMDW01038654.1_FG001 transcript:AMDW01038654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDEEGFEKLLKEINVKKLEPNVVTYNCRIQWFAKKGETFKGEELLDVMESKDVAPNYLTYNALVQGYCKEGNVGSAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKERLDDALWVCKSCFAMKAAPPFEAVKGLVEGLVKGGKSAEAKDVVAKMNLLVKGDAKVAWEKIAGELSLEGTPSSNP >KN543388.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543388.1:599:3003:1 gene:KN543388.1_FG001 transcript:KN543388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRRKRGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAQVALLVFSPAGRLYEFASSASSIDTIFGRYWDLLDTTIDLNIEARDSRVDCNIQVWIRKQIVHGGLAPLLAGDATPPFRGVGVAPRLALWIDDDTPPKIEVAKAGGMEGGMMDVNDGLQEFGLHLMIALVWYFMRQGSLGNKGSIFGMTIIKVSK >KN540831.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540831.1:1800:8548:1 gene:KN540831.1_FG001 transcript:KN540831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLPLAILVFVSLMAASATANYGYTTPSPSPPPPPQQYTPPAHSDKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNRAMLSVAVAAAVLAY >KN540831.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540831.1:31351:34376:-1 gene:KN540831.1_FG002 transcript:KN540831.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISRISSREYEPMLLVLVLLLQSLHARSLPCLPDQAAALLQLKRSFSATTASATAFRLTSLRYLNLGGNDFNASQLPATGFERLTELTHLNISTPSFAGQIPPGIGYLTNLESLDLSTRFYIVDQEDDKARKITLSYPSWGLSKINFGRLIENLGNLRELYLGFVYMSNGGEGWCDALVKSTPKIQVLSLPFCKISGPICRSLFSLRSLAVVDLQENDLSGPIPEFFADLPSLSVLQLSRNYFEGLFPSRIFQNRKLATIDISYNYKIYGSLPDFPPNSSLINLHVSGTKFSGQIPSSISNVTGLKELGLSANDFSIELPSSLGTLKSLNLLEVSGLGLIGSMPTWITNLTSLTELQFSHCGLSGSLPPTIGNLKNLRRLSLFKCNFSGSIPLQIFNLTQLQSLDLPLNNFVGTVELTSFWRLPYLSNLDLSNNKLSVVDGLVNDSVVSSPKLEALSLVSCNISKFPNALRHQDEIHFIDLSNNQMQGEIPRWAWETWEELFFLDLSNNKFTSLEHDPLLPCLYTRYINLSYNMFEGPIPMPKESSDSELDYSNNRFSSMPFDLIPYFAASLVACKNLVVLDVENNQIGGHFPCWMHALPKLQVLVLKSNKFFGQLGPTLANDKGKCELQQLRILDVSSNNFSGILHEKWFRKLKSMMTVSANKTLVMKDGEMYGVFNHMTYLFTTTVTYKGLYMTFTKILETLVLIDVSNNKFYGSIPESIGVLGVLTGLNMSHNALTGPIPNQLASLHELESLDLSSNKLSGEIPQKIASLDFLSTLNLSNNMLEGRIPESPHFSSFPNSSFIQNAGLCGPPLSKECNDKSTSDVVSHPSKKKSVDIMLFLFVGLGFGVGFAIAVVVTWKPCIGK >KN540831.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540831.1:14700:20874:-1 gene:KN540831.1_FG003 transcript:KN540831.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTHRCLHSHKLPLLSLLIAIVVILIQPYSIHAAANSSNTTIRCLTSQSSALLQLKSSFHDASSLSSWQPDTDCCRWEGVTCRMASGHVVVLDLSDGYLQSNGLHPALFNLTLLTNLALSGNDFMGAQLPDSGFERLSKLVSLDLSATNFAGQIPIGIGNLSSMLALDLSNNPNLYLSEPSFQTFIANLSNLRELYLDEMDLSSSGSTWSSDLAASAPQIQILSFMSCGLSGFIDPSFSRLRSLTMINLRLNVISGMVPEFFDNLSFLTILELSGNAFEGQFPTKIFQLKRLQFIDLYWNDKLCVRLPEFLPGSRLEVLDLILTNRSNAIPASVVNLKYLKHLGLTTVEASMNSDILLIRELHWLEVLRLYGGSGQGKLVSFSWIGSLKHLTYLELGNYNFSGLMPSSIINLTNLTSLTLYNCSMSGPIPSWIGNLIQLNNLNFRSNNLNGTIPKSIFALPALQSLYLDSNQLSGHLEDIPIPSSSSVYDIDLSNNWLHGPIPKSFFCLPNLEYLNLESNHLTGIVELRPFWRLRSLYFLGFSNNKLSVIDGEDSPSQYLPKIQHLGLACCNLTKLPRILRHLYDILELDLSSNKIGGVIPGWIWEIWKDTLGSLDLSNNAFTSLENSPSLVTFTHLSHLNLSFNRLQGEIPIPAISLPYGVVVLDYSNNGFSSILRTFGRYLNKVAYINLSKNKLKGFVPISICSMTKLQFLYLSDNNFSGFVPSCLVEGRSLRVLNLRGNKFNGMLPKGIKEGCKLETIDLNSNQIEGRLPRTLSNCKSLELLDVSNNHILDLFPLWLGNLPKLRVLVLRSNQLYGTIKGLHNSDLTRDHFSSLQILDLANNTLSGQLPPKWFEKLKSMMANVDDGQVLEHQTNFSQGFIYRDIITITYKGFDMTFNRMLTTFKAIDFSNNSFVGVIPGTIGSLVSLHGLNMSHNNFTGAIPQQLGNLAQLESLDLSWNQLSGVIPHELTFLTSLAWLNLSNNNLTGRIPQSNQFLSFSNSSFEGNLGLCGRPLSKDCDSSGSITPNTEASSEDSSLWQDKVGVILLFVFAGLGFVVGFVLTIIFQLVCQMERLRRALGDVRRRHCRPLLGAAEAAGVRRMREKETEASSAARRGARAV >KN540831.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540831.1:9258:11729:-1 gene:KN540831.1_FG004 transcript:KN540831.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLPPWGFSRVNFEKLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCQISGPICQSLFSLRSLSVVDLQGNDLSGAIPEFFADLSSLSVLQLSRNKFEGLFPQRIFQNRKLTAIDISYNYEVYGDLPNFPPNSSLIKLHVSGTKFSGYIPSSISNLTGLKELGLSANDFPTELPSSLGMLKSLSLFEVSGLGLVGSMPAWITNLTSLTELQFSHCDLSGSLPSSIGNLKNLRRLSLFKSNFSGNIPLQIFNLTQLHSLHLPLNNFVGIVELTSFWRLPYLSHLDLSNNKLSVVDGLVNDSAVSSPKVTFLRLASCNILKFPNALRHQDKIDFLDLSNNQIHGAIPPWAWETWKNLFFLDLSNNKFTSLGHDTLLPLDTRYINLSYNMFEGPIPIPKESTDSQLDYSNNRFSSMPFDLIPYLAGALSLKVSMNNVSGEVPSTFCTVKSLQILDLSHNILNGSIPSCLMENSSTLKIINLRGNQLRGELPHNIKEDCAFEALDFGYNWIEGTLPKSLVACKNLVLLDVGNNQIRDSFPCWMHLLPKLQVLVLKSNRFYGQLGPTLAKDEDSCELQDLRILDLASNNFSGILPGGWFIKLKSMMSVSSNETLVMKDGDTYGAFYHKPYIFTTRVTYKGLDLTFTKILKTLVLIDVSNNRFHGSIPETIATLSMLSSLNMSHNAITGPIPNQLASLHQLESLDLSSNKLSGEIPKKLASLDFLSTLNLSDNMLEGRIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADVMLFLFVGLGFGVGFAIAIVVRKPCIGK >KN539257.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539257.1:46063:46364:-1 gene:KN539257.1_FG001 transcript:KN539257.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTNTSDGAGKPASSSSSACPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSDI >KN539257.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539257.1:16195:23119:-1 gene:KN539257.1_FG002 transcript:KN539257.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMEDVVVLIVGAGPASLATAACLAQRHVPYIIVERESSTASLWRHRAYDRLKLHLAKEFCELPHMAYPAGTPTYVPRDMFVEYLDSYADQFGIRPRYHTAVESAIYDRGKNQWVVLVRDMDTSVVAKLATQFLVVAAGENSAASIPPIPGLSRFEGEAIHSSAYKSGRAYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRYGMTMVQNLGLNVTTVDSLLVMAANFYFGDLSEHGIMRPKIGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGISKINTNSIEFHGGRQNSFDAIVFATGYKSTVNAWLKNGESMFKDDGFPKNYFPNHRRSENGLYCAGFARRGLAGKACSASAAAARRWTPATSTSDSTGPFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAVVRLDHFCHDRCANGWYDDCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKLLILCVLVSIPRINKTQAVHGSKYVFDGTGMEVSSIET >KN539257.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539257.1:57106:58819:1 gene:KN539257.1_FG003 transcript:KN539257.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLEVLCSVVIGETIQQIVSFIQNHRSKKHVEEETHRLRQLLIEIHTALEAAKGCAITNSWLLRWLRELEDAACRGDQTLRNWRDMSSKVSSIINSSNTFKRIKIAAAQLIPSKESTMKVSATVKKLEAVASGIPKFIQFLSLQNDQAVLHHRPVIIFVSIHDRVVGRVDERKQAIEFLLHIDKNGSSSPDGCVLPIWGVKGVGKTTIARLVCDDRKVRSHFSMIIWVNCREYTSPAMALVKSLCKKLGLSSNITINLSSVIHGISERLRMERFLLVLDGVSSYPRGMNDILDTLFTKSRLGSKAIITTMYQHLATRINKHENLPVGFLAMEDLGYMFMENALGGAHPEEYQKLLVLGTYPRWTFTREELISYWMSNGVVVNDNGMKNSIENVATDYFDDLEAQYHLHE >KN539257.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539257.1:61954:92699:-1 gene:KN539257.1_FG004 transcript:KN539257.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGQPVGAASLLRTSSSLLSGGQQGLGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAGDLVGAGGSDSLSFPSSSQDNNIEYWRNFVNEYFSPNAKKRWMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSHLPSVQVPPKCQKTQCCANGMSLKYVKNYKHKAFWTYGCMAILTVLAPFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSNPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISAFGRCNNAVMEKGIIRDSKASEPCQLVPDASYVLPGRTLAIDSRRQNLAVEEGRFFANNQGLEHPRLSETSVSPRKESYLHNLDLSNDRTAYCHGRKSTEIVFSTRVPISPPGSKGQIPCPTSPVQSRAFGQCPGSPTARQDDSRSSSSPHPLPRPPGSPCSSSRVVSSQWKKGKLLGSGTFGQVYQGFNSEGGQMCAIKEVKVISDDSNSKECLRQLHQEIVLLSQLSHPNIVQYYGSDLSSETLSVYLEYVSGGSIHKLLQEYGAFGEAVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAHTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTIIEMATARPPWIQYEGRDPAARPTAAQLMEHPFVKDLVANRSFRSGMTRDTFPTSFDGKGATVQTSNRSLSPLRDPDLTMRNLQVPTSAIPSISTRRISAIAYLVQQPIQCAYEHVPARVTLL >KN539257.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539257.1:12489:13999:1 gene:KN539257.1_FG005 transcript:KN539257.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCYVGGLEMWSPTVRNLSMRYCFFYSNYRSRIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSTFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVSEVPNNLVETEGSYSQLEQSFAATHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGHPAQVLIQTNVNI >KN539257.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539257.1:60425:61204:1 gene:KN539257.1_FG006 transcript:KN539257.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGHPSPATQMADQIAAACVRFLSDTADEATSSSPSWMRPTPFNKPADSPPAKTWQEVLDMFTDLAKSLDADARLAGHADKVAAMKEGLYQIRNVFVERDIAFKEARRQDCLVQKKLSKSLGHSSKCLYTLLLFYLYGNVRDVEVHAGKRLSGKGGKRVTVHAAKFLIDGDEPAIRNAVKQLSRAIGVFRFVWEAAHAGNGDPANGNGKGGAMAKKGHGDDAKGLLKLQGHIWGLGVEEKEVTYRGDVFHVHQIQLP >KN539257.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539257.1:2466:4523:1 gene:KN539257.1_FG007 transcript:KN539257.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRALARRAAPEEVPDRVSALPDEALHAVLSLLPAHDAHAPALRVTDVEGWNPRLRGDGLGRFIRFVDGLFVSHRRCDAPLELCDLDFDFPEDKGKDWHVNRWIMLALLRHHARVLRISLPAYITLPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPVTRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVFRRDLNLLLACHTFAKLKTLALGEWCMTHDLSALIRFLQQSPILEKLTIKIPEEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGTFGIPLEKINIKLTSEHCRSECFNFVCTGFSCS >KN539257.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539257.1:27279:29068:1 gene:KN539257.1_FG008 transcript:KN539257.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLATATVRLGYGCDDQCLNGFYNDCEDDECMACRDYDGHDECVFLKGLTEATELKLLAFPKVYLFNRDLELCPPFSKLKTLVLKSWFVPPDLSALTWFLQHAPLLEKLTLNLSKVPNNLGVMDDSYKPWEQSFAASHLQKVEIECKNVDGIVLKILKILNANGVPLENISIQCSDCFSFVCTGIKSKNYQDRSWF >KN539257.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539257.1:116058:124035:-1 gene:KN539257.1_FG009 transcript:KN539257.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGQPVGGAASLLRTSSSLLSGGQQGLGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAGDLVGAGGSDSLSFPSSSQDNNIEYWRNFVNEYFSPNAKKRWMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNNAAIPKVNSTTSLQSNPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAMVNNAMAISEHYKFDNTAALIGLIAYEASVKSANTSNCVKAFGLCNNAVMEKGHSRQQASEPCQLYAVTVRLAACVLCNYGILLLASVVLPKDKS >KN539257.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539257.1:31863:33502:1 gene:KN539257.1_FG010 transcript:KN539257.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEVVVLIVGAGPAGLATAACLAQHHVPYVIVERESCTASLWRHRAYDRLKLHLAKEFCELPHMAYPMGTPTYVPRDMFVEYLDSYTDQFGIRPRYHTAIESAIYDGGKNRWSVLARDTDTSVVTRLTAQFLVVATGENSAASIPPVPGLTKFEGEAIHSSAYKSGRAYTGKNVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRFGMTVVQNLGLTVTTADSLLVMAANFYFGDLSKHGITRPKIGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGISKINTNSIEFHGGKQIPFDAIVFATGYKSTVNTWLKKNSIIAGWIG >AMDW01027128.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027128.1:12:350:1 gene:AMDW01027128.1_FG001 transcript:AMDW01027128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWLEVESQTFDTAMSAITFQCLTIPIFMGGIADDKIVEENLGKLKKALEVYEARLGRFRYLAGDFISLADLSPFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPAVKR >AMDW01031276.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031276.1:17:442:-1 gene:AMDW01031276.1_FG001 transcript:AMDW01031276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVK >KN539276.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539276.1:65651:74332:-1 gene:KN539276.1_FG001 transcript:KN539276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIKEPRPPRSSSRGMEDFLLEDEEVYAQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIELGTIPLTAIEKINDDVKLQPLPRQHDKKQPRELLQVIGKDMRVIVFDFLPKTKQKNEVFDALRRYSKPTHLWDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRKWFPHSGSEFEKDLRNEWWRGLELFWQGHLSHCNTDEKLVSALCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESASNYPRSEVLFLGIQNIHTMRDSLFRLRDYVDTHGSVSSNGTSSAVSLVGDRRNRGSTWGGGNLNSMTQFSSMLGEWLNHIQSIMVGASWIAAQIVQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGVPTITDNNSGSQFELLRQPSLGTLSNSPNRGALGSSVSTSNTTSGQSQTSNNSSPILLQMLYSFVRLLRLYPSAFEFSSKFLVDFMDCVLSCRFGNFLCNSFHKHRNPFYDPLKHNGPLVPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYTEAMKAKDTAESRVKDIKTKMESMQLELQREKRASSSALAMAQRAQRESVAIRKAVRSLGCTVNFGTNESQVEKTEGLTYSFRRDTDFESQHEKSSDFSVSITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >KN539276.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539276.1:44562:45617:-1 gene:KN539276.1_FG002 transcript:KN539276.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEELVDGDVAAGRVRATGTHSNNLVRVKRGIELKRALFQLMLAQLQQQQQRPVSFDGVVSMAYAAVFARYHDKNVQSTVADSICAIPVKSFSDFFATINETDESAAAEMQKYIDAANGIISYIDELFASRGVSVDF >KN539276.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539276.1:6665:9206:1 gene:KN539276.1_FG003 transcript:KN539276.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRLMLLCAAAAAAALLAAPPVAAVFIHEFYKGDEVLVKVNELTSIKTQMPYSYYSLPFCKPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQVVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGASTRLRTCDANTNRFILSSDSPQEIKVGEEIIFTYDVNFEESEIEWASRWDVYLSTTDDQARWFSIVNSLVTLIGLSVAIAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPGLLCAYAGSGVQLFGTLLITVAFTALGFFSPSNSAGLRNVMLLTWVLMGMLAGYTSSRLYKMFKNGSEWKHITMATAIQFPGFAFVIFAFLNTLLQDENSSATVPSTTMCALVLLWSGIAPPLVFLGGYLGYKRPAIEPPVEINRTPRKSPKQAWYISPVFSILIGSIFPFTIVFIELFFGLVFVWYHQFYRGLGFLLITLVLLVVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMIYFGYMLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >KN539276.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539276.1:108755:112899:1 gene:KN539276.1_FG004 transcript:KN539276.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTSQLNALVNNMFAMGLLDDQFQQLQMLQDSTAPDFVSEVVTLFCDDGERIICELARQLEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQRSRDGCLKTLDLVRTEFYDLRNKFQAMLQLEQQIQACYPKH >KN539276.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539276.1:2457:6004:1 gene:KN539276.1_FG005 transcript:KN539276.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWPAAAAALALLLLLLIAAAPPAAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFILNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPVKTNKIPRQIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSVKID >KN539276.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539276.1:116070:124955:1 gene:KN539276.1_FG006 transcript:KN539276.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRSSTLKVEQLYVDLPLFVGRWLRFDHFVATTYIQHCKLQQQQEEARLQCSCECGPLAAFMERNARIFEGVSQTVAEVSGAFLKNGGHEKIIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDQASANQRVQSEVTETELFSVVKEKHGKGFSRFIEEKVVALAGDIIYDDLGLDPPLLQHLADNLDVIVNGAATTNFYG >KN539276.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539276.1:103871:106669:1 gene:KN539276.1_FG007 transcript:KN539276.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKHFTYVILGGGVAAGYAAREFAKQGVKPGELAIISKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSSADATFTYDTLLIATGSSSYVAHVMNICLLDQVIKLTDFGVQGAEANNILYLRDIDDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTSGLAAFYEGYYANKGIHIVKGTVAVGFDADANGDVTAVKLKNGNVLEADIVIVGVGGRPLTHLFKGQVAEEKGGIKTDAFFETSVPGVYAIADVAAFPMKLYNEIRRVEHVDHARKSAEQAVKAIKAKEAGESVPEYDYLPYFYSRSFDLSWQFYGDNAGEDVLFGDNDPTAAKPKFGSYWIKDGKVVGVFLEGGSAEENQAIAKVARAQPPVADVEALKKEGLDFAAKV >KN539276.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539276.1:86420:87856:-1 gene:KN539276.1_FG008 transcript:KN539276.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSADEGSQEEEVEVVEEQAEAEEEVVASVEEEAVEEEFAAEPPEEAKVYVGNLPYDVDSEGLAQLFEQAGVVEVAEVIYNRETGQSRGFGFVTMSTIEEADKAIEMLNRYDINGRLLNVNRAAPRGSRVERPPRQFAPAFRAYVGNLPWQVDDSRLLQLFSEHGEVVNASVVYDRESGRSRGFGFVSMASKEELDDAISALDGQVRTAPSTLGIYTCIVAYIKHTLEYHHYDMSMANMEVSFIMLNCS >KN539276.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539276.1:35347:39752:-1 gene:KN539276.1_FG009 transcript:KN539276.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGGSDRPGLAMCDFVGWVRCRFQMEIASRVHGLYRQQPALKFRRASIPEEDTDATNASSVSPVSSSSSSWRRDSSCTKGEGSMDSQEPRVDAEQKPVLSDNPEEQTIPSKDEKVLEPTISHDSNGINLPSEGQAQAGTSNIGGGHNAAYPQTMYSSQAQPFYYQGPGYDNPSNEWDGYPPYVSVEGLEAGPAVVYNDDPQLMYHGGYGYDPYAHYSPISTPVPAAVSGDGQLYSPQQFFSAPYYQQSVPPGMPYLSSPTPISQGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGAPSDSYGRGFSHGGYFPQATNYGGPFPSFGLNGRSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQLEDGGKDEKPSAGVDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLENKARLHQQHQLPSSTVVEPKKPLTVATDLVGHITKSFAQAVRLGEAKNVSPNSADKGASGDSSVAAKPLEVKEAGLA >KN539276.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539276.1:9996:18599:-1 gene:KN539276.1_FG010 transcript:KN539276.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAESDKNGEDKCEMRDVAVDVEQGDTVDKKKKKQEEDPELTWEEKVVEVLHIVRCRGFTEYNRKLLRSLPTRFHTHNIAFFDLDKESKLVRGPPVKKALASSEYWRMMDSVNVIAIKVTESDVSYPISIFGTVLARDVYDYRCVYLFRRGRDDAQIITSPEDTLLLTGPNRALAASDNMYFEFHLKIKGDGGVDKDFSKGVDFEFGEVLACGKKSASKNVCAGRGRVVIDVSVSEGDHHEEAELFELIVGHDDDEEEECIRLQGPYELQVKVVWKAGLEESWRRSSRSLPAILV >KN539276.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539276.1:54859:61386:1 gene:KN539276.1_FG011 transcript:KN539276.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) UniProtKB/Swiss-Prot;Acc:Q9FNP1] MEVEVRVVGGARSCFAALPLHLIHALSRTSASGDLPPVLALHLRAAATATAARWSLAWSGAASRSSAIEVAQELAECISLPDGTIAQLSVARSLAKADSVCIEPFSEDDWEILESRADLAEETILTQVGVVYEGMKFPLWLDGHNIVKFVVTSSSPNKSLVQLVPGTEVAVAPKKRRENSSQDVQKQSALKEEAKTKALLRVQAADRKYVHKFKYKGVELGVILSYAVLIHPDTAARASISNLQLVTVSSKSSPKRLAQKGKEVTQKKGILLPKERVREVVVYILFSDSVSKGHVMLPHSIRHYISADVHSWVYVKKFSANVKNDQPILTISPLHFKMHEKVAHDGSELGSQEANVWRKTSSPSENRDPFQEARFGNSDDVLSADVDNTSESISEHKILIKQWLVGQLKEMGLLVGNSEISPVVLPTKVLLHFEAVDQKHNWGKEFLYLLKVGFENSSYSNSQGNAELSWSTQTDDLENLELKFGRLELGEPESFDSVLDDGFSNGFKLTRSSLGWMENAMSDVTKRLSVLLSSTSLRLFDQLKLPFPGHVLVLGPRGSGKTALVRAAAKYFEDHKEILAHVIYIDCSKLTVGKAKETKQTIEDSISEALLHAPSVILFDDMDNVVSVSSDPQAPQSSSSSDSIVRYLTDIMDEYKDKARNACGYGPIALMASAQSLQSLPQDLTSSGRFDFHVELPVLAIPEREALLKHYVEEHELKCSKEVLSEIASKCDGYDAYDLDILVDRAVHAAASRFVLPSSASVNCAEPTLVKEDFLKAIHDFLPVAMRDLSKYAPADGEGGWEDVGGLNEAVTIIKETLELPSKYPNIFTKAPVRLRSNILLYGPSGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFESIAPQRGTQSAGVSDRVVNQFLTELDGVESLTGVFVFAATSKPQSIDAALLRPGRFDRLILCDFPGWHERLEILKVHSREVSLASDASLEEVASLTEGFTGADLAAILRDAKLAAVHKVLEDRNNGISDTQPCVSKELLISAAREARPSTSAEQKMQYDMDFGQFVSSRKSVSTKARESKGKKVTLA >KN539276.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539276.1:80373:85611:1 gene:KN539276.1_FG012 transcript:KN539276.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRNSWGADWGEKGYIRMKRDVSAKEGLCGIAMEASYPVKTSPNPAPKKIKKGAVGAVKDQGQCGSCWAFSTIAAVEGINAIRTSNLTALSEQQLVDCDTKTGNAGCDGGLMDNAFQYIAKHGGVAASSAYPYLARQSSCKSSAASSPAVTIDGYEDVPANSESALKKAVANQPVSVAIEAGGHRLWSSGGSDKNKAMVEQLQRYGIIKSSKVAQVMETIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLEKHLQPGMRALDVGSGFEMQKCLPTYVEKTIFSFISQLFREGTGYLTACFAIMVGPEGRAVGVEHIPELVTSSIENIKKSAAAPQLTDGSLSIHITDGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTMFQELKVVDKNQDGKVSIRDETAVRYVPLTSKDAQLQAN >KN539276.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539276.1:93154:96206:-1 gene:KN539276.1_FG013 transcript:KN539276.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHSFCSAQFGDFGNICRSPAAEAVFRNLVSKRGLESKFLIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYGTLSTPLICVSQCAEDLLSSFERWRHREPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVAENASVSA >KN539276.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539276.1:97396:101579:1 gene:KN539276.1_FG014 transcript:KN539276.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MATASDAPASSTITTATDDAEVERDQGNGNGAASAAPAAVGKEAAAEEEEMIGPAPVPPRPRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVSVSPADYFITGSADDLWIDQILCDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHVHDVVISADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFEIAKCKTSVSAIEMSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >AMDW01037961.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037961.1:8:670:-1 gene:AMDW01037961.1_FG001 transcript:AMDW01037961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGKNFDGKGGSMWKGSFAPRWDRSGGSGSKGNTDKSRSDGPSWRRDPGKNLKEKGEEDEVQSPLKEKVGVTRDGVPKQLCFGSNTEEQHCLENVVGSEAEGFGEDTVLAGSVKNDVNSTMHDMHVDQILAPGLVGSKEGDRRAGEKKVSRTFKRIPRPAGKEPAGPKVGETAQKKKRGMGEAESMMTQEGKKLRSFTPNGTAVDGSMSEAGLAIQPCKDQ >KN539276.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539276.1:49414:53877:1 gene:KN539276.1_FG015 transcript:KN539276.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNGWQLPAHTFQIIAITVFFLLVVAFYAFFAPFLGKQVLEYVAFGIYTPVAFVVFILYIRCTSINPADPGIMSKFQNGFRNAPTSGTGLHDTNLPGRGDVATGTNSPTSTFKSSLDGRSNHASLAAGDGNNLSSQPPRSSSCSLVGGFICALFVEEDCRTLVDSEHQADGEDALFCTLCNAEWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQDKLANGLTRPPFATIVAIFTLLSIIACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFVDQDEVIPHLEAGMVPSTIDPDTAGNAERANRAKKQVKISAWKLAKLDTNEAMKAAARARASSSVLRPSIFFGRPLLGGAATAGEPRRDEAAGTRARGGESFPVFAPGTFQKKPPFRR >KN539276.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539276.1:21489:24291:1 gene:KN539276.1_FG016 transcript:KN539276.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGHEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVLSKLLY >KN542763.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542763.1:3136:5717:-1 gene:KN542763.1_FG001 transcript:KN542763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLRPLHSLSLPLPLLAAKAATPNPGCLPLSAKPRAGRRSSLLVCAASDPSKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVWLLALVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEASIGCSSSQFSTGDCSTSDKLEKAGNYGNY >KN539276.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539276.1:63040:64094:1 gene:KN539276.1_FG017 transcript:KN539276.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPTDCGLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAIRRREEAAKEKAEGAPAVSAQH >KN539276.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539276.1:25557:26548:-1 gene:KN539276.1_FG018 transcript:KN539276.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGLRYLMDHMVLPSYEANGQMGLVTIISAYHDIYFTVEEEGRIQALKRRCTSFVDWSRCQMLDGIGLPEKDLALIKHTGLVNGMKRPLELNQDKNSEDPGIG >KN542763.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542763.1:11022:12481:1 gene:KN542763.1_FG002 transcript:KN542763.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKVWEMNNIGEYACVMAMALRCKANGMHMEIIGGLDCDIRRTKLKLIVLMEQTPCRETTQDNFATSNRTTGV >KN542763.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542763.1:6178:7249:-1 gene:KN542763.1_FG003 transcript:KN542763.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGLSSMSAGLLFLNLVLYVVVAVIAGWAINYSIDESFNSLQGVSPPVRLFPIYFPIGNLATGFFVIFALLAGVVGVSTSLTGLHDVSQGYPASMMSAAAASIVTWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCVGSLHAGAHAAIIQNPMVSRV >AMDW01062485.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062485.1:51:209:1 gene:AMDW01062485.1_FG001 transcript:AMDW01062485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YELRAIQKEKVRRMETENGKAKDVTTVEVEMQESKCSRNSQTSPGDEESQDS >KN541359.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541359.1:2159:3244:-1 gene:KN541359.1_FG001 transcript:KN541359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVGVDTKKGLDLFGRCDVKVPADVCSSHAPAPAPASSPPTASPNSDSSAAPHGAQWMVYSSFFSILPVAMSIPV >AMDW01037350.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037350.1:18:511:-1 gene:AMDW01037350.1_FG001 transcript:AMDW01037350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRILRFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLDLVGFMPLPKIKVLASSA >KN544482.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544482.1:92:3189:1 gene:KN544482.1_FG001 transcript:KN544482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPDDDGLVSHFTALLAHDNLGHILDPQVMEEGGEEVQQVAVLAVACVKLKAEERPTMRQVEMTLESIRSLFLQQEAIHSMANKNSKENHVSMSYPANEGTSMESTRQYSLEEEYLLSSRYPSECFNTGDGQGYRCRCSKGYEGNPYLDGGCKDIDECQRPKEYPCFGKCTNTNGSYTCECRPGTSGNATQGEWLPPNGQVHVSSESRYSGGAGGFKIFSKEELEKTTNSFAADRVLGRGGYGIIYKGILEDMVVEVPMLVYEFVSNGTLDHYIHGNALNTVISLDSRLRIAAESIEALSYMHSSALPPILHGDVKTANILLDDKLIAKVSDFGTSKLAPNNEFEIASKVQGTCGYLDPEYLMACQLTDKSDAYSFGIVLLELLTRKKALYFGGSEEDRNLVSCFKTA >KN542546.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542546.1:3043:3483:1 gene:KN542546.1_FG001 transcript:KN542546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPAHELVVSSRKTVDGRGARVVVGDGGACFAVRGASDVVIHGLTIRRCRPAPKLEAGMSDGDGVGVHNSSDVWVDHCTVEACADGLIDVVEGSTRVTLSNNLLRNHDKAILLGHNDDYTDDKAMQVTVAFNRFGPGLVQRMPR >AMDW01082800.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082800.1:289:1352:-1 gene:AMDW01082800.1_FG001 transcript:AMDW01082800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STRVGADGEVPVNSTCPADAARSACKSSHSSCRNVSSSARAGYVCDCDAGFQGNPYLATGCQGLSIGVGVSSAASLILIVIMAIFIIRKQKRRRAKKIRQKYFKQNRGQLLQQLVAQRADIAERMIIPLAISMSVDQDHYHGVTD >KN541043.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541043.1:821:8469:1 gene:KN541043.1_FG001 transcript:KN541043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGFLLYRMPIRNGWSYLHQGCGTEQIQKMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASMISKEKCKALHQCIIEVIEKSLEVGCNSSQYPENWIFHSREKKPGKAFVEGKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNNAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDAKASKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPADAKSSSDKGGSAAPAKRPQRKKRQL >AMDW01048577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048577.1:134:362:1 gene:AMDW01048577.1_FG001 transcript:AMDW01048577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEPEPQPKSGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTK >KN541043.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541043.1:15694:21849:-1 gene:KN541043.1_FG002 transcript:KN541043.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7 [Source:Projected from Arabidopsis thaliana (AT5G19400) UniProtKB/Swiss-Prot;Acc:A9QM73] MTVPMDKATASPSSRELAQRLLKKNAEHESRLRRSAQSKVPSDPNIWFQMRENYEKIILADHDFSEKHEIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRIKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLGYFSEGPDSSVVPDKDGKKVVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYASREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHDIYAQLSGNSKVPNAKSLPSRSVGRARGRGETRFQPKGSSTEENSKEREHSIQEILKAFYIRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPYEELNFGVEAAENALSVVKLIAILIFTVHNANKDADNQSYAEIVQRRVLLQNAFAAAFEFVGYLLKRCAELHDVASSIYLPAILVFIEWLACHPDFVASSEMDEKQADARSFFWSQCVPFMNKLILTGLAHVDGDNDETCFFDMGTYKEGETGNRLALWEDVELRGFSPLVPAQDILDFSTKQGFGSDGGTKEKKARVERILAAGKALLNFVQIDQLRIYFDASSKKFLMASEPPPPDSSVPLVVSSNAQTTNHIQQEPEVSSKIGSVAEDLGVLQSKAQLFLDGDDDEEIVFKPPVSEKLPRVTSEQTSNELLQPVVVSDVNWSNDGAPPPMTFQSNGPVLTPNVYVQSLPISSLGWAANAGQHVIPGVGARSTSDIFESLKAPDHNWVSTGAPLVGTLDTVPMASFSNIISDQRTPPSSLGCFSNPDNTAILPGQDSFLLSALNNVNIGASGFLDQRVNGGLSGLQSVGNVPQVSAQATMNSTNPMIGQYKHTEVTIPSAFYSVLPSVVSSDGVSKKNPVSRPGRHVGPPPGFNNAPPKRQDDSILAGNGQHVQTNDGIWLDGYRSSLDYVNNQRFAHSNVTTASSTFTTPFPFPGKQAFSMHPRGSDEKQWQDFHLFGPTKQLPELNFQQGNQQNDPLEEQLPAQSAWSGNYLV >KN542893.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542893.1:3929:7873:-1 gene:KN542893.1_FG001 transcript:KN542893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAFLLVVSIVFPLASAFPSPPVSWGQQQLDPHFYDHSCPQAQQIVASIVGKVHYQDPCMAASLLRLHFHDCFVKGCDASILLDSSATITSEKRSNPNRDSARGFEVIDEIKAALEVACPHTVSCADILALAARDSTVMTGGPGWIVPLGRRDSRGASVQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLVALLGSHTIGDSRCTSFRQRLYNQTGNGLPDFTLDASYAAALRPRCPRSGGDQNLFFLDPVTPFRFDNQYYKNLLAHRGLLSSDEVLLTGGNPATAELVELYAANQDIFFAHFARSMVKMGNISALTGGNGEVRTNCRRVNHNY >AMDW01024968.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024968.1:37:339:-1 gene:AMDW01024968.1_FG001 transcript:AMDW01024968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HLLVNLNNFEYLDLPNAILLHNWYEPDKLDLKKVAIVAAALSRPPTERGCVVAGIIEPFLSAHRVAFWPMGDRVISPQPAWPLPLEEVEDILHYTFNRNGL >KN540329.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540329.1:9661:14170:1 gene:KN540329.1_FG001 transcript:KN540329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPLSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPEED >KN540329.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540329.1:49480:55661:1 gene:KN540329.1_FG002 transcript:KN540329.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAVRKLLLLTLLILALVAAAAHAARAAPGGDAVTRAARSLLSRASCCTHDGNTTFLDQLKFGELTTNRTMWLIEIAEVERLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERELIVAGFFVCLDSFLSLLTIMPARIAITVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIAQAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITSFLLFVLAQNILEAEGPWFDSFLINASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLAT >KN538995.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538995.1:64614:66082:-1 gene:KN538995.1_FG001 transcript:KN538995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDPASITPAVDGAHGVFHLASPLILHPTQDPEATNSPFTIAQLQLQYCLHTCTNAVLSVNSSPNFTTFSAAVPALQGELLKPAVSGTLNVLRAAKDCGVARVMLMASQVAMVPNPEWPADKVIDDDSWADVELLKKHQHWYNVSKTLAEKAAWDFAAKEGLQLVALNPGLVLGPMLMPSPTSSLHMLLQILGGQRFDIDDFYIGCVDVRDVAQSAVVIYENTSAQGRHLCIESIERLIDFHDKLAELYPEFPIHRIQEDKQGWVVRSKAASKKLIDLGVHFIPFDKTIRDSVDCLRSKGYI >KN538995.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538995.1:140452:141135:1 gene:KN538995.1_FG002 transcript:KN538995.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTGKCAGAGAGEVEEPLLPEFSGGGHGASVSGAVFNVSTSIIGAGIMSIPAAMRVLGVLPALLLIATVAALSDASVEFMLRYTGWDSGPPSYAGIMGDAFGRAGAKALNVCIAFTTTGTLVVYLIIIGEPTRSRTRCSAALDTSELRGEIIELTASSILVAVAGDVLSGSAGAGDEHAGVLQELFGAQWWTAREFVILVTAVVVLLPLVLRRRVGELLSNTT >KN538995.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538995.1:33884:34726:-1 gene:KN538995.1_FG003 transcript:KN538995.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSRSIGAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTLKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >KN538995.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538995.1:5724:7768:1 gene:KN538995.1_FG004 transcript:KN538995.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFLVSFVGLLAIVPMRKWFYTGGPNCGFTSFPTFGLSAFNRGFYISLNGTYVGIGMISPHLINVSMLFGSIISWGIMWPYIRSKRGIWYDADLQETNLKSFSGYKVFCAIAMILGDGIFQLVAISLRTIHTTNPNKTYQAPLAKIYRGIAVLGAGGLELPKYCLAISATFFVLALAVCAMREVAAHGKWRAEPYIPSVTGMAVSFLLVPAVSIDMCIGSLIVFLWNRSDKLGSQVFGPVLASGLICGDGLFSIPYALLARYDVTPPICIRFLGRVQNDKLDAFLASKAKAG >KN538995.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538995.1:94601:104338:1 gene:KN538995.1_FG005 transcript:KN538995.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRSEEDGEDDSEPAPAPHVPSASDEATPEFVQITVSEPKKHAEPAAGAAGVIPGSGSYFSYLITTRAADGGLFRVRRRFRDVVALADRLAAAYRGLFVPARPDKSIVEGQVMQRHEFVNQRCAALQRYLGRLAAHPTIGRSAELHDFLTEPSGIPTSAGESPRSDPALSAAMSAAAVTAPTAPTKPGRDFFGMFKDLKQTVANGLVAVRPPPVEEETDAEFVMHKAKLEYFEQHLTTASQQVEALLKAYDDLKATTGQLGMTFIKLAKFEKEQDTCNSQRKRAVDMSNFANAVINVSRSQTKLNAKIEIHLGTIYEYLETMTSVHNAFTDRANALLRVQSLSGDLFLLHTQAAKLESVSSRGMGQERLRYQKIEELKETIRKTEDAKGNARQEYELIKENNMNEIIRFNKEKRHGLVEMLKGFVRNQKRVCNFIAIRFHTQSIFLVYGQRRVLDVFCDVYNGLARYYAGHSPGRTSHVSAEVPSIAAASTVLHSLPLSASAADDEKQAPPPAPGPAAAPAPIPAGEPEAEALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEVVRKLAARCAEAGRSISLALEAFPCNLQEQLNQFMDRRIDGNDLRLYTSHWAPERWQEYEPLLNYCRDNEVKLVACGTPLEVSRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISAIHGSPFGPSSYLSAQARVVDDYTMSQTIMKEITNGDPSGMLVVVTGSSHVIYGSRGIGVPARISKKMQKKKQVVVLLNPERQGIRREGEIPVADFLWYSAAKTCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLIAQYEKRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSLGDNGSGESLELLKGLLGSLPDNAFQKGIMGQSWNTNQRFASVLMGGIKLAGVGFISSIGAVVASDVLYAARRVLRPSTSVETARRRTPIWKSASVYSCFLGTSANLRYQVIAGLVEHRLGEYLMAYYNQPLLASLLSFVSRTINSYWGTQQWIDLARATGLQTSKKEMPSPEISNLPDMPLLECGTTEVQNMDDSNKQQPMK >KN538995.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538995.1:144024:152084:1 gene:KN538995.1_FG006 transcript:KN538995.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGVAPTVALVVGVALLANAAVDFMLRYTGGPSSYAALMGDAFGRAGAALLNVFVAFNAIGTLTVYLIIIGDVMSGTTSDGKAHDGVLTEWFGQQWWTGREAVLVASLLCSGSVSVHSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRAELSKTSDMKTAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKANARPDLCPCSEAESIERPISTFQAVSACYGIRPIKPKAQPSDSASTFIDRQIAVRALSVSSKSNNSDLKAPKYLPSRPTEYRGQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQLEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAMFPRRPIDAPPIFTPPATHTSQSYGSPRYEAGSLNEIMSSDVETLSLGDLNNIRNVTELLCDMVHALNPSDHMAVKDEIITDLVSQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNIISKYDIMASSTHLAVEAPPADNVEAPKEDPAEKPSAPPISTLEEEEDEFTRLAQRKNKSVMTSDDSLSSTGDLALVPIDMECSESSSSVASNALVPVDPALVSSSPQTKEQDMIDLLSLTLCSPTHEASTDSSTQGPNGPQQPAVTDNPSGVPQYPSNHQSHPINQEYIPQNRNYVAPWAQSGQYYPAPPWAAPPSVNSNPFQSAAYQEQPPPVGSVSSTYSAPSASYTSPSMAYVPPSASLPMQWNGSTASNGLSATQAQMNGNQQPPGSSAAASKPYYIPDNLFSDLIDLKGLSGGNKMGGPTSMGSANGGQPMIGGKK >KN538995.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538995.1:1559:4123:1 gene:KN538995.1_FG007 transcript:KN538995.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding AGTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMVQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPADNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCISSRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVG >KN538995.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538995.1:111912:114061:1 gene:KN538995.1_FG008 transcript:KN538995.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRIVHLSGHVDEFSCPVTAGAVLAAHPNHTLTTAWSSAGVGCPTKKLVIVSPDSELKRGRIYFLIPSATLPADRRKKSRPSSNKKSKRPSHHHHKSNGAATAASTAEQDNYLRELMSEKAAAPGGQRRRRSGSRVGELIIFKLSSLVFTPASYSTGSCFFDLFGHSYLV >AMDW01058691.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058691.1:5:70:-1 gene:AMDW01058691.1_FG001 transcript:AMDW01058691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GCDGSVLLDKSYENPHPEKEAP >KN538995.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538995.1:80930:82546:-1 gene:KN538995.1_FG009 transcript:KN538995.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELETQIICWIPAIRVVFHILIPSERHLCDSLFEGFTSYSDVAFVIACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAVHLAWIVDVLMGNLVSKSKVYRHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWVKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTR >KN538995.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538995.1:89892:92075:-1 gene:KN538995.1_FG010 transcript:KN538995.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRLLFLLACSVLALLAGAEVHHHEFIVQETPVKRLCKTHNVITVNGQLPGPTLEVREGDTVVINVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPAREVPLILGEWWDADPIQVIREAQRTGAAPNISDAYTINGQPGDLYNCSKEGRKSLTLVCVFYRPVCVRQCVANLQRHDNMHAETTAVPVKPGETALLRFINAALNQELFVSIAQHKMTVVGVDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYLAARAYDSAQGVAFDNTTTTAVIEYDCGCAPDFGPSIPPAFPVLPAFNDTNTATAFAAGIRSPHEVKIPGPVDENLFFTVGVGLFNCEPGQQCGGPNNTRFTASMNNISFVFPQTTSLLHAHYYGIPGVFTTDFPAYPPVQFDYTAQNVPRYLWQPVPATKLYKLKFGSVVQIVLQDTSIVSPENHPIHIHGYDFYILAEGFGNFDPKKDAKKFNYVDPPQRNTVAVPTNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLETLEAPPVDLPMC >KN538995.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538995.1:154118:157249:1 gene:KN538995.1_FG011 transcript:KN538995.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCTLSVLLPVALLLCAAIASPDFPLGKNFTVPLYYQQPADLAVTTTTVLNASHGAPQRPGVAAAISVVAGTGGLEGLSMCSLVVLLGNVTVWASDHDGGRFLVRGFCRLELTVDGDLRLTDAAGTVGWSSATAGRRAKVLRLTRSGNLRLLDAKNQSVWQSFDKPADKLLRGQRIGVPSYLTAPVTMIGSAFFSLELKERTITANLNVGIKRYTYWELTPRHNRSVAFAEMDALGLRLLDRQRRPVAQISPAIEAQCADSCLRDCSCAAALYVLAAEADHGGACSRYEMTAGAREVIGGGHRHIYLYLVKAPRTRDSEHEHGDDDGAVNRVLTRILIGFGTLDVIGLCALTWLCAYYCIYLRDIPVLDDKDDEEADDEGGEAARRGDAVSQSPPTNSEPVIELN >KN538995.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538995.1:31308:32551:1 gene:KN538995.1_FG012 transcript:KN538995.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGADAAATDARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLQSLESLLSKRAGVRGRDQYGLTALHLAAIKGHCDVIALLAGSGCMDIECEDVEGHRPLHLAVEGGSAEAVELLLDMGAEVHAATRRGATPLQMAVTMGYEAIAQLLRGRGADEAAAPALCIASSSSSSISCA >KN538995.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538995.1:8421:9611:-1 gene:KN538995.1_FG013 transcript:KN538995.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAINLIGAQAGIISKLRNCDITSYSGLKARSSISFESRSSFLGQNASLRSSISPRIVPKANSGSHISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTNEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALESLKPELKASIEKGIEFVHKQQAAATS >KN538995.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538995.1:56304:60535:-1 gene:KN538995.1_FG014 transcript:KN538995.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIVVSVFLFLLLSSLFLLFSPAPAALPFFSFPSSHLSSSSSSSSPIAAAPPPLTPVSVPANASSPETPVDASGVPDKELVLCAVWFGCAVIGDPQPKLACLSIQIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTKYIRFIQQIMPNIQWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCARCRVHWYAPLDSCLLLPAVSSSGEGNSWPISWPERLNIKYSTISDNASTQFSQAKFDSDTKHWKDLVSEVYFNEFAVNWSTVRNVMDMSAGFGGCDIIEVAAEIDRILRPGKWFVLRDTEQVIRKMDPVLRSLHYRTAIVKQQFLVATKGFWRPYSAGSESR >KN538995.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538995.1:78572:80042:1 gene:KN538995.1_FG015 transcript:KN538995.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTILLLVVLLLSSTTTISTSKRTQPKFSAIFYFGDSVLDTGNNNYIPTLAVGNHAPYGRNFPGRKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLEKDLSNNDIMTGVNFASAGSGFEDQTSRLSNTLPMSKQVNLFKEYLLRLRNIVGDKEASRIIENSLIFISSGTNDFTRYYRSLKRKKMVIGEYQDSVLRIAQASVKELFSLGGRQFCLAGLPPFGCTPIQITLSGDPDRACVDEQNRDAQAYNSKLEKLLPTLQGSLHGSKIVYLDAYQAFKEILDNPAKYGFIEITRGCCGTGLREVGLLCNALSPICRNESSFVFYDAVHPTEMVYRITTDYILKNAIPQFS >KN538995.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538995.1:86841:87749:1 gene:KN538995.1_FG016 transcript:KN538995.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALGLPSRNIRLGLAKFSDTARRSLADRRPWTELVDRSAISKPDSLSEATSRLRRNLAYFRVNYAAVVAFSLAASLLAHPFSLLVLLAILGGWCFLYVFRAADQPVVLFGRTFTDRETLLGLVVASVLAFFMTSVASLIISGLLVGGAILPRA >KN538995.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538995.1:135866:138333:-1 gene:KN538995.1_FG017 transcript:KN538995.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPCSDQTSPILTEYHITVPALHDGLMQGSVHHERRLLDCLRATPSVEWLKNINLCSPLTNFRLPSTGVRRYLHVEVHFVRRINWSSVFSFCKNWLKHPLNIALLAWLLCVAAAGGMLILLLLGLLNRAFPSKPLRHHWIEIDNQILNALFTLMSIYQHPSLIHHLVLLCRWRPEDAAELRKVYCKNGDRRPGERAHMSVVVALLHVTCISQYVVCNLYWAYRSRSRSEFADNFFFVLGVVAPVVAGAYTVYSPLGRDTDDDASGEEAKQQQQHMIEAELPGTRTVVVDPVWAGGLLDCGEDPAACCLSSLCTFCVFGWNMERLGFGNMYVHTAMFLLLCVAPFWVFNVTALHIHDYDLSDAVGAAGIALCFLGLLYGGFWRVQMRKRFALPAAGSRWCCGSASLTDYARWLFCWPCALAQEVRTGNLYDVEDGGGGFYEKAMDGGDSEGGAASTATTDGVVGDVKLGMDGGEMIPPAQAVMETGGDTQGSGADVAANAIVFVCKIFAHQTLSDKVVELKVGMHCDRCIKAIKKAIKTIDDMESYQLETEINKVTVTGNVTPDEVVKALQKIGKTATNWGED >KN538995.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538995.1:84327:86292:-1 gene:KN538995.1_FG018 transcript:KN538995.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVADAEVDFEFFPIIRRYKGGRVERISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQALQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVCAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAITDSVKPAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSK >KN538995.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538995.1:39350:40060:-1 gene:KN538995.1_FG019 transcript:KN538995.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSATPPPPPPPRPPKPEPRTSHENLGDDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEEEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRYKSLFYPDSIHVNP >KN538995.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538995.1:13215:14128:1 gene:KN538995.1_FG020 transcript:KN538995.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIMPPPKPPPGRWTQCPGSNWCGYGNSTAASSDDDDASFSDALDRVSTPDQRVGSFDRITSKRFEDIFLGRATSFVNDRSSRRAPAEASLATPSSSSGRGPKHWRRRNTRRDHDGQQPTARQSNDHPVQVKLLPRININGRDEQMSPRACGLMVFFPWSAKPAVCGFRSPPAQYAPSPLAGASNPSPSQSRSRGWGVSSLLDASKKYCTDARKALSKLSIGLGTDSGSGSGSPRAGSRERKCGKQDPSSTMPAMAAKLTQLRTSRN >KN538995.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538995.1:161145:163103:1 gene:KN538995.1_FG021 transcript:KN538995.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFLLTALAGNGICWINTVSYLLCINNFASSSRVAVSLATSYLGLSAKVYTSLAETFPGLANSKTKTYLLLNAVVPLFVTVLVAPSLRVFDLKSAAASSDAAVLVMFAITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPVGLKIRETLTKIRETQRENRIHDLGTDESESVESVAVIDVAADANAEVAKEEDAVVKKPQEEVGGLRLLKSPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGIGQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATRELFGTKNFGVNHNVVVANIPVGSLCFGYFAAFLYQREAGARGTLTCSGAGCYRETFAIWGTTCAVGTLLCAALYARSRNFAGRLPVRIPSCLARLANLV >KN538995.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538995.1:62689:63577:-1 gene:KN538995.1_FG022 transcript:KN538995.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MACCSCSSSSRILPRILVLSLVLLSLATAPCAAGRVTVSVYYETLCPFCSGFVVNDLARIFRDGLSPVVDLRLVPFGNGRVSPDGSITCQHGEEECQLNAIEACVIRLWPDAEQHFPFINCIEHLALTQKWNAWQSCFQETGLASQPVMDCYNSGYGTQICS >KN539619.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539619.1:24540:26247:-1 gene:KN539619.1_FG001 transcript:KN539619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METRTGPTAAGGHGAHLAGAGRGALLLALVVVSAAAFLPVAESSCPRDNSLVKDVSKMYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVFQNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >KN538995.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538995.1:116160:118483:-1 gene:KN538995.1_FG023 transcript:KN538995.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVETSANSRPGTFSFASASFTDLLGGNAGAGGGGVSRYKAMTPPSLPLSPPPVSPSSFFNSPIGMNQADFLGSPVLLTSSIFPSPTTGAFASQHFDWRPEMAAAQSADQGGKDEQRNSYSDFSFQTAPASEEAARTTTFQPPVPPAPLGDEAYRSQQQQQPWGYQQQPAGMDAGANTASFGAAPFQATSSEMAPQVQGGGGYSQQQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSGSSAAQVLQSGGDMSEHSFGGMSGTAATPENSSASFGDDEIGVGSPRAGNGGGDEFDDDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDGPGMQMAAEKGTVP >KN538995.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538995.1:26307:27114:-1 gene:KN538995.1_FG024 transcript:KN538995.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPTLTLFLSSSLVGRGAWLMSPSTGGDNGGGTLTSLARSRTAGGATSSRTQDGGGTGVARPPKADGGDAEGTTRVDTDKGGDAKGMVCYRAGGGRWLRWCGWG >KN539619.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539619.1:73367:77003:1 gene:KN539619.1_FG002 transcript:KN539619.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSGSWVAQASVLGCCGGGGGGVVVVRRPSWDGGAAVGGRAKGFGVVRCCVQEKKPRVRKTKEERREMVESFVNTDYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNATALSFEDCPDSVESPVTHELGQDSVEILDMSDDDQAGMDTVTDMSTQQLLGSSNLLDAGILNGAVQNGNIADMACLETNSEKQDEVPCAQSAEIDPSSSEKQAPSFSHAPDSESEFEMDSQVDAHETTSSLTNGVISSGPSAVITNESLLQDHDDTTDNAVDEAVLCLQTNGSSQANETILQEHETRPESVMSNDVQTIDSQSNSRVDTFNSNTSEDTTKSIEVSEVQRLHPDEEEKAENLVSHAELDTKVFSHVEGKNGMVEEDNSELKQSISVITMEEHDSKPEHGDSTTTAISRHALCLLTLRCMLTVYNFLHASQNTTAYLCMCYYLMMLATGN >KN539619.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539619.1:10612:11274:-1 gene:KN539619.1_FG003 transcript:KN539619.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGENDRLRSEVATAVKKHRASEAEVERLCAELGVLTKEKEAAAKAFDAEKAARKLKSKIEAIENCNVEKDGEIGKLKVALMEKREKIDVLIKDIEQLNLEVAEAHRKRKGGIWAWLYAATTTMVAAISFIYATKSR >KN539619.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539619.1:26751:27301:-1 gene:KN539619.1_FG004 transcript:KN539619.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVIVVISRPPIKVFFYDDWNMPHTAAKLQFPIFWDEECLIAPKDEL >KN539619.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539619.1:43527:49531:-1 gene:KN539619.1_FG005 transcript:KN539619.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDLELNKRSASASAPEHDQTAGPYGTALGSSFQLMATNRMDLDQAGHLNRHKKARTFYSYDLYIDKKLGLEVEDETVPIMQFKAKNKLVFLAKQSSKRQNSAKLSFGQHPLSTYEVVGSWSNVSLPFCTEVCKKLILSVIEGVKANLEDGNCFEKFDEIISSSGLLENNYGRLPKFNEKGVLYTEIEIQLHEAEMFLDFIRHGTAHRLQRNISLTPHQHELILLVMYPLLLPLLQEAILDVLGEPEFRKILLRSVLEVTMKDMKLLEMYDVSSVDDSAQKDAVKVCVGGYYGGHEVA >KN539619.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539619.1:7847:8369:1 gene:KN539619.1_FG006 transcript:KN539619.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGGGRGKSKGSKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKGGKDKADIGSASQEF >KN539619.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539619.1:78206:79540:-1 gene:KN539619.1_FG007 transcript:KN539619.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAVAHATCLLYCRRDASPFCLQICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAEKFFEDMPERAKGPSTCNALLHAYVQHGRRDKAEAMLEEMAKAGYLTCALPFNHMMSLYMSSGELEKVPEMIKELRRYTIPDLVTYNIWLTYCSKKNSVKAAEKVYDLMKDERVVPDWMTFSLLGSIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRVWRKMRETFRKFSDTEYKCMLTSLTRFGDIAEAESFYSEWESASGTRDSRIPNTILAFYIKNGMMEKAEGFLDHIVQKGVKPSYSTWELFVWGYLSDGRMDKVLECLKKALSCLEKWDPNPQLATAIYSQIEEKGDIEAAEKLLVMFREAGYVTTEMYNSVLRTYAKAELMPLIVDERMDQDKVAMDDETRSLLRLTSKYPIGEVSTLMS >KN539619.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539619.1:88873:92115:-1 gene:KN539619.1_FG008 transcript:KN539619.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYALNNLFNNSMQISLSTSNLSFYQMGCTKWTGEIGTISLIARFITLSITFLLSIPLLVASVPQDILSPGSSISVEDNSNMLVSPNGLFSCGFYEVGANAFIFAVWVNQSIGKTVVWTADRDVPVNGRGSRIELWRDGNMVLLDFNSKLVWSTGTTSGQVRSAKLLDTGNLVLLGHNGSRIWQSFDSPTDTLLPTQPIAANLKLVSGKYMLSVDNNGSLALTYDTPEGRSKYWPRNINAAPFSGDQPQGLDMLGCISAGNHIRYCASDLGYGVLRRLTLDHDGNLRLYSLLEADGHWKISWIALADSCQVHGVCGNNGFVFADVSDLSKGCKPTFNISCDKAAQAYFVEIEKMSVWGYNSNYTASTAFDVCRKSCLDDLHCEAFSYQYGLGGCTLKSSLYTGGFTPSEISITCMKLTADAAVQNSIDYKPHSPYLSCQGRVFSTSADTKAFQWNYLYMPIGSIFAVEAILLPVAWCFLRKRKQDSISRNDGFALIRDHFRKFTLKELVAATAKFKHEIGRGGSGVVYEGTLDDGKKIAVKKLQDMVQGELDFQSELSVIARAQAPRLRVRRERVTGQAAVRHGEHHRWEQRLRVALGVARGLAYLHHECLEWVIHCDVKPENILLDEELEPKLADFGLAKLLNRGKDVQMLSRVQGTRGYIAPEWASNLPITGKVDVYSFGVVLLEIVRGLRVSDWTVVDEEEEVEMVFRTTVAVLKERLRGEDRSWLPGFVDPRLDGNFCRLQAAAMVELAVACVEEERSRRPNMKHVVEKLLNFL >KN539619.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539619.1:33073:34425:-1 gene:KN539619.1_FG009 transcript:KN539619.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFPDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGYLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELYVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWVDYCLGILFCDMFDENPQLHYLEFPADVRGACFGGCYQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSVIYLVLAQNGMVEEAGYNYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHVLLKPAEA >KN539619.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539619.1:17095:17568:1 gene:KN539619.1_FG010 transcript:KN539619.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEVLGFESGGSHVTMDILPHEVSEPESNLLDIHEKRRLAKESRPPLPPAMPWRGKRIVLDRYDGRLIMSQVPTKLPFTLHASRVDGRLRLSCMHPSDSVDELKVASNGKKDVEDHAKGNHGEKNVEYISSKAKVGEGNNLTGCGRSSGNGVAWRI >KN539619.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539619.1:6304:6555:1 gene:KN539619.1_FG011 transcript:KN539619.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSPARFDAHYFVNLKLGRGLFASDAALLADRRAAALAHGLTDQDYFLREFKNAVRKMGRVGVLTGDQGEIRKNCRAVNGK >KN539619.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539619.1:52578:62460:-1 gene:KN539619.1_FG012 transcript:KN539619.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRVAWEAEDGAMAEMMPLPADYEVAAAFAERRRREGTARGSGIRTSVSSAGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAHVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIAPTASISSPVGAPQSESLKGGMRPFDKDLLRNFILGAMPFGTPQDLNYANVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAARVEVSSFHHCVQASEPTNDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPQRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVNSSFRSVPSTAFVEDSDSEQDNTKNGANLDDYLMEKMNKDLQAVNLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSMQQFPSLFTKSAVYKGNVSILIKEKRSGTVPGVGLVHAPFSLLPMHLPESHWRQACELAPIFNELVDRVSLDGDFLQDSLSKTKQVDDFTSRLLEIHRKMMEINKEENIRLGLHRSDYMLDSETNSLLQIELNTISASFPGLGSLVSELHRTLIDQYGHLFCLDSKRVPGNEASSQFAKALARAWDEFNVDRDGKTVSVVYFRAGYTPNDYPSEAEWAARLLLEQSSAVKCPSISYHLVGTKKIQQELARPNVLERFLENKEEITKIRKCFAGLWSLDDEEIVKSAIQKPELFVLKPQREGGGNNIYGIDVRETLIRLQKEGGDALAAYILMQRIFPKASLSNLVRGGVCHEALTISELGIYGAYLRNNDKVVMNEQSGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKAM >KN539619.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539619.1:81291:87894:1 gene:KN539619.1_FG013 transcript:KN539619.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDHGLCQMDFQCFLRFAFLFGGVDTHDYDVVKLEEGDEEDWGQEDVGNAAVKVMCRICFSGENEGSTKAAKMLPCKLCNKKYHRSCLKNWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQSDQNLQYTCGACRGECSQIRDTEDAVRELWKRRDVVDHDLMASLRAAAALPSLEDVSPSHPNSDDEKLGAYVMKNDGRNTLKFSFKSNSTKPALDSSEQEKNAIKSSGSNKKHSKKKGNQNNKTVSEQDEIFLEKRNETKSLGSLGDQIADVTRDKSSFKNDADAFVLSSAQSAEKALKLQSAKAAAHNADMIPKVKIKGTKVPSLHFKDVGEENAAKSDTGKGTKLVIHIGSRHKSRSGSPKSEMSNSQKEQELVSMHGGKVDVTSQFKSSRSEIKEKSVMKLVRETGVQQNSLLGDLGASKKHATGKRSNAIVSAMENASESGTRSRSFGQKQSVNHLTENQGNASFSVNNSPDSLKPSLLKLKFKRPIFEQPSTQSSQPEEPGTWASPQEELNVAKGQRSKRKRPSLDKMDGSESKAPAAKRHEQSTGEEAMDANWILRKLGKDAIGKRIEVQLASDGKWHQGVVSNVINGTLCLQLDNGRSENIELGKRAIRLIAQSQLPATRVVSRPLQAPAFGSSQSLIHGRLGGLKRIGFILTSGSVGTNNWEGFLGATLDVEEMPDDGHVHVAAE >KN539619.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539619.1:19759:20594:1 gene:KN539619.1_FG014 transcript:KN539619.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEVLGFESGRSHVTMDILPHEVSEPESNLLDIHKKRRLAKESRPPLPPAMPWRGKHIVLDRYDGRLIMSQVPTKLPFTLHASRMDGRLRLSCLHPSDSVDELKGGKKDVDDHAKGNHGTLHTALNCNYPKSINFERKLMCSDVLGIESSAGHVAMEILLREISEPESKLLHICEKRRLAEEPRPPLPTTMRRKGKHIVLD >KN539065.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539065.1:96486:102717:1 gene:KN539065.1_FG001 transcript:KN539065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKLKRNISVRTQCRSFSVSIESAADRVQTCGSIDPEFQIDPLSLVRDHRVKALLTAEGIAVQSFNADLLYEPWEVVDDDGCPFTMFAPFWDRCLCMPDPAAPLLPPKRIAPGELPARRCPSDELVFEDESERGSNALLARAWSPGWQNADKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTVHGPTTAGRRREDQMVPSMTSSLIRAETELSADFDNNSMDSRPEVPSQVLFQPRMEREETVDGGGGGGMVGRSNGGSHQGQHHHQQHNFQTTIHRARGVAPSTSEASSSWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >KN539065.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539065.1:135780:136057:1 gene:KN539065.1_FG002 transcript:KN539065.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSIANDGEAAGGGNGGGGSGGEVTFTVVMSCLTAGAGGLLLGYDIGVTGY >KN539065.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539065.1:46407:50866:-1 gene:KN539065.1_FG003 transcript:KN539065.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPTSSSVAALRGHPVQFVKGGAVSKEAKGSISFSPVANSNNANVKFTGLRVAASLKRDGAFPGDGYSGNDNTVLPKSTSVRGQDYPTADSVLPTESVIVPEISNAGLKCVADMFSDEDKDTEQDLDSPTEGFSSISEAIKDIQQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDEDGSMARLPKLRMFAERENLKIVSIADLIRYRRKRDRLVERSSVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQGVLVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITSENRRYLETKRTKMGHVYGLANGQASHQTGSNGAKGEH >KN539065.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539065.1:104504:106834:-1 gene:KN539065.1_FG004 transcript:KN539065.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERKMIVDLSSSSRIAAMPYPCVDQQDVHIDHVWQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVVLVIVLSMKHPIAIVDPFNKTQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGVRKASA >KN539065.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539065.1:119066:124111:1 gene:KN539065.1_FG005 transcript:KN539065.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGLIFGYDISITDIYLPEQTKSPNDPPKREQTEDVNPIEIIKHLIDCGLTQMQSFLEAFFPDIWAKMNNAEQDAYCIFDSQVLTTFVSSLYLAGVFACLIAGHVTRRVGRRNSMLIGASLFFVGAILNCAAVNIAMLVIGRILLGFAVGFTNQVREREPGAIANVGRHAEARASLRRIRGASANIDAEFKDIARAAEEDRHHHTGAFRRIVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTVGFSSQKAILGSIITDVVSLASIAAAALTVDRYGRRTLFMVGGGVLLVCLTGMAWTYGARLGSDGGKAMPRGYAVAVVALVCLYDAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSEAISLALTFAQTQSFLRMLCSFKFGAFAYNAAWVVVMTAFVALLLPETKGVPIESLGAVWAQHWYWKRFVKPPPPPPTTAAETKQADGAPA >KN539065.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539065.1:21866:25258:-1 gene:KN539065.1_FG006 transcript:KN539065.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSELWMTAVATCMSLLLYLTILRRRHASGGRSLALPPGPTPLPLIGNLLCLGGIFHQTLAKLARVHGPVMTLKLGLTTAVVVSSAEAAREAYTKHDQRLAARPVPDAFRANGFSERSIVFSPSSDPQWKNLRGIHATHIFSPRALAALRGIRERKVRDIVGYIRTVAGEEMCVREVVHNGVLNLISTSFFSMDMADVRSESARGLRGLIEDIIATVAGPNVSDFFPFLRQLDLQGLRRQTGSHLGIVFGLLDDIIDRRMAETRDHPDKQRHGDFLDALISLASAGKIPRYHITYLLFDVFAAGADTMTTTVEWAMAELLRNPRVMAKVRAEVMDALGGEESFGEGDAASLTYLQCVFKEAMRLHPVGSILVPHLAVQDGVEIGGYAVPKGTTVIFNAWAIMRDPAAWESPDQFLPERFLHKEESSPPPELRGKDYEYIPFGSGRRLCPGLPLAERAVPFILASLLHAFEWRLPDGMSPDDMDMTEKFATANVLATPLKAQNKAINLSKFKNHTTFKNTQFWFNKHLKTLAAPKESKVQVQVPSTPAGPAPKRVAIVVLLVSLDKSAVALAAALALGLLDAHALVLRGKKEVKSYGEKLLHAYSDATCAKL >KN539065.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539065.1:141831:144306:1 gene:KN539065.1_FG007 transcript:KN539065.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQSFLEMLCSFKYGAFAYYAGWLVVMTAFVAVFLPETKGVPIESMGAVWAQHWYWRRFVQPAPAKQFIGTYTVMASMGPATSMPSKDYLLNLANLTAGKRSGNKLPIVVFYHGGAFTTELVSSPMYLRGDHVGVGLR >KN539065.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539065.1:110096:115714:-1 gene:KN539065.1_FG008 transcript:KN539065.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEDNKVTKCAASGLWDRLSVLGAGVSKLEKALGDHFPEGERYFGLENFGNTCYCNSVLQALYHCIPFREQLLEYYATYKNTEDSEDNLLTCLADLFAQITLAKKRTGVLAPKRFVQRVRKQNELFRSYMHQDAHEFWNFLVNDIIDILEEDCRTANSSPETTPEEVSNGAVNALANGARERPLVTLVHRTFQGILTNETKCLMCDTITAKDETFFDLSIDVEQNSSLTSCLKSFFSTEILNGEDKFFCDKCSSLQEAHKRMKIKKAPHVLVIHLKRFKYVEQLSRHKKLSYRVVYPLELKLGSMSEDADCEYSLFAVVVHVGSSPNHGHYVSQIKSHGNWLSFDDDTVQISEESTLQTFYGSSREHCGGNTDHGYILFYERLGGKS >KN539065.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539065.1:67266:68288:-1 gene:KN539065.1_FG009 transcript:KN539065.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMFGGVDEEINGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELKDANAEVDRLRESLHAAEAELWSTTEQLDGLTSDWKEAAVSWRAREKVLLARVRAAEDEAHAAGQENVELAELHRVVDDENGSLRRALERAVEEVNAANESLELATGENSKLQDAVAEKESAMEALRQENESLKAREAEARWRGDMHGKLSAAFLDSNRVMASRKDRMFASLSNIAELKSAAAAAAMDDFDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >KN539065.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539065.1:7691:12539:-1 gene:KN539065.1_FG010 transcript:KN539065.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDRDEKLTAIDLRTKGSPQRTRDAYGTASSRRAFMAYVSEGLGNLQDWNQVMAYQRKNGSIFNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISESFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPVYAILERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRCLNREPIITWISGVIPNDEILALAVDEFHSSQSVYQQELQDLNRWDDHGEIGFSSECVEILFYAVYNTSKQIAEKAVPLQKRNAVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSGGGRGSPEASMEEAKREMRRVLQGCRFELLRLVTRDAGVVPPPCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDLGTL >KN539065.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539065.1:132528:134254:1 gene:KN539065.1_FG011 transcript:KN539065.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTSSIGLFANFGFLMADMINYRATTMARWGWRLSLGAGIVPAVIVIVGAASIPDTPNSLALRGRLDEARDSLRRIRGAGAAAADMTGAIVVAIFTPLLFYTVGFTSQKAILGEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVVMTAFMAVFLPETKGVPIESMGAVWGQHWYWRRFVQPAPGKEVDGPE >KN539065.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539065.1:149608:151008:-1 gene:KN539065.1_FG012 transcript:KN539065.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPNIPEAKQEMQSLYRNYAYLFDMSSDNAGVDSRIMRRQPAMLIDRSVFLTGSAIWTIALQYKAHIYRWLLQKFSAIQTTSSQRNAAVVGNIVIVLVNSFGILGSDFTTKHHGREVTFTVSAILMVFCQITIPLLVEAQIGLGGGTRILTGYTTATFLLTCVVSYGLSWSWGSLFCTIPGMKIQSAGQVIGMGLSFGLCFVQMQYFLLMLCRLKNAILAYYAMWIWS >KN539065.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539065.1:80273:81781:-1 gene:KN539065.1_FG013 transcript:KN539065.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLEKKRKERGQWKYLQNLPIAGTCAQKEPPQEAAKGDGDYDVVELDASELLAEHVHFCGICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQPKPPAGRELYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAIAELNKGVATAAAEASISMMEEGGVEANCDREEDEEGGFDPKFFQEWMEELRGGAVAPNWSAPSEAGH >KN540790.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540790.1:33418:41655:-1 gene:KN540790.1_FG001 transcript:KN540790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QATTAGDNVGRGVLQEEVHATGSSLPDCSHACGACSPCSRVMCVHKMFIVVKWLLSMWHSGSISHIMNVLIVRQDYSHSSSGLGVTDNSAFKIGVYTNFNVQSNAQEWVEESKRISSIKTRNNVGNTIYKGSTHLRAGILHHEPLEAHKNSNYSSLYSVRERMTPNSLANRHANMELAKHNTRNQAASAVSALTSVVNDDIKPLNRSSGSELKTQWQPDSKIDASVLKISKVETSLQFDDKARDGYDGDEHECTTKNTAQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKTARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVVGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >KN540790.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540790.1:21080:21838:1 gene:KN540790.1_FG002 transcript:KN540790.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVSELDLLVEERKRFTRRTFTVDAQQLERLKQRITRDGESTAKPCIGLHPASSPSSRWLAWTFFARCKTTAADEDDGGDVFLFFFADVRERLDDPPVDDAGYYFGTCLTGCLARLPARDVHGDGALAAAPVMNVSGSLVFRPYDVGDFGWGKPRRTEPIRIDEPRRAGGARARRRRPRGAGLGLLAPIGTHGGFQVTNAPARVKVIGQADGTCDKLPR >AMDW01040024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040024.1:412:1171:1 gene:AMDW01040024.1_FG001 transcript:AMDW01040024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSCSVLTRIRLFREHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASRGATVYMLCRNKERGETALSQIRSKTGNMNVHLEICDLSSISKVKSFATKFSSTDKPLHVLVNNAGLLEHKRVTTPE >KN540790.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540790.1:16760:17324:1 gene:KN540790.1_FG003 transcript:KN540790.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEEKEGNRFQEPASDRCEDDEDKEQDNSEESSSVDQRKEEEEEEEKEGCEEATPAAAAAAAPSFFAHPCSLLQYIARVCACCLGLSDSFCDPKATAVPVPEPETASADPSQEGEEEDMKSSEATTRVRAARLRPKPPGNPREGSGGNGGHHH >KN540790.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540790.1:1338:1801:-1 gene:KN540790.1_FG004 transcript:KN540790.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLVERVTGYGRGEDKDVAAGVEEPTKLASEEVVASSEEVVTVQRNEIRSRGADPFVSGGKQPGINAAGI >KN540790.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540790.1:10970:11239:-1 gene:KN540790.1_FG005 transcript:KN540790.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGKKVGYAVRRDPTDDDIAVLETLWAVSMGGGVLPGISDMDGKDGEMAYMRGSFEHIIGSRNSESLYMISPHGGDCPELAVFFVRL >KN540790.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540790.1:27140:31923:1 gene:KN540790.1_FG006 transcript:KN540790.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCRRRPRCRRSRSSSRRWKHCGSLSPVQQHVLFYEVAGSPPFDSVVRSLRSSLGATLASFAPLAGKLVYLEDAGDVAIACSASDAVKFVAAESDADVRRLAGDELHDLATFQKLVPELDMSKLPTSVLAVQATRLEGGLAVGVTVHHGVADGKSLWMFVEAWAAACRGETTPVATPCFDRSAIKLHLGEEIARTVLRKYAPKLPQVAELEIFVEQRNRFTRRTFTVDAQQIERLKQRIARDGEAPGAPLRRPPSTFVAVVATAWTCFARCKTTAADDGEVFLLFIADVRERLGPPVGAGYFGSCLTVRVARLPVRDIHGDGALAAAASAIQEEIAKVAEDPLAGWDFMRLMETLVPVMERAMNVSGSPVFRPYDVGDFGWGKPRRTEPIRMNHDGQVALARAKDGRGVQGLTRAQVREVVSWKPELLLSDVDDTLDPKFRAVRALGLGRADVARLFALYPPALTYGIHTNLLPRVLFWIDFLGSAKLLMKWLAKTWLLRYSVDALLRNLSTLRALGVQQSRITTTVRMQPTLITQTPARFQKLVGRVEACGVPPSSGMYMWAFFALHNVSEGSLRAKKAAVVGAAGCTEEEFDAMFRRAPCLVFVPAALLRRKVEFLMAEAGCDATHIVTNPVLLTLSLGKRMAPRCRVVEALRSRGVDIGKKANLGSVMRYPEDKFVERYVLRYKEEVPELLELYPPRHRKGSSQTR >KN540790.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540790.1:4296:4590:-1 gene:KN540790.1_FG007 transcript:KN540790.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAAQLKDRFLGLVERVAGCGRGAGDKGVKEPTKLSTVQRVEIRSRDPNVSGGEKPPNN >KN540790.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540790.1:19456:20084:-1 gene:KN540790.1_FG008 transcript:KN540790.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSLDAYSDGGDADVAEPASPIRSSANRPRRPSASRDEVPRYVLRVIRTAAAASASLPPVPGSTAPGCPSTWRARTAGRPNTAVIPALRSLSFLSLRDEHSPGVARKTISELYGHATPFDAAGRRFPAGEVHPESIQRNVTVSDVSYGDKTKACDRYLSAVSSAIDVLTRDDRYTPVLYDREVFESVFQLTWIEP >AMDW01040114.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040114.1:121:1232:1 gene:AMDW01040114.1_FG001 transcript:AMDW01040114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYKKMSEHSESATEKIMSSIMDTIAENLPKQKSGKFDVGAASDKMKEKLFGRQKTIHRVLGGGKPADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIISFALVLGMVAQFVWSNFSNALSGSPSKVPRVELPEELFVNIAVAVGTQVNKFISFLQDVSCERNLKHFVLAIVGLWAAAVIGGWCNFLTVIYIGFVSAHTLPVLYEKYEDQ >KN543201.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543201.1:3569:4525:-1 gene:KN543201.1_FG001 transcript:KN543201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARYWILARRKLGDQKAPLFPTPHITIGAGGSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGVVEDGTGGESHGMPPNQNYMIQPCPPQIGTLQHAYSPNPRSGSILAADTNPNSICDVVAYPARSLLQVAAARTALGKQVLNAPLVSLKSPSAGKEHGKRETLFLGAVRLAQDHDTVSSNLDLRVGKNELKITTVLGCRSRRDFMYDNDRADDEETAEASHKKRRIDLEVNPLILTSSTSNHQQQDGGDDQHHEKILKLYRSSSVEELDLELRLGEAPKEQ >KN541411.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541411.1:2819:3262:-1 gene:KN541411.1_FG001 transcript:KN541411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYEEFSVSIKKLTPTTEDGASSPPQESPSSAPTRCSTNYPHSDMTASSNHIVEETAPTVTIKLGDSEEKDHGPFIITKDLSKFTSPKCSMKCFSLDVKPNLSVATPMVLTCTTIATTSMDLVAAEEATSATYIDTADQSKVTHA >KN541411.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541411.1:15912:19604:1 gene:KN541411.1_FG002 transcript:KN541411.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAALIFAGKSIATPAISFIVNKAFSYLSKLHQAEGMEATKDRLLLRLNQIQVVYDAVDHQQINGQSDSLDEWLWRFRDAVEGAEDVLDEIDYYKLEEEAGAQERQACNPVTKFLKGNVHKVAKYTSEENMVKNLRKALVRLDDIAADVGTLLHLISFFESPAVMPEHTESNPQTSSTLATTEIFGRDEEKNTIMRWLLDNLEGNPSTHRRNSVPIFAIIGMGGIGKTTLAQIVYKESEDAAYFDYVVWVHVSGNSFSATRITKKILEALTKQKPNADTLEALQQILKESLNPKKFLLILDDVWEDTNWDEWEILLAPFQNSPHNGSRILLTTRMRSVADMVTSVVLGKRDYLHLDGLDEDHNFMMFKKYAFYGMKTEDYAHLLPLAEKIAKKFQGCPLVTKIAGEHLRSNASDHHWKNLYRQLENLEGRMSAIITTVLQSSYHHLPEHLQLCFRYCSIFPKGYEFKKDEIVKMWMGSGLILTDGGTERPEDIGERYLVQLARKSFFTFAPMGDPCSKFYTEYYIMHDLLHELACSVSAGECLRLESGGFMQRKCTVRHLWIANFNKLTTEEIKEISRFENLRSLIIEESYHVNDVCIVALEKAVQLLKGLRLLSLKGITKFCLAKEVANKHLRYISFSGMQDIHGISKLYHLQVLTTAKGICAAPKQVNNIENLLCLRYVSYGSNGFGEFLVGRLTSLQELENFEIQPKEGYRISSLRNLSSICKLQVFNLENVGNHKEVIEAKLKDKSYLRSLSLNWSENNNVVKNDDDLVLDKLEPHSHIENLEIAGYSGVRFPTWISHLCLINLVSLELRNCKNWEYLPTLGNLQLLNHLELHKLIELEQIGQSSDNSLPPNLKTLVVEGCVKLGELPLLPLSLTQLEVNNVGLTTLPRINDHHGNNIVLGTETKLKSVIISKCSNLISLEQSFLLQEHHIRCLQILSIVNCEKLKRAPLLFSKMDNLAEFHIGRSYWLKMMENDDHVVLPRSLKELSIMLCGDLQLPLLESLLGLTNLTSWSLCDCPRVKSLPSSDVFRSLKALREMVVTKCINLESLGGLGALSYLAWLEIMDCEKLERSAGYGGVGEDSLQEFPLQVYSLWVRSPGMLIVEPLKRLCTKVLIISFACVGIPRLWVRENRKSLETLEILKPSGTLSLRDLYHLKRLELGRVVDLQFPVLPSSLKSLIIRDCKPEVAESWKRKGSAEWDKLSGIRHVRIGMPSSNSMSSSLAI >KN541411.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541411.1:10879:13711:1 gene:KN541411.1_FG003 transcript:KN541411.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVDSCVFTFGTCLQIDLVVASGIAALDVKKLKDSGLYTVESVAYTPRKDLLQIKGITSKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDEMYCLRIEIIEQFYFDQTGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >KN541411.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541411.1:5400:10018:-1 gene:KN541411.1_FG004 transcript:KN541411.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSRNLEAAALPGGSHMFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKSLTVFMYEKKRNIENAIFMQELTLQEAETIALSILKQVMEEKVGPSSVGRFGRQGTVAMKLAKRFDLFTSAD >KN541411.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541411.1:25755:27415:1 gene:KN541411.1_FG005 transcript:KN541411.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLAGARSGCSITASRKCKPPWWKGLFGGAPTDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLENTKFAVWGAGSNRTSSASLCIANSQYPFNPRPGATNYKGSDLLYSFPSEDNNIKDDD >KN541473.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541473.1:3976:8330:1 gene:KN541473.1_FG001 transcript:KN541473.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPPGGMEGGTRHRTGRILAITLPIAGAILALIVLTCFCFWRRRTPARKASPMPYSTNPDDIQSIDSLLLDISTLRAATDNFAERNKLGEGGFGIVYKSIDSLLLDISTLRAATDNFAERNKLGEGGFGIVYKNLVSLVGVCLEEGEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLSIVNGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTGHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFCNSEQSVDLLSLVWEHWTNGTIEELLDPAIGRRAVDDLLKLINIGLLCVQDNPADRPAMSAVNVMLSSDTVSLQAPSRPTFSIQEMDGAADTDLYESGAYPRSAFQPTGDGNTRAAAAASPNELSLSELEPR >KN541473.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541473.1:20446:23282:1 gene:KN541473.1_FG002 transcript:KN541473.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRSYLAGVAAAAAAVGVGVGVPYAVPDDEKTKNRIGAVLAIVMPAIAAVLLMVVACVCCWKRIKKRRPEEQTFLSYSVSSDDIQSIDSLILDLPTIRVATDDFADTKMIGQGGFGMVYKGVLPDGQEIAVKRLCQSSRQGIGELKSELILVAKLYHKNLVRLIGVCLEQQEKILVYEYMPNGSLDIVLFASDTDKNRELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYSPKISDFGLAKIFGGDQSEDVTNRIAGTYGYMAPEYAMRGNYSIKSDVFSFGVLVLEIITGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSMGDHPPIEQMLKCIHIGLLCVQKKPASRPTISSVNIMLSSNTVRLPSLSRPAFCVQEVSASDSSNPYSERYPRPRHSGYSDNSTVVSSNDLSITELVPR >KN541473.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541473.1:10353:17443:-1 gene:KN541473.1_FG003 transcript:KN541473.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNMCDLGNRDQPLPWQLCNATAGNYTEGSTYQANVRALASALPGNASSSPALFAEGAAGTAPDVVYAIALCRGDTNASSCAACVATAFDTAQQLCAFNKRATLFNDPCILRYSDLDILANVTDNSGRFVAWNYNNCTPDMSEADCRSCLGDIIRKATPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGPPPPPANMTPPVTSEARTSHRTGRILAITLPIAGAALAFIALTCFCFRRKRTPANKASSLPFSTNPDDIQSIDSLLLDLSTLRAATDNFADRNKLGEGGFGAVYKGVLSEGQEIAVKRLSQSSRQGIEELKTELVLVAKLRHKNLVSLVGVCLEGDEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLKIVSGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAMRGRSADDLLKLINIGLLCVQDNPADRPAMSAVNVMLSSETFSIQAPSRPTFCIQETDSAADTDLYSSAVRSTGDSKTRAVASPNEVSLTELEPR >AMDW01033682.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033682.1:202:437:-1 gene:AMDW01033682.1_FG001 transcript:AMDW01033682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VMVDPDAPSPSDPNLREYLHWLVTDIPGTTAASF >KN540763.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540763.1:13567:14023:-1 gene:KN540763.1_FG001 transcript:KN540763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMANGGQVDNKLIQTFHKSFVQVQSILDQNRMLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASSNRVDEDEDEDDGDDAIVTSWGLL >KN540763.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540763.1:41978:42712:-1 gene:KN540763.1_FG002 transcript:KN540763.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEMPALESQFNQCAITAEEEAAAALPDSTEPPCTNVASQDEESDPAAGESESESDSDDLDEVIADILEGGDDGGSADYYTGPLLPEGTMLLTKTLVDKILALRRRRFPMPPATGGERLWWVSPEFREELIAAELAAAAVFDASQDKFVECQAMIAEKRHPEHGYAVVEETGEVMTTICRAFFGRYNESDDDDLLCDCVDANERKCGGDYWANEFVDEEDDDCCEDVDEEEEEKDEVAVKG >KN540763.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540763.1:24528:27523:1 gene:KN540763.1_FG003 transcript:KN540763.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQEARWLAAQGVAKLNTEESDYCINVSIREVILKMCIFQVQYLKDCKRLYGRILDNSNVESSIRAESKHQSEKVWAEQYPKEPFELENTSSSDNSIYANAGAAEDISYDLVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVMWHSHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTEQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFSGEVNGEFSVGKAESQITILETTVIELFLQIVDIKNLPPAIPKENVYIWFTKNQPDMFISDGGRLDISTKTGKSIGASIQCEPTGELILTVLVDRTSSSKKPKKIGKVSISLQEFTWSDSKLSFERWFELKPHDGHASSTPVSVRVAASSTVPVRAQQVLSMIRTEPFSLKSILSPNSVKDQKMSCWTRFVYDCNTELIRLQIR >KN540763.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540763.1:21983:22256:1 gene:KN540763.1_FG004 transcript:KN540763.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSTAVVVEVSTGKGLVAVFVRAEPGRGDAGADAGAGGDVARRRPRRLLHLPGRLPQLKKPTELNC >KN540876.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540876.1:13001:13249:1 gene:KN540876.1_FG001 transcript:KN540876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLFERERPVDFAGACGDFVVMDDNGYKLWRYDYLESGDNRRVELWNLNNPEDDNLRDLYERNQVSYVFEELYDRYHVFPFFG >KN541513.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541513.1:6043:8689:1 gene:KN541513.1_FG001 transcript:KN541513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDVSMAVCCAFPVLVSLLLVRFAYVMYHSGHMPSKLSASAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEEGVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >KN540876.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540876.1:21755:22573:1 gene:KN540876.1_FG002 transcript:KN540876.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTPAKIKHKFHQHELKLVTAAADDKERFKCDGCNGSIGAACARYTCNSCDFDLHTSCALAPLVLPEHRLFDGCKFRLLREPPPPGPGNRRVCDACGGDVTGRGFVYHCSDRDLDIHPCCATLPESVALDGAEFVLCDGGGRNVPRQCAFCKRDDGGCSCSRTLRRKVWTYRSCYDGEAMYLHVACVKEMVQEILAAGHGGGGGDGGGRSIISVSILEKTMKKRKRSRTGKAVKCFLNFAISVIVSVLFGDPTGLAVPLVGAFISNVVYG >KN541513.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541513.1:21828:24281:-1 gene:KN541513.1_FG002 transcript:KN541513.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFSILPALSFLALLPIVMSRDKIPLKSSLSVDDYQNDVLQSADGTFSCGFLTIYSNAFAFSIWYTNSKNKTVVWTANRGRPVHARRSVVTLQEDGAMVLKDYDGTVVWQSDSNSIDVKYAQLLDTGNLVMKTSSGKVVWQSFDSPTDTLLPTQYITATTKLVSTTGLHVPGHYTFHFTDSSILSLMYDDADVHEIYWPDPDRGEYQNKRNRYNNTRMGFLDNNGDFVSSDFADQQPFSASDKGSGIKRRLTLDHDGNLRLYSLSNGEWSVSWVAISQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSHGNWSQGCKAIVDISCSVAKVQFKFVHLPDTDFWGSDQQRVDQVSWQACMDICRSDCNCKGFQYLKGEGTCFPKSFLFNGRAYPSHFVSPRNMYLKIPVSMNVSGMPVPQSNVLDSRKHSLNCGQMDGKTREPFPDVHKTSQGETRWFYLFGFAGAIFILEVCFIAFAWFFVSRWDLDALEIQAVEQGYKVMASNFRRYSYEELAKATRKFKYELGRGGSGIVYKGTLDDGRVVAVKMLENVRQCEEEFQAELRIIGKINHMNLVRIWGYCSENSHRMLVTEYIENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDGNLEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLATSANEEVHVVLRRLVKMFANNLSGNEPSWIAEFVDCRLNGQFNYTQVRTMITLAVACLDEERSKRPTMESIVQLLLLVDESCSSNALCPEMPTR >KN541513.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541513.1:17795:20576:-1 gene:KN541513.1_FG003 transcript:KN541513.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVLTAAEGAVKTLLVNWALFLRKSSDFLVGSEAHHRIVMQVQELKVRARDVSDRYARYSGANAIVAASDSKNTTTSISTCLSLDPRQVIGFIQDDLLVGINNRRDRVLTYLRVDSDQELRKIDLKALLRDMLGQLIPPASDQHVSSDIEDEHLKAIEVWDVKRLGDKLRSYLDDKRYLVILDIWSDSAWDTFKFLLPKNHVGSVIIVTTRIRSVANYCSHLQHDYSYEIEPLNEIESKELFLRRLFGQLHECPQNIQKVSESVLKKCGGMPLAINSIAGLLASRPVKSLEEMQNLQNSLGSEMDSFSTMEKIKQILLLSYNDLPYHLKTCFLYFSIFPEDYKIKRKNVVRQWVAEGFVSDKRGQSAEQVAESYFAEFINRSIVQPLDICDSGKVKTCRIHDIMLEVIVEMSVEQNFISLMGDQHTMIPMTRFDIFPYMAMICALFHLKYLSLRRAHNIDRLPRKIRKLQSLETLDLRGTGIDKLPASFIELENLVHFRSGSTYLPHGFGRMKSIQTLGLIEISDDTSWRIQEIGSLMQLEKLRIRSRDGMNKENWESLLIVIENLSRRLLSLSIETDGRTCSLPLDISSSPPLLLRSLLLYGSLEALPSWMASLDNLVKLTLGGTKLEEDDIQILQKLPQLFSLRLWFAFAVQKFVVAPSGFPNLQLLAIQGWNGPLQMILEEGAMQKLHKLVLVASFRDATLKSIKGTKYLRSLQTVEIRAKSTPCMEALLDELRLEASHLPNHPTVIIKRA >KN541513.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541513.1:11842:12594:-1 gene:KN541513.1_FG004 transcript:KN541513.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLSDEVLKAVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYLTFSKPRTPQHLPVPKLTFEDLVFYIDMWLDGSLIFSQAVSGCILRGGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEITMGPSITVSVLAHRKDTKKMACVINKSTFDYIDSNAARALAYEYLRFSPRYPFISDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEILWLLDMLDWK >KN540635.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540635.1:31493:32219:1 gene:KN540635.1_FG001 transcript:KN540635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENHTSSPPFAEIPTILERQFIYRVPEWIKELSNPKAYEPQPMEEHKRRAVQNLVARTSVPLEHYIRAVKHEAPFLDAAYGPDLGEEWRGDDETKRDRFVDMMVTDGCFFLEVMTLNAAMDGQGELGKLFAPKDPVFSEHGFVYLFRPIQTDMLLIENQLPLLLLITLARAASHYQEGVQPSHYFTLFRSSLCHPLCDWASDKDLSCGKVRGPVNK >AMDW01026734.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026734.1:106:339:1 gene:AMDW01026734.1_FG001 transcript:AMDW01026734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGT >AMDW01037934.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037934.1:310:742:-1 gene:AMDW01037934.1_FG001 transcript:AMDW01037934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVKPAKHGLRRHLNAGFFAGFLLVLLTYVIVSQQFAMETPTAVTSRAPRIDENESVTKARVETGEKAQDFCHLDRVLLDCFISLRTQKSS >AMDW01056164.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056164.1:34:462:-1 gene:AMDW01056164.1_FG001 transcript:AMDW01056164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKTKIICVKLPM >AMDW01037962.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037962.1:44:697:1 gene:AMDW01037962.1_FG001 transcript:AMDW01037962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VMPQAQRRRDVKLERLELGLAKARAAIREAIQNKDNKPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKLFKVFVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTRDPDQAHVFFLPFSVVKMVKMIYEPNSHDMDPLRRTISDYINVVSTKYPHWNRSLGADHFMLSCHDW >AMDW01007492.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007492.1:51:176:-1 gene:AMDW01007492.1_FG001 transcript:AMDW01007492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWPTYLATNATLANLPLRASLERLGMPESVAGVR >KN539711.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539711.1:2534:14385:-1 gene:KN539711.1_FG001 transcript:KN539711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIIRPHLGGMLNAQMASLMESLAEYDRQAKESTVEIDRQLSLVADKQNMLSQRFIDALQGLRAAHIPPVATQDAPCHGGVTQPDGVHPDLDGGGSNDDHDKQHHQQQEDIHGSSSPQEQTVNVTNSPSQDIPSVLLGAIIPVAQEDVPVQDHTVVAQSPALRAFIGGSPGDLYVSHLKERSFSFVVCSKVVGLWIYNLRSFICKDFHARFHLWRDEGPNWRRELDLWESEQLNEWILVSKQIKKKSVPLNYSKPLKVLASSVAHKPSSSGRPFPARSVNPKPVKPSASGHTVPTKTILDRLSFPAIAGGKVHFADKETRAAGHTVPIKTVFDRLSFPAIAGGKPSPPKAPAMAIVNPDPILFLPPTLQLHVPWGPQLERADLCLQEDPPRRNDSLAVACIEPPPTLEQYEGFRQMVINHVQNVLGYHILEVSRHPVEFLYVRLASALLRDTLIAGGPYELPDVMPADEDLPPINHIPIPDPVHDADVHMEVFGENDQAEDHDNQQQHSQSHSALSVVAHNLEVLPQDGLDLQALLKPKVLVNPLFWKALISLQKVLKTWEESIWPMLGHIPVGLIALSPQWSRAVGPEKNLQLVPYSLPMLQHMGIQLCGLKESEVSAEVLASSAELGKRSAVISSFK >KN539711.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539711.1:36183:38189:-1 gene:KN539711.1_FG002 transcript:KN539711.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWRQRDELPTMVPCIQRQPRRRRARPSSGSSIHEEADSQRREKIVIHVDADNEMVGDEAPPYKEARREGGRHPLGSTLTDGLRARYGGMVATLILDSLGTRRRTGWCCRQSTEFGCRAQAGETNNCSRSRARCPLPAHSMFDKMSMRARRSEELDKQ >KN542280.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542280.1:6538:8249:1 gene:KN542280.1_FG001 transcript:KN542280.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLISSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIKEVIANGISVNVTSLSAVLCVYFTAQDVGIVTPFEIEVLCLPYALMLSLLASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKLLELEGVDSFKKAFDSLLVSLEEKGNSLKKTVSL >KN540626.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540626.1:966:4573:-1 gene:KN540626.1_FG001 transcript:KN540626.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENLETEERGAAMEVVRDACENWGFFEMLNHGISHELMDEVERVSKAHYANCREEKFKEFARRTLEAGEKGADVKGIDWESTFFVRHRPVSNLADLPDVDDHYRQVMKQFASEIEKLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGVILLFQDDQVSGLQLLKDGEWVDVPPMRHAIVANIGDQLEVITNGSIVTWTKQRYPPPLAKAAKRKRYSYYSNLFLRRSKQAAGVKQRAQHHRSSHPEMAAAAALLQSFATVASVQTVDGSSHLPISANKME >KN542280.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542280.1:9020:14779:-1 gene:KN542280.1_FG002 transcript:KN542280.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEEDGGGRRQLTAGAAIPASSSGDQLMEKLKRELPIANKRSLVRFFQKRKESEPWEDFAVASALTISRSTLIQITLSIGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLASQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAAVTSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >KN540626.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540626.1:34539:39361:1 gene:KN540626.1_FG002 transcript:KN540626.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase COP1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/Swiss-Prot;Acc:P43254] MAVAGEGEEDAGARASAGGNGEAAAADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSHKSDCPCCGNYLTKAQLYPNFLLDKGFVIEMSLNVPLDVAWDRYPVLKKMSARQIAKTASPIDQFRYALQQGNDMAVKELDSLMTLIAEKKRHMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDEPAASKMWPSPMDKPSGLFPPNSRGPLSTSNPGGLQNKKLDLKGQISHQGFQRRDVLTCSDPPSAPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRLEERDIVTINKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSHYVAVGSADHHIHYFDLRNPSAPVHVFGGHKKAVSYVKFLSTNELASASTDSTLRLWNVKENCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAANHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >KN540626.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540626.1:30758:31718:1 gene:KN540626.1_FG003 transcript:KN540626.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPTPPPPPPPPAASSEETASGSDSDESEEEEESPLAQPAPVVSNKGAESDSSGEEESEEEEEEDLVRSSATKSKAPPQENREEDDSSEEEDDESSESEKAEAPPPPPLNPAPKQGAEGNGPKVSSPKRQAFHRIWSTEDEVRILEALAAHRREHGSLPQTDALIATLAGSLDNTGYGRKELQGKVSTLKRRYESTAKKKGDLPSKGHDRRLYDLSKSVKLLEAAHPGLFKRDFGKLDDDKAHALDMKIKKQRIAEISVVLRRGDLTKEVTKVLTELVE >KN540626.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540626.1:25584:30072:1 gene:KN540626.1_FG004 transcript:KN540626.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEISPRQRSQQQKEEEGEHQQRAGEEAVGAVFSIEPWVDAAAAVLVPPLNFAEVNDGIFRSGFPAADNFAFLLSLKLRSIVYLCPEPYPEENTRFLEQNGIKLHQFGIDGSKELLVNIPEEKIREALKVILDVRNQPVLIHCKRGKECAYVQQQKIFFWCKNYLLTGWIALNTRYSSLLYPDF >KN540626.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540626.1:46870:47049:1 gene:KN540626.1_FG005 transcript:KN540626.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEYKLAPHPWASNAPSSNLDLFPSGGGKRRSASETDSDDEDSIPPDWRSLYHPRLE >KN540950.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540950.1:14267:16344:-1 gene:KN540950.1_FG001 transcript:KN540950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVFYQSLLLSVAAVTVLQLLKLLLVRQRRPRTPPGPWRLPLGETPLVVATSKETARAVLKTHDTNFATRPRLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVMMRVNDIRAAGPTTPVNLSVMFHSVTNSIVSRAAFGKKRKNAPEFLAAIKSGVGLASGFNVPDLFPTWTGVLATEIIDERRCVRGDKIRNKNNGGAADDQNADENLVDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPSVMKKLQAEIREALRGKATVTEADMQAGNLRYLKMVIREALRLHPPAPLLVPRESIDVCELDGYTIPAKSRVIINAWAIGRDPKYWDNPEEFKPERFEDGTLDFTGSNYEFIPFGSGRRMCPGFNYGLASMELMFTGLLYHFDWSLPEGVNEVDMVEAPGLGVRRRSPLMLYATPFVPVVSAN >AMDW01038377.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038377.1:7:258:-1 gene:AMDW01038377.1_FG001 transcript:AMDW01038377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFGFAAQFAFGVSECVRRPAADMARREVDDSYTNGSVVEVVSMEEGSKMDKEDDHQNPQALDGGDDDGDVVVFGMPISFTFLQM >AMDW01023625.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023625.1:15:317:1 gene:AMDW01023625.1_FG001 transcript:AMDW01023625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPRLTRWRVATSGGGVVRDCVEYDGKPLFFRREDCRRLVPDDEEDARECLEIAGEVFPLMEERMVPAALHDVGGGVREAARCVEYVDDDGAVLLLT >KN538697.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538697.1:116626:121554:-1 gene:KN538697.1_FG039 transcript:KN538697.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCSGPNAVAGRHAASSSSEHTVQVVNADKKQDKIKNTIVQVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGAGTADPGCSEKASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYLQDNVGWTVGYALPTLGLAPTSGSSFARMARVIVAAARKLAVALPDDPRELHELDDEYYAKKKTTPLPYTPYLKILSKAAVKTSTAAASRWSLSTVTQVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGGFEIPPASLQAFVTISMLVSVVLYDRVFMPVMARATGNPRGITLLQRMGVGLVIHIAIMGIASATERHRLAVAREHGIADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGSGGGWIQNNLNASRLDHYYAFFTVLNCVNLVFFFLVCRLYVYNAEVSRVIDVGGSGSGGEVLRPKEVAMVDTNL >KN538697.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538697.1:82425:83448:-1 gene:KN538697.1_FG040 transcript:KN538697.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWSEKRLLDYHDSYEKGIGGAPTEGMEILLSISLAAGKIIADRDAAADADDNFAGDRVDYYIRCSMKNAFTKILESGMGDGDGEPGVVLTQLARDTEELAVVERRSFSPVLRRWHPAPVAVAAVTLHGCYGVVLRQYLGKVTILTEELVRVLQSASRMEKAMAQMTAEDAADCRDDRAKAIVGDMEPYEVDSVVMGLLKVWMDDRFKITMDCLARAKETESWIPKSKDEPFAGSAMEMMKLAKYTVDEFSEIPASAKDEVVQDLVDGLEAIFQEYISFVASCGETHPLP >KN538697.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538697.1:18444:20419:1 gene:KN538697.1_FG041 transcript:KN538697.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFSLVEGKSSILSLLFSVFCLGEERREGGNTRETTPCSLIRDPDTISTPGSTTRRSHSSSHCKVQTPVRHNIIPASAELEAFFAAEEQRQRQAFIDKYNFDPVNDCPLPGRFEWVKLD >KN538697.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538697.1:88:547:1 gene:KN538697.1_FG043 transcript:KN538697.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKRSQANQRRNPDTFHFYHQAATAQTPAAVKVELSHLVLAILDDPVVSRAFAAASHGNFGANAMPKSLVTSDTPPETIAAKIKEEATAWAKEGAVCLVELTNLGEVYSSPSFCGLSPLQSHVKF >KN538697.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538697.1:157206:163019:1 gene:KN538697.1_FG045 transcript:KN538697.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPLVLRLCLFLCLSISLSLLSSPQRSLQFMDLSHNRFSGEIPAVKTSYNCSLESVHLAGNGFTGVFPSALKGCQTLVTLDIGNNNFFGDIPPWIGKGLPSLKILSLISNNFTGEIPSELSHLSQLQLLDMTNNSLTGSIPTSFGNLTSMKNPKIISSAGSLDGSTYQDRIDIIWKGQELIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNNLSCGIPENIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGNIPTGNQLRTFTDPSIYSHNSGLCGPPLNISCTNASVASDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGIWRWHGVACDSGRVAKLRLRGAGLSGGLDKLDFAALPALIELDLNGNNFTGAIPASISRVRSLASLDLGNNGFSDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPNIVHFDLGANYLTDQDFGKFSPMPTVTFMSLYLNSFNGSFPEFVLRSGNITYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGPIPASLGKLTKLQDLRMAANNLTGGVPEFLGSMPQLRILELGDNQLGGAIPPVLGQLQMLQRLDIKNSGLVSTLPSQLGNLKNLIFFELSLNQLSGGLPPEFAGMRAMRYFGISTNNLTGEIPPALFTSWPELISFELQSNSFTGKIPSELSRARKLEILYLFSNNLSGSIPVELGELENLVELDLSANSLTGPIPRSLGKLKQLMKLALFFNNLTGTIPPEIGNMTALQSLDVNTNSLQGELPATISSLRNLQYLSMFKNNISGTIPPDLGNGLALQHVSFTNNSSSGSAFCRLLSLQILDLSNNKLTGKLPDCWWNLQSLQFMDLSHNDFSGEIPAVKTSYNCSLESVHLAGNGFTGVFPSALKGCQTLVTLDIGNNNFFGDIPPWIGKDLPSLKILSLISNNFTGEIPSELSHLSQLQLLDMTNNSLTGSIPTSFGNLTSMKNPKIISSARSLDGSTYQDRIDIIWKGQEIIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNNLSCGMPVNIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGKIPTGNQLRTFTDPSIYSHNSGLCGPPLNISCTNASVESDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGTWRYAIFGFVDDMQCKVMQKVSSVDKFLSRGNTDQYL >KN538697.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538697.1:69399:80123:1 gene:KN538697.1_FG046 transcript:KN538697.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYVLLAPWVVHGWYEISTKGWREVDLGYIAILPSLLLRMLHNQAWITVSRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYLPGGQHLPLWRTDGAVLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHSSIVTEPITSVIHPFAELVAYELLFSIPLITCALTGTASIIAFEMYLIYIDFMNNMGHCNFELVPSWLFTWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYENSLKNNEEEEAVDVVHLTHLTTLHSIYHMRPGFAEFASRPYVSRWYMRMMWPLSWLSMVLTWTYGSSFTVERNVMKKIRMQSWAIPRYSFHYGLDWEKEAINDLIEKAVCEADKNGAKVVSLGLLNQGKGLVIKAHTLNKSGEQYLLKYPKLGARIVDGTSLAAAVVVNSIPQGTDQVLLAGNVSKVAHAVAQALCKKNIKVTMTNKQDYHLLKPEIPETVADNISFSKTGTAKVWLIGENLDATEQFRAQKGTQFIPYSQFPPIMIRNNCCTYSTTPAMVVPKTLHNMHSCENWLPRRVISAWRMAGVVHALEGWSEHECGRLQFVMGSALLLLFDALVACQFRISYTGMCHMLPETMLLDKKHNVPSPRTNLASE >KN538697.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538697.1:29424:32989:1 gene:KN538697.1_FG047 transcript:KN538697.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKIERGRLRGFVRQMAMECLCSGEQLRAADEIIRSPESAITKDCSASGYSSRNGEIEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIDIPALVPKMKISIARKVDRRKTRSQWDSPPMPLHAVSLLMEAIYLKSRALHDLGKFKEAAQECRMILDIVEAAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIARIQKEFAIFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVERDPTIMHHLTFALSISGQLKPLAVQFEELLPGMLDKREWSYNVALCYLAEEDDSTALNLLKRILKSGDDSDNFKELLLASKACTERSAHTEGASYAQRAIANIQGGCEQMAGVADLLLGVNLSNQARCATSDTERASWQCEALEVLENAEKKMHGKDPRAMYSLSLENAEQRKLDAAAFYAKKLVKLEAGSELRSWLLLARILSAQKQFPDAETIIDAALDQTGKWSQGDLLRTKARIHAAQGQLRNAVETYTKLLAVIQLRTKSLSDGIFLAKGTKDDISLEIETWYDLALLYLRMSQWRDAEVCVSKIRTISPYSALAWHVKGKLYEAKGQPKEALGSYFRALDLDRKHVPSLISTASVLREIGNRPLPSVRCFLTDALQLDRTNHAAWFNLGLLYKEEGGRSAAEAAECFQAAALLEETAPVEPFR >KN538697.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538697.1:105551:109197:1 gene:KN538697.1_FG048 transcript:KN538697.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSDLCDTFKCFCGWRGPNIPRIAPGTDYLAIPAAAVDDDRPRWSLLVGLTSFKVPWHNLRLHREYSTIPNDIRLGHKYTSLAMNLADKTLTPLGGDLPVVPGEHSSIAAGGEDWALCVERPFDKRRQRGTTILRMQRLEAAGQRWVVAAEHEFPHDYTKHKINFGGGILQGYVVVRDKILVALLGAVFFVFDCSDCTWAPVSLSGDIYDYIPFKSRAAYVEDDDTIYFIRTSTLLAYKYSPEQRSLSPPIKVATLFPFMEGEGFGYLVHLVDKVLCAVWFGNDLPCACTTGHLLITTLIVKGDWDSGCFTPRDVEILHSTCRRVEISEGGGTRKGRLGNFGFLQLYVENADQVDPTSIHPTIGQAAYLGIEDSPNILHCCRMFLRDEEDKADVVLVDCKFPVKAHLYVIAETAYGSLIYQVSISDGKFFCHDKVLEPQRNVNSFITKKSRMDDPPSCHFVHQEQFFYVISSAPLKRMDLIDVQCNTQRTIDTNRPKVFFTAVFLVGRLIVGLGHTLQDVCIMRKKRWKCLVTSSGPFSDQTQKIEVSGWAVLNNDTFIVADAKTSDCFMLNLTTGEWNVVKPRLSYRCGMLFERSFCVGGFIYTPWEGGLAAFELVKDEHFYYLDEPIFFGLWKRMIYGSWRRISLDEEFTCIALIGTDEVSDCIAFSMFHGTPSPPPFRGTKHNMMMATVLVKTQTTGRGTKQPISAEHIDLCTSSIEHEGWINPTFAFAL >KN538697.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538697.1:149299:153546:-1 gene:KN538697.1_FG049 transcript:KN538697.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGAGDDEYTRDGSVDLRGNPVLRSKRGGWKACSFIVVYELFERMAYYGIASNLVIYLTEKLHQGTVEAANNVTNWSGTVFITPLIGAVVADAWLGRYWTFVAGSAVYLMLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPPEKLHKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWTVGYGIPTLGLMVSVAVFLAGTPLYRHKVPQGSPLATMGRVVAAAVWKWRVPLPADSKELHELELEHYTTRRGFRMDATVSMAFLNKAAVKPGEGGGGSVARLPGWTLCTVTQVEETKQIVKLVPLLATMVVPCTLVAQAGTLFIKQGVTLDRRIGKFHVPPASLGAFVTATMLICIVLYDRFLVPAVRRRTKNPRGITLLQRISLGMLLQIVTMVFVLLGVADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGAGNLLSSAILAAVERVTGGGKGRTPWVTNNLNASRLDYYYAFLATLAAANLLAFVVLSCKYSYRVESTETIDVDVAMDDVAQGGGVARGKSEAAPMA >KN538697.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538697.1:89409:90877:-1 gene:KN538697.1_FG052 transcript:KN538697.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFAAYGDVSQAAVMRDKLTGRPRGFGFVVFSDPSSVDAALVDPHTLDGRTVDVKRALSREEQQAAKAANPSAGGRHASGGGGGGGGAGGGGGGGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDAEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGGGGRSMGGGGGGYQSNNGPNSNSGGYDSRGDASRYGQAQQGSGGYPGYGAGGYGAGTVGYGYGHANPGTAYGNYGAGGFGGVPAGYGGHYGNPNAPGSGYQGGPPGGNRGPWGGQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPTSQAAGAGTGYGNQGYGYGGYGGDASYGNHGAYGGYGGRGDGAGNPAAGGGSGYGAGYGSGNGGSGYPNAWADPSQGGGFGASVNGASEGQSNYGSGYGGVQPRVAQ >KN538697.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538697.1:36265:44463:1 gene:KN538697.1_FG053 transcript:KN538697.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVRGGALRLCAFVVAMRDDGAAARGSIVLRRVSAEQAHMDTSHWSDAIEPGVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYLMSNETERRVENLLAKAKSNSNDSASTSTLTTRQSRPSASSSVTESTKDIDKERLSSELRDMQNSRKMMPSARSMQSFRDKLPAFKMREQFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTYPVTEFFLEDILEKTQYKINSERDNFQGNSRRKRLASVKSDPISDAFELLDASSSKFKAYEFYLHSAEQHKDIDIYKEYGNYSIATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVLPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKDARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQEKVLNFMLAI >KN538697.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538697.1:114108:115113:-1 gene:KN538697.1_FG054 transcript:KN538697.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTQMGSVMTRGNELWPWTTRPRSTLPPPMRRRSGCPAFSIVPCDHGHVSKLLSFFIEDVHKLFASLRLWVTAPWPAVVIHISYMAFHLNDLIV >KN538697.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538697.1:46049:47842:-1 gene:KN538697.1_FG055 transcript:KN538697.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYSEQRSDHMMSRLTRKTS >KN538733.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538733.1:66261:68609:-1 gene:KN538733.1_FG001 transcript:KN538733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQGYSWAGEVPELDPKLHQGFFCGSDRLRYRQSFLSISKWIEEVNTQRGGDVIIVLVGNKTDLVDKRQISTDEGEAKAQEHGAMFMETSAKAGFNIKPLFRKIAASLPGMEALSSAKQEDMVDINLRPAASGQVPSGAEAQEEQKAGGCSC >KN538733.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538733.1:148874:150113:1 gene:KN538733.1_FG002 transcript:KN538733.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGTARFRDRHGAPLHHFLGVSSFSEYTVVDANQVVRVDPAVPPATASLLSCGATTGKKFGVTHFINPQELGDKPVSQAIIEMTDGGADYCFECIGLASVMSDAFRSSREGWGKTIILGVEMHGAPLSIPSLEILNGKCVMGSLFGGVKPKQDIPILADKYLNKELELDKFITHEVPLKDINTAFDLLLQGKSLRCTIWMDK >KN538733.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538733.1:42046:45433:1 gene:KN538733.1_FG003 transcript:KN538733.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKKAKPSRSRKKAKPSPDQAVALDYVRAWAHPAASAPPPEPSAAGAAGDDFLPHQAARMASGGGGSVLFELHSHSNHSDGFLSPSELVERAHRNGVKVLALTDHDTMAGIPEAVSAAHRFGMRIIPGVEISALYSPKEIAGTGEHVHILAYYGMCGPSRFDDLERMLSNIRDGRYLRARNMLAKLDRLKVPIKWEHVTKIAGEGVAPGRLHIARAMVEMGHIENIRQAFNKYIGDDGPAYATGSEPFAETVVQLINRTGGISALAHPWALKNPDAVIRALKGAGLNALEVYRSDGKVDGFSELAEKYDLLKIGGSDFHGRGGKDESDIGTVKLAITTLCSFLKMARPIWCSAMKDILLKFAEEPSTTNLGKMVMFGELINFNGFSSTGSGMDIVNLCLSSWLSNNGMEEVELEELVKSCT >KN538733.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538733.1:9896:10694:1 gene:KN538733.1_FG004 transcript:KN538733.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSYSSLLLQLLLLSMVAEGSTVAKPDAPCCSGLKGVVKKEVACLCQAFQGSQNFGVTLNMTKALQLPAACKVKTPPFSKCHLSIPGVTGGAPEYNYSEK >KN538733.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538733.1:125602:128526:1 gene:KN538733.1_FG005 transcript:KN538733.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MPLAGIALAPLLVSHLAPPHHRRSSVASAAAAAAARRRPRAVQCSATATAASGEGAGDDVELSRGTLLWRAAKLPIYSVALVPLTVGSACAYHHVGSFFGKRYFVLLVASVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRTMTQYAANISLLFGFMGLFWAFAQAGDARFILSCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSSTNISSGTALLPLSKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKTGSRLVTLGVVTLYVLLAAFGMSRSLPSACTVLCALTLPVGKWVVDYVLKNHEVTSDLTYNLDANL >KN538733.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538733.1:150784:152109:1 gene:KN538733.1_FG006 transcript:KN538733.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSRQDVDEHVAELRGELRKAREERDRAHRVLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLAMQTKQLEMTKIELEEARLENASLRETIQRLEAIAVPVATTPRGRYDRDYQRVHGELRMALVAEDKNKKAMEELVLALKEVNGELHTTRQLLARSQHEAETARLESDRLHVSLKRKDDKLRALSEEVARLRADAEESFAAWRGKEAGFTSCMKSTESELAETRRENARLLESQRSGRDEIAKLRDILRQAVKDTKVVKEALEEARGENAALKEMLGDKDTAIKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAGMKLSASPSPTASGIKLDMEDSSSSHGSRELHGLIKCHSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKSELSAFSTMPRSLPARRRVMMRKVGSLFRFKSFSIK >KN538733.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538733.1:2919:6599:1 gene:KN538733.1_FG007 transcript:KN538733.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESDYRYDTTELVHESHDGASRWVVRPKSVRYNFRTTTTVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMAKVLNEKEETLTCGHVLKKKKISPVIKGYQKISPVVKGYQKVNPDDLVFGGWDISNMNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKEKSKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGIPFINGSPQNTFVPGLIDLAIKNNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >KN538733.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538733.1:132176:133472:-1 gene:KN538733.1_FG008 transcript:KN538733.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLDSGYALRMVEAKRAGGGSGTGGLAGSKWHFIKILQMKWHMIYLLMELTPCQVRNVKIHGYIEKDKIVKAGRYIIGLICNYRFAKNC >KN538733.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538733.1:93544:100889:1 gene:KN538733.1_FG009 transcript:KN538733.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKLKFHFLHIYGYDHQAGKLSSRSTGHDDVLQASHADSPLSQLPSQTTEGESSVSGQASEYDETESGSYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNELSIKDVDGDDTDGETPWQDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSLEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >KN538733.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538733.1:119822:122917:1 gene:KN538733.1_FG010 transcript:KN538733.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSALLAGKRKLSKVTSKIGYGKKAGGNEFQKVKPVEFMEGTDTVDIGKGGGDIVLACDTKVVAFNAAELACEGRDKDDDKVSVKRDTSDVDLVAGGDADSSGYNSDGVDKDASSAAAAPDASEPGVGLMVPAMASRLERSCSNIETARRGSKAFDLPAKSLSYGDLMALPAGGSATATPVGAPDASPAASVKTTCSADHVMLKKCSSSQVLPSRSRKLWWRLLLRSHRNLHRPAATVPAALPSAEQRHDGYASDTLDAGAATADVKNKGIAVGHEPIPNQWMAFSSEATSLDRGLHSLDLSRNKIAVIEGLRELTRLRVLNLSYNKISRIGHGLSNCGAIRELYLAGNKISDVEGLHRLLKLAVVDLSFNKITTTKALGQLVANYSSLRFLNLVGNPVQTNIGDDALRKAASGLLSRLEYLNKQPDQTVHSRPRLFGAHSNPSVS >KN538733.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538733.1:156098:156628:-1 gene:KN538733.1_FG011 transcript:KN538733.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRWCRRHPEHRMSKGVCPYCLRDRLAHLSASCSATTTTGASSSPQSSGYSSGSPTRYAALSADVSSVHVVGVSGLSFVNVAAFSQPLMPSSVSRKPAGGGQEEPGREASGKGKQQEVKRKKSGKKKKIGRFLSRLVGAEKRRQSGDADGGDLFHSKTMKEKTAHKWVFF >KN538733.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538733.1:38842:41532:-1 gene:KN538733.1_FG012 transcript:KN538733.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMNYRALWLWLSVAIVFSAQSCRALAAGEGSKEADKIAALPGQPPDVKLQQYSGYINVNETSGKSLFYYFVEASADAANKPLLLWLNGGPGCSSMGIGAFQEIGPFRVDTDGKTLCRNPHSWITAANLLFLESPVGVGFSYAAVKPQEVYSIMGDNMTAHDSHTFLLRWLDRFPEYKTRDLFIVGESYAGHYVPELAVTILDSNLLPHATPIKLKGIAIGNGILEFAAEQTQLYEYLWQHAFISDSAHALITQSCKCPDDHPSALCESARKAAYSRIGDIDIYNIYSSTCHEKKVRPSASKCMDLADPCSQYFVEAYMNQPQVQKTIHASTELKYPWTRCRVYNLDHFGDSPKSMLPYIKAVIAGRIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKDVAGYVIAYDGLVFATCDAYFSQSVTTSKPRSVANPFFPPVFKPYAHTSAGRYTIRGRACNTVRGSESELINPRRWCTNLWSGCNASGPIHCHPLRSGPKSVTQRPILPSVTKHIWHQHADLVHHIFTEKGNQTSRINPLLYSLN >KN538733.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538733.1:11850:16847:-1 gene:KN538733.1_FG013 transcript:KN538733.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEARAAGCSPAPPRAPAASCGAAAELCLCSPAEGIEQVPGCPCFEDAGAVVVSGEAPEGVGGVDADEPGVLCSEDGAELELAEQGALDVRLGAPAVGIHEQQLLHRGTSGSDEAGAINEISPVEASPSEASSNLDTTGAIGGSPLMLRSLPESSDTRGCEQEVMPGVVVGSSNRDASSEVGVESERGSDGRNGLGEGELVSSVDGGGAEKSSKVTGVLSEEGVDGMETAMEPCVASVGSITQVEEGVDRMETSLDDSEASDGSTTQDFDTDVETESSGSSIEEQDAGYGVNIPHTEQAICEVARGNKSSEVKSSDRMSSVALPTLILASGAAMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKAVMESQGAPEMRTEEVLAKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIYKKSKPMTYGFNFPLQIEKGDDPFKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEAGLKPSEIAEFLVARAKEVGRSATCRSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEV >KN538733.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538733.1:56632:56883:-1 gene:KN538733.1_FG014 transcript:KN538733.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNVLLHGTQRDGRTPRAVEKALRGSKGAVTLDLAVDFAVQVHAGALGFERRTLAVSCHITAAGLRKDVHISSQTCKSRFGN >KN538733.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538733.1:86823:89620:1 gene:KN538733.1_FG015 transcript:KN538733.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLEMWLPPAGSGGGGGEGMAAGLFLDGEAAHGALLAAMPGVSASFGVRQRRPGFVSLTMSVKGGRGFVSGPVGLLASGEEKGAPAEEAEALVARRSATEEEVAEASEGKVVEEVKEARAGAGAMNMTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRAEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNDETTNFERFIAGAAAGVTATILCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMGYLHSPEGKRRVSTMKQQGQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >KN538733.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538733.1:108480:112023:1 gene:KN538733.1_FG016 transcript:KN538733.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSTGDVEDNMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKESKVVAEPCENSSLKKNSNGPINVQLNGTKKEPVPTLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESLAEKS >KN538733.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538733.1:143979:144416:-1 gene:KN538733.1_FG017 transcript:KN538733.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTHGTFWAVRSVVDRSAAGDRTDLHTPERDVAVAERGADDLDPDLVRLGRVHDDLLDGQRLAGGPAHGRCSSSRRRRRQRSTVRASMVVESNRKQRDEEKRALVFEEKMENFSRILLTFATDGLGDLTRHGREREDDSKEGTM >KN538733.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538733.1:103873:106585:1 gene:KN538733.1_FG018 transcript:KN538733.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEEAVVLALKETVRYGLFLGTFAGSYVSVDECIAGIWGRPGTQHTSLAIYILMRAAVLASRCGIKSKRFGNICKPLTWSHGDIFLMCLSSAQILSAYILSQESLPSTYKAFLNKHGGKDLTILQGVKEVVNHTAFSNLAGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCAGHFITFLFQAYGRAVPVYVPVYLVPALVVHRQDLLKRPYPIIGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCNTPLVVLATFPTGLALLIEKKSRRIEIALYCFARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSDEESNKDFPSDDGTKKIC >KN538733.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538733.1:49933:50841:1 gene:KN538733.1_FG019 transcript:KN538733.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDWCGRGSNLPAAMYDMAVDSKELMGALAPSMVSFSYPCSEQSASSLLAGANYLTPAQLLDFSEAPWDESESFLLHKYPSLEIDWDAILS >KN538733.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538733.1:22422:24294:1 gene:KN538733.1_FG020 transcript:KN538733.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVGQGNKVRRREFRWYGTPAKNHAFQSCFLLSIFYATSNELLQPAIWSEKNLLHEVRALKEEKIAARTALVPVLQAEEDERFVKEWTKSLMWEEIIMKDVPGWKVGQSVYNSGKWMPPATGELRRED >KN538733.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538733.1:163516:164436:-1 gene:KN538733.1_FG021 transcript:KN538733.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKDEAEMAEAEDDDEEEVDEDMAGVHAASCGLGEKKRRLALEQVRALERSFDTDNKLDPDRKARIARDLGLQPRQASPYSGAAFIGFGPSFLVDDASAATVGCSSSLPALESRWHGPYSDDSCKGGVYGFTEEWLAACSGEMAGNDAAGFFSDEHASNLNFGWCASVNEGWE >KN538733.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538733.1:60176:64409:1 gene:KN538733.1_FG022 transcript:KN538733.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQGSPASAAKNVYLPDVSAVHGAYNNGYNFGAPGYSIHHESGVLADQAMASGYVAPSQCFPVDVGLDGYGGFPPSLGTSVGSFMYTRTRNSSGIGWEQGLVHPDHARPVLLPGQSGAEHNWGYAGTGQISLDTRGRSLPKSPYEYSVAAARDIGYMKGGFNQVEPFFDGRKNVPFLNRAKERRFQQHVNNRSVELESPGMLMYENIVELESPRMLRYENMVGVKGYIYFMAKDQNGCRFLQQKFEEGKDQADLIFEGIIDHIPELMANSFANYLVQKLLDVCDEEQRLRIIAVLTEDHVKLLRVSLNSHGTRSIQKLIETVKTRKQIMLIISALQPGFIHLVNDPNGNHVIQKCLKNFDAEENKLASLFQGNYVYLSKQKVGSNVVEKCLKVFPDDDKAAIIWELISASHFEQLLQDPYANYVIHTALVQTRGHLRSALVNAILPHEEAIRTNPCCKRISKVLSRRYPEILNPDAHGVMTVSLWYPFDLAFVLCVSTTSCYAKVP >KN538733.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538733.1:139647:142413:1 gene:KN538733.1_FG023 transcript:KN538733.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLKPIRCKAAVCRAASEPLIVEEIVVDPPKAYEIRIKIICTSVCHTDVTLWHKVDPAFPRILGHEAYGVVESVGKNVEGFAAGDTVVPTFMGPCESCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLGDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACRLGCCGGTGVGAAWRLAKVQPGSSVVVFGLGSVGLAVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLGLPSFEFLFGKCVMGSLFGGTKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLLLQGKSLRCIIWMDK >KN538733.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538733.1:35910:37870:1 gene:KN538733.1_FG024 transcript:KN538733.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVEGRKVVGRAEASPERGRPAYAPPVRSAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFTHLRVTDRSPAKRLALPPSPKPSCVDVACNACLD >KN538685.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538685.1:79400:82078:-1 gene:KN538685.1_FG001 transcript:KN538685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFKLKFSPTRTSNLLFAGRMPSLQTVAAEAAVDEAVVAGKGGAMPTSTQDLLFRGPHVKIDMKSGEINGYDAVFLSPHKFIGGPGTPGVLLMNKSLYRLNSQPPSTCGGGTVNYVNGFNEEDTLYYDDIEEREDAGTPAIIQKIRASLAFWVNEYIGYDTMDLHEQIYTEMAMKRLVDNPNVKVLGNTSANRLPIFSFLIYPPMVDSIFLGDDRLAIVRRKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGNILLEINNELSLRIRSALVEGYLGLKPGWTRLSFAYYISKEEFKFILDAIEFLAAYSHRFLSFYKFDWRSSNWTFSKQAAKELSAATGVLLGEDVQYFKAEDKLDNNKPEPNHTKFATYLENAKRIALSLPDMNQQIVSIPQGVDPDIIIFHV >KN538685.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538685.1:140022:142921:-1 gene:KN538685.1_FG002 transcript:KN538685.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGYGYVIGVPSPTILQVYHLSVMASALSYRLFLLSFFRVSLLAYPSPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKKLLERLRSRGKFIALHLRYEKDMLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPECICLNGKH >KN538685.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538685.1:23229:24233:-1 gene:KN538685.1_FG003 transcript:KN538685.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILPGFFRRSRSDAGRRLWDIAPAAAYELQKRRHWTPEQVAREAEKQRWIAEEKRRIEKETKRQQQRRSSGFAVVVVVDLDKELGEEFERTRFYEELRLQQAEARRAAASKNNSTSEFVYVFMEHTSIL >KN538685.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538685.1:115985:119388:1 gene:KN538685.1_FG004 transcript:KN538685.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKGSAAAAAEQRVEWLRSQLIGKDAEFDTPFGRRLLTYADHTASGRSLRYIEDYLVNEVLPFYGNTHTEDSHVGRKTTRLMHRAARNVTGIAVDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEVDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNSQPPSMCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEYIGYETMELHERVYSEMAMKRLIDNPNIKVLGNTTVDRLPIFSFLIYPPVEDSLFLRVEPGCYNSLENKTNKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGHILLDVNNELSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFKFILSAIEFIAAYGHRFLPLYKFDWITGNWTFREQAIKYHVLREELSLTSSVQYAENIKSRIAKNLDKKPEPNHMKFETYLENARKIARSLPNINQQIVSIPKGVDPDMVLFHI >KN538685.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538685.1:128677:129059:1 gene:KN538685.1_FG005 transcript:KN538685.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVSRNCVQEPTMCPDNERGSQISRLPPGRSWDGWTVADVVCLVGKPRDIVNNSVDGGLVDCKGSLHHVQTFPGQIGLLPFHGASSLLLTSLLPDSGRGEFHGKR >KN538685.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538685.1:157525:160933:1 gene:KN538685.1_FG006 transcript:KN538685.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVVSNYQEDAAASATGGERPRAGCGRDCLGDCCLPDSKLPLYAFKASPKKPSSQEDASNDEFFVNLLLGLWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSFFVESSPISVADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQKIDQESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATKRIFLAEGTMNSGVKVSKLMNYPVRGLVFQGGNSLNDLANVVSSACIWLQDNNVPYNVLISDCGKKIYLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRSDYEEASEASAWRLLAEVSLSEERFEEVKAYIFDAAGLVQSNEEEVSEDEDATYTPVSIAPPAVAEGCLVLQ >KN538685.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538685.1:13378:15876:1 gene:KN538685.1_FG007 transcript:KN538685.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAKDEMYAVGWCRADIDNTTCKSCVTDALRKVQVVCASKMEAIIFYDFCGIRISGHITSFNSSKDIDHIASHCDPSFIQNQLYDNVVLSLISAVTNKATNLSTRVLTRVDKFRMPEVFQGYCLCTKLAQGKKTALFLDYDGTLSPIVNNHEIAFMSPEMRETLRDAAKIFPTAIVTGRSRGMYNATMLGVMGWISWHQGLILKVLVKYVTSSLAFNISVSNFYRVKKPILSSLGESLSL >KN538685.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538685.1:198429:199122:-1 gene:KN538685.1_FG008 transcript:KN538685.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTSVVGLKPAAAASSSPALPKRVHVAFARAGVFDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKYKCGSNVFWKW >KN538685.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538685.1:186929:188603:1 gene:KN538685.1_FG009 transcript:KN538685.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVLIVGAGPSGLATAACLGQLSIPYVIIEREDCTASLWRKHTYDRLKLHLAKEFCEMPHMPYPEDTPTYIPKIQFLRYMDDYVEHFNICPKFNSSVESCLYDDVQKYWVVTTHDQVNGMVSKYAARFLVVASGENSAGNIPSIPGLEDFSGHVIHSSSFRSADSYAAQRVLVVGCGNSGMEIAYDLSSHGANTSIVIRSPLHVMTKELIHMGMKLASWSLPVKFVDFILVVLAYLWFGNLSKYGIMRPNMGPLLLKAKTGRSAVIDVGTVELIKKGDIKVFGPISCIKGNVVEFDDGKESYFDAIVFATGYTSTANNWLKNGEDMMNKEGMPKKDFPNHWKGSNGLYCVGFARRGLSGIAHDAKNVANDIKAFLDSMAPF >KN538685.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538685.1:122607:123852:-1 gene:KN538685.1_FG010 transcript:KN538685.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKGKGKVEGEGSTRERSISWDDDQTKFMLDWYIEYKKEQHAGFIWKTQHHLKCADALNREFAMGVTSTQVTRHYRHYKENWQIVERALNNSGNGFDASKCKLTISESEKAKLRDRDRRLLAKPIKYFHEMQELFSGSNANGSLAIDQQTCCDIDNKSGSSDHEGLNDVSTYAHPIDIAEEDSDTLPSPTGPDNCSPGTSGVSKKRPRGVKSPSKRQPKPKSRFTDATEKIGNTMDRLVNQLASPPPPPMPQLDPYATMWKRIDALPIGSKDKVAVGNYLGRQENEGVRGFLVASYDTTLETWVYQFICDRDGV >KN538685.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538685.1:25109:28826:-1 gene:KN538685.1_FG011 transcript:KN538685.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MPWPHVLTVAGSDSGGGAGIQADIKACAALGAYCSSVVTAVTAQNTAGVQGIHVVPEEFIREQLNSVLSDMSVDVAALVVDPVMVSTSGDTLSESSTLSVYRDELFAMADIVTPNVKEASRLLGGVSLRTVSDMRNAAESIYKFGPKHVLVKGGDMLESSDATDVFFDGKEFIELHAHRIKTHNTHGTGCTLASCIASELAKGATMLHAVQVAKNFVESALHHSKDLVIGNGPHGPFDHLFKLKCPPYNVGSQPSFKPDQLFLYAVTDSGMNKKWGRSIKEAVQAAIEGGATIVQLREKDSETREFLEAAKACMEICKSSGVPLLINDRVDIALACNADGVHVGQSDMSAHKVRELLGPGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPTLGFDGLKTVCVASKLPVVAIGGINASNAGSVMELGLPNLEGVAVVSALFDRQSVTAETRNMKSILINTCSRT >KN538685.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538685.1:6010:7120:-1 gene:KN538685.1_FG012 transcript:KN538685.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHNLASLGFYFVLRLSTYHAPYAYLLDYKISVSYLLASGKVAREAEKQRWIAEEKRRIEKETKRQQQRRSSGCAVVVVVDLDKELGEEFERMRFYEDLRLQQAEARRAAASKVDRPEEDDDDDVPARGNEGYLERRRELLGRYRLT >KN538685.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538685.1:137317:138879:1 gene:KN538685.1_FG013 transcript:KN538685.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] MMNSSVLFLPSSSLFLTKQLVPGTKGRASAAAAVRCSSGPNLSEAHEEEDGVASLMGRRHAMASAAAACGVSVFGFAGESMAVKQGLLAGRIPGLSDPDEKGWRTYRRPDDKSGGHGVGWSPIIPYSFKVRDGWEEVPVSIADLGGTEIDLRFANSKQGRLFVVVAPVRRFAELDDATIEKIGTPEKVIDAFGPEVIGENVEGKVLSMATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFNVTANGKTTVLISKLYDIFFGTPNAE >KN538685.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538685.1:202029:203263:-1 gene:KN538685.1_FG014 transcript:KN538685.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding VIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLAALKRAETTRDELHRLTTFAEFNNLVGLDSWLDIEARFSVNSSVPKPLPAPETTTTTEMEKAKAKAAAVVAGNGATNGATNGVH >KN538685.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538685.1:161771:166537:-1 gene:KN538685.1_FG015 transcript:KN538685.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPIWKDGKLGIEVRHSIGGGGEGFVLLTSPSSRPPASRPSFHVLAALVLAEDGSEERIEETNRGVEEQRHPPGPRRCDRRLRRDTAAGSLLPRITIEFSTSRLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRTLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIGERCIIDAALVLIEMATNNVQEKLRKLPMLSLGKVARDSTLSEPTKETESVEEATEKLEMFKSQLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMVPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMPELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >KN538685.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538685.1:71741:72991:1 gene:KN538685.1_FG016 transcript:KN538685.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAFLLLMEAMSVSHGQLQVGFYSDSCPDAEDIVTAAVQDAAVSDPTILPALLRLQFHDCFVRTGGPSFDVPTGRRDGLVSNLRDADVLPDVVDSIQVLRSRFAASGLDDRDLVLLTAAHTIGTTACFFVKDRLYNYRLRGGGVGSDPSIPAAFLAELKARCAPGDFNTRVALDRGSERDFDDSILRNIRSGLAVIASDAALDASNATRGLVTAYLGAASRRFERDFVAAMVKMGTIGALTGDDGEVRDVCSQFNTD >AMDW01033359.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033359.1:30:376:-1 gene:AMDW01033359.1_FG001 transcript:AMDW01033359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELVHKVRPEDQKSVHSQKFCSSTNGMKFLNRKDLMAIGSYNAFLQTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIE >KN540487.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540487.1:47803:48631:-1 gene:KN540487.1_FG001 transcript:KN540487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPSSDPHRARPLQPSPTISGSDRSSAFLLVQALIRTSNATKDKTQVIWENSLNILVEFMLGRSIQSFLGRVGPFHEAVIRK >AMDW01031307.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031307.1:119:413:1 gene:AMDW01031307.1_FG001 transcript:AMDW01031307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTFNCFGACMQHHAQQVHEPKKVVAPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAAL >AMDW01029573.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029573.1:144:239:1 gene:AMDW01029573.1_FG001 transcript:AMDW01029573.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPIEVSGIDEKVVEVSAGNHHSCAVTGEQKV >KN541416.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541416.1:5059:8402:-1 gene:KN541416.1_FG001 transcript:KN541416.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTTSAGWQGNPQAGGAYAPPGAAAPNHGTTGQVPNWNPGNSGYAPAPGAYPGQMYSSPMQYGASGGFSAPAAPPQELHTSQQMPPPQYGNQPGPAGAPGTGQPHPYYR >KN541416.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541416.1:22849:25132:1 gene:KN541416.1_FG002 transcript:KN541416.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNLPSPPLFLACGLTMLVVLEVETVEDEEEEEEGGSGGEEFSDDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYILDGRSLRVNSGPPPPREQSSRRAPRGEANRVYVGNLSWGVDNAALANLFSGEGEVLEAKVIYDRESGRSRGFGFVTYGSAEEVENAVSNLDGADMDGRQIRVTVAESKPPRRQY >KN541416.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541416.1:9299:14332:1 gene:KN541416.1_FG003 transcript:KN541416.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARRVGKGREKGGGGKGRAYELDEGLVAVGADAALGVDDAAEGVAELDELLLRALPRQVPQTMVGPGHRNSHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQMRADTQAKIRIGESVSGCDERVITIFSSSRETNTLVDAEDKVCPAQDALFRVHEKLSIDDDIGNEESDEGLAQATVRLLVPSDQIGCIIGKGGHIIQGIRSDTGAHIRVLSNENLPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQPYPVGSHLGSSSTAPVVGITPLISSYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNTEDDCIITVSAKEFFEDPVSPTINAAVHLQPRCSEKTDPESAILSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVVRHALLQITTRLKANFFEREGALSGFPPVIPYHPLPVGVSEGPKYVGRDTKPLGHDYPYSSGYRGSDDIGPIDSYASYGSSQVSGGGYGAYGGYSGRSGSSGLSGPSSFSYGKRHGY >KN543615.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543615.1:4522:5160:1 gene:KN543615.1_FG001 transcript:KN543615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIKWNSRRLKSLLTIGTILWVIAGAAVFVLPSQMHNLIYPLAVVIGAANTLVMLTTIGLESALVGDDLNGCTFVDGSLSFLDKIHLDSPCSSSNPTKVRYRSDPLVLRRLRAASPAAAAAAALEAPLLV >AMDW01039868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039868.1:150:1079:-1 gene:AMDW01039868.1_FG001 transcript:AMDW01039868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NALRGTVNVYMLGTSILKFIVDTTTIKLISDNKVGCLNFALSFTKYASSAIKMHPEQSSSFKGNDLKDILMLIRSSFTYAAKLLHLVLANSIESQSPPEEAFFLANNLLDLVPSVESAAGSKFALSLVSVVKQWLPVVIMGLGCRWLIGPQAEGNSMCDFSGSCLPLWVVALAKNELLDDEKPRDDDQSEQAIEDSQSSRKLAEMMVILLKKGSPKILDSVAGVFLSTLKLAVQRAEYGVVLGLTRFVCVRLLGSDSSASEKLHLAHDSLREYFFEIDKHVRDDLVDSEESRQQLESAKALIRSILSDV >KN540241.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540241.1:55813:56907:1 gene:KN540241.1_FG001 transcript:KN540241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLAPSFRAAAVLSFMAETHAVDMRSPEAVGQINAWAKKATNELIDSVIDGELPADADVVVTNAVYFKGKWEEPFKKRLTITDKFHRLGGAAAVDARFMRSTLPRQHIACHDGFKVLRLPYEQGRRPPWSPPPSRFSMCVFLPDARDGLWDLLDELASSPGLLQEALPTKTVRVGKFMLPKFKLTFSDDIAGVLRGMGLDVTFSDGVADFSSMVEEDGCSGGGRPLSMKNFVHKAVIEVNEEGTEAAAVTGVTLCLASAKRPRPVLVDFVADHPFAFFVIEETSGAVVFAGHVLDPSSKPGALDDDDDDVVDHRSTPGASEEEEDDDDDMDHHVGMIGCLRELWGCCCMPFVVVRNFVKFLV >KN540241.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540241.1:12054:18713:1 gene:KN540241.1_FG002 transcript:KN540241.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEAMQSGGGSGANPEEACKEINRWVSTATENLIDSIVSPDSVDKNTRLVVTSAVYFKGRWATPFDKEKTKKDKFHLLDGGGHVDADFMRSCEDQYIAVHRGFKVLRMPYAAAQHENSRVYTKEHLRRRFAAVPKEMPATSPRYSMCVFLPDERDGLWKLEDRMAAGGEGFLRKHVPERRVEVGEFRIPRFKLSFGDSVVRALRDLGVRALFDPARAELTDVLEADNSSDPPLFVSDVVHRAVIEVNEEGTEAAAVTGVTLCLATSPGIGSSSSSSARFLLSPRSLLLRLGEIASRRGRWWRPESNSPTSGGRKDGNSSTTDACSRRCAASGLTGMSLRLAEQLSEEEDAGAGNLVFSPLSIYSALSVPEEARKEINSWVAAATENLIDTILPPGSVGTGTRLVVTSAIYFNGTWQTPFRKQDTKKDKFHLLDGHGTVDADFMRSGEDQYIAAHDGFKVLKMPYAHDHAAPQPSPRYYSMYILLPDERDGLSSLAARMAAAGGGGGEGFLREHMPVRRVEVGEFRIPRFKLSFSRSVVRALRGVGVNAVFDRAELPDMIEGEPLRVSDVLHKAVIEESSGAVLFAGHVVDPTKS >KN540241.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540241.1:41429:42409:-1 gene:KN540241.1_FG003 transcript:KN540241.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEGRGLHPGHGKLRGHARFFNLSTGAVVRVRLPQFKDHCVLYSVDGVLLLQRDHDTAVRLLHPFTGDTAELPPLETLLPRVRCRSEASRWCSLRNICGATISVGVGDGLVRVMMRPIGVWNICFATSGDQQWRVATTWDRINHRSSTLPFHGKLYVLLRPHSVRGEHEVIQIDPPQNSISEPSPKLIAKFRWPTSDESFRLYSYRLVECNSEILVIGTKWDAVYYSVYRLADLMLGRTVHVTSIDGNALFIGRRSLCVSSKAFPTIVPDTIVMPDTKIYPSQYHLSNGTLSQATDGVIAEEKDIPGPYSIMCHIITCCSPPYW >KN540241.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540241.1:35954:37228:1 gene:KN540241.1_FG004 transcript:KN540241.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESCARRKEINSWVAAATENLIDTILPPGSVSTDTGLVVASAIYFNCQWRTPFCKEITEKRAFHRLDGGDVEADFMRSGEDQYIAVHDGFKVLKMPYAARVSARTTAAATPTRYSMYVFLPDERDGLWSLEDRMAAGGEGFLREHTPERRVEVGEFRIPRFKLSFDDGVVGALQRLGVRDVFKPFVADLADVLEAENSGDDPPLFVSDVKHKAVIEESSGAVLFAGHVVDPTDE >KN540241.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540241.1:26203:31797:1 gene:KN540241.1_FG005 transcript:KN540241.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAAGAPRRRWCAIQGLVVLFLVYVLAVLVLGGGELFHDDQLQPRFPSYPPSRGDRSLAVVEAGGGGLVVFDRNRALQETPEEARKEINSWVAAATENLIDTILPPGSVSTDTRLVVASAIYFNATWQTPFRKQDTKKDKFHLLGGGGDVDADFMRSGDDQYVAAYDGFKVLKMPYTRSSSTHTQPPPPPQYSLCVYLPDERDGLWTLADRMEAGGGEVFLREHMPGKRVKVGEFRIPRFKLSFDSSVKTALQGVGVRAVFDPAAADLSDVLEEGNSGDPPLFVSDVLHGAAIEYYESTTLGSLGSPAGRRARRFHDLRDVVLEHADAATATLSVVVFSAVAGILHLMQVTDEARKEDTPTKTNPYFRSNQLMEDLIRERASYNETTPDEKTVVREYMEDDEAMRARFKDWMKEHGRTYKQDEVEEARRFKIFKSVARFSDAANDDSANAGHSTRFGLNEFSDWNQEELARMCCCMPARSDGDLASGIADMEYKTWIECINWRSP >KN540241.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540241.1:48427:51522:1 gene:KN540241.1_FG006 transcript:KN540241.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSAASSGRAVRGLNEFVKHLLLLRDGAAPLDACVINFYCCEFDSYRYPSSDEPDVGLWLRHAVSRGARLIRVEVYVEDEPVCLPDLPLVSKHLRVLDLRLVEIKDSLVDFSGCPSLEHLKFQGGFINAHRISSPSVKHLIIDGSGFNRKFRTRISTPGLISLELEFWGSAPLLEDMPLLVTASVNLDHECRDRCVNTEFGNCGDPECDDCDVMVSDGDGCVLLQGLSGATTLELTAESRVFMFRRDLMWCPIFSKLKTLLVNEWFMTSNMSGLACLLEHSPIVEKLTLQLSKEPRNFVEIEDSDKPFKQAFLFKNLDIVEIKCQEGDERVKKILKILSQNGVPLAKINVLQTKRQPRRFGFIPMKKWGLQMIDVSERVEFAADGVCAALKSGAILLMCQELYYRTMESSELP >KN544577.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544577.1:1156:2887:-1 gene:KN544577.1_FG001 transcript:KN544577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYYYSMLFVLPPILYVSYHLTRILADKKKPTTHGLKAHPLLGHLPAFVKNSHRFLDWSTELIAGNPEMRMGLWIPGMRSGIITGNPADVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRRFVVDVVQAEVANRLLPLLRRAAEDGGGGDAVVLDLQDVLQRFGFDTICMVAFGHDPRCLADGGVLEEAKSEFMANFGEALDLVIGRFMDPIEVSWKIKKWLNIGTERRLKKAIADVHAFAMDIVRARRQSASVKDRDDVLSR >KN546593.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546593.1:314:652:-1 gene:KN546593.1_FG001 transcript:KN546593.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLAAKSEADHYQREMKREQEEIIAVPDTEAAEIGEIMSQYGLEPHEYGPVVDGLRRIPQAWLDFMM >KN539044.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539044.1:78837:82730:-1 gene:KN539044.1_FG001 transcript:KN539044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAPRCLCPLFSKCGFPFDRFGGAGFLELERGYQPWVIPKSEARGGAGHAVKKVKRWLRKMDEEMDYEFYDWNLRSYRFKSPFDRRPLVGPRERCRKNAAKRTLRLVGLTDPDYLLQCEDAAFGDWEDSCEDEDEVFECYTNTRGTSSFRNPTRHPALDLVMIALSRMTLDWMAYNSLIWQTSYNYSGKECVISSQIWTAFNGSRIGQANLPISPIAQQQDDSIANMTVIHLFARKEIKLRRPGEETSTQ >KN539044.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539044.1:57208:59962:-1 gene:KN539044.1_FG002 transcript:KN539044.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAGSFVAMRRLSGGSSCHDPSPNTYAEVVAGSTAWIGRGFSCVCAQRRDSDQRISFDLSPAQECCLQRLQNRIEVPYDGSNGEHQEALKTLWHVSFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNYSKSFQELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSLIGSVFLNLLLENDRAFDILYCITFKLMDHKWLEMHANYMDFNVFPSIRNLLVTLSVTTTKCLPY >KN539044.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539044.1:9907:11737:-1 gene:KN539044.1_FG003 transcript:KN539044.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARFAGCSPACYVVAYIYLDRLLRRRRRACAFSVDSYSVHRLLITAVLAAVKFMDDLCYNNAYFAKVGGVSLPEMNYLEVDFLFGVGFDLNVSPETFGHYCAVLQSEMLCLELEPPPSPSPAPAARLHCFLSEDDTSSSSSSTQHQLAA >KN539044.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539044.1:132063:132461:1 gene:KN539044.1_FG004 transcript:KN539044.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKAILNFPNEVGTRGAELWATPPPTNKRKRQPEDDTAAADDVEVIGVANKAVKTEAPTSAYSSSSLSSMSRDTTATTSSTGTSTGSSEPTSFPVVTPSSWSWDQCWDGLPPLSPLSPHPALGFPQLTVS >KN539044.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539044.1:2022:2510:-1 gene:KN539044.1_FG005 transcript:KN539044.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEAGFAVDASKMGNFAKFINHSCTPNLYAQNVLYDHDDKSVPHIMFFACEDIPPRQELSYHYNYTIDQVHDANGNIKKKKCLCGSIECDGWLY >KN539044.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539044.1:151165:158688:1 gene:KN539044.1_FG006 transcript:KN539044.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) UniProtKB/Swiss-Prot;Acc:Q8L7W2] MAATASACLACSLVLLRPSALPLPCLAPALHACAAAPLAGWPLTRRLPRCAARPAWMPQLCEAAPGSPADGSHASPCLRLPSHALRVASSYYRVSASYSIGRMLSGVRSAARKKLFRSEPADLLGISWSPDSPPSNGTDGGHHHHWWTALENNFVLESSEDEYGGVVVDADRLPSDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYVMLTYWIPHEEPCMLPANASHQVGVGGFVINDQMEVLVLQEKYCGSSLDGAWKLPTGFILASEEIFTGATREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPTSNNIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHNVISREKKVHGVEYGFGAHEFPTSGVFEVEPKNCPGFVYRRSVRMGTTGMSRAEFRSFIEKLTGKYNDDVSKNLTGKPIPGWVNRLARVGSFFNYLLPKSIQVSAVRHIPTHPAFSDDDMDSRSCSISGDSDVDELDQHLLSATTIELHSIEHQS >KN539044.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539044.1:105907:108308:-1 gene:KN539044.1_FG007 transcript:KN539044.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLRNHPEASVLDTIRQHLLEEPRGGGGGDSLPFRDDDADDMVVFGAMRDAFSCGWLPDGVFAEVKPEPLLSPDSSSYDGSSCCFGFADVSEPVTPSEAASVARRSWEYVR >KN539044.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539044.1:91696:96358:1 gene:KN539044.1_FG008 transcript:KN539044.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRTSGGATEGGVMFSAGGMASPGSSASIWGCREKLRAMVSRAKNQCTTVPIVDKIAEPKEPLMVTGRKVQSLEAPIPIKASWKGKSSQQQQQQQQDEKDFPADGEESFLSLDSSDEGGRSSFSGASHPLEPIDMDLMKTVYVAIDEEKSEPPVCLVRGVSAKGPFIDDLSIRVTGMKANAVVGAGGADGLAEEMKVPGAAVPSLAMARSSQATEAVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVTTMNTMSSCTSTYKSEAVSSEPVLTMERNCGSVKGSVRGDSLESAKISMSRASDSSGVSDDSSWSNITGGASKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASAFDSDPRRAGGSFCVQPVCMEPTSVCIQPACFMPKLFGQKSKKKTKKTRSELGPSATTMPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIKGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGADMKSGGKYLDFEFF >KN539044.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539044.1:45490:46645:-1 gene:KN539044.1_FG009 transcript:KN539044.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLETACLPAALYAPLCPYTPPSPPSFLAPLPSLQHKLPQLPQLVHDHAAAAAGTNHGVMFSSDHGCLYPLLPGIPFCLDSGCGAAACDDDKPAGFAHLGSAEADTSAAAAARVDSEIVAAATATTCHGPNSWWKGTEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPSLSGVRFN >KN539044.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539044.1:13898:20015:-1 gene:KN539044.1_FG010 transcript:KN539044.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAQSHAWESLVPSKRRSCVTRPKPSQMEKLAKDLNSIMHEEQLLYLSGSSEEDLIYHSATPVDSFEMGYGSMLLRPNSKSLEEESEASSIPADNKSYITSESYSGSVSFVYSESKATSNQNVITEQPKKFLVQTSDNARRANLHTENQDTLENANSPLVSLHMEGKDSEETRVKTSASNRLTKSTMNPLKRLHDTHFQSSVELRGTMRSPKRVSKYGDAMGLKCQASFMPKPGNGKDLACSDRALNLFMLPPDKLSMLVPPQYANNDSDQDLLLDVPFNARHPEAELLCQPSQLSSVAHSSTSEGGNAGGEGRLKQPYIWLLEKVYNSTWLYGKEVILNKKFEIGDIIDECLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLIAKVRGVSHAEEYFEELPDPLKDKRTYGSLLNVYAQAMMKEKTESTFEQMRKKGFATDTLPFNVLMNFYVDAEEAEKVSILIDEMMERNVAFDVCTYNIWIKGCAAMQDADAMEQVFNQMIRDETVVANWTTYTTLASMYIKLGNFEKAEESLKEAEKRTTGREKKCFHYLMTLYSHLGKKEEVYRVWNWYKATFPTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYAREGFVTKAEQTLNRFVEKGGNPKPNTWEILGTAYLKDGQSSEALSCLEKATAVASPSKWRPRPTNVESLLANFKEKNDAESADRLMNVLRSRRCEENEEYKSLINTYAFQDT >KN539044.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539044.1:73940:75210:-1 gene:KN539044.1_FG011 transcript:KN539044.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPGVLVAVLLAAAAAPASAKDYTVGDSSGWTTGVDYTSWARGKTFNIGDTLLFQYTSAGHSVVEVGEADHTSCSAANPLRSYKDGTTIVTLTRPGTRYFICGSTGHCGAGMKLAVTRISVITVLHGTLFICNIHNLNPVQGAR >KN539044.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539044.1:84601:85821:1 gene:KN539044.1_FG012 transcript:KN539044.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRLKWVKNRGLDHIIARTTSIRASCLLLDHLARLPSSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAETMHPRFPTLPSFSLTPASDILLGRLARASALDSHLRLARLLLLTRSKSLPLASVLPLRFDLGLPYNFAAAFPVAHPDLFAVSNNHISLSTTASGLPEGIAISSLQRRHAEAIEGATYRALSRPPSSSIAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPESDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVFYVMRTGVLFRGKGLSKLVLDEDGDEEVVMDGDEEFHGEGMDEDADVECFGMDIVDNEDNTDDEDNERDMYD >KN539044.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539044.1:97811:100654:1 gene:KN539044.1_FG013 transcript:KN539044.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWLPLEANPEVMNQFMWGLGVPAEAGFCDVYGLDDEMLAMVPQPVLAVILLYPQDRKKESVASPSSTVESKKLSKNVYFTKQTIGNACGTVGIIHAIGNALSRIKLVEGSYFDRFYKQTADMDPAQRAAFLEEDEEMEKAHSVAVSAGDTEAKDGVIEHYVCFSCVDDEIFELDGGNSQPISHGPSSPDSLLQDAAKVIKARIAQYPESLNFNVMALSKQ >KN539044.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539044.1:102252:102890:-1 gene:KN539044.1_FG014 transcript:KN539044.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHRGVIGGGGGYGDRGGQGQQEKQPFMMTALKTVTAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLALVA >KN539044.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539044.1:61935:72408:-1 gene:KN539044.1_FG015 transcript:KN539044.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCLALAVCVLLVHGGAARVAEAASYNVGNSAGWDISADFPSWLDGKSFYVGDTLVFQYSKYHTLSEVDEAGTVHGKFPTGGHWKAILRTFQLISSLLDFFAAVSIAKWPWTDRDAGVPREPVIKPSWDTFASSLSGVWKGVGAVFSPITAEMEPVGVGSKQEYLYDCYTLSHIEKHADNNYGSVIRRKTNWVQLNPHGEAEKQSAGYDSGDQYNYSDKRTLDLPAHESFDLKKSDVLDEDSIAQEPGIVYFEDGSYSRGPVDLAIGEFDESKYFISPTYKFEQCLVKGCHKRLRIVHTIELNEGGANIQIVRIAVYEEKWVSPAHIHVEDDTPVDVKPLSQRKRTKSSDLTGSWKVYEVSATPIFSEERQEIEGGALFVYLCMETVKKRSLPESSVFFGEEEMLDMQDVTMLWLPGGVTAYVDVDKDGILCIGVGWYSDEGINMVMERDYGTDGKLRDVRWKTEVKRRWNQPVLP >KN539044.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539044.1:28277:28426:-1 gene:KN539044.1_FG016 transcript:KN539044.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGQEWRIGTWMAASWASKKARRGVRVADPSGTGPAGRWQSLVLGMR >KN539044.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539044.1:75738:78276:-1 gene:KN539044.1_FG017 transcript:KN539044.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTATMIHVESMQTAVPTRITGAGRTLPVAVSGGEAAAPLTAASLQRRFRAVLYYRGVEQLQAEEERAVWVKESLSASLADHPEMAGRLRRRDDDDGGVRGPWEVKLNDNGVRLVQASVDVPMSAFLEAKDLARREAALALWTDVDVHEPEFCAPFFMQLTRFQDGGYAIGASCSLLLADPLSLVDFLKAWARTHAEMRARGKPVAPPAVIQFDTMLA >KN539044.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539044.1:32560:38939:-1 gene:KN539044.1_FG018 transcript:KN539044.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MAPPRPSPAARLLREYGWDLLLGSIAAFYAVMVPYTKVEESFNVQYDHLEFPGVVPRSFIGALVVSAISSPAVFVMHLCHVPKVYGLLAVRIMLGSIILMTLRLLRVQVKRKFGHHAEAFYVILTATQFHLLFYSTRPLPNVLALAFVNLTYYFWFKGNHRRTLQALIVAAVIFRCDMILLLGTIGLALLLAGALLDRRIVPYILPVFSFVVLYSKLPHKELRFIMASIPMLNVSASLAASRVYNNRKKTGWKLLYVLMIGGFLSSLGYSGVTFMASYNNYPGGYALKALHEADSVMKDKIVHIDAFTAMSGVSRFCESEYPWRYSKEEKISIEEYQERNFTYLLNEHRHISGYKCLFAVDGFSRAKIQPRIPPLSLIYILQPNASIAILFLVLSNIKLTVVIEYWLRSLTSAFTAGEDTDRISTTGPLTANCEDAQPV >AMDW01119952.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01119952.1:328:759:-1 gene:AMDW01119952.1_FG001 transcript:AMDW01119952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIQSHRAGAEIVNGDAICRNKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWMVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVAEASPEKVTFKTGTGLSDTFDATAFALGE >KN539751.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539751.1:80066:83516:-1 gene:KN539751.1_FG001 transcript:KN539751.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YHILIDDIWSVSAWENVRDSLPKSDKGSCVVVTTRFNSVAEACRRQQGHVHKLKQLDPESSRNLFLQIISANDLCPNRHINASIIMRICGGLPLAIVVVAGLIASKMKSKIDLTLDQHLVDVDEALSAELGSNLTTEGVAQIINHCYKNLPPDLKTCLLYLSTFPKGRSISRKHLIRRWIAEGFITEEHGKTAEEVAEDSLNELIGRNLIRPINNSSNGKVKSCQIHDMVLEYIVSKSGDENFITVIGSHWQTPFPSYKVRRLSVHKSDWQETEMVERMKLSHVRSLTVLESFSALHSTMLKFQILQVLDLDGCKDLSHSHQLKKICNMYQLKYLGLRRTDIDKIPKNIGRLEYLEVLDIRETNVTKLPTSFAKLQRMTHLLAGNKSKRTALKLTEEITKVVALQTLSGIEISGSSTLEEDREQSPDMAIRNSTPTRAEERDNTALHGPHKEDSKVDFPKQLRSLEALEKLINLKKLAIYKLVKFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLDSSLSSSQAPPEHLYTLELSGMLSKVPGWIDRLHNLEKLTLSLTSLKTEDNYSNALKIMHKNTLESGGTIFVLDEGFEKLKLLRFAAPVLPSLSFLEGAMPQLQRLELRFRMVDYMYGLENLSKLQQVWERVHSRLGFICPQPTPHLPSWWLTVRKMINKQERRTFDAGVILVTWLIWKEHNAHVFEGKDTMVFALCAVMTDEWRMWKVAGLFTPPDTWHIKALRVLM >KN539751.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539751.1:70383:73584:1 gene:KN539751.1_FG002 transcript:KN539751.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIIKLVPAIFLPSVVIKIKRTLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLDMSKNLLIGNIPTSLSNLSSLNDINLADNNLSGEIPKRLLQVSHYSYIGNHLNCGQHLISCEGSNFNKGGSNNSTLKVVLASIAGAITLLVIIVLFLLWWQRMRHRSEIYIDVPGQHDHNLEFGQIKRFSWRELQIATNNFNEQNVLGKGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDNAYDLQDLEKMIQIALLCTHMEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSSGR >KN539751.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539751.1:35646:40793:1 gene:KN539751.1_FG003 transcript:KN539751.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTARDLPLRYLEEITDGFSDSRKVGSGGYGEVYKGVERAGTEIAVKKLYHMSGIDDTQFRNEFNNLMKIQHPNIVRMEALTSIYVLVGLLGCVHPVGCRCLVLPILQKQESHGLDWCTRYKIIKGTCEGLYYLHERLKESIYHLDLKPANILLDKNMDPKIADFGLSRLFGGTQTHTTRNCIGTELIIAGPLGYSKCDDMLPQQFIELVCKKWRDRMEATSNYASTEEDCQRLKRCIEIALRCVEVERKNRPSMRDIIRELKQIDETSSSMSSWNKVRIAKIGQWGGIGGNYRDIEVAPCRLGSLMIGCGEVIYSIAFSYYDYNGQQHKVGPWGGDGPDKGVNHTIQFSLSEYLTGISGTIAPFTRAPHGVITSLTLVTNTRIYGPYGRVGGTPFQIPIQIKGSIVGFFGRIGWYVDAFGIYVNPNQDATHEDEVQLGSSEFLIGFSGTTGPSSTLAKDVVTSLTLITNARSYGPFGQVEGSPFQVPMRNNASIIGFFGRGDLYVNAIGVYINPEQEKIEQELGHLPIAPNCKSVTAPQPVST >KN539751.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539751.1:1617:2600:1 gene:KN539751.1_FG004 transcript:KN539751.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding IKFGQSEFLQEISGTIGPFMNSRPKVVTSLKFVTNEHIYGPFGQGGGTEFCAPTKSTDSIVGFFGRAGWYIDAIGVYLHPKQPTVLDEEELTTDVQTIGGRGEEMEMAGFNKIGPWGGNGGIEYVMETVPHHLESITIYSSVVVDSLEFSYSEVNGDNHTSGPWGSASSESSQTIWLGSHDFLREVSGTVGPFNSMPNVITSLKFFTRRGITYGPSGKGGGTPFKVDPLEYSSNIVGFFGRAEQCLETFGIYIRKF >KN539751.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539751.1:32063:32344:-1 gene:KN539751.1_FG005 transcript:KN539751.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVEASVPFQTRAAAEHAWNLNGRAIYDGCCWLDIQWEQPSNNSTTPVTSLSMIITEWKEDIKELRAVLQDLVAFLQEELAKEKEEGRQWD >KN539751.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539751.1:75982:77673:-1 gene:KN539751.1_FG006 transcript:KN539751.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEVMRGMVAAFGEAGRLPEAADMVLEMRSHGLPLCVETANWVLRVGLETGSFVYARKVFDGMTRAGVCPDARSFRALVVVCCREEKVEEVDALLAAMWRCGFSLDNATCTVVVRSLCEKGRFKDVSEFFRRMLEMGTPPNVVNYTAWIDGLCKRRYVKQAFHVLEEMVGRGLKPNVYTHTTLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLVRMVEQGLKPNTNTYTTLIGGHCKGGSFDRAFELMNKMKQEGFLPNIYTYNAVIDGFCKKGKIQEAYKVLRMATSQGLKFDKITYTILITEHCKQGHITYALDLFDRMVENGCCPDIEAYTSLISTYCQQRQMEESQKLFDKCLMIGLVPTKQTYTSMIAGYCKVGRSTLALRVFERMVQNGCFADSITYGALISGLCKESRLEEAKALYEGMLDKRLVPCEVTRVTLTFEYCRREKTSIAVSVLDRLDKRQQAHTVDVVVRKLRALGDVDAASLFLKKVLDEDYAVDHATYTGFINSCYENNRYALASEMSEKFSKKISSFKEKR >KN539751.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539751.1:5087:8772:-1 gene:KN539751.1_FG007 transcript:KN539751.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGEEIAVKKLYVMPGLDDVQFKKEFNNLMKVHHQNVVRLVGYCYETKNKHIERNGDFVFSKVEERALCFEHVQLGSLDKHISDESCGLDWDTRYKIIKGICEGLNYLHNGSSNPIYHLDLKPSNILLDKSMIPKIADLGLSRFFATTKTHITSQIKGTLGYMPPEYIERRQITKKFDVFSLGVIIIDIIAGPSGYSKCAEMTSQQFIELVQGNWKKRLHAATSRYASQEADSLQVKTCLEIALRCIDKDRAKRPTISDIVDKMNEIDTLKMSLLSKRPEPREFLGFDPLELRFPFETYKAISCVLQLTNKSDDFVEFYANTNKKKYHIQRDQGVMAPWSRCYVIVTLQPQGSAPPNMQCDDMFVVRSTRVRESDIGSLDINVTEQHLEKQMGEVKSLPIVFVPIPQPPTSIAD >KN539751.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539751.1:47204:47578:-1 gene:KN539751.1_FG008 transcript:KN539751.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVAWVFSPFLFCSSFSLSSMAGAKSSASGGATTDGLAVRCLAALRCDARCSTVCSTMAANGESTRWNALLPVPVWHCVAEAHLVRIEHPNRLVPVTPSRQWRCSSGVKENQKENTERNSFS >AMDW01068829.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068829.1:219:828:-1 gene:AMDW01068829.1_FG001 transcript:AMDW01068829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >KN540605.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540605.1:26058:29398:1 gene:KN540605.1_FG001 transcript:KN540605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene epsilon cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57030) UniProtKB/Swiss-Prot;Acc:Q38932] MQASKSMDSQSKISSKLLPIPDENSVLDLVIIGCGPAGLSLAAESAKKGLNVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTIVYLDGNKPIMIGRAYGRVHRDLLHEELLRRCYDAGVTYLSSKVDKIMESPDGHRVVCCEGDREVLCRLAIVASGAASGRLLEYEVGGPRVCVQTAYGVEVEVENNPYDPSLMVFMDYRDCFKDKFSHPEQGNPTFLYAMPMSSTRIFFEETCLASKEAMPFDLLKKRLMSRLDAMGVHIRKVYEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPRYASVISDILRNRVYPGQYLPGTSQSSSPSMLAWRTLWPQERKRQRSFFLFGLALIIQLNNEGIQTFFETFFRLPKWMWRGFLGSTLSSVDLILFAFYMFTIAPNQMRMNLVRHLLSDPTGSTMIKTYLTL >KN540605.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540605.1:43671:47078:-1 gene:KN540605.1_FG002 transcript:KN540605.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRESCTPDARGTPWKGRLRSHHATPPTSPSLRPSSSTKNPKKKREQEAQSFKKRAAPKKAKDTGKCGSRSQDAAEKPLLPAPPRRSPRLAGNPPALVDGVCKESMVRGKQSAIVPFRRSLRLRHNQNSQNAFSMDQNHESSSTRSQKNTVVKLSMRMVSHKDSQKIFCQDYQGIPPRITVPDLSCKKTQKEELNSNCCEKLARKRKRGTEGRMSSSKRQSHKDPKSLSLKCQESTPTNKPRNTSHKKSENNSSSMSQPKFCDGRLMNAERNNKELNGSERRETQCGLNNWTEEQDMALRKAYFTARPSPNFWKKVSKMVPGKSAEECLSRIHADLSTPTPIAPRPRTSKMKFSPLGHFALSDPKHPNVLEPSFRRRTAKQKSLAAQKTVRHLLKKQCLTDQTQEADHFSIFETSPTVLPVEFSFEDSPGTPNSSGKKLLARLETVNNVGKNPAEPSPAVLKPIKNVILHEKYVDRLSRREGTTRPRKKAAGSKALDSVKTQQAGGVKAARNALITEATDFISHFKKMQANPLAHVVEDDEDDEIDGIECDTSNH >KN540605.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540605.1:30265:35573:1 gene:KN540605.1_FG003 transcript:KN540605.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVAVDRDRNSQLAVKWVVDHLLTGASNIILLHIAVHPPAASHGFAMAEATHGALEAEMREIFVPFRGFCTRNGVHVSELVLEEADVSKALIEFITVNKIQSIALGASNRNAFTKKFKNADVPSSLMKGAPDYCNIYVVAKGKSVNVRLAKCGVDGGCGGGGGYEGDSSIRSLYTRRAAPDGVDGSYRSTRRSVSNESFVGDLDFGQSSRFSSMDFCDSLDMSSLSASPRESSSPLSAPQREVEVEMRRLRLELKQTMDMYNAACREAINAKQRTKELQLLKLEEARRLEEARHAEEAALAMAEMEKTKSN >AMDW01038901.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038901.1:482:881:-1 gene:AMDW01038901.1_FG001 transcript:AMDW01038901.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELYDAGHRCFGENYVQEFVAKAPQLPEDIRWHFIGHLQSNKYRFVLKNTYHMDNLPFSFNISILERGKSNSYIMVN >AMDW01038211.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038211.1:101:791:-1 gene:AMDW01038211.1_FG001 transcript:AMDW01038211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding REPEDIICTFSTAAVLCAVPGCDHHDCHGGPFVLVFVGNDEDDDGEEIASASSYSSETGTWTAASTVHHDDSLELESKPSVLAGDAVHFLTYFGKAILRYDLTKLELSVILPPVAYGDGDALLMTAEDGELGLALFDGEASIHLWARIAGAAGGDPGWVRRNVIDLYAVLPFFDPVHSLSLVGFAEGTDIIFLHTIHGDYRMELKSLQINMLWEKDRCFNIFPYMSFFVP >AMDW01020234.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020234.1:16:285:-1 gene:AMDW01020234.1_FG001 transcript:AMDW01020234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLIVAALFAAAATRIDVSLAWAAWPLYWAAQGT >KN540492.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540492.1:11233:30492:1 gene:KN540492.1_FG001 transcript:KN540492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYRVIHMRHVAPLGADALLGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRVTLTYRNHKNIVMRISSNVSEDQDLAFLVNGHFDSPLGSPGAADCGSCVGQYNVYFARTNVILTSMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKRSNEDAMPIKDDLRAIFFDYLTWFMVIYPRGVSLVLHSLPVAIFLLAPLFLTSPNITLMSWSLTVLDLMRGMLLHAFGAILAIVIPAVAAAVRLLFTKNAMNWFAHPYLAFLMFVPTSLAGLFLPRIIWGLSEQAHFWGAFGLYSLITLVYMLAGLSGGFLTFFISMSMLLGRFICSISRKHWNKQSPKLLVGYVVPMIPCLLYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVADVIVGSVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLALYPVNFLFSGSLKFPSETEEIRKHYQHFPQMVIQKTSSNNGHRRMHLELSLGSLSEIWTSVLNITGPLSNWSFSDMTLPDPQSFSGGPPSYICRLSGESHENWSFWLEANSSEPLRIDVAVLDQYLLDRTRKLKSLFPSWADITAFTTFFSTYHL >KN540492.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540492.1:39595:44720:-1 gene:KN540492.1_FG002 transcript:KN540492.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPASSPLFGTPSTTPAFGAPSSTPAFGTPSTTPAFGTPSSTPAFGAPSSTPSFGTPSTAPAFGTPSSIPAFGAPSSTPAFGAPSSTPAFGVAPSPSPSPFGFQQQMTPSPSPFGFAGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSYRLKLQDGVLVSDSDRLSMTRDNVKKIMRIVEALENRGYRIPLTKEEADLYERLAVIAKQLKGPTGDLHKRVYNLLSTSRLLASAGGTAGPIYIPSSAKVDEQSVAELLEALQQQTEAVAKLGNVMKRDTRDLEIILSEDTDMAEDSVGRRALKM >KN539173.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539173.1:73528:74479:1 gene:KN539173.1_FG001 transcript:KN539173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGRKIMGLLLGCVGLVAVMHVAAAQQAFGVRATYHFYRPAANGWDLTATGAFCSTWDAGKPFDWRSKYGWTAFCGPVGPTGRDSCGKCLRVTNRATGAQITARIVDKCANGGLDLDWDTVFSKIDTDGQGFQRGHLTVDYSFVNCGDNNYLAEVVI >KN540639.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540639.1:1925:12704:-1 gene:KN540639.1_FG001 transcript:KN540639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWWLNWQSYVGLIKPDENDADMLPQAPSRPGEIDNSKLVSEESSSIGDEPELQRTLREGDDYALVPQEVWRKLHEWYKGGPELSRRVICSSPTSRSYIVDVYPLRLKLFDGRDSLEKIIRISRKAKVSELYSLVCSLLSVEQSKIDIWDFYQKTKGKKLINLNETVEEAQLMMDQEIIVEAKADDAWCSDLGTRSNNELALIPLEPSTSSFSIAGGPAFSNGFSSGFGSSFSQDNSFSPLLRDAEDGYSFSNGTKDDIHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLQDYSRDINTENPLGLQGELATAFGELLRKLWSAGRTSIAPRAFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDEELAEECWNYHKARNDSIIVDKFQGQYKSTLVCPDCKKISVTFDPFMYLSLPLPSTVARMINVTVFSGTGDALPMPYTVKVQKNGVCGDLIKSLSVMCCLQSCETLLLAEVYDHRIYRYWNPSEPLCHVKDEDKLVAYRLPVGSENLLRVEILHRVVDRYTSESMFNLSRKLIGSPLVTCIPSDSTRKADIYATVSSLLAPFVRAKVHTLDESATKLNSNGPSLDGIVLTDNGTTCEEDVSTSNVDEEAADEEVLPFQLWLTDDKANKREHIDADSNGAPGSTMRLLMDWSDREHEVYDIKYMDELSVVFKPGFMSKKNRQEAVNLFSCLDAFLKDEPLGPDDMWYCPRCKEHKQASKKLDLWRLPEILVVHLKRFSYSRFMKNKLDTFVNFPIRDLDMSRYANHSRGDQPPIYELYAVINHYGGMGGGHYSAYAKLVEEDSWYHFDDSHVSSVGEEDIRTSSAYLLFYRRVGSSSCSVSKNVAVDTDMVDSLDT >KN539173.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539173.1:95079:100875:-1 gene:KN539173.1_FG002 transcript:KN539173.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEAGPRAARSKAWMAELTLPEAELRRLRHAGMRLKSRIKVGGAGVTREIVERIRDRWRNDEVVRIKVTGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDIPEPTKGTSKNTQTLGMKSSIKEPPGHSLLPNEKVNEMQDNNGALVSNAEKDTLVEPVPEIKYEDEIDKLLDELGPRYDDWPRPDPSPVDADLLPATVPGYKPPFRVLPYGVRPSLSRRDTTNLRRLARGLPPHFALGRSRQLQGLAAAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFMVFYRGKDFLCPELAEKLLERERWAKSLQDEEQARLNAASSFSSRTEAPVEPTVAGTLGETLEANSKYGNKLDENYENKMTRTVEAARHADLVRKLEWKLQLAQKKIEKAERVLGKVETALKPTEGRRGVFDGTIENMHLHWKYRELVKILVKAKSFGDVKKIALSLEAESGGILVSVDKVSKGYAIVVFRGKDYARPSKLRPRNLLSKRKALARSIEIQRREALSHHIATLNRRVKKLKAELLQMEGVKEEGDVELYAKLDSAYSSDEEDVEDEDDEAYLRSFDNSVAVQNGRGDPDVKSKGSALDSRNSYNHQEHQQSKRSNFLKP >KN539173.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539173.1:24648:28947:1 gene:KN539173.1_FG003 transcript:KN539173.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGTLLPKLAKLLKEEYDLQKSVKEGIKFLMAELESMQPALKKVSNIPLDQLDEQVKIWSREVRELSYNIEDIIDTFMLHADVVEPTNKHNFTWFINKCHRLAQVKIHHKIACDIKDVKSQVKEVMERRDRYMIDNIAAKTPTVIDPRILSLYEKATNLVGIDKASNDIIKRLFVEDEASKMLKMVSIVGFGGLGKTTLAKAVFDTLKVQFDCIGFVPAGQNPDIKKVLKDILIELNKHKYKELNASALSEKHLIDELREYLDNKRYLIVIDDIWEASTWKIIKCALADSNCGSKVITTTRISQVANEIADVYNMAPLSDDNSKKLFNNRIFGANYRDPSDHELVAATERILKKCGGVPLSIITIASLLVDKPVEDWSKVYDSIGFGPEDRNEVVQNTRKILSFSYYDLPSYLKTCLLHLSIYPEDHRIEKESLIWKWIGEGFVQEEQGKGLFEVGERYFTELINKSMIQPIEHHLYLGTVDGCHVHDMVLDLIRLLAIEENFVKVLDKMREEHNSYAQSTTVHRVALHKSWNQDNKNNLATSMKQLRSFNAIECPIMVMPPLANFQVLRVLALENCVFTGGCQLKHLGKLLQLRYLGLRHTHVADLPKEIGNLVHLQVLDVRHTVLKVLPATIRKLRNLMHLRINGNKTRFLTGLGKLTSLQELSLGAVSEDTCPNFSLELCKLMDLRILQIDWYTKTDKNSLDVLMECLLTLRRIQSIHISFAIDFSETPVMTGWEGWEPSRQLRLLHITGVRLPRLPVWVNSMRIPHLSILDLRVVTMEHRDLDVLARMPELRSLRLDITRRFPWTVVAGDGLFPNLRYCRMDIALTFLQGAMPMLVKVELYVWVAKDDAANDVGLGNLPLLNTVHIWLQCGGATAKQVEEAEAAWRRVAHAHPNHPAIHVNRLGELLMKKDKDDGDDEEEISGTHKVDGNDDEQDISTGDQEVYSYWFTHSMQI >KN539173.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539173.1:76180:76879:1 gene:KN539173.1_FG004 transcript:KN539173.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRVVLVAAVLCAVVAMVTAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGQAACGKCLRVTNTATGAQITARIVDQCANGGLDLDWDTVFTKIDTDGQGYQKGHLIVNYKFVDCGDN >KN539173.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539173.1:84659:85191:1 gene:KN539173.1_FG005 transcript:KN539173.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITGSRALMVVALLCAAAAMTAAQQASNVRATYHYYNPQQNNWDLNKVSAYCATWDANKPLSWRQKYGWTAFCGPAGPRGRDSCGKCIQVKNRGTGATIIARIVDQCSNGGLDLDYETIFKKIDTDGRGYQMGHLQVDYKFVNC >KN539173.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539173.1:18321:21467:1 gene:KN539173.1_FG006 transcript:KN539173.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGTLLPKLGKLLSEEYNLQKNVKEGIKFLKIELESMQLALKKISNVPLDQLDEQVKIWARDVRELSYSIEDIIDTFMLRADDLDQSKKNIFTWLINKCHKLSEVKIQHKIAKDIKDVKIQVKEVMERRDRYRLDGVVANPPMIVDPRILALYENVTNLVGIDKTSDDLIKRLFEGDDESKKKLKLISIVGIGGLGKTTLAKAVFESLKMQFECAALIPVGQKPDFKKVFKDILIELNKYLIVIDDIWETSTWKMIKCALVDSNCGSRVITTTRISQVAEEVGNIYLMEPLSADNSKKLFYNRMFGAQCKGREGNQQAEATKKILQKCGGVPLSIITIACLLVNKPVEDWSKVYNSIGFGLEDRNEAVQNTRKILSYSYYELPSHLKTCLLHLSIFPEDCWIEKKSLIWIWIAEGFVHEEQGNKIYEVGESYFTELINKGMIQPMGYDIYSDAFDGCRVHDMVLDLIRSLTNVENFVKVLDKPSDEHNLSLQISIVRRIALHKSSNLEKSHNLAASMPQLRSFNAIKCSISLMPLLTSFQVLRVLVLQHCDITGSCHLKHLGKLHQLRYLGLRYTCVDYLPTEIGALVQQQALDVRNTGLAALPASVGKLNKLMRLCVDRETRVLANVGNLTSLQELSLGLVSIDICSNFAVEVRKLSDLRILKISWLGETDKGLLKALVKSLCSLQRIQHLEILFGSWVLVSHWEDWEPPRLLHKFCMDGLHVFLPRLPSWVNSMCVPHLSYLELQVLAMEPQDLDVLARMQKLRFLHVYLNTKFSWTVAGGGLFPNLRYCCTNIMLTFLEDAMPMVKHVELCVPASRDSVTNEVGLGNLPLLDVVSVLLDCESATGRVVEEVEAALRREVNAHPNNPTIQVNWFTHLRFV >KN539173.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539173.1:102281:110871:1 gene:KN539173.1_FG007 transcript:KN539173.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGTTKREFKDLCFCAKNVEVTSDIKNYIKDIEQFLSHSSKFYIVTINTTFMEQDRIPALAQVLQVQGIELWDNPEFPIDFDSIYTLLSEPGLWLHKEGMKALGVLKDGLQVLIEDYLMEPSDLEGPAFKANYWMKEVRELCYDIDDFVDELFHATANVKIQRALQFKASPLRKKLPLFKTSQLQEKLSRFNASQLQKKLSWRQKIVDEVSSFSSCLKELIMLYKRYDLGSLEKRSGWESNGTMSLTLPLAEETSQRCYLGMDKDMDKLVGLLNDGQQECKVIAIIGLCGVGKTTLAEELYQKFGFQFQCCAFLRLSRKPDMKRVLGSLFSQVRQHDPPGTVEVDNLSHEIKSYLNDKRYFIVIDGVWSSYIWNTINQTLPKNFYSRILITTEIDHVAQRCCVDNRKYIFKKEPFNKVESDEFFSRVHESKNAEYLKEISSEIAQLCSGLPLMMAIVAKGFIDTVDGNEERVALIYFDELISYGLIQPVETKFDNEVLSFRVHYMIIDSIRSKAVQHNFAIAIDNHQTDVRIADKIRRLSLRFGNANDVTTPIGLRWSQVRTLVFFGPLRCTPSIAEFRVLRVLILRLSADDDDVTYDLSEIVELLGLKYLYIDACHLNIRLPMQMRQLQYLAKLKIEARLSYVPSDIFYLPRLQQLILPSETTLPHFTEPMKSLHTLGCFDLSGSSTESIVDIGKMVNLQNLNMTCSSGQPANLKLLGSVLNKLKNMKSLILVVTGSLDDADSSIMEISSDDFSVSSPPVLLTRWIKELNNLSILKIAISEMLGHDVDVLSVLPALTSLSVYIQRAPEERISFGKGGFAALKYFKLKCTVPWLKVEVDAMPNLEKLKLRFNVGLSLQRVGGLHGNNLINIEHLSRLKEIYAKVESEGSVDAGSALMTGFNMTSEASPALPRRHIAHEIPRLLPSCGGKWPSSNAAFEGHNLVNCYAGNLSIAVIQANNSFKEQYRVESSQPFGTVIGIFDGHGGSEAAQFACDNLFLHLQENLSSSQRVTTDAISKAFKATEEGFIELVSRQWKTDPQIATVGACCLVGAVQQKTLFIANLGNSRAVLGKISCTGQIVAEQLSSEHIANDAWKAKGLVQVLRAIGDAYLKHPHYSREPLNKPILSANPSIVSHVLRPSDRFIIFGSAVLWEYLSNQEAVEIVKNHQASGSAKMLVKAALHAAAKKHNLHYSDLLKMDRDDPRHVHEDVIAVVLFINYDQLLKGKQGRPLSIRYPRSIQHGLVPSSRIS >KN539173.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539173.1:133763:135985:-1 gene:KN539173.1_FG008 transcript:KN539173.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLSIMKNEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAAGRSRSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWELSEGFSSMCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNVCKLIHMPAQSGSSAVLERMRRGYTREAYLELVQKIRSIVPDVGLSSDFISVLAMWMFISCLAASRKGDRPYAVPPVDADSSFCGETEEEHAETLTLVRAVGYDMAYMFAYSMREKTHAHRNYVDDVPDDVKQRRLAELISTFRETTAKIYDSQVGTVQLVLVEGPNKRAPETEMIGKTDRGHRVSFATVPVPHTFEGDELRKPVVGDFIEVKITKSSTASLSGDVIARTSLSRFYKNHSSEAHAVAA >KN539173.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539173.1:80039:81185:1 gene:KN539173.1_FG009 transcript:KN539173.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRRVVMVVAVLCAVATMAMAQEASNVCATYHYYRPAENNWDLGAPAVSAYCATWDADKPLEWRQKYGWTAFCGPVGPTGQDACGKCLSVTNTATGDQITARIVDQCANGGLDLDWDTVFSKIDTDGQGYQNGHLIVDYQFVDCGDN >KN539173.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539173.1:33727:36690:-1 gene:KN539173.1_FG010 transcript:KN539173.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEEMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFDNKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGVDLSAYGSSKVVQTQAPVQLGSLRAADGKE >KN539173.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539173.1:2832:8511:1 gene:KN539173.1_FG011 transcript:KN539173.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGAVLPKLGELLKEEYDLHNSVKEGIKFLKAELEYMQPALKKVSNVPRDQLDEQVKIWANDVRELSYSIEDIIDTFTLQVDALEPPKNNIFTWLINKCQKLSQLMIHHKIGNDIKSVESQVKEVTERYNRYRIDSIDAKPPIFIDPRILGLYEKVTNLVGVDKASEDLIKMLSVGSDASKMLKIISVLGLGGLGKTTLAKFVFDNLKAQFQCFAFISVGQKPADIKNVLKHILIGLKKDKYKELDASQLSESYLTDEIREYLDNKRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISKVAEEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHWIMKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELINRSMIQPIEKYGQVFACRIHDMVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKMDNLTTGMAQLRSLNAIKCPISMIPPLVSFEVLHVLALESCGVIIGSHLKHIGKLQRLRYLGLRRTHVTVLPSEIGNLMQLQVLDVKRTGLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSCPNIAVDLCKLTDLRKLTISSLHLDEGSLKTLVESLCTLRKLQSIKIKGSWKVLEGWEGWEPPRQFRMFYVDGSLPRRPTWVDSVRIPHLTDLNLHLLAVEQRDLDALAMMPELRVLEVNSDLSISWTIAGGGLFPSLRSFSTDIEIMFLQGAMPMLTDIAFEPSGDDSANDIGLGYLPQLNRVFIHLLYCSDLTARQEKEAIAVWWRVINSHPNRPNTNVKIGDEFYKLIPSDDSNDEEISDPGKDGINDEEEDQISDPEETDRNYGEEEISATDQKQDNGAADEAKRRRLS >KN539173.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539173.1:87282:90610:-1 gene:KN539173.1_FG012 transcript:KN539173.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVGLGAGAVGEHTGGTHVSVKERRMVEIVRQRQDVAAQELEGQTDENAAEADERISRSPPGTKEKLWMMQDQLIMAKAYLQFASLHGSAHLVRELKLRIKEIERVISHFSSSSRVPTSALQKIRAMEMTLSKAQRAYPHCSHMTAKLRAMTHQSEELVRAHRSETSFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPKEREFPQRYTMQMGDLYHYAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIQIKSLDHLKWLPADFSFRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLVLLDHDVVVQRDLSGLWEIDLKGKVNGAVETCTSGDGYHQLESLVNFSDPSIINKFDAKACICAFGMNIFDLKEWRRQGVTTAYNKWFQADKRRRLWKAGSLPLGQLVFYNQTVPLDHRWHVLGLGHDRSIGREAIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLDYDNPYLQQCNIHM >KN539173.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539173.1:120527:132818:1 gene:KN539173.1_FG013 transcript:KN539173.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHEKLFSSTFYMPPPPRYKYPPPPHCAYPGIELSKPTKKRRGEESKTQETQKVINSKYSLKYHFFRNSMGIEIVPQSFNEELDSTVTITPASDNIDVSDDTSVPHPSEVPATVNVVLVEQSDNSISEPLESDGANGATENVVPLASEKSTDNNDAMNSEPVNSEPYEASPANNAEKGDVMQQSDENNGDKQENQDLLSPMAETAGSDSTSVTSMEDVQEAKDAAPSETDDATGHPPDLSNDKACAGNGNGNVFQNAKCVLTTSTKKMKRSASATTRKSLQATNTDEGNASTLTNSKSSNGRTTTVPAGPVFRCTERAEKRREFYMKLEEKHQALEEEKIQLEAKLKIFQEKAYLVGVECKRSGGSMFSIEESLEELEQLADTAGLMVVGSTYQKLSTPNPRTYIGSGKVAEIKSAIHAHDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQFLYQLSVVTLAQMEYQLPRLTKMWSHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAIQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYMENGTFVKAHVPLPLARLLTPLRQQVAAVS >KN539173.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539173.1:39383:42826:-1 gene:KN539173.1_FG014 transcript:KN539173.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARREAEQVMFGAIDAVLAKTGVPAGDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVATYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNNRSMLVSNCLFRMGGAAILLSNRGGDRRGAGEEDDAGGVGVALSKDLVAVAGEALRTNITTLGPLVLPMSEQILFLASLVARRVFGLAGVRPYIPDFKMAFDHFCIHAGGRAVLDTIEKNLGLGAWHMEPSRMTLYRWGNTSSSSLWYELAYAEARGRVRRGQTAWQIAFGSGFKCNSAVWRALRTVEPGADERNPWAGEIHNFPVEHTFVNDYTGPHLIG >KN539286.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539286.1:44781:51992:-1 gene:KN539286.1_FG001 transcript:KN539286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEIVGRVPEFGLALPGTSTSGRGSVRVAAPCLDIKVQITNQYYPNNQTILLRNRVSAQQARERKKAYMSELEARVKDLERSNSELEERLSTLQNENQMLRQVLKNTTANRRGPDSSAGGDSYSEMDGESPEIMPVECPDPEPASSESGDDHDIPEPLSSRLSVPSGELNLYRAAVALRLVLLAAFFRYRVTRPVADAHALWVTSVACELWLAASWLIAQLPKLSPANRVTYLDRLASRYEKGGEASRLAGVDVFVAAADAAREPPLATANTVLSVLAVDYPAGGVACYREYEEFKVRMNHLAARARKVPEEGWIMSDGTPWPGNNSRDHPAMIQVLLGHPGDRDVDGGELPRLFYVSREKRPGFRHHGKAGAMNALLRVSAVLTNGACVLNLDCDHCVNNSSALREAMCFMMDPVAGNRTCFVQFALRDSGGDGDDGGGGGDSVFSDIEMKCLDGIQGPVYVGSGCCFSRKALYGFEPAAAADDGDDMETAADWRRIACTGIDVAFSTETAASPPKRPAAGDDDGEEEAALASEITMRWTNLVVAPTSVVVANLAGVVVAVAYGVDHGYYQSWGALGAKLALAGWVVAHLQGFLRGLLAPRDRAPPTIAVLWSVVFVSVASLLWVHAASFSAPTAAPTTEQPIL >KN539286.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539286.1:111975:118285:-1 gene:KN539286.1_FG002 transcript:KN539286.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATADISRPSSSAPMAAGADGQGAKGSAFRGDGLRPYYQSRIHDLELQIRQGTDNLSRLEAQRNVLNSQVKTLGEELKVLHEPGSYVGEVVKVMGKSKVLVKCCVLVFVHMSVALEIIGEDNILLVHPEGKYAVDVDKSIDITKLSPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFLMAVAKALPDPAAVGYPSFKLILVGDGGTGKTTFVKRHITGEFEKRYEPTIGVEVRPLDFHTSRGKVRFCCWDTAGQEKFGGLRDGYYIHGHCAIIMFDVTSRLTYKNVPTWHKDICRVCDNIPIVLCGNKVDMKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLTGDMNLRFVEELALLPADVTIDLIAQQKIETEIAAAAAMPLPDEDEDGLMD >KN539286.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539286.1:17627:20068:1 gene:KN539286.1_FG003 transcript:KN539286.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHFASMFSLTLIHLLLHISAHDFLSPGSSLSVERSSDVLHSPDGTFTCGFYNISTNATIFSVWFSNSAEKTVVWSANFHRPVYTWGSKIKLNIDGSIVLQDYGGHIVWTNNVSFSNVQEARLLESGNLIVKGQGDTILWQSFASPTDTLLPNQIINGTTKLVSSASSNRLLVPGHYSFHFDDQHLLTLFDDEKDISFIYWPIPFINMWEKKRISFNTTTLGVLDSSGHFLGSDNASFMAADWGPGIMRRLTLDYDGNLRLYSLNKTDGTWLVTWMAITKRCFVRGLCGMNGICVYTPKPACACPPGHEISDPSDSTKGCTPTFRISCDGKQKMRFVKLPTTEFLGYDQSTHKHVSLSTCKNICMSDCSCKGFSYWQGYGNCYPKSSLFGGVTVQTLSGSTYLKLPQALKVSESSIPRSQPSGRQYGPNCSAENQYFIANFSDISNSSQSESRYLYFYGFLSAIFLVEVIFVALGWWFILRMKGRQLTGVWPAESGYEMITSHFRRYTYKELQRATRKFKEELGRGASGVVYKGILKDKRAVAVKKLAGINQCEEELQHELSVISKIYHINLVRVWGFCSDGPHRMLVSEYVENGSLDKMLFRSADLQFLLEWKQRFKIALGVVKGLAYLHHECLEWVIHCDVKPENILLDEDLEPKITDFGLAKLLNRGGSNKNVSRIHGTRGYIAPEWVYSLPITAKVDVYSFGVVLLELLKGSRVSEWAKTEDEDDEVEKVLQQVLRILAENAKLQEGSEESWITDFIDSRLNGQFNYLQARTMIKLVVSCIDEDRSKRPTMENVAQTLLSVDEESIIP >KN539286.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539286.1:60105:63083:-1 gene:KN539286.1_FG004 transcript:KN539286.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGATASAVGQTTASWRNWPDLLRSGGLGGRAASERRYGGVVEARMWACGRAPSIPLARRLHEIGPGRNGGVDGSSTALEDWKGERDGEISQFISEGNHVETGEGERSCGGSVVQMMAGLQRSATTFRRSGSSGLVWDERFLTEDAEAAKAGDGDGGGGTEEPQPELWHSKSVGSIGMMRRVAADGGDDSEKTTKQQRKKKKKKKDGQKEDDNRSQQVFRTKDIAPDVDPPSPRVSGCILCSIFSGSGSSSSAARRRPKPRKK >KN539286.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539286.1:75171:76985:1 gene:KN539286.1_FG005 transcript:KN539286.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFWKGNRLMKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDIFEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDDKWTVLQKDSTKCFGGSFRGQPKSGILGSNFGKQRQPYCAMDNNLLLKNQKALEAYQTGDICSRTDGAEAAVSERETSQHDKIIPKEDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRSMVSSAITSR >KN539286.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539286.1:12378:13925:-1 gene:KN539286.1_FG006 transcript:KN539286.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVSNLSITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEILLGKTEKFDELMAAAAEEREAAAAAEGEEQG >KN539286.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539286.1:66862:68826:-1 gene:KN539286.1_FG007 transcript:KN539286.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OVEREXPRESSOR OF CATIONIC PEROXIDASE 3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/Swiss-Prot;Acc:Q8H0V5] MGPDEERRPIWILDSAKPKNPTERRAENKIQNEEVVAEDDIGGGGEDDDDALEALFKQLEEDLKNDDLSVEDDDDGISEEDMARFEQELAEAIGDIADADESAEDSSLGSEAYGNDEKTDEIKRPELKNWQLKRLARALKIGRRKTSIKNLAGELGLDRTLVIELLRNPPPKLLFMSDSLPDEDPSKPEIKETEPSPVVDNADVTETKPQTELPVHAMCAEWSSQKRLKKVQLETLERVYSRTKRPTNTMISSIVQVTSLPRKTIVKWFEDRREQDGVQLLIATLIILFVALWTTILTISFSESRLGFC >KN539286.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539286.1:23855:26296:1 gene:KN539286.1_FG008 transcript:KN539286.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSAFMFLLTLIHLLLQISARDFLSPGSSLSVERSSDVLYSPDGTFACGFYNISSNSSIFAVWFSNSAEKTVVWSANLGRPVYTWGSKIKLNIDGNMVLQDYGGQIVWTNNVSSSNVQEARLLESGNLIVKGQGDTILWQSFASPTDTLLPNQIINGTIKLVSSASSNRLLVPGHYSFHFDDQHLLTLFDDEKDISFIYWPNPFINMWAKKRISFNTTTLGVLDSSGHFLGSDNASFMAADWGPGIMRRLTLDYDGNLRLYSLNKTDGTWLITWMAFTNLCFVRGLCGMNGICVYTPKPACVCAPGHEINDPSDLSKGCKPRFTISCDRKKKMRFVKLPTTEFLGYDQSTHKHVSLSTCKNICMSDCSCKGFSYWQGNGNCYPKSSLVGGVTSQSLPGSTYLKLPEALEVSESSIPRSQPSGRQYGPNCSAENKYSIANFSDISRSGQSESRFFYFYGFLSAIFLVEVILIALGWWFILRMKGRQLTGVWPAESGYEMITSHFRRYTYKELQRATRKFKEELGRGASGVVYKGILKDKRAVAVKKLADISQCEEEFQHELSVISKIYHMNLVRVWGYCSDGPHRMLVSEYVENGSLDKMLFGSEASQTLLEWKQRFKIALGVAKGLAYLHHECLEWVIHCDVKPENILLDDNLEPKITDFGLAKLLNRGGSNKNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGSRVSEWAKTEDEDDEVEKVLRRAVRMLAENVNLQDDSERSWITNFIDSRLNGQFNYLQARTMIKLVVSCIDEDRSKRPTMENVAQMLLSVDEENIIT >KN539286.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539286.1:9211:11558:1 gene:KN539286.1_FG009 transcript:KN539286.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNGVLTLEKKQGWSVPQLPELRFPWDLHEDKSFSLSLHGSASPHGGLFASVGLKVSTAAPAVAPSPAEHDFKIPFADHCIKYVSSAVGYQVPGTEAESVNEEEVVDGKAVKKAKKRGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKTEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMENEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEVDQDSE >KN539286.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539286.1:95251:97361:-1 gene:KN539286.1_FG010 transcript:KN539286.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVAAAVLLLLHVAARVADAVWWRPRRLEAHFAGQGVRGPPYRFLVGCVREMVALMAEATAKPMPPAAPHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPEMVREIFLTRADAFDRYEAHPVVRQLEGDGLRLVPHVGRSVAALAERWRAMACAGGGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRMSWGLDREIRRGLVRLIGRRSGGGGGEEDETTTELKDRQDNGFNDLLGLMINAGVNRTMPVEDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREGLAILEAKLTMAVLLQRFDLALSPTYVHAPTVLMLLHPQYGAPLIFRPRQSQPSN >KN539286.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539286.1:28880:33857:-1 gene:KN539286.1_FG011 transcript:KN539286.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAKSVEAGGEPGGGGGGAWSTVSRSGRSSYSAGGGVGGGKVGELAEGLAGVEIGGERRLDKYDIPVEVSGEDVPPPADGFEAAGLVEAVLRNVARCGYESPTPVQRYSMPIALAGRDLMACAQTGSGKTAAFCLPIVSGLVAAGGSGIGHRERSSFNRAAAKPRALVLAPTRELAAQSFDNVIFIDTVNQNFSLFCYEELDNINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGADILVATPGRLVDMVERSKVSLEAIKYLVMDEADRMLDMGFEPQIRKIVERMNMPRKSVRQTMLFSATFPPEIQRLASDFLSNYIFITVGRVGSSTDLIMQKVELLSDGEKRGYLLDLLQRQSVGVANSKLQQPLTLVFVETKREADSLRYWLYSKGFPATAIHGDRTQQERESALRSFKTGLTPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGSATAFFTESDHLLAKGLLELMTEAKQDVPDWLVQYAERPYYGGSSYGGRNRRSGGGGNRFAGRDFRQGSDYDYSGGGGGYSGGGGGYSGGGRGGGYSSGGRGGYSGGGGGGGGDPYRASAPPPRYYPSYPMGTADINASGWD >AMDW01034137.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034137.1:148:465:-1 gene:AMDW01034137.1_FG001 transcript:AMDW01034137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PMDAKGVNDRDALIDLESGNNIVVGEHNSGADANFAIAQERTAPNGAWNGAMVTNGCLKDDVNHQHMCCCPSPPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKK >KN540220.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540220.1:13724:17777:1 gene:KN540220.1_FG001 transcript:KN540220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAEAMGSLVPKLTELLKEEYKLQTGVREKINFLKSELEDMHAALRKVGSMHPDLLDEQVRIWASKVRELSYDMEDVIDAFLVRVDGREPANPGCLERLVHMMCGLFTKIKVRHQISGVVQDINTKLEEVSKARERYIASDLQANPAATQSSTHDPRILLSNTDATKLVGIDGPRNDIIKILCLEGDNLPLGKMIKVSIVGSGGMGKTTLARAVYDSVKGKFQCSAFVPVGQNQDLKRVFMDILNDLDKEKFDNIHSTKKDVRLLMNEVYEFLENKRYIIVIDDIWKFDAWDMIAKVLGDSSCGSRVIITTRISEIAEEVGHVYEIKQLSDVDSRRKCEGVPLAIVTTSSLLESKPREDWSELYRSIALGAKDSRHVDNTMKILSLSFYHLPYHLRTCLLYLSAFPEDYLIGKQTLIWRWIGEGFVCKEEGRDLYEVGEGYFNELVKRRMIMPIEAENEDYILGCRVHDMVLGLIRSLSSSENFVTVLGIEQYNTSHQGYGRRIAILSSKNGLPPVVNLGTAQSQVTIRSFSANGLSYIDVLPTPAKFEAVRVLALQNCESLQDLQGLEHIGSLLHLRYLALTYTPLSELPNGIGGRLRFLETLDLWGTGIEQVPSSVGKLTQLLCLQADIKTRVPDWIGNLTSLQELWMWPDAAAAGQFVKELGKLKDLRVLCTQFEGAPATDIIESLGSLRKIQKMHAFDRWAAVGQCVPWEQGFVTPQCLQFLCLRCLTFTSLPPWINPSLLPNLSNLSLSLQVLRQADMEILGRLRMLRRLDLFAMGNAIIRIGIHDTLLFRKLRFCTMATTSIRFVPPPAASAAVTTPVSVMPCLELLHFSLDVHFFKDSNLAFDIGLEHLPSSLRTVKVFVLCHLAKEKDVKEAEAALLHSINIHPSRPALQLQRLAEDKMLPSHLKDDQ >KN540220.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540220.1:54876:58762:1 gene:KN540220.1_FG002 transcript:KN540220.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALCDQLLLVDSDGGEFIPHHADADADDLFAILETWEDCGNVVGGSAPATTTTLGSPIAAAACISGVVVATTPKTAHIAVERNRRKQMNENLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLHSLEAKKQRKVYTDQVEFAGANLVLKTVSQRSPGQAVKIIAALEGRSLEILHAKISTVDDTAVNSFTVKSNDLRVISGQSSNSKLKVARFLKMERYIFGQIGIECELSAEELVQVIQQTFT >KN540220.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540220.1:28542:29446:-1 gene:KN540220.1_FG003 transcript:KN540220.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVVEAADGRHHHHKAVAAADGGGAGAAALVWDCGSALYDSYELTSFRRQLDAAVLSCGGRNTGVEFWERFKILGGKFLISFMSVKKIFGTTVICQVSMRE >KN542990.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542990.1:1862:3522:-1 gene:KN542990.1_FG001 transcript:KN542990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLREHRSHPRTRKARAEGVDNRSSVPVALSIWKGVCKFYNGKSGSFAKLQDSVIPSPPEKSLPKPENPSPRKRNGLLRSLSSGASHRTKSYSLKMM >KN541103.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541103.1:10955:30878:1 gene:KN541103.1_FG001 transcript:KN541103.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLHIELGQHVNFITGQNGSGKSAILTALCIAFGSRAKSTQRAAALKDFIKTGCSYAAIIVDINNQGEDAFKPEVYGDLVRLERRITESSSSMFLKDQHGQEGCSDGEAGAPVKLMDVVFTGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFFKATLLQHVNDLLLAIRELLDNADSVVQELEKSIKPAMMELDELQQKIKNMEHIEEIAHEIDNLKKKLAWSWVYDVDRQIEEQTVKLLKLKERIPACQEKIDGHAAMIVKLKEELTDKERNARSLVEKSREVTMMKEKLEDDIAQAVALKIELEREHVRGTNVLKNMNNRVKQLQEQIHDFREQYIQCTQDESSKAENDKCEIQKEINSLHSNVTRLKEEERGLHETQMGIVKSIQNMETEIVENRKKITQFKAHIRDLQQRQSDKVSTFGGQRVRNLLKSIERQERRFNIPPLGPMGVHVGGSQITLSQHEINAVPLVKLHILLAIECALGRLLDAFIVSCHRDSVILRECAKEVNYHNLQIIIYDFAKPRLNIPDHLLPSTTHPTVLSVIQSENPTVLNVLVDQGSAERTVLVRDYEVGRSVAFDNRIQNLKDVYTSDGYKMFSRGPVQTILPPHRKGNAGRLCTSLGEKIAEMESEIADMERIISQRTRDMKKPNDKREDIELKIKNLKRKRVEEERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMQVEVDIEQKELLVQKTNLRLTKALQDENDRRACYKEFIDGVYREVGPSNVLEKEIERVKDKLQTAEQGKAYYEGIMETKVLPDIKIAEAEFEDLQKLQQESFKKASIICSESDVETLGGVAGSSPEQLSATINKLELRFHKESSRYTESIDDLRALHIKKKEKIEDKQQLYAGFRDKLNSCQKALDMRWKKFQRNAALLKRQLTWFSRCLKILLVTQLQTPEDFQDAVSRKISLDTLVDFAVTQGSQWIFITPHDISMVQPGDRIKKQQMAAPRG >AMDW01017453.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017453.1:40:255:1 gene:AMDW01017453.1_FG001 transcript:AMDW01017453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSHFGDTMVVDDLLTGVDSSMAVGRAQGSYTLACLRAPVFVVSITLVLTDGPYKGSTILIAGRDDISEE >KN538853.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538853.1:135990:140652:-1 gene:KN538853.1_FG001 transcript:KN538853.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGAADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVTLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTVSLVGQLKRKYDSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENNPIRTILEQTPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEVI >KN538853.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538853.1:195179:196936:-1 gene:KN538853.1_FG002 transcript:KN538853.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALLSLTLESSLRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVKLSLREYKPSEQVYKGILDCVKTIYRQNGLRGLYRGMAPSLYGIFPYSGLKFYFYETMKTYVPEEHRKDIIAKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSIAMIAKHQGWRQLFSGLSINYLKELYQLDTSVCAFIYVQCGEKVVPSVAIGFTVYDSMKVWLKVPSREDTAIAALTEERSNAAPAHSS >KN538853.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538853.1:121830:125116:1 gene:KN538853.1_FG003 transcript:KN538853.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTNNLLLLLLLLFFSGSSAELNDGNELQTLLTIKRDWGNPAAFSSWEVRSSISFGYCDWVGVACTNGQVTSLSFQSFQIANPIPASICSLKNLQYLDLSYNNLTGDFPTVLYGCSALEFLDLSNNELSGRLPGDIDKLSSGMLHLNLSSNAFVGDVPSAVGSFSKLKSLVLDTNRFNGNYPGAAIGGLVELETLTLASNPFEPGPVPKEFGNLTKLKMLWLSWMNMTGTIPNDLSSLTELTLLDLSQNKMQGQIPEWVLKHQKLENLYLYASNLSGEIGPNITALNLQELDLSMNKLSGSIPEDIANLKKLRLLYLYYNNLTGPIPAGVGMMPNLTDIRVFNNKLSGPLPTELGKHSELGNFEVSNNNLSGELPDTLCFNKKLYDIVVFNNSFSGVFPANLGDCETINNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNNFTGTLPSEISFNISRIEMENNCFSGALPSAAVGLKSFTAENNQFFGELPADMSRLANLTELNLAGNQLSGWIPPSIKSLTSLTSLNLSRNQISGEIPAAVGWMGLYILDLSSNQLSGEVPAALQTLAYEDSFLDNPSLCCQSEFDMHIRICPWSQGMSHDHLALSIIAILVILPCITLASVAITGWLLFLRRKKGPQDVTSWKMTQFRTIDFTEHDIVSNISECNVIGKGGSGKVYRIHLGGEVKAGRHGGGCTPTTVAVKRIGNAGKLDTNLDKEFESEVRTLGDLRHSNIVNLLCCISSQETKLLVYEHMENGSLDQWLHRYKRAGKSGPLDWPTRVAIAIDVARGLSYMHEDFYVYRSKVSVKVDVYSFGVVLLELATGRGPQDGGTESGSCLAKWASKRYNNGGPVADLVDGEIQDPSYLGDMVAVFELGVVCTGEEPASRPPMSDVLHRLLQFDRSGTHSDDLVVKGVFDIDDSLDCIV >KN538853.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538853.1:143312:146085:1 gene:KN538853.1_FG004 transcript:KN538853.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSFQARSPKEESDAAVHEAVTLGLKNAAISGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKAILRNARQNTIGKIDSYAVPPVHHFVFFLVTSGDPVKLLSVWRVRSALVIKIYKELKLGQG >KN538853.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538853.1:154700:157044:-1 gene:KN538853.1_FG005 transcript:KN538853.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVPSSYSKSYLSLPHPRISSTKQVSFGIAAGSVFRQPCLKLSIFSGGKTLSKGTNAAISDTPLLGTIDVLDAFEDDYGGIVVNPTSLPNTSNAFSSSLQSSLCYWNKQMGNLNFICFTFFLGGLCLSSCRARVLVVKEGKCPSHCSDIWKIPTGFIDRFEDLFSGAIREVREETGNSTFQIESCFLDVVAFRHAHQVLFDKSDILFICTLKPLSSDISIDESEIEAARWMPVEEFVSQPFHQEDEMSRAITDICISAHHKCYAGLAAHQVMSMLDNRVAYLYTGDTREATGMCP >KN538853.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538853.1:119067:119503:1 gene:KN538853.1_FG006 transcript:KN538853.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHVDSRLLFLLLLIIGCAAVPAVQCELNDPIEKDLLA >KN538853.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538853.1:212956:215536:1 gene:KN538853.1_FG007 transcript:KN538853.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/Swiss-Prot;Acc:Q9ZS51] MAAAGGVKGGVGGRGGEGEEGSLARRAWRQYLRQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYQRIEKRRLLLKMLFGFAYGGPFGHFLHKVLDYIFKGKKDTKTIAKKVLLEQITSSPWNNLLFLFYYGYVVERRPFKEVKTRVKKQYPSVQLSAWMFWPIVGWINHMYMPLQFRVIFHSFVACCWGIFLNLRARAMSLKQS >KN538853.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538853.1:147918:154009:-1 gene:KN538853.1_FG008 transcript:KN538853.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHHLLLSRALASHPLHHHLLPSPPPSSSLRPPLLPSPPRPPSPPSPLPGGRGVPHSSLAAASRHYASSTPGRRRRAPPMLLRRRRARRPPREGPAELNVQIGIEEDLPDDPTILSIAEALQMDVAKATKVAFDHLESSEYKTRENCISDVNKYDTVEVSILLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISIDTAQRQAEERGHTLHDEIRILMVHGLLHLLGFDHERSKVAEEEMESGEEHILNSLDWKGKGLIKSAYDSIHDTDSSQSYVVPLISPFHAEASRDLDEINLREVHQPKLSHIICDIDGIVDNEGHPHEESIESLREAVSRGINVITVTGKSRASTIRTFKFLDMEGKDHFISESSPGVFLQGSLVYGRHGQEIYRANLGVDICKEAFLYSLKYSIPLVAYCEEQCLTLFEHPLVDLLHTMHYETKVKVVPSVEDLLGYSSFQKLLFLDNVDGDSSVLRQHWSELTQGRARVIKAHSNTIEIVPLNASKGGDLIYGGVLEIKSDEPIRDVKKTNIKKVQFATSENLCGLALLYRRLVLLGLGSRQHGAIFSNYEYFCRGGAKHLIGSWAISEDKSQSGPSESSCNVLEDADDDDDDGSR >KN538853.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538853.1:131991:132678:1 gene:KN538853.1_FG009 transcript:KN538853.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIDARLLFLLLLVIGCAALPAVQCRSNGGMLREKKINIPAGPLCAEHSLLPPIGCKDCWCCEVADGCYPTLEECQANCPLPSPP >KN538853.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538853.1:109490:109951:1 gene:KN538853.1_FG010 transcript:KN538853.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding VSNNNLSGELPDTLCFNRKLYDLVVFNNSFSGVFPVNLGNCDTINKIMASNNHFVGDFPKKIWSFAMLTIVIIGGNNFIGTLPSKISSNISWIDIENNRFSGAIPTSATGLKRFLAKNNLFSGTLPSDMSKLANLTRLDLSGNQLSGSISTFC >KN538853.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538853.1:161142:164506:-1 gene:KN538853.1_FG011 transcript:KN538853.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGVAGNISTINLLVGMGGGGVEVERCLELASKWGLRLSGYTYKCIVQAHLRSREVSKGLQVYDEMRRKGYKLDIFAYNMLLDALAKSGMKIMFDNREWVFHMYMLVDQAFQVCEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMVSKGCVLNLIAFNTIIEALGKNKMVDKVIFVLSKMVENDCQPNQFTYSITLDILATEGQLHRLNEVLDICSRFMNRSIYSYLVKSLCKSGHASEAHNVFCRMWNSHEKGDRDAFVSMLEVLCNAEKTLEAIDLLHMMPEKGIDTDVGMYNMVFSALGKLKQVSFISNLFDKMKANGIIPDVFTYNIMISSYGRVGLVDKASELFEVMEASSCKPDVVTYNSLINCLGKHGDLDEAQMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYETMKQQGLIPDSITYSILERLESRSQRTVHHVTREYSGLILKTFPKVIIRNLTYKVTT >KN538853.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538853.1:134188:134543:1 gene:KN538853.1_FG012 transcript:KN538853.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCANGHVYAVLVLLLLGCFAIHAQCHAMDEMFREKINLPPELPCTKALSTDNCNGCWCCILNYYCYPTLEVCKGTCGKMIS >KN545305.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545305.1:532:752:1 gene:KN545305.1_FG001 transcript:KN545305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQDYVKSH >KN541666.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541666.1:1370:2355:-1 gene:KN541666.1_FG001 transcript:KN541666.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGDSAPWIDCFRDHYGWSASRDLGDLLRLDTIIWKDIVAGLTHFRSVSKSIIGDDVEHLFLRCAGEATIWHTFGLDEQQIASLPQLEGVWDIPPPGQPATPRVWRSILLAVMWNIWKRHNNKVFNSLDEPATLVLRRCASDIDLWSYRCKNVESKQQLRRWASYLFVINS >KN541666.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541666.1:23125:23358:1 gene:KN541666.1_FG002 transcript:KN541666.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVRSAASSGGEEERKTSWPEVVGLPVEKAKKVILKDMPDADIVVVPVGSPVTSDFRPNRVRIFVDTVASTPTIG >KN541666.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541666.1:10750:10956:1 gene:KN541666.1_FG003 transcript:KN541666.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEEGKTSWPEVVGLRAEEAKKVILKDMPDADIVVVPVGTPVTMDFRPNRVRIFVDTVAGTPTIG >KN538991.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538991.1:62646:63248:1 gene:KN538991.1_FG001 transcript:KN538991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADESSVNKESSEQQQQQLTSEQDDDGATWLSLTLATQGSPGEATADAEEAEAEAANCSESEAPKPSSAAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMMMGLPLEAHAAFVHSLRVNQSSVIQKASQQAQIRTAPRFHEGSISWPPIAYEEVPNSTWPGSFRLRSQPSDQPSEQSKIDLNLRL >KN538991.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538991.1:125876:128609:-1 gene:KN538991.1_FG002 transcript:KN538991.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWLARPAERCLGRGGGGGGGGGGDGLLWHAELKPHASGEYSIAVAQANEELEDQGQVVTSPAATFVGVYDGHGFASEQGGMSTDAIKRAFHATEEEFLHMVKRSWLKQPQIASVGSCCLVGAITDNVLYVANLGDSRAVLGRRGPDGREVVAERLSNDHNVAEEEVRKELTEQHPDDSRIVIYTRGVWRIKGIIQVSRSIGDVYLKKPEFARDPIFRQYVCSIPLKRPVMTAEPSIKEYQLRQQDLFLIFASDGLWEHLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMRYSDIKHIERGSRRNFHDDITVVVVYLDHHKHGVRPNLGNRNSFRFTNAPVDIFSGSSEEVDHHPLRLNLAMDGAVG >KN538991.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538991.1:20433:25823:-1 gene:KN538991.1_FG003 transcript:KN538991.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNFMGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAVYIITGITCYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPVTNGVSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDAENICTKLANLKSVRRTSGDPPGKFLGIFGRNDLVGKYQKRLEDLEENVRMEQSDTTRSRQEVPAAFVSFRSRYGAANAIYIRQSDKPTEWQTEHAPDPHDVYWPFFSTSFMDRWISKFVVSVASILLILVFLLVSAFVQGLTYMEQLETWLPFLKNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFLDPKEIPKRLAVVVPAQASFFITYVVTSWTSIASELTQTAALLFHLWGSCAKCCKRDESKPPSMHYHSEIPRVLLFGLLGLTYFIVSPLILPFVLVYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHGGTIFSLVLMHVIAIGVFGLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEESKPEMAEFFSNLVNAYCDPAMKPIQHSSNSDDRTTPLLS >KN538991.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538991.1:64711:71296:-1 gene:KN538991.1_FG004 transcript:KN538991.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERSALWNLIKDIEPLDLSIIQKDVPSETVDAMKRTISGMLGLLPSDQFHVVIESLWNPFFKLLASSIMTGYTLFNAQYRLSLERTLEFSEEETECKKRDSSEEIQSVGRPSMFLSLPEDVGLTIESEMADEKLCGNMDGLGSLSIEAKKLILGMQSRLDSMEKELHELKKKNSSQQMQQFAGEEKNELLYYLRSLSPEKVVELSESSCPGVEEAVYSVVHGLLATLSPKMHTNRPPTSENMAGGAVNFGTEEDDEFAELVEDVSLPFQPLISIPRDRLARLLFCLCCAMRTNPGVSVQYFLTDVLVEARRQSAADYIEAVQVDVTANMRAILVDWLVEVADEYKLVADTLYLAVSYLDRYLSAHPLRRNRLQLLGVAAMLIAAKYEEISPPHVEDFCYITDNTYTRQEVVKMESDILKLLEFEMGNPTIKTFLRRFTRSCQEDKKRSSLLLEFMGSYLAELSLLDYGCLRFLPSVVAASVVFVAKLNIDPYTNPWSKKMQKLTGYKVSELKDCISAIHDLQLRKKCSTLTAIRDKYKQHKFKCVSTLLPPVDIPASYLQDLTE >KN538991.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538991.1:93648:96103:1 gene:KN538991.1_FG005 transcript:KN538991.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCQGEDYSNPKNAICRQALDRFNELLGESSGGHILYNYCIYDSDIDGSTQEKPKIPPFPPRECIQQTYGNYLIYFWANNNITREALGIKMGSVDEWLRCHNGDLPYSMDIESNIKFHHNVTTKGYRALVYSGDHDAMIPFLGTQAWVRSLNFSVVDDWRAWHVDGQSAGFTITYMNNMTFATVKGGSHTVPEFEPERSLAMFKRWISNEPL >KN538991.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538991.1:7773:12815:1 gene:KN538991.1_FG006 transcript:KN538991.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-Man:Man(3)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/Swiss-Prot;Acc:Q9XEE9] MAFLAGLAALLAALLAAAFRRIRRPRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNDSRIAGSIWLSRCKILYYSIFSWLYGLVGSCAHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHGLQLEAFAIALKKLSPEFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYRRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNDSRIAGSIWLSRCKILYYSIFSWLYGLVGSCAHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHGLQLEAFAIALKKLSPEFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYRDLVQLLGGAVAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGQHTGFLASEKEEYAEAIVKVLRMPEAERHEMATAARKRAQRFSEHRFHEDFTDAVRPILSAT >KN542926.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542926.1:3589:5568:1 gene:KN542926.1_FG001 transcript:KN542926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAFVFVSLTLLCNASSQGEGAAAAAACRAADLVVRQRATGRVVEGKPESKCDKAINHISTLHKQIRSRSRVAISLIAVIHIGSGLLVPMKARLVVLAAAVAAAAALLVSLDPRSDDVPVLEIWERDVELITVDAGGAVGPESVAFDGDGDGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPHL >KN538991.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538991.1:130430:132486:-1 gene:KN538991.1_FG007 transcript:KN538991.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRDLPRKETPGEFQSGTWISNQLGQEHVPGSKRDTSKGVASAGNVTGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHAGVAPGVFTTSDSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIEHCSEPAPTQEVESCRVTDDDELAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >KN538991.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538991.1:155987:161913:-1 gene:KN538991.1_FG008 transcript:KN538991.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSEYITGGGAAPAAMIDVTTCMLLMRLRTAVTDTIDQQQLTQLAMQQQQLLPSKPLSKMMRIQEEEEEEKKKKKKKKKKKKKIGGMGTHDVRSVGKRGIMQACIFCMLVCIAEKVEHAYIAACHSVLASMCSHKNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVCSVW >KN538991.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538991.1:79601:82360:1 gene:KN538991.1_FG009 transcript:KN538991.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAMVGADIQEVIGSAIAIKILSRGFLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFTDTKPNMKDLFIALVQSRKIDPNKEHQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGTKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWIRSLITRSFAIMPTIIVALFFDKSDSLDVLNEWLNVLQSIQIPFALIPLITLVSKEKVMGVFKIGRNTQILHVFLSAHDCTLRCKMPLPLQNLEKKPSPHLGFALNMIDTKSAMLSDISQIPTCICLGSFRICIEHFISASRHAYELFD >KN538991.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538991.1:36393:36959:1 gene:KN538991.1_FG010 transcript:KN538991.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNNPDVLKKATAEIDSVVGMSRLLQESDLADLPYLRCIITETLRLYPLAPHLVPHEASRDCVVAGHVIARGTMVLVDVYSMQRDPRVWEDPNKFIPERFKSFKADGSGWMMPFGMGRRKCPGEGLALRTIGMALGVMIQCFQWERVGKEKVDMSEGSGLTMPMAVPLTAMCLPRVEMESVLKSL >KN542926.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542926.1:9771:10493:1 gene:KN542926.1_FG002 transcript:KN542926.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSYGLAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRYHF >KN538991.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538991.1:2144:2269:-1 gene:KN538991.1_FG011 transcript:KN538991.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASAGRQSVIGNYQQQNMRVLYDLANGDFSFQPADCSAL >KN538991.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538991.1:115674:117448:1 gene:KN538991.1_FG012 transcript:KN538991.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPVHVAAGEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNSYAMGKVRMVATEFETGGRVVRNRMAARAAESGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMVAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSIDCFIPPTDIKSGSISETVELPHGEKSKGSKKEPREDDLELCKFQMSSVNRKLKEFTWSSISLRRPIVKNPYLSYSDIW >KN542926.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542926.1:6987:8654:1 gene:KN542926.1_FG003 transcript:KN542926.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGEGPYTSVSDGRVLKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLSFPNGLAMSNDGSYLLLAETTTGKILRYWIRTLKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >KN538927.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538927.1:58602:62296:1 gene:KN538927.1_FG001 transcript:KN538927.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRPVVQGSLISLLLLPTASAQSWTCMDLYVFATPYRIGWDYYITAQEHTFEIKAWEEPGEMEYVKQHGIAIFLMPSGMLGTLLSLIDVIPLFSNTIWGQDANLAFLQKHMGASFEKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAIVPWDEWWGMALKDDSNPQVAFLPLHPDVRARFNETAAWEFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAVMSMWTRLQPLYASNMWNEALNKRLGTEKLDLHGIITETGKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILSMYKKAGVFAPFTESIQIRDAYMLKIFEDNRTRLPSWCNSGADRLPFCQILGEYKMELPEYNTIEPYANMNENCPSSPPTYTRPLGC >KN538991.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538991.1:144491:152519:-1 gene:KN538991.1_FG013 transcript:KN538991.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAGEWTDGFFSVVGDRIEVNISYLKKDFSEVSELNDAKYIRLPLRISPCAAAAARVLVAGELLHLVSKMQPPAAKKVAARVDTMEIKSQIAKKLGAERSEHYFHSLKKFLGGQLGKEEFDKICVATMGRENIKYHNFLIRSILSNAYSATAPPPPPPRTSRQATTGNSQTSTVSVSNGAVANHGVMAGVMRGPALATREARFERPSPLGKSPLGHQGTGEFVSAGSKAPLEVVSVEDGEEVNQAGGSPVYAQSRSPIRAPLGVSFGDPKAQNSRPSIPHPSLICYKNGELPEAQRLLKLLENKLQAEGLSLTQECADVLNSGLNAYLSRLLKSCMGVAKSRGKRVMMSYPNVTTVAVINGVQYQRSTGSADYSYQASLLDLETAVVCNPQLLGGNSSRVNATVYLYLYGEFGLVAWILLVNVTVYLYLASFLFPVYCVDPSEFGLDKQELLKFFADKVGTDCRDSETSEELEDYII >KN538991.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538991.1:39350:42209:1 gene:KN538991.1_FG014 transcript:KN538991.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLEVATTAVTGGDATLVVVVGVLFLVVAVVAMTRWGDGETDPDHYTDNVVKGIVLVLLTAGTDTSALTTEWAMAQLVTHPETMKKVRAEIDANVGAARLVEEADMASLPYLQCIKAKIYGLFIYSHIGQEENEGM >KN538927.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538927.1:115001:116727:1 gene:KN538927.1_FG002 transcript:KN538927.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPVPTDPRRDAIDLHRAFKGFGCDATAAVKSETSGNFGFGLLTILRCAESPAKYFAKVLHEAMKGLGTNDTTLIRVVTTRAEVDMQYIKAEYHRSYKRSLADAVHSETSGNYRTFLLSLVGRDR >KN538927.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538927.1:37124:42273:1 gene:KN538927.1_FG003 transcript:KN538927.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADCVSGCCFVVIRLKLVLIDGLKRRGCATTSVYGSRPWIIERKSELARLETLDCGKPLDEAAWDMDDVAGCFEYFADLAESLDKRQNAPVSLPMENFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPEAGAPLSSHPGVDKVAFTGSYETGKKIMASAAPMVKPVSLELGGKSPIVVFDDVDVEKAVEWTLFGCFWTNGQICSATSRLILHKKIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKQFVSTAKSQGATILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEEEAIELANDTHYGLAGAVLSGDRERCQRLTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGWVAHNNLTLKTPFRSSDDLDLTSCLWYFHSLFRGIDNYLSVKQVTEYASDEPWGWYKSPSKL >KN538927.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538927.1:64865:75011:1 gene:KN538927.1_FG004 transcript:KN538927.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASALLADDDPSEQPAQRRGGSSFLTVVALGNIPTGENGATRAPICVDLQRDASLSSKSIMLQIDSKSQQVSASSLRHSLQDRLTKAGSFGKGRSEEINVKLCTSTAPPLKLIDLPGIDQRSMDESMIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGSRTIGVVSKVDQVAEDQRALLAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSESSPETIWKVEVESLKSILLGSPQSKLGREALVDSLAKQIRTRIKVRLPNLLNGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGGGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRFLIKGLLELAKEPSILLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIASAALDGFKDEAKKMVIALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKVSDAEPSKATSPQTQTKEGSNLQVLGPAGEITAGFLFKKRAKANDWSNRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNLEEVLDEEDPTRSLKDPKKPNVPDIGTPGLIFKITHKVAYKNVLKAHKAIILKAESMAEKTEWVTKIRSIVDQKGASATSGLPMRQSHSDGSLMSTYKKDGSLDTMLRKPVDPEEELKWISQEVRGYVEAVLSSLAANVPKLSMSASCINIIDPVEQAVVLCQVEKAKEDMLNQLYTSISMRSVERIEELIQEDHSVKHRREKIKKQSSLLSKVTRLLRIHDNRSATSNWSNDSAGSDSNPGSSGQSGDEWKSAFDPSQDVDPSAAGTNSGSRRIPSRMPPPPPQGG >KN538927.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538927.1:88443:90125:-1 gene:KN538927.1_FG005 transcript:KN538927.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTMCAAVAVLLVLTSTMAAAAGDGDGDGGGFDYKKALHSGLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWAAAEFWDEIAAAGERRHVLEAIKWGTDYLGYDRWFRRGGANPNVVAGAIVGGPDHRDRFRDSRDNYMQTEACTYNTAPMVGVFAHLHAQKMARRTANNNADRSMINKRVD >KN538927.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538927.1:18794:20979:1 gene:KN538927.1_FG006 transcript:KN538927.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELHMVHLNAKNQTAVVGVLYAIGAQDEFLHKTKKIRRRLPMVGWIQAWPKSKDIVYYRYMGSLTTPPCTEGVIWTVVRKVHTVSLSQLALLKAAVVNGNENNARPLQDVNNRSIGLFLPLPLINV >KN538927.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538927.1:122570:125402:-1 gene:KN538927.1_FG007 transcript:KN538927.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding METPHLENKFYASDLANNLQLDKDDDDDVLVDGSDNALADQVDWDWEATYFVQHRHKNNATDFPDIPPVARESLDAYIAAAVSLAELLAGCINTNLGLGDTDRVRDAFTPPFVGTQGGARRRGTRTRSAELYCLADEKPYTVTLPDPPIAERSIVGSSHGWLVTADARSELHLLNPATREQIELPPIATLEQVRPILDDDGDLRGYEVSFYDGDLREYRAPGIYRPDELRDLLNIKAVLSCDPSSSSSSRRGGGGEGEEDGCGGCIVLLIYHVYQQLSFARVGDDEQWHWITTSSYYRSPYSDIAYRDGAFYAMNLLGGIHRYDIHRSRATRTVVLADTLGYTLHHAYMAWTPSSGDVDFDSQDVVPIDSLGDEALFIGHNGTLCLSTKDYPALLPNHVYFTDDDEYMYDSRGARRDVGVLSLGSDSSDEIVSPQRWFNWPAPVWIMPSLILKDEEIGLGFSL >KN538927.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538927.1:171196:171411:1 gene:KN538927.1_FG008 transcript:KN538927.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLAYEGRERGRQRRGTGNEVGLAGFDGTVDGFWRRWELIGKRSDNGVTEMGIDWEEKWQRCQELGRRG >KN538927.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538927.1:176631:189296:1 gene:KN538927.1_FG009 transcript:KN538927.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAAGEGPQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIITFARFAVLLHPLLTRIPLLFVLLLGSSRRIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDIESTWRCGALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLCTFFTDYLSDFNAGKKIQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALLSNATSWSYLRKGTSVHAGPTSSSSNGTASVERPLQREKLYKGKDGFVAAGSTTSEVRGAVAWVPILWFQQAEDRMHLCVYQHKNITILLLIPASSLINGDDGIAHVKRHLLENASQNIVTLELKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRAKRSGSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKAGETLLYASTAIEKFSNRFWLRTLKVEMESALEDKGKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTVEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVIAQSDDNGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTASFPSRFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSRSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLNSTPPLKKLRKSAEASSNSSAVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNARCLPVFDGIVVCSEFKNTILEAYAEQEERRQAEERKQDEAQALIRWYQLLCSVVTTQRLKDSYKAPLSERGPEGPSQDVSQQKGTRESRSSETKTRSSRLQADRPFDSPFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >KN538927.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538927.1:83872:86411:1 gene:KN538927.1_FG010 transcript:KN538927.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGFGFGFVGPGFGEGSPEKKAARNLQHFFNYIAVRVVLTQLESYNREAYGELMDFVNRNSLNDADTFCKKLIRESPRHKQLAMRILEVRSAYVKHDFEWDNLKRLSFKMVDEANTKLMRDYVLETSHIEDDN >KN538927.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538927.1:46026:48749:1 gene:KN538927.1_FG011 transcript:KN538927.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVPSPQKKLIEVLQREQDILWRILWENIDKVKELTDSTSATLRGPEIESMPKTAKIWLHQVREINRDIEDILEKSPSKTCSSKGSNILSCITQPINFVARQRIYKQVQSLSARIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIGYPKAKVTQLLLDEEKQLRVISIIGSAGVGKTTLARSVYNDKKVQGRFRCHAWITIGAPISMADLLKSIMVQIFVEKLEEIPARLDFMDEIQIAEVIGRYLADKSFLVVLDDIWNSDTWDYLKLALPNNGQGSRIIVSTRAQEIGRDCRLASDIQIFEKRPLNEDDAWLLFCNKAFPAIQARCPAELEETGRKIVRECHGVPLLVVTIGGLMSMKEQTVQVWKNVLDNLHKKYLPEFTLPSILWFAYSDLPHHLKCCLLYFIMFPRKYSIKRMTLIRLWMAEGFIKNDQESTLEDTAGRYLTELIDRGMVQVADFYDYGRVKSCSVHDMLREIIILKSTEDNFGIPVTRGVNKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTTSLHAFLVGFRLLRILDLEGAPVESLPDELPDLFYLRYLSLRNTRIDKLPKSLKKMMNLQTLDLKGTYVSQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVTLPRGIGNLKELQKLTYVEANQGNGTIEELGSLTQLRRLGIVKLRERDCMHLCSSVAKMTELLSLSASSLDDEILDLGSLNPAPQCLRRLYLRGPLPGIPSWLHCLKNLVRIRLRWSRLNEDSLKELQSLPLVELALIQAYDGTKLEFTQGFARLEILELDHLTNLEHINLEKSMPGLQKISIRSCDKLLTIPHGIEGLENLKELYLFAMPKNFVESLMTGGVKHRRVEHIPVIRHFNEHRDISLTNL >KN538927.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538927.1:6825:8925:1 gene:KN538927.1_FG012 transcript:KN538927.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSARRLLLLAGAAAAIALLLSATAPVAGAEDDGYSYIPGSPRGPQNWGSLKPEWATCSSGKMQSPINLGLLDLTLAPGLGNLNYTYQNANASVVNRGHDIMVRFDGDAGSLTINGTAYQLRQMHWHTPSEHTIDGRRYDMELHMVHLNAQNQAAVIGILYTIGTRDEFLQKLEPYIIEVSKQEGKERVIIGGADPNVAKGQDTVYYRYMGSFTTPPCTEGVIWTVVRKVRTVSLSQITLLKAAVLTGNENNARPLQGVNNREIDLFLPLPLINN >KN538927.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538927.1:104788:106636:-1 gene:KN538927.1_FG013 transcript:KN538927.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKHPSSKLSEILRPGKLLHRRRRLVSEFADVGREDALHESDTVKYRVFAGTWNVAGVAPPDDLDLGDWLDAKADSYDIYVLGLQEIVPLNARNVLGPTRSSAAMKWNSLIGDALNRFTTGRRRRDDDDEGAQRHGQQQQPFSRVVLLGDLNYRIAMDDAEKRLLVRAGKWSMLLENDELLLELAEGGSFDGWREGLVTFSPTYKYHLNSDMFYWCDRILWRGKGMRQARYERCGGYRISDHRPVRAVFDAVCELAGGGVGVEHSAAGIASFGHLKV >KN538927.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538927.1:160807:164009:1 gene:KN538927.1_FG014 transcript:KN538927.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSTTPDLSLHISLASGATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAAASGPMDGSGSGSGDDELLASDGRQATSSGADADRRMSFTEHRSSSEGAASHAGGGGDGDCSSSAVNSDTIRARSNSPRGLWALTSDLILADILEMCNQGPLPVLLCPLLSLPLLPVSTDTAADILRKTLSILKTDFCSLIKLFQI >KN538927.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538927.1:51948:54689:1 gene:KN538927.1_FG015 transcript:KN538927.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLGRGAAETVVRPAFDKVQYWIELLQGKHTSTEEMVNELDILEGLIKDTDSSSAIHTLKAARGQAEDLCASIRDVIDDAKRFARYNHQHVPLRCIRKYTHNLVGKPSICETAERIVKLRSQVRRLQEILTPFVGQGMVPTSAQAGRLQHRHVAHAEGHWEGMEEPKTSLFQYVLGRESHRQMVALVGMPGVGKTSLARYVYEDNKVKGHFNCHAWMTVEESCATKQLLLGMISRLYEEANVRLPDAINIMDEDELSGMIQRFLKQEERRYVIVFDDISRRGQLKLLSDLALPDKNHPNYGRVIVTSRNREVIESCDHTITITQLTSPDDWNLFCYKAFGSSSFSPGEEICQHRERISNLCAGLPLAIDVLSALLAKKDHSQWSSIISELETHGDLGAATEILETSINELPKNMGHKNCLLYFSMFPKSSTVSHNTLVRLWIAEGFIKRQPRQTRQAVAEKYLSDLVDLHVLMVEDSYKYGRPKNYKVHDLMHQVIQKKAENEDFCTSCSDGNQQAPERVRRMSIQIEEDDFRQNVSLSKLQTLFISNKISHVPKLLSSTTALKVLSMQGSLIEEFPKEIGNLTHLRYLNLRDTKISNLPMSLGNLTNLETLNLKGTFVSELPKSILKIQSLRHLLAYRYDAPKKPERQPEAIFGVRVPKGIGQLKQMRTFSVVVADKESKIVKELINLKKLRRLGVLNLRREDGSDLCESIAKMDQLSSISITAMDDEYLDIHNLSVVPPQLQRLYLRGQLQVVPQWFTSLHRLVRLLLSGSSLNEDSINILQSLPQLAELSLIRALNVDRIECQIGGFRNLKILDLDQLNGLVNVTLHGSMVNLRKMIIRNCRSLEMVPLGTEQLIQLEELHFFDMPNNFLERLRNGNEDHARVQHIRNILYYSKGFPHRSIEATMR >AMDW01027508.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027508.1:151:294:-1 gene:AMDW01027508.1_FG001 transcript:AMDW01027508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRA >AMDW01038028.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038028.1:149:766:1 gene:AMDW01038028.1_FG001 transcript:AMDW01038028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLVLMAPIIDGPPDPSFSVRLVGVEGLDVDADARLSGPRSSSPAFDLAVDVGGVSPRYRACGGGRDDTALRVSYRGIVLAQAPVPSFCIDGKLLEGGGGAEGVVVFRA >KN539559.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539559.1:53511:56973:1 gene:KN539559.1_FG001 transcript:KN539559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) UniProtKB/Swiss-Prot;Acc:Q9SLN5] MEKGGAESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQDCFKEAWSSHKSVHIKLDALTLQQSPEGWQYCLKKGRTRTSQLPRFDWTGPLRPYPISKMRVVPDKIEKPDWALDGTPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARIIKPGITTDEIDRVVHEETVARGLKEYDMLTLLSLVSRKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKP >KN539559.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539559.1:94984:95415:1 gene:KN539559.1_FG002 transcript:KN539559.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTTVSPIPSQVGDGMDLS >KN539559.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539559.1:27506:28273:1 gene:KN539559.1_FG003 transcript:KN539559.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGVFDSVLRDALRVAHRFTRSLADLLRCAGWDLAAAAAAVYPGVAYSRPGHCRYALLSRVCLSMFDGFDSYQFGGSTDANTLEGIDLAIRRNESLQQFIEHSDADPMELINSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGKLPVLGAAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQIGQGTEYSVVYMENIVRSKGFSGSKDLGKMMRPKVGFTVVPGFRLGGTVIQCRVYLDCGKREGIIGE >KN539559.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539559.1:57585:65085:-1 gene:KN539559.1_FG004 transcript:KN539559.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor D [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/Swiss-Prot;Acc:Q8L5R3] MELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRRVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQADTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKSCLYSDKTVEANPVTILALQALHYDVRRGPHSIGSHERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMAGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGITTKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNQELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVLEHYQKCDRVVTPTLKTVETLLSKKVFLREGHSEFYSGLIKSLGPELKGSKDFTKLSAGLSILGYISSQSDGSGSKAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >KN539559.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539559.1:73433:73570:-1 gene:KN539559.1_FG005 transcript:KN539559.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEGFKGEAAGGGGAREAVRGHIMGLLHLTANALAILNAMAKQI >KN539559.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539559.1:16467:20658:1 gene:KN539559.1_FG006 transcript:KN539559.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETINVDPGKTYRIRVHNVGTSTSLNFRIQGHNMVLVETEGSYTTQQNYTNLDVHVGQSYSFLVSTDQNASSDFYVVASARMVNDTVWNLSAGAARPNPQGSFRYSSINVTQAYLLRSTAPVTINGRRRAALNGLSFTPPETPLRLADAYGVRGVYSLDFPERPLRGAPRMGRSIINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTVQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPDNALFCGQLHKINSGYSNSFW >KN539559.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539559.1:66140:69532:1 gene:KN539559.1_FG007 transcript:KN539559.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPASGRPSGTDGSDFSYRMVVDSRYQRVADGKSRLGRLILVQALHQVAGGALLLLALSKGAEMNKFAVMSVAAGLLAIVLGEIGRRRTMAVLLRMYTSLSSIAVAFSVTCIIRSELFFKITKQNTESITSHELLEVVRVALDCNLQETCNEAAIGSQIHDNHDSILLLPFVELDWKLESIDYIAVSSCCFAQAVTAVQSSIWTRAWF >KN539559.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539559.1:30345:34106:-1 gene:KN539559.1_FG008 transcript:KN539559.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSTSHSCFLVYMIKREGERYDGAFKFIEAHEKSCFLVYMIKREGERYDGAFKFIEAHEKRHSFRGTFGHCNGTEVHSIQAVAEDDWQTTPKYARLEHQVGSTGVKPTQGENQKDAAKETEMILSHRQKVT >KN539559.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539559.1:5046:13160:-1 gene:KN539559.1_FG009 transcript:KN539559.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MESTPEPEDGRELYALLHLSPDASGEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKPEEIKEQLERLKRRKEEEKFLAHARPTGSIIANFSVPQYLDGYGIMRGMGMSSEVQLPVSKKNTVVVGGNLVVNGTDGTGAASAVLRHQLSSAASVEFMATAGLRSLISVQTFRQISPHSTATSGLALSLRDGSINLSNAWTRQLSDNIVGNIQLALGTDSSISVGWQKKDEKNSAAGDVKLGTNYFGASAHYTRYFSTKSHGRIAGRVGSTALDFEIGGGRRISEFSTVRMIYNIGIQGVSWRFELHRAGQKLVIPVLLSTDFNALLATSVFAIPSTLYFLLQTYFVKPYYLKREKQKELEKMGSLSSQLTEARRAAKKAQKLLEPVSNRKKNRQLEDDGLVITKALYGNRKKVKESSESNELNDDVASQVLDVTIPLNFLVSEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGQKYKVMVDDYAALLIPQDIHQI >KN539559.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539559.1:79934:88346:1 gene:KN539559.1_FG010 transcript:KN539559.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRERRRRRRSVAGARRACIAAVCFFSLGEVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQILDADINKSLVKSIQDSPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSVLFHEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIVLNVLGEYTIRVSCITLSVNFACSAAGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRARSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYRAHYIVHTFSNVLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEAQYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQLRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >KN540470.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540470.1:40353:43601:-1 gene:KN540470.1_FG001 transcript:KN540470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSAASAAGFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRLNYTARLSFSFRLLASLVAPCFAAEAAYKVWWYATSGDRVPFFGNDVLSNVVACSVEMAAWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASLLLTTRHDSVDDLLNTSELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVPDEEAEPGSNHSSMLEVEPVSDSDGESSEETGDEDLLENTKIMLPQAHVISFQKRQALVTYLENNRAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTIGFS >KN539559.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539559.1:38642:40704:-1 gene:KN539559.1_FG011 transcript:KN539559.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVAGERAEQQPVQDGDAPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMSDHQGLKKTSLLPQVTNQ >KN540470.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540470.1:2849:4364:1 gene:KN540470.1_FG002 transcript:KN540470.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSTKAQAGILPMLYESPTVITPEKRNPQGDVGQNAECPRYSKSSIPSNELMMATYSEKGQENKLDRGVDETRTYEEIKSKIFAGRKMAFGVAVMENHPKDARSKPSSGAKHSVGKCGHGGRKDLSMNCYFRSRKFPPGVNFDGHIPFTADYRTPRHHPPKNN >KN540470.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540470.1:21964:27271:-1 gene:KN540470.1_FG003 transcript:KN540470.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAALGNLYSSWNSPAQLTGWSAGGGDPCGAAWMGVSCVGSAVTSISEFGRLDPAIQANNGALLVFSVFFSCRKKGFFQAFWYGAEWHSWLPTIQSASIEDNLRCRNLAGNNFSGNLPYSISNMISLNYLNLSHNLLFQEIGEMFGNLTALSELDVSFNNLNGNLPISLRSLSNISGIYLQNNQLSGTVNVLSNLSLTTLLGGNSFLNVPSSPPSTITSPPQGQPDFPQGPTTAPNIPEIPIDQGSDKKQRLRTGLVIGIVIGSMAAACGVLFALVLCLHNVRKSKDGGISESKDVASTFAVNIDRASNREIWDHTQQDAPVSSSVLPPMGKMTPERVYSTNSSMSKKMKVSVTANPYTVASLQVATDSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLAGYCVEHGQRLLVYEHIGNGTLHDILHFSDDTSKILTWNHRMRIALGTARALEYLHEVCLPPVVHRNLKSANILLDREYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSREKSEQSLVTWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASMIVYYSMWILGLYWDFVNGMYSIQVAGILVFVN >KN540470.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540470.1:11824:14697:-1 gene:KN540470.1_FG004 transcript:KN540470.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) UniProtKB/Swiss-Prot;Acc:Q6NPD7] MSSSTNSTVLAESVAAGSMGDVELLPFVNDKHGGVIVEMTAPMDPQLFSASLKASLSKWREQGIRGVWIKLPISLANLIQYAVEEGFWYHHAEETYLMLAYWLPATTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRSLGVWKFPTGVVEPGEDINLGAVREVKEETGIDTEFVEVLAFRQSHKAFFDKSDLFFVCILRPLSFDITKQDSEIEAAQWMPVEELAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPILIKSAFTDRKSFFYMNRRDLDKASESSSTQKKTEKPLFMEKL >KN540470.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540470.1:47944:50784:-1 gene:KN540470.1_FG005 transcript:KN540470.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVHTELFSPLTEAEYHGIASYYFQDELTNASVFEGRTCATDAGQALSWRNQSYMNDIADRCAPSPHPLSMCQSRAAAFGYPCEEYKVTTEDGYILSLKRIPHGPHDSNTSTEMRPPVLLFHGLMVAYWEWSWDELASYDLPAVLQFAYDHTGEKIHYIGHSLGTLMILAAFSEHKLLDVVRSAVLLCPIAYLSRTKSKLLKLAAHIFLAEIMVDAIYLFVCLVQTVHWLGFHEFNPVGPVAHEVLSQICGDPEINCYDLFSAVAVVRNGGVSRFDYGNAKDNMKHYNQPRPPPYNLSSIPNHVPIFLTHGGEDYLGDVPDTRHLLRTLVKKHNSDSIEVIYVPDYAHADFIMAYNAPELIYGPMVDFFKRH >KN540470.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540470.1:5350:5640:1 gene:KN540470.1_FG006 transcript:KN540470.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPSVDNIILEGSTSEQTLNIPNPQHIRILPSKPYYRHLSLASKKEQKGSSICCNSYRTNEDWKEKMLESRDEVLRLLNKDYHANPHRRPPVHN >KN540470.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540470.1:32368:34904:-1 gene:KN540470.1_FG007 transcript:KN540470.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKSALYAQMLALVVPDFPLCIISHKLLIYVAVLVIMICGMVVRDRSKRKNRADEIFKEAKRKGAKKGSFEARRKSKSFAGTGRLLTGESAEPVAPQSPESIVHNIYFWTNGFTVNDGPLRSFDDPANASFLKSIKNSECPSELEPADKKSQVNVNLIRKEEKCPEPVKHAAPFHGAAKTLGTPSDNNSTPPEATSAAAAASSTETASKTVTITVDDSLPSTSLQIRFVDGSRMVTRFNTSHTIADVRAFIDTTRPGEAGDYTLQAGFPPKPLDDMNKTIDEAGVANSVIIQTA >KN541787.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541787.1:3960:8856:1 gene:KN541787.1_FG001 transcript:KN541787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVIGYLAVQLSLMSLLLCPSSSTAQHTLGRSSMSVEDHARPFLVSTDGSFSCGFLEAGDNAFTFSVWFTADPNRTAVWSANRDAPVNGRGSRVSFSRDGELALADTNGTTVWSSKTTAGTGNRRGLTVSLRDTGNLVVGDPSTGLAVWQSFEWPTDTLLPSQRFTKQTKLVAGYFSLYFDNDNVLRMLYDGPEIASIYWPLPGLTVFQNGRTNYNSTRIAILDDAGVFLSSDQTKAEATDLGLGIKRRITIEQDGNLRMYSLNASTGGWAVTWSALKQPCQAHGLCGKNGLCEYLPSLRCSCLPGYEMVDRRDWRRGCKPTFPVGNCSQGSAPPPSPATAPPQFKFIEVAQTDFFGFDLGYTESITFKQCRDQCMNNCQCTAFSYRLDGRGKCYPKGTLFNGFTSANFPGSIYLKVPLDFNASSPRVSAQRAAGLACGANVTVVTVSADVYGMAPGSNGQWTYFFVFAGVLGVLDILFIATGWWFLSSKQSIPSSLQAGYKMVMTSQFRRFTYRELKGATANFKEELGRGGSGAVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWSEMTVLGRINHINLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDASDGSGGKATTLAWSDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFEAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEMVVGSRVADQRTEAGEPLQLPQITQALRHVVDSGDRTSRAQCRTAAALAFAPPQPRCLGPLATSGAIRSAALSVSPAASGVLSAQTSIVFAEFYRTPWRQPREWGIGDDSDD >KN545106.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545106.1:192:512:1 gene:KN545106.1_FG001 transcript:KN545106.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EALCSLSDLVVTQTTAPGQQIAGEPEYHVTVENRCICTQTGVKLSCAGFDSSPTRVDPSIIRRDGGGDLCTLNGGGPVTSGRSVSFYYAGKTRVSFTPVSSTVSCS >KN541787.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541787.1:21360:23373:1 gene:KN541787.1_FG002 transcript:KN541787.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYLTILSSLSFHLCSCASPWRTMTTGSHIRAEDHDKIFLLSPDTTFSCGFHQLGTNAFTFSIWYTHTTEKTAVWTANPYSPANGGYSPVNLHGSRVSLGHDGNLVLTDTNGTMVWESKTSSGKHTTVTLLDTGNLVIKDSSNSTVWQSFHSPTDTLLPWQNLTKNIRLVSRYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYNAEKNGRTRFNSTRIAFLDDEGNFVSSDGFKIEATDSGPRIKRRITIDYDGNFRMYSLNESTGNWTITGQAVIQMCYVHGLCGKNGICDYSGGLRCRCPPEYVMVDPTDWNKGCEPTFAIDSKRPHEDFMFVKQPHADFYGFDLGSNKSISFEACQNICLNSSSCLSFTYKSGDGLCYTKGLLYNGQVYPYFPGDNYMKVPKNSSKSTPSISKQQRLTCNLSAPEIMLGSASMYGTKKDNIKWAYFYVFAAILGGLESLVIVTGWYLFFKKHNIPKSMEDGYKMITNQFRRFTYRELKEATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGTNRLLVYEYVENESLDKYLFGERCHESLLSWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLSRDFDAKIADFGLAKLAKRDSTSFNFTHMR >KN541787.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541787.1:10441:12786:-1 gene:KN541787.1_FG003 transcript:KN541787.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCLVILPLISVLPFSYASPLLMLSTGSSLFVEEHKQTFLTSPNADFSCGFYEVGGNAFSFSIWFTNSKNRTVVWSANPKSPVNGHGSKVTLNHEGNLVLADVNGTANWDSKTSSGKGTTAVLLDTGNLVIRDSTGTKLWQSFWAPTDTLLPLQPLTKGTRLVSGYFNLYFDNDNVLRLMYDGPEISSIYWPSPDYSVFDIGRTSYNGSRNAILDTEGHFLSSDKLDIKAADWGAGINRRLTLDYDGNLRMYSLNASDGSWKVSWQAIAKLCDVHGLCGENGICEFLPSFKCSCPPGYEMRDPTNWSRGCRPLFSKNCSKIEEYEFFKLAQTDFYGFDLIINQSISLKECKKTCLDICSCSAVTYKTGSGTCYIKYVLFNGYSSTNFPGDNYIKLPKNMVSKQSDLSCNPTKEIVLGSSSMYGMNDANKNYATYYVFAAVLGALVLIFTGTSWWFLYSKHNIPMSMEAGYRMVTGQFRMFTYRELREATGKFKEEIGRGASGIVYRGVLEDKRVIAVKRLMNISHGEEEFWAEMSIIGRINHMNLVRMWGFCSEGQQKLLVYEYVDNESLDKYLFGDVSAERLLAWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFEVKIADFGLAKLSKRDSTSLNFTHMRGTMGYMAPEWALNSPINAKVDVYSYGVVLLEIVTGSRISSGIKVDGREVELRDFVQVVKHILATGDVKDVIDTRLNGHFNSEQAKVMVEVAISCLEERNSRPTMDEIAKAFLACDDQDNHPAYSW >KN539524.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539524.1:97905:98174:1 gene:KN539524.1_FG001 transcript:KN539524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSIARWCGNGGQRCCRSTGWRCRATVVPDVAGRLVCSGDARWRGKQQAALLNDAAVVGSGNAAPAKFRRGRRRRFLRLVAAGCQTD >KN539524.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539524.1:49793:50976:1 gene:KN539524.1_FG002 transcript:KN539524.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGPKGGKRRKTPDSQLHPYELQQLRQCMQNSARMHQLGIPALVKVLGNKRVIPQNDATANHRKHTDCDPDYEPELDETIDGELCATNNAKEACSSKKRHADMAPGGFKARKKTALAVRPVNGVLTRGRKSLLPSEVPRAGPADESDGLEEQNIHVAGRGDGIDQGHGDNQVASGGAGDGLAQGHGENQVGSGGDGIAQTHGDNLMACEDDGNAQLEGEAEMDTESEGMLFHPNPLSHVVSVQYSLLYY >KN539524.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539524.1:28650:30476:-1 gene:KN539524.1_FG003 transcript:KN539524.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKIGNLLKRATSSSPALYQSIRCMSSSKLFVGGLSYGTDEQSLRDTFANYGQVIEAKIINDRETGRSRGFGFITYASSEEASAAITALDGKLAHHADGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGYGGYGGYGGYGGGGYGGYGKGYGGGGGGGYGKGFGGGYGGGGYPGGGYYSGGGGGGGGYRGGGGGYGGNNGGYGNRGGGGGGYGVAEGSADAFSGINLGGDGSFGGNPAGSFGDAGGSTGGDFSGAGGDSFGSRKNDELMDDLFKDDEPDNYANKQG >KN539524.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539524.1:894:7799:-1 gene:KN539524.1_FG004 transcript:KN539524.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADIDLSKVEAVRTKMPISEHRKFDSVWKTSSLNFVVCKPLYNHVETLNAQQYQHGELLSPFEYHMGDASQHRFKNLNEAPAQESEESEFSDAAEELADELSEGLEVRDEEEKGDKNLGNGEQKVGSYDETSVLEEMLSRSRRKNRKSGFVAPQEEASPAGAMDDDDDEDTSYEINNVKKKGRRRRAAKKGGTYADNGQGRKSEMPEESRHYNDENGADDKMEGPSSNEDSAAASKEDQQKGKTGNTKKNKKNKKGTEKNTTISSEQKGTSKGKKQKHSSSDLALAFHILERNLEDDFA >KN539524.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539524.1:18829:22510:1 gene:KN539524.1_FG005 transcript:KN539524.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKENPVLTASQAPSGRITRAQAAANRGRFGFAPSVSLPARTERKQTAKGKTKRGALDEITSASTATSAPQPKRRTVLKDVTNIGCANSSKNCTTTSKLQQKSKPTQRVKQIPSKKQCAKKVPKLPPPAVAGTSFVIDSKSSEETQKVELLAKAEEPTDLFQNEGLLSLQNIERNRDSNCHEAFFEARNAMEKHELADSKAGDSSGLGFIDIDNDNGNPQMCASYASEIYTNLMASELIRRPRSNYMEALQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTINLIDRFLSQHYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKAEVLKMEGLVLNDLGFHLSVPTTKTFLRRFLRAAQASRNNHTLEHYTSYKSSDIQICVCALRELQHNTSNCPLNAIREKYRQQKFECVANLTSPELGQSLFS >KN539524.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539524.1:73916:74362:1 gene:KN539524.1_FG006 transcript:KN539524.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSLSPTSTSIKSGVPEARRHCPKLSSGKRRSLRRDGVVTKFGSTASVQPNCRSLALETVGRGSTELKAVNCPTVGPTLRTSSEMDICHLEAGAVGIAPLVAHGGAAPSPCQKRAPDTKSLERWRTIEWGEHTPSASGGEERTFLR >KN539524.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539524.1:11478:13587:1 gene:KN539524.1_FG007 transcript:KN539524.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVPFEEALAARLSLFKPSLGQVEDCMEKRPPRISPGIAELVKMLKAKNVDVYLVSGGFRQMIKPVAMQLGIPPENIFANQLLFGTSGEYVGFDPSEPTSRSGGKAVAVQNIRQKCGYRTLFMVGDGATDLEARQPNGADLFICYAGVQMREAVASKADWLIFDFDELMGYLV >KN542074.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542074.1:1410:3383:1 gene:KN542074.1_FG001 transcript:KN542074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLLLSVALVMLHLASISPQPNPWCKKQCGDVKIPYPFGISTGCAIGEGFEIICNRTADGIDKPFIGNIEVLDISMVHGRSRVLGPITTNCYNPSTGPAKVNSWAMDLSTSPYRFSYAYNTFVVIGCNTLAYIYNGLNRTSYTTVCASVCGRPEDLTNGSCLGMGCCQNANAIPKGLTRQDIYLNRIYNSSESDSWKFNPCSYAALVETESFSFSTEYVTTMRFNDTYEGQQPLVLDWAIGDVSCEAAKNMTSYACHSGNSICVDSKNGPGYLCNCSEGYQGNPYLPDGCTGKFSASFILQLSIYLQFNEILNSIPSLSERCRFKLIISIEQGDILYPDLSINIY >KN539524.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539524.1:34340:36339:1 gene:KN539524.1_FG008 transcript:KN539524.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPDEPPQQWIWLQGSKLYFLIGLGINFLGLTYCDMRSGLVDLILDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTAKVFKLHMEELRAKQEEIAKKESDIKVLEAIIRTLSNKDDGGSSE >KN542074.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542074.1:15684:18403:1 gene:KN542074.1_FG002 transcript:KN542074.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMISISAQPASRCQTFCGDVEIPYPFGIGAGCAIEPGFELSCNQTADGSMKPFNTNVEFLNISLLHGQSRAMNYLSTNCYNYSNKSMEPSTWSLDFTATPYRFSDVHNKFIVIGCNTLSYIYNSYNMTGYTTACASVCGSKEALTNGSCAGVGCCQNAIPKGLTRYDVAMYIVYNDSDSWRFNPGSYAALVETDSFSFNTEYITTKKFNDTYKGRQPLVLDWAIGNVSCVMAENMTSYACQSVNSICVDSKNGPGYLCNCTNGYQGNPYLRDGCKDVNECDQNTGPCPKGATCHNTIGGYHCSCPPGRKLANDSSSCNPDINLIIGVCIGSIVIVIVIFFVRIIFERRKLTDVKKKYFQQHGGLILFDKMKSDQGLAFKVFTQAELEQATNKFEKSQILGHGGHGTVYKGITKDNITVAIKKCALIDDRHKKEFGKEMLILSQINHKNVVKLLGCCLEVDVPMLVYEFIPNGTLFDLIHGKNRTFHIPFSSLLKIVNEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKGHVAI >AMDW01025942.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025942.1:60:230:1 gene:AMDW01025942.1_FG001 transcript:AMDW01025942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYLTRQLHMPLAKAATTLTNFGGVSAMTPLIGAFLADSLVGRFWTIAAASLIYQV >AMDW01053445.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053445.1:54:3275:1 gene:AMDW01053445.1_FG001 transcript:AMDW01053445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NFAKRCGTAGSINLKALQDGDIWSLFKAYAFSSNDQHGSNPNLENLGRKIVKELKGNPLAAKTVGSLLQRNLTEDHWISIIENKEWQSLKHTDGIMHVLKFSYDYLPNQLQQSFSYCSLFPKGYYFRKAQLIQIWIAQGFVEKSSEKLEHKGCKYLSELVNSGFFQQAESCSSSSEVFVMHDLMHDLARMVSQTECATIDDSECRKLAPSIRHLSIVTHSSYRWDQNGKIFHNEEFEKSLLKVTSRNKLRTLVLIGQYDPHFFKSFQDAFKEAQHLRLLHITESYDGLDSFLSSLVNTTHLRYLRLERVGSNRALSKCYHLQVLDIGPNGFLVIPDDINNLVSLRHLVAQNKNRVCSSIASIGEMASLQKLTNFKVENSIGFEITQLQRMSELVELGVSQLENVRTKQEASGASLKDKHHLERLHLFWKGVRNGYDSDESYNEYDSDWSFENECDGNMIPEPSMHSETEGERLQMSDSNGAPILDHIPDIASEVLEGLEPHRNLKYLRISWYNGAKAPTWFDTSLTYLQTLRLENCGEWQRLSLERLSLLRKLVLIEMKNASVLSIRSPEEIVLKGMQKLHTCSCTYMGDLNSSLRILKIKRCPVLKVFPLFENCQKMGSSWFPHLSKLTIHDCPDLTVPRPFPPSTIISELSIEGISTLPTMKGSSGGALTIESRSDKLTVMDTTMFSFQNLRFITTLEISFCSNLRYISLEGLRQLIHLKCLRIYDCQNLFSSDVPQEPTSTHEDVVAGNRNDSPSLEILSIENCGITGKWLSQMLQHVQGVQKLTLRNCLAVRRLSMGEEENCQLELLSDLEASSSRYPDASISSAGDGLLRIPLNIVSSLKEIDSVLNLMPNMRLSLMQNKNDDQANEHGYSLETALPCFLGKPIRLKQIVVQNSPSLKFLRLQSCTAVEHLTIEGCASLAIEGLQFLHALRHMEVLGCPILPLCLGSLSGQGYELCPRLERLEIDDPSILTTSFCKHLTSLQRLELTCCESEVVRLTDEQERALQLLLSLQELLFGDCHNLIDLPAGLHSLPSLKRLEIWGCESITRLPEKGLPPSLEELDINNCSEEL >KN539138.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539138.1:93096:94418:-1 gene:KN539138.1_FG001 transcript:KN539138.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31430 [Source:Projected from Arabidopsis thaliana (AT1G31430) UniProtKB/Swiss-Prot;Acc:Q9C866] MEMYTMLGRVDIARKVFDEMPSRALVLWNMMVRCYIRCGRYSAAVALSEQMERSGVTPDRVTLVTAVTACSRARDLSLGRRIHVYMDNVFGFNLPVANALLDMYTKNDCLEEAVKLFEQMPARNIISWTILVSGYGLAGQLDKARVLFNQCKEKDLILWTAMINACVQHGCFEEALTLFRDMQMQRVEPDRFTVVTLLTCCANLGALDQGEWIHQYAEQRKMKIDAVLGTALIDMYSKCGHIEKSLEVFWRMQGRDATAWTAIICGLATNGQAGRALELFQDMQRSKVKPDGVTFIGVLSACCHGGLVDEGRKQFHAMREVYQIEPRVEHYSCLVNLLGRAGLLDEAERLIGDVPINKDAMPLFGALLTACKAHGNVEMSERLTKRICEQDSQITDVNLLMSNVYATASRWEDVIRVRGKMAHPTVKKTAGCSLIEVKGY >KN539138.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539138.1:42113:43033:-1 gene:KN539138.1_FG002 transcript:KN539138.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAVAKLDGEQRSRRRAERVNAKLGKALADAERVLAASRRELERERRSRERLEKLCDELIRGGLACGMDVKGGEEEEYQRHMTVLYIRRIPGSLRDTETRVCSSTKEHLI >KN539138.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539138.1:95366:105995:1 gene:KN539138.1_FG003 transcript:KN539138.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGDGDGDGGVVVTARVLRTGETVEVAGDLLVAADGCTSAIRRRFLPELKLRYSGYCAWRGVFDFTGKEGCTTMVDIRRAYPELGNCLYFDLAYKTHAVLYELPKNRLNWLWYINGDEPELMGSSVTMKVSEATVSEMKEEAERVWCPELARLIGETAEPFVNEEKGGLPAAEAERLAEFLREDLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLLNIRLLKLLFRPDFYLHHVEQTGPYEITTRWTMVMKFVLLPWKPELVFTGLSIMGVNPQNLKFCSHVDIWDSIQNNEYFSFEGLGDVFKQLRIYKTPDIETPKYLILKRTANYEIRSYPPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIAMTTPVFTQASDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVNLRKVEGGIAAVKKFSGRPKEEIVIQKEKELRSQLLKDGLKPQHGCLLARYNDPRTQSFIMRNEVLIWLNDFTLE >KN539138.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539138.1:124361:128059:-1 gene:KN539138.1_FG004 transcript:KN539138.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHGELDLNKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKAPVTSAEVSQDSRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAVNSNGKPTVGVNEVARKIEGFHIPKEEEQQQQQAEG >KN539138.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539138.1:28391:31346:1 gene:KN539138.1_FG005 transcript:KN539138.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRMIHEGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRDLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSVTGHTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHASIVDEDNRKLPKLPDIEGANVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNKHSGQTTLHCEHLLSLESGPTIVKARTMWRPKGTRPQESIIPSSS >KN539138.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539138.1:120403:121821:1 gene:KN539138.1_FG006 transcript:KN539138.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLSPLMALVAVQLSTVSPGDIADLWEQLRFNLLSVVACSTLLVFLSTLYFLTRPRPVYLLDFACYKPEPQRKCTRETFMRCSSLTGSFTDANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARAVMFGAIDQLLEKTGVKPKDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVISYNLGGMGCSAGLLSVDLAKDLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRRSDRRRSKYELVHTVRTHKGANDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIDNFPVEVPKISKVGNA >KN539138.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539138.1:4400:10764:-1 gene:KN539138.1_FG007 transcript:KN539138.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKQGQPPRVAGTPPTLPGAITHTTQVGYPAVFYNGNWGAQVPASSYLIVPMSEPPAQVGVPRPNAPSLSGSGARPLSRVSLRPPQQVLSVQTALPGMAAMMPSPSMIAGKKMAASPKVQMLKSVPFRSAGSKRPAQELLPKAQPQLFESVRSKFRETLAAALNMDSDQQCAPQSVETMSHVGSASENKQADGAGIDSVTETSALKSGQHNMLSSNSASNMSIKVSDDMQQQSIHVPLENKVLDNNSCTLDELLQGHGLCWSSDVVGASETISQSDPDRVRKSDIDESVDVSLIEHESKRIKTDDGAAEEKKSVTQKSQILAFEIEGELFTLLGGVNKKYKEKGRSLLFNLKDKSNPVLRERVLSGDITPKRLCSMTTEELASKELSDWRLAKAEELAKMVVLPSKEVDVRRLVRKTHKGEFQVEVEETDGISVEVGIGGDLLSHVPSRPTEGQTKTDDKSVHTEEKESDNSEQDGVIVTGSNNMPSNLEHTANEKTDLMQELMVDDLKDTENLPPIMSLDEFMETLDSEPPFEDDSTQTVKHDPNSIEKTDISLKSEDSSKNVDSASASDSQLDPQTLSPQDKCESKLQSPKKDAGSILFPVEQIKEDLLLVKSSPEKANAENTDTGSQSIPESITDCKSAPDALLTHDSVWEGTIQLSLSSLTNVVAIFKSGEKTSTNEWRHFVDIKGRVRLSAFQEFLEQLPKSRSRAIMVTELRWKEGSLESGRQHLLQTIDSYIADERVGLVKPADGVELYLCPSQGKAAQILAEHLPKEHSSSLTVTGTSAIGVVVWRRPHVSPRIPARNDGSRNQSISRKQHAVIASAVPLSSKPTNERQHHGQDVVTDDVPPGFGPGVVREDDDLPEYDFVTVPNAAANVVPSRQAYGSQQQHSQASSRRPVDHVREMVRKYGSRSAAAAQPWEEDDDDDDIPEWDPNQSNLNLQQTRHAIPQPPLPPPGPFM >KN539138.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539138.1:60959:63174:1 gene:KN539138.1_FG008 transcript:KN539138.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPVRSPANSTKSGTETTFENMDVVAYMPVVRSGGWADIGSRHTMEDVFICSDNLMQEFGVESFEDGPSAFYGVFDGHGGKHAADFVCSNLARFIVEDEDFPREIEKALSSAFLQTDAAFADACSVNSSLASGTTALAALVVGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCNREKVRIEASGGYVYDGYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMIRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRKLQEHNDPVTCCKELVDEAIKRKSGDNLSVVVICFNTRPPPVLTTPRPRVQRSISAEGLRELQSFLDSLAD >KN539138.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539138.1:141189:141584:1 gene:KN539138.1_FG009 transcript:KN539138.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTQIRTQLQSKSTEKKMTTGHGGELQRLETTKQVITELLSNGGSGGCENGESAGDERQHGERRAEQPQEEGDARFGAAGAAGRCSATGSGEHVHEDDGAEEHEDAAGVEEQLAAADGDVGEGSVALLVG >KN539138.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539138.1:86640:91995:1 gene:KN539138.1_FG010 transcript:KN539138.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >AMDW01040746.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040746.1:97:1044:1 gene:AMDW01040746.1_FG001 transcript:AMDW01040746.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPEGVKALCDVLKFNGKIQTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEAREHVYEMSEKEIDIFF >AMDW01038829.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038829.1:155:601:-1 gene:AMDW01038829.1_FG001 transcript:AMDW01038829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASATGGEHKGKEKTCRVCGEEVAAREDGKPFVPCAECGFPVCRPCYEYERSEGTQCCPQCNTRYKRHKGCPRVEGDEDDGGDMDDFEEEFQIKSPTKQKPHEPVNFDVYS >AMDW01035185.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035185.1:97:511:-1 gene:AMDW01035185.1_FG001 transcript:AMDW01035185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMAAREVVRTCVLSKRWRHLWRSAPFLNLDGAEFMPLLGGSSPGEWERMDAFVTTLLRLRSRNETPPPSPESDAALDSFRLFVDHIGAARRESVERWVRAAAELRPRVLEINVVTPSYPPGHYDGYTMPDPIITSR >KN542283.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542283.1:6925:16585:-1 gene:KN542283.1_FG001 transcript:KN542283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IREGRIQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDKDRNPKVIKFNFRICFINWFLSIGKANEIKNLKSYFNRGSSNRNPKLPEAINITPNQKHELMTTRSWDFLGLKNEPPSELLQRTNYGEDIIIGIIGTGGAPTGAPQALVKSNPDIFANCSGCLQGWKREQQGGLSGVGRGARVSAGRTNVPVPALRGFPVWLKNLPGTNFRMENEPFKGFSEKIVDMMKSEKLFASQGGPIIFSQASSKFCVPCHVYGCNHIV >KN540096.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540096.1:6950:9505:-1 gene:KN540096.1_FG001 transcript:KN540096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKGRLHQDDIPSVVLLELWGHVADDDHRADATTGTSTTSTGLPISVTLCAATPPSLSHLSVDCPGLVDLDPNPSDKFVAPTVISTDADLVLLRVPVDRFARFDHCFSDYFVYKVHSHSESAMLHRLPSPRGRGFADDNIAILSCGNDDDDDDSYAVAALQPLHHVHFRLHLCRSTPDGKPGSWTSHQLTVEEPLRGMVCPVPDSALRRIFHATTKVITLGGAKGTVGWVDLWRGILLCDVLDEIESQPKLRDLPLPLPSKGNWPLFLNRCPYYCRDIVVSQSRDTIKYVEMEFTILNSSQDPRSSYHEWVASQEFPSSQLDILVDDGSWKVNTWNMPIPVTSWDDWKPGCSVTSNHLDAALHSYMRKIDYKRENAAISVESLHTAYPTLSIADDDDVVYLLSKRTTRGAAKMVFTVNMRARVLEELEKLHSMSHLGFMRCFLSTGISKHLKPTGLQSQEDQIKLEQCAEELKSTALHCHQQDLSSVGSRWSCPVKLELYRLG >KN540096.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540096.1:48019:49217:1 gene:KN540096.1_FG002 transcript:KN540096.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCRRHTSKRGAGEETVNDQGVGGEREEQSGEQEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEATRHEEIIKYEEMAAKHRYNCNKKCILEFSTQ >KN540096.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540096.1:33991:35310:-1 gene:KN540096.1_FG003 transcript:KN540096.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine/guanine permease AZG1 [Source:Projected from Arabidopsis thaliana (AT3G10960) UniProtKB/Swiss-Prot;Acc:Q9SRK7] MGTFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISLVGLRSKLAKFIPKPVRISSSAGIGLFLAFIGLQSSEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVVLMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRNTAVTVFPDTPAGDESFGYFKKVFDVHRIQSTAGALDFRGARHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAATYFAAALFVTPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACHAVAVLRSRRRRGARAETRSAAAGDNGEQGKNLEMA >KN540096.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540096.1:14245:15615:1 gene:KN540096.1_FG004 transcript:KN540096.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKNGNILLRRYEIGKLLGQGTFAKVYHGRNIVTSQSVAIKVIDKDKIFKVGLMDQIKREISVMKLVRHPNIVQLYEVMATKSKIYFVLEYVKGGELFNKVAKGRLKEDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKITDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGVKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKAEFKCPAWFSSDVRKLVSRILDPNPRSRMPITKIMETYWFKKGLDSKLILKNVETNEPVTALADVNVVFSSMGSSSSKKTEEKQDAGQLTNLNAFDIISLSEGFDLSGLFEETDKKKEARFTSSQSASAIISKLEDVASCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYQHLWKEDMKPALKDIVWAWQGERQDQQPKDHGQP >KN540096.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540096.1:61211:64831:-1 gene:KN540096.1_FG005 transcript:KN540096.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding SHSLSEAETTKNAKIKLLFQTGFDEAELRSYTSVIHANVYQTIKLLYEGAKELSQVESDSSKYVISPGNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEAGYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >AMDW01022966.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022966.1:14:304:-1 gene:AMDW01022966.1_FG001 transcript:AMDW01022966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQ >AMDW01107652.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01107652.1:229:1965:-1 gene:AMDW01107652.1_FG001 transcript:AMDW01107652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPDRKTTIPQVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDILREMKELPIGDSDGTAEKSSNGAVDTTSCSDRDGSSSDLELDEDFTEEEVAVAKLVVTVASDALVVVKETIRFITCLLKSSGNRSGANEEKVETMEQLLSCCRQAADQINDLGASVYPPQDLSEMKSSVKRLYGGTNAMRREIRSLGGSPEGAFVALERFEMSLGALEVEIADDVANEMENLTISSS >AMDW01033287.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033287.1:47:390:1 gene:AMDW01033287.1_FG001 transcript:AMDW01033287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RDCDQRAEQKNKGPNYILKDENTQRGGNKRRSYDLVFEDGENCNGQQDLGSADRRKIHKIDDRRSGLPPRGDRDRISRERTHTDENGKE >KN539572.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539572.1:94573:95606:1 gene:KN539572.1_FG001 transcript:KN539572.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINGLPTVYEVVTGIAKKQTKVSNGSSKSNKSNPKPSKQSNSNSKPAKPPQPKDEEDSGPEGAEDEDQAYMCGACGETYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPGCSSKRSRE >KN539572.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539572.1:79655:82309:-1 gene:KN539572.1_FG002 transcript:KN539572.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQDSKRKRSVLPETATLAPGSKRRRRKSVPSRHAAAMLPDELLTEVCLRLPVKSILRFRAACRSWDAMLSSEEFGQLYAARAEEMSSAPKLLFVSPTANFNSTVVYKCSPSKPTDDDLLLTLDDVRGNYVEVTPAPCHGLSLLYDGIAPAYYVMNATTRAVTRLPPFRDVAFATAGLGCDARTKKYKVVRLFEGNLLEKEFLKCEIYTLGGDEGDIWRPAAGGVPFRFYSFARSAISNAVMNKLQPLFFNGYLHWLINPLHHVKLPRASILSFSLTDETFRWIRSPPFVASGVHLVELDGNLCMVRDLRDRSTAVCKLEIWKLKDYNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSAGSCRSGTKIIIATSKHKVCSYDPVSRTLETITSISETCTSYQNEKSDIRFSLFKECLTPVHKTREEIAFATPLSKATKEILLRLPAESVLKFKPVCKQWLGLIKSERFIRAYFAHKNMDKRPKIMLVGKGSGKSLFNFVPLSKWLQEASNQGTLFLDTKVVCSKPCRGLNLMSFVEEDYLFNPCTGYHRVYWNREWHQHQPWKMPTGCREQEDNPFAVGNKNVGLGFSQVIQDHVVVGIFYDRKDYNSREYSLTCSLWSCGSGYFEQLPQPPLPVNDMPPVSVDGVLYWMSEPRLGQSYERAIVSFDIAAKIFEVIPCPSSIAMWDPRSRCHAFVVELLGKLCAVLSNSVADELDIWKWDHGLWTRAYTINLKFWPDYSLATNVVVPMAVDLTDGRILLNTGRKLGLYNPFDQTIENLLALDQVSLATPKVQQQRTGGHLKCHITRCEDVPRKFSPWKLSMAPCENFATPPSASSGKNLLSSRHQYVKALNSVSPKVMPVVPMLYEESLTYYPFAARARVLFS >KN539572.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539572.1:29501:33527:1 gene:KN539572.1_FG003 transcript:KN539572.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/succinic semialdehyde reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G25530) UniProtKB/Swiss-Prot;Acc:Q9LSV0] MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLAKVVFDKDGVLEQIGEGKGYVDMSTVDAATSCKISEAIKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKVLYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLSLADNSGLSPQTLLDVLDLGAIANPMFKLKGPSMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVAAASNEAFKKARSLGLGDLDFSAVYEVLKGAGGSGKA >KN539572.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539572.1:1385:6182:1 gene:KN539572.1_FG004 transcript:KN539572.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGDDDDHAAKRPRVPALARSECRLPQKCYAKFSKVNHQHFVELKGNDLTTIVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEADGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNMLALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >KN539572.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539572.1:11873:16359:-1 gene:KN539572.1_FG005 transcript:KN539572.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEAAAAGGDAPAITLEHTPTWIVSAVCFVIVIISLLFERLLHRLGKRLKKSRKKPLYEGLLKVKEELMLLGFISLLLNVFQGLTQKICVKASVMDHLQPCKLDFSGAKTAKTTAHLAAAGVRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRKWQHWENKIQASDENGMMKEHSPLGKWIIGMKLSGPQMIKHVQEFPFIKNHFKGHGKRWKTFGWLRSFLKQFYGSVTEEDYVTMRLGFIMKHCRGNPKFNFYKYMIRALEDDFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFVMLLVVGTKLEHIITELAHQVAEKHTAIEGELVVSPSDELFWFHRPKIVLLLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGNKGYVIARLVISVISQLLCGYSTLPLYAIVSHMGTSFKKVIFDENVAEGLANWAQNARRRNARAARTQNVVDSPVDESNVGEVQMTSPPTKSVQQGTARLI >KN539572.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539572.1:89912:92534:-1 gene:KN539572.1_FG006 transcript:KN539572.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFADLLLCHTMLTSFPNGVAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >KN539572.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539572.1:7223:9976:-1 gene:KN539572.1_FG007 transcript:KN539572.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHGKQLAIPAKVMPLDNAVFTEVEADELSCHGTPAHCAEHGQASLVLKDDQECSASHVHGNGPVEESNGCMPNRRIDEHGQIDFGHSLQLVLFSRQWGLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLQGLNHLIGKIVESGAKDFARAILRTSLLASCVVACQSKALTLGDSKEIIAQRLHDRLQDCPGGERLQIEAGAKVQKFIEWALQCIHLHRCSEDTECYKANCSTHQEVQFHLSAFKAFLDIAGDNLSGKIFTEAFDAACFPLTLFSTLFEPGWSSGSSAVAIQGLLSLLVEGGAENVNQCFLEASRFGSTELVRILLKIAHQNSLAVDVDLALVYASHYCKFETMACLVDEGHATSFLCPLVKASERGCLQVVQWFVNRHVSDIEMCLAVTTAASCGHFAVATYLLAHIPRHVLEALSPQILKAARGQGSGSFEGVSFLLRSNFLNDAAATYAVADSIATTSTMDIPQDLVDFLKEQWSQAAFAEGVEAGEDHFVNITRVLRRGASPIRLHDLPEPMALTIAYLPLYRACASARGQLLPQRLRGELVEAVGRLGVPVNMENNRRDFLAVLEHYFPSFITGA >KN539572.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539572.1:57860:58650:-1 gene:KN539572.1_FG008 transcript:KN539572.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVGTVNKFDALRHDTDSHDGDDGDDDVALGAGPLEAGDIAAARTTPSPPPSHAFSKAPAAFFAGHAAAHVTHPPSSSYRRLSSLDQFIS >KN539299.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539299.1:20763:30198:1 gene:KN539299.1_FG001 transcript:KN539299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPLTAEAIAFTEKKMDMTLDDIIKMSKKKNPGGKKPARQPIKKRPFQNDNTNQGNAKVQRFMESRSSLRQGVLAQRRTNLGGNQFAATKQAAKKAATMPICSRGVRWNKPSAPSNSIQRRPVGQAFQNGKGKEVQNKAPRTMDALFAQMKQQRMRTMPQQQTNTAPGRQIAQQRRNQQQRRGRGYGGRNGGNKEKEKDENYSNLTLYFGRRKMLNLIKIKGQKKEDAANANGKPPAKKQSPGELRLHKDIAELNLPKSTRISFPNGKDDLMNFEVTIRPDEGYYVGGKFIFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNVNTIVYGLNLLFSQPNDEDPLNHEAAAVLRDNPEKFERNVQRAMAGGYVGETHFPRSRENAEQYVCATLTACYDLWLPGSKNKFTNIA >AMDW01040673.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040673.1:60:1090:-1 gene:AMDW01040673.1_FG001 transcript:AMDW01040673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLLFGFVLPLVLQFSLVLSNPPGLNIGFYQYTCPKAEVIVRDEMTKIISRVPSLAGPLLRMHFHDCFVNGCDGSILLDSTPGSPSEKESIPNLSLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQTSSLDDLYLLKVATLGSVACAPFKHSADPDISQ >AMDW01035219.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035219.1:167:457:-1 gene:AMDW01035219.1_FG001 transcript:AMDW01035219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DTAMLWGIKYYNDLLMTAGPDGNVQSELLFKKDPKSFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASTRVMSSILLPFITIWTALTFLMVYA >KN539299.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539299.1:97135:101057:1 gene:KN539299.1_FG002 transcript:KN539299.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVSGSTCMGALWSVYWKLSSVADPHSHLESSRRLAKLLGYKLSDIPELMRGLRDLDNMNPDMRPKICRNGLMVLVSGIEDFIDDFLDDQQTDGSEVDDMYMTVGDKLRYLIHSCDELKRIFVKKGRLGTSAEYRPDVLPPSSLDATAGLVGIVSSREKLVNWLMEKEEQPKGVTIVGAPGSGKTTLATDVFRQIEGHFQYGVTVSVSPQPEMNKLFEHVLSQMDPNLCRPSEKLERDWQETYMEKQERLMSSIIQFLQNKRCLFLIDDVWDGTSWDIIQSVLLKGCSGNRIIVTTQNVMIEDSCSKRCSWLWHTVMPLSEQQSWMLFSRNVFASQDGKCPDHLRKACKEIMKKCEGLPSAITAISYLMKEHWKVQSSTIPRTEMIPELRTLSKRLFSSSYMHLTQPLRTLLLYMSMFIYECKIEKGPLICKWIAEGFYSPQTYTRMERLAELDYFHLIAWNVIIAPMPTGRPTKPAFGLCRVHSLVHQAIESTSAEECFLFTSNTLKSIDSDKARRISLQYYDPDLPSLLERLDLKFTYSLTLFDEVNRVPLEKFTMLRVLDMQGWKNVEEDDLSVICNMHLLKYLSLRNTRVSLIPSLIKQLHFLQTLDIRQTHISELPPEVCELRHLRNAYFGETYISELPPQIEKLRELERLEIAQTKISKLPSEFSGLLYLKELNLSQTKITNLPPQFKALNQLKSLDLSHTNISKLPSEVCKLKHLETLDLRGTKVVHLSKQVIQLRQLEHLFVGSDDYSVNARVTLPDGIRHLRFLKTLVTVDLSRCSTSVVHELSEIRELKELAMVWSSDEQSLDRKYVEGLLSSLRSLHCLTCLTILGHYGCSMEFLHSLKNPPSLLQSLKITGTLSKVPDWITQLKDLCYIQVKVCKFGDDELIVLGQLQNLQYLKLGLEFLPEKEMVIRTEGFPSLERFSVDCRVLWLLFDKGAMPLLATLELKFCSGQVNHNAIPIVGIQHLQRLNHVILRYSTLYDNVPGVKATVDTMKNAIRNHLYPIKLVINGIEDDLEANVLRQHACTTQIKCDGDSKIESEIKEQREDARQQGNPCILLL >KN539299.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539299.1:63738:65597:1 gene:KN539299.1_FG003 transcript:KN539299.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALDRLLEKFELMEAKRRQEEKIDKLLEMFELREKRERESMLEISAIIRATTAVFKSTSSPSTPASPLVPARCSTECLNNNITWVDANSSHNGEMPAPMVALELGDSKNKDPATYIVTEDLPIVTPTRCSLICSSSDVKPDLIVNVVFACATTAVASRELVLADDATDTINIGTPGCSNEMHAKCLTLGLDIKGDPNQAVFAFQTMMGISKVVPSSVQPAENFLSGTVNDIKLGTPMLNTCLPKCPNGDNKLLMEHTERNPWPPPWSAGVTRRWEEWHVPWSAFNSLRARVYLLSPWPPLIQEQWDWVNHKSCTINGTSSLQKHTSGLEQIMCMPLGVGAIAANGTMRKWMKVTRNVKQWCISMGESWPDFVEPLSMFVARVLRKQQAGGLSDQLVSKERSVIPETINRKALGNLVSLDMAMFWWSDIVYSEQNRHTISRTEMAFSVPELDSGRGSHTPNISEVGVEYGLMWNLLEVIRNANKWSVCMGGRWTDIVESLSLFVDVWRFVLYASNFCWYLCCTLQSKIKVDKLSQEPNETSYSDTSIPEKNTHVLKYLACTQVHGQSVVIIGKSETKELVNIEFWPFALVDSSKIVNDNSVWQLLKHIISTGIIARFH >KN539299.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539299.1:31744:32345:1 gene:KN539299.1_FG004 transcript:KN539299.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGEVHLNIPASKAWEMFTNNETLGKISPEMLSGAEYLEGDGSPGSLRIFKLGPALHHFVKESVQKVEKVETGRSFGYEVVSGELKEVYDPYHVTFSFAPVPGKEGEQCVAGWKAEFEPIIPTSPPPEKAKDAALGFLKLFETCEAAN >KN539299.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539299.1:106816:110295:1 gene:KN539299.1_FG005 transcript:KN539299.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVGVSSATMKSLMGKLGGLLAQEYTLIKGVRDDIQYINDELASMYAFLRSLNHSDARSEQTKDWMKQVRDVAYDIEDCVDDISRRLSREPRGDGCTQNVLRAWYVFTTLLARHDIAGRIGRLKSRAQHVGERRTRYGVNNPNQNQHGGDAQAGSSTPTYHTMERPAPAPQVTAAEQPVGMDAAILDLGNWISDNSEHNELRVLAIAGFGGLGKTTLASALLRTSGGRFDSRACVHASQKLNLESLLRSLLKQVMPHGSSSLKGIDGWNQKQLKDMVESHLAEKRYFILVDDVWSVSAWESIWACLPKNKAGGSIVVTTRFKFVADACYRKRAGYVHMLERLPKDKSRSLLSEKIFGSAGDPCPEDCVDDVKEMIIKKCGGVPLTIVAVAGLLASKLTVEQDFKLDSNLKSKPRLKLAKNIWTDVCNSLSSELEVNLNPEGVTHILNLCYNDLQADQKTCLLYLTIFPKGCFIGRKRLIRRWIAEGFITEKHGKTMQEVADDYFNELYSRNVLDLQGCKGLNMDRLKKICKMFQLKFLSLRKTDIRALPSRITKLRYLETLDIRETEVRQLATSIGRLQRMTHLLAGDKSKRLALKFNDGIAKMIALQTLSGIEICGSSRTALADMHNLTKLKKLSIYNLRDMGSGSNQSYEVLLSAILYLSGCSLNSLAIDDGFVGFFEHLDSLPAPPRYLHALNLSGKLCRLPQWISDLQNLEKLTLSLTSLRTDALKLLSALRTLFSLTFTLHAANHQDADVLDILQNNVLQSDGEIFVSPGGFDCLKLLRFSAPALPLLSFLQGAMPNLEKLELMFRSLTGAYGLENLKSLQQVVLKISNRASIAAKAKVGDIRKSISKLDNVPTVIVDEYFESM >KN543777.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543777.1:322:5510:1 gene:KN543777.1_FG001 transcript:KN543777.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYAYILTHPGIPTVFYDHFYGKDDSFHGGIAKLMEIRKCQDIHSRSAVKILEASSDLYSAIVDDKLCMKIGDGSWCPSGPEWKLAASGDRYAVWHKFLEYCKVPQNLPVTIACHNKERCWSASCESRTAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHETTEVILKRNTNIPADANAVSVLVADLDKFTEEANNHVQCPEIDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLVASLKRSLGLWRLEEVLSHFKWPETLETDFFYRIPYFRFKIFVGASSIGTSINPQFIASFASAAGKRCNQDLDSEESDPEWGCWTANHELKKPSINLLFPTIDRVKNGACGIQLSRHLLSLPE >AMDW01069585.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069585.1:1119:2914:-1 gene:AMDW01069585.1_FG001 transcript:AMDW01069585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FRAPKLRKIADKVAYQLGCYVVVPDLLFGDPYTDDPARPFEEWIKTHSPVEAAENTKPLIAALKKDGTSTVGVGGYCWGGKVAVELSKTEETKAVVISHPALVVVDDMKANTPVGGCLRSEQKGKFQVHHLVKIFPDAPHGFACRYNATDPFAVKTAEEARADMVKWFDKYLKIMKR >KN542203.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542203.1:30:4159:1 gene:KN542203.1_FG001 transcript:KN542203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTILDVNAGPDDVFNKAETIQESLNLPGFAEHDVHKLENRECSSIVELGRLCCRALYVKHLENVECLEDARKAKLRDMTELRKLILSWELDGTQNMDNNKLVLENLLPPRTLEAFVLNGYTSKVFPNWMSGISSYLPYLTYVRLSNLATSCLPAFGQLPNLRFFSIVSMPNIRKIGKEFYGEGGIFECPRLNFLPYPPISMYWHLDNSNEVLPERGFGSLASSILPFDVTIKNCNFSPDRWRRLQHLATLEIFQVNGCSGLQTLPNVIQCFFSLRELDLKSWEDLETLPEWLGQLVSMKKLVIRDCPKLTLLPESMENLTALIELQLVRCKGLESLPEGLGLLISLEKLYIYDCPTLKSLPKSIQNLTALRQLQLEGCEGLEISPELFGHLTSLKVIRIWGCPDMSDLPESMKNLTFLEEIWLGGFNSLPEWIGQFICLKKFNIFDSTVMTFLPESIRNLTCLKKLNIWNCPRLIERCQGEDASNISHIPRIILDGKIFIPGQAFQGSKVLSLSFAISSVVLHAKLVQASPSPAIQALVAPITKDTKTGLHTLSISNKNYLLDLSGQLLWSPCSPSHPTVPCSSGECAAASGVHKSCNNGGRTCTARPTNPVTGERAVGDLTLADIVANATDGKTPTSEVTVRGVVSSCTPGSLLRSLPAMAAGDAGLSRGEVSLPTQLYSKLSLKRQFAVCLPSTAAAPGVAFFGSGPYNLMPPTLFDASTVLSYTDLARSPTNPSAYSIKLRGIAMNQEAVHLPPGALSRGGGVTLDTAAPYTVLRRDVYRPFVAAFAKATARIPRMPSVAPFELCFNSSALGFTRVGYAVAPIDLVTSGGRNWTVFGSNSLAQVAGDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAASRLGFSGTLFFIRTTCGNFNFARN >KN542203.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542203.1:9437:17998:1 gene:KN542203.1_FG002 transcript:KN542203.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEIIASSVVNRVASKLGDLAVEEATLLWRFKDDVDDMKEKMRDLEAMMQDADDKAPWGGKDGAAKRRWLTKLKSVAYDVEDMLDELHAAQLIKNHQPKLKLHFSWNNPLLQTMTIAHNMKNLRDKISAIEKDGKMLNLVCHEPHAKGSTSNETFTVNDDMDIGMLGRDAEKEKIISLLLKTEAKEDISIIPIVGLGGLGKTTLAQAISADKRVNFFDMKIWVYVSEDFDLLKIGKAIIRGANKNINLDNCNLQFVQDNLKKELADKRYMIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIVTTRNGSVVQVLRTGCLANQRKICPVHEADHINLDVLSPDDCWKVMKRRIFGPDDDQSGLEEIGREIAGRCGGLPLVANALGQVMSEQRTIEAWRDIRDRKIVLDFIVDNRRDTLERLLLSYYYMKPNYKMCFTCLASFSKGFVVDSDRLILQWSALGYIQARHTGQSCIDYLLGMSFLQISKSSSVSPVHAKAPRKLTMHDLVHDLAKIIAGDEVLVMDVNKPTTWDKANEHYCRHAQLVNYHKQTEIFKHIPCKIRTLYFRECPEMQLPRKAFSRSRYIRMLDLSRQSAEEQSTPSSPVLPSSICQLMLLGYLDVSGFPIISLPKSFHTLQNMQTLILSNCSLEILPANIGSLQKLCYLDLSSNSNLNKLPPSVTNLVELYFLNLSGCAKLEELPESIQNLNCLQQLDMSGCCALQKLPDKFGSLNKLLYINLASCSKLTELPNKLNLESLEHLNLSSCNELEALPGDFGNLSRLEVLNLSDCYKVKVLPETFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQYLNLTSCSKLQSLPQSFCKIHKLKHLNLSYCIKLENLPDLFGDLKLQVLELSGCFGLEDLPDSINEMTSLTLLDNSIGSNRLHDTYRAIRKRLNLPGYEEHVVHMIENGQISSIVELEQLHCRTLAVRHLEIVEELDDARRAKLRNLAKLRDLMLSWGPGGTVDADKGKMVLENLVPPRTLEKFSLRGYLCKDFPNWVSGISSYLPYLIQLSLFNLPTCDSLPAFGQLPNLRIFGMDDMPSIRKIGKEFYGEEGNCKKLRVIRLERMDNLEEWWTTRSVNCPKLSFLPYPPISMAWTLDGSNEVLPERGFGSLVSSTLPFAVTIKNCNFSPDRWGRLQHLASTLEIFGVDGCSGLRTLPDIIQCFVSLRELHLRSWEDLETLPEWLGQLVSLEEIIIINCPKLTSLPKSLQNLTALRELWLKGCEGLEILPEWLGLLISLKKLLIIDCPKLIFLPESMKNLTSLIELHLVRCEVLEIEPEVLGLLISLENLIVIDCLKLTFLLESMKNLTALIKLQLNGCKGLEILPDGLGQLISLQKLLIDCPKLTFLPESMKNLTGLIELHLVRCEVLEIKPEVLELLISLEKLVVVDCPKLTFLPESMKNLTALIEVHLSGCKGLEILPDGLGQLISLKKLLLIDCPKLTFLPESMKNLTVLEIRPEGLGLLISLKKFVAIYCPKLTFLPESMKNLTALVVLRLSGYKEMETSQELFGHLASLKCIEIHGFPNLTYLPESMKNLTSLEELWLRQFNSIPEWVGQFIYLEKFGIRDSPNLISLPKSIWNLTTLKELHILNCPRLVERCQGEDANKISHIPRIELDGKRFVPQQAVEESKVQASPSPAIQALVAPITKDTKTGLHTLSISNKNYLLDLSGQLLWSPCSPSHPTVPCSSGECAAASGVHKSCNNGGRTCTARPTNPVTGERAVGDLTLADIVANATDGKTPTSEVTVRGVVSSCTPGSLLRSLPAMAAGDAGLSRGEVSLPTQLYSKLSLKRQFAVCLPSTAAAPGVAFFGSGPYNLMPPTLFDASTVLSYTDLARSPTNPSAYSIKLRGIAMNQEAVHLPPGALSRGGGVTLDTAAPYTVLRRDVYRPFVAAFAKATARIPRMPSVAPFELCFNSSALGFTRVGYAVAPIDLVTSGGRNWTVFGSNSLAQVAGDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAASRLGFSGTLFFIRTTCGNFNFARN >KN539309.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539309.1:72052:72900:-1 gene:KN539309.1_FG001 transcript:KN539309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METLREQEKQLVLSFRAAGEMVLSLPYLLPAPGQPGRSGSFTVTHCTTMELNAWEIQQLNHFLQEGQGMAREVLGEEKTDNAIVRATWDEQGGKLWC >KN539309.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539309.1:119030:119668:1 gene:KN539309.1_FG002 transcript:KN539309.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETISKEFFERTVEQIKPSLTRLFQFLMLIFTAVMQLDCWRHMRTGPNM >KN539309.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539309.1:22259:23909:1 gene:KN539309.1_FG003 transcript:KN539309.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGEHGGFWLPETFLPGLEAARACFEPRPSDVLLASFPKSGTTWLKALAFATLNRAAYPPSGEGHPLRRRGPHDCVQFLESALVVSDDMFASLPSPRLLSTHLPYSLLPEGVKADSSGCRIVYICRDPKDVLVSWWLFTKKALGTQDGPTNGGNKPTLYTLEEELDLFCAGRSANGPYWRHVLEYWAESKRRPHKVLFLRYEEMTRETTSNVRKLAEFMGCPFSGEEEADGVPDAIVGLCSFDHLRSLEVNRNGVSDLNIKNDSYYRKGVAGDWANYLSPEMAAQLDRVIDDELRSCGFSFATGGR >KN539309.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539309.1:78986:79766:-1 gene:KN539309.1_FG004 transcript:KN539309.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSLSEQLARSFRAAAGKLLALSLSDLSAGLPFSLEELGSGSATTVTMELNGWEVQKLDRFLQEGQGMAREVLRKKTDATHVSRAGRVCADRLWEIVFNLLATLCF >KN539309.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539309.1:84458:84901:-1 gene:KN539309.1_FG005 transcript:KN539309.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGQATGGEAETEKAVEQVVGGEMQEVEEEAEGEWEMVTAESPLEAAAADDDVEAVKSSAAPAARVDEPAVQEEEKEKEEGVEMKKVMEMVAALCERSAQQCAVIGALAERVDALERAVRRVEESDRRRRRNNKLKKEAATAIE >KN539309.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539309.1:6517:19150:1 gene:KN539309.1_FG006 transcript:KN539309.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAGRQRGGGRMAAAVGEEDDDPFGVKSINERPEVRFINRYVLLQTCVLMAVRGLAFLALTWSTVVLLGGFVTLLQEKDFWYLTVISLIQSSRIFCLLMFPCKAYKSNESLFYDRIFNVLADEQYLYFLNLLVGPVIRAFGGGRKNRRVISIWQLGWKSSGEANSLVKGLMFKLMTTVLLIPLSLLVLHPLLVLYWCIIVPATRLSQQDYGQGDGDSSKANLKPAMMIFYSLALAQGTLYMLWFILNAGNAMMVRVVASKCDFEKSWGRKSVDQYLSDTKFKCLKDPSSIKDMNLIKFAAGLLDSDSEDDYITGARMLVSFIQKQKLLVKLLIRSSRIRTQKLITMLGWTDPADREIRMLAAIIVGHVASNINLSQFPGALQSIGSLLDPRDDLSFYDQDDQHDHDKGMGRCQLLVQGLLVIERLTCDHNNCILICRDHCLLSHIRYAIRLRKKVTFPDMCPVWPEMLKGSLRAMAYLIAGVTEVELETLESIFNELPLGMIMGHKRFPDIMIPTIALYANLLYYRDTTFPSEHFVETMLPVFLSCTDIEQGEEITSVWTKVGVLAGASLAKLLLKSEDSVIRDDIMKGEQVFDGLTKLLTAPNTTIREIAAEILQHVYFHDYTHRLSLTEKLVAELFRTNSQMGTQAQSGALAITVEAEHDGVKQFLGDNAQTSSLNRLEHEEQSNYTELQTTLLHVLASTTEHGTDCLANVIQKIAPGDGLSRFVGMLKSLVEKHSRVVTEDGLIIIKATAWLIMWMLRRSEFVQEIRQQKIVEALSEATKIVSRTSLTKKKQPASDLDIWKLLGYNIYYKRFASNIRLSSRLSSLVEDAQDELVRQQEVTVQVE >KN539309.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539309.1:82442:83860:-1 gene:KN539309.1_FG007 transcript:KN539309.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLVVLLLLHLVSLVVVVVVAAVMVVVVPESEEEAVARATRRSTKATTMRGWLCLPLMRRYQERSSSSVSQSRRLCTATISSMDALKSPYGSSESTAMATLSFTSCDDDVVVDNLSGNCAAATAFSFSSASGGGGGGGRCGLAMVQ >KN539309.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539309.1:27007:27552:1 gene:KN539309.1_FG008 transcript:KN539309.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATSANVHGEDKAVVDMAKLVPSLPLETRCPPFPLRQYGGFWLPEGILPALEAIHTRFETRPSDAFLASFPKSGTTWLKALAFATINRDEYPLSDEHHPLRHCGLHEPTVAATGSLDDFEALPSPRLLSTHIPYSLLPEHITSDDSDCRIVYVCRDPKDVLVSGSAERSWTIPQLKLVVEN >KN539309.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539309.1:1857:2568:-1 gene:KN539309.1_FG009 transcript:KN539309.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWKASARPVEERRAELVADAAGEDPGALHDDAAVGGVQDAEVVGAEGEDRAKVGADAGVNFYPDDDDLMDEDAAKPTPAPAPRLCSTIACGGDDGPHKTKGRGFRDNAAPRDSRLAGMGRAADFDSLGSDDAPGAPPGCLSLRMLKQMKQQQLGEQG >KN539309.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539309.1:89633:92691:-1 gene:KN539309.1_FG010 transcript:KN539309.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHEPARVLRGIKRIDQLAHGLMDPNKMSYEVSMEPTGWEVQDTHLVLMEGQSIAGVVLRTTADPGAGGGAATRTALAWELDALLLRLEAMVEGRSIGVQLNLSMVDFGMEYEERSRLKRGTCFKTLSSEMLGEVDMLTSEAANKLRFEQRITFPEIKKHPWFLKNLMKDTSEREKANYKDTNAAPPTQAVEEIMSAVAANLPDGCCSVTLATSLLPLSTQMPHCRQLTYVETQLNTRSVAANGEKSQSTAAAEAARTAEGRRC >KN539309.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539309.1:53483:54566:1 gene:KN539309.1_FG011 transcript:KN539309.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSVHREGGSAAMDMAELIPTLPLETGSPPFPLRRYGGYWLLEWVLPGLEAVHTRFEPRPSDVFLASFPKSGTTWLKALAFATINRTTYPPSGDAHPLRHRGPHNCVKFFESTFAISGEGGGGDGDRITSAAADDGDSGCRIVYVCRDPKDAFVSMWLFTMSNKVKGVTTTTDEHHPAAAAAAPSIEQVFDLFCDGRSIAGPQWHHVRDIDSLRNVRANRAGVTTDLAVRKESFFRRGVAGDWSNHMSPEMASRLDRVVEDALRGSGFTFGAAAGDSE >AMDW01025247.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025247.1:106:273:1 gene:AMDW01025247.1_FG001 transcript:AMDW01025247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDVDERGRRCFNLNDAG >KN541243.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541243.1:504:6529:-1 gene:KN541243.1_FG001 transcript:KN541243.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEADSSIGDGCDGCGRGRRSWLTVDDIPPEQHALVPSYMGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPISSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCCCCGNRHTKKTTKPKPEKKKRLFFKKAENQSPAYALGEIEEGAPGAETDKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNNGPLLEECGLDCN >KN541243.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541243.1:25875:29079:-1 gene:KN541243.1_FG002 transcript:KN541243.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVTTATAHFLLVFLASTISHSVICSALGNETDQLQLSSLLEFKNAISLDPEQSLISWNSSNHLCSWEGVSCSSKNPPRVTAIDLSHQGLVGRISPSLGNLTFLRNLSLATNRFTGQIPASLGRLRRLRSLYLSNNTLRGTIPSFANCSELRALFLDGNELAGGLPGAGDLPVGIEALVLSSNRLAGTIPPSLGNVTTLRKLACMNNGVGGGIPGELAALRGMEVLAVDGNRLSGGFPVAVMNMSGLAVLGLSTNGFTGELPSGIGGFLPKLRQLTIGRNFFQGNIPSSLANASNLFKLGMSDNNFTGVVPASIGKLAKLTLLNLEMNQLHARSKQEWEFMDNLANCTELQVLSLEKNQMEGQVPSSLGNFSVQLQYLYLGLNRLSGSFPSGIANLPNLIMLALDDNCFTGSVPQWLGGLKTLQSLTVSYNNFTGYVPSSLSNLSHLMELFLESNQFIGNIPPSLGNLQFLTTIDISNNNLHGSVPEEIFRIPTIEQVWLGFNNLSGELPAEVGNAKQLMYLQLSSNMLSGDLPNTLGNCENLQHIELDHNNLSGGIPTSFGKLISLKFLNLSHNKLTGSIPMSLGDLQLVEQVDLSFNHLRGEVPTKGIFKNSSAIQIDGNVGLCGGSLELHLPECPITPSNTTKRKLSVLAIVIPLASMVALAMVILVLFICKGKQKKNSMSLPSFGSEFPKVSYRDLARATNGFSTSNLIGEGRYSSVHQGQPFQGIDVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKFMPRGDLHKLLYSTPHDDRSSNLCSISLAQRLNIVVDVSDALAYLHHNHQGPIIHCDLKPSNILLDDSMTAHVGDFGLARFKIDSKTSLGNSISTSSFAINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRKRPTDDMFKDGLSIAKYADSNIPDRLLQIVDPQLVQELSLNQEDPVATDENAAHCLLSVLNIGLCCTKSSPNERIISMQEVAAKLHAIRDSISQRLLNQHQHC >KN541243.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541243.1:17375:22072:-1 gene:KN541243.1_FG003 transcript:KN541243.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGAGAELREAHRLTGHTDRVWSLAWNPSPGAGAGPVLASCGGDKAVRIWKRAADGAWQCSDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKSVWIWEMQPGNEYECVSVQQGHTQDVKMVQWHPILDVLVSVSYDNSIRCSSVIMADFKFSVAKVWADDGDDEWHCVQTLTEANNCGHSSTVWALSFNQKGDRMASYGMWGFPGFLSIVKFLRSKQVTRKVTNVIQLLFSDDHTLKIWDTSADLSQPKTSDNQESWRHLSTLTGYHNRTIFSAHWSSEDIIASGAGDDAICLFAEDKSSMVEGPSYRLILKKEKAHDMDINCVRWCPQDPRMLASASDDGTVKLWELRGNALD >KN538906.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538906.1:137051:142498:1 gene:KN538906.1_FG001 transcript:KN538906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDELDSMERETTATPMARSLFLPSHHVAVTPYKLPDTATSTLITSGFVVELWGELWVLVGPISSLEQEGFQDRFGFLRYGEDGRIEGELERILGPQCLISDSWEVHSPDWCDPGVNFGEFLGSRIWPTVPARNRGNWGPIWCQLGRNLEVEAIDPAGEMIAAVGRGPEGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDLASDDAQSPKPQRSRSRQHRGFQTKSFSRSMSCDSHSKASFSSSSRAHTKVDLSKLEMTALWRYWRHFNLDASPNPSREQLVDAVQRHFVSQAAKRLKTNVKVA >KN538906.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538906.1:82533:89183:1 gene:KN538906.1_FG002 transcript:KN538906.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAFRFSRILNPRLNPSTNLGLALASSFSSSSRPEQPELPGARGGGGGFPATIREGRAEIFADDSNLVFYNKAQVNNRDLSIAVLRSFISRRREEHDIQLRRGSHAELPPKHHAEELGHIRGSSEDKALSEEISYRAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEGNNYNLATHTIYKKLVFKVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLACIESHAIRHKRYIVPIISVHMDFYIRDKRNIALPNFSPLVPQECAECGHNFVMGGPIWSDPMHDKEWAASILSSIQAMSCAYSAYAKISAIMTSVSEELPKAPLFVSLHNLCATLKCTNPTIATFQSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKIHAVKYRPGNHPGTRILSQEPKLQAKFSHVPGGLAVQKSPRFVPNPEKYWGPKTKAGRQPKRLPVDNL >KN538906.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538906.1:144822:147019:-1 gene:KN538906.1_FG003 transcript:KN538906.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWEEMRARKGKCRPTLVSYTACVKILFDAGRPAEAREVFQEMVAEGLRPSCKTYTVLIEHLANVGEVFDQMPTMLFVTSTFCTALSLCTQYLSEVLHSLSHLCSTSGEEKIEQLLAFWNTLYIGKFEATMEIMDKMQEAGVEPDKALCNILVQKCSRAGETSVMTRILQYMKENFIVLRRPIFLEALEALKANGESDNLLREVNPHLAFEGIECDPAFTDLGYITVRSTILYLLASRNWSAVEHMINEMTPKNIKVESHILSDIIQASCANCRPSCGLAVLRYSLRIGNELDRSAYGSLLGHYIRNGSFDLVFEIVEILIKSGCNLGTYLSSILIIKLACAGHSSTAVRIFGLLTTDKNVVTYTALMSAYFQDGKVDKALQLFSQMSANGVSACPAESFQHLLLPEHDDIDTQDCCPKDGNI >KN538906.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538906.1:175295:175660:1 gene:KN538906.1_FG004 transcript:KN538906.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGSAGKWMDSLLKKYQDALHGHKEVVSAMQVGTFIAIKDLDSIRVLVEHLEVQISSMADSVEFAERDEEAVRFGIEEVKKKLELFMKSVDDLGEQADRCSRDIRRARTVVLQRIIHHPN >KN538906.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538906.1:18803:21314:1 gene:KN538906.1_FG005 transcript:KN538906.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYNGGIIQNGEFNSGLMGWSTHRDIKAGLSSSPSGNKFAVVQRADSLSAAAVPSRSVYQKIQLQGDTHYSLSAWLQVSAGAAHVKAFVKTPNGERVVAGSVSAQSGCWSMLKGGMTAYSSGPGQIFFESDAPVDIWLDSVSLQPFTFDEWDAHRQQSAAKVRRSTVRVVVRGADGAPMANATVIVELLRAGFPFGNALTKEILDLPAYEKWFTSRFTVATFENEMKWYSNEWAQNNEDYRVADAMLKLAQKYNIKNSQMKWVTPLNLDQLKAAMQKRLKSVVTRYAGKVIHWDVVNENLHFNFFETKLGPNASPMIYNQVGALDKNAILFMNEFNTLEQPGDPNPVPSKYVAKMKQIQSYPGNSALKLGVGLESHFSTPNIPYMRSALDTLAQLKLPMWLTEVDVVKGPNQVKFLEQVLREGYAHPSVNGMIMWAAWHAKGCYVMCLTDNSFKNLPVGTLVDKLIAEWKTHKTAATTGADGAVELDLPHGDYNLTVSHPSLGTNATVRAMTVDAAPLASERLINIKV >KN538892.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538892.1:92726:93292:-1 gene:KN538892.1_FG015 transcript:KN538892.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTLAPGNPLWSHDNPEVGGSADGANLARPGLPRLRLRGKHERHASLSSPRPSIGDTVPIASVCLLNLQGTQQSTRKSASRTANPAGTLNEWGWDKGIKLPRLTWATRRSGGCSGEGWPGRRKAAAQEGGRAVVGFDEDLWGKKFGEGADDVEAEGLGNRGSGVVEDRGRARPVRLDNVDGWIRQRQ >KN538906.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538906.1:161042:163651:-1 gene:KN538906.1_FG006 transcript:KN538906.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIFRGVEFAALRLWPCGSFLHADEDGRSVYHGSVRDGDAWLPNAVWAVEELVAGASHTRYVLLRGAYGRYLGAGAPDARDRDQERCACPLPSCPLPCCSLQAAQRDRDDAEPDDIMWRPIGCSGTDIAGSIVLLQDRSGRYLRGNQGFLSRHHGVSVDVNIGNEMTLRWEVVRVRVPTRPERPIVPHLPCWPLLNREIQFVTVDDADNFGFGSVRFTGRSVELLREDLMRRIGYDDFTMCVRACRHGRLTPLFIDLPHSRETLCIVLIRPNTPVNDRLLTSILKAEDDATKAAAVELQHRQELLWEREEALRVRTETALRRWEGRLQGRERATRVREDASLQRWMEGLGRRELALTQREERVSGMEATHRAATSRDKPSAPLLKKEDNIWEKRQMSLSISLLTPLALLFSVRPLIPAEYDHYILMAVIAIWGLGSLAFQFGLFGSNSGEKSFSRFVSRFVFISFTALVLYTLHLEMMEAKGYSAAPLSPLADVSNVTLFPVVLDDQTWTVIFWIYFVLVLSGHLYAWATAYITGSDKDLE >KN538906.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538906.1:1165:1935:-1 gene:KN538906.1_FG007 transcript:KN538906.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEPANPASAVPCEIYAPMDEAPLRLSREHRTVAPSQWAEHTEQREGEQRAGTGERVPPGKRRRRVVAVTGGEGEDEGGCRVGARDCAVPVMEELAASVREVKGQKRCAPTLGGGGERGLPSSDAVLVPASLSSYASPVTA >KN538906.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538906.1:94802:95900:1 gene:KN538906.1_FG008 transcript:KN538906.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIGGEVDVVVHGTVVDVTVRHALDMSSSCLFIDIVDAELFRVSLSAPLVQNVTEVGIESSVGVDLLAQVAVGVPVSWGTVVGGEVLGEAGLRKPALFAVKSKEPQWNAAPAGKRSAWVQPIMLGLAWTSSLTSLVTNMEEPKVMRVVEGNVVVVMKVTP >KN538892.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538892.1:31012:34054:1 gene:KN538892.1_FG016 transcript:KN538892.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPIKMKRGLLILWSLFCLSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQDHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHNNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCTNHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPTIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >KN538906.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538906.1:184103:187496:-1 gene:KN538906.1_FG009 transcript:KN538906.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIGSIFSRTIMSSKVPGLALLNTSISKSWSNEELVRFLAERKSTHSLPENVFVGMSISLIDPWNSDDPQSPKNGENAIIKSKTGYWKVVGTVRIPTSTVIVGMKVTLDHYEGQAPSGKRTGWVMNEYLIEQNDEANLPQDYKNLCTIFFQGDDILNAGDKQICLDANVPNERKEFYLQYLAELEEQNAAWNNQAVCVNEQDVSSSKGLDGQKTSAADDQSVNHAPSREDYIELNDFLNSDSSASTSEYSSQRTMISEEYFDSDAFLREIRNDHNAADEEHTDSKFSVAAASKSDCVVISPPEQGFVNNLDNHATIAGDSPQKSVQNDKVDEHSRSMTLRHAILIRTGRLNRFMPDLLIEHSQRRLQVLKQQ >KN538892.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538892.1:94527:99101:1 gene:KN538892.1_FG017 transcript:KN538892.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPQPSTPATQHKRKDPLADSPAFGQFPRLSVLTKSTCGLTRPFLVMLICLQVPTCHKGGLHVVVAIYLSLSPVTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQVSNAKSKELSGHGLFDPQDARPNGARNTANGTGASHTPVSSFSFGEANTDSVTKTAKKITGKKFTDLTGNNIFKGDEAPASAEKHLSTAKLKEMTGSNIFAEGQAPTREYHSGNRKPPGGESSIALV >KN538906.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538906.1:106724:109525:1 gene:KN538906.1_FG010 transcript:KN538906.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKIGVALGNEAINQATSYFKKFVTQLTELQGSMGRIKRELRLMHEFLSRMDVRNRNNQTYEIWVEEVRMLVHRIEDIVDDYLHLVGHKQDTGWGTYLKKGFKRPNVLFSLNKIASSIKDAEANLVHLFQAKERWVWMAGGRATGSKSSSYIIETSRHLANISRSLDEDLVGVDENIRKLHEWLTSDELQREVIALHGMGGLGKTALAANVYRNEREKFECHAWVSISQTYSIKDVLKCLVTELDLKKKIQEAINDLFSIFVSNLKGSRVLVTTRIDGVAHLAFPDKRITLEPLSEKKSWELFCKTAFPRDKNHECPTKLTVLAQQIVSKCEGLPLAIVSVGRLLFVRDKTEEEFRRIQNQLDWELINNPSLEHVRNILYLSYIYLPTHLKSCFLYCSMFPEDYLITRKKLIRWWVAEGFVEERGGNTMEEVAEEYLKELVHRNMLQLIEMNGFGRIKSFRMHDIVRELAIDLCRKEHFGRSYNCENKHGKFLEGKDERRVVIHKLDKHINQAILNECHSLRCLITLDEATPPSPCLLHLVADKCRYMSVLELTGLPIEKVPDAIGDLFNLRHLGLRGSKVKHLPNSIEKLSNLLTLDLNETEIQEVPNGIVKLKKLRHLFVEKMNELYGREFRPRTEVQDEVSLRRLGELRQMRSIRIWGVKESYCESLCESLQQMEFLSFLSVNASGKEEVLKLDGLNPLPPNLRKLNLRGILAEAGMLLGSPAAGDQNNHSLYSVHLSWSQLIEDPLPSLSRWSSLTDLMLTRAYVGELFVFHQGWFPNLKELVLRDMPDLKRLEIHDGAMTSLQDLTLVNLSGLTEVPSGIELLSTLKNLGFWEITQDFLAALRQCHRIHHMQWWYSVRGETDGAL >KN538892.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538892.1:25925:28301:1 gene:KN538892.1_FG019 transcript:KN538892.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGLTCEFYRMTKLYLEISGPGCSSLGDGAMLEIGPFFVNSDNRTLSINRYAWNNVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCRNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSAINVDPCSRYYVESYLNRPEVQRTLHANTTGLKQPWSGCSNIITPENWKDAPVSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVAGYVVGYKGLVFATVRESGHMVPTYQPQRALTLFSSFLQGILPPE >KN538892.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538892.1:8082:9965:1 gene:KN538892.1_FG021 transcript:KN538892.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGAVVVPEDAVVAAAAVGRHFSFPPPRTGGVGGDSCKKLAAQQIDLGAAVVGSWLDSMKASSPRHRLVAPAMRDAVRGVAARFPTAIVSGRCRDKVLSFVGLEELYYAGSHGMDIQGPTNAAASKGEEESVLCQPAREFLPMIGEAYAALVEKVEGVIPGAKVENNKFCLSVHFRRVDERRWGAVADQVRAVLRGYPRLRLTQGRKVLEVRPDVKWDKGEALRFLLSALGFSAAGDGEDDGDDDDAFPIYIGDDRTDEDAFRVLRGRGHGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLVTCAAA >KN538892.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538892.1:45136:59731:1 gene:KN538892.1_FG022 transcript:KN538892.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MILEVSQYLENYLWPNFDPAAASFEHVMSMILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEDRELNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKAGQTCDASEMLENRFLRNLIEEFLEILDSKVILSSQDGGDESVLNVSLSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDFSLCNIGSIHKRADLAKKLLVLTDVELQDLVCNKFFYQLLLLFLKLISEEDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFRITEVKQPNIGEVKPSAVTADVTFSISSYKPQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQCVRGCEVIEIRDEEGSLMNDFTGRIKREEWKPPKGEIRAVKIALDTAQYHIDVTEVAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLENIDFKDTFLDADHVVQSFPDYQVTFINSDGTENLNPSPPFKIKLSKKMRESSHALPGNVNSVLSAKNNMVDDDGPQKEKLMVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGTQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLASSLHLPEDVSYTCETAAYFWLLHVYARWEQFLAACAQNQDKPSFVKDRFPFSEFFSDTPQPTFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYDYQLVDVPDFRGRGESAPSPWFYQNEGEAEFIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLEETGNIHYVAGIEDIGHLVNFRLEHLRQMQYMQYYAPHANVPPSAVPENNADVTENGNAGNGMHKANDGMAEENGDAVMRNKMEEDTIDTVQEENKMDGKNPEANDMDMEEKTVDGDDDPKNKMEEGNTEAKDKMEEENDEAKNNMEDPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGIIRSDREFKVLSPAEIKDFLEEVE >KN538892.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538892.1:71155:71469:-1 gene:KN538892.1_FG024 transcript:KN538892.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAFGTAEQQPMRAATDALEGHEGGEASDASGDHHHLSIRFPLHLERCGVEAEQQAMRAVEEEVKMAAAPWIQSSQSSREEEVKMMVAPGSSLLGACTRRSRR >AMDW01036740.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036740.1:257:526:-1 gene:AMDW01036740.1_FG001 transcript:AMDW01036740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSRINEKMKALQSLVPNSSKTDKASMLDDAIEYLKQLQLQVQ >AMDW01022967.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022967.1:63:287:1 gene:AMDW01022967.1_FG001 transcript:AMDW01022967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ERLGFPYWTPYLAGKSREDFRYGANFAVASGTALNQLLFKKKHLSVAGITPYSLAVQVAWFKKVLAMLASTEQG >AMDW01040493.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040493.1:35:247:-1 gene:AMDW01040493.1_FG001 transcript:AMDW01040493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRACLPALGGDQFDLGDPDEQRQEVSFYNWYTFAASTGGFVGLVFIVWVENSKGWGVGFAVCAAFVLLGLL >AMDW01076631.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076631.1:81:302:1 gene:AMDW01076631.1_FG001 transcript:AMDW01076631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARAGIDYDSSNGKARPNDTILKLQRTSPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYY >AMDW01038361.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038361.1:43:391:1 gene:AMDW01038361.1_FG001 transcript:AMDW01038361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVEDYKMLSRRSLKSLQSEVPVAS >AMDW01040309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040309.1:258:1385:-1 gene:AMDW01040309.1_FG001 transcript:AMDW01040309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTTKSTAVETTVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALK >KN541083.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541083.1:1684:5532:1 gene:KN541083.1_FG001 transcript:KN541083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTVCTPQVIDLETEQGHSHIHSESFNRTGNDSSDQGAQHAVRGVGNATNIGLSDMRSYYDAGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTSMNRYVSHTQSFGLPSNQVVLGSMDEGSRNENAGENARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTHRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPSNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVNGMNNAPACMVRVAKISKNVSTYRMNLCVHTRSNWKPLCFQQADFPVQHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKECVGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >KN541083.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541083.1:22329:23887:1 gene:KN541083.1_FG002 transcript:KN541083.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPRDEIPRIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRSGGTGRAGLGLGGGGDDGAPGGRAVHVDVTSSAAGVLPPVPSSPSPPDAAVTVASVAATASLTDFAASAISAGAVANNQFQDRLFHA >KN541083.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541083.1:8790:9116:1 gene:KN541083.1_FG003 transcript:KN541083.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVGGAERSGDKQEAGLRRSGERADEAEKNEGGAKDNERGKKERWGLGGGGVVGARQPHRSAVVVATARAGRHPLQPPTLMDLNSSGRGGSEKTAATSGQDHQARV >KN540877.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540877.1:18815:24281:-1 gene:KN540877.1_FG001 transcript:KN540877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTNGTLPRTNGSTLPQEPHTNMSHDIGLSGESGSETEEYESDTSLSEKAEERIDRRLLLAARSGDSMAMRDMAASDPDVLLRTTNHGSNCLHISSIHGHLEFCNDVVRLKQPLLAAVNSYGETPLLAAVAAGHAALASELLRHCRELGFRDAVLKQDSVGCNALHHAIRGGHDDLALELIAAEPALSRAVNKNNESPMFIAAMRNSADIFDRLLAIPYSSHSGCAGDHALHAAARNGNSDIAKRVMETRPWLAKLPNRYGLTPMHHALLCDRVGVLRVLLEHDSSLGYVVGGTEDVPLLVSAAFQGRIGIAREILSYCPDAPFRSKNGWTCLSAAVHADRLEFVEFVLGTPELQKLVSMRDNQGRTALHYAVMKCNPKMVAALLSHGGADVTMLDNSSSPPSWKLWGLGDHTKTLNWNEVAMLMMEADPRNATSLHYLAMDAKIKVTNDSRTKAMFLTQTNIRSTSLVAILIAAITFVAAFTLPGGYNTDVGSKGHHIMAKKFSL >KN541083.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541083.1:6339:7398:-1 gene:KN541083.1_FG004 transcript:KN541083.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVRVDDRLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRITFENAERWLKELRDHTDANIVVMLVGNKADLRHLRAVPAEDARAFAEAHGTFSMETSALEATNVEGAFTEVLAQIYRVVSRNALDIGDDPAAPPRGRTIDVSAKDDAVTPVNSSGCCSS >KN541083.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541083.1:32236:34025:-1 gene:KN541083.1_FG005 transcript:KN541083.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESIPQRVISCWGFDTRLDLSNGHHTETKAGGPYYAVKKGRKDSRVSLAGKVRGSLPRANSTVDELLRVFAAKGLGAGDLVALSGAHTVGFAHCAHFLGRLYDFRGTRQPDPVMDARLVKALRMSCPYTGGSARVVVPFDVSTPFQFDHAYYANLQARLGLLGSDQALFLDARTRPLVEGLAADRERFFQAFAASMDRMGSVRVKKGRKGEVRRVCSQHLS >AMDW01070696.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070696.1:116:196:1 gene:AMDW01070696.1_FG001 transcript:AMDW01070696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALDKIRFLALTDKEVLGEGDTAKLEIQ >KN544472.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544472.1:22:1926:-1 gene:KN544472.1_FG001 transcript:KN544472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWCSGSNFKHRWGGVRFKRTMLELKPDQKQFIIDNSFESFLSLNNFKFHGKVIVFDKLLVQKITGLNDGDLPVKLSGANSEVVKEIRTLYHPYFVSNRLGTGMCEKLLLSLHDEEKFLRTFILYLLATILCPATGNYVNLDYLHSLVDVKMWSQYDWCTHVASCLMQEIRKYQRFSTEQRDSFFRLESASPCLLLHLRIIDYSTPRFFHVTDEDFKYVVVVDRCRMNLGYVTYGSRPFHARNEIPYLAQVHAVVGGSKAENAGVARAEDVPIGAVQDGVGIGAAVAQDGVAQHSASLNEWIRLSASSSQGTTYPASLKSIIEKHSAMWQDEFVSALDNFKRDMIDLHAKRTCDMISDISKVLLTATLQWAFPRLFQTHQALGWQLRLFQTHQALREQLRPFQNHQALRGRLRLQILMDQARKLQAVLCQAVQLLMIIFLLPALISHI >AMDW01037048.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037048.1:37:565:-1 gene:AMDW01037048.1_FG001 transcript:AMDW01037048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TPISDLDGKTIGLYFGAHWCPPCRAFTKQLREVYDELKALRPGNFQVIFISMDRNEEEFQASLSAMPWFAIPYSDTTVQELSRIFTIKGIPTLLILGPDGKVFKTDGRRIISKYGAMAFPFTESRAYELEEVLKKERDSLPHQVRDHRHKHELELDMAKAYVCDECQQKGHNWVFS >KN539292.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539292.1:83862:86849:1 gene:KN539292.1_FG001 transcript:KN539292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQGALRGPSDYSQEPTRHPSLRINAKEPFNAEATRRDLVASYVTPVDLFLKRNHGPIPILHDIDSYYVTVAGLIERPAKLYLNDIKKLPKYNVTATLQVDFGVLVDWWEQEDRNEQEQEATWGGAKLSDVLQLIGVAYHTEITPSGGKHVEFTSVDQCPEEKGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLDRIDIIEEECQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVDISTDGGKTWFGAVRYQKEGVPYVAGDISSDKWAWVLFKTVIDVKGDTEIIVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >KN539292.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539292.1:19696:21124:-1 gene:KN539292.1_FG002 transcript:KN539292.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSDQLPIYEPGLDETRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKQVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLSMAKFDWDHPRHLQPTSPTAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >KN539292.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539292.1:105384:108593:1 gene:KN539292.1_FG003 transcript:KN539292.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEALQQTSIPVTGSSSIAVSPENFIKCLKKFYHHWKEDGSNLWGSSTAIAIATPPPSDDIRYKKSLALSMWFFNRELPETIMVFTEKQIHVLCKQKGCDALKPLKMPVSKAVSIDIVLHNLAKGDNGSSFMDEILHAVCSHFESKSAVIGHLAREKPEGKILEVWSEKLNGSRLRLSDVSSGISDLLSVKDATEIMYVKKAAYLTASVMKKYVVPKLEKIIADEMKVQHSKLTDLTEKILLSPTKIDVKLKAENVDICYPPIFQSGSKYDLRPAAASNNDNLYYDSGSLIVCAMGAKYSNYCSNVARTFLIDCAGEKCNAYKVLCQAHDAAIAALVPGSKASDSYQAAVSLVRDKAPDLLPFFTKSAGTGIGIEFRETWLSLNEKNDRILKEGMVFNVSLGFQNLPEKTGDYKNKEFSLLLADSVLVCKEKPHVLTAFVSKADGDVFYSFDEEKTGSPSVKPSLNAKVMVPEKPVPKAELMLPLKENLRSRSRTPKEDLRKQLQAEILQKRTAEIAMNSYASNQKLLEGQGLRAMREPVAYKSTRDIPCSNRLEIQVDKQNEAILLPIYGVIVPFHVCTVKKAEIRGDSNRGVYVCITFNVPGTASNLQDPCLKTNANRIFLKAVTFISKDRKHADEVIQLMRIIQRGVTERAKRASLVSQERLQLCDRMTRDRIQLMDLWIRPTFAGRGRKSPGILVLHVNGFQYSVSKSEKIEIMFCNVKHAFFQPAEKEMITLLHFHLYNDIMVGNKKTRDVQFYIEVMDTVDSVGLRRRTAWDPDEIEEEQRERAQRSGINRQFELFVKRVNSIWSQPKFEQLGLQFETPSQKLGFNGVHGRTTCFIVPTPSCLVQLVESPFLVTSLREVDIVCLERVALGQKSFDMVFVFQDLKRDVIRIEVIPMTSIDGIKDWLNDCNLKYYESKLNLSWRKVLKEVMNNKESDENNRWEFLNPDASDSDSESSQTEDDQYEPSDADSCSESDDEDSDSESVVDSGEDDGAMDGSEDDGGDAAESWDEMERKARDADMEMGSESDSEDERQRRREKALAKSRRPSHPQAKGAAHKRQRIN >KN539292.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539292.1:118730:122112:1 gene:KN539292.1_FG004 transcript:KN539292.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKDGTLPWKLPGDLKFFKDITVTTSDRSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFITFVRVTKSIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQ >KN539292.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539292.1:11734:18444:1 gene:KN539292.1_FG005 transcript:KN539292.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGGGKGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLYLFLHYFDVPHDKTTVHRVNCHIEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVETLEKAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQCKWLLCSLFPLENMITTNDIKTARVVRRDNGAKADIPTADLVEKVNGLLDEVQKNLFETAKQKRDACLKVVNTWDEFIAALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGTTCFASGKPAKKWSFWGRSY >KN539292.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539292.1:110954:112270:1 gene:KN539292.1_FG006 transcript:KN539292.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASQPPPPQACQAAAFPRLPPATCYLRYKSRTSLRPSAFFATGHCFREPLPDRVSRVAPPRAHPLPIPAIPGLPGRPFRALPPTPRRSSAAAFRASPSGSAGSEAARGTKVVVRAARVSPPSRPQRPHRGLSSIPTLDMAYLMITVFSSTIQHSSKDVGVPPEYRIRRAVNTSKEKVSPGDSDIDTESSTNLQNSSVIRGYPTKCSVQLDM >KN539292.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539292.1:1429:7572:1 gene:KN539292.1_FG007 transcript:KN539292.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMDTTDCQDSTIEEGLHVEDNSADMENEKLSIRASKLVMQRKLTSLRSHKPLKANGVQDGNMFKSVSSISKESVAIENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGATNRPQELDEAARRRLTKRLYIPLPSPEARAWIIRNLLEKDGLFKLSEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >KN539292.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539292.1:25466:26908:-1 gene:KN539292.1_FG008 transcript:KN539292.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEANIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGVCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >KN539292.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539292.1:69712:74778:1 gene:KN539292.1_FG009 transcript:KN539292.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKSSSPAGKPAEAARAGSLLAGLPSRGNFVADSIASSMGGLPVYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEAKDVGSRTPGESSKGKRSASRLLDGKNPSKRANTGSTAGSSAHVLGELGSVFSEQTLQSFTVEKLRILLKERGLSPKGKKQLEFRSLVAGSLAVGHQVEQFVICLGEDVWLHQKHAQCTVDDCCCGVGIKGKEPSDCGG >KN548040.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548040.1:60:257:1 gene:KN548040.1_FG001 transcript:KN548040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TLLEVIGELEYLKPIVQQKQQQQQRNQQEKTTEDNIGSAMEPLNLTGNNAEIVRTLQHTTSSNKPQ >KN539701.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539701.1:64179:66718:1 gene:KN539701.1_FG001 transcript:KN539701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVGSRFCVPHEVALTVTRSTRYTWEVFRGDSEHESDLLFTVRRSTYYPKPKLDVDAFLASNTSQAACDFRVRCSYFRSSCTLYIGGSNTVIAQMNRCNVVSDFVYLGSKYSVTVFPHVDYVFIMVIAMIIDEIAREIRFRIATLYASN >KN541122.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541122.1:25453:27956:1 gene:KN541122.1_FG001 transcript:KN541122.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIMRQWRSLLLLLLCFVGSSSLLLVSSQTTSSSSDSCTAALAVGDLIPFNTTGLNCFQAWSSQDFILRFGQDASAGSNVWNFVLSAPDAGGYISVGFSPNGRMVGSSAVAGYTHAGQYELYLDILNVTPFV >KN541122.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541122.1:678:2718:1 gene:KN541122.1_FG002 transcript:KN541122.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVYVAAAVALVLTSCSVLCLGAERLGARECEELGFTGLALCSDCNALAEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYVYGSPPKLIMLDDKGDQKETIRIDNWKREHIRQFLKEKVKPVKSDS >AMDW01083915.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083915.1:136:441:1 gene:AMDW01083915.1_FG001 transcript:AMDW01083915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQQQQAEWPAVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >KN541122.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541122.1:14013:16255:-1 gene:KN541122.1_FG003 transcript:KN541122.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGSRRVAMRGIGSTRSDGSTLLHMATVRWDSRRTPTGETHGDRQGKAQGIARPGPALWKAVPRSRRWCWAASWWHDKGEEMEGINNNEGNKKGPTVGIEFLLTNHHTFLVGMGLVLITSFLMLVILDGHPPLITVLLFVPVTVLVIVAVCACAGLAIFCFEEGQIEENRRLQNSPV >KN539701.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539701.1:1964:3293:-1 gene:KN539701.1_FG002 transcript:KN539701.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADEESSDFTFCKVDFVGDGGLEFPKAILVASTPGDAGADNLKTKKIEGNMQTNNSIKDQTSNSISSSINRVSLEDSNGKESVLSGENAQSNSSSQPKPSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGKL >KN541122.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541122.1:10300:12217:-1 gene:KN541122.1_FG004 transcript:KN541122.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATLPKLRFRPKCHGHFQAIYPIHVHIKNCNACKCQIPTHGLLVSSARCRPVLPVSAVGSGNAGGGSSVAEDERKSGLSLQNAKTSVVSRDDETINVRVDLAGKATQKVFDEALTSLARDAPPVPGFRKSKGGRTSNMLGKSRVTKFVLQEILSITVGDFVKKENLKVNPEIKTTQSEEELESSFTPGSSFSFSVVLQLEKPESDETSENSESDEASI >KN539701.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539701.1:42797:50148:-1 gene:KN539701.1_FG003 transcript:KN539701.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDCRDKLEEKESEETYHGHYTYGDLGEKCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHFVFSQLMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALVFTFPVMMHPIHEIVEERFQSSGCFQKLSHKVRGAEWVGLHSSRIIMVDCRDKLEEKESEETYHGQYTYGDLGEKLYICIFADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVTGGWRRRRKAGRSWSWIG >KN541122.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541122.1:33220:33612:-1 gene:KN541122.1_FG005 transcript:KN541122.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRTYADDEVLGAPCIEAGEVGGVEGDELADAGGEARRSGARVARLRPRHGAQAAEHHHHEEQERPIDHGRTARSRLHRFNFLLNLSARFVSCSSLGTGGGELELWAAAGEEENWVQLLVAHVVALQM >KN539701.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539701.1:33415:34976:-1 gene:KN539701.1_FG004 transcript:KN539701.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAPDIWHWTRSLPNPKHWRGESYSLQICNSPSRNQSLNLIISWHSETQSFNLSYSICAEHHDPVSLWSSHYSRLKSVNGSDVAVHFLHDIICGVLGYGPYSNKMSPFRLPNLQVSEDSGKIFNLAALTLALMVCIYEAPSTLRRDLIGTISAQLMRSDMWGAAKKLMLAMGSDMEEQWMRSLNLAVTNWIMETRRSGGTPVSPFAVFSYAVSASRLWKVELYCPVVAMIMEHPAHQTKDEKLQFSLNYQHLEAVIQFIYRVTFRENWIDVTVNVDNIRCDLIQLVSETLMAKQGYGSDEKHFPSRISLQLTPLVQTDILSLTVSRSTDNPAQEVDTEMGLDASLSAAPATIGITVSAHETVTRTLRPWKFEHSVHGNTAALNWFLHGGAEGREVFSSEPHKRELLQPRSWFRNRYTNPGRPFTRGGGVIFAGDEYGESVCWRMPAAAAGKTVEWEMKGRIWVTYWPNKKRTLHVETRRVEFRELLRLTIRE >KN541122.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541122.1:3345:6753:-1 gene:KN541122.1_FG006 transcript:KN541122.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGGGGGEGQVEVEVGVGMGMDGKGMIECRICQEEGDEGAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQVYSPNYVLPPTKCCSAEMDMDLRQSWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSSNSSGATCCRTVVLILMLLLLVRHVVVFVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYAFQHRRRRQV >KN539701.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539701.1:82085:83934:1 gene:KN539701.1_FG005 transcript:KN539701.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSMRRKAYSLQYTWEVFRGDSTNANRLLFTVRRSSLLPQLKLEINVFLAGNTMQNACDFRIKCSFFSRSCILYIGNSNTPIAQINRKFSGLSDMIFVGSKFSVTVFPHVDYVFVMALVVILDEIARDIRRGAVIQISTSQRPGRSTR >KN541122.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541122.1:20212:23294:-1 gene:KN541122.1_FG007 transcript:KN541122.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated protein VIPP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65260) UniProtKB/Swiss-Prot;Acc:O80796] MLRAWQSAKFSLRLIQVRQSNVNRFKCNGIRSNLLDRFSRVVKSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLVSNTRWALPPSHNIVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKEISGSSSKGELPPGRTAVSNSGAARPFRDIEIENELNELRKKANEY >KN539701.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539701.1:23757:28894:1 gene:KN539701.1_FG006 transcript:KN539701.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELELLLFLLALRVGFYHAKCPVAEDVVLGEMRRIPRSRRLCTGCITTTASSSRRSSVPLPLPDPSSSRSETSAVRSEGDAAEEKSEEQRPGRRRKRKQRGPTRPTIAHLTEEAKQRLLRVVTPTSPGTTVSPFAPAPRRPQYPPFPENGNVEDVRKWNDECHEFRYLFINRLRFCKSPIWVRDLGFERDKDIPIKTEPKDPYTTEAIQSSRDKVVVLQAARAIVGISHIMDDGQRRPQCTGIIIKQWSDDTGHHHATIVTYSRIVCEAGRKRDPLPKLSVCLPNKKTVLDAELIYFNDHYDIALLHINLEVTMELPSFGRGPEYGQEVFVLARDGEASLRARRGDIQRLEESDILGRDHYMFLSCDIPEGGNGGMVIDNDGVVRGMAIYCSPYPAVTSISTIVKCIDMFMEFNQVARPLFGIGVRTIALLDVQLQEDISDFGIKGGFLVDGVYNPVAEDLGIKRGNVITSINGKGALTLPELEDYLLSLGCDYLEDRLNCIKDIKLRVCDLKSGVEIDVTLPVRFYDKSERSSLDVLLVFCHAADTSCKVVEIVVDLSFGLSIKRYNLVTQ >KN539701.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539701.1:60076:61382:1 gene:KN539701.1_FG007 transcript:KN539701.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTEPVVVVGPQYCAPYVVPLTVTKKSISLTDGDFTVTDANDNVVLNVKGTLFSVRHRRVLHDAVGQPLLSMQEKILSMHNRWEVYRGDSAHSCDKLFTVKKSSMLQLMKTEMDIFLAGNTAEQVCDFKIKGSYFDRSSAFYLGKSNTIIAQMNRKHTAASVVLGRDVFSITVFPQVDYVFIAALVAILDDVHRDRKE >AMDW01039972.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039972.1:705:1172:1 gene:AMDW01039972.1_FG001 transcript:AMDW01039972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFALVLAGAFLVNCAQQPQPYADPSNDDPNAGYGSSGYGYPSQQGQNPAQQGPNPAQQGPNPAQQGQNPAQQGPNPAQQG >AMDW01015807.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015807.1:32:223:1 gene:AMDW01015807.1_FG001 transcript:AMDW01015807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCEGLGLRGATLEKASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQ >AMDW01077982.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077982.1:50:334:-1 gene:AMDW01077982.1_FG001 transcript:AMDW01077982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSVGVFLLPFMLFCLYLGLQERKLIRTKQRFFEQNGGVLLQQQMRSYGGTSGGAGGFKIFSKEELEKTTNSFAADRVLGRGGYGIIYKGILEDMV >AMDW01040406.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040406.1:59:1479:-1 gene:AMDW01040406.1_FG001 transcript:AMDW01040406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILDLCPHEKLLSLYRVPTFTSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYFTLPPKRDAVDDSDAVIISETGKEFNIDEIYKAESSYIGGLKSLEEFRHIEIPSNAPPQIDEEMLEDGKKQNEPAQENHDESMSDANEREGAKTASASTQNDKLYTAEGILDPRKRKAEKKKRKANKFSVLTDMDADYDFKVDYQMKDAPAEEGNDGGDEEPKEADPMTGVDDA >AMDW01018220.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018220.1:12:221:-1 gene:AMDW01018220.1_FG001 transcript:AMDW01018220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQE >KN541785.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541785.1:585:14035:-1 gene:KN541785.1_FG001 transcript:KN541785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRRTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKEILLCSSSPCFFNSSRLQRIRIIKRKLDLSTEDSVVLSLMQHSPSNLKHCNEPVIFDGYKILGRDNDRANVKNLLFQNDADKFSIIPIVGLAGIGKTALARLIFQDYGEEWNFDQRIWICIDRKLELNKIANDIISQVNKKEETISEFVLNDQIHNNLQFMKNRLREVLSDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYKLRPLSDDDCWGIFCQRAFDSGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHRFVIDREKLIQQWIALDMVGSKHGALPAYVRGEMFIQELLSLFFLEIQKIPSYGILVILSFMLFPFYVCSWCVVRNLLMMIDDYSSSHAKCGRYSNTQLPVQKPVSATGISPTNRRTLLQVNSLVHAFAKYVAGSDIVISDGRELSRGPSAEKVSSTYAVLINHTGHSTLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYDLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLHALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYHLPLSSGLPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNAKLVTEETSDERVTLRKIRSLHDLGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEIIIQIHSEKENCKKTKKAMKNAASVTGVQSVTLCGGNRNLLTVIGEGVDTNKLLKKLRNNVGAADIVETMPAEAEEFEAAAAVSGSKNFMKMMPRWPKSWSFVKQESCLVDQLNFPRHSLGVC >KN541785.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541785.1:17161:20316:-1 gene:KN541785.1_FG002 transcript:KN541785.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPSVRGIPGQETRWTTHGCSSNFQILNPSIGGSCTGGARQVFDEMPSWLGAGASAALHVQHVQPFNRNGVDKTPTKCSMSGPSFAVDTPMAATTSTATTMVSFTAPVVSMTYSTSTPVCPPAEPTPIDIFNQFGMQIARCQEAVDNLASMLNSRETKPEVLPEDMLDSECSSHTSGMLLVSSPSHIMPISSSATITQVVQVKAEKPEVTEVLDAIPEKKRGRTKHRKTIKRVSWVHVGCRPVRWPIRFLQSCKGM >AMDW01030325.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030325.1:42:419:1 gene:AMDW01030325.1_FG001 transcript:AMDW01030325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KQSILEVEANNLKMELGALVEAKEVATKAFDAEKAKITKELEDVKRKMEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSELEVKRLKMELGALAEANGIAVKSFDAEKAKFIREMGDLKRKIEEIQ >KN541923.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541923.1:6828:8112:-1 gene:KN541923.1_FG001 transcript:KN541923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTERRRRRGQRQRRDKHKRVFLLDGDAADADDTGGGGIGMSNFRVVAALHDRTWQHDGAVPLACVFTSGSDGGWRVLQSAAAAAVDLPERFDFINFAGRAGGCLYWGIDGEDGAMLVLDVATMRFSIDMFPETIRASYDKWTFRVIDGGDGGYALRVVRVMRNDLKVFAQLGGSGEWVVERLVSLPEATRELPGRRETYFRQEAKIVAANAAYVLLTPQEKKRWLFLRGARDGEGGAPP >KN541923.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541923.1:11623:13472:1 gene:KN541923.1_FG002 transcript:KN541923.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRCLGAFLKHERDVRVNMSRFTVICALYERYDGGVPDDVGTAMGYSFCRFSLDALPAGLRWSSSPQHDDDEPPFHVVDHGGEPYPPFIDSLAARIVGLSGGELRVFSRWRDEDGWELLNRVSLSEITRAPPPHADRNDDDRFSSLSGNGVTAILACRRPCWASGPVAGAGEGREMMMMVYRMRRWWGRVDMWSVAGVRVARGELCMDRRCLGAWHVGASLCSQN >KN541923.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541923.1:9225:10550:-1 gene:KN541923.1_FG003 transcript:KN541923.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERSRRRREVKLRKAWRKEAATAGPTSVNDVPDEVLELVLLRLGDSLALLRAAAACKRWRRLVADAGFLARFRSLHAPHVVGHYHVVDPTFAGALRGGNHVFVPERSPSPADALDRRRLSLDFLPEPDGDRAWWKLADTRGGLVLLYPKTWNASFPDMVVCEPLTRRHQGILRPQEMNSPYRICFGVFLIDGAAADETGGCISMSNFRVLSAVYEPETPYCGYWHGHGTPPRPRAYVFSSGVDGGWRESDSGSGVELPSLELINFVGRAGCSLYWGLDGKDAMLALHKTTAEFSLVTIPAIVGESYHPSTFRVIGGGNDGTMRVVRLIGDDLKIFTQLKGSGGEWVVEKLVRLPVATRGLPGHDVGLFHDYARIVTANERYILVTPRVATWLFSVEVETPVVEREHVRNKYAGAAYPYELPWPPVLQACIAGRRRSRR >KN541032.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541032.1:486:20682:1 gene:KN541032.1_FG001 transcript:KN541032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLIKWLEAGDKPIYVGFGSLPVQDPAKMTEVIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKDNAVELAKAMESEDGVSGAVRAFLRHLPSRAEETAPQQTSCFLEFLGPLSFKLHAIPLSTPSGHLGNWFLAGADWRQAPSSALFHEKLTSVGTSRIASINSISQSLRCRNENQDSSARLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLIKWLEAGDKPIYVGFGSLPVQDPAKMTEVIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKEKAVELAKAMESEDGVSGAVRAFLRHLPLRAEETTPQPTSSFLEFLGPFSFYDIFHVMALQAIVALYMCQYHLEASLSVSSRVIILVDPTAEGIC >KN541032.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541032.1:29609:29911:-1 gene:KN541032.1_FG002 transcript:KN541032.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASRIRLQPPFSTLAARALGDSPAHEEPFLTTRGAGVVVRSAPGMLIGDGQIERRQELLSPYLLLAPSPHRTRWEGQPIACGGGSGNSEFGGFHIQAA >KN541275.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541275.1:81:2643:-1 gene:KN541275.1_FG001 transcript:KN541275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLAFPQDSPPLAILCAAKVAGVSLTIDPKLASGSAPTLHLGSGDFIHGVNTILRYIARVASVTSFYGQDAIQAAYVDQWLDYAPVILSGSEFEAACSFLDGYLASRTFLVSYGLSIADIVVWSNLAGTGQRWESLRRSKKYQNLVRWFNSIADYSDTLDEVVSAYVGKRGTGKSPAPSLKEKLPDSKQNISTPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDVETLGIKYDIVTYTSDYFPQLMEMAENLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNSVEDNLSLWKEMINGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQEMGLRRVEDWTDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCGRHTAVLKDQRVLFTLTNGPEEPFIRVLPRHKKYEGAGKKATTFTNKIWLESADASVISIGEEVTLMDWGNAIIKEIKTENGIITELLGELHLEGSVKMTKLKLTWLPDIEDLVSLSLVEFDYLIKKKK >KN541275.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541275.1:15029:17124:-1 gene:KN541275.1_FG002 transcript:KN541275.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKVVAATGDNPSGVKGADPEAVAVKVSTANDDDPVKRMEDEDSQAFEDYEDDVGTGGEHVDGLFKEEHVGVQDEHCEVPAAADDHALHLEHDVTSAIDAVKKDGGEHVDGPFKEEHVGVQDEHREVPAAADDHALHLEHDVTSAIDAVKKGGGACVTGAQLNKVGALAGKDFGVGEEEPVTKAEAAAARRRHQSRRRQVFHCQGREEDRLRGRARRARRHREGRHRGGSLRGQGWPHRPSGGGMDDMAPHERGEQDRLDALGGKVPAQVAGAANISDEKDSDHKEEEEKKEGDEEGMEKDSDREEEDDDDDLALPLDPGYEAPPPARKLLLLAAAGGACTAVFLALFANAHPSSDHLLSSDDHLLPPGPRGATSTGVPLPVPAQSLLPRAPAAAAGDVFFEGQQFGHARGGTSTTVVPRGGTSSPYRFHSIVAGTMVTLSLGMMILLVSFCLVPE >KN541275.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541275.1:10569:14561:1 gene:KN541275.1_FG003 transcript:KN541275.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MASPDEDPPTEPERWRDLDMLLSRPGNLVHADFNPSPGLRDSLGSLVEVLVVGAGGLGCELLKDLALSGFKNIHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVNIVPHFCRIEDKEIEFYSQFSIIVLGLDSIEARSYINSVACGFLEYDSDDKPIPETLKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEALKRAELFGISGVTYSFTQGVVKNIIPAIASTNAIVSAACALEALKLISGCSKTVSNYLTYNGLDGTHINVSEFAREKDCLVCGPGTLIELGTSTTLSEFIKMLEEHPKLLMSRASVTHEGDNLYMQAPEVLEQMTRPNLGVPMFELLKGAARATVHVTGMTENNGKKVSSLRKLRVAFKGVEESSKMDESS >KN541275.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541275.1:4439:8122:-1 gene:KN541275.1_FG004 transcript:KN541275.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLQVVPFLRGTAWQQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEAFAIYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMIKLLSRHIGKSVEEIAQDIKRPKYFSPSEAVDYGIIDKVLYNEKSQEDSGVVSELKRSNLI >KN541275.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541275.1:20112:20804:1 gene:KN541275.1_FG005 transcript:KN541275.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLPQQQQQPQAPGRYIVSPSTDAPRRLAFRIRKRGLRNHAGGGVSRLLILNRLGVWSVVHDRYIQRSRYHRYCAFVRRFERSGLHELYERLAEVDAAADGADQYGGAARDREEVRVLRRRIATIYRAVKPAGQKTSVALKRYRAMQARRAADAAERLRRKKKLVRRALVVFALLPAMETVMLGLTLLRDVLASDSYTAWRNLCVWLAYFAWVCCFRFICMYVNVLAK >AMDW01039705.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039705.1:13:970:-1 gene:AMDW01039705.1_FG001 transcript:AMDW01039705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KEKMSSSYDEGKSFARRDLLLKIQSEVQKCWEENKVFEAKAGDTPPSPGEKLFGNFTFPYMNGMLHLGHAFTLSKLEFAAAYHRLHGSNVLLPFAFHCTGMPIKASADKLAKEIQQYGNPPVFPSANKNSKVELTNDRIYGQTTASAQDKYRSKRSKSVAKSGSYKSQWEIMRSFGIADGEIVEFQNPHHWLSYFPPLAMEDLEDFELSCDWRRSFITTNMNPFYDAFVQWQMRKLKKMHRIVKGKQYMIYSPLDRQPCLGHDRASGEEVEPQEYVLIKMKVIPPFPPKLMVLEGRNVYLAAATLRPETLYGQTGGEAT >AMDW01010611.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010611.1:1:168:1 gene:AMDW01010611.1_FG001 transcript:AMDW01010611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPDAAVDIAAQ >AMDW01037826.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037826.1:80:614:-1 gene:AMDW01037826.1_FG001 transcript:AMDW01037826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding REKTKQLRLGDIAPYFELPIAKAAKKLDICATALKGICRKHGVLRWPYRKVRSIDRQIATLRRSGNGDATRNEIQTLIASRRRIVAGLDQ >KN542009.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542009.1:2879:6544:1 gene:KN542009.1_FG001 transcript:KN542009.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MACGDVIYRSTLPRNDGLTITAPGVALAVAAASYLWSTPGVAPGFFDMFVLAFAERVFRPTFRKDEFVLGKKLGEGAFGVVYKASLADPKEAEKQGAVVVKKATEYGAVEIWMNERVRRACASSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDVKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPSLIRDLIEALSMLCRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTGAKAALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVVGLMARSGTEAEGGFTEAQLQELREIKPKKGSARRNLLASVLRVQRKVVRTINESMDELSSQSKSIWWSRWIPKEE >KN542009.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542009.1:19887:20200:1 gene:KN542009.1_FG002 transcript:KN542009.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRGEWWRGIGGIGEVERKAQRSYWEEHSKDLTVEAMMLDSRAADLDKEERPE >KN542009.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542009.1:9391:15836:1 gene:KN542009.1_FG003 transcript:KN542009.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGALKDSTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFAQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVREAPRMVPVREPLELPERLLLTYKPEEQEEDSVPDPVEEEKPPVEEPVPVPPVTEAVSPPPPPKTKVADTGDLLGLNDPNPSVSAIEESNALALAIVPTDAGASTSSTATWQDKGFDPTGWELALVTTPSNTNSSAADSQLGGGFDKLILESLYDQGDYRQRQQQQLYGSSAPNPFMSNDPFAMSNQVAPPPSVQMAAMSQQHQQIPTMMQANPFGPPMQPQHVGMGPATNPFLDSGFGPFPMANNGHQQANPFGGTQLL >AMDW01040697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040697.1:47:1600:1 gene:AMDW01040697.1_FG001 transcript:AMDW01040697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVRNILYLSYIYLPTQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRGGSTMEEVAEGYLKELVHMNMLQLVERNSFGRIKAFRMHDIVHELAVDLCRRECFGVSYSCENKRFEFLEENDERRMVIHRLDKDINQVISSECRLRSFIALDKAMPSSTLLPLLSEKCRYMSVLELSGLPIDNVPDAIGDLFNLRHLGLRDSNVKLLPNSIEKLSNLLTLDLCTSEIHELPRGIIKLKKLRHLFAEKANDRSGRQLRCRTGVCIPRGLENLRELQTLQALQAQDEPLSWLGELRQMRSIKIWDVKGSCCECLCASLRHMEFLSYLSIAASDENDILNLSSLNPLPPNLEKLRLRGRLAQANMLLGAAGGQNHLYSIHLSWSQLVDDPLPSLSRWSNLTDLLLNRAYIGDELVFHHGWFPALKELYIGDMPRLKRLEIQQGSMASLQQLYLVNLSSMMEVPLGIEFLMSTLKSLGFAEITRQFLAALRQCSRINCGIQWWYTLLGEDRTQEASI >KN539120.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539120.1:43898:44544:1 gene:KN539120.1_FG001 transcript:KN539120.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTENPDLWKWLTDQEQPPEAMNSNPVFIAVKLKVTENLSKHSSPETRSAPGQPWVRGWDDKRGIEGPKYGNQ >KN539120.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539120.1:100688:102495:1 gene:KN539120.1_FG002 transcript:KN539120.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQHAAVLAVGTANPAKCVVAQEEFVDWYFRVTQSDHLAGLKAKMKRMCDKSAIKKRHFYHSEEIIAGHPEFINRALPSLDARLGIAKDAAALRLEPGKLAASRRVLSEYGNMSCAAIIFVLDELRRRRRRDGGEEEEESDEYCEWGAMVGLGPGLTIETIVLRATGGGHVDDEGKESIAA >KN539120.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539120.1:12166:12771:1 gene:KN539120.1_FG003 transcript:KN539120.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAAGWVGPVPHDQLVGVAASRAGPLLQVEADPVLDQAGLVMEQGSPSRPLQTSAQLELGQLAHDELAESAHRETPTPPVGSAVAVSLGLHCPVADPTQHGGVHVPEVVASPQGDASPGSPRPGSPRPLITFSRRAKKGLSAALLPPPPTPAPPTVTPFTPRRSERQAAQPLHGAPTMSRCQVVLSKRLGVEADVPPRQ >KN539120.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539120.1:45287:52309:-1 gene:KN539120.1_FG004 transcript:KN539120.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAESEAERMRREKKDGVVKEVIRLERESVIPILKPKLIMKLAYLVEQDKDRGEFLKLCRRVEYTIRAWYLLQFEDLMQLYALFDPVNGVKSLEQQSLTPDEIETLELNFLTYMFQIMEKSNFKLLSDEEYDVAQSGQYLLNLPIKVDESKVDKKLLTRYFKAHPHDNLPASADKYIIFRRGIGIDRTTDYFFMEKVDVIISRVWRSLLRVTKIDRLFSKKKHLRTKKDPKKTDEVNEQVEEQEFSVERIRLEKMELRKSNTEAKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLVTLIGSLEMPKADVWVVIAILSGLIGYCAKIYFTFQANMVSYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATVQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIVCVPLKRANDIIGQTTEEMKQPEIQKDRRKIEEFCGMTYRIRVHCLGWLFLTRETGKDISYEVEDLEQGADVGLVHGVDVLLDPGPEHQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIVCVPLKRANDIIGQTTEEMVMRAQQASTGS >KN539120.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539120.1:82926:83462:-1 gene:KN539120.1_FG005 transcript:KN539120.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTRSSGRRCSAMARAPSSSALAGMSARCSRWCPPRRRCCPTAEGGAEGQLNASGLVFRPSFELPAMVRDNIEQCLAEGVGKHVAHDGWNDLFWAVHPGGRKILDVVEERLALAPEKLDASRRVLSEYGNMSGASIIFVLDELRRRGDMPPGGLGVMLGIGLGISIETMLLRVVAA >KN539120.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539120.1:9504:9860:-1 gene:KN539120.1_FG006 transcript:KN539120.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFAGMSLSSIARLLLPMIVLHHQPRLLEADNLNLTAGSTLRPLQVLSTSPARPAISPSASAPSTLVSRTRTACSSSPYGSMDPSSGLCVGGDSPGSVLSRAPRLRQPLVPRRRVVG >KN539120.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539120.1:137468:140281:-1 gene:KN539120.1_FG007 transcript:KN539120.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase [Source:Projected from Arabidopsis thaliana (AT5G13930) UniProtKB/Swiss-Prot;Acc:P13114] MAAAVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVELKEKFKRMCDKSQIRKRYMHLTEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPNVSRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLAVCSEITAVTFRGPSESHLDSMVGQALFGDGAAATTIPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALGDAFTPLGISDWNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGMDWGVLFGFGPGLTVETVVLHSVPTPAGAAA >KN539120.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539120.1:53375:61816:-1 gene:KN539120.1_FG008 transcript:KN539120.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSESYFGINLRPLDPPEEVVYTLLPNMCYYEFIKVEKDGDGEEAVRDGEVVDLVGVEVGAYYELVVTTFTGLYRYRVGDILQVAGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKPLLDPLSCVLAESRDRSIGPLEIRAVAPGAFDALMDMCVSHGSSVNQYKTPRCIKHPDAIAVLEQRVVGRFFSDAVPHWEPLKLAKMTNHRNIFCEVAKYHISETYIYSDRTPFDKLPDKYFCPVCGAPKRRFKPYEPKVAKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALAGLFFYLNSVY >KN539120.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539120.1:21073:23229:1 gene:KN539120.1_FG009 transcript:KN539120.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRSQGKLYSKRADAEFTTGRFSMGIQTDGNVVLYVDLLAGNSPDNAYWQAYTNSPDGNTTVTFDEQGRLNYTLHNGTVQSLISSSTGAGGDYYRLARMDPDGIVRVYFSPKNAAGAGNASWTISGAFPSDGCNKRTSGLQGMCGPGSYCVETKDRLSCLCPSGYTYTDTQHKDSGCSPEFVPQTCEGGGGGDNSDEFALVELPSTTWEASIHYKKFTSTNESQCRSYCLNDCYCAAALLIAGTDCVEMAALTNGRQANDVTTKALVKVRTRGSSGRRPPARARTAVPYIVATVCLAFLLLATIVAGGFLARNRLGKNRDRESQPLLTTSVRAFSSKELHQATNGFAKLLGKGSFGEVYQGSVRSPEAVRLVAVKRLISSNEYSEREFANEVQSVGQIHHRNLVRMIGYCNQGTERMLPDNILIDGKNSPRITDFGIAKLLGDHTVHATVTDVRGTRGYIAPEWLRGDARVDTKADVYSFGVVLLEIITCRRCQEPLPPEDHLHGGGDDATVTLFGWAGQLVGAGRTELMLPGVDDDYGDGVAAAADMERVERFARVALWCVEANPVVRPTMHQVVQMLEGGVADADAVPPAPDPPGGCLHSSPLIPMANKVE >KN539120.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539120.1:2280:4108:-1 gene:KN539120.1_FG010 transcript:KN539120.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQDLLLMILSHVPMRDAARAACVSHAFLHSWRCYPNLIFNAENLALNRKGVNDNETPVDFIAIVDNIMRNHSGVGVKIFKLELGPGYAVHPSHLDRWLKAASTLKIKEFAFELPLRNKTEYTFPYSHLLSDNRGGNSVQSFHLSSCTLHPTLQFECLRSLKSVHLSWVDITGEELACFISNSFNLESLEISSCCKIGFLKTTSVLQKLNCLRVQHSHRLDMIEINAPMLSSFHYRGPLTVISLGDAVQLRDVNLLLYPWHRMFHYARTKLPTIARNVENLFLMTRDEDVNTPMVPNKFLYLRYLEMVFIGPRKESPPCYDFFSLVSFLDASPALETFVLHLDSVGTKNDRILEDSSELRKLPKCNYSNLKNVKITGLMSSKTLVELISHILDNTPSLEFLTLDTRIYGFKYEIRRFLSWDCGIMMTSDDQMESELDRELLMSDSDLIEAYRAPQVIRRYIEGKVPSTVNFEVIEPCRKRVIVETSRGPRLYYA >KN539120.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539120.1:95385:95585:-1 gene:KN539120.1_FG011 transcript:KN539120.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATAMASPLPAMETAHAAVLAIGTANPAKCVAQEEYVDWYFRVTKSDHLVDLKAKMKRMCEIS >KN539120.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539120.1:33047:41356:1 gene:KN539120.1_FG012 transcript:KN539120.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAGIPSTGVAMELALIGETIVRGPASRPCRVHISRERAVVTDTEEGGGPRSGLHHGNFPCFDIELAQPDCSIESPTSISTYQRRWLISPFTGLSPSLFSCLVNEPVDILFYQGDTREVLFTVSSSTNKRQGAFFTVWAALIAADVAFFFSASLSSAASASVPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRPSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWANVCDLLLLFFIPFLFQLYASTRGALWWITRDVRTMDQIRMANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAIVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLICYIENRLFNYATMYYFGFEDDVMYPSYMVLFTTFLGLALRFCYSLSLPPCFFTGLACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSEFIKAAHLSDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKNFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAADKGVTHGPPGRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLILNVTLTGGSNRAIFFLAPILLLLNQDSDIIAGFGDRQRYFPVTISISVYLVLTALYRLWEETWPGSGGWALDIGGPGWFFAVKNVALLMMTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTVRVLGLLGAIYSLSQYVISRRIRLAGMKYI >AMDW01037255.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037255.1:88:510:1 gene:AMDW01037255.1_FG001 transcript:AMDW01037255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VMDTNLCSDDEQEDHIISEEDDFAVEEVESDSNALVADKTKLLEPAKGMLFDSEDSATCFYKSYARKLGFGVIKRGSKKTKDGKVRYFTLACSRQGKAQYTSTNKFKPNPSTRQQCPAKVNFYLHDEKFCISTLTLDHNHA >AMDW01033249.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033249.1:2:305:1 gene:AMDW01033249.1_FG001 transcript:AMDW01033249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PGQTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKENAQPLEIERPVYVK >KN539001.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539001.1:111241:114286:1 gene:KN539001.1_FG001 transcript:KN539001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLPPLLLLLGLHAAAAAVSELSLPSNALAGGIGGVAGCTALEVLDLAFNGFSGHVPDLSPLTRLQRLNVSQNSFTGAFPWRALASMPGLTVLAAGDNGFFEKTETFPDEITALTNLTVLYLSAANIGGVIPPGIGNLAKLVNLELSDNALTGEIPPEITKLTNLLQLELYNNSLHGELPAGFGNLTKLQFFDASMNHLTGSLSELRSLTQLVSLQLFYNGFTGDVPPEFGEFKELVNLSLYNNNLTGELPRDLGSWAEFNFIDVSTNALSGPIPPFMCKRGTMTRLLMLENNFSGEIPATYANCTTLVRFRVSKNSMSGDVPDGFSGAIPPSIGDASNLETIDISSNGLSGEIPASIGRLARLGSLNIARNGITGAIPASIGECSSLRGHSAATARTVVTCLLAGLAVVLAALGAVMYIKKRRRAEAEAEEAAGGKVFGKKGSWDLKSFRVLAFDEHEGQKLGGGGGLGWPERYDIAIGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRIADFGLAKILDGAAATPDTTSAGVVAGTLGYMAPEYSYTWKVTEKSDVYSFGVVLLELVTGRTAIMAEYGESRDIVEWVSRRLDSRDKVMSLLDASIGEEWEKEEAVRVLRVAVVCTSRTPSMRPSMRSVVQMLEAAAIGREFAVVTSVKVKVIP >KN539001.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539001.1:56771:64362:-1 gene:KN539001.1_FG002 transcript:KN539001.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFRNKFEYPIDAKSHQLTLNIIMSELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDAGAYPRYEEKLEKFCKLMDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQISVHHTSGKVQGVVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >KN542155.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542155.1:5793:6410:-1 gene:KN542155.1_FG001 transcript:KN542155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRSYTTTVSPSAMQRWEVGLDDGSSGVRFVCVLPSNPPFNSEIRPRCFGLVVSVWEKYPTATMRSTPGSGPWTYSITREAGLDSTRNDLPISSSARTCPPLSHWLSTAMATLMCSAACRPPARRRAPTPTPGMSRTAPARLTLSPPLLPTVLASCNGQRGPPGRSRRLHAPAVAAMLPRWSALCSRRVRRCRRRRREHCKWE >KN541071.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541071.1:8:2176:1 gene:KN541071.1_FG001 transcript:KN541071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWPSVINTIPSLEFLHLSSCSLPGTTQSVTQLNLTKLVELDVSFNDFGPPLGTSNALEFLGSMENIRYLDLTDTSILSGGVPPQLGNLSNLRHLGLGLMPNMYSTDISWLTHLHKLEYLDMGVVNLSTVTDWPLVMNMIPSLKVLYLRGCSLQSANQSLPHLNLTKLEDLFLSENYFGHPIASCWFWDVKGIKHLDLDETYLDGPFPDALGEMMSLQELNFRKNGNLATMTVDMKNFCELRLLALDGSLSNGNITEFMDKLPKCSSGSLAFLFLYNNNMTGVLPNMMEHLTSLVILELSNNSISGTISPGILNLTSLQSLILSHNLLNGQIPLLPKNLWILNIAMNNLSGHLPVEFGAPDLQELVLSSNCITGHVPRSISKLQKLRFLDLSNNSFYGELPRMPNLLYLLLRNNRFSGKFPSWLQSSSTLALLDLSWNNLDGTLPTWIGDLASLRLLQLSQNMFYGNIPVTITNLIQLQLLNLAGNNLSGPIPRSLSNIIGMTSKHPEILDPVWFNSVEGDVFLEMLSLVMKHQELQYGYVELFDMVVIDLSLNHLTGEIPNEITSLDGLVNLNLSWNHLSGKIPENIGAMKSLESLDLSRNNISGEMPPSLSDLTFLSSLDLSYNNLVGRIPQGSQLDTLYDHEPVMYDGNSGLCGPPLQRNCSGNNAAEHDNQKRSVKDFKPRMFFYFGLVSGFVGGWSLGDFLCHTIQKIMESCLFP >KN539001.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539001.1:9697:15836:-1 gene:KN539001.1_FG003 transcript:KN539001.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKRLERIIKEIDSPISPGGGAALLAEDDDLVFPGFRFHPTDQELVGFYLTRKVEKKPFSIDIIKEIDIYKHDPWDLPKVSHGAVATEGSSSSSSSSSTAAEKECGYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSLAAAAAGAGDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPSSISDSDHLQDASETWTICRIFKRSMTYTKGRAAAAAASMNKRISHELQHIHHHHQQQFYYHEVVHDGHGHHHRRHLQHYAGSASMAAAAANIVDVIDHSSDAETTTRSHSHSQSHLVADIRHRQSPFMLDFHAGTAVSSSSTAAAGWSECGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCFQGDMEFKQNKFLPPEKQIVTANPDIDIMNVETLRKMTGDVLTGGKDRMCSFFFMVTPTSTVQVLIYEKKDKCTRAFGCFSGCLPATYV >KN539001.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539001.1:157116:158158:1 gene:KN539001.1_FG004 transcript:KN539001.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVPPYASLPNIIYGDRGLVFDPAVSPHYDVLWMPYLILHRIPEASLSDQWPPSPFILHVFSSTTGRWEEKSFLREGDATMGTMADVSLARAPYHCKTHSVYLRGALYMHCQNDCVIKITLNDHRYRVIKLPGDFASNRKTRDPFLGKSKDRVCYVLVTGLSRLQIWFLNETKSSSSSSSSSYEWVLKHGVDLGPIIQSYPCNHGRQQWIWHNADTKQDKTRELPAVNDMEEFEWAIDKDSDDIISGANESIHHNGEYISAVLGFHPFKDIVFLHDTNLRVAAYEYNKAKVQDLDVGRSGD >KN539001.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539001.1:3283:4758:1 gene:KN539001.1_FG005 transcript:KN539001.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSHSSRRRRRPSIPLIKCPSCGVKQILELTATTEANRGRIFFTCPDHVKDGSGCNFWYWEEAYIKFLKRSGFIDEAACAELLNETKMKDGDELKKSIVQEIEKEPDVGHLKQLEDMIFILTKMIGLLKLILAGATAGTEIQHETEFAPEIEIAPTEKTW >KN542155.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542155.1:12371:15891:-1 gene:KN542155.1_FG002 transcript:KN542155.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLISSSIVLALLLPLFCGILLAPSCEAATVDTTSATLLQVKSGFADPNGVLSGWSPEADVCSWHGVTCLTGEGIVTGLNLSGYGLSGTISPAIAGLVSVESIDLSSNSLTGAIPSELGTMQSLKTLLLHSNLLTGAIPPELGGLKNLKVLRIGNNPLRGEIPPELGDCSELETIGMAYCQLIGAIPHQIGNLKQLHQLALDNNTLTGGLPEQLAGCANLRVLSVADNKLDGVIPSSIGGLSSLQSLNLANNQFSGVIPPEIGNLSGLTYLNLLGNRLTGGIPEELNRLSQLQVVDLSKNNLSGEISAISASQLKNLNLTGEIPPAIDRLPGLVNLALHNNSFAGVLPPQIGNLSNLEVLSLYHNGLTGGIPPEIGRLQRLKLLFLYENEMTGAIPDEMTNCSSLEEVDFFGNHFHGPIPASIGNLKNLAVLQLRQNDLTGPIPASLGECRKLPESFGRLAELSVVTLYNNSLEGALPESMFELKNLTVINFSHNRFTGAVVPLLGSSSLTVLALTNNSFSGVIPAAVARSTGMVRLQLAGNRLAGAIPAELGDLTELKILDLSNNNFSGDIPPELSNCSRLTHLNLDGNSLTGAVPPWLGGLRSLGELDLSSNALTGSIPVELGGCSGLLKLSLSGNRLSGSIPPEIGKLTSLNVLNLQKNGFTGVIPPELRRCNKLYELRLSENSLEGPIPAELGQLPELQVILDLSWNKLSGEIPASLGDLVKLERLNLSSNQLHGRIPPSLLQLTSLHLLNLSDTLLSGGIPGALSAR >KN539001.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539001.1:163006:163302:1 gene:KN539001.1_FG006 transcript:KN539001.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSFSKARAVRPEDDPFLAAYLACTKSSNGGGGGGGGARKSGGGVARESKGQRRSRWAGPGLGLGLGLSCKSSNGVVEDSMVKMAKLPEVHPRDA >KN541071.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541071.1:29541:34613:-1 gene:KN541071.1_FG002 transcript:KN541071.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLTRNSLGELTKQESCKGNISDYCKCLTKAVKIDQIQVNADMTQVTGITGEKCKGCTLTVNTQESRTVSKKKDMSELTEMSEEKTKEGTRQDSNTDSKKQGVQIPGTIKMVQDKKLQTGENSVEKDQKSEEQHCTNIDDSKLDKLAVKYNKVELRNEKTARTDKFTSYTSLMQQIISSQQTTSNDFEVEPICVETLEKENFEKNAEDDQIEFELNDHQSTEAWSLDMFNLKDCVEFQDQHLVESNQNNESKNDAGQNEEDLTEYDIEQFLNEERQEATKGNNANIDRKYIPELHQKFKSVEEAQEYFNFYAYMAGFSIVNVHSARTVSKKRNGEVIRVTFKCNKYVKAEPSSKKKKEKEEIVISERKTNEVIGTECECVLVICERNSEWVITRIDLDHNHELSPPDEVRFLRGSKTIETYQYLLEESNKISSTLKSMIEECENQNVNAEDNNLQNGNAEINEDPENTDVEEQTFENAEVNENPENIDEVNDKEYLQDPDIANSKGRPRERYISIREQIKEKETNHCSHCGRIEHTFPTYPFKHIEFDLPRKKKRKVQNKAKEDGQEQKKNAAPGKRKSLKDKTMGSTRCNVEVQNSSKKMKKN >KN539001.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539001.1:147716:152598:-1 gene:KN539001.1_FG007 transcript:KN539001.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIALSVLFISLYSVLRKQPANVRVYFGRRIAEEHNRLREAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFRLWVHCVALYIISGVACILLYLEYKHIARLRLRHLTCAMPNPSHFTVLVRGIPKETKESCSNAIDDFFTKYHGSSYLFHQVVYKVGKVQKIMLLATGLEQNQGKSDLQDSSLKLDDQVELVISQILALLLECAAAFVYFRTRYAALVASEILQTSNPMKWVTDLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMLFFLIPVTFIQGLSQLEQLQQRLPFLKGILEKKYMSQLVTGYLPSVILQIFLYAVAPIMILFSTLEGPISHSERKRSACCKVLYFTVWNIFFGNVLSGTVISQLNVLSSPKDIPVQLARAIPVQATFFITYVLTSGWASLSSELMQLFGLIWNFVRKYILRMPEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQLLNVYRTRYDTGGLYWPIAHNAVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTTPAQDLIDMDREDERSGRMDEIHHRLHSAYCQFHDTEDIPLEKIQTVGSDEEQGCSSDKSNGKETFEEPRAELSHPTLNGLPVSRLRHAVKSITFLVRLQKRAVGRHIPHQTLQPPSVFVIKRAQGIILCAVPHKSGAC >KN539001.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539001.1:129135:131914:-1 gene:KN539001.1_FG008 transcript:KN539001.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCRAHERCTGPQIRKMYQARRRVYDDTERISLVSSFMASLLVGGYACIDETDGAGMNLMDITTRELRRDALEATAPDLEDKIGKLAPAHAVAGTLSPYFVQRFQFSSNCLVVQWSGDNPNSLAGLTLSDPGDLAISLGTSDTVFGITDLPEPSLDGNILPNPVDPKTYMVMLCYKNGSLTREDVRNRYADGSWDVFNKHLENTAPLNGGKLGYYYNEPEILPPLPVGFHRYVVSSSASGSLDEMVEHQINQFDPPSEVRAIIEGQFLSMRGHAERCGLPVPPKRIIATGGASSNQIILKTMASIFGCSVYTVQRPDSASLGAALRAAHGWICNQKGKFVPVSCLHSDRLNRTSLSIKLAAPFGDSEEDIDLLNNYTLLMKKRLEIEQKLVEKFGRHS >KN541071.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541071.1:16015:24739:1 gene:KN541071.1_FG003 transcript:KN541071.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIYGFFSCLCREVHCCVKGYHELMVNPKATDDIFSDGLSSYSDSSPNDEEFCNEISDSERKKPETSDAEFKKQVESAFLAIHNATVLIGMKRKIYGHNLKINSMKSDSKDDTQQVDVSSCDIIVRDKVIPLCKESVHSVLGLPVGGLPIKSSSEFGKQKILECFGISSLPSVKFFGDKFIKKESMTDEQILISFMLVNYLDFLNFGMRKLPADIPRIKVWKGNMIKVLSKFDRFSNGVYGKRLIRDISDCCYKLIQTAENKENTSVQQGNRSFLDMLHSSIGVDLPEDVKKDINQLLILHFGLDESSIDERAKKLLIDVLVVLSNANVNLGQDVKSNFNDGNVVSDDIVNVHSSNAIHNYNNPMIFNDWFPKSSEKSMKTDVDVIMKKLCKEGPSSSCVRQEDCNPCDAFKPLSPKKRDYIFSSFNKREPIHLDEVDEQPNFKIWDSQDDFPTDQEELKIEETPNNGSNAKKIIPDSYCPACPSEIHDNKDKIVMITLEDSEQQTQVLTQHNNKENVLVKQQEQKSLPKKKHSPDLIFLGERKCVDNCLDLTSKSNVLYNKINTFVVNPEKKLKLSNGSPEKIPLSNIDQNVGTSSSSVEMRAVQGLVSMLGDVLGSFVLVYKVAVDIDGVHCKFFTFGESVRPGGELSNFVTSVFCRYMFRLCHPSKSKKHFFFSSIGDDLLKDLSTTDFKVVKKCLDGASLARPVHTCDLLFFPIVKNRHWFVFAVVLKAKQFVFLDSLHDEYSAYHEQLRPKLVLMICGFF >KN539001.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539001.1:50711:53417:1 gene:KN539001.1_FG009 transcript:KN539001.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSSSDDWVMLDSDNPADSSSDDDYVLALSSSCNTPACSDEEEDDDEVGSDADGDDLYGGELEEEDSPRPPPPRPLSGLFYHTASDNQPGYLAFDAIRSAKHLIPDPRFSALPEHVAVLASTRGLVCLRGETTGSYYVANPATFRRVRLPRHTRDHVDPAVVITFEEPTASASCFGGIGVEHYHVVVAFNLGGGVWSFESFSSRTWKWRVSPGISIVEQVESFSGVGAHGRAFWRTSIGFVYYDPEKGYPHEFAAPPEVEARPFWEIGEMEGNLCVTCMDQRVTEVAVLNLDMDVLAADGVGSWSWAGQFEGSSLRNREGVELLRSQGMAEVVMWDPSEERVVAMDLEGRTTRNIGPLTGEDYSRGFIPYVASIAEISSDQISSKCSASAADANTPNLGAADATTLNNLAAPAAQVH >KN539001.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539001.1:28381:31379:1 gene:KN539001.1_FG010 transcript:KN539001.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADMVEARQVPVEEAKTYAQENGLFFMETSAKTAINVNDVFHEIAKRLLQGQQAQDTPAGMVLNQRPAERMIMQ >KN541071.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541071.1:5459:5725:1 gene:KN541071.1_FG004 transcript:KN541071.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVSCSCFSVVATDALPERRPNDAGGNIRCWPQERDALLAFKQGITNDTYNSVDSWRTAGRGRKSDCCRPVERRHLQQPNWPCPRA >KN539001.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539001.1:89062:92844:1 gene:KN539001.1_FG011 transcript:KN539001.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIIAERKRREKINQRFIELSTVIPGLKKMDKATILGDAVTYVKELQEKVKTLEEEDGGGRPAAMVVRKSSCSGRVPEIEVRVWERSVLVRVQCGNSRGLLLRKKYWCTCVLCSDLQGTIHGIKKILKNH >KN539001.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539001.1:123274:126508:1 gene:KN539001.1_FG012 transcript:KN539001.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVINLSSPPIFLLFFLWCVVVFFVAGDGGAVVAEAALDAQAAYLSQMKQEFAGPAMARWDFSAPAVDYCKFQGVGCDASGNVTAIDVTSWRLSGRLPGGVCEALPALREVRLGYNDIRGGFPGGLVNCTSLEVLNLSCSGVSGAVPDLSRMPALRVLDVSNNYFSGAFPTSIANVTTLEVANFNENPGFDIWWPPESLMALRRLRVLILSTTCMHGGVPAWLGNMTSLTDLELSGNLLTGHIPLSLARLPNLQLLELYYNLLEGVVPAELGNLTQLTDIDLSENNLTGGIPESICALPRLRVLQMYTNKLTGAIPAVLGNSTQLRILSVYRNQLTGELPADLGRYSGFNVLEVSENQLTGPLPPYACANGQLQYILVLSNLLTGAIPESYAACRPLLRFRVSNNHLDGDVPAGIFALPHASIIDLSYNHLTGPVPATIAGATNLTSLFASNNRMSGVLPPEIAGAATLVKIDLSNNQIGGAIPEAVGRLSRLNQLSLQGNRLNGSIPATLADLHSLNVLNLSYNALAGEIPEALCTLLPNSLDFSNNNLSGPVPLQLIREGLLESVAGNPGLFGVVLMELATGKKPIEPEFGDTRDIVQWVSGKVAAGGEGEALDKRLAWSPFKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPAAGRTAKDAANKKDSSGEPKL >KN539001.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539001.1:133691:135393:-1 gene:KN539001.1_FG013 transcript:KN539001.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRMPLLGWWLMLVGSLRLASVWFGFFNIWALRLAVFSQTDMTEIHGRTFGVWTLLTCTLCFLCAFNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAVANLSTVGFFAVLSCNTQMTCLKLIGLHEEVENYFVSCSK >KN539001.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539001.1:139590:140210:-1 gene:KN539001.1_FG014 transcript:KN539001.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVLEKADAGQFYQDVPWIPDPNDEGNPPKSLPAMPAKGGAGAAGSPPSKEVQVDYYATTQPKKPEEPPTVAAVQKDNCVPPAAPKPAVPATPSTSPPSNKEYAPAAPGVVPAKPSYPAAPAGVVPAPAQPSSPAAPAGVVPAPVQPSSPATAVPKPPSNDPYAPATSNTPAAEEQKDGLNEKAISDIVREHNMFRTREHVPPIV >KN539001.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539001.1:66892:67962:1 gene:KN539001.1_FG015 transcript:KN539001.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTFGEILESKIINDRETGRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGYGQRGGGGGYGGGGGYGASLASSGDSDAAGLEIC >KN539001.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539001.1:31845:34883:-1 gene:KN539001.1_FG016 transcript:KN539001.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLADAEGGFACNSRGEDDFRSCCGDEDEWEDTEESFTAGVAKGELDESSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPMLQVQKKLDFYVDELVADHLALMDGLLVALQNGIRKIFAFTNSEKLYFQARIAEAEILEDQLLVALGHRILELVEKLEDFDIILLPSFELERPLQLAKEAIGIMYLSPYEVGTCSICCEEKRGAQMIKVGCAHTFCYSCLTSYTQEKLQTNKVPVRCPQLRCKYHISASECKSFLPVSCYDSLERAFAEAGTSDMERFYCPFPNCSVLLDLSQHFSRASTSSQSDLNCVECPECHRDICINCGVPWHVMMGCDEYQSLPVDERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMNCWCGHEFCYSCGAEYTNGIQTCQCVFWDEESIEASSAAHSTQASEIWAWDTFDCMPTAIEGYSEQERAQLALIQRFLSGGFNLGEPPSQSPPRCADSYIIDTMKDLHQLPWLERFVSVISDSYNEDYIQ >KN539001.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539001.1:96333:101406:-1 gene:KN539001.1_FG017 transcript:KN539001.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAARRMERLASHLRPPASQMEESPLLRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLDQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVIGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAYAAAKFADACLRGLRGDAGIVECSFVASQVTELPFFASKVRLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINKRKQYYLGSKVTCFGSEQHCAPKYSINKPAWLGHQTLKKAAAANDLQWRH >KN539001.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539001.1:166258:169399:-1 gene:KN539001.1_FG018 transcript:KN539001.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKCALKENKDKGGKMASVPRQGDNLAVATGRKEKQQLWRRLLAFCHRRAAVSNKSRALGGLGAAVFCGGTVRRALLAGCFSCGARQSFRRLRHAGAGDIAAGCDDDDEECARQLSPVSVLDLDIHSDDESSPILGVGHREKDDESPSTSGKSSPPPPPEQNPLDAAAPCFTLYEADKNCKAETGDEEEYETTRSKLEEQKIISSWERISGDISRIPALVELDLTGSAQQWRRLREEEASQVGASIEAMIFEEMRVEAVRDMMLVARIFDTS >KN539001.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539001.1:46400:46960:1 gene:KN539001.1_FG019 transcript:KN539001.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLRCACAVSRAWQSIAVAREGEKAALQGENAALRVELDHVLRAKPRWHHDDDDAESCCYVVVLDLVIQFVNTNLVIRNSLEY >KN541031.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541031.1:5778:10143:-1 gene:KN541031.1_FG001 transcript:KN541031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVADSFLAVTAEMANGTTDSPEARWFVMGDDDTVFFPDNLVAVLNKYDHEEMYYIGAPSESVEQDVMHSYGMAFGGGGLADHSAVAIGNYSPTDFSATTAKIKNFFAVAIVNYSQTISLAKNKNFLTNFSPLLQAKSSTQKRRNRKVDVRGDAYGMLAAHPLAPLVSLHHLDHIEPISPGGQHGSPLDASRRLVRASRLDPARSLQQAFCYQRGPRYTWSVSVSWGYTVQLYPWAVAPHELEVPLRTFKTWRSWADGPFVFNTRPLSRDDACAQPAVFFLSAARNDTSSRGRGRSRATMTEYTRRVAKPGAKECDRPSFLAASTVHTVRVFAPKMSPNEWTRAPRRHCCSTKRTRFGTELEVRIRYCGRGELTTP >KN541031.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541031.1:31973:34343:1 gene:KN541031.1_FG002 transcript:KN541031.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADTSAKVGAPGRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEEMGERLKEWEARGFRVTTSVCDLSVRDQRERLLRHVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFMMATNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWARDNIRSNSIAPWYIRTSLTEGLLANKDFEGAVVSRTPLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTINGLYPS >KN541031.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541031.1:24469:29791:1 gene:KN541031.1_FG003 transcript:KN541031.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWYSKPAERARPAAFVPPPPPPTPPQYVPPEEPSPFAKLYAVAGDVVGRAKALLTTGDLPPQPFVAQQPPSDHYFMQHQHPTPPQTSGTFSDAGSERPHSIDILTELPTGGSLSYDQLAAATDGFSPDNVIGQGGFGCVYRGTLQDGTEVAIKKLKTESKQGDREFRAEVEIITRVHHRNLVSLVGFCISGNERLLVYEFVPNKTLDTHLHGNKGPPLDWQQRRKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHDFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVAWAKPLLSEATEEGNFDILVDPDIGDDYDENIMMRMIECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRITYAEDTYSSIMESGESIGPRSRRAPRSQGNTSSDYSSEQPLTDKANRSPAKGRPMAEAVVSGAAGRWTLGGKTALVTGGTRGIGRAVVDELAALGAAVHTCSRNEAELGERLREWEGKGFRVTGSVCDVSVREQRERILREVAGLYGGKLDILVNNVGTNFSKQTTEYSADDYSFIMATNLESAYHLCQLAHPLLKSSGSGSVVFISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVEDELARKDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQTISVDGGMTINGLYPTQD >KN541031.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541031.1:15827:18006:-1 gene:KN541031.1_FG004 transcript:KN541031.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDTVFFPENLVAVLDKYDHREMYYVGSTSESVGQNVVHSYSMAFGGGGYAISYPAAAALAGIMDGCLDRYNEFYGSDHRVQACLAELGVPLTTEPGFHQTPLRTFRAWSGSPAGPFTVNTRPEATPNATALPCHRKPIMFYLDHVTAMSTSTTNWTLTEYVPEVLSGERCNATGFDAATKVQMIQVIALKMDPAIWKRAPRRQCCKVQNANEGDKLIVKIHECKPDEATTSV >KN538774.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538774.1:73074:74233:-1 gene:KN538774.1_FG001 transcript:KN538774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKGVKVLGMWASPMAIRVEWALRLKGVDYEYVDEDLANKSEALLRHNPVTKKVPVLVHDGKPLAESTVIVEYIDEAWKHGYPIMPSDPFDRAQARFWARFAEEKCNAALYPIFMTTGEEQRKLVHEAQQCLKTLETALEGKKFFGGDAIGYLDIVTGWFAYWLPVIEEACGVEVVTDEALPLMKAWFDRVLAVDAVKAVLPPRDKLVALNKARREQILSA >KN538774.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538774.1:164943:168523:-1 gene:KN538774.1_FG002 transcript:KN538774.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAASCTPAACAVLVIPILVLIMAGQVRVAEALSIGVNYGQIANNLPSPSRVSWLLRSLKISKVKLFDADPHVLRAFLGTGVEFVVGIGNEAVPAMASPAAAESWLQLHVVPHLRAGARITCITVGNEVFKGNDTALQASLLPAMRSVHQALGALGLQGRVNVTTAHSLDIMGVSYPPSAGAFHPSAAPHLQPFLAFLSAARAPFLINCYPYFAYKDDPARVPLEYVLFQPNAGVVDPRTRLVYDNMLYAQVDAVYAAIQAMGHTDIDVKVSETGWPSRGDPDEAGATPENAGTYIGNLLRRIEMKQGTPLRPQAPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMASHGAATQVIHWFLLIATASVVFALS >KN538774.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538774.1:50498:51531:-1 gene:KN538774.1_FG003 transcript:KN538774.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLLAEWPAGKEKEEGRVRRRLPALAREAWEESKKLWEIVGPAVFLRLVLYSFNIISQAFAGHIGDLELAAFSIANNVITGLNFGFLIKNVLLPVDPVLRYLQKHEQKNALVEGLMVYIILIQGTSKTEEN >KN538774.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538774.1:4897:5617:-1 gene:KN538774.1_FG004 transcript:KN538774.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMIDKEDVKVATKSSITASVDFSVVAKLPNKKWSTMQSAHLSMKKFGVLKSELKNSLTVEHIDIYQNLKGRIEL >KN538774.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538774.1:137197:140148:-1 gene:KN538774.1_FG005 transcript:KN538774.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGRKVSVAAVQFACSDVESENVDTAERLIREAHKKGANIVLVQELFEGQYFCQAQRLDFFQRAKPYKGNPTIIRFQKLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGESTITFFGNSFIAGPTGEIVKLANDKDEDVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGEKS >KN538774.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538774.1:161:2850:1 gene:KN538774.1_FG006 transcript:KN538774.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding WHGRVDYASKHHLHPEKKYERYQSQWFSEQQYNTVFLPPIPTKLEASATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDASSDTQSEDTAASPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGNRKFPNGQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSAVLPL >KN538774.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538774.1:140811:141352:1 gene:KN538774.1_FG007 transcript:KN538774.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTAVARVVAPHQPAWAAAVLARRMEGGRRVARYFSDGTGRVLSEEERAAESVYIQKMEREKLEKQRRKADKDKADAAKRAAAAKGDKKGGEARPT >KN538774.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538774.1:115431:117720:-1 gene:KN538774.1_FG008 transcript:KN538774.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MENKYQLRSEPYVAITPTPKLQLRVNDQGPTPGTVSLTGSLNSVESLSARRRRECNSMTERKAPVECMIPVYTDKDFCWSSKQPSNRITEQRNLLVLNSRDENNSQEKAIPTCPKTEMAPHHCILPWHQVSTWASRAHLPECQWPAWAVSVFENKTLQPSIRFCYYLPLPPPTGHA >KN538774.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538774.1:84853:87608:1 gene:KN538774.1_FG009 transcript:KN538774.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PEPKR2 [Source:Projected from Arabidopsis thaliana (AT1G12680) UniProtKB/Swiss-Prot;Acc:Q8W490] MGRKKRLESEYELGAEIGQGKFGSVRICRAKVGGEEFACKALPKNGEETVHREVEIMQHLSGHPGIVTLKAVFEDADKFYLVMELCGGGGLLDEMAREGKFSEQRAAIVIKDLMSVVKYCHEMGVVHRDIKPENILLTKAGKIKLADFGLAARVADGQKLSGIAGSPAYVAPEVLSGCYSEKVDIWGAGVLLHVLLHGSLPFQGGSLDAVFEAIKTVELDFHSGPWESISSLARDLISRMLNRDVPSRITADEVLSHPWVVFYTECPLKAVTANLSITNKIVAPRITWDRIRLHCESISSDSSSQRSADQDECGIVDALTAAITNVRISEPKRSRLCNPAIPIQQECSSNLKSNLCTAF >KN538774.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538774.1:47644:48156:-1 gene:KN538774.1_FG010 transcript:KN538774.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNGLLPGETLGEAYERVRARALLVRFANTWGHAPRQFTGRHRRPPRIPNPPGSAAFWPFPRYPIVYVPLFLEQLVWEDPEMTGAPVLDILNAKFYHGLRQLAELRHRIHNRAGFIPKPAKAEAYRAATDALWVRCDELLKELNNLQKGYESAGYAVPAVPDHMKQRF >KN538774.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538774.1:18842:19084:1 gene:KN538774.1_FG011 transcript:KN538774.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSVDVSPDGPTVAMWTSSCGSNAVRRTDHTHIADYLTIDQTTSDNKSYTTTYGDEFRGWGGGEEDMLSLPPAPRYMT >KN538774.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538774.1:63011:65336:1 gene:KN538774.1_FG012 transcript:KN538774.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISNLFNLISKSYTYDCNCCCLFYYLDAIILKAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAQEAS >KN538774.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538774.1:75151:79754:-1 gene:KN538774.1_FG013 transcript:KN538774.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MQQEVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDENSKFPLFDKQVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >KN538774.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538774.1:159835:164083:1 gene:KN538774.1_FG014 transcript:KN538774.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEPLIDKTKEVGAYLVRVSFGTALIASIVLVYTTIIAIISSSSDNTSYCISDEDNRGRRRRSYDSTIIIPTDLFWYLDADYYRRRRRIFSFVFGDGDPNDGLEDKRWKMIGQYISSNGGVVTAEELAPYLDVPPISEQSKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGSGVREYVGNKWSAMFSSVEKYLEEKPWKFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVPPGGLISFVAQLFPLLQVYAGSFFAIPMFRWFLLRKTNNDIERRNKAREQRAQELALPEPSLRRKLLSARDMAQRKVITPEEIVYTTEKDLLDQDYEVKEWEKRFKELESD >KN538774.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538774.1:90087:91221:1 gene:KN538774.1_FG015 transcript:KN538774.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETFNASDVPVCFEEAVVFRRNMAGMTRERLLAAFDFMRCKARAQCGVVADVSDPDSAAVRVTILFRTGARAFKDEAAVTRVFKSECARVAGCALTTARSDNLTFCDQVKLMSGTDVLISSHGAQMTNLVFMDRNSSIMEFYPKGWRERAGGGQFVYRWGADRAGMRHEGSWWDPHSEPCPGSPDILSCYKNRQIGHDEAYFAQWAARVFAAAKERKAGGSPASTRRREAPTCRCS >KN538774.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538774.1:113513:113788:1 gene:KN538774.1_FG016 transcript:KN538774.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPMSAVGPLSGMSLGAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKLP >KN538774.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538774.1:58986:59219:1 gene:KN538774.1_FG017 transcript:KN538774.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMIVNKDFQVTACPYLHLLLN >KN538774.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538774.1:38309:39309:1 gene:KN538774.1_FG018 transcript:KN538774.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPHSNWTPGMTSARHVRRRMRLGCPATYPPNQNARAQCPIQISSVSHRGIHIGALRGLDYGGGSSDLSMLVGSSGGGRRTVGDGGGEAPKLENFLDGNSFCDEHGQAAGGYLFSGSAVSGAGGYSNGGCGGETIELSMIKTWLWSNQSQPQHADQEFRT >KN538774.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538774.1:70203:72824:1 gene:KN538774.1_FG019 transcript:KN538774.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATTQRQPCKNAITTKQQQQQLSTGRLFRSPLLVVNFVLMVVGSACGPLLLRAYFLRGGNRKWLSSLLQTAGWPLLLAPLCFSYSSRRRRREVEDDGGGAGAAATPLFLMTPRLLVASAVVGLMTGVDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVVVFVIKLESNQTQAIPGEAHEFGLGQAGYYLLLAGSAAMYQCFFLGTIGAIFYGSALLAGVVMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGEVRAAKAAHRRRHSDEPPKPDHLGP >KN538774.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538774.1:149351:155390:-1 gene:KN538774.1_FG020 transcript:KN538774.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDLETVPFRVLKREAEYEIREVESYYVAETTMPGRSGFDFNGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGEPDGEKMDMTTPVITKKSANENKWKMSFVMPSKYGPDLPLPKDPSVTIKEVPAKIVAVAAFSGLVTDDDISQRESRLRETLQKDSQFRVKDDSVVEIAQYNPPFTLPFTRRNEIALEVGGSWLVKIPQQALYICALHLWRESKTANVIFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTTIVDNVFNIALRYNDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTTPPCTENVIWNIFGEYVPKFRNVNVIGAMDCNKTLFIVMVHIIHFSFVRSLRKGDNGMDAGKTLNV >KN538774.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538774.1:43912:45717:-1 gene:KN538774.1_FG021 transcript:KN538774.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKQYSMLGIYLQRSWIILFVFAVLLVPTYVFTAPLLEALGQPAALAREAGMVSVYMLPSHFQYAVLLPLNKFLQSQRKNWVTVVTAAAAFPVHIAVSWLLVSRLRFGVLGAAMSLGVSGWLVTLLQLAYVVGGGCPATWSGFSPLAFVDLWGFVKLSVSSGVMVCLETWYYKILILLTGHLKNSELAVNALSIWVRVANELGAGNGKGAKFATIVSTTTSFLIGLFFSALALAFHDKIALVFSSSNAVIDAVDNISFLLAVTILLNGVQPVLSGVAIGSGWQAAVAYVNIGCYYFIGVPIGVLLGWSFNLGVLGIWAGMIAGTAIQTIILAHMTIQCDWNKEVLQASERVQRWGNPK >KN541450.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541450.1:23147:25918:1 gene:KN541450.1_FG001 transcript:KN541450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNVPHIAASSRFFSSCSRCTCRIRRSGKTRIGASAAGRRCSSDDSACSEPSEQQNADFFSGPRSSLCYTRRDFASVALLPFILPHVHIASAAEPIDGSIIQNGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLGKAKEVIDLVQQAGMPAMVGLRTDWALTEDDECLRWINLYAQDQAKFFADFKDAYIKLVNTGASWRSA >KN541450.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541450.1:4665:7558:1 gene:KN541450.1_FG002 transcript:KN541450.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSLWKEHDPSQKIRLKFNRYGQPCGLKTCKLTNFIGTLVKGTYVSLPARNWSKVPEANKMKVWTTVQALFAIEDQYKPWVLQSASKRWKDFKAVLKSKCYNPELSRTRNIINGCKNRIPIAQWVWLVRHWKTKAATGHAPITRSPVPKSATKHQLEELDDVNYNNHAPSLSSRNKKTLPRSEPYSKVTSAPLQKQSFKSPRLELNKQAEPSCKVTSAPLQKQRFRSPQLELNKQTEPCSKVTPPCQRKKKLRSPPLELNKENMPPPTVIQRKSKKPAPSELNLQHRKRKFQTPLHEELELIHPNGKALYSKVLTAVRHISSDQGDISAPRRQIHDLTYKENIGHEDVHSRTRSLGKASAGSLSAIKFSNSQVLTTSRF >KN541450.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541450.1:13466:16508:-1 gene:KN541450.1_FG003 transcript:KN541450.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNDHEALGEAYQVLSDPTQRQAYDAHGKSGISTEGIIDPAAIFAMLFGTYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKYLSAEGHYTEEELEMFMQNHKKVMVDSLWKLNVADIEATLSRVCQMVLQDGSVKREELRARAKGLKTLGKIFQRVKLNNDEGEASDMRNIDNMDDNDGSSPDTSPRREPPYNPIPNPPHAQSPYVEAPQFGGTYYPFDFPMPTAPPGAQRDPIP >KN541450.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541450.1:11605:12739:1 gene:KN541450.1_FG004 transcript:KN541450.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSSFAELKLEYPGTTTTTNSFAVGDGFDKRVGDGEQSWVIRCYPRGYREEDNGEYVSLRIGVPARSNTVRAIFHAFLMRRDGGVGAPSIICSDRAFPMSVPGHPRGYGGAFRHLRSDVMDSLHAIDGVVTFVFGLVILRDDRRHPIAVPPPNLGGHLAAMVGSADGSDVSFSVGGETFHAHRAVLAARSPVFRAELLGSMAESAMPCVTLHDIDPATFKALLHFVYTDALPSPSTSSSSSTTTGFFESLLVAADMYALKRLKLMCAQKLWESVSVETVATTLGYTETYHCPELKSKCLNFLMAESNFKKVAVTDSYFHLRRDFPLIIEEIKKRIDR >KN541450.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541450.1:9053:10979:1 gene:KN541450.1_FG005 transcript:KN541450.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGFIEYKLDYLETQKLAIGECLPGIKISAGEHNATILFFPRGCEGRNGKYIAVFLLLTEIDPKINVIFQVFSMNKLDGNPSWLSALRNSSIEVIREKSSGVRYLGWHRFISRSELERLYVANGMATFICGLVILGDGGAIAVPPSNLGVQLGAMSFCPYSLKEKPEAFRRDVPRAPGCARHSLTGVQGGVPRLHGGDYRMPCVTMHDIDPATFKALLHFVLEL >KN541450.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541450.1:19250:22286:1 gene:KN541450.1_FG006 transcript:KN541450.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDELVQLAESMCQAASLLLGDDDPSDESSPRRPSTFLNAVALGNVGRRHKDGGGDEEAKACDKGWECSRSRFCCNDTITDYFKAYQFEELFAHRNDRSLAHAAGFWDYHAFITAAALFEPRGFGTTGGKEVGMKEVAAFLGHVGAKTSCGYSVATGGPLAWGLCYNHELSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNYNYGIIGQGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLICGQGSIDKMNVIVSHYQHYLDLMGVGSDKAGDNLDCADQVAFNPSSKNLDS >AMDW01040020.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040020.1:419:1133:1 gene:AMDW01040020.1_FG001 transcript:AMDW01040020.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSIPAEITTLGSLQNLLLAGNNLTGTIPDSFTATQALLELQLGDNSLEGAIPHSLGSLQYISKALNISNNRLSGQIPSSLGNLQDLEVLDLSNNSLSGIIPSQLINMISLSVVNLSFNKLSGELPAGWAKLAAQSPESFLGNPQLCVHSSDAPCLKSQSAKNRTWKTRIVVGLVISSFSVMVASLFAIRYILKRSQRLSTNRVSVRNMDSTEELPEELTYEDILRGTDNWSEKYVIGR >AMDW01030214.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030214.1:64:414:1 gene:AMDW01030214.1_FG001 transcript:AMDW01030214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIKEVIANGISVNVT >AMDW01039542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039542.1:377:1000:1 gene:AMDW01039542.1_FG001 transcript:AMDW01039542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGIKRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYP >AMDW01015082.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015082.1:43:219:-1 gene:AMDW01015082.1_FG001 transcript:AMDW01015082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ECREHPERFEDDDVEDKDDDDETEDEDSDAEEDPEKIAMSESDEEGDDDEEDDQDGGDW >KN541611.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541611.1:19600:21446:-1 gene:KN541611.1_FG001 transcript:KN541611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METIAFSRFSICFCVLLLCHGSMAQIFSLGINPWQNPRQGGSRECRFDRLQAFEPLRKVRHEAGVTEYFDEKNEQFQCTGTLVIRRIIEPQGLLLPRYSNTPGLVYIIQGTGVLGLTFPGCPATYQKQFRHFGLEGGSQRQGKKLKDENQKIHQFRKGDVVALPSGVPHWFYNEGDTPVVALFVFDVNNNANQLEPRQKEFLLAGNNIEQQVSNPSINKHSGQNIFNGFNTELLSEALGVNIEVTRRLQSQNDRRGDIIRVKNGLRLIKPTITQQQEQTQDQYQQIQYHREQRSTSKYNGLDENFCAIRARLNIENPNHADTYNPHVGRITNLNSQKFSILNLVQMSATRVNLYQNAILSPFWNINAHSLVYTIQGRARVQVVSNHGKAVFNGVLRPGQLLIIPQNYVVMKKAELEGFQYVAFKTNPNAMVNHIAGKNSVLRAMPVDVIANAYRISRQEARSLKNNRGEEIGAFTPRYQQQKIHQGYSNTNESETQEVI >KN539284.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539284.1:61659:61937:1 gene:KN539284.1_FG001 transcript:KN539284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVDAARLRRLPPCLVLGRMKDPPRDRQRTLVEALQKAGVTVEAKLDGAGYHAMELFKEDRAAEFIAQVTDFVRRHAGAGSDVHAGRSRL >KN539284.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539284.1:90509:97031:-1 gene:KN539284.1_FG002 transcript:KN539284.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNADKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKAGISTSAQLTEISLDFDDLEIKLGELEAELAEVNANNEKLKRTYNELLEYSTVLQKASEFFYSAQRSAAAQQREMEANQSGESSLESPLLEQDTLTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQESVDEPVTDPVSGEKVAKNAFVIFYSGDRAKAKILKICDAFNANRYPFPEDVARQLHAVQEVSAKISELKATIDMGLAHRDNILKNIASEFENWNRLANKEKIIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILVSTLYLIIREKKFASQKLGDIMEMMFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDAATEGLLKVRRTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWSTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRYQGQQYAMLQSTDDSVVAEMGHHNGSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAFGYNNILIRIAGITIFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFSPFSFALISEEED >KN539284.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539284.1:24786:25361:1 gene:KN539284.1_FG003 transcript:KN539284.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSRAENARFEQALAMYDRDTPGRWERVAAVVGGGKTADDVRRHFDLLVDDCGSIESGNYGYPGTRAGAGRGSGNGNGNGRDKNNDGNTNRRQSRANGPQT >KN539284.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539284.1:67890:71143:1 gene:KN539284.1_FG004 transcript:KN539284.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDCCPVCAAPIASLIREYNVLVDTTGEKQYTIGKFTTGVPPFSDRENAGSRSWTLHPEGQQGRQPTGNIWENCSNRKSCILEDDTGDYQYQGQIQGLQSAASTYYLLMMHGKDVHAVPADSWYNFSKISQYKQLTLEEAEEKMSRRRSTATGYGRWMMKAATNGAAAFSSDVTQLDDANEGETDQVHLKKGNKNGDENKSDKGSGEERAHVPMTKGREEEGSKDRDFDLDDEIEKGDGWEHEEIFTDDDEAVDVDPEEGGDLADPEIPAPPEIKQDDNEKHGGVGLSKSGKELKKLLRRAAGQNESDDDDEDTDEDESPSPVLAPKQQDQLKSEPQEDNHSKPTVPGHPYSTPHVSKSNQKRRQRGDDSKTCATPKKPKIEPDTKKIVVKETSCSLEPTSEPFASARSDINVSPITVEEIRVVLRLYAPIAMRDFSKDFMPRFSPRLRSPEDREVFLANLRKISHLQKINGQKYIILLEEYK >KN539284.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539284.1:81350:84897:1 gene:KN539284.1_FG005 transcript:KN539284.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVMISGTLFGAYRLAADVNALASLDREDVKKICGENLPEWVSFPEYEQVKWLNKQLSKLWPFVEEAATMVIRDSVEPILDDYRPAGISSLKFSKLSLGTVPPKIEGIRIQSFKKGQITMDVDFRWGGDPNIVLAVDTLVASLPIQFKNLQVYTIIRVVFQLCDEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLIADMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLYIRPMFKEKTSVIDDNLNPEWNETFSLIAEDKETQHLILQVFDEDKLKQDKRLGIAKLPLNDLEMESVQEINLQLLSSLDTTKVKDKKDRGVLTIKVSSCPHGGASWVLGTRDAKVFDADRRDNTQVLYHPFTKAEALEALELEKKTVEERRKTKEETAAG >KN539284.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539284.1:14668:18550:1 gene:KN539284.1_FG006 transcript:KN539284.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRKQPFDELHSTNPRLEVKIEIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGENCKPEVAKTGLGSSAAMTTSVVAALLHYLGTVNLSCLGQSSSGNAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHCMADVVADIVTRSWDHEKAKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKETWSKLGIANSVLENQLRNLNKLAEDHWEAYESVLRSCSRLTCSKWTEVATNQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVIFGEASDAVAKAWSSAGVLPLLVREDPRGVSLEAGDPRTREVSTAVSSIQIN >KN539284.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539284.1:109417:109878:-1 gene:KN539284.1_FG007 transcript:KN539284.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRQITAVLLWRVESCEQHAWLKSRLPRPTLMHALRLIDASGHLGGGVGDGGLTGATVGEGVGAGDGVGTGVGAGVGVTTGAGAGAGVPVGVGAGARQGFGRSSPFTAEAKTTTTNAENKAEFIACLLAIVAYVCVFDAFRVCSLVVFIGM >KN539284.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539284.1:74050:75643:-1 gene:KN539284.1_FG008 transcript:KN539284.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVYELMPNGSLQDALLGKRCPELVSEWPRRLAVARDVAAALHYLHSVAQPPVIHGDVKPSNVLLDGELRARLSDFGLAQIKSEEGDELESAAIGGNGNENSNPCGECDDDMSVADENVTAVAVNGEDNAAKSPEDDEGFTMASPAEAASTSGCDKTSVGSGLNGRSCNGGGAAASGAGNDWWWRQDNGGGSGGVKDYVMEWIRSEIKKERPKNDWIAGASATTPATSTERKKTKRRAREWWREEYADELTKKQKRRALAKSRSEIGPMASMQWWERDCDLEEKGRSRWRMMKSWSRRSSNGNGSIDWWIDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLARVGRLLDLVDPALRDVNRDQALRCITVALLCIQRSPSRRPSSEEVLEMLSGEGEPPNLPVEFSPSPPGGFRFRSRKKDLDGEK >KN539284.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539284.1:30833:34810:1 gene:KN539284.1_FG009 transcript:KN539284.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVSSSLKRVSASVLSDYI >KN539284.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539284.1:3090:4113:-1 gene:KN539284.1_FG010 transcript:KN539284.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVRKLSRRKNRIVPSCFSANGGSGDDGDEVNAKEQFEFVAEPTTANSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHAKDATLKNILAKQVTYPQLDGEADAAQLRDLIGRLLERAPRRRMGSARGAAEIKRHPFFAGVDWALIRFLFN >KN539284.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539284.1:85470:89712:-1 gene:KN539284.1_FG011 transcript:KN539284.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLLALRLALLNGPVTVAKNAFVIFYSGDRAKAKILKICDAFNANRYPFPEDVARQLHAVQEVSAKISELKATIDMGLAHRDNILKNIASEFENWNRLANKEKIIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILVVTLYLIIREKKFASQKLGDIMEMMFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDAATEGLLKVRRTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWSTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRYQGQQYAMLQSTDDSVVAEMGHHNGSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAFGYNNILIRIAGITIFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFSPFSFALISEEED >AMDW01040618.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040618.1:622:1688:-1 gene:AMDW01040618.1_FG001 transcript:AMDW01040618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGGLGFVFMSDLGSQFWRRKNGWDDDVSESGWVLERTVQLGELLSLSPTERKGSPIVMGFSEDYNVIFLKTISGLFMVHLESMEFKRILKDCAALFIYPFASVYTAGMSFGDGHDEDEQLHNT >KN539284.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539284.1:117874:123054:1 gene:KN539284.1_FG012 transcript:KN539284.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLALLDRDGVWRRRHEYVKLYNVLSLKVLPIYRELSGNNNCNLLLAVLKVSAEDGKGNKSSVTVRLYGPNTALVIDRKREMQKERECHNGAEHIPDWPYGEQMDRWGPKPARLLWVPSSQSNVGLLRGLQYRDRCACSWPLAKKYTLELLFASSPPSVTAAAMKECVLDEYSTKHRISIDRFLQLKIFVKVHDRLPFLTV >KN539284.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539284.1:35684:41858:-1 gene:KN539284.1_FG013 transcript:KN539284.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHSIFPYINTSRKPFRSKSVGYAPHAALQSPPGALLLVPGDLEAGLGAQAMDEKDGNDGRCNFSTEDPGEDKAFAASFVDQDPDPTDAALLDNECSRVVDQVKGANVENWACVNFSLMGTTETQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILPEFRRSDAKVKDICKNLGFLYQCCLPEHARTPNKLYLNNVAHNIRTKAANSVEGCLKTYKEIEAHTASAGSTYSELSEPPSFEAFLCPEEHEHILKTGWGTQRPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVASHVPKENPAECNTRKVRRWGNHIIDDDYDELQEFGTLPKMDVDVPLTSLHIRRTLLDVPKKIDILIVIMAYDRSYDGEIEEMCESLRIDYVFCLPCLSKRNLKRVARQIQLKVVKSSVRRQRLHLPLVPEVPTIVFGAHTLHYDLGEDSEAGVVSEGIASQVLSVLLQNDKRKTQEDNLLQCNLLHRGGLMEGEMDRVCLQEIDAIKQACAYSYKEDQPSLTYVVVVPSASIGTEADTAKYKFFCRHTTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLCTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAASKAYRDKCAASKAS >KN540092.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540092.1:15837:18872:-1 gene:KN540092.1_FG001 transcript:KN540092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADLIPSPRSGGHTNKNKRRRISDDEDFEAAFEEFDAVDDDSEEVDEYDVVDDDDDSEDGVVCFSPATVKYYSLFTLEFIAKYDCFSPATVKYYSLFTLEFIAKYDAISKELASFSCYFSSKWISKYKFEKAESRTRHREQTFHDGGLQPVT >KN540092.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540092.1:53401:61093:1 gene:KN540092.1_FG002 transcript:KN540092.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFGSKALRRKGDKQRCLPEGDLDDSLPMRRGRKDKSEKPRKGGSSSSNRPSAEKAKHGRKKSGDGKKSKGHGKGRHSDSSIEMNPGHMKNDNTLLPSKASKPVTNVLRKRVDPETAKYFLEISNLFDNKEIDLEERATICANALEETKGKELELSTDGPISHTLQILVEGCELEQLCVFLHNSIESFHIIAVDKFGSHVAEAALKSLATHLEDEASRGIIEDILIRICKVIAGDAANVMSSCYGSHVLRTLLCLCKGVPLQSLQDFHTTKRSAVLAERLGCGSTRSGGSDPKNQGFGFSDIFKSFVREMLQNAKDDIATLETDKNSSLVLQTALKLSAGDDHELNYIISILLGFDEDDTAQKKDCSEQKNEIIALLEDTAYSHLLEVIVEVAPEELRNNMLTGTLKGALFAISSHHCGNYVVQALVASAKTSDQMEQIWDELGSRINELLELGKAGVVASILAACQRLETKRLESSQVLSAALSSNSESSDSIVAHMLFLENYLHQKSSWEWPLGAKMSVLGCLMLQSILQYPHEHVVPLFFMILSHCHLTEIAYFLNSSIQYIRPYVASLLAMDDNKILQISKDSGGSRVLEAFLCSSATAKRKFKVFAKLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVVELLAVQTELSRTRHGFHLLKKLDVDRYSRRPDQWRASQTSKETTHREFQVEFGLSSKGAGQNIEELLTSRSPAKKRKQKDKTDVVTEDASTNKPDLSHVGKTKRIKSEKTTSEKESRNNKPTNKDSGSSMAFLKNSAKRKSPGFLSDKPSFKKQKHHKPNAGNSSGKMFVRDSAGTPFVRNSGKQKRSIAELADLAGWEAAILFTISPQLLRVMSFSELVSKDSVYMAHTSIHELQSFMLLILYSKMRLKFKLDPSTQTPALNTVMKKKSCHGRAIHDPQHGLSKITGEATKHQQGNISGSQAAAAAKSKPWKKRYLTFLSKFQNKMKKHKKPDNIKAHHTRSHKNRSILLSSSQIMEECSNLVQVIRHTAADCFAAAATAGAAAVDYEEDDHHQPYMQLDQVNYGVMKREAFGPVYLVT >KN540092.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540092.1:38450:40187:-1 gene:KN540092.1_FG003 transcript:KN540092.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKHHIVVSVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFR >KN540092.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540092.1:26094:31297:-1 gene:KN540092.1_FG004 transcript:KN540092.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKKESSQLDGIPNLSLCEKNTSMQQELDANPASHPFSEIIYCNHSNPQSQGQQPNKFFREVLALCNHPHLLDRSEASFMFRGWRVNISDLKKQLDGARAKGIAVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVGDESYPSYRAERDSILSSLSCCAEDFIDLTSPLLNDIHHPLMAIFMTSTPWPSSMDSLRCYSPHTILIPKIPKTIRCRNLIRASMDSSFSGSESKKAPNSVSFINKVNKVYEDKSMGILCYTDESGELICEGFDEGPRLTWQDMEKINREKELKAEEDRRERMMRIGVAGIDWSSLRTAVSKPVSMGEELKK >KN539916.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539916.1:43:331:-1 gene:KN539916.1_FG001 transcript:KN539916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGLTSLFSRLAVAAADSPSCAKNPPQTASFRGFYYVDEPCTTAGGGGGGSGRSAAAGRLRKGGDEMYKTVNSVFFDDSADAAHAVADGCAFS >KN539916.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539916.1:61905:62880:1 gene:KN539916.1_FG002 transcript:KN539916.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAQGHPQAWPWGVAMYTNLHYHHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVQVSKDHIPFAVEQFAGESQRDADAKSSSAASAPPPSRRLRLFGVNLDCGPEPEADQATAMYGYMHHQSPYAAVSAVPNYWSVFFSLLTAI >KN539916.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539916.1:45732:49715:1 gene:KN539916.1_FG003 transcript:KN539916.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQASASSTSSPRFAMASRAFSRQELDDLRALFVSLAAQSQTGGRAISRPVFLEYFGIRGALGDRMFQLVARESGGGEGVTFEDLIVSKATYERGTRDEVDEFIYQLCDVTGDEVLERSDLETILASIRETIFSENKEAGEGSNKRTFEAFINSAVFSKDAEGVARKSMSLSDFRSWCILIPSLRKFLGSLLMPPDSGRPGFQVPALHYPENISPDLLLLNKEYAWHIGGGFSQQEVQEWRLLYHSSLHGQSFNTFLGNVTNGDAQTVIIVKDTEGFVFGGYASHPWERHSDFYGDMKTFLFKLYPEASIFRPTGANKNLQWCAVNFSSDSIPNGIGFGGQPHHFGFFLSANFDQGHSFTCTTFTSPALSKTNRFRPEVIECWGIQTKGALDEKAELVKGTVLERFKEDRNMLKLVGLANASD >KN539916.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539916.1:37117:37473:-1 gene:KN539916.1_FG004 transcript:KN539916.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSQPYLPGGDETGRDDNKVGIHQTTTTASVCVFPDTFSAPPGTLLDVVANMAGEVASSVSFWNSKVMLPLYNCIEQRSYLDTWMAPFQVSKLKYMFV >KN539916.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539916.1:66951:68843:-1 gene:KN539916.1_FG005 transcript:KN539916.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04780 [Source:Projected from Arabidopsis thaliana (AT5G04780) UniProtKB/Swiss-Prot;Acc:Q9LZ19] MGIWFHYHPLCRRTNALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMTVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACLVFEKMPEKTSVTWSSLFAGYVQNGLHEEVLCLFRSAQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYARCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDRTVEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDVYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKIVSCITERQVIVRDINRFHHFKDGSCSCGDFW >AMDW01024433.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024433.1:43:267:-1 gene:AMDW01024433.1_FG001 transcript:AMDW01024433.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSPVNCALVNVMPLLTTVPSAKATLPTLGNPVTVMVRLLLSTSVGALRPKAVAGASSLTVRLLLFATGASLIGFT >AMDW01030254.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030254.1:34:354:1 gene:AMDW01030254.1_FG001 transcript:AMDW01030254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPVGGFLARIPLIRRWATPRRSGRWDCVCKTCRRGLRVTHHWLKRKVVGEFPCGHMVAESVCKMCYHYSDVVHPSPGKFARGYLEHEDEFGHYGRKGLRDYPC >KN538838.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538838.1:48042:53327:-1 gene:KN538838.1_FG001 transcript:KN538838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHGPLGLGYCGVATASPDAVEHMLRSSFHNYVDKGDRVRDAFADLLGDGLFLANGRLWMLQRKLAASSFSPRLIRRFAGRVILDQLHRRLLPFLDAAADAGRVFDLQDVLRRFAFDNICSVAFGVDRNDSSPSSSDSEAEPNLLSRFTAAMMEEDGGDELGAMFDSPEAKRRFLRDTVKTFVLAGKDTTSSALTWLFWFLAANPECERRVYEEDVLGRFGFDNICNVAFGVESSTLLEGGDRRHEAFFAAFDAAVEISVARVFHPTTLVWRAMRLANVGSERRMRDAIRVIDEYVMAIVASEERLRLRRGEGEHEQHLLSRYPVFHAGPRACLGREMAYVQMKAVAAAVIRRFAVEPVQAPASMETPPACEVTTTLKMKGGLLVRIRKREDDAAQQKSTW >KN538838.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538838.1:142201:142513:-1 gene:KN538838.1_FG002 transcript:KN538838.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQSAMQRQIMEMYMKSMQQFTESLSKMKLPMELDGDNGVVVQKEEKPEAEVNEF >KN538838.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538838.1:26171:32063:-1 gene:KN538838.1_FG003 transcript:KN538838.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FY [Source:Projected from Arabidopsis thaliana (AT5G13480) UniProtKB/Swiss-Prot;Acc:Q6NLV4] MRKPVQRRTVDYTSTVVRYVQARMWQRDARDRPTVQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGAIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATRFWCRNRPGDLTRDKYNSGQMQGYGDQHPAFAGRAMGGFQMPEPSTTPGPFNTGLSRNEGTIPGIGVAMPLDGSDHGEQRPSIPGLPPGQPPLPPGPHPSLLAGGQQQQYQQMQQQHPQFPRPPPPNMPQLQPPAHMLPHAQGSRAPLPQLPSMPGPPPVNPPLPPMPHPMAMQVQGSSNQMMPQMPQHLIGMNQTHQGPPSNMPPMGGFPNGMGNIQGGSSASGMQNFPMGGMYNRPQGQMPPQAQAQMTSIPGLSSYQPGMGNVGLPPPPPQHPPPRGSAPQ >KN538838.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538838.1:4005:4815:1 gene:KN538838.1_FG004 transcript:KN538838.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVKLIGAFGSPFVHRVEAALRLKGVAYELIHEDLENKSDLLLASNPVHKKVPILLDGGRAICESLVIVEYVDDAFDGPPILPADPYDRATARFWAQFIDHKANQSCCCCIIPLYYYCSIEHLQCTLPLLLALWLDGEEQKGFLKETKENLSLLEAQLEGKRFFAGDAVGYLDVAAGGMAHWIGVLEEVTGVSVIGSEDDDEYPSLQRWIKEYANIDAVKLSLPDREELVAFYTRNKDKYKMMFRAMVHQ >KN538838.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538838.1:120749:125890:1 gene:KN538838.1_FG005 transcript:KN538838.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSAFLAAGVAIAAAIFLLRPASDLSRPGDLGEAVADMILANATIYTADPAMPFAEAMAELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQISILGNGYLEEVGTMIFGEVWLSRMDGHMGIANSLAMRMAGIDKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVISEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGRMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIERAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVSRKPAGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPSTSWNEFGSDIPDHVLATYVNGKQAYP >KN538838.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538838.1:160180:161405:1 gene:KN538838.1_FG006 transcript:KN538838.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:quinone oxidoreductase [Source:Projected from Arabidopsis thaliana (AT3G27890) UniProtKB/Swiss-Prot;Acc:Q9LK88] MEGSTSPKALRVAAISGSLRRGSANTGLIRAAKEICEESIPGMVIDHVDISALPLLNTDMEVDGGFPPAVEAFRASVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAIVSASGGSGGSRSMYHIRQVGVFLDIHFINKPEVFIKARQPPKKFDSDGNLIDPEIKEELKEMLLSLQAFALRLQGKPANSEHAA >KN538838.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538838.1:1570:2700:1 gene:KN538838.1_FG007 transcript:KN538838.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLDNKSDLLLAKNPVHKKVPVLLHGDRAICESLVIVEYADEEQEGLLKETKENLAILEAQLQGKRFFAGDSVGYLDIVASGLAHWISVVEEVTGVSLMGGADEDDEYPALRRWAKEYTSDETVMQCLPSRENLAAFFAAKKDKLKMVARAMLHQ >KN538838.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538838.1:128230:128871:1 gene:KN538838.1_FG008 transcript:KN538838.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCMHMAAAAAAGVKLVYWGGQTRMLADDGGVCTVAGDVTAELPGDHVVCAADSFYVGLPIPVVSAGEELMAGRTYFVLPAERFSCFKVLTAAALASLSPAPSKTKVSVAGPGECPFEYVKGEGGATLIRVLPEFIEKVISCDENGGGGGRAATATELCSTPELKRHYALLVGRRNQPWSPRLETISESNKTRKMLLLRTPARLLSSR >KN538838.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538838.1:72591:79670:1 gene:KN538838.1_FG009 transcript:KN538838.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLPTVFLLASFAAVILSLAAPLLAGDPDMLQDICVADYKSLQGPLRVNGFPCKPEANVTAEDFFFPGLGKPADVYSGNPMGSACVSPCVLLSILAENCNIAIGIPGMLCLLLTGPLLTIHTVMTSIFGPAPIATSLVVSVMVEKRMQITRITPDEAASGVGIWSVPDDVLYKVLVRLKPRDLIRVAAACHHLRNLSASIMPCMKLKLFPHQEAAVEWMLKREQNLQVLEHPLYKGLCTMDGFPYYINVTSGEISTGSAPTVHDFCGVPPPGMNVMWCMHKPDKKYGYYELSASNSSNGNIFLSGSKKLRKDVIREDPCSSESLNNGGSVVSTRSSRKRGRLVNPDLNMIVAHPSGKSPMSAPTGVHSTPATHVLKITKNLKHVRKNLMEAYSNGSVGNKRKRDATSELSETWVQCDACRKWRRLLDGTALDSSTAWFCSMNPDSARQKCSIPEESWDLKRKITYLPGFHKKGTPPGNEQNASFFTNILKEHAALIDSETMKALLWLAKLSPKKHIEMEAIGLTRPVLDARANIGKGARPYYKIFQAFGLVRKVEKGITRWYYPSMLDDLAFDSAALGIALEKPLDLVRLYLSRATLIVVPANLIDHWTTQIQRHVSTDTLNVYVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASSRWILTGTPTPNTPTSQVAHLHPMLKFLHEEVYGQNYQSWDTGIHRPFEAQMEDGRSRLLQLLQRTMISARKQDLKNIPPCIKKITFLDFSEGHAKSYNELAVTIHRNILMADWNDPSHVESLLNPKQWKFRTTTIKNVRLSCCVAGHIKVAEAGQDIQETMDALMQLGLDPSSGEYQSIRYALLNGASCFRCRDWCRLPVVTPCRHLLCLDCVALDSEKCTLPGCGNHYEMQTPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVNKLRSLKAENIKHGYSRNMTNGACLSSQSSCQDHNNVEGRLPHTMPDKVIIFSQFLEHIHVIEQQLTIGGITYAGMYSPMPLGSKRSSLTKFKDDPACMALVMDGTAALGLDLSFVSYVFLMEPIWDRSMEEQVISRAHRMGATRPIFVETLAMRGTIEEQMLKLLQDSNACRQMVNKGTSSTDNEGARPHRSLHDFAESSYLAQLSFVKGSDVAEKL >KN538838.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538838.1:109455:116879:1 gene:KN538838.1_FG010 transcript:KN538838.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASALFSAKNLPQKPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALEIPSNWQMHGFDRPIYTNVTYPFTMNPPFVPNDNPTGCYRTVFRIPKEWKGLTKSYRAFVEEFIGGRRILLHFEAVDSAFFAWIFITDYFFKATLDEGFRVADIEHDSANTGIVYKVANLFFACLFLSILLGQNINVEVEIDSQKQDREHVSTLSIEATLYDNYGPADVLTSDMSTASVANLKLKPASRPKHCYGFHGYVLGGKVENPKLWSSEHPNLYTLVVVLKDSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEVCMIKDLVLMRQNNINAVRNSHYPQHQRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGWIRGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDSMLKIENVQFFATTEALEFSWLLHGDGCALGSGSLNVPSLAPQSTHLINMESSPWFTIWSTCAVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRAPTDNDKGGSYAKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVRMRGRIYDSGDVILDYEVSPKNDLPPLPRVGVVFNADKSLSRAKWYGRGPFECYPDRKAAAHVGVYESGVDDLHVSASYYDAAELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >KN538838.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538838.1:22190:25389:1 gene:KN538838.1_FG011 transcript:KN538838.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTVSNVARGSNRLAILQLPFILLSRLWVHFACLCFISFYVVYLLHKEHKEMSSRRIAHLKYHRKRPDQFTILVRGIPLCPDHGTYGCYADHFFSKHYRTYQSYHIVHDIGNIEALQKLASSLEDKIKRKRETRRCNFWKWIWFKLTLEAIDTRKLEEKLKNVHHSIRLLQCENMLKRKELPVAFVSFKSQLDAAQAAEMQQHVNPLSLVTTYAPEPPDALWTNLAIPFCRIAIYKLGVFIAAFLLIVFFTIPVTAVQGIVQFEKIKIWFPPARAVELIPGLNSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCIAKSQKEIKACNMIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWHFFKAHSIGHSEQPYLYGFPYYRVVPIVSLAVLIGLVYAVVAPLLLPILVIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHRYIFLSVTLMQITMLGLFGLKSKPGASFATVPLLVSTILFNEYCKVRFLPTLLRRPVQVAKENDDLNEAEGMREGDLDHAISAYKPPWMRPTNFSPDCSSVQPLIRSV >KN538838.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538838.1:8442:9144:1 gene:KN538838.1_FG012 transcript:KN538838.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPAPVKVIGTFDSPYSHRAEAALRLKGVPYELILEDLRNKSDLLLTHNPIHKKVPVLLHGDDDDRAAVCESLVVVEYIDEAFPGPPLLPADPQLRAAARFWARFIDDKCTKPFWLALWSTDDGEVLEEVAGVSLVTADEFPDLCRWAKEYAADDRIKACLPDRAKLLEHFTAMKETFMATARSMAAK >KN538838.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538838.1:39268:42229:1 gene:KN538838.1_FG013 transcript:KN538838.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIASLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMLMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEHTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWEAYRSSLS >KN538838.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538838.1:55117:58250:-1 gene:KN538838.1_FG014 transcript:KN538838.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGRLVGAMGAFFSGGDNVPWCGRDIIAGCEREVAEAATEEHKNVSIMRLSWALVHSRNTDDVNRGIAMLQASLGGSKSPLEAREKLYLLAVGHYRNGDYPRSRQLLEHCLEIQPGWGQALSLKKTVEDKIAKDGVIGIGIATTAVGLLVGIAAAVARKN >KN538838.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538838.1:104159:106465:1 gene:KN538838.1_FG015 transcript:KN538838.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDNLIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEGDDSSSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKPADMKKLKSHLLKRKQQLPMAAAAPEKPPHKPDEAAASAAAIAEAATAQTDGIISDCSCSGSSKRKAAAMEQEGISSSPDQRTKPRLSSTSSGLAVET >KN538838.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538838.1:139138:141039:1 gene:KN538838.1_FG016 transcript:KN538838.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVFWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVSQIALALYVYWKSRPSAAGLLVPAILMFVSGVVKYAERTWALKSASMSSLRSSMLTRPDPGPNYAKFMEEYHSSKEAGLHAEIVIVPERPPDDNIHVQEEHMEYGELVVKAHRFFHTFRRLFVDLILSFQDRTDSLAFFRRLQRDQAYKVVEIELLLMYESLHSKSSVIHGPTGRYLRIFTLAAPVLSLIVFSGTDKAPYKPVDVAVSYVLLGGAIFLEIYAILLMAISPWSFADLRKKDKCLPVASGVFRAVSYFLPEARPRWSNQMAQYNLIHYCLKDKPTWLTGALEKLEWDCNVRVKTIWDSVWYTHHIGVSMVLKQLVFKQLKEKANSTADPMSYRRFGDHRGQWFLHRMGCYQELGASVEVEFDESIILWHIATDLCFYDDDDDDDGRDAGESKLKRWSSCCFCSCSDHAPTADDSHLNDVSHLPAASREISNYMLFLLVMRPFMLTASIGQIRFGDTCAETKNFFLRGDELGAARKAAEALTKVKTEINPREMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGMGDQYRVEAGHARAKLIIEN >KN538838.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538838.1:80318:87479:-1 gene:KN538838.1_FG017 transcript:KN538838.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLNQVSRIVPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNALDKAFSDVVLYAVPPKGFFPSDSNAGSVHSMSSGHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSSAKMDYLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRASLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDSRFVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVVQGFSETNEEELETRSNTQLSRLSSMESFKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRTSPPRSTTPTPTLGGLTSPRVIANDAKPTNDSLSHEVLNLRSQVENLTRKSHLLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGVTKNSKLPPLSGFPMPSELSSMATESLGSPSSAGEQISNGPNGLLASNGPSSVRIKAGHPEAGKNGSRLPEAESCHEAEWVEQDEPGVYITLTTLPGGARDLKRVRFSRKRFSETQAEQWWQENRTRCQSNIWTCLKSEHWASTAVCVDADDLTTQPGAFVAWRQVGRCKRNVLA >KN538838.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538838.1:34662:37879:1 gene:KN538838.1_FG018 transcript:KN538838.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor GTPase-activating protein AGD11 [Source:Projected from Arabidopsis thaliana (AT3G07940) UniProtKB/Swiss-Prot;Acc:Q8L7A4] MEGHKPVHQFVDSPKPQRSARRICGMATRIDANDHGNQQGGNPQGPVGTAERLEHLLNQPANKICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDILADSGGNAAVNMIYEAFIPENYMKPRQDCSSEGRNDFVRRKYELQQFLSNTQLTCYSQKSGKNHNRVQHSSSNRHGLGHAFRNSWRRKEHESKSVKKTVEIGMVEFVGLIKVNVIRGTNLAVRDMMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERILLSIPDPIPMLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSVVADTAQLNRWLAKEGIWIHKDSAISIIDGKVKQEVTVRLQNVERGHLEMELECVPLTQ >KN541455.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541455.1:11207:15560:1 gene:KN541455.1_FG001 transcript:KN541455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSLVGSLIAGISTLVEKEATLLQSVPENTRFVKDELQTIGAFLGVVENMKEDSPKYQMARVWAEQVKDLAYDMEDCLEEQITALTTRNSSWSQYVTNYRALRPFAAKLSDLKSRIVEVSERNMRYHLVIADQVIDNHMNFMVALNKVLSRSVEGTTDNWTQNVVTWAEAPEESASNDKTCAPKVTAVVGMFGSGSTRVSEIYKRHKADKKYDSYVWIELSQDVNVTKVFKDMMKQLFDDSSSQPEYTGEDELAHGIQHELKQKRFLVVFDELWTTHAWHSIKKALPHVSRSGSQVIVTTEIVHVAKGCTESDDHVYWVQLLSKQESFERFKNLILVTENSKMTREDREDFEDLDLKELDRLKVLEPPFNTIEQILRKCRGLELAIETVAKLLASKSPHKWGKLCDDLPSLLYSNHPMLKDIWKVMIQRYKDLPPYLKPCFLYLSIFPENSDINVETIIDRWLAEGLVRDRTGMSPRTVAKWYLLWLIDRSMIMVSNLRKNRSFKTCWIHPMMRDILVMISQEEKFSITVGPRKSSSLLVKRLPHVTLDGGSGRKLARCVDLSGIRSLTVFNEPSESIAALICSSKLRAVRILDLSNTNEFKITRRDIEHVGELCHLRYLNLYKTNICELPSSIGMLPFLQLLNVRKTRITKLPNEVTQLGKLQLLRASRRTEDSCHNRRNRCCIDSALTVPKGIENLQDIERLDIMDIKDNSGSEIEALGKLARLEHLGLAGITIGNSKQVSKTLKRISSSLTYLYLGACQSDGTLACLPISEKKRKKPLEFPRLQTIKLDGHIGKMPYWISNSWTLAVIKLYRTNLQQNHIMSLEKLPCLVTLALLDNSYISDTLVFYDKAFRELKTLEIIRLTKLKTVIFTEEAVPQLRSLAIRCCELRLKGKNNLKLRKVDLDDGVEEV >KN538838.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538838.1:13743:15164:1 gene:KN538838.1_FG019 transcript:KN538838.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQPRKKPKDSLLGSKSNDGLPVDHARGGGGGGGGAIVRAALPNYMRATSSSDARAAPTVSSGASCSDLSTEDNDAAVREGEYAGFDHRSCGDDEDKARDSDGSVDGSCGSSDVISGGSVDLFVTKSRGCKQEIDVGKGIYLDQEAEDFDACKSDISEELDAKYEVDDISSSFGGMNFKDACPDPTGAASSQRKRWNIAKRTTSEQGEKMRLFNPRAPNFLPMEPDPEAEKVDLRHQMMGDRKNAEEWMVDYALRRAVNKLARAQKRKVEMLVQAFETVQQDDDKKSITLTKSSQPCR >KN538838.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538838.1:43906:47334:1 gene:KN538838.1_FG020 transcript:KN538838.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKRGKLGEEKAQEVKRFLDANRKMAVPGLPVKPKVVRKAFSERAGLATNPMGRKLFELMEAKQSNLCVAADVGTATELLDLADKGILLLQYDSLSKDDILLLQYYSLSKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVMPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIKANNPAETAREYRIQGWHAYQSSLS >KN538838.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538838.1:131432:133546:-1 gene:KN538838.1_FG021 transcript:KN538838.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDHLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTATSTTNCKPGVLGNQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSILPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVVRNKAKL >KN538838.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538838.1:16987:20775:-1 gene:KN538838.1_FG022 transcript:KN538838.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLEQPWWFPANLEDGSSRIANHLDRAVSSLGRDPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKAIDMPAEQFELSMGMSGDFEQALQVASSMAGSEDLSGLTASLGELHVESSALKDSEISHPGNAFDDDAIADDDVWDDVSDSPGHGSTLDREWAHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALATLPDNLKEKMFPNVQCRGQLQDLNNFVQEISAEDALQMFHESILQSSHSSEEPDATLKRATDSSRLQSLSKDLVILLRECPYVKVSEELTGDS >KN541455.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541455.1:22496:27048:1 gene:KN541455.1_FG002 transcript:KN541455.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSNPGAKCGVLTEEIEISKLSAGLGDQLNKQEVSVSQEQALVVWQTEGISSQPVDDPDSQVEGEDCQLEALMDWDLQKGFVNPIMEDDEEGKCDIPTESDIEKMRAEEDELEDDNSSKQSPSLGGEDFQEVKKKKLRKEILPVKRQSLRVKDKDVPVQVKAELRKSKANLNPAEIASASNIVLGGDESETLVNLTAICAREEAQAILFEAELRKKSSVVAEDVDLGVNDSSGLGEPENEIEVREEELYYEGSLGQGTTKMQGQEGSQGGLGLKKKRGRGRPRSKILSTEREQELNDLKRMLQSVQIEGGCDEVVYKSLSLAQKWKVFLRGEEQKVLDGWHEAIMDKLRQVKPLVLPAAI >KN542011.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542011.1:195:318:-1 gene:KN542011.1_FG001 transcript:KN542011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSALFLAFVLLAVFLAALPLGESSGRHHHHHHSHLHGR >KN542011.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542011.1:8691:10946:-1 gene:KN542011.1_FG002 transcript:KN542011.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWTAAARGGWRTRRWITKGGLLSAPPPDHGRRQCSSSGLILLAISQLSPRLKPEHNLHLHETLFFVAIYLVSVGTGGHKPALESFGADQFDDGHAAERVQKMSYFNWVPEGSPLTPLVRVLVAAARKRHLHLPADANELYEVKPQNIKRRLLCHTDQLRFLDKAAVVEHDGGEERRGAWQLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFTLPPASTFAMAAIGMIVAVAVYDKFLEPYLRRLTGGERGLSILKRIGVGIAFTIVAMAVAATVERRRLRSASPASMSVFWLVPQFLLMGIGDGSRLDLFYWLLACIGIANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAAY >KN540100.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540100.1:30296:32009:1 gene:KN540100.1_FG001 transcript:KN540100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >KN540100.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540100.1:63887:65635:-1 gene:KN540100.1_FG002 transcript:KN540100.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGACAQSRDLESGRWVHATLRRWGVEPVLLDVALATAVLHMYASCGGLDAAFEVFDKMPRRNEVSWNAMAEVCSRHGRQDKVLEVFAGMHSVGMKPDKVTWLSILRACTSKGAAGLGQGVHAYMEKTIGHRHVAVCTSLMDMYSKIGNARSALQIFQCLERKDLMAWTSMIIGLAKHGHGKDAVQLFNQMQHGGVVPDHVAFVGVLTACSHAGMVDEARKYFDSMLNHYGIRPTIKHYGCMIDLFSRAGRLAEAEGMMQMMPIQPSVTMWGSMMNGCKVHGRADIAERVGRQVAELNPQFGATYVIMSNIYAEIVLPSVQLLTLYVAWKERGTVEPANELYNGNGEQYRNT >KN540100.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540100.1:15753:16895:-1 gene:KN540100.1_FG003 transcript:KN540100.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSLQKVVVTGYANRSKILKALRRVGLRAEPWSPRNELLSAYAAGSLMAANNYYHTFF >KN540100.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540100.1:38006:39563:1 gene:KN540100.1_FG004 transcript:KN540100.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSYVRKAKAAMWDGGAAATNSHLPRGPVEANSVAVRADGNYGDDNEKLSLIKLASMIEVSAKKGARDLNLQGKLMAQIEWLPDSIGKLTGLVTLDISENRLLALPDAIGKLFSLAKLDIHANRISQLPESIGDLRSLIYLNMRGNQLSSLPSSIGRLLNLEELDVGSNGLSSLPDSIGSLTRLKKLIVETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEILSVRYNNLRSLPTTMASLTKLKEVDVSFNELESIPENFCFATSLVKLNVGNNFADLQYLPRSIGNLEMLEELDMSNNQIRVLPDSFGNLKHLRVLRAEENPLQVPPREIALKGAQAVVQYMSDASKRTTKSEPMKAKKTWVQFCFFSRPNKRKHDRIDNTT >KN540100.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540100.1:48551:50020:-1 gene:KN540100.1_FG005 transcript:KN540100.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADQATALVLPFGSYRLGVHGRGSDIDALAVDPSYVKCDFFGALAAALAETAAVAELQPVSGAHVPMIKMRFHGVQVDLVYAGAWGEDPHGLGRRGRAAPHPRAEMGIDTGKKENGGIDTGEEELGGGKETDGRLRNDAKEMGGTGAEEMRKIQSTREFQTRQMPSCTHHLRK >KN540100.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540100.1:11869:14810:-1 gene:KN540100.1_FG006 transcript:KN540100.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKVGRLSLLGCELLDAAEADSDWMLLLLLMQKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVEADEVIEWIMSCYHPESDIAGLQNEDGSFSGDIWGEVDTRFSYIAICTLSLLHRLEKINVQKAVDYIVSCKNLDGGFGAMPGVFCCVGALAITGSLHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >KN540100.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540100.1:47129:48105:1 gene:KN540100.1_FG007 transcript:KN540100.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSAERENLPVTNATGKGGGGGDHLIGLRRRMSSFSLCDHGSYS >KN540100.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540100.1:18617:18928:-1 gene:KN540100.1_FG008 transcript:KN540100.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTAQDRVFDKVFRVMRSHGVEDDGLIVYRDGTLDDATYAVCSEHSPVQDVGYHVIPGNTCVELGYLKSGRIAGNCDEETCCRGGAAAADIARAARKLEPQ >KN540100.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540100.1:8012:10860:1 gene:KN540100.1_FG009 transcript:KN540100.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLVTSPTAAASTPVAQGVPGPFSPTQPKPPASFALSVSPDRAKKRGRPKGSTNKPRIDAVGSAGVGFTPHVITVLAGEDVSAKIMSFAQHGNRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDHGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAATPVQIVVGSFNSEGKKEPKHHAHSEPASAPSKAVPTAGMGPNSPPSRGTLSESSGGAGSPLHPGIAPPSSNSQPPFLSSMPWK >KN540100.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540100.1:57814:59709:1 gene:KN540100.1_FG010 transcript:KN540100.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALWEMLGEEMAAAAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGGVDIAEVDLNRCEPWELPEAARMGEKEWIFHKVGDQYSKLMMMKSPASYYLPVSHHHPSSIFHDLPPVPFPNPSLVPFHHDHLPTSFVHPPLLQHSHANSKNSSSNNGGFVFPNEPNTTNSSDNHTACNGAMAAAAFPSFSCATVTGKGGPPAQLGVNAGQQEPPPPTWMDVYLQHSGFIYEMGPPAVPRGA >KN540100.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN540100.1:26265:28921:1 gene:KN540100.1_FG011 transcript:KN540100.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWTFPTGNIRSIFAVKFLMPALRLCRKLLQGMDMKCVGMQYLEAICRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLASPGEEYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLTFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGWNRNFEHDRCKFSLNPV >AMDW01028221.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028221.1:133:352:-1 gene:AMDW01028221.1_FG001 transcript:AMDW01028221.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAASMLLGASVALMLCGSVTFMLGFFLMPWVIGLACVFLLVGFVTNLSVIWRAILWPASCSSSPKVAST >AMDW01025096.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025096.1:168:245:1 gene:AMDW01025096.1_FG001 transcript:AMDW01025096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYDSLDPKGNITIKWDVMQWTPDGYA >KN543267.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543267.1:2549:5477:-1 gene:KN543267.1_FG001 transcript:KN543267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MLSQDWEPFADALANAPSPDALLQIVLQFAKDEDITLGGSHSAQVLLARDTRPTGEYLLDATVKGVNAVIGAVAVDMGILTTPQLHWMVRCKNKGLKSSETDYFSQVIDSFRCLLELVPKDKGADAINNRLIVDGANGIGGLKLEEIKAKISGLDIHVRNSGKGEGILNESCGADFVQKEKVVPLGFGPEDVGFRCASFDGDADRLVYFRIVSSSDTRIDLVDGDKILSLFVLFIREQLDIINGKDNKGNEVLPTRFGVIQTAYANGASTDFLKNIGLEVVFTPTGVKYLHKEALKYDIGIYFEANGHGTVLFSDHFVSQLESLTSSSQHQAAMRLLATSQLINQAVGDALSGMLLVEAVLQYKGWSFQNWCDLYTDLPSRQLKVKVQDRNAIVTTDAERRVCQPNGLQELIDGEISNYSHGRCFVRPSGTEDVVRVYAEASSEEAADSLAKRVAQHVERILG >KN541159.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541159.1:11238:11426:1 gene:KN541159.1_FG001 transcript:KN541159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGNGPMAIGLVMYVELYLVTISFLILEGDNLDKLLPGTVLEILWSLRATTSTSSSLARC >KN539311.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539311.1:54675:55898:-1 gene:KN539311.1_FG001 transcript:KN539311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVIMANAMVDAYSKAGRVEDARGVFDQMTIRDSVSWTSMIAGYCRASMLDDAVQVFDMMPAQDAIAWTALISGHEQNGEEEIALELFERMTGEGVVPTPFALVSCLGACAKVGLVARGKEVHGFILRRSIGSDPFNIFIHNALIDMYSKCGDMAAAMAVFDRMLQRDIISWNSMVTGFSHNGQGKQSLAVFERMLKDEVQPTYVTFLAVLTACSHAGLVSDGRRILESMQDHGVEPRAEHYAAFIDALGRNRQLEEASEFIKGLSSKIGLGTTGSWGALLGACHVHGNIEIAEEVAEALFQLEPENSGRYVMLSNIYSAAGQWDDARQVRALMKGKGLRKDQAYSWIEVQRAKHMFVADDTSHHEANEIYEMLDKLFHHVLIIGGNVEDKHGKFVLCCKIHPLE >KN539311.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539311.1:94474:107793:-1 gene:KN539311.1_FG002 transcript:KN539311.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELGDGGKVCGGQERRLPTEEDGVRDNGGGSAAELVESAVNVSTPFEGRGQIGGEKESSLQEGSMNMAGEKHGSYPVESAEPSNLQTCHAPNGGVSNKTLFAPFSEVFSSDNGHMRYLLDKATDGSICEHGDLAGSKDDLGGATDVKTNTEDLQMVCTKPHCDSEGLSDSHNDSERWPQVVDGVGFMIKGNNELKQVDLIPKIEAEVSRLVEDDSIPSFSGSIDDSLGKAGCACETLNDLGMSHMANGDLWSNVLYAPLSEGCQSKNARHIAVMGNKVTQGSQCRQGDLARDGIVLRGEVDVKKSLDDLQMCSKEPQCDNKGFPYLTEFGVQQPSYVMNVKTDPNHQLEKDELLTNTRGEFSSSIHEDSVPSISVSSVDFTFDGNAGQIGKTSEHRAIMEKVSHGSQRGGVLSCESRFLKESHADENQSSTLEVKTCEEGLQTGQMEPCHSIVALSDSGKYGTDILPRGGDGLRSMTGANHELVKDDFHPKSDVVVSCPVDEASIPSNYNSPIDVLLYKEDGLVGEISENRTGVEKLAHDLLGEVMLSCDSRSQTEASGDENQHFWMDVPKGSTASVCEVENTGTIRSCDPCAEIEFPLQQSREKHVISESPPERDLTSSSHNLPCENEPCYSGREKPAFCLGHQDSAGIGLESSDCLVQELNTCTSTDDKACSVDFVENGNGSHNQKEVPVIFFRRRNPVRAASSRNSNFEKCDQINKAGNSTRKSKKVDSVSSLLKSTMIKFPNKTTKGRSGINRPLNSSAWGSLQKLMDGFNQNCGPSTSRSHQTCLGKEISNRGSSEKKQLSIRKIRTSRCSKYKNTSLSDIGYLAGELNGQPTCSVRIDTNVSSDALFNSSDGAHKAAQCVEGNHTLKLTSSLTDTQQFGLENVTQETCPGYIHGECGTSTSERSLNNIVGFSPDSVLDIASVTCESNTSATLDVIVHENPSCPGGLIGGGLRASALSTSHCENHHASSLMDSEQQVKTVRENDMGKEDVIPSHAMMYNDIGEGKQTLAKSNTMRKGRNVGKRECRKKDGKKGKNINKNRSSTKISSSEASKLVSFSNDSPSLDPSELLLHTRPPKFGSCSKVVTSAIHDVGMHGYDNMRPFGIDNDDEGSAFDNVKSLRHKKKDSHGGKKGKVRDPHGKGRSKKKNIADNTYVVACKTDGASVPPAPAWVCCDDCEKWRCIPTELADKISKENLRWTCKENEDKTFADCSIPQEKTDDEINAELGLSDASADEANGDGSNSKASGEPNFALLRSNLFLHRNRRTQSIDEFQRRTYAKLGKFHTGKKGYGLQLKEDVSEGRFLIEYVGEGNMLCLNVDYVGFTFVVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKLYSYFESIKLLFPCEQWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGADMITQDDAEAGTFEPMAVQEDAEEVLGANGLSSHGTHLDIVDHEASTKTEDSNDCPSVNPPELESEQQTSGTLFDISEPENSLEALSPQDDEDVVRTPVHVSRTVESTSRQFPEYGTRSSEILQRAPCTLDGPKVSSTTNGIPPSSDLGSHRVPGFHANKKTNVKHHLILNPSSAPIDSEHILGGLHMTANDRYLICAVEGRLNSLLDVNGGISKRKDATNGYLKLLLVTAAEGDNAGGTSKSVRDLSLILDALLKTRSNSVLLDIINKNGLQMLHNILKQNKSDFHRIPIIRKLVKVLEFLASKGILTSEHINGGPRCAGTESFRESMLGLLRHNDMQVQQIARNFRDRWIQWAPRNISRNEPTEYSRASISAHDIHVISTAGGSFPTSANTMDWKSIRRKRKSRWDYQPDDHYKMGGLKIQKVCPVQSEFRTGSVGNKLHGNWGTNSSHNDVPVVGSSTDGADDEAPPGFESQQESRPGQACLESGVSPGLYLESISEPQKLKEGHVTKTGKSHLVCLSSPFHHCRPIQEGVLVLPLRCLSMNTTVWDIVAGLQIETEEYIGIGEMGREQNFHTIIKDGDFLTIIKDFESCLLPPTSQEQGDPGPRGRERPKSWFLNLK >KN539311.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539311.1:25543:27538:1 gene:KN539311.1_FG003 transcript:KN539311.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEFYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISKENMLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMASDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQEGFASRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWDKKNNAGALQLRQLQEEACRQSGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQRDKEALPGPSKPTILDDDSSSDESSDDDVARTVPYRTPAVTQAITKLSSFYVA >KN539311.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539311.1:56785:59539:1 gene:KN539311.1_FG004 transcript:KN539311.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRSVLLTSWAWTRYAVRVGICGKQELGRLIQIVAVIRYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSIIYKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHMELPHINVLSKIDLIENYGNLAFNLNFYTDVEDLSYLQRHLDQDPRSAKYRKLTKELCDVIDDFGLVNFTTLDIQTAASLSSVKLQQRHLTGITTDILYCLF >KN539311.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539311.1:72157:83062:1 gene:KN539311.1_FG005 transcript:KN539311.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANDSSPSSKLHTRLRLWELPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPCDSSTNPKCQTVYGVIGVLKLAVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSCSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTLQRLHNLGDEFKSLPLWRQYSFLKQQHSAVMSKGACAKCLKAQQIEHAEPRFLWNSYLLEPLIENKNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPHILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKLEGQTGTIRTNCIDCLDRTNVTQSMIGGKILENQLQQIGILGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYITSVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQGRYQLCRLLQNLPCSLHLMSAALGGKYPSPVLPFLITLNSEVEAKTDRTRTGYVYFSSPLLSSHIVAFLPSDHLRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKKNTKNAMNSKKQQQKKKNGVSFVGRIFSSRKDKSSSCKECEDFFGKAGILH >KN539311.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539311.1:12304:16733:1 gene:KN539311.1_FG006 transcript:KN539311.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRYTDPVVHTSGRTVLPDGHNGMVEEKRFVSCGILQEHQKFFEVPIANVNEVHHMQIFEPSTSESSYSRGRMLPEDTFAVRPRSHSPGPRGHAYSACCARDFGFTPRSPVKRMDDPRSPSQPLPLPPVPVASSSIPSSSITSSQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVFLDDSHSKERLRQLNQEIDMLKQLSHQNIVQYYGSELFSLSFGLPNLNNFEQADEALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTRQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHVTSFTEIRSFRGSPYWMAPEVVMNNKGYNLAVDIWSLGCTIIEMATAKHPWYPYEDVAAIFKIANSKDIPEIPDCFSKEGKDFLSLCLKRDPVQRPSAASLLGHPFVHDHQAVRAPTCNGTQLRNGISSPAGASHRKPNRESSSKRNIAPLHGIAGLSAREFAGFSTAYPSPHNTSSSPTAVRANMSLPVSPCSSPLRQFKQSNWSCLPSPTHPALSPGLSAAAYPNNHLQNQSRRSAAVPDPWLELSQPRPPSPYGSPKRF >KN539311.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539311.1:117782:119618:-1 gene:KN539311.1_FG007 transcript:KN539311.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding RFDSNIPPDSTSSDGISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >KN539311.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539311.1:61230:65123:1 gene:KN539311.1_FG008 transcript:KN539311.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAFALLLLLAASSSAAAAEGEAVLTLDAGNFTEVVGAHDFIVVEFYAPWYVGVFPELSGSEFESFMAVAEKMRADYDFRHTTDAGVLPRGDRTVRGPLVRLFKPFDELFVDSQDFDRDALEKFVESSGFPTVVTFDTSPANQKYLLKYFDNAGTKAMLFLSFSDDRAEEFRAQFHEAAKQYSANNISFPIGDVTASQGAFQYFGLKESEVPLVFILASKSKYIKPTVEPDQILPYLKEFTEGTLAPHVKSEPIPEVNDQPVKTVVADNLREVVFNSGKNVLLEFYAPWCGHCQKLAPILEEVAVSLKDDEDVVIAKMDGTANDVPSDFAVEGYPSMYFYSSGGNLLPYDGRTAEEIIDFITKNKGSRPGEATTTESVKDEL >KN539311.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539311.1:28079:31520:-1 gene:KN539311.1_FG009 transcript:KN539311.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNGILLEVVQVLSDLDLAISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKHIEKALGPDSNLLGGAKGGSSPVRDRSKLLFDIVCTLTDMHYVVSHASVSSDGIYGIQELYIRRKDGRTLQKDEAGRVIKCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQAINVFYVRDASGEPIAECRLLLSCMNELFELSVHLLYSIFLAEAQRNFSRSLFLEFDSIHLAGQQSGMSI >KN539311.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539311.1:1318:3783:1 gene:KN539311.1_FG010 transcript:KN539311.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding NSLSKRGYIGSYHYEKDAKYRPFSALLPEGSNPKMLYVKLVLIILMCGSFVSLLNSPSIHHNDDHHTESSAGVPRVSYEPDNTRYVSDVTVDWPKISKAMQLVAGAEHGGGARVALLNFDDGEGFCLLDERQKALLRWDAAEARAANFSDGHWRVPIADPRRNICATAAGDGEAAAACVEREIENRRVEGNRVTTSYAKLIDNF >KN539311.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539311.1:83810:89776:-1 gene:KN539311.1_FG011 transcript:KN539311.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSSHGWFRPYWIHKISGGDFGRLGHGNSSDVFNPQPIQALQGVRITQIACGDSHCLAVTVAGHVHRIESYIYPGSRDLSWGRNQNGQLGLGNTEDSLLPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRDDRLIPEKVSSVNISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCSPVQVNFPNEQKVVQVACGWRHTLALTEAKNVFSWGRGTSGQLGHGEIVDRNTPKMIDALSSDGSACKQLESSKAVPMSAKVWVSPSERYAIVPDEKTDGYIVLQFPDLRLEKASLPAMEQRLMCPREM >KN539311.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539311.1:49116:50571:-1 gene:KN539311.1_FG012 transcript:KN539311.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEELVVHFLRRKVSLLPCHPDIIPTLLPHRYNPWELNGKALQAGNQWYFFCHLTQSRTSSNGHWSPIGADETVRSGGRNVGLKKTLLFSIGEPSEGIRTNWIMHEYHLLDGDCVAGGSSNLTSSSSNRKSHRKRGHSSMESNNWVLCRVFESSCGSQVSFHGEGTELSCLDEVFLSLDDYDELLATSNYLRICMDIVFYPQRKKEGGWSLRDHMAIYAVNGNHACLCGNRAQMNSSNLPTPVIM >AMDW01011792.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011792.1:15:188:-1 gene:AMDW01011792.1_FG001 transcript:AMDW01011792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASALASNIKSLPH >AMDW01117509.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01117509.1:404:665:1 gene:AMDW01117509.1_FG001 transcript:AMDW01117509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAAGKYPDNRMLGWRPVKDGVIGPYIWKSYKEVYEEVLQVGSALQQLG >KN543909.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543909.1:7:1002:-1 gene:KN543909.1_FG001 transcript:KN543909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYGRRLTERIHSERQVVAGLLDRAEALVMAARAEGAHGGAAAAGEQHSDHPSRGKKSGRFLRRQESPPEAATAIDGDVSPPEKRRKTTAASPIVEVEVEVIEPTMPKAQRDRLLASLSADTPLPPHIVGLMRSQCCCVVDPNSEEMDVDLGSAKDAALFQLHNLLVEFAQQQTTKIIEEQEPPKIEASDATSSSSICDLLEDGEIADEGAAMGMDICGGVSPLIVDSAQFLPLPKQQEDDELIDTYGGVSPVSVNNFPQTPRSSSSSSDSSSSSSSSSDSGSSSSSSCGDSSGSSRAGSSSSSSGSDCDADADSASIRPDTTTDHPTEAE >AMDW01040436.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040436.1:185:1230:-1 gene:AMDW01040436.1_FG001 transcript:AMDW01040436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLPEANEIARPNGNGDEGNTLVAAECGPKKVQHHDYKDILRVQDTHHGVQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKLSESIGRRKYLMVIGVNTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRI >KN542938.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542938.1:6572:8645:-1 gene:KN542938.1_FG001 transcript:KN542938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLPVHRSTPPAPRPTAPPLLHSRRLALPPRPASPPATTAVVHPRKDVRLSRLHAASCCDSASAAGVTTGGGGGCGGAKGAMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTINGASGAIARLLLDQFIFSPIFIGLFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVK >KN542938.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542938.1:9676:10928:1 gene:KN542938.1_FG002 transcript:KN542938.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMVRLPEQQRRRPLTIASLPEEIMSEILLLLPPKSILQCRAVCKAWRDVTSDRAFLLAHHRCQPRQRLLTFIRDVGSRHEDLNVLDYCIEAVDFRTHQFLSVARFTGQDYDCSLEDSPFTVHASCDEIGLGPEFYISIVGSGKERSIWPHSSSASLRKWLAKGKEETQFNEPFLFHGNLHWLPHLGGQNKIVVFDTLDEVFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIEIRRFEEDDGWYLHIVSQEGDVLVDGFDWQFHYDIKGNLLEKFQCSGRMLNITPHILQESLVPHEVFQILDNESRHEPHFFRGL >KN538849.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538849.1:180746:182804:1 gene:KN538849.1_FG031 transcript:KN538849.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSETQEELVLRSWQSMKKDSESIALKFFLRIFEIAPAAKQMFSFLRDSGDDVPLENHPKLKSHAVTVFACESATQLRKTGDVKVRDATLRRLGATHVKAGVADAHFEVVKTALLDTIKDAVPEMWSPEMKGAWEEAYDQLAAAIKEEMKKAA >KN538849.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538849.1:158502:161961:-1 gene:KN538849.1_FG032 transcript:KN538849.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWEYNTEESQLKVDGKSSKTKVTSASMSQLFQNAIQKQKKKSLSKKKGVPLEKKSMKGNKTTTLSSQKTTKSSCHVQSILKKHLKTGVGTLLKNTDVMSPSKSLLKPKHVTFSDDNDILGRTDSQLGDGTEKSQLLQTSQQHYKDGKSQGGDNHCSTYEPQFSYQRAGAIVDSVEEDTGSTVLLTKSKEKTILANPVDLNHCLEISSSGSCLNSINSAVLSGQVLPQNFAGVGSIPNEGSNVHVGFQAEENHHKYQGSSVGASLAVKARSSDLIRRQLPEPSSSCFVASLRVNDGNRSKMLQERLTALHPRLIRSKDMSEPYHSPTASAAYGMGFRQSPSSERMEVHGYAVPSNNDPYSNQQELSVGCFCPAFTGQAVQNKLYVNPVSRHNEAEPWQQQLHVENHILGASEPQLLGTMHLRQSKTAATVPSYSPKHNFSNLVEIRPARSQFAYFPRQNVTQRTPISSFLSGYAVQSSPGLTTPTKFTSLRPLPPSVTSSHVYSSEDAQPHGSVPPFYPSIALSNQASKNCAPGDLKDNRSMQQTPITSNHDSSEQLNRGFKRPAVEDDVFLKPRKSFIAVGKDLNLLPLQEERLGLCGSRPDAQLPVCLSKDSEVDVQLLNNDTQIAWSDSVNRVRTILPVKLRSGAKHILEPGASPSATLGQEESWPLHSIKTFVVEDDAHAVGTSKKRDEEICRV >KN538849.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538849.1:184074:188165:1 gene:KN538849.1_FG033 transcript:KN538849.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHRLLLLLLAKLSLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSATNQASTLQFPNYSSLEGSVFERFDPDLIKKLSTSCLVMQNHKFVNFPNMVYFRNWSKYGISPKTLRENVALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKQMIPHSEEAVQVTQNFANYFISQARKSQNRPPADKVLDNLIYNYSPTFIGKKSKSFDVVYIFS >KN538849.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538849.1:206509:210823:-1 gene:KN538849.1_FG034 transcript:KN538849.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGISVTASLVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQFSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNVTIMQAARPDSRDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKYKNTKRAPSSLKPFEFRLGRWFQ >KN538849.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538849.1:194697:198319:-1 gene:KN538849.1_FG036 transcript:KN538849.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLFIGTLRADVPACEQDEVSQSLIDGFGCAPVFLPAGLYDRFYQHFCKGYLWPLFHYMLPFASALPAAASGDGRFDRGAWEAYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLRSSEKEKKVAELRQQFEGKSVLLGVDDMDIFKGINLKLLAFENMLRTHPKWRGRAVLVQIANPARGKGKDLEAVQAEIRESCDRINKEFGQSGYSPVIFIDQSVPSAMRLAYYTVAECVVVTAVRDGMNLTPYEYIVCREGIPGSECAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNFDSIIMSYERSKSRAIFLDYDGTLVPQASLNKNPSEELLRIINTLCADRNNTVFIVSGRSKDDLSKKLISCPKLGIAAEHGYFLRWARDEEWQTTAQTSDFGWMQMAKPVMDLYTESTDGSTIETKETALVWHHQDADQGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVTKGLIAEKVLTSMKEKGQLADFVLCIGDDRSDEDMFENIADVMKRSIVAPKTPLFACTVGQKPSKARFYLDDTFEVVTMLSSLADASEPDLMADLEDDLATSVSSIEISDRVVSFSNLRTEGS >KN538849.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538849.1:172249:173053:1 gene:KN538849.1_FG038 transcript:KN538849.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLYLSQIIPDVLDPFISTISLRVTYNSRLLLAGAALKPSAVVSKPQVDVGGNDMRVSYTLVLVDPDAPSPSDPSLREYLHWMVTDIPETTSISFGMVMFRKGSNMLLPLNHTRISRNKN >KN538849.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538849.1:213985:215717:1 gene:KN538849.1_FG039 transcript:KN538849.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVERWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAGPGKGEKINVKDDVSAMKRVGCCSN >KN538849.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538849.1:174520:175415:-1 gene:KN538849.1_FG040 transcript:KN538849.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGKSIFPPQLPLSGAARRLRLTGTTARLHMDAGDQSPEEVVEASDYCCGHFGYERSTPYMPHVSLLYGDLTDEEREVARKKVEEIDKEICGLQFEISELALYRTDTEDKSLESWELVEICHLERK >KN542146.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542146.1:2866:13597:1 gene:KN542146.1_FG001 transcript:KN542146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQADKPCGPLDFQVSCNSSNGVATLRSSVDSGFDIINISYGDSSLLVFDVHKLARLNSSTDCSLPVFNTFAKLPVTFRISPANLNLVFYNCTKAPPAEQQQKLGLVETRCGNNTFARLGGRYDGEGDYDKYYLEGCSSSSTVFSPVLEPPDGKANASRYEELVRGGFLVTWDLPVTSSAAAADAQGGGEGCKVGRCGNMSILQPFGLVTEQDDANGCPWFGFQVTCNDSIPYLGYPQKNQPFKFQIIGIFYSNSSFFVTDVRKTDDFDNSSGCSIPRSNTSSKLGWPFSISNVNQKLVFYNCTKAPAAAERRVLGLVDTKCRNNTYAHLEERYNESVHFLEGCDAVIVPVRGRDGEANASNYEQLISDGFFLTWQPPPQQSARLAPRWNFQGICSTVKHDKDIIRVLGGSHTGCQPITKKPKQAQEPIPTKLIKQAAMGSEDKFDMLLRMLEECERRREEADQRRTADFLSLKAAIDSWMPQEQKKAEDLQFLVEDEQSKVTPTTCSMECPNGSSPSTTARSIYDDEGATPTIILEVGDGEGKDRMPFIISKDLPELTPIMCLTKCSSLNVEPDFTVAAVVTCSNTAMDSKELVATDGATGTTNIDTSDGFKDTPAKCSTLVLDVNSIANQAETVLVVRTSVPKGVPAPVASTEVFLPRLIAEMDLVTLVPTRCSVKWHGQDKVLMTANPLVVNPWPPNSRSSYKGICAEQQLEPWPSFWGNQATRQFVRCALFLPDGSWNWGDNREIKLILVLDGWKDREFKYCINSAEVMDPAVVSQGAAPQYFRVLKNGRRVQLSAQISFAVQKPRDGFDEVGSQWEEIKRNHCRRRSSLSPKGTNQFQLQLRRARFLKSRELFGIVSLADRAQQLPDACQEKQTLPGFKTYRISQHVSTNLEKGSVHTSPVYIDNREINKNDDAHLDAYKDSRIHLTKSTDTKWVVEAMSYLAPQEHDRKFCINKCRLYQSNVLLYLEQMIYLWDAHTEGVHIIWARTICVKMPQLPGWTATVQRFKKLKTLWFSRDSKLQLSVSNFWCAAWTELQRIVLSCILELGWSFNSHFRSNAHLLEKYIKELYKSAQHASSTVDAINTTNTTSLFCEPARCGNLTIGYPFWLAGKHPPECGYRGFQLFMVTNEQLTDGRCDIESFVNASSDLGLTQFKISPLNRELVFLYNCSQSRLQLPISWAPVSCAKNDSSNSYAWLAGKYKPDDDFRQLPGSCTVSMIPVLGYDGAAAKDYERLIKGGFLLDYTAGPDDCKTCTESGGQCRVNVTNDRLDCQCSNGVSPGMICGSKTTGRTILIVLTAAAAALLLPCIYVLIWHKKGKKLRYFLCTKTSSTSERNIEALVVSYGSIAPTRYKYSEVTKITSFLNYKLGEGGYGVVFKGRLQDGRLVAVKFLHDSKGNGEEFVNEVMSIGRTSHINIVSLFGFCLEGSKRALLYEYMPNGSLDNYIYSENPKENLGWEKLYEIAIGIARGLEYLHHSCNTRIIHFDIKPQNILLDQDFCPKIADFGLAKLCRTKESKLSMTGARGTIGFIAPEVIYRTFGVVSTKSDVYSYGMMLLEMVGGRKNAKQMVENSSEKYFPDWIYDHFALDDGLQACEVTSEVEQIAKKMTLIETIQFMS >AMDW01037509.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037509.1:52:543:1 gene:AMDW01037509.1_FG001 transcript:AMDW01037509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NQLSGRIPATLSRCQQLLALNLSSNALTGSISGDMFVKLNQLSWLLDLSHNQFISSIPLEFGSLINLASLNISHNRLTGRIPSTLGSCVRLESLRVAGNLLEGSIPQSLANLRGTKVLDFSANNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVDGIFADRNK >AMDW01036526.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036526.1:219:561:1 gene:AMDW01036526.1_FG001 transcript:AMDW01036526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIFERGRTFPEEVARVQASIKAKEDKLMNDLQMLRIELAEAEKTMRNDLNQATAKVKCMEKVANAFILATALTVPSLFILASKYQ >KN545452.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545452.1:822:1645:1 gene:KN545452.1_FG001 transcript:KN545452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEHKQSLEEEVLSWSIHDMLSKKSPPFKVETISTEFKTGDDYSKAFHKLILLEIWHTICSAMDNISSGSNVVNMNHNTCPFSGKIMSQIWITFKGGEDFPKASDLLLLSSRNLKSRDQILKDDGLCTILVVESYDGDHSWIEGWKKGCIIAFLSKLPYDGNPSHKGLMENVKNA >KN539833.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539833.1:66536:74298:-1 gene:KN539833.1_FG001 transcript:KN539833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAQQEFLRFLPRARVFSTFQKAARLVYPDRWKQQRRHRRRQEEMYLPLIRAINEQRRTTTASPPTTYVDTLLDLERHMVRDVMLGGAHVPRGNVVSFAIEEIGRDNMIWTSPEEFSPERFMEGGEGEEVRLAIGSKQEATTKDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVIAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTTPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQEQEKPDHKGYIL >KN539833.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539833.1:3:5595:1 gene:KN539833.1_FG002 transcript:KN539833.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding CEDGKKYGVENTCTSGSTLSKAALCFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVASMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPAVESSMPPPPSYEEINGVFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRKLKIHLNCPGELPDVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKREESARNRTRFVRSREPSEEQPRSSTSKRFIKSGGAFRPQQKRRPLASKESSYGRR >KN539833.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539833.1:41965:52310:-1 gene:KN539833.1_FG003 transcript:KN539833.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREECIYVGTLDLRELTRKQPKAEKLRGSPVMVSGMPASKVTMTPGTSQSGTVVYPRQKHQLQEGGISPKVATAAGWHLCSTCEKAVQYMCYTCTYSLCKSCIKQKPVKFFGVRGNKGFCDTCYSTILLIESKDDRAKAKVDFDDKNNWEYLFKLYWLDLKGKHSLTLEELVNAKSCWTVRSTSARREKEDSSNDFYDANFDLDASSDGASRKRRRNSFSGKRGRKRQNNGAESLPKRVQNEGMTFIGDTQWASSELLEFIGHMRNGDISYISQFDVQILLLEYVKQKNLRDPRRKSQIICDARLTNLFRKPRVGHFEMLKLLEMHFHAKETVNGDGQKGIDSDSAQIDSGGYSDMPSKLCSDKRRKIHKKIERESPANLEDYAAIDMHNINLIYLRRSLLEDLIDDNGTFSDKITGAFVRIRTPCVGQKQDIYRLVKVLGTHKVAERYSVGKKTTDHALEILNLDKKEVITMDTISNQDFTEEECKRLRQSMKCGLITQLKVVLLIKIHYTGDIHEKAKIFQLLRVNDWLENEKQRLSHLRDRASETGRRKQYPFNLFGSPMLDHRFACLWECVEKLQLLGTPEERARIINEDPEVHVDPCMSPDYESAEELDVKKAVDSTSRNGSDLLFLGRKGIETNSLQNHRQNCSATSHHTTISPPTEGMTHGQGEEEKVWHYKDPSGSVQGPFTLLQLSKWAAYFPRDLRIWLTFESEQNSLLLTEVLSKQQKDFIQPSPEVDNNRSTWEGVGQDRVDSSLTGNNSSSPIGYNVIYSSRLPSPSADYRASAREGPNLPSGTLPFMTSQKTQKDPQMLHGQAQHQGDYSCTIPSSVVSYRPAGSHDEWPPRGNIGEWNNSQDSGAKPESCSAVNPIRDGDSSSASRVPNQSGAHVYSPPHPATTNLSKSEETMNQCKSCEPEASNKSRKPDASHAPVNQHPKPESDPVLPDTQDIERMHPSPSTEHDRKEPLKDQSRSTSVAPEGSGTKAHGQSSIAFISEASGPLSSKIVGLQPPKDTSFLVERDIKDGGSITQTEQQKEESTAFKKENVAVDPISDSEAIVSGVLESLTETYNLHEETALENFTPTSAEEEQPQCSTPIALSPWDETSDYQGEAVDSALWGVQDDQNNEMWSLSSPTPTLQPSGLGADTKGASCAIEEVIVAQGNSGVVEPSPALEKKRIEKVPGASIDRGVAEQVKPKSDAALSPEESRKPSGLQLSSTYLQGSTKSSGLPPSGTSLDGGIEPSGLLPSGTSLEWGTKISGVQSSASSLEGSKKAFVRQPSGSSLEGNTKASEKLQHGNHQVLRLLQGAQKHQVGSHHLARARNLSLIPHGVQAKAATRLRTNQQHQQLNTHQKPQGDKETTTQILLAGETLSETRAGIHPQAMRAAEATILATTMTGTIRAASHGVVAQIIPGDQIIVKNMATVARRDHRRGGNLKGGSVDTTRMATAGRALPASSSTVENALTFLYSPK >KN539833.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539833.1:35494:39213:1 gene:KN539833.1_FG004 transcript:KN539833.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQVDQDAREEEQSEGSESPRSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLIPLIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGYVREFEFNTIGENHNQGRLAVAILVTGFAFTEEDYMKPWEGWKTNLERYILQWESKHIIGVSTAIRDWLASRFAIELMREGAMQTVLSGIVSAFAWPAYLVSAADFIDSKWSIAIDRADKTGKMLAEVLLRGLQGSRPVTLIGFSLGARVVFKCLQELALLGNNEGLVERAVMIGAPVSDKEELWESTRKMVAGRFVNVYSTNDWILGITFRASLLSQGLAGIQAVHVPGVENVDASELIVGHSSYLTLVNQILDQLELNTYYPVFYPSTPKCGTPKSK >AMDW01122420.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01122420.1:133:223:-1 gene:AMDW01122420.1_FG001 transcript:AMDW01122420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALAIIASLLVAVTLADARLTAHH >KN539833.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539833.1:16926:18394:1 gene:KN539833.1_FG005 transcript:KN539833.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVKFTMEWLQDPLSLNVIFRAAFGTRDGEGHDEFIAILQEFSKLFGAFNIGDFIPWLGWADTNGINARLVAARAALDRFIDKIIDEHMERGKNPDDADADMVDDMLAFLAEAKPHAGKAAAGAGDGADDLQNTLRLTRDNIKAIIMVNRYDQPLDGATYASWTID >KN539833.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539833.1:57717:62395:-1 gene:KN539833.1_FG006 transcript:KN539833.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPRVAFLHHHLLAVAVLAKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQHYQEILNARYNRSSGFDNPWFFFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKALFGAEKLKNDGDFLFLLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRLGTTVSSYDQEYLKKTTPDDTSSRLWWFQVCSEVAYFQVAPKNDSIRSTEINTGYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTSSRRTSDDSAHGDLTPCSILNAGHGDDDSGVGATINTVTPTNLRYPLIYSSSIKLIMDFNG >AMDW01040707.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040707.1:530:1558:-1 gene:AMDW01040707.1_FG001 transcript:AMDW01040707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDFVLCKIFKKSGLGPRIGEQYGAPFNEEEWEHADAEMFPLLPNVETSVFPLLPSSEVVNSTDGTRVQPSVAARAIEELPVQHLPHVCAGNGSTYQNITVTGESALMELPSQHSVESIGDEVVSVDNCSNVVKNADSPVIDGLVLEELSRFLTDSPHHGNPVGEHSGLPPMSEAEAHAFEVSTNDLYNEIAGLAELGVPNGDGFSPSNAGVTEQQPTYFGVPSSENYVNMDDIFAPDTRLSYAYPLPNNQFWHYPMDHFTYSTTLSADFASGDSRPTMRIVDDLPAVANNGGFASKPSMQFPLS >KN541626.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541626.1:2826:8719:1 gene:KN541626.1_FG001 transcript:KN541626.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVKNLYISCDPYMRNRMTYHEEPSYVPDFVLGEVLVNFGVMKVISSGHQDFKAGDLVWGITGWEEYTVIDNPETLFKINHPELPLSYYTGILGMPGLTAYGGFFEVAKPKKGEYVFISSAFGAVGQIVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKELDLEATLKRCFPDGIDIYFENVGGAMLDAVLPNMRVAGRIAACGMISQYNLEQPEGVYNTICIVTKRLRMQGFLVFDFYDKYYQIEEQIAGYLKEGKVAYTEDVVEGLDAAPAALVKLFTSSSIGKQLVAVARE >KN539848.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539848.1:33983:43977:1 gene:KN539848.1_FG001 transcript:KN539848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLTGLYLHYNELAGAIPRQLGDLPMLAELYLGVNNLSGTIPVELGRLPALQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGELPELTRLDLSSNRLFGSIPSKLAAIPKLATLDLRNNTLSGSVPPGLKKLNEGFHFDNNSELCGAHFDSLKPCANGDEDDNEEGSKMARKPESTNVKPLQAPQTMNVNRDCDNGGCSRGSVFDDERIERGSVFDDERIERGSLFDDERIERVHLQEVAVNVNKSLFCFEHDAGATSSGVFAGDDPLKFYFPLFLYHVCTVFALSRAINALLSRANVPLVISQILAGTLLGPSFLGHIAPRVGELFATPEGWVLINTIGGYAFTLHIFVIGVKTDLGMIRKSGKKAIAIAVLGTASPHLAMYITGLALKARVPAAWAASFLLTNLNSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFAIAGVTSYLLAASPSEKLQRIGIASVIAFTTFIAFMALVARPAILRLIRDVPEGGLLTEARLIAVLLICLTCSFTGELLGLHATYGPFMLGLMLPGGAPLGVTMAERLDRLVAGVLMPLLFAQGGMRLNVKKITDASTCALLETFLVVGVVSKFVATILPCLYFRMPVRDAVVVGLMMNFKGITEVVYASAFEDAQVLDEQVYAAFMINVLLIGAASASAVKYMYHPEEKYVAHRRRTVEHKKLGEELRVVACIHSQDDVGPMLALLDASSPTPMSPLSVCLLHLMPLAGLTSSVLRHFKHGKRNCVPSGTTDSERVVNAFQFFVQQRPPGAASLVPYVCIAPYATMHDDVCAVALEKRAMLIVVPFHKRLAIDGSACKLSIETTQKYYTNRSFAKASTSYICFGWKQYVAYTTGELRAAGRCVLGGPLRPRRTGATGLTRARFWKRKHLIPISDARTSSSCIVTKDQEFVRTEL >AMDW01025184.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025184.1:1:282:1 gene:AMDW01025184.1_FG001 transcript:AMDW01025184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASHQPKSREWQLRKYLLLLAILVATVTYIAGLDPPGGVWLETTDEHLTGDPILPDTRRLRYDLFYYFNATAFVASLVLTILLLPFRVEGARLMA >KN539848.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539848.1:20306:21044:-1 gene:KN539848.1_FG002 transcript:KN539848.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSHGYPSFLFLLIPLYYSTLGSLVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWK >KN539848.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539848.1:44298:45913:-1 gene:KN539848.1_FG003 transcript:KN539848.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGRRDGFFGFGDPFPGLGSFGQPGSLMSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRLRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEDNKRSRHEQFERGYARASTSRPQPQTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAGQNLSGWDPRVNMLGTGRFV >KN539848.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539848.1:61980:63573:-1 gene:KN539848.1_FG004 transcript:KN539848.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCPTATLVQVDSARKTGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGCKLTDDSVITYIEQSLGTWSGAARPAALEGLTALELTGADRTGLISESWAERGYSVVTVQCRDRPKLLFDVVCTLTDMDYVVFHGTIDTTGDQAHQEFYIRHADGSPISSEAERHRVSQCLQDAIERRSLEGVRLELCTPDRPALLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYVTDAAGHAVEQSAIDAVRQRVGMDCLVAREEHRPQLYQKAGPRDGVDGNGGGGGIGLFYLGNFVKRNLVSLGLIKSCS >KN539848.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539848.1:9813:18427:1 gene:KN539848.1_FG005 transcript:KN539848.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKTNGDKIRQDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLYEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSTSPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFMQEGIIGDPNYSVTDIDSACQDAASQEISLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAAAISSLLRPFGKRFTFKILELPCESVMFISDDNPQISRDPETGLSRGCGFVTMRSLPEARTAMNALDGFDLDGREMLVKLSSDVVSKRRNINMTHTPPVKDHIFESPHKIYVGNIAWSVEPQELREYFSQCGTVVSTRLLTDRKGGRGRVYGFLSFASAEELDAALKLDNTNRTHKFLLGSLFPRNFISGFASEAASGHFHGRNILVRQAHEERQAR >KN539848.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539848.1:55078:57011:1 gene:KN539848.1_FG006 transcript:KN539848.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLCPVVGVAWWWWLLVLWNWIGIEMDQAKILRVRMYLGSGTFRSIIIPVSVVLLPLLMATRKGRDVLANLVFLAGEMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRVETAPALAQTPPAVPISSTDTHSSEQGQHSSVAPRTANIVTSAYNPDQSWASPAQSIPDSARTPSGDVKVTASGA >KN539848.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539848.1:1355:4789:-1 gene:KN539848.1_FG007 transcript:KN539848.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT31A [Source:Projected from Arabidopsis thaliana (AT1G32930) UniProtKB/Swiss-Prot;Acc:Q9MAP8] MEKNRYWAVPELPDCRTKVNSDNPGAVMNQVSQTREVIIALDRTISEIEMRLAAARTMQARSQGLSPSDSGSDQGSTRARLFFVMGIVTTFANRKRRDSIRQTWLPQGEHLQRLEKEKGVVIRFVIGRSANPRPDSEVERAIAAEDKEYNDILRLDHVERNGSLPLKIQMFLSTALSIWDADFYVKVDDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVVDKNESKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >KN539848.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539848.1:24725:26304:-1 gene:KN539848.1_FG008 transcript:KN539848.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTAASDVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >KN539848.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539848.1:30442:31620:-1 gene:KN539848.1_FG009 transcript:KN539848.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRQSAPSATRKEADAAEEEKPQRDLLISISISGGKIPTESDRIMGGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >KN540481.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540481.1:24326:26277:-1 gene:KN540481.1_FG001 transcript:KN540481.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVGVGASLLVLVLLSKLISYATNSRPSSKAKLRLPPGPWTLPLIGSIHHLVSWSESMHSVMRKLAWRHGPLMQLWLQKEGTSPLPVTNEVILVLLMDIFAGGSETSSTTLTWTLAELIRSPRIMAKAQAEMRHAFQGKGTITEDDIAQLSYLKMVIKESLRLHCPAPFLIPRKCRETCKIMGYDVPKGTAVFVNVWSICRDSKYWEDAEEFKPERFENNDIEYKGNNFEFLPFGSGRRICPGINLGLANVEVALANLLYHFDWKLPNGILNKDLDIREARGLIATKRTDINACPVTHIASSCA >KN540481.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540481.1:43717:44646:-1 gene:KN540481.1_FG002 transcript:KN540481.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKQLSPSSRMVNTSLIVPVFYIIPVLHLFIYCGLCCWGGPSHGHGGRQHHEEGTQRQINGCAEDGVGGGSVTGRWRDELGWPAVEVEVEQAAFPKSGCPREARVETEVLSLLSFFFLLLRFTPYSMSLLLLFSVAPRVARRAKARAVEVAENGAIGIFGGEVAQAELDGAELVEDSSGRVRNQLGVPEPRMATCLRLHRLCPSAIHFPRRRRPRRRHTLAVVVEELSSAGKAVSRGDAGAALIVVIVRGDGFSGWVISSGG >KN540481.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540481.1:35477:41592:1 gene:KN540481.1_FG003 transcript:KN540481.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFHPAIFGDFFIKSNQPSLLEESDEWMEERAGQLVQEVSRMLEVCNDDVVEQMKLVDVLQRLGIDHHFEEQIDTILKNIHRAEFNSSELHEVALRFRLLRKQGYWVSPDEFNKFKSEDGSFRSDDITNDPKGLLSLYNAAHLLTHNERTLEEAILFARHHLELLRGSLEYPLAEQVKRALQIPLPRTMKRVEALHYIFEYSAEEQTFNPSILELAVLDFNILQKVHQNELKEICQWWENLSSDIRLDYIRERVVECYFCAYAAYYEKEHARARMIFAKRCMLFSLLDDIYDVRATLEEARKFNDALQRWDKSAVSLLPEDLKRFFLSIISNFREFEDELEPHEKYRNCYNIKAFQILSSNFLQEAEWFHQNYIPNFTDHVNVSLQTGGAIELPISLIVGMGDTATKEVFDWALASPDAGRAFAEVARFMDDLAASHSGREKMDVASTVECYMKEHGVTREVAEAKITEMAEGGWKSMNQMRFKHRASLLPFVQRIANLCMSATLLYHGRKNGFSNSQELKDMFESHFVNPIPLNHLDYNSRRV >KN547248.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547248.1:222:777:-1 gene:KN547248.1_FG001 transcript:KN547248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPVINKEEYLAICLTVLAGTRAGKALAILDCYEIYYGV >AMDW01026539.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026539.1:13:303:-1 gene:AMDW01026539.1_FG001 transcript:AMDW01026539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IIVTLDLFFIWPTTQHGSKLPRNRWLINQAGPWSLQKTSNSITNWQCGRNNQKTKMWTVDKFSDVFPQDWTTGIGLKATVYASKMKMKLAKKQQNL >KN540916.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540916.1:483:1058:1 gene:KN540916.1_FG001 transcript:KN540916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDRKQIKRCVVAFLPPPEEEDAGEEIRVVPCPAAAAEEEERRRSSKRKARRRSGGGGTLVPADGEEMILVPPGKLALSKNLVDKILSLERMELPHVADIVDDGNPNPSEADKALRRCVLDLDRDNRRHQDKLAACQAIIRRVRHGKGYAVVDNRLDFRVAVCRAEGVFLLPCHIADLIPVGFDLVSN >KN540916.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540916.1:32726:37304:1 gene:KN540916.1_FG002 transcript:KN540916.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACRADARGGKNVAAGGGGKFMSKPIHVRPPKPEKERVDMVPRDVVERCIVALFEKRGSTREAAMEDLVGALEGHVSAREVSCKYTTIVSRCVFSLKKGSVREARLAYRAIGILALTLGGGGGGDDTAGAKDVLAEAFLFLAKTVEASHDMAKVLAAIDGLAIAVGDARKTTPKALAAAVSAWAFLLTVVHDRYEAEEGESCKDKIALLAKLLDDHDDRGVRVAAGEAIAACVELKLAHDTTPEDMEALNATVSYLATEPSGKGAGDKRSHAGQKDIFRQIEIFLDDGEAPTKSVRTSSSRQSVLKVATWTKLLQLNFLTRYLGNGFHSHLQHNPLFDETFEVAGDEVEGLPAARKRMSRKQREKKRTLERRRRPVRSIASTSQPPLTMVMGKFIRRSNGHASSSSSSSMLDDDTSSACGGGMSIYYQKINQRVAVLEKAAVSVHESRASTREAALASLKRAAREACLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEVSTDASLVIAALESLAVVAFVDVAAENMDDTEACMKALWGLIRPSTGPKVAGVARKTSPHVLAAAVSAWTLVLTTTDGWKKKKAAASSPTAWRDTAAHLASLLHSESRAVRMAAGEALAVTIEMKLVTRDSHGALISGVAARASELANEAAGAGVGKANFVEQKELFKTIASFLAGGKAPASSVRASSSHHGRLTASTWTDIARLNFLRRFLGGGFLPHLQGKVLMGEEAPLIGQVFVVKEDDMAMDQKKKVDKQRTLKKERQIATDLKHGSV >KN540916.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540916.1:11014:17103:1 gene:KN540916.1_FG003 transcript:KN540916.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METALGLVDIAVKLFNLLDESYEQGKGLAAGIDFIRRELRSIKEAISMRSGTADQEGIRSWMADLRDICRKIENCVDLYNLRVVVVGKHRKKPGVVRDAIHHLTFRTTLARGKLSEDIEAIRKFVEQANSRVTAYHPQAQQATIHKNSSTVNNGATRHASEAHPDGLDTPKAELVRLLSQGDGKAKQLRVIAIDGLGGSGKTVLAKVSYEQVNREFDCRAWVSASDDIDELLADILGEFSEQIPRSSKDLGRRLRDFLENKRYIIVIDNVHTTVWWRDILYACPDNNMSSRIIVTTTNEQIARNCHLNCRVQKMQPLDQLSARTLLTRKAFGEDGCPIDLEESLDMILNTCECLPLAIVNMADHIKGKRLCDRSQCVHACENFRFLLDAKNEAFLGMHQVLDRSYNSLNNHAMACLLSLTTYHKDNVFRRKSLIRRWLAERVIIVTDGQSEEDVANQCFTDLVDHNIILPTDVSINGQVKRFRVHRIMLEFIINKAHSENFVNWINIHEDGIMGDPGHGENINRLILHNNSSRPPKIGERFDLSCVRSLTCFGLASKSLMYFKDYTLLRSLDLEGCSNLHDEHLETLCKSSMLRYLSIRGNVGVSRIPRKMIKLKHLETLDTRGTSVGTLPMEIIQLPELTNLFGLFQITSSSSSMRAFLSEKICRLQTLAGFFVDKSPNFVKALPRMPKLNKVKISCRQTAAPSNEVITDLLISLKECFEKDLSPRNIPLRSLSIDFGSSGNLDFLDKLEVPFPCSLQSLKLRGQLTRLPKLIESANLQELCLSGSNLGWEALSRLQCLQSLLYLKLTEQEPAAFKLGAEGFVWRSGGFVNLKRLCLVVAELPKIVIQHKAMPGLESLQLFCFRLGSISGIDHLLRLEEVVVASSFRGVAAEDLKRQVEAHPVRPKFVEKPHPSPPASRRELTRSIRIQSTDHERD >KN540916.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540916.1:6839:10054:-1 gene:KN540916.1_FG004 transcript:KN540916.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADSALSAVMSSLLSKMQVMVENESAQLREVKRRITSLQAELEAIRQFLPKAPEVDDPQTKVWMTELRELSYDIEDYFDKLMVNYAGSNPGFKPRRGKGLMARTRSLLNRARTSRRIDRELEELKGRVSQVSKQRDRLRLRADPAAAPPASDKIDGGASVDPRIQALFAEASRFEGMDGPRDELIDLLKEEEEESSESAARLKVVSIVGFGGLGKTTLANQVFGAIGPQFDCQAWVSVSQSYNTRGIFTDLLRQVQIQEDTIDEEGKWDERDLVNKIRESLQNRRYLLVLDDIWSLESWEIIKLALPMNSLCSRIITTTRVQRVAQSCCSHWNDVIYEIRPLSMHDSRRLFHTRIYGSEDSCPPDLVEVSDNILKKCAGVPLAIITIASLLATKGHTKEQWLKVGDSVGISFSGSTTLENMRHTLYLSYSDLPYHLRSCFLYLSVFPKDHVITRDRLVMRWIAEGFIVEEPGRNPKELGESYFNELINTSMIQPVHFGYDGQPEDCRVHDWVRDFIVSMSTEENFAISLGDQEPASISHNRIRRLALNNINEKQHFPDLVSQSQSHMRSLTVFGSLGSIPSLQNFSLLRVLDLEDCQNLGNQHFKEIGSLWQLRYLNIRHTRISELPDEILALKFLETLDLRGTGVLELQANVVQLHKLAFLLCDNRLRLPEGIGSMKTLHLVSQFDVLRNKIAVVEELGNLSNLTELVIWWSPSVDSDNIGIYERFASSLYRLNKLQSLTIHGTDSSVDLLDHLYHPIQELKKFKINKDCYLRRIPKWFRSLPNLSYVCIDVKEVKNKDLQLLLSLPSLIHLSLSSRVVPTEHLAIRSNGFLVLREFHLHSDWANLTFEPESMPKVERLFLAFNVLAAEKYGFSISIENLKYLKKFDVRINSEGANASQVKAVEDAIKNAAENHPNSPILSILTLGNLVNNEGRDEANKPVY >KN540732.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540732.1:40143:43557:-1 gene:KN540732.1_FG001 transcript:KN540732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKSLIFGDTVIFTNESNIERWNKKRQQAVDSKIGRLDKFIERVKVPIQVFIACGLGKGKTFPDDPFRKPNTGMWWLMREHFNSGVTVDMDKSFYVGDAAGRENDHSDADKEFAKMNSSGLAYLRSESWVHCGNGMKQAIGLKFHVPEEYFGEAANI >KN540732.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540732.1:1738:2918:-1 gene:KN540732.1_FG002 transcript:KN540732.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARIQVDRKVIAVRKRRGGKRKQALLGIWSWASSIVWAGCSLGSPWVPNSWWLHLAKQQATPLATSYHQAPVHSRHARGVADGWIDRQAAGVNGGSKRSIFYCRFAD >KN540732.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540732.1:22688:26593:1 gene:KN540732.1_FG003 transcript:KN540732.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEAKVGEIYRVLFGNAPNANFLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRCAFSQVVNSGEKIVSFHIEFLTPYICHSFYYPLTRMHDGGEIDVRASYTAISVASLVNILDGELAKGVGNYITRCQTYEGGIAGEPYAEAHGGATSNLHGFSGRQCELLRPWVGWHFAKEWNVDFKDELINWLMVATPFGSKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >KN544424.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544424.1:217:2020:-1 gene:KN544424.1_FG001 transcript:KN544424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNAAVKMKLCVGACVQNVLDSLVPALLKDENRKFVYVEQ >KN540732.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540732.1:19381:22251:-1 gene:KN540732.1_FG004 transcript:KN540732.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAALYSPRGALASPVRRVDSPPSLPADRGCLWPLVPHRGAGNFASEQIDGDYHREWGARNDGNYREPHRTDSLSHQVQANLPSTDSSVGADRIRGVSGDGSVNANYRHNPGQPEFPNRHEPHSSARVNDGASGYNDRQPYGSANTQYRSNSAQPSQTGGPYGFANRSEPYTSARVNYEAPGYNDKQPYGGGTTYNQQIPNGDLPNSQYSRRQGNNSGVSGYGTGHHYHGSDTYRSGYNTQNNQQAYDSRQYGYGPSGQSYQKSTGNDQQVFQQQEVDQRSAGNYANRPGSTSEYPNPSRFNKEHAANFQQGYNGGIGHNVSHAPQSPYVSSKIDAQGNFPGQPMNVNRSVQHNTHAPALYQDGIYRNPLTDSPSIDGLPSGASDVTSGESKVTVEEMDKLCEDGKVKEAVELLALLQEEGTVVHAPQYFKLMQACGDATSLAEARKIHSQISQSALAVDTDINNKVLEMYAKCGSMEDAKKLFNTIAQRNLASWNTMISGFVYNGLGDEATDFFDQFKQTGNKPDSTMFTHVFLACGILGSVDEGMLHFESMQKDFGVTPTMEHYVSIVNMLGQSGYIDEAREFVEQMPVEPSIDVWESLMNMCRLNGFLELGNRCAQIVERLDSSRLNDQSKIGLFPVDASELAKEKERKKANAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >KN540732.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540732.1:6937:14076:1 gene:KN540732.1_FG005 transcript:KN540732.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELDRRRAAGPRKGVITWRPKSPSQDDSHAFKDGDKLNWVNTSSKHHQNVPITPTTNYGQAEDSAFFKELSYFGREKHASLKKVEQSSRTTVSHQPKNHAVCPKRADEKQLHMDNKIIVNKRKLGSKSCLRKRPKNNSFDSNGMYDKLHTKDVTLGRSTKRWEHTKNHITEFGGLFDSKERNKKKDVVLLDDEDMEPAKSINVEMAHKWTDLETFELICSDIECLEPEEYLKSPVINFYMQYLRKSRTCGELYIFNTYFYSKLEEVLSRMVDHDDSQFSKLRRWWKHIDIFRQPYIILPIHGDFLIAEWQHLQTDSSYTIPFSGRIWNHLSKNINKEKVQVPSQRNKYDCGIFMLHYIERFIQEAPERLTRENLCMFGRKWFDPKETSGLRDRIRALMFDAFESARMDDESSQSESHSDDQSGDEDKDRDGVMVVVID >KN540732.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540732.1:28529:38129:-1 gene:KN540732.1_FG006 transcript:KN540732.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASLLVLVGEPFRLFGTNIIWSILTVGIMFEYTVGASFNRGFNRAVGSMVAGVVAIAVIWISLRCGSVAEPYVIGLSIFLVGAVTSFVKQLPALAPYEYGFRVILFTYCLIIVSVYRVGEPVAAGLDRLYAIAIGAVLALLVNLGDFLADGRFTFVGVGIHDDVAKLRSHHGLEVENAVDLRYLAAQTIGKPALRSTGLQGLVWEVMDVWMEKPHHVRVSAWDSWNLTPEQILHADYVPDSLFDFLADGRFTFVGVGIHDDVAKLRSHHGLEVENAVDLRYLAAQTIGKPALRSAGLQGLVREVMGVWAPKPYHVRVSAWDSWNLTPEQVMYACADAFASFEIIHADYVPDQLGDFLGDGRFTFVGVGIHDDVNKLREHYELEVENAVDLRYLAAQTIGKPALRSTGLQGLVWEVMDVWMEKPHHVRVSAWDSRQLTLDQVMYACADAFASFEVGRSLYDDYE >KN540038.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540038.1:5671:6834:-1 gene:KN540038.1_FG001 transcript:KN540038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPTTPTLGSQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDITGSGSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRLLGSSAHRGGGGGGADGGDNSRSQEPWSVALSDGMDETLINKITVCKYRRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHVQCIDTWLKSHSNCPLCRCNIAFVTVGMVSPEPEARVPREDRRDNHELVLAIDNPEHVREEPQNVVTGVAVGNGGRNHEAKDGPGRSEDANGTAEIREDGALMPPTRAPSSLSDTHREGRMSIADVLQASLEDELMVARESGLLAGSSGSSRRCHGEHSKDGGGRSGRALPDGANMKRLAPAGRSCFSSRSGRGKDSVLPM >AMDW01092506.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01092506.1:2:397:1 gene:AMDW01092506.1_FG001 transcript:AMDW01092506.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALGADEALDYATPDGAALRSPSGRRYDAVVHCAPHLPWQVFDRVLAEGDTGGGVVVDLTPGVAATVRSFLHRVTFSKKRLTPFMFSPSKADMELLVAMARQGKLKPAVDSCHPLSDAPAAWARSMGGHATGK >KN540038.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540038.1:47689:48396:-1 gene:KN540038.1_FG002 transcript:KN540038.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMEALEKHANINPVVTSTVWKELEKENREFFETYNKDRAERNIEAETMQRIQKMLSDAAASKGDDDDDDES >KN540038.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540038.1:36522:38682:-1 gene:KN540038.1_FG003 transcript:KN540038.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASEKPPHKDYYKVLEVDYDASDDTIKLSYRRLALKWHPDKHKGDNDVTAKFQEINEAYTVLSDPAKRLEYDLSGCYELNRYTLREYLTRFKGMILTCNGLGIDHSSKWARHLRELEPH >KN540038.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540038.1:20062:21217:-1 gene:KN540038.1_FG004 transcript:KN540038.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPFSLKNRGDMGGRGYEEEEVENQRWPPWLKPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLSHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKMRRMLVDTNNGVLLITLQIVGTSGGYRPRKKHGGCGGGGGGDGGKKKKKRAALKDARYESEDSCTSTSGGSSDKSSVVQSFTPSTPPPTSASYRTGNKRRKGVPHRSPFGSLIVEF >AMDW01054467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054467.1:639:3543:-1 gene:AMDW01054467.1_FG001 transcript:AMDW01054467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYEQVGSKIVPQQLASDHHTSNSATMQEASVLLMLIVFHAMAISTTTSEPAISLPGCPGKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERSEVRVYGPVSYICFSSNTTIPENHTTGFTLEGTPFVPSTTRNRFMTIGCHTLGIIGGYMHSNSNLYVAGCYSYCQSINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRANRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPKGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGNYLCKCRVGKRPDGTNYGCQTVLNQVERVIAGLSVSAVVLMALICLLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEKEIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIDTQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASTLKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDNLNGNEALSHIFPLMFHQKRHCDMLDFDMIDDKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSSPTRVSCSYEGSSMSIPSDPMKYQSMETAKLVLDADIAS >KN540334.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540334.1:3396:7463:-1 gene:KN540334.1_FG001 transcript:KN540334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSFEDLPHSPFSPPSKRACFRGGSSPSRPLADPALVAQIRPWFPSVGLEIVIFSVCLDSLNNVLVVITKHNILQYPVIENTLEECENDFDSAIKFLLNLHVGPTECNVDPIYQSPSGMSTELQVADEGILAGNEAAVPIGNAPCADNFPSSSTQWVEILVNEMTNASNMDDAKARASRVLEVFEKSMTAHVGAMGSFQKVTKESSVYKEQFEAITRENTILKKAVAIQHERQKEHDGRNQEIQQLKQLVAQYQEQIRSLEVNNYALSMHLRQAKQANSIPGHFHRDIF >AMDW01037237.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037237.1:45:282:-1 gene:AMDW01037237.1_FG001 transcript:AMDW01037237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLEKAVLPALDVAPPVKIGGPRRTSVLRNPNMEKLQKGYLFPE >KN540334.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540334.1:45967:48911:1 gene:KN540334.1_FG002 transcript:KN540334.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDRFDLPLFVEEEDEAGAAAKQRRREQSQKPRRRPRASSFLDTPEVKERRARSSALAARYWEHDPKTGISYYTRACFRDLTTFDLDKETQYGPMRFTDSIIREDHMLTGSLNVLSLKNENIILTGPTRGIVFHGEIFFEINLKIKENEECNDKEFSKGLLEMKVYTRKSMIASETLESRLSEVELVSASVKEALEGTVEINILSGPEVFHGKITACTTDVPNDIILYDSDVDGATAVGDDRVMQLLRRVVVVSVNETLILNIGAHNIHPNDNVSTRTLRFTPFTRGLDEEVISCGLYKMRVKVVWSTLMDYNIVN >KN540334.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540334.1:25904:27385:1 gene:KN540334.1_FG003 transcript:KN540334.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAQAQAHVLLFPWPQQGHINPMLHLASALLDAGLHVTFLHTDHDLRRRYARPHHPTRLRLLSIPDGLPDDHPRTVGSLIELLDSMRTAGSAAYRALLLTESSRSRPDSLHAPPPVTCVVVDGVMPFAITVAEEVGVPLLELGETPVPSDEQVRGVPGMEGILRRRDLPRVVPTKEEDDVGAEEADPVPVLLTIADTVAHCRNSRALILNTAASMEGQAIARIAPHMRDVFAVGPLHARVATNTTTGIAVEKHEDDDDDYGCRAWLDGHDDRSVVYVNLGSLTILSREQLAEFLHGLVAAGYAFLFVLRPDMAAVEGVPVVCWPFFADQPVVSRFVAAVWKTGLDMKDVCDRAVVERMVREAMESPEIRASAQAMAHQLRLDVAAGGSSSSELQRLVGFINELSAVHVRSRDADENSSPAASLLT >KN545238.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545238.1:65:1369:1 gene:KN545238.1_FG001 transcript:KN545238.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAPALDAGLRGAEARRAVADAEEGAGPEADLVGEQDADGDADDGEDDAEGEEEEESERGGGGREEGEAAVVEDERLRVPDPRQPRGLGALPVHDVNFLQKSIQLCLIDRNT >KN540334.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540334.1:13088:15026:-1 gene:KN540334.1_FG004 transcript:KN540334.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLRDSGGVGPSGEKAVAEWGPRHRAKGTSRRGGARRSGKDHERRQRGRVRGLSRRGAGRCALGARRQCVRRARRVRLVTGRGAWLSDFKTQNFQGVTIGRARCTSLGSPVISHDGFLDMTESTGFFSAEALEFQTKITHRSSLGDRTYLLPGIQAWPPRLSMVEAHAEAEAIMFGCLNKLFATTGIDLSHDVFSLFNPTPLLASMVVNQAMGQVGTGLPSSISSLHCRWCP >AMDW01037892.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037892.1:66:401:-1 gene:AMDW01037892.1_FG001 transcript:AMDW01037892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLLEVARNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDNEGANPTPTSNPSNPSPNNLQEQQQLPQHGQRVSFQLNAVAAAKRRGTMDQLNMG >KN540609.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540609.1:371:1588:-1 gene:KN540609.1_FG001 transcript:KN540609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRQFLNLLVDNGIPRAKSLHCVKLASNKLFNTTKPTLLPLIGDGNELKNNNQSMEKIVLPRPSFNLQSPGQRRHCFSAADRRVFCLDQASQEEDRRSEMEEQRGGIDVNDDDKDATDDEDYSMDILLPKSRHRDGSIYRGIMDTWWKKELRIADRNEITNLHFDVTFYEARLEAMRFSNPTNCIKDDGTCSQHPPRCMLQILSLELAKIHNDGGLLELYGYIAVRDDLDPLFNYIVNFSRDDLIIVEQ >KN538821.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538821.1:159068:160380:1 gene:KN538821.1_FG001 transcript:KN538821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAITYLAWSLQPNENDAPPPQPTGHDDDDEPSPASIVVCVAATLSGPYLGVWALFVRSILLRGSFVAGDAMCIAAVCVGMSWLVVPVVAGIVLRQINAVLYGHWLYGIAMAGFLGYTLAVNKHYQPPGAHAHHKASTSLGRQLTLPACWSAGKLAMLALLRSTKMPALDSPIKKVASAFQAIAHLVIALDKCRSHEYII >KN538821.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538821.1:228291:228617:-1 gene:KN538821.1_FG002 transcript:KN538821.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVESPTVRPRRSLLERRWPRQRQTTSQADLPMSSDGSGRSVTYGLKSYGSADRCLGRDGVAMGDFQRWRAADPAVVEPRRIQDRSWILPRLCNEGYYDDHDLRATS >KN540609.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540609.1:31040:34347:-1 gene:KN540609.1_FG002 transcript:KN540609.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLISSPSLMASAAASWCWWSPLLARGGPVRRVSRFPWEPRNMTTISRVKGDESYRRLPSQEKKHTAITQGMKTIDTRGTILEVRAGDEKSNKDAASLEVLYAKYDILGQSAKHDGCDEEDRRSEMEEHVGGIVVSEEDEVLDPEEYTVNNILPKSRHRDGSIYRDIMDTPWKKEFHIADRNETRLEAMRFSNPTNCVIRSNGTCMSHVHCRMLQILSLELAKITLDGGSVELYGYIAVRDDLDPLLNYIVNCSRDDPIIVEQGSLINMEGPKRGIDMMDYALIEYDMRIKTGEQEKDDLQLIDGASMIGPAGLWNRPDTICIPGDYGAVDITLSRFNFSAEATVEILISEVQSNFNLLLGCLTSDLDKEIRLFDGVITESRGLKRSVVAVMEDSFIDLKFKVGAFPSNFDQFYVSFKEKKHGYDIQEIKTDFALISVNVTWSTLPAGLK >KN538821.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538821.1:140599:145560:1 gene:KN538821.1_FG003 transcript:KN538821.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MAAAAPAGAQNRCVFGTGPPPPASLSPSSAWFDPELSSGEGAHVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKVEDPQFLTVEETHFSTSNSYVKMRACIALVPMNDNSSVFSNHHVSVVEDLEWHPVLVGIISFITPKFMYTQKQLAGTPVIGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQMQMAKSQQPSSSLAQSSSHISEPFPQPDAMIPSVPRPSASLPNPNVLQDPAAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAVPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQLLQPVSDFWKNLLD >KN540609.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540609.1:23603:28677:1 gene:KN540609.1_FG003 transcript:KN540609.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESVPLPDSRGARRHTTPTTRTDYGTNQAYVFYGHSRDFQYVGVEDLPKCAHVIAELPPQSQSMSLVDVQIWIIKLFRLHPETQDLSIKGFYSDYCPSVLIPEWYFGYWITYDCLRDESWASFAKKVRGRRNGMEMFVLYVDSSEIKHSSSLIKAIPDDYSQLETAVLPDRKSLSSFFPLNCTSKRLTEDLTMTTTEIAAYLAQYYGDQYSRAGAWRAKMKALELRYGTFYDSHNYAPRLLKDIKHKHPYSFVDIKDTEQNAPNTDNADISCYLDARLFIGSDEGVKARYWINPDKKVLEILHAESRSKQEMALLLCQNLITI >KN538821.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538821.1:162053:163177:1 gene:KN538821.1_FG004 transcript:KN538821.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAVCVGMSWLVVPVVAGIVLRQINAVLYGHWLYGIAMAGFLGYTIAVNEHYQELMRIIRSQPRTASDASGLLVGREACDSCSAEIDESASVRLSHQHGCFSVSGHCPSCHCSRN >KN540609.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540609.1:4225:13913:1 gene:KN540609.1_FG004 transcript:KN540609.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MRMISLLEIFGMQTTNFVWSVVRRPAASSIRSPVRPVISSRSRSTSPLSNQRIDSPADYDNGMGKRRVVNYADPLFENGSAPIEEMRTQHSEFGKTARSPTSNITSKFRPPSGFQNYHPVQAADPLEYKPNVTPAMFGNQNLHDVRAAPSPALNNNRLVPGSGRLRPALGGGASPTVLGDASQYDNSTQSVMARQEMSEHMRPKRFPASFQSRSLDHNISKRSRSPTLSQQDADGAEAHQDAGVNARRLIDYTDSLFDDGMAETSKRMKSPSLEFTSMVKSPSSDIRGYTRPSSAGLHSNSAAQNLRSSVDIQKASSSVPKVGNQVQFRIGDVRSPPYQIDPYSNEQNTTAVSPPKPSILGASKRIGTPLLDFTDDDNMIPSTESEREKQAKAKRLTRFSVELSRPVDNINDFAKAQKGSADKQKQASSMGKVPTGSKDDIDERSMADADSPGLAAIIGLCPDMCPEPERAERERKGDLDKYERLDGDRNQTTELLAYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDNFLGLYNFLWDRMRAIRMDLRMQHFFNQDAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPDILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNTQGIPISQAVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSETDFPSGCSQLVHLKKSQRIINDVSSGPVCAPTSQKEAVASNSGGFALTRGHVHPQPSLLVKREFGLSFPGPVSPTSGRQTTSLYSGSFSPKAGNKQFSLPSSSPMSPTSGKKESVPVLFSTPPHTSKQAILPRTGWIDEQKVASPKAESNTKAADDFIPEDQDGGLVGFPRGQPDVPWTQANIQQDSALEETKFSPPLAEGVSLDYSNMHGEENEFRPDGSSIDADMDEESPSHREVNFIQPESFVGSHLSDSDHEEYDDPNIGDRAADNMLPVVVSPKKIISNERLMIILRKWRHRAMDKRFIREQKNALAIAALSSLSLGPPVHQTAVVPVHAVHDLDISQAFKERHSRQQLSLSPLNVSELVGPILTERSPDARCICWKLLVLVPPGTMEFKTSNYASKWLLKKLMGSGNEDAGLLFSSADLSIWTKWNSSPDACCLSIARAIDQQVIGNDIANGTNCIIFLVSETIPWEMQKARFSSLLASIPAKSNLPLLILSGDTYNEEYDYASQSVIDRLGLGCLSEEKIASCLVIFLVADDMEGYANGFFDDEKLRGGLKWLTKNLPPQPDVTLVKTRELLLNYLNPSLELLNSRAAPEVCPEHCISVFNKSVNQLAEEISAAAYTASNQWPAPEINLLERSSSERIFAEMFLPSIGWSLPSRIQPLVAAIKSCKLPEFSYDMSWVNKGSYMGSQIQDQKLYLEECLTKYVTKSAHLLNEAQAATEVKVMVQKGVSLELRGSHYHLVPRWVTIFRRIFNWRLAKLSTGEFSEAYVLSQHLYQTPGADSLPNGGTQHDLSASSNTTDEAAPTLEDRGMAPSVSSRLSLDEIIEISCDLDAVSALPPAKPLPPQPPTQVHYEPQPPADTTGGVNGVLGAGDGVRMPRRPELRDLLPIERDDKLARLLEQCGKLQDRIDGTLSIYF >KN538821.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538821.1:189829:197472:-1 gene:KN538821.1_FG005 transcript:KN538821.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFLSSVCTPLNLQFIDVAYRVKVSTTAAAAKGAPPGRISHAGGTGGGGGAQEERTILKGITGEARPGEPSTRVYRMFDSVLLLAEGTCLYFGAGRDAMDYFAAVGFSPAFHVNPADFMLDLANGFAQTEYDNCNAADGGNVKQSLISSYNRMLAPRIIAPALVAGAMWWRSSPAAVGDRMGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPAAFTVIVYLMAGLNPSPASFALTLAVILSYVLVAEGLGLAVGAVVMDAKRASTLVTLGFPIEFCLEADSTNSIRSSQDSRAGLYVGVPMLPGC >KN538821.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538821.1:134327:138368:1 gene:KN538821.1_FG006 transcript:KN538821.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAYLKEMPSSTPSTPSCTSGMRSVAARLLGAGTGTRALSFVGSSGVSGRSASGSSHAGRSRALVNYDDDRGTYIIFNIADSLFIRDLNCRRPVKRICFSDMKPLCQAFDSEAKDGHDLLVGFLSGEAGVLVLHGYLGMKDFLSSAMLMEICLCMTKAIQLQDGTSVKVQSTPFPFRQMELTWQPLDEMVSAVAFDSYWSPPKTDEKKQNSMHRFASPKSDETEEDPVYPFASPKSDETKENTNTMYRFASVGQDAQLLLWDLTKVELNVPLTHASSCSESSSSGSCSASSSSGSSSTEDRDKESPLGFLHPSPRLQEVPKLSPEVAHLVGMEPLFTLEFTNESVITVCRRGRITTRPREEIDNETETDQQHPGSSKLVIGNGTSPNKNTVASTSSEAGFFSSSSFKQPLAMKFM >KN538821.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538821.1:164679:165567:1 gene:KN538821.1_FG007 transcript:KN538821.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGLGMWWVIFPVIAGGVLHSLVVALFVYWLTGLAMAGVLGYTLAVYDHYKELMCIIRSQPRTAADASGLLVGRETCDACPAQIDENASVRLSHQEGCFSVSGHCPSCRCSRGHGPLIRF >KN540609.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540609.1:36117:46822:1 gene:KN540609.1_FG005 transcript:KN540609.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLVILCGFSCSRGSWIIRNGEHMLQCQLVLRHKIEKLEPSCANATVQYANIAKPSWISWYAFSAPDEAIQALISEAKSYYMRLPPIQHLQQLPLLQSPSTRYDYESPSLYLEPQFQSLNTPGDGMPRDYLNAQVNVLGSGVHEMHNQRQSHPRGPQRDFFGEGPNLPIYITINKHCDGGRLTKENIRDYFKKFGPVINVYLSSLVGDVTNLSAKESRRRQQREINNSLKVEEWNEYTFLGCANTAGSACKKRVKASSLDNVIDNICKKYELENIEITNAKKQKAEKLSREMKNKCNKKMPKSHGAKVLNTMRFFHTTPSKEKSKTKKFRDPKDGYPGNSKDGSYPGNTITMDMDKDNLPAFRRLFGPGAEVYTTPATGTLHVKLRNKGRELTLFFRGRDLYLKGWRSDRFGLFAAHPDRFDKKDCFIQDKACKHLNIEENYHQLVPGGRIGKVGVGPLAMMFWGLWLDLLLTSRNQYARKMSWEDILESFVHFDVAMLDSRRALSLYVRKYDHYSREFLTGVDYFLDGRPMPEILNRGEVTVKSLHELWCRIKVPLRDSYNDGAFYHDDKVGIPVWSPPYPDGNNWEEEEDEEEEEEEGDEEEEAEEEEEEKEEEEAEEDANHRDLKFTSQQDFFSVGMKAYEINTSCLCRPFSAVASGGMRPPVVPSSQGGEVQSRFLSRRPQWIQDEDSMDEGDQNCTTQVSYASSSQGGSAGGRKRKGAAAAAKRKPRKPQVHEKNYAHVYYGCRNVLYDGNDFYYGHRYTEEFRKVEAHDLYGCPHLIAELPPQPRLMSLLDLQLWIIKLFRLHPETQDLSIKGFFEEEDSWQYLGSAGWKTYDFLSDKSWQSFVKKVKGRKGMEFFKLYVDSSEIKHYDSLLKATNDDYCQSATVLLPEQDDLTWYFPWDHISQRLTEDLAMTTTQIVAHLAHNYDRHLSYAGAWRAKQKALEMRFVKLLKAVFWCIGPIGAYICTLLKSVML >KN538821.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538821.1:146252:152633:-1 gene:KN538821.1_FG008 transcript:KN538821.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH10 [Source:Projected from Arabidopsis thaliana (AT2G06990) UniProtKB/Swiss-Prot;Acc:Q9ZVW2] MAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPSEARQNILFAVQELGKRYPQGLPKLDPIKDMGLQEPELVELVHKLDDLEQKLRSHPLHKSDQSEQQLSWYQRKAALNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFIPCEKSSEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >KN538821.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538821.1:127020:132983:-1 gene:KN538821.1_FG009 transcript:KN538821.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPLATE [Source:Projected from Arabidopsis thaliana (AT3G01780) UniProtKB/Swiss-Prot;Acc:F4J8D3] MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCGGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMTRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTVEEAEHRALQAAVLQEWHQLCKEKAMKAQ >KN538821.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538821.1:226219:226413:1 gene:KN538821.1_FG010 transcript:KN538821.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTLTTTLARSRPLDSVERAQQLRRVQRGGAAVESKGRGNLIQLELGKEMATASGRLRGWRGL >KN538821.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538821.1:153664:157841:1 gene:KN538821.1_FG011 transcript:KN538821.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLSKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKGCAYCPFLKQIGPFGSTEYPGVEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLQGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKASEILVQFEDQTTIGLETIFISMPETTFNSDTYLCSIRGTHLDWQRWTTRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKGFGVRKPNGSISIYTLPMPDCMCQRAEP >AMDW01033605.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033605.1:86:375:1 gene:AMDW01033605.1_FG001 transcript:AMDW01033605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NAISKDDIKVFAAVPSKPGAEFPNAARWYDTVAAALASRFPGKAVGVNLPGGGAASSAAAAAPAAK >KN543522.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543522.1:175:1282:1 gene:KN543522.1_FG001 transcript:KN543522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QWAKVEDKYAVTAIGVAALVGLWTAIGAIKAIDRLPLLPGVLELVGIGYTGWFTYRNLIFQPDREALVSKIKSTYNEITGSSS >KN545717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545717.1:22:1393:-1 gene:KN545717.1_FG001 transcript:KN545717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PGQDLEDVGQSYFNELVNRGLIQPEQNYDREVTGCRVHDMMLDLILSKCKEDNFISVAYSGEDYLSIARQHGYSCNKVHRLSLQSKAAESDCTVLIEGKATPAHLAQVRSISLFGKSTSVLPLLLRFKYLRVLHIMLGYGCERADLTAVSKLLQLRCLIFLGYGYKVELPSRICGLVHLETLEIACNVITSIPLDIVSLPCLSDLRLPSCVKLNCLPNSKSLRTLHICPPPDMDFKALGELTNLRELHLYFDRKESSTASSLDSLGSSIGKLQNLRYLEIYLLSDISIDGLLGSLSDFPRSIEILYLRNCPFTRVPIWINAALVNLRRLHLFVSEACTDESRLGFQAGVMPKLQRLQLWFWLCELGIDTAPVGMEHLSSLQLIHVLIVLAPEKRNVYPRDAVEH >KN543230.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543230.1:2562:3890:1 gene:KN543230.1_FG001 transcript:KN543230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAINGLLPHEIRVREISAAKPEFHARTSTKSKIYHYKIYNGPVMDPFHNHYAYHSAYKLNSQAMREAAKRFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMAGSSLAVVILLMS >AMDW01032330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032330.1:9:425:-1 gene:AMDW01032330.1_FG001 transcript:AMDW01032330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEKERVLKHYREIISIDDGCLYAEATEKSAGVCLAAKDALVMASHVMHTAELNLAAPNETSTETVHR >AMDW01040897.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040897.1:70:3161:1 gene:AMDW01040897.1_FG001 transcript:AMDW01040897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QRSQALEAHAASFASFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSASGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQHYTELPDIKRVMVNTHAIEPQ >KN539086.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539086.1:70939:74723:-1 gene:KN539086.1_FG001 transcript:KN539086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTVLGFSGFGFGFSAGIVIGYYFFIYFQPTDVKDVKVRPLVEYDSISLDGILPEIPLWVKNPDYDRIDWLNRFLEMMWPYLNKAICRTALDIAKPIIEENRKTYKLESIEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLFGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINVFDWEQVGKHEKMGMNNILLKELPADETKVMTVNLLKTMDPNDVQNEKSRGQLTLEVTYKPFKEEDMEKEGIDNADVVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHIEVLSKASKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIEMQWRTS >KN540266.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540266.1:50:376:-1 gene:KN540266.1_FG001 transcript:KN540266.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRHDLRAKAGSKLHSVQAAFPRIKILLDVTERKAFSNTKFRAWLRRFKDAACEAEDLLDDFEIKRIQETNKGKISSAVSFGLKYLRNLILSDTDLERLTDILMKLDQ >KN539086.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539086.1:129019:132532:1 gene:KN539086.1_FG002 transcript:KN539086.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRPYTVATKTCVDEVHVYHLSDGGEKGGADVVLRGHEAEGYGLAWSPMKEGLLLSGSYEKKICLWDLAAGSGASSLDAHHVFEAHDDVVEDVAWHLKDENLFGSVGDDCKLMMWDLRTNKPGQSIVAHQKEVNSLSFNPFNEWILASASGDATIKLFDLRKLSRSLHVFDSHEGEVFQVEWNPNLETVLASSAADKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPTQKWVMASVAEDNILQIWEMAESIYCDDNYLHDNDDDSCPAT >KN540266.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540266.1:14700:30593:1 gene:KN540266.1_FG002 transcript:KN540266.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSEEGDDVWPVPKTIGEVVKKLRNYLKNDSDSFKGTMKMLGALEDKIPLLCIGNLNVKANKEEERAVWLRQIKAATEEAQELVTSLCRDKQSRIRMKYTIGKLLGICAKGESIIDMPNLNKDLAEGEKEHTSESHGSSSKAEYFPGNEFHIGRNEEIDMIRNMILGNSHYVAEATSLKIREEAEKLHIPHKGWITETLQKIDHSKRTRQTTEVSPRPENEVGNSKPEYIRVSCKSTVTDLRNPAVIPIVGISGVGKSALAKFIFNDANVQEHFGDQSAWVYMPDSISQVDMIKKIIYSFDPMYDLSCMTSLETVHSELQKIIEGKRLLLVLDDVWDEIRVIWNCLRSVLSKGAPGSVVLVTTQLYSVANFVGTAGPVILDPLQADDSWTLLKSYAFVDPCRSLSTEDLEEIGRKIAQRIPELPQLVKVIGATLRSKLEESHWSHLLNSWWWNISDNFEIRVISSLGSCYSVLPGHLRQCFVYCAIFPRNFVFEKDKLVQMWIANGFVQLNNSTGFLRLEDVGGQWFDEIVNRGFLQPACKTGYIMHDLVWDFASALSSNECHGINNKLKGVSQDVRYLSIDMEGLNALPDNFNIKQLRATILIGDIDHSDETYLRLGRIFDGSTSLRVLAFSSFNLGAEIRNDVSALKYLRYLDLSFTGIKILPDSVCSLSQLQVLDLRGCTFDELPGKMNCLINLRHLYASSDTIAQINGIGKLTKLQELHEFHIKAEDGHRITELSDMNNLRGPLCISKLEMVTDPAEALEAKIVEKNYVTALELSWSDWHSRQALAQHLFILECLSPPIYLQELKLYGYAGPVLPDWIGQLKHVRVVEISSCKKLQVLPPLGHLEHLKKLKLDLLPSIKDIGSELYGTSNVIFRSLEELNVQSLNNWDSWTYAGSSEFIPKLQKLEICFCRNLSKVPFMSLGSTTKEIVLKYWGTYAGTVSRYLQGLNGLTRLDVEGSGPHCSDKLILPCKQLVSLEYLHIECFADVRINGGLWYIRNLKDLHIIRCDRTVTELDEDKHDMTQSDRAMPSLTHLTLDNDSTRIDPSNIILHTPSLRNLCFQHVSSFTSIIDNWLHQLRYLQELQLVVCDVLPSSLASLLSLKRFTLKNCNRLHSIPPNSLPGNLKELHIKKCSLELQARCQNPNGEIWQPKPSRIWEWSATKMDEWKQRMVEYEERKRLKLLQNIDLRKAKGKSQSDNYWLSSSGINLKMVFEMPSMQQTDKQSSSKEDNPLIEDEQLEEGEPLEEWLQPNEELKIHISQERKFCIYRDNAFAFGIIYSLRYAAAFLQAKCYNPALAPFILFFLRTLFTPIFNPFDTSMRSSDLLKHN >KN539086.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539086.1:47909:49401:1 gene:KN539086.1_FG003 transcript:KN539086.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEQQRRCYLSLSLFLCFHLEEKLTNIQVTKRKKKLQRRRCSFHFQASEVEHGCPESQEAAALAVCALGEPQAAAEADAGFSLHRLHHHGLFLGREADAEIQVEVMASSLDYQLNFTCKAKAKRTQRQQPWSLCLIKPFKMMVLTLPALMADAYLLFSSIPLALSVLTAN >KN539086.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539086.1:16711:19256:-1 gene:KN539086.1_FG004 transcript:KN539086.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGAYCTDSEYGCCVPKYGYPSEDFFVKSFMTFDSSENTAVVRCNSDNPFDINKLDSIENNLNHYWSNIKCPRTDGVNSWKSEWNSYGVCSGLKELDYFKAGLQLRKSADILSALAEQGIKPDYQLYNTAFIKWAVNQKLGVTPGVQCRDGPFGKKQLYEIYLCVDKDAKSFIDCPVLPNLSCPAEWAVNQKLGVTPGVQCRDGPFGKKQLYEIYLCVDKDAKSFIDCPVLPNLSCPAELDSIENNLNHYWSNIKCPRTDGVNSWKSEWNSYGVCSGLKELDYFKAGLQLRKNADVLSALAEQGIKPDYQLYNTAFIKWAVNQKLGVTPGVQCRDGPFGKKQLYEIYLCVDKDAKSFIDCPVLPNLSCPAEVLFHPFHTWMLNTTSAANIVMPTETVLA >KN539086.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539086.1:115108:116043:1 gene:KN539086.1_FG005 transcript:KN539086.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGATGSVGAGWRWLVLVGAARASLPWSATSRSIVEAFLFVSAGSVLEVEHAEAPSRSEEGVFFALARSVLFAADPLVLEVLTWQVAMAVVVRLDWLLLLLIPGRVVCQRLDGQVRALGPLLRRPPRGAVDLGWWWKLAVSCNGGAVPSKHQFFRLWLSSSLMGSSWVWVLSSSLFLGSSLYAGLVVRVELQLLGFNVRVELQLLRFNGDLCDEFWLSPVKPTPKSTAQQQISNLYSFCGGDRRGLPVSQAVCMLKETQGCNRRGSAAAPCRFAPPLLLSFIRKFVSLAQVFSFLVLEPLPIGVVRGLPP >KN539086.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539086.1:145757:146413:1 gene:KN539086.1_FG006 transcript:KN539086.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIFSASASYHQQQHHLPAPAPLDGAILPARRFGLDMCAAAGVPAAGDRRKRRMIKNRESAARSRARKQARVNNLETEVEQLKQENKMLRVKYEQMVVD >KN539086.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539086.1:1918:2829:1 gene:KN539086.1_FG007 transcript:KN539086.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFSVVRESSSTSPASSSPTAPPTGAPFNPTIDLKANNTKMSAMEREEKGKRRNICEGAEAMFKLEAVLKEEEEVRVRHSVQKLLNEQAASMDRIQDLLKQEEQLKQSLQELFEAHATVKARIDKVHAQVEKDEEELEKLRLVERQAMSRADRIRRDAEIAKANANEFEKKANQLQIIADIEKKREQSAKKKEQAAHDRLRDASTAIIVAIDHTECINGRSKDIEDWTEAIEERQKRLEEEKNRCRRLISVFWALGIVHFCLFWVKFGLKKHEKELGSSVGWIEGFCCPFSFFASPSLTLV >KN539086.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539086.1:120226:121257:1 gene:KN539086.1_FG008 transcript:KN539086.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGQLLGRMEQGISDLWASPAVRRGGGGDDEAFTIVRLPSHVHALNKSLYEPRVVSVGPYHLGSGSTRAMQGHKWRFLRDFLLRNAGDGDGGGCGHLDACLREARAVEVRARRCYGEPLEMGSDEFVQMLVLDGCFVLEFLLKWSESESGVAELDAYMRWIWIYVYYDLLLVENQIPFFVVDKVHQLTFLDGETPVLDAIARYAHDLLSWTEYSVATPTIVAPPALRPEPANLLHLLHMHFTPTVLASGKVSRGGSGARS >AMDW01026309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026309.1:79:222:-1 gene:AMDW01026309.1_FG001 transcript:AMDW01026309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IRKRLQSSLVLIGEIGGNDYNYALFGKNVSEVEKLIPGVVRTIIDAAK >KN539086.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539086.1:90275:95647:1 gene:KN539086.1_FG009 transcript:KN539086.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MWDKLVKMAKMGGLNTIETYVFWNGHEPEPGKYYFEGRFDLIRFLNVIKDNDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKREMEKFVRFIVQKLKDAEMFAPQGGPIILSQIENEYGNIKKDRKVEGDKYLEWAAEMAISTGIGVPWVMCKQSIAPGEVIPTCNGRHCGDTWTLLDKNKPRLWTENWTAQFRTFGDQLAQRSAEDIAYAVLRFFAKGGTLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIKSYHKAFLWGKQSFEILGHGYEAHNYELPEDKLCLSFLSNNNTGEDGTVVFRGEKFYVPSRSVSILADCKTVVYNTKRVFVQHSERSFHTTDETSKNNVWEMYSEAIPKFRKTKVRTKEPLEQYNQTKDTSDYLWYTTSFRLESDDLPFRRDIRPVIQIKSTGHAMIGFANDAFVGTGRGSKREKSFVFEKPMDLRVGINHIAMLSSSMGMKDSGGELVEVKGGIQDCVVQGLNTGTLDLQGNGWGHKDSGGELVEVKGGIQDCVVQGLNTGTLDLQGNGWGHKARLEGEDKEIYTEKGMAQFQWKPAENDLPITWYKRYFDEPDGDDPIVVDMSSMGKGMIYVNGEGIGRYWTSFITLAGHPSQSVYHIPRAFLKPKGNLLIIFEEELGKPGGILIQTVRRDDICVFISEHNPAQIKTWESDGGQIKLIAEDTSTRGTLNCPPQRTIQEVVFASFGNPEGACGNFTAGTCHTPDAKAVVEKECLGKESCVLPVVNTVYGADINCPATTATLAVQLLVMR >KN539086.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539086.1:111900:113745:-1 gene:KN539086.1_FG010 transcript:KN539086.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHLTWYGMFISEANYAFKIATYGAAINVSSVSFAGLRKNNVAFTLQPVTQRFAVLRAAKKETVEKVCDIVKKQLVLPEGTDVTGASKFTDLGADSLDTVEIVMGLEEAFKISVDESSAQSIATVEDAAELIDKIVSNAK >KN539086.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539086.1:32908:33337:1 gene:KN539086.1_FG011 transcript:KN539086.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVQLFLCLLLLLFMPIFFTPSCGNKEVCEEWTSDMYRMLFLCRSTRCNQYCVSEGATRGDAAFSSSDPSATALKNATECLNLVQACNRN >KN539086.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539086.1:22103:22327:1 gene:KN539086.1_FG012 transcript:KN539086.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQEFMDRDPLLVFGEGEYGVTDMFYAAARGGNAEVFGLLLDHAMSPTCSTNCPNGEGAAAVVAAPRCSGWK >KN539086.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539086.1:58536:62585:-1 gene:KN539086.1_FG013 transcript:KN539086.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVEGARRDLRALIASKGCAPIMLRLAWHDAGTYDAKTKTGGANGSIRHEEEYTHGSNAGLKIAIDLLEPIKRKHPKITYADLYQLAGVVAVEVTGGPTVDFVPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHTLGRAHTERSGFDGAWTKEPLKFDNSYFLELLREESEGLLKLPTDKALLEDPEFRRFVDHYAKDEDAFFKDYAESHKQLSELGFTPRSSAKSDGSTAAATLAQSAFGVVVAAAVVIAGYLYESSKKTK >KN539086.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539086.1:85499:88081:-1 gene:KN539086.1_FG014 transcript:KN539086.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPASRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMGTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMPALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVDKKVADGLLRLILLKGPLGSCVFTVDYERNALDETLRAFCDS >KN539086.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539086.1:101634:103930:-1 gene:KN539086.1_FG015 transcript:KN539086.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAETKAMITLRSCEGQVFEVAEAVAMESQTIRHMIEDKCADTGIPLPNVSAKILSKWRIFIGILSLALHVFLPLTSVHCADQTWYRAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KN539086.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539086.1:126840:127286:-1 gene:KN539086.1_FG016 transcript:KN539086.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSQLYQFADLDVGVPKSQEAKERTAAEGDATPLFQPSPTQEAAVLPITVPHPHGWRNGALPLEIPLPPPGWKPGDPITLPPDGILAGVKGEEPRASVPQMPVVVPAMVPKAQEPIQVRHVDLDINNSSSSDEYSSDVGSSEEDDED >KN539086.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539086.1:98070:100517:1 gene:KN539086.1_FG017 transcript:KN539086.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFRDAVKDYLDATPAAVAAGASLPKGKRPPKSTETIVDAHSGLRVRGLTASPLEITNRFADIRFVRISAIRNLAGGDSFSGCWATAGVVLDKGAPRVSAQGKEYSIWKMGALDDADVSVFLFGDAHAHHSGAAVGAVFALFNGNVRMDNGVPDFSILHFSSEGRGFSVSVASVGQMMKMGVSADFGICKGKRKDGMGCTMAINKRKGSYCKFHSSKSSQKYSTGRVELKGGNFKFSSKLRSEGIYMVNPPERSNSRNPLQPVKVMSIDGLKRALSNADRVTTKNQSQGIRFLSHVTGNVESNLSSNGSTNPQTSRFSSNKRSTSCSTKSIPKPGLQKQEQDNKKMKMTCPPKKTIELDDVSSDDEISVVLRR >KN539086.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539086.1:56414:58051:1 gene:KN539086.1_FG018 transcript:KN539086.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQFADENATAANKKVAAAALQPNILRVDAQPFYSLRMPPLATKPQNIQQKPPTPQPQVHDPMGNLKRTRSGNAMDKAAAAAAGPVEKEPINDENSGRRKSLSAEERWPELPDHSAFDEALVAAVLAPVLDDSAAAAERNGNLCKPAPPASCDTSPAMKEKIGKRLRIFQDITQTLNTF >KN539086.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539086.1:25346:27413:-1 gene:KN539086.1_FG019 transcript:KN539086.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIALLCLLGLLLVAASPAAIAAKDDKIFYQITFMWPGAYCAQTKAGCCMPKTDVAPASDFYLAGFTVYNATTNSSLSSCSNTPFDMNQIGDATRLMQYWNNIRCPSKSGQKGWKNAWETSGVCSDLTESAYFDTALALRDKINPLSRLVSNGIKPDFGLYSVKKIKEVIEEGIGAPALIQCSKGPFNKFQLYQIYVCVAEDAKTFVECPSPRKPYTCGDDILFHPFKKWMLKTNSTKSYAAADAIDQLLEAVMEI >KN539086.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539086.1:66299:70198:1 gene:KN539086.1_FG020 transcript:KN539086.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCQSRLERQEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRKVLNYGKNLRPMGWSWGGSGYSKGSNGFSRFGRGDEGMGNGGSSGILSHSSTVEKLYAWEKKLFLEVKNYLNRDFLVLSMTAQLAQSYEGLKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEIESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLNNSLSTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPHNKAHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKAEELRSLESKYGPYSGAEGYGDMSRKSPVSDKRAKVEALRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFELVYNFKSSDRILDSKRLLT >KN539086.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539086.1:122383:124268:-1 gene:KN539086.1_FG021 transcript:KN539086.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKLLLLVVFCVSPWPAAAATTANGTGGGGGRPRVPAVLVFGDSIVDTGNNNAVLTLTRSNFRPYGKDLNGGEPTGRYSNGRIPPDFLASRLGLKNLVPAYLGTDLTDGDLITGVSFASAGSGYDPLTSTLVLQSIGYVDIYGVLDDMIVDPGKYGFDVSTRGCCGTGEFEVTLLCNQLTATTCADDRKFVFWDSFHPTERAYSIMVDYLYPRYVDKLL >KN539086.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539086.1:30028:32059:1 gene:KN539086.1_FG022 transcript:KN539086.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDAVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTQKDGLRQLEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >KN539054.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539054.1:148113:152823:-1 gene:KN539054.1_FG001 transcript:KN539054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAGAMTALIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVQVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHELKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVDTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQGYAWTETWCAQEIINKIRDEIKEKRYLIVIDDIWEKSAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTIYKLQPLSNDNSKKLFYKRIFYCEDGCPSELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLICRWIYEGFIPGEDVDSLYEQGGKYFNELINRSMIQPTYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHTIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVQETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEKEIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNSCIVGFFGRSGTYLDAVGVYFHPM >KN539054.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539054.1:4084:4518:1 gene:KN539054.1_FG002 transcript:KN539054.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVARMLGNGRCEAQCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >KN539054.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539054.1:122273:127219:1 gene:KN539054.1_FG003 transcript:KN539054.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGPSDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSASVADFDTKLKANGADLPDYFVRTLLTIIHAILPPPSDSLNPSSASQPAAGGSKFPGLSRPDDPDRARNLRLELERDAEEAAATAAPAPARDDRGRRRDERGRDRGRDDRGRDDRGRDHDYERGSRDHDRSRDDRGRDRDHGRDRDRDRGRDGDRQRGRDYGRDRDQDRDHDREREGERRRDRDKDRGRDIDRDTDRDHRRGRRYGDEEEPEQFGGRKEGALVNSSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMATRRVANAKEMVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGRDLLPIQRGGDDAPRANPSGGSASGVGVGSSKRLGLSGIMIAEEDEVAPPSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMLYQEEGAEEEQEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQDQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGRNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLTELAPRFYKSADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >KN539054.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539054.1:35526:39435:-1 gene:KN539054.1_FG004 transcript:KN539054.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLSPSLSFCVFFFFLLPLFGCACNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSLGAVRRRVLLLLAAEVAFVAAVAALVLNLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAVAQLILYAIYYKSTQQIIEARKRKEADHVAMTEVVVDSAKNNPSSGAAAAANGRY >KN539054.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539054.1:13965:16711:1 gene:KN539054.1_FG005 transcript:KN539054.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRASIPTRRSPNRRNASVAVSNVHSGVSRWPRYTSSSECLDSISISEMPRSVRSWPVMVRMNTASGRRSSSVYSSVPRSGIGRWLAVGVLAGVDGHVQRRSDPDDGDARLDQRRHCATIHQLAQSSINLRRDPDDGATVTVVISGHHARPLPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSSSPASGSISGSGSGEVYKGIHGYKDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMGGDGSDDEAGEEDDDDDEEALPFACYICREPFVDPVVTKCKHYFCEHCALKVSAEGFGALVPQSSGSTRIPDSSTTSHAGGGD >KN539054.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539054.1:139701:145043:1 gene:KN539054.1_FG006 transcript:KN539054.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQVPNPTDPEHAQRLVLDLLDPDLRGHALAELRKKREMFPDLAPLLWHSFGTIIVLLQEIIVVYPALSPPTLSLLASSRVCNALALLQCVASHPETRSHFLKAHIPLYLCSFLETTSKTRPFEYLRLTSLGVIGALVKAEGTEVINFLLQYEFVPLCLHAMAVGSELSKTVATFIIEKIVLDDAGLGYICATADRFFAVGTALAGMVTSMDDKPSPRLLKHIIHCYLRITDNPRGLEALQTCLPTTLIDGTFNNLTKDDPTMQQWLQELLVKVRSGKMGGLPPPVHSHMPRV >AMDW01066436.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066436.1:19:3301:1 gene:AMDW01066436.1_FG001 transcript:AMDW01066436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVKHRNAQMFFDRDIECIYKFFRKRFHLSSEKCEEQDGSNIGDDENSRPSFLSVQKAAGSLDKELAASGFTRKEQVEMDKYIDQNAEEESSDDDSTSEQNNEDGDDVAVKIGSLKISEQDSAEVPDCTLAARDSREPETFAEESETSTSRSGENNLINPSPSGNGDAKEPTESLDNDGSDDDSSDDPDGEDDALAKQLNKQRKRAIAAAHGRRRPISSRNAYKYKGKGTMNSKIERQACKW >KN540656.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540656.1:43822:44688:1 gene:KN540656.1_FG001 transcript:KN540656.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKTVSKCITETAEGSHVFRITGYSQKRGMGVGKCVRSGAFSVGGHDWAIRFYPDGHGSSDYIHVYLDILDRGVEVHASSDLRLLDHTTGLSTSVTKTLPKLLSCYDSTSFASLANWSQRRSEFEASAYLRDDRLDIECVVTVMKEPRVSQTKSSPKVAVPSSDIAAHLGKLLESKEAADVTFYVGEDTFAAHKIVLAMRSPVFKAELFGPMREAGAQVLPIKDMQPDVFKALLHFIYTDSLSMIDDLVGDDRGEMIRHLLVAADRYAMERLKLICESDLCENLNV >KN540656.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540656.1:19800:20132:1 gene:KN540656.1_FG002 transcript:KN540656.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTNPSPLMWFDNTTHNLLRAADCYGLERLKAICETKLCLDIDVKSVMVILLLADQHKCDMLKQACFSFIAAPNSLETVIGTPEYHQFKSLYPILLIELLENVCILRK >KN540656.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540656.1:35555:36613:1 gene:KN540656.1_FG003 transcript:KN540656.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKTVSKCITETAEGSHVFRITGYSQKRGMGIGKCVRSGAFSVGGHDWAIRFYPDGHGSSDYIHVYLDILDRGVEVHASSDLRLLDHTTGLSTSVTKTLPKLLSCYDSTSFASLATWSQRRSEFEASAYLRDDRLDIECVVTVMKEPRVSQTKSSPKVAVPNSDIMAHLGKLLESKEAADVTFYVGEDTFAAHKVVLAMRSPVFKAELFGPMREAGAQVLPIKDMQPDVFKALLHFIYTDSLSIIDDLVGDDRGEMIRHLLVATDRYAMERLKLICESDLCENLNVQTVAATLALADQHHCASLRDACIEFMSSSSMDDIVATQGFVDLKTNCPSVLVDAFVNMSMLNKR >KN540656.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540656.1:27360:29437:1 gene:KN540656.1_FG004 transcript:KN540656.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQDNNNKAAAPAAPPPLPPQPPRPPLRRQPLLDLLPRRLEHEISRIDGRIRELEDECYRLVSEGHGSTLSPVFRAQLYGPMKEARIRHMTIQEIHPAVFGALLHFIYTDSLLDMGDREEDCPIVRLKLICQSLLCDSIGVETVAATLALADQCKL >AMDW01039731.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039731.1:640:1017:-1 gene:AMDW01039731.1_FG001 transcript:AMDW01039731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDSNMKEILSVNPLELWFPMKAQEEFSCSMLLKNKTHHYVAYKINAQKLNIYRIEPCSGLISPQFMCNISVRMQAQQGVSPNMQLMDRILVQSVVVSDDLIDIAKDLSCKQKGKLVLKGPDKIMSK >KN540656.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540656.1:24021:24262:1 gene:KN540656.1_FG005 transcript:KN540656.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLHLRHRLHLCLRLRQQQGLFDLREWSNSTLL >KN540656.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540656.1:37445:39682:1 gene:KN540656.1_FG006 transcript:KN540656.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKADGITRQDPMYHAALRMFHDGYLRDFFIEDCITPEERLNFIHQHGGLPYPPAFAPDRLLFAPPPPPTCSGHMDDWYKRFGPEDDDGGDGANGGSDGNMSTSSERSYGSDDGYYSDEEDSILDMLVAALKARLSVRAARREPQQSGMEWMMETMANPSQCQAIFRLMPDQIPMEALGIFLYIMAGGNSNRATNNRMVRSGSTVSKYFHRVLNAIYAMAADINKPVDPNFERVHYRVVNEEEFLPFAGAAGAVDGTHIPCIVAVDDSIQHRNRHHITSRNVLVVVGWDDRVIFADAGWPGSVHDQRVLTEAVRGYPFAFPRLPWEAALPHGREETFNYMHSSLRNTVERAFGVIKSQWRILRELPYFPRSDDHTKIIHSAFALHNFRIDSSDSHFLTQNPLYNGYPIISDAPPLRGWYDAPNSAEAMNTVRDAIADEVYN >AMDW01010292.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010292.1:70:192:1 gene:AMDW01010292.1_FG001 transcript:AMDW01010292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >AMDW01028168.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028168.1:136:363:1 gene:AMDW01028168.1_FG001 transcript:AMDW01028168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVNLPEITVGDEKGEAKDCPVCLQGFEEGDKLRRMPCADSHCFHEQCIFSWLLINRHCPLCRFPLPAETEEEEE >AMDW01040339.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040339.1:468:1361:1 gene:AMDW01040339.1_FG001 transcript:AMDW01040339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRTTNRIFPDERQDQFKLPFQAARADRFGANRIDAKTTEKIKVINEGNIPWHRRILDPGSSMVLMWNRVFLGSCLFALFIDPFFYYLPLVDVLDEDTKRSCIAKDRRLSITITVLRTFADLFYMLNIMVKFHTAYVDPKSRVLGKGELVLDLEKIQRRYLRTDFFIDLLATIPLPQ >KN540056.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540056.1:25670:38657:1 gene:KN540056.1_FG001 transcript:KN540056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGTCRVAAVLVFTAWIALTALSRLLRPVPNGCVMTYMYPTYIPVSTPKNVSSDRYALFLYHEGWKQIDFHHHLSNLNGIPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASTAFSVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLARSKGRAQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFSHVNEEWRNGYKTGLSRTSSAKLSNVVVVSVAGGIHDYQVWSFLKGQLNLKFPFILIQVAHTLLSMVDPLNGHPFLSSQKRLFVFAKMLQSAVPQSLSWVAPVSGVKPPNLIASGNKEASDLQQKDSLSCPPSLQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNGKGHFVFVSNLSPCSGVRIHLWPEKDHSSEQNGVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSALSAARIIGSSYIPEEIFLKEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKATGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHILPNIYSETLVVDSSPALWDSHQGTERSTVLVLADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSVLSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTREQNPPLGTFLLVTMMCYIVANGFTILLILSSKLILYVAAILHVFIKRRWQSWEDGTQSMIVRHFLTLSLPFQSLKIIKNNPSIIVAFATIPLVCLVHPAIGLGVLLLSHAFHAHSTLCSFLAASFRSITQKKDLYKSMGDNIILPENKQDGLEQLLPMDDSPTSVKSFTDCQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTILHGLFGSPPNVSCISFKLPGRRGRDVGLSFLYLVAGYYSFVSSMALAPYRALYALAIIGFICFASRIIETRSTGGKV >KN540056.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540056.1:45186:47740:-1 gene:KN540056.1_FG002 transcript:KN540056.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKLKLCSHCENSGTEGTSCNPHYQFPLLLKCGLLQRLCSDDDGDGGDAAPVPVALHDIPGGEEAFELCAKFCYGISINIGAGNFVAAALAARFLRMTEAVAKGNLVAKLDSFFDSCILQGWKDPIAALTAAWRISGWSESRIVQPCVDAIVEKILTPPSKVDALSFAPIFDFESPAAAI >KN541081.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541081.1:620:5326:-1 gene:KN541081.1_FG001 transcript:KN541081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVPEGAHAVRLGNEASIEQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAWDAAADAFDVVIHNPGVTEDPACGPLIDSVAIRTLNPPRRTNSVRPGVAENLVKNGDFEEGPYIIPGTRWGVLIPSMVVDEHSPLPGWMVESLKAVKYIDSDHFAVPRGRRAVELLAGRESAIAQALDHSSVWPWCTSHLPGKGVDGLCGGVGVGAYGTSWRHGERLAGSLEGSSSRGYTMQSLLRRVYLAGSHGSAAKLLDRAASGVAQSGANLLSIKHLSSCSWIRPFGNSIFSGDSVHSNGFCVNTMPMRGLSTVGSAEVSVEEESSDSPAVEHPLRIKFKRPDKTARHIMNILNKEAVDKVCGEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >KN541081.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541081.1:23941:24908:-1 gene:KN541081.1_FG002 transcript:KN541081.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSAGLLAIDLAKDLLQVHRNSYALVISMENITLNWYSGNDRSMLVSNCLFRMGGAAILLSNRWSERRRSKYELVHTVRTHKGGDDKCFGCVTQEEDGEGNVGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKLLKMKNVKPYIPDFKLAFEHFCVHAGGRAVLDEIEKNLSLGEWQMEPSRMTLYSEAKGRVRRGDRVWQIAFGSGFKCNSAVWRALRSVDPEEEAVKKNPWMDEIDRFPVVVPRVSRVSAD >KN541081.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541081.1:19506:23196:1 gene:KN541081.1_FG003 transcript:KN541081.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVILEIGLGPLKVTKVLFGAVALTPMLYVPPLVLLTSQRNVSQLLDSSDMLIYMVQTILLSLMSNCKVWDALTGEELHSFEHKHIVRACAFSEDTHLLLTGGLEKILRIYDMNRPDAAPREIDKSPGSVRTVAWLHSDQSILSCCTDMGGVRLWDVRSGKIVQTLETKATVTSAEVSQDGRFGLVKSYNMPCNVESASLEPKYGNKFIAGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLGPATSDEQESPPNANGKLKANTVSDAARKIEGFHLPKDGQPEG >KN541081.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541081.1:11561:15793:-1 gene:KN541081.1_FG004 transcript:KN541081.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPAAGDEAAAAAKGRGGAGGGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >KN541081.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541081.1:33038:33834:1 gene:KN541081.1_FG005 transcript:KN541081.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVNEFTAAADTLATVTMKAPRCTRLLGLYVGCLRRLLLRPANGAATATATATGPVEGGAEVARAKRMLHVDVVLVSTMLVVFVVVLWLLAREVEMVQSEERDRARG >AMDW01036965.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036965.1:144:611:-1 gene:AMDW01036965.1_FG001 transcript:AMDW01036965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTS >KN539217.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539217.1:52078:52812:-1 gene:KN539217.1_FG001 transcript:KN539217.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMMGMAMSPAMSSATAAAAAAASEDEGDLRRGPWTVEEDMLLVDYIANHGEGRWNSLARCAGT >KN539217.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539217.1:104481:106662:1 gene:KN539217.1_FG002 transcript:KN539217.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQPVPVLEVPYHRGYRVVVVGQKVEKGLESAAGAPSISVDALQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASQVDETYVQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRRGVAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDGSISPLIAEPEFRQTMLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVVCFVSELGSPLREQVGAQAGVARPRSCKSLSAEALCNLRSWLETDR >KN539217.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539217.1:8475:13942:-1 gene:KN539217.1_FG003 transcript:KN539217.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 1 [Source:Projected from Arabidopsis thaliana (AT2G47240) UniProtKB/Swiss-Prot;Acc:O22898] MEGGTKKVFTVQVEDGKPRKDGQPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAAGKYPDNRMLGWRPVKDGVIGPYIWKSYKEVYEEVLQVGSALQQLGVQPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVIFVQDKKIKEILSANCKSAKRVKGLVAFTSGTSEEITSADQIGMKLYSWKDFLKMGKENPAQPCAPKPNDTCTIMYTSGTSGEPKGVMLSHESHAIYVKGVDLFMEQFDDKMTTDDVFLSFLPLAHILDRMIEEFFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRRLIFNALYNRKLANMKAGCTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSK >KN539217.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539217.1:65285:69512:-1 gene:KN539217.1_FG004 transcript:KN539217.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein P-II homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) UniProtKB/Swiss-Prot;Acc:Q9ZST4] MLTTLKEISRYLQPRSVCTVQYQIKVLDEFEQQIKLSQGYQPESEFYKVEAILRPWRVPYVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHEGSEFAEDTFIDKVKMEIVVSKDQVEAVVDKIIEKARTGEIGDGKIFYHFPVSHCSPLYQSELLLP >KN539217.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539217.1:85531:91862:1 gene:KN539217.1_FG005 transcript:KN539217.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRASVLSLLILLSLLLCFLILFRLSLYAGFYWYTKVKIEDDVEGSGINASVGDLGDAAVNPQPALLRATVKEEVVILLPNLLEGQPSSSSSHVRSQFIGMGFSPMLVDRVLQKHGDRDSDTILEALLSQSALQKSGSESGSLGDLFDSDNEENSSHLAPRKEVIQDIKIEADSSSEKRSYLLSTMNFSQREVDLALNQLGHILDLFDSHSILCHIQHTVNFQTCLVSGEEASLEQLVDFIVTGQVSGFSGGNENGDASNEVKDESLFGVMDKTLHLLQMGFTEEEVSSVIDKAGPEATVLELADTIFARRIASSIEQKEVKVEPDFLDETETSYSAYHPSNSGLRYYDDDHDNIRIKRAKHMFIDDSAGSSSRAGNQPNLDPWLKDHRATTSDVSVKEEFDAMTPGIRRNVRSDVANPPYFLYGNVVEIPKATWRQLSEFLYNVEPEFVNSQFFSALSRKEGYIHNLPTEGRRNLVPRSPMTIEEAFPFTRQCWPSWDTRKQLNSVATEVAGIEQLCERLGKMVRDSGGYLSQEKKMHIMHQCKLANLIWVGPDRLSPLDPQQVERILGYPRKHTNLFGLNPQDRIEAMRYSFQTDTLGYLLSVLKDLYPDGLRVLSIYSGIGGAAIALHRLGIPLQCVVSVDQSDTNRKILRRWWSNTEQKGQLRQINTIWKLKINVLEDLVKEFGGFDIIIGGNFSSCKGGTTVNSTMGMDSNQFFEYVRVVQRVKHIMGRLQVRAHESARHRHRSPSN >KN539217.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539217.1:107506:111922:-1 gene:KN539217.1_FG006 transcript:KN539217.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAAGPGEVTLQEWIGPSDAIEGYVPRRDRVVGGPKKEAKQNDACSAEQSSNINVDSRNASSGESGMVLTENTKAKKKEATKTPLKMFKQDEDNDMLSSCISDSIAKQLEDVVLEEKKDKKKKKAAKGTPRVGKSKPAKRPVGRDGHEVDFTSTIIMGDHGSEMMDHGALGQYNFSSSILPNEQPSSSQYAAIDSVQAYTEELDELFSNAVNIAKDETSDDSGRCTLRSSLKAVGSKNARRSVKWADENGSVLETSRAFVSHCSKSQESMDSSVRRESAEACAAALIEAAEAISSGTSEVEYAVSKAGIIILPDMVNQQQYNNDYDNDKDAGENEIFEIDRGVVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSSFATMWAALFGWVSRSSLAYVYGLDESSMEDLLIAGGRECPQKRVLNDGHSSEIRRALDTCVCNALPVLVSNLRMQIPVSKLEITLGYLMDTMSFVDALPSLRSRQWQLMVLVLLDALSLHRLPALAPIMSDSKLLQKLLNSAQVSREEYDSMIDLLLPFGRSTQSQASLPSFGTRLAKYRLLPVASIIPFALASDVQAGTDSCHSQELLALVRRAKDNCLQNNLVHYGLLSLIASAVQNYSASLGFLPLLPLFECAVCQEFDNPIL >KN539217.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539217.1:6296:7801:1 gene:KN539217.1_FG007 transcript:KN539217.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETMPVQASYNAVVHALCGEFRMREMFSVVDEMVQRGLQPNVVTYTSIVDAFCKARELRMACAILARMVSMGCTPNVHTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLICGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTLNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINGLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKVSIAACLLGQMNAVNCPRNVVAYTILISELCNQGKLSNAMVYLLKMLYEGICPNEATWNVLVRAIFTNIGTIGPMHLFKYIVEDL >KN539217.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539217.1:129600:130455:-1 gene:KN539217.1_FG008 transcript:KN539217.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSASKKRSIGAPSQQQGSVSCALGKEVSLDAVPLYLEETEWHGEEKGTRLRCKHGLCLARRVAWEGEDTGRRFLGCPLEEDEDQCKFVQWVDPEWDSRVKKTLAGMWDMVDRGVKREAIIQADMFKAFALKDRLEKEKNDELAHKNALLDMREAQLKELLYKSASEANELCSPSSQVPPFIPIILLVPAFPLFCRPGRRRTGVVPSRRRKSACVD >KN539217.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539217.1:123069:124291:-1 gene:KN539217.1_FG009 transcript:KN539217.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLALGLGRQWQLAELDERVGEILVPERAIVGVLVDMAARLLLREQLPIGVEGLSHD >KN539217.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539217.1:71923:77853:1 gene:KN539217.1_FG010 transcript:KN539217.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGSRIALLLLMLLQCGGLPGIAVGRCVVFNFGDSNSDTGSLPAAFGFYLGPPAGRRFFHSQTGRWSDGHLYIDFIAEKLKISYLSPYMESSGSDFTSGVNFAGAAVTQKSAIPLGLDTQVNQFLHFKNRTRELRPRGAGSMIAESEFRDAVYAIDIGQNDITLAFLANLTLPETCGQPTATACPEGERHVIWDGVHYTEDANAIIARKILSGDFSSPRTKLKALCK >KN539217.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539217.1:28992:31541:-1 gene:KN539217.1_FG011 transcript:KN539217.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSIFYSLSATSLPFACQGISKTMPYKKGTQVEVAKLEAVEKAIWKSSLLVDYNCSKNDGSVLPKEIVDLKHIRPRPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNGGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLGLEA >KN539217.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539217.1:2401:4644:1 gene:KN539217.1_FG012 transcript:KN539217.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTNGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKWSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKQGSSSGNLFPLIITIIFCLIILWQEMPRHHQGWRSIAKRERSRDEHCAPSNEHDPSPMIAVAAMPPNLAILKPHHVRRDLSVDGFQICTVYSTRVWSDVNSHMALLADRPATLLSWTGRTLLD >KN539217.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539217.1:92785:95124:-1 gene:KN539217.1_FG013 transcript:KN539217.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVVRSSLGFQNETSTSGDADRLPNEMSNMSIRDDNKDIDDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPYLRSTASEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGMPMEFLVKLIPEHARKQCAFVGW >KN539217.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539217.1:131629:133545:1 gene:KN539217.1_FG014 transcript:KN539217.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVKLNAAAAGVLSLLVVVAVHPAAADAGGDWYGKKSIEETVRKEVEKAIKHNPGVGAALVRLVFHDCWVNNRVLFKSDWVLRTDGDAAADLAEYRDNATKWDVDFAAAMAKLSKLPAEGTHFEIRKTCRCTNQNYY >KN539217.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539217.1:120043:121462:1 gene:KN539217.1_FG015 transcript:KN539217.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRLAAAAVVVMVVVAAAAMSVEAELSVDYYKKSCPGVENVVRYHVAKALKANRKEGAALVRLIFHDCFVRNHSSGDRSIEETYHILAR >KN539217.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539217.1:33738:38008:-1 gene:KN539217.1_FG016 transcript:KN539217.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITRYSLHMDDVLPFGPRPRPRRLWGSLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDHFISALSSDVAIVRGLPKDLREARKKIKFPTVSPKNSATPEYYVTEVLPKLSKSKVIGIIINGGKCLQSILPATLEEFQRLRCRVAFHALKFRPEIRALGNQIVSRLRVSGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMAHPPPPKTEKELIDEWKRAGPRPRPLPPPPARPYYAHEKVGWYGWIGENDTEPDPSPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTISALFENVSDHRYHPPRNWTLAARQHLNNSASVEGIVKSAMLSKPVSFLAHPLPECSCRTPKSPGVQSTKDSHGRLLFGGEEECPDWMVRSLAMVSTKNNEPQNEDYDGDLPEDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >AMDW01037884.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037884.1:62:617:-1 gene:AMDW01037884.1_FG001 transcript:AMDW01037884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LWYPSSFSFAQCMPQVNESGSMLAIAEGSQLSIWDLRTSNNGGCVHRISGPIGGIIYSVCSSPSGPIAVGGTDRTVTIYDPRRWSALSRWVGCSKYE >KN541474.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541474.1:9364:10030:1 gene:KN541474.1_FG001 transcript:KN541474.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATEGTKVAGILIYQPLIAAAEVRFRGSTQVDLGKNAAVGDGPSTSAQTTFEATRSARAMAAAADQVGEGGDAAGGTLGSFSLSAGAKLSSSRGVVVDQLGEGGDTVGGAPGSSSRGVGAKSSIMPGAAADYPGKGGDTTAGSSMKSSPPSCATTGSSSHGCRKLLAASNSGKGQSSC >KN541474.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541474.1:20740:22369:1 gene:KN541474.1_FG002 transcript:KN541474.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKITTGMFCRNPYNATGICNRSSCPLANSRYATIGDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAIEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLRLKVREKLMTMPRKQTQRDLRRMAKAEYAAQIEKVFICDVIHVFHGFPYYF >AMDW01020945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020945.1:153:287:1 gene:AMDW01020945.1_FG001 transcript:AMDW01020945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SNSMLAEQDHFDLQMALVSFTSFQEVFMNFEAVTCEKLETAMLRL >KN542504.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542504.1:1089:4989:1 gene:KN542504.1_FG001 transcript:KN542504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGHNFAGVSMAARLGSVTLAKCANETVTYGGRAQLAPVNSAWKLKTRWMSFYGYRGSFYDFSDCYPSISTDGEILTSNLFQAQPTFYLRVKFKKIYMAFGANDIVLHIKRLMLCPSLFNFLLSSSPVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDNTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNEEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVKTEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDKRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHVPDSAPSRLLPQKNLFDLAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHKSHNSQSDGDAKQERNNSTLFGSLEAHIGEEIKILGVAISEVGVLEVNSGMVSSNQNADFSDDISSSPFQKPRQSTFEAKDTVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSPDSGTGTHFIDGSSVEDSDPRFAQLNDEALVSSTSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQTADSSEIQVQVIDATGH >KN542504.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542504.1:12910:13467:-1 gene:KN542504.1_FG002 transcript:KN542504.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLLNEVDLGAFTNCSSALGTLKPSRTVLRLFIDSEMLLMMMTTDLHKLFFGPLTDDETLMLMKALHKLFFGPSLIDDDDEKLRCGDDEVTMKASSDGELMMMRTSSDREMIHRWVSSFLLLCSRRTLPKPHAAGRQADNHNGHAAQQWRRAAMTSVQGRPAKTGAVADDGGGLPPAARTRSAT >KN542504.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542504.1:11384:12244:1 gene:KN542504.1_FG003 transcript:KN542504.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTLKEVARLSVVSTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDLNCRISSANKFIKRVDAILEKHCGTMVNKFAVKFGLSNEHANHVNGWVAFAIASKARVIILDFSPDWKSHENNYDFPCHIFDKHNGSYLEALRLDSVTLNPPLDFCGFANLKLLALDNVRLQHLEQLISKCLVLEWLSIQSCNQLHNLHVSEPLCRLQYLSIQGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGIPSVLPHVETLYVEVNVKTQV >KN542504.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542504.1:6814:8726:-1 gene:KN542504.1_FG004 transcript:KN542504.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELELVEAEAEAEAEAEKEGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHRFYKVADTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHVNGLSLSLYSYTSRIPEKIRLFDGVISKPCDLNRVVAVVENTPLFLIFRAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKVSWSTLK >KN544177.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544177.1:1134:1955:-1 gene:KN544177.1_FG001 transcript:KN544177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPVELITGATLTLEVEPSDTVESVKVKIKDKSGITEDFWMTYDGKQMDEAGGRTLADYDLRESARGEEGDGAEGAAIGHGGKREGEAVLQEEEEDHAVQATAGFLRYGACGQPHAGLLRHREGVDVVPLRTSGKLYL >KN543089.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543089.1:1996:3016:1 gene:KN543089.1_FG001 transcript:KN543089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLVVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSGTVVRDNVCTHRSDAVASSHNSVHQLGERSSEGQGESFQFVLARRHDMIIQCTRSKNQDED >KN544329.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544329.1:2061:2459:1 gene:KN544329.1_FG001 transcript:KN544329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTTSIASELGEMKTPPENMVINKASAAEEAPVVVAGDEDDDGEAGLGVREEVKKQLWLAGPLVAGALLRYVIQMISVMFVGHLGELPLAGASMANSFTTITGFSLLVCTTFLFDRRPWIIESHFAQITS >KN543089.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543089.1:7370:9082:-1 gene:KN543089.1_FG002 transcript:KN543089.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFKLHAWTFDPSAIPKEKILRIVEDEGLVVSPQGTGERYLDYTVFIHLTAVEDNATPPEPYLSFAPSSDDSGHGGLPRPDSDSDGGPPRRHFFRTMRGCRDGSPPPSPPSAQEGRRDGGRQAAGLRVSAGLERHEGRQGRLLSRSSTAPARPPAMGRRRRPRRAPKLRRRKATTSTKAKVLLSPVRSLCRWQPRRRQNSPELVLVVPTASSAAPSSDKAMGQRDSELVPSVDPVIEEDSASSSMGPLLQHLSPNGSMAQRQPPSPVRDDSVVLCWQLERVVQDGAHDPMLEEAARKVGPTPIASDLVVEAAEDRVGPYSCGGCTADPATDRAGLVLEESSPTRPTTALAAGQDPGHLGQSCPPQLEPICSVNQLADTAYQSEAQAPLVVDPASVVNPLGLHPLDGGPALHGSVHEQEIETTPDAVLFPGSPRPGAALSLPGSPRLGSPRPLITFSRRAKKELSAALLPLPPTPAPPTAVPFTPRRSARQAAQANYGAPTMSRCQVVLSKRLGVEEDAPSQQQNQVALQQYTEIFDNELSTDHLQALADLFDISLPQAEVVAALAVEVA >AMDW01039389.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039389.1:394:973:1 gene:AMDW01039389.1_FG001 transcript:AMDW01039389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEA >KN545550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545550.1:110:992:1 gene:KN545550.1_FG001 transcript:KN545550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKE >KN539746.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539746.1:30400:37037:-1 gene:KN539746.1_FG001 transcript:KN539746.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARLPGGRLVLVVVVAAACMARWSEGIGVNWGTQLSHPLPASTVVQLLKDNGFDRVKLFDAEDGILAALKGSGIQVMVGIPNDMLADLAAGAKAADDWVATNVSNHVNNGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQSVQAALKKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLADTGAPFVANVYPFISLYKDPNFPLDYAFFQGSSAPVVDGGVTYQNTFDANHDTLVAALRRNGYPNVSIIVGEVGWPTDGDANANPQYARQFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDQKSIEPGNFERHWGVFYYDGQPKCAEKGQAASLHKLCWRHRL >KN539746.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539746.1:8893:11216:1 gene:KN539746.1_FG002 transcript:KN539746.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGGLVMLQPEIGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLRKEHDEVANNGLSIFLDPKRLKLQDGEIPNTMEEEKPSAVSNDEDMWAGYWKYLASEISICFF >KN539746.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539746.1:43638:57639:1 gene:KN539746.1_FG003 transcript:KN539746.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVERNGRPLPVSYPLPTFHSVDASATATAQRPAASSSAKGLPIVSIPIRAVLFFFFFFSIHSRSAVSSEGGIALRLFVDWRIRRRRVCACKMFDTGSQRGRVRQLVAFAKKRRRPKKQPSRRPWWKAWFSDWNDEEESLSGWREDEELLEEVGGEEGLSDDEKFETWKRKAEAIVELREARQDAMNAEGRSWEDWIGGGSSTAGDGGGDWGGDLDVSDLITDDPTEIVRDKGLIETFRDSVDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWVLDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDINTEKARYRFEVEIGKSPPLSDDELWSELREKAIELRDEWRLENRKAFANIWSDMVYGISLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVDCMIPLQSEIVGENNASKSAAKEQILSSTNEKMTTSVPQGASSSKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYLNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGAYSPGVGDGQPYLPLHFPFSSPYYQPPASPSMGYSNSATGMSQGDPMLQQEYFLPDGLLYSPTPGYHQPFGSFDRASTQPSSTPGLFGQGNTPLAFGMHHGSMYAPGSYKPRQQGGKFGGTTPSWSSGRRFGTFDLSANQQKGSMPFGIQNGALEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRLAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPASPELQKPAENKALGELMAHISDTFGQTVQLKETENGESKPAVEGVSSADEASTATTKAEDGTASTNASPVE >KN539746.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539746.1:65908:68418:1 gene:KN539746.1_FG004 transcript:KN539746.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHLSSFAISVLGKAAFCAASEIKSAWNFKKEVRKLERSLKSICGVLKDAERKQSTSCALKVWLEDLKDVVYDIDDVLDDVATKDLEQKVHNGFYAGVSRQLVYPFELSHKITVVRQKLDEIAANRREFALTEEIIDTQFFSSNTRETHSFINELDIVGRDEAKNKIVEIILSAADAYAFSVLPIVSLGGIGKTALAKLVYNDMRIKKMFEKNLWACVSNVFDLKKILDDIIQSDTGESNKQLSLQTLQNKLRGFLQENKYLLVLDDIWSDNVNDWEQLKNLLSSGGRGSVVVVTTRNMNVASVVKTLEPYYVPELSFDECMQVFIRYAFRDEEKKDTLLLEIGKCIVEKCHGVPLAAKTLGSVLFGKQDVKEWLRIKDANLWNIEQNKCDILPALKLSYDALPPHLKACFSCLSVFPKDYVILRELLIMFWMALGLLHKTREGDEIETIGGQYFNELDQRSLFQDHYVIYNGSIQSCKMHDLVHNLAMFVCHKEHAIVNCESKDLSEKVRHLVWDRKDFSTEIEFPKHLRKANKARTFASIDNNGTMTKAFLDNFLSTFTLLRVLIFSDVDFDELPSSIGNLKHLRYLDLQWNGKIKFLPNSLCKLVNLQTLQLSRCDQLEKMPKDVHRLISLRFLCLTLKNKYLSEHDGFCSLTSLTFLFLNSCAELSSLTNEFGSLTSLRKLYIFNCPKLATLPSTMNQLSTLQTLSINNCHELDLLEPSEAMGGLACLDVLQLVGLPKLVCFPWSFISAATSLQYFGIGNCNGLMKLPDFIQSFTSLKKIVINGCPELSRRCAVKSGEDFHLISHVPQITIDKKTYRKITPSHPECSSVS >KN539746.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539746.1:5659:8460:1 gene:KN539746.1_FG005 transcript:KN539746.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVRSVSIPLICLLTFSAGGGSDGADEAGDLLLPGGTSAPAEEYPHHGADGVPHCKPMEVEAPGPGARRRPSSILNFGHDGMHVATLALHWDEGEAYHHLLGMIFDEQEVIVLYSARYTRPLACSPSHVLLHWCVCFTAARLHRLCSIHLAGADPWSIVGVGRAAGLGSWTASSGTATIRHADTARSRFPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVESEHIDTQFVHFQGTNLI >KN539746.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539746.1:58896:62612:-1 gene:KN539746.1_FG006 transcript:KN539746.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKFHGIVGAVGAHDVGGNFCDMGYYQKLGEGSNMSIDSLNSMQTSIYGGSVAMSVDNSSVASSDSRTGMLKHPGLRGPVIVSSYSVGNSIFRPGRVSHALSDDALAQALMDSRFPTETLKDYEEWTIDLGKLHIGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHSNIVKFVGACRKPMVWCIVTEYAKGGSVRNFLNRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALAEIMTRCWDANPDARPPFTEVVRMLEQVEMEVVNTVRRARFRCCISQPMTLD >KN539746.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539746.1:2001:2431:1 gene:KN539746.1_FG007 transcript:KN539746.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIVASLANTIGAAESVLRVAATGHDKKLFFKPELFDLIAIFATTI >KN539746.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539746.1:12113:14868:-1 gene:KN539746.1_FG008 transcript:KN539746.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQLICTQAPLPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDRQSVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >KN539746.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539746.1:69281:77037:-1 gene:KN539746.1_FG009 transcript:KN539746.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDPNFGTSEEREAVEAARIEFQKNRFRTRHTSDVLARMQLAKANNFSIDLQKEKDGNPINIDTATVSDILKKALSYFSAIQAYDGHWPGDFPGPLFTTATMIIVLYVTESLTITLSSEHHKEICRYLYNRQVLGVFDWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVISLREELHIHPYKKIDWKEARKLCAKEDAYNPHMWLQECLSDCLYSFGEPFLTRWPISYMRKRALYQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKTSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKCLSDGADYQMETYCYFDAVNVLLSLQNPNGGYGAWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRKATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDDSTCIRKACKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMDYRNIFPIWALGVYQKLVFQNYQTSSIKQTNIAPSAGNAALKNSASTTAPT >KN539746.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539746.1:22550:27648:-1 gene:KN539746.1_FG010 transcript:KN539746.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSASGLLAMLQEPAAELKLHALANLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELDDSLSYALGAGPLFDPSEGSDYAQTLLAKALDEYASIRSKSLKAAGEGDEKMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLEEAISRSDNLNGSLSYSIILSHQYVSHREYRCEILRCLVKIYQTLPNPDYLSICQCLMFLGEPETVGNILGKLLSGSKDDALLAFQIAFDLVENENQAFLLNVKNHLDTLSLQTSAQTLPSDQTAATEPSGDAQMTDNAITPNGNAHTVDPNEVAHADRLTKIKGILSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMVGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAIMATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGVAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYEPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >AMDW01038240.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038240.1:326:718:-1 gene:AMDW01038240.1_FG001 transcript:AMDW01038240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVELVADHIVCEEGKPISPEAAQILRLLGMQMATFRLYLVCRWSSDDFEMYKEGLAQLRAGEADDSP >KN538855.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538855.1:58002:60753:1 gene:KN538855.1_FG001 transcript:KN538855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MEQEENHSAGHLPAQPGNGNEMEESSVKERCFEQREALAGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAATRPAVKAAKRVNLPVGDESFCIRDKNFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSQVRSEKCTDKRGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALIVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNVIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSVDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGKKRKLKSRLFLSQHDFSGQVHEVMKSAYMANVKESMAHVNVSKLLSRILLSMLSFKSFFTGSFAKLNVTFAQLSSLTK >KN538855.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538855.1:131685:135102:-1 gene:KN538855.1_FG002 transcript:KN538855.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTVVDAAGAPAIEKEVNGKPALPDSMEEHEEAHEVQANGDHSGESDVINPPEEAGGEATSHLDGKKPRAAKATQSHGPKVVKSRSPKSGGEGQARRSTPSSTLSKAPVARVSHADSSTGSKTNGDSSVDRNKAEKHEPRSSTKETSLEDSKEKRKTQKPLGQSSSVKKDDESNPESRKAGGTPAYGFSFKCDERAEKRKEFYSKLEEKIHAREMEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPTTRARSPKLGRSKNKSAGETEETVTPPGRPVRLSLDEKVSQNGVKKANPSNAVKKPQRKSLPKLPSEETGPFDASHLKNTELSTVQFEVLLDGLGRVRVIPTGDSFYYDGWKKSVKPDNLPTMWSRHVN >KN538855.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538855.1:10798:12202:-1 gene:KN538855.1_FG003 transcript:KN538855.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGGGGLLALRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSCGAGSMAEVFRWIMRTEGWTGLFRGNAVNHFTYDTAKKYLTPEDGEPAKIPIPVPLVAGALAGVASTLCTYPMELVKTRLTIEKDVYDNVLHAFVKIVREGGPGELYRGLAPSLIGVVYRHVLHAMYCILRGEGAAGLYRGLGPSCIKLMPAAGISFMCYEALKKVLVEEEAAAPELEAEEEEGIKEKVA >KN538855.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538855.1:65488:66215:-1 gene:KN538855.1_FG004 transcript:KN538855.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRNSTGDLATATDRDARSNGGSRNSSAGNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEVEPTEPAAQPTSTATASQVTMDDEERIALQMIEELLSRSSPASPSHGEGEGSFVI >KN538855.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538855.1:113634:117211:1 gene:KN538855.1_FG005 transcript:KN538855.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAMQSQRSMSSISTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGVDMCRICRPLGNAISPLGHYRALCRCADCVELTRHKDGIGSALSQVAETLTRPLTGKVREDEERYRLRFEVPGLGKDDVRVYVDDGVLVIHGEKREEVEEDRGGGDGDDGGEWWAAAYGSYHAGLLLPEDAVAEGITAEVRDGVLHVTVPRSPERKRSVTEVKVQ >KN538855.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538855.1:8294:9985:1 gene:KN538855.1_FG006 transcript:KN538855.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVGNLTIAAGEAAGAGGGAAEAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEIVLGKTDKFDELMAAAAEEREAAAAEAEAEEQS >KN538855.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538855.1:140481:142660:1 gene:KN538855.1_FG007 transcript:KN538855.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGGVVLLVVVVVLGLLAVRCEGKKVSSFVGTYGVNYGRIADNLPPPTEVVKLLRMARIKNVKIYDADHTVLDAFRGSGLNLVIAVTNGEVKDIAASPAKAMDWLNENVQPYYPSTRIVGITVGNEMLGLATKIELTTPHSEAVFANSYPPSACVFRDDLMVYLKPLLDFFSKTGAPFYVNAYPFLAYMSDPAHIDVNYALFKPNAGIYDAKTRLRYDNMFEAQVDAAYFALEAAGYPEMEVRVAETGWPSAGDATEAGADPANARAYNFNLRKRLFLRKGTPYRPGRVAKAYIFALFNENLKPGPTTERHYGLFKPDGSVSIDLGFKGLVPSSSSPSSSIISFKSSAISITSRT >KN538855.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538855.1:153264:159226:1 gene:KN538855.1_FG008 transcript:KN538855.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLKLTKALVASCRSLIPSSLSRLLLLSYNGQFPVPMPKQSSSLPALSSSLRLALWLDWSSSSSTCTDVVPAHMRENLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAA >KN538855.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538855.1:82253:85094:1 gene:KN538855.1_FG009 transcript:KN538855.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGATSRTATAPLDRLKVIMQVQTNRTTVLQAMKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGISGFYKGLVPNLLKVVPAASITYLVYETMKKSLSLD >KN538855.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538855.1:17941:46459:1 gene:KN538855.1_FG010 transcript:KN538855.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANRESVVLDQGLDADLMYEIQNQMEALFIEGLRQRIITLVKELNHEESTGVGQPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSLLKDCAAEVNENSSVELQITYGVLFSLVVTFVSDALSTSHEKPSLSSSDSSFRRDFHELVMRSDNNLTIEGFVGVVRLAWAVHLMLTQDRSSARDTLTSSSRDVTDIWACLEIICRQNSFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEIKEKTMNALSPYGSIRDHREDPSRTGEQIGQPTNQPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTNTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVYRSLGWSTLFDCLSIYEEKFKESLQSSASVMPEFPEADAQALVSYLAVLQKVVENGNTTERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHNATQIYDMRFELNEVEAMRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPWEKWELAVACLEHFRMVLSMYDIKDDDIYATVNASGPSTTSHASIDRQLPVLELLKDFMSGKVAFRNIMNIVSVGVDTLINERTTQTYGILLEKTVHLSFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRHIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIGVSPLPRRNNNQSLRISMLHERAWLLKMLALALHVSDISSSLYRESCLAILCHTFGHCAENLRSANLLQSPGSSNLAMNGNKVLDLLEVVQFRCPDTSIKYPQMLSNLRLESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQLLNPQLSESEKSELKESFHQMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEIAVSRRMSLLEDRSHLLFELLDASLSATTSPDCSVKMAYILTNVALTCMAKLRDERFMCPTGADSDAVTCLDIVSAKQLSNAACTSLLFKLTMAILRNESSETLRRRQYALLLSYFQYCRSTLDSDVPPPVLRFLLLEEQEGDDDELGLQKVLKEQNELARSNFSIIRKEAQAVIDLVAKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQIQSRGILRSCLSDVNNYLSKEASFSSESSQRFCTIDAQLSLLLRISHHYGKHGSQILLSMGALHNLSSCNLMGSQKKANSRLNSNVVKERAGEIDKRRSLTAPILRIVTSFTSLVDSADFLELCLAWYYEILAYGGTTSARSDYPWLGFDLCLVKNKIVRELVDFAKQHQPVFNIILRESISGANIFNLERLNMVVSILGKVWAYEENDECSFVQDLFSMMQFLFSLDIGSLNFLQSSNMIENQKSELIVFGLCFSLISYLYVLATKKDMRFQISYDDTTEGQQQPTLQLISDLLNSITVAMERVAEEKYMLLNKIRDLNELSRKEVDDIIKLCMKQDCISPNDNIRKRRFIAMIELCCMAGNRDQLITLLLQIAECAVTILLVHFQDESCAKDISSFSDELLPILERLEHFKEDKVGRSLKLFHRSVTTLKEMTIRSMSL >KN538855.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538855.1:573:1516:1 gene:KN538855.1_FG011 transcript:KN538855.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding YEDEPPEPEIEEELCGLFTFSELEGAEEEPENNNEDAVDDVVGAEDDREQEKTARPWKTSKYMTKYERARILGTRALQISMNAPVMVELEGGTDPLEVEALERVYTDCPKPTSACRQQLLCECPILANIEPKQIKVWFQNRGYPGNPQGTPALSRLSPPGTLPDPPTVRRSVNVHAAESASTSFCGMYRKRQMRLRR >KN538855.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538855.1:125049:130127:1 gene:KN538855.1_FG012 transcript:KN538855.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRRVGPGGLRPRDGRERPTDPGFPAPAETRGRIRGVVLRFRNKCLKLFVGFDNPNLCSLALWDVPLVTDAGLAEIAAGCPSLERLDITRCPLITDKGLAAVAHGCPNLLSLTVESCSGVGNDGLRAIGRSCSKIQALNIKNCARIGDQGISSLVCSATASLTKIRLQGLNITDASLAVIGYYGKAVTDLTLVRLPAVAERGFWVMANAAGLQNLRCMSVTSCAGVTNLALAAIAKFCPSLRQLSFRKCGHMTDAGLKAFTESARLLESFQLEECNGVTLVGILDFLVNCGPKFRSLSLVKCMGIKDICSTPAQLPLCKSLQFLTIKDCPGFTDASLAVVGMVCPYLEQVDLSGLREITDRGLLPLINSSEGGLVKVDLSGCKNITDAAVSTLVKGHGKSLKQVSLEGCSKITDASLFAISENCSELAELDLSKCMVSDNGVATLASAKHLKLRVLSLSGCSKVTPKSVSFLGNMGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >KN538855.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538855.1:145536:149806:-1 gene:KN538855.1_FG013 transcript:KN538855.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASDDAVKQLALLMDQVEAPLRRTFQNVHQGYPKETLLRFLKAREWNVSKAHKMLVDSLNWRIQNEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAVGVGQSTYDKASVHYYVQSHIQINEYRDRVILPMLTEKFGRPVTTCVKVLDMTGLKLSALSQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKERTKKKVKVLHGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDADDCYSLDHPFHKELYGHIEEQASRKELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQNGSANGHKV >KN538855.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538855.1:48358:50394:-1 gene:KN538855.1_FG014 transcript:KN538855.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVEMQPEWRDNFLSYKDLKKRLNLICERASKRRRVGGATAVVTTAAAGGMTLEQAGFVGLLDAELDKFNFFFLEKEEEYVIKQKELRERKMASAEEVMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGSMIRLPFVQKVLQQPFFTTDLLYKLVKECEEMLDQLMPTNEHSVASEDGKDDSEGEEKGSKPSSSSSANGGAVPGEAEAEAEAEDERSTDMKSTVTAALRALREIRSGSSTVSVFSLPPLHGSNGQDEPGR >AMDW01038065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038065.1:222:700:1 gene:AMDW01038065.1_FG001 transcript:AMDW01038065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDYYQIDDLLTTEEQSIRKKVRAIMEKEIAPIMATYWEKAEFPFHAIPKLSSLGVAGGTIK >KN542717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542717.1:2538:8034:-1 gene:KN542717.1_FG001 transcript:KN542717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMRLWKIEDAGSEFSLYDFSQLADATDNFSANNILGEGGFGPVYKGLFPDGQELAIKKLGAQSRQGLVEFKNEIQLVAKLQHKNLVRLLGCCVHEEQKILIYEYLPNKSLDHFIFGMFYSLAIDKNCIYSHPIRRTSLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDASRAKASRLVGTFGYMAPEYASEGLISIKSDVFSFGVLLLEIMSGTRSAGFQHYGEFQNLLEYAWGMWKDGRWCEFIDQSFGDEYEPGEMMKCLVVALIPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCRKHLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQAKIHFYNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKSEHTGKKAFFRRENTALVSIGGFILVVSISCLLFHRWIKTQQHREQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQIKEATNNFSNDNKLGQGGFGPVYKGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLER >KN540648.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540648.1:6756:8280:-1 gene:KN540648.1_FG001 transcript:KN540648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLIISSLMMLLALVLYLCIGWPILSTLPSIVANLHNLHDHLAGVLAASGHTVMARGTAATSSIRFFITCDPENVRHIFTSNHGNYPKGHEFAEIFDVMAGVSFTLDGEPYLRLRAKMLSVLGDPRLVASMAASCLDKVRDGVLPFLARVATAGAPFDMQDLAGRLMFDVTSMAVFGVHPGYLSPGPDMPPAHAAAAMDTVMEVGLIRHVVPASLWKAMRRLNIGPERRLAAAHRVLHGFVGEMMKARTKATQSPHRDADADADSVDIISSHINDPEYNGAALLQTTAIAYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAPIVASRKAASPSPSNGDRTTTFLAFNSGARMCPGKSIAVMLMKTIAAAVVWNFDVEMVEGQAMEPKLSCLFQMKNGLMLKVKKRQI >AMDW01038674.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038674.1:164:838:1 gene:AMDW01038674.1_FG001 transcript:AMDW01038674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITDIEIHFLQIKYNAIGVYLEKDNVLAHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSVITFHFPTTPGIAE >KN542717.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542717.1:11448:12772:-1 gene:KN542717.1_FG002 transcript:KN542717.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LAIKDFWQVDDQTIVFVADPTFGNIINFNVGALVDLDIPRSFWSRVSGKYGNMFFWKEKGEDASIEAAVMAVSRCLREPTGANNCSEVL >KN541181.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541181.1:11369:22650:-1 gene:KN541181.1_FG001 transcript:KN541181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGLLAKQVISVSSARSLGFVSQLWVDAASSVLQALTEVERRTHARKMKCGSVKMWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVEHEFKLVGLHSLWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVEHEFKLVGLHSLVGYSVVTSRRRNVGKVRGFTFDINTGAMESLELDSFGISIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAISRVQRLTQIYEPQLFQQRHTVRGSGQAAVDPGLIPDGILLVLDGVDATYSYLLAAMAKEEYYYLFSFSFQRVLKSVNALSKPEDGRLSGRCNIRAQRTPLTGRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDLAFSGATMDSSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQKNTSGRIEGPSEEIHPNANGMDIDSDKEETYNVEGMAENSEDCRVRGGGDIDAECYLNSPKHEVPLHQESKSDSELKEATKTEYEETKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPHHHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHNCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSSCNRLVQLPTDFAVPSKGTRRLKCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRRRRSPDLYESFGERTPAARTSDTKGKAICVADEDEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >KN540648.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540648.1:25100:26151:1 gene:KN540648.1_FG002 transcript:KN540648.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQCWDIGPPRFVPPRQRQKPIQLDYSSSTDLPDESVLPYVYHRDQPSADRADSSRRISTSAGSSAESSLLVKPQVPQHVIIPPTKIVHVIARALGIEIIQCYAFKDNTTGNYRARIQMEIPFVRTAESAVQHMFYG >KN540648.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540648.1:23543:24178:-1 gene:KN540648.1_FG003 transcript:KN540648.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVDAGLPIVARISRRLLVKKISRALGAAESPKYVVDMKGAAQFQVYAEVSTMSAASQWIHISGGCI >KN540648.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540648.1:19017:21480:1 gene:KN540648.1_FG004 transcript:KN540648.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLVPLLTEGSVYRIKFLEVIESKFRYRAVDKPTRVWFTKFTIVQEVTPELARIPVYVYSALSFPELAYRVNDNAVLSDMVGSVLSVSSVTLQDTRNGPSYKRIVYLTDGRLQENVEVILSSIEFVLTSKVSGCRANSKRYRITVYASDISIAEGDESPVEFLFFFGEVGQALVGKPAMLLEDASFNLETGASTPVAITIITTPPPHITPPCLLEDASMKGNRAMIF >AMDW01130031.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01130031.1:63:2565:1 gene:AMDW01130031.1_FG001 transcript:AMDW01130031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMGMGGFLVFTPRTHPDVERAFRAVDRDGSGSIDERELQDALSSAYHRFSICTVCLLIFLFNNPASHSRSPSRIWVQQSSCHCGIALGNGGLVLSVYMAVDFGCWQVPLLTFYLRKFVMQKFQILLRCIKLWAKRQGIHCHLLGLFVGIHLAILAAYVCQRYPYDTINVAAKLTWKILVTQHSVKFCMVRINWVVNFVLY >KN541110.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541110.1:7:5729:-1 gene:KN541110.1_FG001 transcript:KN541110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKGVDGVGLEGFLKNLKLTENESSGMKGAWSSASGEVGKSPQAVGKLFSCKPGHAEGMALTLGKIWCPRGGVRCKDLGENLFLFTFLQPGGKRRAILEGPWEFGGDLIIVVDFDASKRLKDLVFSHIPVWIRVFDLPLGLMNVETGRLIGDKVGKIMEVDAEEDGSAVGSFLRIKVWLDARKPLVRGVMMEEDERGSKGWCGFKYEFVPNFCYSCGLLGHVEKECDDQVWRGEEKQFGEWLRAYPTKKRSGQEPRNWRQDRTNSGSAGHQRSSGSGGRTWSKEKGASGARSLKDSSNSDQDLRDDGTSPIKKIVEKSKVLRGKMLSLGEKDVREIAVPNKTPVVSNVPAEQVKVGSSEIVSDLVADVERSGIAEEDSEHNSDMQKVVVFSRAKEMEVDGLSHVQGNMGVVQFGEEGSVHSAMQLVVEGEQAEGKKAVHKEEKRGVGTYKKRPRTVKNNSEVVDVRVGEKRAGFSEVGEEEVGRVQPCWHMLNLEEERVSLGKCRSGYEVLNTIWNFTEETRLRVVTLLWKWWSARNKINAGEKIAPLAEICNSVDFHLAEQKKLVQKKQGSHTQPVQRWTPPVEDYYKVNVDASFFPDSGTGGWGFAVRNSSGLVLEAGAGFISRASSALQAEALAVQRSLLRVAQLGMTRIIVEVDASNLGKALNSQELDRSLDGALFRQIRAFLLTNFASFDIVVCPRICNKVADCLASFGVGVGASGSPLFWNQAPEFVSVLVSGDLPGVAG >KN539535.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539535.1:42943:43836:-1 gene:KN539535.1_FG001 transcript:KN539535.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSLLQLLLIAAVASQFVSSQAGSIAIYWGQNNGEGTLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSSDIKSCQSHGVKVMLSIGGGAGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWIGDALNTGLFDYVWVQFYNNPPCQYSSGSTSNLADAWKQWLSVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPVIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >KN541110.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541110.1:29268:30654:-1 gene:KN541110.1_FG002 transcript:KN541110.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTARQEDTVRVNIETMLEQLTTPAARLGDGYSIYRVPANVDRKHYEPRLVSVGPYHRSKHHLRAMEDRKRLYLLRFLDDGGESGHRRGLLLQDCIDRVRELEPRARACYFESPDTGDGEDDDGDDMFVEMLLLDGCFVVQFFIQWFSGVADPIFSVGWNLPLLHTDLLMLENQIPYFVGTSNILDVAFHDGVFEIPRVAVDDSTCTRFMNLAAFEQCRGGDAATPAAGGKHLTSYVVLMDYLINTAEDVVILERADVMENNLANEEAAAAFFNQLRLSSYINYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIVGFCFATTFAVVTFFNTIVNILKTFFHVLH >KN541110.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541110.1:21087:26362:1 gene:KN541110.1_FG003 transcript:KN541110.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGITKLRHHLLLLLVLLLVVVAAAGVGSVQAAEEDEMSGRRRRSRRRRAADVMVPITILNSAVDKGAVCMDGTPPAYHLDPGSGGGNRSWVVNLEGGGWCNNARTCRFRTTSRHGSSDHMERRIAFTGIMSSAAADNPDFHSWNRVKIRYCDSGSFAGDAFDEGLKLQFRGQRIWGAVIQHLLDVGMASAEHVLLTGCSAAGLAAILHCDQLRALLPAAATVKCLSDGGLFLDAVDVAGGRSLRSYYGDVVGLQAVAPNLPETCTDHLDATSCFFPQNIIDGIKTPIFLLNAAYDVWQIEQSLAPNAADTSGTWRVCKFNRAACNASQLQFLQGFRDQMVAAVRVFSESRSNGLFINSCFAHCQSELTATWNGGSPALQNKGIAKSVGDWYFGRAEVKAIDCPYPCDKTCHNII >KN541110.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541110.1:6370:10632:1 gene:KN541110.1_FG004 transcript:KN541110.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGAALVAREAARRASAPDVLRFAGLGAGLAWGTLQESARRVVYGRPADADGKRSAMSPFLSDKNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGQDWSSKLTSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLSYTNLIPKGLFLDRAMKVAKLELARECDYVLEATNQKRYKELLSDSEGYYVPKVIDELSSKKVLTSEFVPGFPIDKTDPNWSNFLFDEPTRKFNLIDFGAARDFPKRFVDDYLCMVVACANKDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFARPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQYNFSDDRPEVLSSTG >KN539535.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539535.1:7391:7690:1 gene:KN539535.1_FG002 transcript:KN539535.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSLFHFLKGTYNSPNGERLVGGAQAVRMNAPRVGGSFAVWGGLFSAFDCTMVFMHQKGGSVELHHRRRRH >KN539535.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539535.1:56703:60080:-1 gene:KN539535.1_FG003 transcript:KN539535.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAAALLLMVKIGPLRELAMRGVEQAKDGKGPAAVKTLACTLLVIFMSSVASILRIQNRGIKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQIELQGKEDKSKEVKKLRAELASLSEKMKKLKSESEEHERQRLEAEAHVNALQKQSEELLLEYDRLLEDNQILQTQVLSLRS >KN540089.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540089.1:18001:19717:1 gene:KN540089.1_FG001 transcript:KN540089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC1 [Source:Projected from Arabidopsis thaliana (AT2G33820) UniProtKB/Swiss-Prot;Acc:Q84UC7] MALYNDKGVGIKVYEPAEQSGKENLRGERHQGGCYASSVMHNMSWHTFVHLAICTELAGALASAGLMGAWVGKSEDGRPQLQVIIPSAACSGALISCILTPTELMKVCGLFRGGLATLFREAVGNAVFFCTYEYSRYWMHRYLDSPWFSGGNHLVLAKDVGVGIMSGGISGMAFWTATLPLDVAKTIIQTDPDPHLSRNPFQILKMVYRRAGMGGCYAGLGPTLARAFPANAAAIVAWEYSAKILSIRRD >KN539535.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539535.1:91131:92688:-1 gene:KN539535.1_FG004 transcript:KN539535.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEPISIRFSQHLNSPEILILEMIKDAFVEGIDTTVVTTTWIMSELMRNPRVVQKAQAEVHNIVKNKSKVCEEDIQNMKYLKMIIKDKFRLHPPGTLLIPRQTMKTCTIGGYSVPSETRIYVNVWAMGRDPNIWDNPEQFYLERFEDKGIDFGGSHFELLPFGSGRRICPGIAMGVANVELVVANLLYCFDWQLPKGMKEEDIDMDEIGQLAFRKKLPLLIVPKKH >KN539535.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539535.1:4260:5332:-1 gene:KN539535.1_FG005 transcript:KN539535.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVDRVGFDLGKIKLDFDRDIYGSMEAAKTAGSSWFRARTIRRERAEAKTLVSPQENLLPCRRSVKVSGLFFFSLEVYPLIAAMTFVTGLCTFQLTRNVFMNPDVRVNKNNRKSAVLENAEEGEKYHQHAFRRFLATQRPEVFPALNRFFAGPATVARSDRHD >KN539535.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539535.1:82380:82682:-1 gene:KN539535.1_FG006 transcript:KN539535.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPNIWDNPEQFYPERFEDKGIDFGGSHFELLPFGSGRRICPGIAMGVANVELVVANLLYCFDWQLPKGMKEEDIDMDEIGQLAFRKKLPLLIVPKKH >KN539535.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539535.1:1890:2556:-1 gene:KN539535.1_FG007 transcript:KN539535.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVYPLIAAMSLVGGMCVFQLTRNVFMNPDVRVNKSHRQSAVLENAGEGEKYHQHAFRRFLGTQRPEVFPAINRFFAGPATVPKSDSEN >KN539535.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539535.1:11080:11379:1 gene:KN539535.1_FG008 transcript:KN539535.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAIPQQPRCHPGRPAIIGRNLDRDDEQETAIVLGNGWHGWILEVAGTHLQVFRLSMFIYN >KN539535.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539535.1:38335:42302:1 gene:KN539535.1_FG009 transcript:KN539535.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 22-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/Swiss-Prot;Acc:F4J469] MPFHFQFPWLPNNPTSSSSPPTKPPSPAIPNPFLPIQAGLASFLSSLPLPRAPFPPPPWARISSASASAASASALPVAEIEERLAGVPVYALANSSQEFVLVSSARGGGGGGGGARAAVPPPALGLLCFRREDADALLAQMDGDMAAGSTVVPVALNKVIQLKSDGVAFRFVPDSSQVANAMKLMENEGQYVNDGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLHRASRDQQKPNPAVKMGDIQVSSLENIIKSMKDSSSSKWDDAVFIPPGFDLATSSKQSNHDN >KN540089.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540089.1:37012:38758:-1 gene:KN540089.1_FG002 transcript:KN540089.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGNKEGGMGEPTGKGGTEVVMAMGEAKGRGSDEERLSWLWRGRGSPGRRGRPSMSNGGRGRVAWTGSSGAHTGNGLGGGEVTIGHKASPGHVPAADGMDGDEVGPDVDIAAGESLQALSFGVNPSHWGEGSGGLGVWEHARSMGYCNNLRGLKGQIRNLTREGDSGKSLD >KN540089.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540089.1:28838:31757:1 gene:KN540089.1_FG003 transcript:KN540089.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLQKKVEQKEEESHKISHDNAFLESTPSCEVGSTSIEEEEEKDCVASELSMEEKEYVAADVLARKFDSPPSLVVSSHVTCFGSQVEGVGLPKMDKPVNHNSTKNVSWDERIRKGYEIEWSDDEILRFMKAMDKNIFDLKSISNYIGTRSIRECKILFSKYQRHFGTDLIHKANENATVEGEVSNCMPDNGEPHIWSAIDSMPCSMDNAHDQRPSILNKMTTEMENPQISQETSEKVIHSVESNVIKTNGTELCSKVNIDFNTNLSATASEVDSPRAVVSFDLNSPPVMDSIESKTCHTKTLIGFSEPPLSATNKHQENGKKGSTRSQLPENSLKHSEFHVEGQSVSTMQIGTINGSSFSQADGIMTHVQRIQHPQTNILDTSKDAAKKPSFIRIFGKIFHEGFSMEANTNSKEYDNVEGLTSNVTTNTTLPNTLSGDIPKNLSNVTSSCSALRSRNIDLIQNQHAESLKVIPSGMTRDDVASHLWTRSNSIVNHSEQPCKSSTVEGSGMLNGYPSLSDWTHMMTSFQALGSCGGQNEGSSTRNTMILGSQDQCKDYHLNFHDAYPKYRK >KN540089.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540089.1:24376:25477:1 gene:KN540089.1_FG004 transcript:KN540089.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQTPSGVQLDNSRKHERSTRSSTAPCNTKESCRSGGQDVQLSTPLSPTCSSGLLISDKSPKGCNLLGGQGTFGISGAGDTGKGLPGPAPYWSVNSSYESIPISALPPKKRYLRQLQLAQQLEVSPPAVPVAVLAQVPLAGSNSGVSFGQKSKQDNSLGPINWRSTRWWNYRKRSSDDANNAEKKDAANYQEVGNSIAGKRNRVEWGYGLAKYEKEKKQMSNSLPSDGDNTNLGTSSESMTATVDCPAALPASSLGSNVQPGDALN >KN540089.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540089.1:64555:65744:-1 gene:KN540089.1_FG005 transcript:KN540089.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLSSALSSMEVMLDALMQRGVGKPEEKPKEEAPPALPTRPTVRGRPPSLQRPGSPPPWVHRSPSLPPMLEVDEKLAVNSELERRATVAEEAVKQKDDVVMQKDEEIAALRQQVEHYESRLSECEARMKSVEEELRKQITTMQIAQNNAGRTGESTTRTHHRQELSGTSGAPAQSSGRREEEASVTRQQARGRESNVAAVVDERKTDAVSRLATELRQESEAFEHRARAVTEAGPPTAKSVDELKKLKRQFGTWKKEYGARLRKTKAELKKLVRSERGGHGNRRRCCSWKIKLPKCRFPKCCAFKLPSPSSCCSCSCFRRCC >AMDW01079840.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079840.1:109:222:1 gene:AMDW01079840.1_FG001 transcript:AMDW01079840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGPTLSTTSRYYTFAPRSPGSTQEVAPAPGRTDSK >KN541210.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541210.1:338:7611:1 gene:KN541210.1_FG001 transcript:KN541210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVGHGMEGNILSEMINVTRGFYKLPLEEKQKYSNLVNGKDFRIEGYGNDMVVSEKQVLDWCREITSLVLNKLAKLLGLSEGYLVDMFDEKAMTYARFNYYPRCPRPDHVFGLKPHSDASVITIVAIDDTVSGLQLLRQGVWYDVPIVPNALLINVGDGIEIMSNGLFKSPVHRVVTNAERERVSLAMFYTLDPEKELEPVPELVDDEKRPRQYVKATMADGHEWKIAPIVQELAANVPEPPSQYVVGEQDRPAITGSDMPEPIPVIDLSRLSASDDDDDDSAGELAKLRSALENWGLFLAVGHGIEPSFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGYGNDMVVSEKQILNWEITSLVLARLARLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDAVSGLQVLRQGVWYDVPVVPNALLINMGDGMEIMSNGLLKSPVHRVVTNAERERVSVVMFYVLGPEKELEPAPELVDDEKRPRQYAKMKTKEYLSGVYETLARGTRVIDTVKMSE >KN541210.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541210.1:24969:27610:1 gene:KN541210.1_FG002 transcript:KN541210.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFNALFSTASFLLGAITSLVSGYLGMKIATFANARTTLEARKGVGKAFIIAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVTNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKWF >KN541210.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541210.1:10934:12870:1 gene:KN541210.1_FG003 transcript:KN541210.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKHSARTGRRRAGATEPVSNHGVETSLIDAVIEAAREFFRQPIEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPTHPKSFSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQPARYRGIKGKDLLPCWLSTTSISLVVLELSIH >KN541210.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541210.1:8927:9585:1 gene:KN541210.1_FG004 transcript:KN541210.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGREKSLKGSEIGRSQQQFELHIMSNGLLKSPVHTVVMNAERERVSIVMFYALDPEKELEPAPELVDDGKRPRQYAKMKTEDYLSGVYETFARGTRVIDTVKMSE >KN541210.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541210.1:28529:31221:-1 gene:KN541210.1_FG005 transcript:KN541210.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRFLGGLCFLALLRLGGAAAEAAVAGVDGRRAIAATDEDFVCATLDWWPPDKCDYGTCSWGLASLLNLDLSNKILLNAIRAFSPLKLRLGGSLQDKLVYGTGDGGGPCAPFVKNTSEMFGFTQGCLPLHRWDELNAFFQKSGARIVFGLNALNGRVPLPDGSMGGPWDYTNAASLIRYTASKGYKIHGWELGNELSGSGVGTKVGADQYAADVIALKSLVDTIYQGNPSKPLVLAPGGFFDAGWFTEVIVKTRPNLLNVVTHHIYNLGPGVDTHLIEKILNPSYLDGMVSTFSNLQGILKSAGTEAVAWVGESGGAYNSGRHLVTDSFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNKETFQPNPDYYSALLWHRLMGTKVLSATFNGTNMIRAYAHCAKDSPGITLLLINLSGNTTSQVSVTSEGAHANTVKKHSRKTRHLAAGSMREEYHLTAKDGSLQSQVMLLNGRALVADENGEIPRLEPVKVDAAQPIAVAPYSIVFAHIHNFPAPACS >KN539806.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539806.1:68372:73400:-1 gene:KN539806.1_FG001 transcript:KN539806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGVLLRSVEEAQAHAEATNHANFSESTEAVLNLVCAACGKPCRSQTMVAPEVNKEMLADLEAMGFTTARATRALHFSGNSTIEGAINWLSEHQEDPDIDEPLLVLANTITEANKPSLSPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEDNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAAPKPSAPPPVEEKKSALPVRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLQLCGFEKLEGNEFLFLARDKVDKAVLNTAGAELNSAITNPFFGVL >KN539806.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539806.1:5861:11084:-1 gene:KN539806.1_FG002 transcript:KN539806.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGAVVVDRDGEVVVATKIRKRCALSSSGASDPLRKLRLKKRGVVFSKRSRTRSKASEADGQRSWHNGHGHWFPDMLSNGSTMEVHAWRSQDCASPCRGGETMAPHLKEVCSSLAASKELVKALAGIWGPCDGALNPSTAASLLSALRAELDLARAHARRLAKEDRRGGEEEVEEMRREAERAQEELEKEREMLRLADELREERVQMKLLEARLQFEEKNAVVEQLRDELEAFLGSKKDRQQQEEPPPPDADDHHHRLPDAHRFQSILVAVNKNGDHEDDDDGGGGGGDDEEDDGSEMHSIELNMDGNSKDYSWSYTTASKDMATTARSKNAASEDGGEEDRWDDGGCSERSKDLDEEDAERYEAIKNLREQMLAGHGFVLVSQEWGQC >KN539806.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539806.1:46413:47801:1 gene:KN539806.1_FG003 transcript:KN539806.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVGTRDSFAQSQAHLCAGMQLKPPTRAKYSQGFMPIGESDAYCALIPGLPEDLAKICLALVPRSQFPVMGSVSKRWMSFLESKEFIAVRKEVGKLEEWVYVLTADAGSKGSHWEVLGCSGQKHSPLPPMPGPTKAGFGVVVLDGKLFVIAGYAADHGKECVSDEVYRYDSCLNRWVELSKMNVARCDFACAEVNGMIYVAGGFGPNGDSLSSVEVYDAEQNTWTLIESLRRPRWGCFACSFEGKLYVMGGRSRFTIGNTRFVDVYNPNDNAWGEVKNGCVMVTAHAVLDKKLFCIEWKNQRSLAVFNPADNSWQKVPVPLTGSSSTRFCFGIHDGKLLLFSLDEEPGYKTLMYDPAAPTGSEWCTSELKPPGLCLCSVTIRA >KN539806.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539806.1:30750:32345:-1 gene:KN539806.1_FG004 transcript:KN539806.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEEELRHLMVRHAVPLDPTGLFFSLRRLSLGSMDDLDTSSEFDAATPHSIDAAPETARGGPLVNPFEDQVFDPVRPEAVDDLRAIADRMARAGYSRELADAYCGIRRDLLDEYLSALGVERLSIDEVQRIEWKHLNDKMKKWVQAVKTVVRVLLAGERRLCDQVLGVSDELREECFIESTKGCIMQILSFGDAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQANLDRLGDAIRGTLFEFGKVLQQESSRRAMTAGEIHPMTRYVMNYLRLLVVYSDTLDALLDDDADDQIDLARAEDQDQEHLESMTPLGKRLLKLISYLEANLEEKSKLYEDSALECIFSMNNLLYIVQKVRDSELGKILGDHWVKRRNGKIRQYSKSYLRISWMKALSFLKDDGHGSGSGSSGGSGSGHSSSRMSIKEKFKNFNLAFEEIYRNQTTWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRNSGKYIKYTPEDLESQLSDLFEGAPGSANHSRRRT >KN539806.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539806.1:78712:81216:-1 gene:KN539806.1_FG005 transcript:KN539806.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding YCSLFHKDYEFTKKELVYLWMGSGLIQQLEDGMTPEDVGMGYLDALTRKSFFEIKSRPRSSRDIKCRLFEEYYEERFVVHDLLHELARSASVNECARVGTSSEKIPNTIRHLCLDVISLTVVEQISQSKKLRTLIMHFQEQDQAKQEHMLKKVLSVTKSLRVLSLTANYPFKLPDAVGGLVHLRYLSLSLMWGEGNTTHSCWFPQVVYNLYHLQTMKFNNPRLPVLMEGQMEGMCKLVNLRHLHLTLVIRPMIPFIGKLTSLHELYGFSIQQKVGYTIGELKNLRDIRHLHVSGLENVCNVEEAAEIMLDQKEHLSAVALVWAPGSSDSCDPSKADAILDKLQPHSNTSKLQLEGYPGSRPPFWLQGLILINLTYIYLRDCQSMQCLPSLGHLPSLEYLYIVNMKSVECVDSSFYGSCENPSGLQSLKVLEIEDMPVCTEWVGLEGENLFPRLETLAVRDCQELRRLPTLPASIRQIEIDHAGLQAMPTFFVSSDGSSSSMFNLSLSKLMISNCPYITTLWHGCSLYALEELSIQQCASLSCLPEDSFSSCSSLKTLEIVKCPNLIARQIMLPHTMRTITFGLCANAELALLDSLTGLKYLKRIFLDGCAMSKLPLQLFAGLIGLTHMVLNACSIAHLPTVETFARLINLEYLYIWDCKELVSLIGIQGLASLMSLTIASCDKLVEDSSILSPEDADSSGLSLNLSELDIDHPSILLREPLRSVTTIKRLQISGGPNLALLPEEYLLHNCHALEELVLTNASHLQCLPQAVTTLTSLQSMHIHNAVKIQTLPDMPASLTSLHIYGCSSELKKRYQKHVGPDWVKIAHISDADIR >KN539806.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539806.1:25669:25854:-1 gene:KN539806.1_FG006 transcript:KN539806.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIFLLMALRRSFLLRRMPKTTRRVLTALVLARTAADLAFTAATMADKRPFKNLDAFFIF >KN539806.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539806.1:54580:58151:-1 gene:KN539806.1_FG007 transcript:KN539806.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHCQRQDLLLPPLLLLMSVFVYIPLAGAAEWSRNVPALIDFKFLDRKSCILQHQQFSPTGSVLGSNLKWMTSQGGVPTFGNWSAAGDTPYTQKFENLRRSKKTAAGVYSNPNEVITETPDQPPPPPLRSPLHPSSHDALNQRQRYRSAGMQTPDRKASSSDGRVPVTPGRSRLKQGGRGFEPVQILHLSLISICTFRNDVHIALDEVTVPPFGDWDDANAASGEKYTGIFNRVRRDKLTPNSSVKQQPPSSPSSGRRQEHKVQQCHWRQFSVINLPVVRYFVRNEYCLHDEETSEIHGGY >KN545250.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545250.1:22:216:-1 gene:KN545250.1_FG001 transcript:KN545250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMLLLLPLLSLIMSSGSLALNQDFCVGDLARGDTPAGYPCKPEATVTAEDFCYRGLATTGPT >AMDW01039039.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039039.1:74:658:-1 gene:AMDW01039039.1_FG001 transcript:AMDW01039039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQNDSVSEFEIWQGLANLYSSLSIWRDAEICLQKARALKSYSAATMHAEGYMLEARDQNKEALAAYVNAFSIELEHVPSKVAIGALLCKQGSRYLPAARCFLSDALRIEPTNRMAWLHLGKVHRNDGRINDAADCFQAAVMLEESDPVESFRSLA >AMDW01039087.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039087.1:43:807:-1 gene:AMDW01039087.1_FG001 transcript:AMDW01039087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKVIGHIKASRIAAILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLG >KN543597.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543597.1:4052:6571:-1 gene:KN543597.1_FG001 transcript:KN543597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIKVLSLSYHHLPSNLQMCFRYCSIFPQGYRFGKKELVEMWLGSGMILQTTDETESLEDIGGGCLDELARKSFFEFTSEKKEGVVLEEYYAMHDVLHDLAQAVSSGECLRVGVIRSMKIAKSVRHLSVRIINSDHLKELCHLNNLRSLVIEFVGDDSSTNYSIAFDEILKSFKSLRLLSITAKCWFDMPDAVSKLVHLRYISLFSTKRSFLMSMHKLFTLYHLDTLKIMEYSEGKMLKLNGMSNLISLRNLHVPYGTISSIPRIGKLTCLEYLDAFSVQKKVGYTVSELKNLAQLRHLRLREIQNVDLSEVLDANLKEKKQMRTLSLHWSSHEVVAENTDDLVLDNFQPHSDLEELYIIGFSGTKFPFWMTNSYLENIVLLKIINCCKIEHLPSLASLCSLKNLYLQNLSVLASMGCVLHECDKIPIGCSHSFQRCPSSIDILEGMDDIGSKEFSFPPHLSTLTIRGCPQLMKLPTLPSMLKQLKIEKSGLMLLPKMYQNYNNIEGSLASPNESQLTNVFIDDCLNLTSLLQCFLGQNASHMSLKGLRINQCEKLEHLPPNGFMGLVNLRMLEVLDCSMLKDIGMEVKLLPSSLEQLSIKSCGELENMLIDSLAGLEALTFLELTNCRHLISLPSVKTFETLTVLRILKLSECPELSSLGGLQCLKSLRQLTICGCCNLTKISSLQPPSQCWSCQEDTIENSLKLGTLVIDDHSLLFVEPLRSVRFTRRLSLLDDPIMTSLPEQWLLQNRMALWILWIWNVKSLQCLPSSMIDLCHLKSFTLFNAPLVNSLPDMPASLSDLTISSCNTTLVERCRKGGYDWSKIAHVPLVRINAPV >KN539421.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539421.1:28131:28643:1 gene:KN539421.1_FG001 transcript:KN539421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFGLETPLMTALQHLLDIPDGEGGAAGKQGATGGPTRAYVRDARAMAAPPADVKDLPGAYAFVVDMPGLKSSDIKVQVEEERMLESCKYLRMERRMGKFMRKFVLPDNADVDKISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKVA >KN539421.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539421.1:68430:72765:1 gene:KN539421.1_FG002 transcript:KN539421.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKNQRKPGEVSWPKVVLKKWLNLKSKDSEFNADEEDDDDGSDVDEQVCNCFWFCYFDYILSISPAEPEQQNVAATPRRLTRLNHFTAAADADAAMDDSGDEHQQRTLLKTLSRSDRVGLAWPEQPLDLLAKHATATASTTAPSSRSFRTYNSFRPSRVAAAAADQSNDDLAMIADLDMDLAAAARKRRSPYVRIVSKQMVGVFLTVWVRRGLRRCVHNVGVSTVGVGAMGYIGNKGAVSVSMSVYQTMFCFVCTHLAAGEKPADLHKRNADVQEIHRRTHFAGGGGGMARDIYDHEKIFWLGDLNYRIDVAYERAHELISTMDWTQLAEKDQLKRELRKGRAFDGWTEGVLEFAPTYKYELNSAKYIGDDQRGGRRTPAWCDRILSFGKGVKLTSYGRAELTMSDHRPVVATYAAEVEVFSSRKLQRALTLTDAEVEAGNVVAVPDHLAGF >KN539421.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539421.1:13895:16552:1 gene:KN539421.1_FG003 transcript:KN539421.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTEWDDIQRRLGNLPPKPEPFKPPAYAPKVDADEQPKSKEWLDAREPDELEDLEDDLDDDRFLEQYRRMRLAELREAAKAAKFGSIVPITGSDFVREVSQAPSDVWVVVFLYKDGIPECGLLQTCLDELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSEPVLNDGQSGGDSSRDNVIEGVRRKFIEKVVAQHEEREEDDDSD >KN539421.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539421.1:29655:41908:-1 gene:KN539421.1_FG004 transcript:KN539421.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAGLQTDILGVIVKKLAVPDYLRFRAVCTSWNHLCRDVFNCPRIDPWLMLPTNALNDSKFLCVPERKNQTIRLPSTVTIFGSTWIPVGSSHGWLIFYSPSHGTMQLVNPISGMKFNLPPIGRRAFSKAMLLDMNDTNFTVAVILRDQKGYKVTRKGSNSWSSVESMHDLVDIFKHRRQLYTVDIYGTVQLWAEPPRSWPDEDAPQVNDPYHNLIHYPHQHGKLNCLVESPAGDLMRVKRQSNDKFVVWILDKGTFSWEKVDNIGDFALFVSYYSSVCYRAKDHLNLKSNCVYFIDSYSNLCAFNLENRTKELVEALEPAHAHGHPEPHAVRRRPEGQRYGRVGYLDTDVGQPEFSPPGCLSFHVVDEALTDLLNPTLRECERCCFFGDISSKRDPETYLNCLFHLYDYFVEKYRSGASEPLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISAQSKNLPDGMFWLDCGQTGPNMINIDAPFHDALNRSLLIQKDSYGMRERRLIEYFKQCFPSDISLTTNKELAYALTSLPPYEVSISDVMVIHLHCQVPPSEVWHSLNATIVGLAISCGTTEAGIIRGIDVQRGILYVITPVPLEHLQRVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERDINT >KN539421.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539421.1:53379:54613:-1 gene:KN539421.1_FG005 transcript:KN539421.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFGVDPGCLAADFPTVPFAAAMDDAEEVLFYRHVAPVPWLRLQSYLKIGHYKKMAKAREVLDASIAELIALRRERKAADANATGDADLLTAYLACQDEIGMDGAAFDAW >KN539421.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539421.1:7227:13039:-1 gene:KN539421.1_FG006 transcript:KN539421.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLESSVSFEAKLGNRLESSVSFEAKLENRLESSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSLTNFMQKNMGLSPDEKQLSVSGQNWGGIDINVTLSIVGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISAHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGATGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASESGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERGNMKNNNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >KN539421.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539421.1:73331:77610:-1 gene:KN539421.1_FG007 transcript:KN539421.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFTQILTCFCCLLLLLLLKVTMASAKPAAEQKAMEGSEQEALDEMEKKVHKYSRGKAAYLGTLRDKKLKGQLAAREKLYGHSAKAAVQTEKWLLPTEGGYLEPDDDGLEKTYRYQQTSLREDVDLLSSRKPFDMILPLLGPYTLGYTGNGRYMVVGGRKGHVAMMDMLNLELVKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKHFLLSSINSFGQLHYQDISTGEMVANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAISFDRGGHLMATAGVDRKVKIWDLRKYEVINSYAARAQSLDFSQKGLLACSNGSQVEIFRDTGGQDYKIYMKHRMVKGYQIGKVLFRPYEDVLGIGHSMGLSAILVPGSGEPNFDTFVDNPVETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPARKKEKKTKKEIEEEMEDAVEAAKSIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLEQHKENDGRPDKKQRVSEEIELPKALQRLRFVQGSMLADGVSLFVTTAIGITN >KN539421.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539421.1:45579:46731:1 gene:KN539421.1_FG008 transcript:KN539421.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLPPSPPPSKESDGARVAAVKEAKPQGSNKRVANGVEDDYARAEASKIQEKPINHEEIEEQVSKKQRSNTCRESKDPECRQSCSAISAKQSEVEALEKACKAIDLNEAAREEDSWDGERVAGEPTQEPMAAAAAEEEVEEEDDGVHCAQQLTGKYKIVSRGQK >KN539421.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539421.1:23063:23326:1 gene:KN539421.1_FG009 transcript:KN539421.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGEMGISKPTEIQCVGVPAVLAGTSVVLGSHTGSGKTLAYLLPLVQLWCKEQIWALGC >KN540995.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540995.1:1461:3737:-1 gene:KN540995.1_FG001 transcript:KN540995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGSGRSERGTHAPSQQEGSVSSALGKEASLDVVPLYLDETEWHGEEKGTWLRCKHGFSPARRVAWEGEDTGRRFLGCPLEEDEDQCKFVQWVDPEWDSRVKKTLLNMWEMVDRGVKREASIEADMCRTLALKDHLQKQKNDELAHRTALLGMREAQLKELISIISMKK >KN539421.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539421.1:1552:5521:-1 gene:KN539421.1_FG010 transcript:KN539421.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQEALRDYDGGGGVIDQIEEAVKKATMEVNQMISQPVTVITVDPAGNNGAVTTLIAPAAAAGALTYGYMRWKGISIASLMYVTKQNMANAVASMTKHLEQVQSSLAAAKRHLTQRIQHLDDKLDQQKQISGQIKEEVTGARLKLQDIGSEMQKIKQVAHGLGGKLDSIEAKQNYSLAGVMYLVEFIEQNGGRLPRSVEHLQRTARLSGITGDQKQLQ >KN539421.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539421.1:57157:60742:-1 gene:KN539421.1_FG011 transcript:KN539421.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADEVTEAMEQVEGERQEADAVADEAAEVMEQTEGEREEATDAVAGEAVEVMEEVVEGEREEANAMADEADEAAEAMEPEGEREEEADAVADEATEPMEQKCQHTACLMSDNNLISTDNTNSLEIFCWTELLPNLHYIHDKVADVLREAGCTFMVIGPWFLNMNFLITCDPATVNHCFNANFKNYPKGSEFAEMFDILGDGLLVADSESWEYQRRMAMYIFAARTFRSFAMSTIARKTGSVLLPYLDHMAKFGSEVELEGVFMRFSLDVTYSTVFAADLDCLSVSSPIPVFGQATKEAEEAVLFRHVVPPSVWKLLRLLNVGTEKKLANAKVVIDQFIYEEIAKRKAQASDGLQGDILSMYMKWSIHESAHKQKDERFLRDTAVGFIFAGKDLIAVTLTWFFYMMCKHPHVEARILQELKGLQSSTWPGDLHVFEWDTLRSAIYLQAALLETLRLFPATPFEEKEALVDDVLPNGTKVSRNTRIIFSLYAMGRIEGIWGKDCMEFKPERWVSKSGRLRHEPSYKFLSFNTGPRSCLGKELSLSNMKIIVASIIHNFKVELVDGHEVMPQSSVILHTQNGMMVRLKRRDAA >KN539421.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539421.1:78820:102349:-1 gene:KN539421.1_FG012 transcript:KN539421.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREVDDGPAVLQLYRWKRSQPHLELSKFREAFISPTRRLFGLLSDHGDLILSMTNVDQSQVESPNTLSDSCSPVVFDCFSSIPRVKSLAWGQCYDASSQLEDSIFNEVLVVSDDDSITLHGFCHSYKSTLTDNSKLDTGDLHGEWKEWRPTQCSVSEDDEAGTKNSFRSFLTTISGSVFDGKYQAKFPLKSSLPHSAEVVSFSIYDITLSFIKFWSSNYPSKTWMQNDTKSQQSFLGHVPTTETSCNCQWECLKVLPSSSGYLIGLVLIRNEPVSCEVHQCNMKDILVVVIELNQWGIQWNFVADLQNVYDGAVPNQQWVDFQLSDDFLACLNSGGFVVIWNVRTCNIAASFSVLERCRTDIDIPMGSITPTVKTSDGENIYIGNHARRMFKRLVLASYSLVLAVVDEVGVIYLFYAKDILDFKTSMHGNFHPPSMFYRGDSFAPWEAAGHDIGSVTFCSHQSVQQLVYDFSERDNPGVVRPRKRRKHCSSNENQVDSWPSGFSTTTPMKDGLAYPDSMTVSNPLRRVILPPCRLQENIISLSPFGLTRIFKSHNADGNKHFRIVHTNFLMASSFLDERDIDASFLKRSSFQKDSTFTGECVLCSFQGYVYLITQDSLSVVLPSISVSSFSSHNNGIKFWQPTSAGGSACDALNLSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWMQLALHYTKISDLEQLMVLAVRFATRMIKCYGLLKQNKDAPDNSVKLHEMAFLLGVIRSIQSRISAKNQNSIRMGDDKNSSKTGAEVSLNTSLPIVVVDGVSAGQSGGIESRDRQESTPAMFELVSGSNGQLALTPVESSISATMHHAIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRRQIAEEMKKRGYMGSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAAKIVTLPGDDKPVLVLHIHNHSPIECGDVDGAVIGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDQRTVDRIILDQSCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMVQELAKKHIFMKEYWQNTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSIPSLKEATGDCKWAQWLLFSRVKGFEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYAPTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKTLLSSCFGQDGYGCLNCSPPNAGGDTSLLQMLPCWFPKSIRRLVQLFEQGPFGMQLLSNAPSSEELFTHSVTDYIYNTAGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKTILHHLEKSSLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSARRKSSSNVSAGSDSSFFAVDGDNSMELFSVLAICEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFASGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGSSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRTTERNGLRDNNTPSFHQHLQLFESNGEGVHNTRAKRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALDILQSLGSNTTDPSEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFIPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNSWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPLQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFSDVLDACNSVLDKVPENAGPLILRKGHGGTYLPLMRAQVGVEFISHNLVRPSSNRRRCRVRARASFDRVPEEARRILHRLAGELWGGDVDPAALAVSQLKGAMTNEVFRITWPGGGEGDHRKVLVRIYGQGVEVFFDRADETLSAADLRDPEISSLIAKKLREFHDLDMPGPKNVSLWQRLRRWLEEARGRCSPEEVTQFSLEKLGDEIAMLDIALSGVDQRVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMSADYHSATPHVLDFTKYPGIDEQRRFVQTYLSSSGENPLDAEVEHLLGLIAKYSLASHIFWGLWGIISGHVNKNIDFEYKEYARQRRWLEEARGRCSPEEVTQFSLEKLGDEIAMLDIALSGVDQRVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMSADYHSATPHVLDFTKYPGIDEQRRFVQTYLSSSGENPLDAEVEHLLGLIAKYSLASHIFWGLWGIISGHVNKNIDFEYKEYARQRFDQYWKTKDQTLGSKSN >KN540995.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540995.1:20408:20971:1 gene:KN540995.1_FG002 transcript:KN540995.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKGLRSQVEDIPHDSIATVDTNTQNDVAGRVENAEISREPPPPPKSRTKGRTRDPDEEVQLGAKGKKMCTRECGWCHLRDGHYANTCPTNPANFDKVMKAANRVKGKRGRPRGSDRGRSCGTNAGCKVSTAVPRTTRRSTREGPSLRRCLDDEWAEVAAESAGYTDDDETNADDDIGSYESDSA >KN540995.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540995.1:18322:18609:1 gene:KN540995.1_FG003 transcript:KN540995.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKAYVKLRHNYDGGALSSVVYDVVELQHNHPLTPSPSAVKHMRARKNHDDTVMQFVDTMQESHVPQSCIMVVLSELHGGQDNIPFTSRDVENR >KN540995.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540995.1:7827:13019:1 gene:KN540995.1_FG004 transcript:KN540995.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRISFANSQNECEVVLPQTLASGGASSSRAVGEAAQSKPKRRRRATSAGEGPSGDEPSETKGLNLTRCSAALSAQACRALSVVHHEKLEEIGLDAVACMSLESLEQPDLIRWLMDRTDPDTMCISIDDDRKIQITPRTVLYLDNILPPRDIGLDLTFTPPATVGEYLLRQQACRQGKGADVEDIRAPAYTFPNMSTILGPHLAGLPSDQRLGLLESLAEYDRQAKESALEIERQFRLIVDKQHMLCQRVIDVLQANRAAQPPPVVPQAAQCQEASRRQSDVQFTSAEINPNDSEDQQQQQHHEVADQPHPGSAHSPDLTQRSPIPTDSAPLTSEEVSAQYSAPDTAVEPPSIEDGGVISNVPGASTAIQTKDAPRAVDQEQHRTELLAETNEDTDDVNSTPWSQPKRVKLLEIDSSVAYGNDVLKSFSTRNQTEGLFIDAFSSILFKDDMRNMPDTFGKRIFFSTSVSYLLNSDFIKINGETHDFSPDDLAENLRNYIQNVQTTKSQMIMIPVLHHNHWSLYAINIAHRQVDIMDSNNCNLIGTLESDHHRALSKRIVKRLSDALHEVAPMSFCRFGGFRKNFMKCPKMQICSNDCAFYIMRFMEAYDGNRDSIEALSIPTDSSLVRSSILHQLMFSEYNQAAPLHPDIEKFRRSDVADPAA >KN539421.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539421.1:23900:26680:1 gene:KN539421.1_FG013 transcript:KN539421.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALHIDGDDVVLDVGNLALAGQASGGGGGGDDGDAADNALMRLPCALNAVGVLTGTMAAAAARVAEGLQSQSVDHSSFLVLNMVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVTLATRIEEAMKKNESLEALTTNNIRRAAVNPQYPSTKGRSSGLKVVNQKGRRGVALQTKSSRVVKDTTSSRRRSPIRSQPRSKSTSSGKAKPVRSAKPSKSSSPSPKVAKSRPRPEGRKGDALNKLGSKLSVVGFRGRSSGKSAQAS >KN540365.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540365.1:49128:53382:-1 gene:KN540365.1_FG001 transcript:KN540365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCSRTCRMCATTSPISRLRFYFAVIMMAIVCCLMLQTYSNPLQDNPAYSVVKQYFVNPDDTVCQKAIVHKDGPRGNHFRRAGPRQRVFFESDEVHACIVTCGGLCPGLNTVIREIGGYRGFYACNTIDLSPKSVNDIHKRGGTVLGTSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAGSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYLEKRLKENGHMVIVVAEGAGQKLINETKESMGKDASGNSILLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVEDAKMEEERASKFFDGPPPNPKVEDKVASNGKAVK >KN540365.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540365.1:35139:43618:1 gene:KN540365.1_FG002 transcript:KN540365.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIERLDWKRVNSFLGLGELIILAWSKHFYPRGNLSNQSNNQQPDYADKLFLNILVPQDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTVFRPQG >KN540365.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540365.1:16051:16434:-1 gene:KN540365.1_FG003 transcript:KN540365.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRTVFDMVRVVLEAIDFVAHAGTHTRLSVVDHICFPPSPRPPSATSPSSAELWPLTTETSSKVSAAPRSSCSSILPPRKMVDSNGELTAAMPTSLYGSMHLEGRTLASIRWRFGYFKPNTFGDQ >KN540365.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540365.1:580:3449:-1 gene:KN540365.1_FG004 transcript:KN540365.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGVAVAMAVAVPEIEIIEIAENVCNLKKQEADWMLKIDIVERGDKLEVIGYADTDVAEFVYKKKPSADQLVKFLCKDLSEACVVDPPPVPKDRVPGEPFAAKPSKDAEMDRILKSMEGIPGAPSMKMYSRDDLMKNNFGVDGDDDDDEEDEEDDFPKNLGNVFKDKGSPKKDLKQQVVKQIKDTGKKLKGHVNKVSKVVKKWWQGKKKPSISSKTEL >KN540365.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540365.1:11439:12399:1 gene:KN540365.1_FG005 transcript:KN540365.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNIVVLPTVVSRSCHLTVVVEPFDKVVEIKQKVESCYGIPVTAQRLLYWNRELADDHDIEYYPIFDGSHILLLLHWQWSGGDTTHDMVHVTVYLPPASWGRKVMVFARREESVAALKRRIHGVQKMDMPLSECMWLGVNDFVCGGLMVMMDHWPLGAYVEFDSGVVEVTIVDCNKMEAGSSSGSNRNTNVDANDSKIVIGLLMEGSRSQHMDFLLEASPADMVATLREQLNDNFEGGPETPLLAEGDYHFELNGVAMNEELSLEAHGVVESSETIMIIFGRLPVPGRE >KN540852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540852.1:25598:26158:1 gene:KN540852.1_FG001 transcript:KN540852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPMVNLEHHGPAPEATDDPSSLVSRHIYVGLSYYVTVVWEMQLESVEAVRGVVGDEQVGGEILQLICPAQPARRGVCNRGPQLVAIDDDARHGAPQVAPPPGQRVRLHGLPRREEGHMIVWTRQSGNALRRSPSSSAFGWSSSSPVLVLSSQDRSQKMVELGCPGRRDATGMQSYAIRSNCM >KN540365.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540365.1:44183:45576:-1 gene:KN540365.1_FG006 transcript:KN540365.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLADRLRDVQSKIDSYLIVFPFIAHIDITRRLDQIYRILAPNDTAAASSSSSAGSSQSDQIYNILVSNDATAASSPSSAGSLQSPDVLEFARISQGDGGEEFTVKELVAATNNFANEIGRGSSNSVYKGRLRDGREVAIKSLGKTSPDHGRESLARELAILFRLRHDHIVHLLGFCVVREKKRESTLLLSFRKKKKAAERQAGELLLVYDYMENGSLADQLHGHLSSSSSSSPVMASWKMRIKMLLGVSRGIQYLHHGATTTPIIHGDIKLSNILVDSSWVPHLTDFGAAVINGSERPSTAVHGTVGYIDPVFYSTMNQTRSSDVYSFGVVMLEMLTGKNTIFIDRQEGEGSRIATNLVAFSVPIIEDGELGRLLDRRPAEPTARQLEALEMVARTAARCVRLQRKERPAISEVVAILETALDLLRDG >KN540365.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540365.1:5080:7945:1 gene:KN540365.1_FG007 transcript:KN540365.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFDLEIASRELVRASRPPPGFPPVLAVSNLDLILGPFPIYLVSVYAPPPGGVAAVVSAVRAALPAYLSHFFPFAGRVVRDPATNIPEVACNNAGAELVVADAAVPLAAVDFEQVDRSIGLMRVAFDASLPLSLQLVRFACGGFSLTVATNHLLADGRAFIVLLNALGEMVREGRLTSEPLLDRSLLMPRSPPRFSPSLEEEFSRGGGRPR >KN540365.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540365.1:22768:29889:-1 gene:KN540365.1_FG008 transcript:KN540365.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTHGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVRLPPPHGLTSQGSPNHFRRGYHHLTRLNGYRKEQEKGAGRAPPPPHRRCADEMSLSHHLPPPPGDPYYVYAPHPYPDPQRQGVLTLFVAGLPDDVKPREIHNLFSSRPGFDHCLLEYTGRGNQAVAFVSFVNHQAALSAMSALNGTVFDPDTGDRLHIELAKSSSRKRHGDGGVYRVVDKRLKRKERAADHENAGDGGNDDDAWGEDDNGGNDVVVIDDWCFLSCTVVVNQGLSSIGGNLFQIYYLLEQDQPDKLSSDIPPCSTLFVANLGHSCTEEELKEVLSKQSGFHLLKMRRRGGMPVAFADFTIYDKLGQNGGSITLRRTII >KN540852.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540852.1:31:387:-1 gene:KN540852.1_FG002 transcript:KN540852.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPYADDGGDRLSALPDCLLHTVMSFLSARQAVRTCVLSRRWRGLWLSMPCLDIDGDEYRATITERWERLENFTTSLLFAHNAPFLDRFRLHLPNFQRITATQQHHGRQMERWILRGF >KN540852.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540852.1:18157:19273:-1 gene:KN540852.1_FG003 transcript:KN540852.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMVLAGSLPDPGVASESATASQSCASNQLKGHMFTPPSSSLVSREPVTTEAGRAGDENEADMDDENDNNTYFEYLRHISLGKCKKNSTSGSKSPKKKRQKGDYAGSPIITIAFLQHRVGSKCSPGDFNANIKDLNPDQKEKVKILGFGGLLNIK >KN540852.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540852.1:9769:10461:-1 gene:KN540852.1_FG004 transcript:KN540852.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDIVGDEFTSSTTGSVRWDKFESFATNLLLNHDAPFLDRFRLRLPSSWHVRGGVQQRDIKSHSQPDVRQIERWINRGVRFYRPVELEITIGVGYDLKLPILGAVVFSHRLKSLRLSRLVLDRGFGYTIRSWCPVLEAMELNSCIFEFDEITGNALRSLAIDGCSRRGLQVPDDALCVTAPKLTSLRLKFSIHDFSVFLVDRMGFLVDASICKMFSSTTNFGNNVCNL >KN540852.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540852.1:3828:9607:1 gene:KN540852.1_FG005 transcript:KN540852.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTARPLLDSVVMSATGEIERNRERFSARSLVAWQVGANEGKVELNTFADDVRSAFRIRLSTASGRVYLFRPWDESLHGTEARFRYRARICIEGIPMHGRTEEAATKIIGRKCSVQYVEEYSRRRNYSCTYDLWIWTDEPRAIPRGGTFAITSADEEGLSTDIPLPDLEPLRNPPPSDTKKGWTYNVLVHVDTLEDLLTRKARAYKWEYDVQDDGTRYREYPLPCRAEPDPSRGPTDDDDDKDRDKPKISN >KN540852.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540852.1:20352:22027:1 gene:KN540852.1_FG006 transcript:KN540852.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSGDSNGGTSSKRSQTDVASQQNGEPLDGFMEDEGFNDDYVLTKEDNEAVQFVKKNYDKSVFVDLVILFCQNIVIVETCGSKVKQARTSK >KN538769.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538769.1:220059:220856:1 gene:KN538769.1_FG001 transcript:KN538769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDMIRNVVGIVGNVISFGLFLSPVPTFWRIIKEKDVKDFKADPYLATLLNCMLWIFYGLPIVHPNSILVVTINGIGLVIEAIYLTIFFLFSDKKNKKKMGVVLATEALFMAAVVKTKSVEYMPLLLSVVSLLNGVCWTSYALIRFDIFITIPNGLGVLFALIQLILYAIYYRTTPKKRDKNLELPTVAPVAKDTNIVALVSKDDDVNGSTASHVTINITIEP >KN538769.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538769.1:268562:268924:-1 gene:KN538769.1_FG002 transcript:KN538769.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPGLLLILLAAVAAVATTSRAQWLGGWNVIEDVAGNNQIQRVGAWAVGKHNQLGTNDRLQFVRVVAAEEQVVQGSNYLVVIDAASSRKKTRELYVAVVADLVGATTYQLSSFKPATK >KN538769.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538769.1:158058:159892:-1 gene:KN538769.1_FG003 transcript:KN538769.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVEVYEDDVILVMKEEKVSRDEALRLLLEKWMDAKLKLEEKLNRVLEKFEEMEAHCGIEDTTVVKAATTDHKEASLPTLKTLSSPMPTKCSTICFGPDIMSDLTMAAAVVCATTSMASVELVVGEDAACDPYIDTPGHPKETHTKCLMVGLDVNGGTNRAVVGFQTGTSTRQFVRCALFLPDGSWNWGDNGEIEQILVLDGWENKEFKYCILELDDKVDDKGAYGKGFLEYVEGYDVKMLEFLQSVIGINSRYHAESCHKRMMLQKRTEGVTLQCMRVIQLPYDMRKEEASINGNIKGRSLIDGIRKPRNRLNHMHMETEPTMVVTVSNITHCGQSLLASNSLQCFYHASQRVSSN >KN538769.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538769.1:233792:234256:-1 gene:KN538769.1_FG004 transcript:KN538769.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDLPTMGDANENLAQQGDANGNPAHQGLPPRHLIIPYSVAAAMANRPIRLASQARVLSGGGGAAAQQPPTQRAIAAQRHQPSRGPWSRIVPSLLPDGKSYHIIDTSFTSEEAFVPAPPLPLLVSAARRLAAPLPQPIATMFVWPVPGGLCR >KN538769.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538769.1:242414:242812:-1 gene:KN538769.1_FG005 transcript:KN538769.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARRDAPARTSVGLARVLPLNVGLAGEQYADDHPRACIRNPVVTLPPYIHPICTRTITSTSSWRIFPVIHVSGRRSDHLRSCDLFSPSRPLLERAADDMDGLLEERSRSRHGRVQRAIIDAAPPLLLER >KN538769.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538769.1:153101:156446:1 gene:KN538769.1_FG006 transcript:KN538769.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNITSNSPKPKAWENLVTKMKNKCKKKVTKAQLEYIWGQCKKRYQLWVWPESQATGLGRDPLTAAIVADESWWECQNGVKKGVIAFKNDPLKHIDLHHAVFSTRTVVGNHSAVTGADQGPPQQPVEWQPIDIDELTAAYTPPPPAPSTYGQSSDSKGKRKASGKTYGSSNKRTRSSDWGDALDRLSVLRMASMESHAKQMDAWKASSPDGCMELVEKDGHQPGSEVWFMAARLLRDASNGHCFMFARLTDPDDRLRYILTSGNGNAEMSSNAGPYGWADGHTSSEEEDAIIDLLADEIRRNERAPNQIPVQTGISWMMDTLANRRQCRAMFCLTAEEIHSLHSLLVTSYQLVDTGGISSLEKLGIFLFMMAGGRSTRDANNRWVRSNSTVSIYFNEVFDCLDKLAGKILRPKDPNFRTSHRMFQTEEWYKPFYQSVGAVDGWPGSVHDQRILNEDVQYYPNDFPQIPRGRYLLVDLGFPSRMGFLAPYPHVRYHRDRLGRRQAAAPEGREEHFNHCHSSLRGIVERTFGIVKKQWKILKEIPFNPDDTVAPRIIHAAFALHNFKKDYNDDVNYVYTNPLYNGHPIPTQPGTFNAMYYATNNEEAMSRWRDLIANDVFSRSQR >KN538769.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538769.1:275909:276121:1 gene:KN538769.1_FG007 transcript:KN538769.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAVSFHLCLLLSSTSSLRLNPTTEDTANHGRRTAYHFQPAKNWQNGNQGLIFVINRLIQLRI >KN538769.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538769.1:240444:241238:1 gene:KN538769.1_FG008 transcript:KN538769.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDMIRNVVGIVGNVISFGLFLSPDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLAAEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVLILYVIYYRTTPKKQDKNLELPTITPVAKDTSVAPTSKDDDVNGSTASHVTIDITIQP >KN538769.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538769.1:169319:185999:1 gene:KN538769.1_FG009 transcript:KN538769.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPNIKSFIDCVTATPLENVESPLKDFVWEFGKGDFHHWLDLFNHFDSFFESYIKPRKDLQLEDDFLEVDPPFPREAVVQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIVLVQASHDPESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGLTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKGKEVESSLPPPDMQPVHSEALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFRRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCRLFSEKKGIEAVLQLFKLPLMPVSVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLVNNDHVKQSPLLKALSSLEGLLSLCNFLLKGNAFMVSELAFADAEILRELGKVYIEVTWQISLLSDSKVEKQDMEQDDVPGDASVSNLSERDSDDDTNAASVTRHMNPVSVRTSSISPWNMEQDIISAVRSAASIHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGESSQSHDTIKKSPDVVVSELLTKLGHTMRSFLSTLVKGLPARRRADSSLTPASRSLVIALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRSCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSISEKVSHNSWLLDTLQSYCKLLEYYVNSSFLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRILQSQVLEAVLPVWNHTMFPECSPSLITSLISIVSHICSGVGALKQSRAGVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRNVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTEASKEDGCNKNGPSVIEDKGVVLLPLDDILAVSTKLFASGDDMAFPLTDLLVTLCNQNKGDDRQRVILYLFEQLKRFPSDSSVDAGALYSFARLLALLLSEDSSIREIGAENGVVPHVLNLLENLKSRTEKTDQTWNSISALLLILDNMIQYAPALDIEMPEGTSKVSSDASNADCKVNPSVFAEKKTETDYSATYPNVHVFEKVMGRSTGYLTDQESQKILLLCCEFIKQHVPAIVMQAVLQLSARLTKTHTLAAQFSENGSLASLLNLPKTCIFPGYETLASAIVRHLIEDPQTLQSAMELEIRQSLSTRGSHASRSFLTNMSPLISRDPVIFMRAVTSVCQLDCSGGRTNVVLLKEKEKDKEKQKVSTTESGALRNEPVRVTADTKTIDTVNRCSRNQKKVPTSLSQVIDQLLVIIMSYSSPKKEQRSDGYFMLSPMDVDEPNTKGKSKVNDEQNLDGSEKSALMSKLAFVLKLMSEILLMYVHAVGIILKRDTELSQLRGGDQVAGHSGLLYHVFNLLSSDRSADVSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIMKAFNYFIDSASSTSRGSLIPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSGLLKVIDLDHPDAPKVINLILKALDSLTRTANASDQIQKSDRYAKNKLTGSHEQTNVANENVIHEQGTSNGHGTIDTVQSTRQQVQELSHDDGNNNAGQDQPVEQMRLDLVENTAGNSSTGGVEFMREEATEGNLMTTTTDAGLDFSAQHQADDEMVEEEDDLGEDGEDEDEDEDEEEIAEEGAGLMSIADTDIEDQENTAIGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHLRVSRGRGDASGFIDISAEAFRGVGTDDMFNLHRPFGLERRRQSGSRSFTDRSRSDGNAFQHPLLSRPVQSRDGIGSVWSSSGTPSRDLHTFSFGTSDIPFYMLDAGLPPETSAPVFGERVVSTAPPPLIDFSLGMESLRIRRGLGDNLWTDDGQPQAGNHAAAVAQALEHHFITELNVSTFLNNAIPYTGNRVLDMQPDQTGDDVDDDLPSQDDDISEHVTTDSPALPTSSPQQFGTTNQANGDVCPMNDLICQQSADVADVRTEEEMHQIADDMNVIPQSNEDTADRQLVAHPDRDSLSGNLQSYDHVMQDEVEIPQRGQIGNDIRDPSDLESSCHALLTSTSAAPELSDAHVDSTTVNTDVDMNSIDISENQVENSAPGLDGNVVSVRLDEGAPQETMQPDQLNANNEASSTNEIDPTFLEALPEDLRAEVLASQQNRAAPTASYTPPAAEEIDPEFLAALPPEIQAEVLAQQRAQRIAHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGARRLPTDNQTAVMDRGVGVTVGRRVISTVSAGAKGKDVEGTPLLDSSALKALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRATLIGHLLNIIKPEAEGLNGWDCMTTYRLHGCQWNIVYAQPQSANGLPPLVTRRLLEVLTYLASNHPSVAGLLVYFDPSTSSNCMILKHGKETSQEGLQSDMMQTSSEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVSNAASKVDYPPHSGQMVSTSVDENGAPIETHGEPSTMEQVPIQENSQNKDVVVPASGPQQSINVHDILTQLPDSELHNLCNILALEGLPDKVYTLAAEVVKKLASVAVSHRKFFSMELASAAQSLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDMSGNSQDQTVGQEEQSILWDLNISLEPLWQELSDCISTTEAKLVHNSSFNPQVPLMDAIEVGASSSTSPPLPPGTQRLLPFIESFFVLCEKLQTSQAVVPSDSNVTATEVKELAGSSSSPSLKTGGVCNITFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLEIDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSPASPVILWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEDFGGKIESMVTRCYTLHKPGEKNA >KN543251.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543251.1:2243:5594:1 gene:KN543251.1_FG001 transcript:KN543251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFLLQGGDCAESFKEFAANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDGFDEKSRAPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYMELSERVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENTRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRCELRAFFDVHEQEGSYPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIADRLRKKRDRAWNRLVYRAVA >KN538769.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538769.1:142952:143808:1 gene:KN538769.1_FG010 transcript:KN538769.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding APELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >KN538769.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538769.1:258816:264097:1 gene:KN538769.1_FG011 transcript:KN538769.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASTSSLRRAPTEADTANHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGALPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDARKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWLAKDGKQLLQWPIEEIETLRRKRAGLRRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEEAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNVVVGDHHGLVAPALELEPTRTTQ >KN538769.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538769.1:192964:194815:1 gene:KN538769.1_FG012 transcript:KN538769.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSHCSFCFRELNEKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSQGQDLAAKSEQPSGQGLYPAKPNSSAILVISFTLQVHKCLVDSAREQVILKHNFTPATLALECFFNLKKWFCCMQPTAAES >KN543251.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543251.1:6286:6626:1 gene:KN543251.1_FG002 transcript:KN543251.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKEVAAGPDALATRDKKVAACKSAKARLEDRFKTGKNRWFFTKLRF >AMDW01040173.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040173.1:86:1076:-1 gene:AMDW01040173.1_FG001 transcript:AMDW01040173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLKLIGFCTTQTERLLVYPFMQNLSVAYRLR >KN538690.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538690.1:532190:532471:-1 gene:KN538690.1_FG001 transcript:KN538690.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAEALAAAAAAAAAAASAASTGGGYACGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRGPPKNGYFQPPDLEALFALAPRRQASSCA >KN538690.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538690.1:583354:585732:1 gene:KN538690.1_FG002 transcript:KN538690.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYLLRSSILAVAALLLLSVNLAKGDIREYQFDVQTTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVIRVINHSPYNMSIHWHGIRQLRSGWADGPAYITQCPIQPGGSYVYKYTITGQRGTLWWHAHISWLRATVYGPIVILPKAGVPYPFPVPDKEVPVVFGEWWKADTEAVVSQATQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVEAGKTYMLRLINAALNDELFFSIAGHTLTVVDVDAVYVKPFTVDTLLITPGQTTNVLLTTKPSYPGATFYMLAAPYSTAMSGTFDNTTVAGILEYEDPSSHSTAAFNKNLPVLRPTLPQINDTSFVSNYTAKLRSLATAEYPANVPQQVDTRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAVNNVSFVLPSTALLQSHYTGRSNGVYASNFPAMPLSPFNYTGTPPNNTNVSNGTRLVVLPYGASVELVMQGTSVLGAESHPFHLHGFNFFVVGQGFGNFDPVNDPAKYNLVDPVERNTVGVPAAGWVAIRFLADNPGVWFMHCHLEVHVSWGLKMAWVVQDGSLPNQKILPPPSDLPKC >KN538690.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538690.1:507217:510739:1 gene:KN538690.1_FG003 transcript:KN538690.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 16 [Source:Projected from Arabidopsis thaliana (AT3G09320) UniProtKB/Swiss-Prot;Acc:Q93VV0] MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIIICGVFLCPLALALSILLGWHVYLIFHNKTTIEYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >KN538690.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538690.1:535038:541322:-1 gene:KN538690.1_FG004 transcript:KN538690.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPANLAGKQSLRVVVIGDPGTGKSSLVVSAATERFPGDVPRVLPPTRLPVDYFADRIPITIVDTSSSPEQRSKLIAECQSADVVVLTYACDQPATLERLSSFWFPELRRLQLEAPVIVVGCKLDLRGEEQGTLDAVMAPIMVAFREIETCIECSALRQILVYEVFYYAQKAVLYPTAPLFDQEAQMLKLRCMRALKRIFILCDHDRDGALSDVELNDFQVICFNAPLQPNEIIGVKRTIQEKLAEGVNENGLTLTGFLFLHTLIIGNGKLETTWTVLRKFGYDNELKLRDDLIPAIKRAPDQFIYTPFGIFITNITTVTLELTSKVIDFLRGIFNMFDTDNDGALQPAEINDLFSTAPENPWSSHLYENCAENNVLGGLSFEGFISKWTLMTLIHPSNSFANLIYVGYPGDFDSAFTTTRKRRVDRKKKKTQRNVFQCYVFGPRHAGKTALLQSFLKRQPSDAPPVNGEQFAANTVELPDGTRKTLVMREISEGDVGPLLSDKESLAPCDVAVIVYDSGDEVSWQRARELLVQVATRGKSTGYEVPCLIVAAKDDLDQSPLALQDSTGVSHDMGIETPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTHRQYRQLLNRSLTVVSVAAAVAVVGVAAYRVYAARKNASS >KN538690.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538690.1:554798:557476:1 gene:KN538690.1_FG005 transcript:KN538690.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAILISCLFLVFSPPLLLRNSGEPLALLWTIAFLISAYLFFLISALSRTIRPSTVSFHITYGVLLADAAGTVAGPSVGFAVMHLATGWTAGLLGYAYADHLQRIGTETAAMRVDPPTFLTEEEEASFKTDRGGRAAGFGVGCSLVSVRETIEATISAAHLLGMADSRLSTVPSWLPVGMDPLTTYLLEIKLAGDQKNARVKYDWFIFNKMIDSNAMCYKDFVDDIAKSYPWGPNETVTIGYVDMVHKISHHVTTDQDMLEMFEKFVDIKVIPMIIRIHGMNENIDELDHTLVKANICVPDTPSLATPSQVDFSQPSSSTLPSHVLVPSDTYLINPFPMAEHVGVDDECIYLDNEETVAGQADETRGEGGCQ >KN538690.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538690.1:498563:499651:1 gene:KN538690.1_FG006 transcript:KN538690.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTGSELSWLLCAPGGGGGGGGRSALSFRPRASRTFASVPCGSAQCRSRDLPSPPACDGASKQCRVSLSYADGSSSDGALATEVFTVGQGPPLRAAFGCMATAFDTSPDGVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPAMPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFSRQTKPWLPALNDPNFAFQEAFDTCFRVPQGRAPPARLPVVTLLFNGAQMTVAGDRLLYKVPGERRGGDGVWCLTFGNADMVPITAYVIGHHHQMNVWVEYDLERGRVGLAPIRCDVASERLGLML >KN538690.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538690.1:591096:593711:1 gene:KN538690.1_FG007 transcript:KN538690.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALPSPLLLAAALLLLIVQAQGITRHYEFNVQMANATRLCNTKSMVTVNGQCPGPELVAREGDRVVIRVTNNVAHNISLHWHGVRQVRTGWADGPAYITQCPIQTGQSYVYNFTVDGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPHKEVPVIFGEWWNADTEEVVNQAVQTGGGPNVSDAFTINGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNEELFFAVANHTLTVVEVDAVYVKPFTVDTLVISPGQTTNVLLTAKPYYPGANFYMSAAPYSTARPGTFGNTTVAGILEYENPAMSPSAASFVKGLPLFKPTLPQLNDTDFVTNFTDKLRSLATAEYPAAVPQSVDKRFFFTVGLGTLPCPANMTCQGPNNTQMAASVNNVSFVLPTRALLQSHFTGLSSGVYAPDFPVAPLSPFNYTGTPPNNTNVKTGTKLLVLRYNTSVELVMQDTSILGIESHPLHLHGFNFFVIGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEAHTTWGLRMAWLVLDGSQPNQKLLPPPSDLPKC >KN538690.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538690.1:611606:618470:1 gene:KN538690.1_FG008 transcript:KN538690.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAAGGCSTAAAATLAFFRLRPLGRAVRPDSARAGWHFSLYGGCRGRQVHGLVDSVLEELRSQRRGRVSAKIGLQGTKELFDNKIDKRTLQKGLLLEFQKDSERFLLAVVERPDGKKNWKVTDQNGILSSIKPQQVTYVIPGIINYNHSRIDEFIKKAQDLLDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKEMEEFVQLIKSAKALPLDAKPSKDSWLMEEKVKRKIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINIGYFPVHVNLDLYRYDVRIRYTEEVLSAAEELLVDCLDSDKHIRKDLSTLKVYAIDVDEADEACFCSFCHCIYPKRLLDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGRGCKSVTVSVILQPDGSKIVENYICVVHSIAEYSIENSIIKPTYMLTYESATELLYMNLEEEEELRILQEAASLRAQWRRSQLTLRSSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIALPYRGHPQSNTAVSAFTHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESPPYSAGDLEGMTFIASMHVKVARRLHSNNLRYWLLEYLRRQPKGKKYKALILKFIKDRLATLLVIELFKYLSIQRNSLNSHALLQFESHSNPLRQLSFTLCQHCTDPAMLILHRWDPSYSCGFNRESGR >KN538690.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538690.1:543031:546023:1 gene:KN538690.1_FG009 transcript:KN538690.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADGEADAEAVDVHLADAKTPPTTVAGDKITVTGAETEDLVRGDAIYDTSDMASDSSFPESPSGVRPPARERDSVGDDRSGSAMIRSGGPRATSLSDEGSDPIGARVCFISILFLACSPPLLRRRHSGEPLAHLWTIALLMSAYLFFLISILSRTMRPTTVFVHISYGVLLAISAGTLAGPIAGFAVMHLATGWTAGLLGCAFAEHLQHIGKETTAMRMAPPTFSTEEEKSSFEIHRSGVVTFFAVFSMLVATAMALLVKVPPRDLSLLVINLSVLEGTAIYCWAVFVAKFALFEALVTVDQLGYMMFYIGAYLLVSFLVCLMSYLVLAGDAIVGAMFFWFLMMATAGLIGYMLSVRAQYNQMVLSSLSRTMRPSTVFFRISYGVLVAIAADTFAGPDAGFAVMHLATGWTAGLLGYAYAEHLQHIGKETTPKNMAPPTFLTEEEKSSFEMHRRSVAAFFTLLSLAVATAGALLVKMPPPALPLLVTILSILEGIAIYCWAIFTAKFLLFEAFVSVHQLGYMLCYIGPYLLLSSILCVPLSCLVMAGDAIGAMFFWFVMMAMAGLLGYMLSVRVQYNKMVLSR >KN538690.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538690.1:512000:516378:-1 gene:KN538690.1_FG010 transcript:KN538690.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVKPCRLYKKRSSGGGKVAMCVRGGGDGGAGKSRPSFTCRVQRAVVKWSYDPTEMSDEYSLPSSFALCRVRTLQEYTGQLAEEEASAAEEEESPIESFPLPRKIRIKTVMSETIDLTGDGGILKTVIRRAKDDATAPSDSLPIVDGIAAIYTSWSCFSTPILQIYKSIKASASTLSTVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKSSKVTETALFFFHSATLTFEVELVACRPRKGSSVESVSEEKARLEIQNYQNRQKGTVLMSRVLHFNRELKKQREMAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >KN538690.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538690.1:474231:480745:-1 gene:KN538690.1_FG011 transcript:KN538690.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGDEGELEDELDALLGTGGAGARRRGEDTGERERELNMFRSGSAPPTIEGSLNAISGLLRGGGEAAVTVAAIPDAETLNGHGGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKVSPEETGHEPTVGRPVFSQNVGFDQEESERNDVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNLGRRTPASEHPSRAASLNSFLDNQELLNSADNQYSMHNDILEAQRAVGNVQNVSGLPSINASASQTFASVLGSSISRNATPDSHYVPRVPSPGLPPVGVRINSNEKKLNCSSSFNTASSKAVEPDDILAAISNMNMSKDGNLGDSNSISQSKFQREISDHQNISLDPKAVQVNKNQHSLMLEADTDYLGIPPISQPSNPSFSDINKNVSGLANIRNSTNTRIDGHAEMQRSSTLSTRSYQKSPSSSNASPGGSPAQHQNIDNINSAFLNYGLGGYPLSPGLPSMMMNCMGSGNMPPLFESAAAASAIASLGADSRNLGNNILSSPTLSLSDVHNLGRTGNQTATGLLSPLSDPFYVQYLKATQYSAQGTANCSDPSFERGFMGSQYGDLTAVQKAYIEALLQQQKQYGMPLGKSTTSNHGYYGNLAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLGGWNSDPSGYINDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVDEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSAAQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDPKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLSALKKYTYGKHIVARVEKLVAAGERRIGLQAQYPS >KN538690.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538690.1:575457:580532:1 gene:KN538690.1_FG012 transcript:KN538690.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSARSSRQRQGSLLGGHGGLRASSPPLLPRGELGFLRSYVTSVIGNRAAVATGAGKGGDWRFLLASQQFRRLFSDESKKNYEKHSEEENKGKGDESDKSDSKKQSSSGDQWNFEESIKQFKDMIAPLFLFGLLLLSASASSSEQEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRSSPSIDRIQDSDIHITTSHLPGIESPSSYKYYFNIGSVDSFEEKLQEAQKALEIDPHYYVPVTYTTEAKWFEEVMKYVPTVLIIGLIYLLGKRMQNGFTVGGGPGKGGRSIFSIGKVQVTKLDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCSPSIVFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEGTLITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMSKPYSSQTASIIDTEVREWVAKAYEKTVELIKQHKDQVAQIAELLLKKEVLHQDDLVQVLGERPFKTLEPTNYDRFKQGFQDEDSNKNAELSNADGASSLGEAVAS >KN538690.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538690.1:598835:600946:-1 gene:KN538690.1_FG013 transcript:KN538690.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDIDEKFEHYPVNADDLLAAEKPFLEILADQSPYSGGSSVESPDGSSAANSCNSLSPCNCSSSSDGLGAVPQTPVLEFPTAAFSQTPQLYGDLIPTGGMVESGGAWPYDPTEFYQLQTKPVRENLLSQSSSFASSNGSSVTFSEGFESLLSPAGVLPDVSLNDFVVQNQQALQFRRGFQEASKFLPDESKLVIDVDKLYSGDEGSRFLGEVRQEKKLVKVKTETSDVEAAGHRGKKHFYGDDLDAEEGRCSKHSAQGIDTDHLVRDLMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGHGKGSSHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQVRQHAHANGDGDQRLAHCFANGLEARLAGTGSQIYKTYTITRLPCTDVLKAYQLYLAACPFKKISHYFANQTILNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERTEETGRYLSDYAQTFNVPFEFQAIASRFEAVRMEDLHIEEDEVLIVNCMFKFKNLMDESVVAESPRNMALKTIRKMNPHVFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPKDNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRAGFKQLPLNQDMMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRILFALSTWKPDNRSSS >KN538690.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538690.1:525431:528067:-1 gene:KN538690.1_FG014 transcript:KN538690.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRGLRNAGSSSSSACRFLAGFAVLLALPTLTAGLTRHYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHMNYNVSFHWHGILQLRNGWADGPSYITQCPIQGGGSYVYDFTVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGFPFPRPYKELPPIMFGEWFNADTEAVINQALQTGAGPNISDAYTFNGLPGPTYNCSSKDTYKVKVQPGRTYLLRLINSALNDELFFGIANHTLTVVEADANYVKPFTAKTLVISPGQTMNVLLTTAPNPGSPAYAMAIAPYTNTQGTLPLPPLPRYNDTNAVANFSSKFRSLATARYPARVPRAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFVRPRVALLEAHYQRRYAGVLMANFPTAPPHPFNYTGTPPNNTFVAHGTRVVPLAFNTSVELVLQGTSIQGAESHPLHMHGFNFFVVGQGFGNYDPVNDPANYNLVDPVERNTVSVPTGGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPSQKMLPPPSDLPKC >AMDW01003051.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003051.1:97:171:-1 gene:AMDW01003051.1_FG001 transcript:AMDW01003051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELPHVADIVDDGNPNPSEADKALR >AMDW01035448.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035448.1:377:574:-1 gene:AMDW01035448.1_FG001 transcript:AMDW01035448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYLAGTAFYLTRVPERWRPGMFDLCGHSHQIFHALVIAGALAHYAAAIVFIQARDEMGCPAP >AMDW01038486.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038486.1:375:679:-1 gene:AMDW01038486.1_FG001 transcript:AMDW01038486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQLQYAAETNALQPPHQFVPWVTVNGRPLGDDYTNFEAYICRAYDGELPEACRGKHLAIAQQTRASRGGK >KN544490.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544490.1:2383:3082:1 gene:KN544490.1_FG001 transcript:KN544490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAEEEAAKRGVQLAVVLPCVTVGPILQPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAHLLQMLKELFPQYPVTSKCKDDGNPMVEPYKFSNQRLKDLGLEFTPMRKCLYDAVVCMQQKGHLPL >AMDW01034021.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034021.1:28:516:1 gene:AMDW01034021.1_FG001 transcript:AMDW01034021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDEARVQEIVADAVDIEREFVCDALPVALVGMNGELMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASV >KN539560.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539560.1:66172:67436:-1 gene:KN539560.1_FG001 transcript:KN539560.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPAPPGETSRQIPSRDDAKHEKEAANSSSSSSSEEIDEDDFFQIEGPILSTQYSLSPPPAEGGNRDAKQSDEPHDPKRIPSAVFARSKSSTPTDWSITSNESLFSINVGNASFSKDHMFLYGKSGELGANDPLPPLPKQSPSSSPLKGEVATPEKPSTSKEKGDGRGLTDRNGDDNTDYTHSSSHRSDGSTTSFAFPILTGSAKTSGSLKDSHPELARQTTAQLTHPSEMRDENENKETPFPAVVMEAPKVEATPAAAATAPAPPAPPATTKWFPCCSCCPFCC >KN539560.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539560.1:25271:26092:1 gene:KN539560.1_FG002 transcript:KN539560.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKVTIFIINIAKLSLTRALAFASSLDRYRPLPPLSRNIRYIVAICIINMSIEAGVTATAPTVSAIVLTATVGCHLLKISGYSQTRLVDNGERVESAKFKAAGHTWRIVFYPNGKYSMDHGAFSFYLKLIDRSKGVDAEIQFSLLPRHGADSGTLPYSKPEIMHTFGSARRNSKCGFNWFISRDEMETLQNKYVGEDDDSIILRCDIKVVNKPAIHRIGLNDLGVFCPCDDDTCKRLHKRSLQAASGIAMESQPCLGIKGGFKRLFSYFLA >KN539560.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539560.1:72867:73103:-1 gene:KN539560.1_FG003 transcript:KN539560.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWEMVGARELDKTGGMGQWNLIKEMVGVRILLHAVLVIQSQQRAARFNPVRSALDKWIPSVVLYKSLLHRADASNQ >KN539560.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539560.1:88068:90280:-1 gene:KN539560.1_FG004 transcript:KN539560.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRSWMPATPAGGSGGGANDGRGDGEDKKKSGEVAVVVISVPVHCDGCARKLRRSVQRLDGVEEVTVDCRTNTVIVRGPKAAVDPAGIVEVVDRRTGKKALLLSSLPSANLKPPPSPEKRSSETAKKDAAEQDMGKEMSEEDMDPPEMVVVMRIDLHCEACCEEIKRRILKIKGVEEVTPHMKSSQVMVRGKVEPATLVGLIHKWTGRRAAIFRAEPQHPLPPPSESPPKVDDDNEPPKVAGSTEPAEEEETKQGGDPSPSDDAQEKKEGEEADQMKDQKEEPEEKEKKEELDEKNEGGEADDLKPPTEDDASYNGVAEESHSTKDHLFRVALPRSVVAVAPPESEKMAMNSLCYSYYCYPAYPYPCHQYYQYPQQNIYAAGNYPAMYGYYPHHVAEDFSDANPNVCTVM >KN539560.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539560.1:21130:21369:1 gene:KN539560.1_FG005 transcript:KN539560.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEGRDDCAHRLSRRVSFVETTMVHVFDRNDDMPIPKEEHVASSPSQGKPAEEEEEEFMNVYVDSSYPVIAIRFVVS >KN539560.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539560.1:78738:78974:1 gene:KN539560.1_FG006 transcript:KN539560.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDWGPIIAAVVLFILLSPGFLFQLPARARVVELGNMGTSGLSILVHAILYFCILTIVVVAIGVHVYSTKPDPID >KN539560.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539560.1:17249:18880:1 gene:KN539560.1_FG007 transcript:KN539560.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTMGPSNSSEDTKESMEHLKGKQDSFVVTTKNDHVDHSVLESSSMLNLGKQDEPDKVEILAKATKDVPEELETIETKSMNSVKNTSKNVGKRTRQDNGGSKIKKSSDHNLQGQGTDRSVKGRTMETTPGPTQYPRGRGPTRGNLPYGFV >KN539560.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539560.1:30106:34038:-1 gene:KN539560.1_FG008 transcript:KN539560.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRYIYQSMEPLHFPLLSPNPDTRRRVRKAVSSKERCRSESGDMAAALLPETAPRLLTPETIRAAAKQSQGIQLVPLSLRRAIKRYLRDQDKAHMNRKVLQLSASFERAKGTGTELAAAAMRGALIDDPRAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHHVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPKSIEKVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIEKHERRHDLVISSYALGEIPSLNDRITIVRQLWDLTGDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTRAAPSEMKSIVCQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLERTEAWPLDGMKFETLKERHAKRNPEDLIIDYDEQFPSEEDEEAPVNAEDCLVPYDSDAQELGLFHETEEEFEEQSVRADLGGGWGRIIYSPIRRGRQVYT >KN539560.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539560.1:93316:93528:1 gene:KN539560.1_FG009 transcript:KN539560.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDRNCDTIHGSTLDTEGDDELSARCSTHGKLRTKDMNELGMVSRLRSNDSMSRSSPEKICDESNTFG >KN539560.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539560.1:47226:48307:-1 gene:KN539560.1_FG010 transcript:KN539560.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHRYVIFKIDDRREEIVVEKTGAPWESYDDFTASLPADAVYDLDFVSDDNCRKSKIFFISWSPSLSCIRAKTIYAVWRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >KN543890.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543890.1:1024:4628:-1 gene:KN543890.1_FG001 transcript:KN543890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVVIRPLVSLLKEKVSSYLVEQYKVMKGMEEQRDSLARKLPAILDVIEDAQKGASRPGVAIDVFDEFKYEALRRDAKKKGHYNKLGMDVISLFPSRNPIVFRYRMSKKLSKVVHTMDVLVRVMNDFGFTQRQQVTPSMQWRQTDSIMIDSDKDIVSRSRNEEKEKIIKILVEQEGNGGLMVLPIVGMGGLGKTTFVQLIYNEPQVKEHFSLQRWCCVSDDFDIGNIARNICHSQEKNHEKALQDLQKELSGQRYLIVLDDVWNRDADKWGKLLTCLKQGGRGSTILTTTRDAEVARVMTMGVPGTYNLEKLGNKYMKEIIQSRAFRVQKPNSDELDVIVDKIVDRCVGSPLAAKAFGSMLSTKTSMQEWKDILAKSNICNEKTEILPVLKLSYDDLPPHMKQCFAFCALFPKDYPINVERLIQLWMAHDFIPAREEDNPDMVGKEIFNDLAWRSFFQDVEQAPPPTGYYVRRPKFRYIMVCKIHDLMHDVALSVMGKECATIVNMPDMKSFINPTRHLFISYREIHTHLDDPYTYVSPPRLSKHNSLRAMQLCRLRKLPIRPRHLQYIRYLNFSNNWWIKKLPEEISLLYNLLTMDVSDCDSLCRLPNAMKYMKNLRHIYTGGCESLECMPPDLGQLTSLQTLTFFVVGSSSSCSNVSELENINLVGELELTGLENVTEAQAKAASLGSKEKLTHLSLEWNSGGPEELVQDCHAKVLDALKPHGGLEMLRIVNYKGRGAPTWMKELSLFQQHLTELHLVGCTLCTDFPEFSHLRALQILHLIKVDKLQSMCSKMAYVEFPALKKLQLHDLESFESWVATPGKEELSFPVLEEIDIWNGPKLTSLPGPPKVKVVKLNEDMAQLSLSLITSTRYMSSLSVLKLSVRDSETTLELDQNHELSISVIKITGCCFLFTSSPSQPVVGIWKWFGQLQKLKISCSDALIHWPEEEFLSLISLKELVIAGCSNIIGRAQCLWGRGTGDTESINLQVEHGNDLTPTSVSEKPGNNYLPCLDTLFIMGSDKLAMLRNLPPSLKNLSIYHCPELRSISGNLDQLVDVSIGGCNKLDSPDWGNLPALEDFGLLNCKRLTSLPGNLGNYSALRRVLVKYCPAINMKPLYKHLP >AMDW01031022.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031022.1:26:427:1 gene:AMDW01031022.1_FG001 transcript:AMDW01031022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGEVHLNIPASKAWEMFTNNETLGKISPEMLSGAEYLEGDGGPGSLRVFKLGPALHHFVKESVQKVEKVETGRSFGYEVVS >KN539561.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539561.1:41710:42210:1 gene:KN539561.1_FG001 transcript:KN539561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHREGAEVYHGAALCAEKAVELLAEIHMPLGLLPLAEMEEVGYNRATGFVWLRQKKAITHTFKQISRQVSYAAEVTAFVEDRRMKRVTGAKTKELLIWVSLSDMFIDKDDASKITFKTPTGLGRTYPVDAFAKEDDGKGKVPAAAAANGKETAVNGKAK >KN539561.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539561.1:63029:65154:1 gene:KN539561.1_FG002 transcript:KN539561.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINKPASALRIQTSLAEGYNELDPIAVVVIAVTATLAILSAKGTSRVNWVASAVHVLVIAFVIVAGFLHAKTSNLTPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFSNVGMRWAQYVVALGALKGMTTVLLVAGIGF >KN539561.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539561.1:20815:21765:1 gene:KN539561.1_FG003 transcript:KN539561.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNLETSQTVFVGPYLTEEERERFDRDYNLFNVGFIALPVDLPGFAFRRARLAGARLMHTLGDCARQSRQRMRGGGEPECLLDYLMQETDASTSSLCWAVSALDSHPNVLARVRAEVAALWSPESGEPITAEMMSAMKYTQAVAREVVRYHPPATLVPHIAVEAFQLTAQYTIPKGTMVFPSVYESSFQGFLDADAFDPERFFSEARREDVVYKRNFLAFGAGSHQCVGQHYALNQLVLFVALFASLVDFRRERTEGCDVPVYMPTMVPRDGCVVYLKQR >KN539561.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539561.1:45252:45899:1 gene:KN539561.1_FG004 transcript:KN539561.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWASTAPIEDISFVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTQVAPSDGPFCVSSFVAALLDASLQGTRWAAAVSDGRRADPTSSYTVAQYNSPFEFSGRVNEIWMLFDAKDASDM >KN539561.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539561.1:49300:50106:-1 gene:KN539561.1_FG005 transcript:KN539561.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVDALSDSLFRREDAPRDRATLMDLVFEQVTKEQIGDRGKLTSLLQKEWSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVETMLSPETANSDDIEKMSKNAMDAWKEFKTKYEHAKGSTDSST >KN539561.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539561.1:13810:15366:1 gene:KN539561.1_FG006 transcript:KN539561.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTNPPGSMVESFHGLVVVDLRTAAPLLAAAVALYILIEQLSYHRKKGSMPGPPLVVVPFLGSVTHLFRDPVGFWDVQATRASKSGAGLAADFLFGRLMVFIRDSELSRRVFANVRADAFHLVGHPFGKKLFGDHNLIYMVGKEHKDLRRRIAPNFTPRALSTYAVIQQRVIVSHLRRWIDRSAANGGKAEPIRVPCRDMNLETSQTVFVGPYLTVEARERFDRDYNLFNHGFITLPIDLPGSAFRRARLAVPRLKHILEDCARQSKQRMRGGGEPECLVDYLMQETVREIDEAAAAGLPPPPHTSDMETGNLLFDFLFAAQDASTSSLCWAVSALDSHPDVLARARAEAVAREVVRYWPPGPVVPHIAGEAFQLTEQYTIPKGTIVFPSVYESSFQGFPDAGKFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVIFMALLASLVDFRRERTEGCDVPVYMPTMVPRDGCIVHLKQRCAKLPSF >KN539561.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539561.1:78604:79995:1 gene:KN539561.1_FG007 transcript:KN539561.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVAREDSDSILRVNHYPPRPDQLGGGGGPNLTGFGEHTDPQIISVLRSNGAPGLEISLRDGAWASVPHDGDGDSFFVNVGDTLQGPWK >KN539561.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539561.1:48121:49023:1 gene:KN539561.1_FG008 transcript:KN539561.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTE >KN539561.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539561.1:23494:28994:-1 gene:KN539561.1_FG009 transcript:KN539561.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MAGATLVNKDGAHRKRIGRGEDFQFRNISTRPTSRRDVLPVASAFDEDLGDFSLVHADGDDPLEVVVYSSESDWSDEEVVLNPTSDVELPLKSKSRFEGVEVLSALAGFLFAPVADNMKIHHFRRRGKLMSPSYRKPTPAMGGLFFVPIGIFVARRQVGSSTGVNGAAIITLIFAMVGFLDDISNLVMDRNRKIPHWMRLFVQIVAGIYLSIWLSSTNISTPYNTKFLVPLPPPLGLAFIGKIYLVLAAICSLSMGTGVTLVDHLDGLAGGVAALALAGLSVASLPICSEMSVFGASMSGACTGFLFHNRYRASIVMGRVGSFALGGAIATIAACSGMFIPMFIACSIFLLELLLVIFQVPFQMAKKHFLGTNRYPLRILPSYYFLKSWGIKEPYIVAGAYIISCLLTAFAGYLGLVSA >KN539561.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539561.1:98:553:1 gene:KN539561.1_FG010 transcript:KN539561.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTKAVAREVVRHRPPATLMPHIALQDFQLTESYTIPKGTLVLPSMYESSFQGFHDPDAFDPERFFSEARREDVAYKRNFLAFGAGPHQCVGQRYALNHLVIFMALFVSLVDFRRERTEGCDVPVYMPTIVPRDGCVVYLKQRCARLPSF >KN539561.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539561.1:53414:53626:1 gene:KN539561.1_FG011 transcript:KN539561.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWRELRKSDAFLRALYWHPDQPVPPPLDDALSCSDVLDSSVASYHGGCDAVAGGGTIVAPGFACFAA >KN539561.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539561.1:91551:97594:1 gene:KN539561.1_FG012 transcript:KN539561.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQAKEKCPLCTEEMDLTDKQLKPCKCGYEDIIIKDLTSILKELCSDKSNFQKEQAKSQKQKPVKVQSGVTEESIDPYSVRVIQRRLVYIVGMPSEFASDKVLRQHNFLGQYGKIESIIIDNIGANQQIPDSGRVYVTFSREEEAFRCIEAVNGFILDGRPLKFAENQSVRTCIKKPHQKIFIRLQHLLGMDTKGLRSGNTLPPPGDCVSRTTICNGNSKDKTCSDDYGVLHNHGNKNLGTLPATTLQEEKKRNSTPNNQQGLSASVSQELPPLGPKVHHLNDQLASCGDKPQASVQSANGNLNSKQVTAAGNGTVGTLSAKQYVNVVSQGSSGSGRRFTVLTRQTASSDTRSKATGQAGNASSDSQKLTSANNEHSDRIKISRSDNVKLVSQRPEEPSQMLANHLTGAIDKTHVDTDEKNARSDINEKTVCGIQMQLKESTAAHRSTVLQSLRDNPMSNNLPTLDVKSQISVVPDKPSDSQSASKTQLQSSNHKKTAVCSSDTANASDACGIANNQVLFPGGKHQTSSQGEDHSLYKRDKSQSGYQLSSQHPGNVFSPRLLTSLSSIDITAKENKGIKRHVCPPGFEELHRPSDSDKITSVSSPTSSIMCSGPDTLVQDSCSATDQPDFISWVSECLEDGGETTQSNSSIPSTLSSTDATWRSMQYPASCFSGASNHCLVSPYPRGLSQHTVGRIENTMNCCCSHPSVSGIANHMPEYWSGSDHSYMSTGGYDVFSQSATLGMIAGM >KN539561.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539561.1:31082:34932:1 gene:KN539561.1_FG013 transcript:KN539561.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSTKLRKFIISPYDSRYRTWETFLLVLVVYSAWICPFELAYLKNLSWKVSLVDNIIDSFFAIDIILTFFVAYLDQKSYLLVDDPKRIMARYFSSWFLFDVCSTIPYQLLGQIFKKHENGLAYRLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYPNPARTWIGAAIPNYRSQSLWVRYVTAIYWSITTLTTTGYGDLHAENQREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPGHIKDEMLSHICLRYKTEGLKQKETLDSLPKGIRSSIACNLFLPVIEKVYLFHGVSFTCMIQLVTEMEAEYYPPREVVILQNEAPRDVYILVSGAVEERVEIDGTEKVQEVLCDGEIFGEIGVICSIPQPCAFHTIKVSQLLRLNAAVLKNIIKENSDDRRVILNNLCQKMNQDHRFSTEVMEKSLQMMHQHFGEYNRSSALNQDNEKNELKANTGHSMALEWKRVTIHMYSQRNKRPEAPLAKVINLPGSLDKLFAIACQKFNNYRLTKLVNPEFAEIDDITVIRDGDHLFFMEI >KN538830.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538830.1:177714:183682:1 gene:KN538830.1_FG037 transcript:KN538830.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAAAPPEWVVLDRLPLTSIDLPKDGISLSLVATPRISQLLVSPSLGLASGSVLAADPSGILLLSSSDPFLADSKSYVLWDAVYKISFPVPATPTETGAATGLIVVPGGSDHIYIMVAELSIRGSDVSLRCFSTDPAKWIQKILQQPPQFKLLWCWCSDYALSHQGRLWWVDLLQGLIACDPFSDNPELHFVPLPSCCRNPNVQQSCRMGLSDNRRVGLSRGKLRLVVLSHASNSKSRIRLWTLADSEAGHWTLDFDLSSPVFDDIWTDLCDWKIAFFHPSKPHVVYFSQKQQLVAVDLQMIKVSEEDGVEPCSSSSRHVLAWELSPSLRTTLSGPSLAQDTNSTSHFDSVANSFHEAYSSALLDMEFHQLAITALASLNKEKKRTEENKFKLSERLLLQTFLDQTETSLKKYAHLNFYVDTGSEKVLVFAEFHTDAVGDNEPAEWGLSSCKLLRKNYQGGLYGEDADRRLSMRANKRKKSIYCFACAAEMLHPINGFDGGYAGMSVTRGVGAGDSQAGGGERRRSERREKYPSSLVNCVPVQAIEGLAVAAGKRLSFMNEYLAEDRDPVRCWVVAAAVAFVTLIGNPPLISHPPRIFSALCASEALTTPQRAVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRRLAYKEQGVTADRARFSLIAPHSFLSSRLAGIPGIKPSLLEEFGARLVIIN >KN538830.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538830.1:186839:188970:-1 gene:KN538830.1_FG039 transcript:KN538830.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKARPTPTAAAKSAVAGGGEVSTETPRRSARLQQAAKKKRSRDASLPPAPAPARHRQAGKVLCAPEISDKKTVEGTINDAEIESIVLKLWNFTEEERVPYYNRLNKKRANMALAWYNENNPEDCYEFTSVLLHDVCNFCDGGVCHVHINFKARNVTTNSEDLFFAELALINNVFDQYSGYTTTACCIIDGNCLGYVNVPTISRRDWWGS >KN538830.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538830.1:216164:218348:-1 gene:KN538830.1_FG040 transcript:KN538830.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPPSWVVFSRVAFVSDEVVPGDVTFTLSQAPLVSHLTIGQRLLPEAITHSDPNNPSIIAVDPSGGLFLVQVILRKASSVSPISVRVPGFAATVSSSPFVASYIIVDQINNIARCLPNSEILAYPANAGILFIPSNTGGRFVVAQIRLMDGGGNRVSLLRFESHVGRWSMDRIRLPTTLDRFNPDCVLSHNGRLWWVDLSYGLISWDPLIKPPALSFIQLPPGKSLPARDPEPPDIHRHRFVKVSGGNLRFVDMDHRNVDNLGMRNLSVWTLVAQADNSDSMRWVLNYDNITFLQIWGLKDYKIARLPKTLPVLAFFHPKAPCIYFRLQNSLFGVDLEKEILVDVHNSYNTAQPLPNLVSSQSFHSWELLPHLPTLSAQRNSEQGGSAGGGVLCIAPDFLQGIYLYKQFMSLYHAKMRTIRVQVEDVTAYSGEKGQTMNCASQAMEHWNTHHGTTYELDKTEHMDSNAFETFNRTGRFKVELSYFHVNFYGKISGGEAKQLFFAELSGAKEPDNVVTVTALGHDENAIAASSGEHICICCSGLMHPYAISFMGQRVPTGTHQHQ >KN538830.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538830.1:195539:204232:1 gene:KN538830.1_FG042 transcript:KN538830.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPRLQFVPLPACKKIGYHCNQEDLQRFRCITVSNGAVRFVEIGVPAKPKVIVNRLRKMVLRHGKGHHPWVAMYTLYHDDESDSWLWRIEHEVCFRDVWSHNTYEATGLPKKVPKLACVDPYNPDVVYFFIDRYVFGVDLCCASVHKCASRGVEIEKRYTMWTTYCLTHVTGSDQDLKLDKSPMSLILLGITWKASCCPAPISTIWLELGSNHRGSNLMLKSWPRTVTMVLEMYGSAQVRYTWRGQSVNRSTPHKSQKVLGPCKDQRKLGGKCAYFSTCTALEAHQRLKTNQPCPELSWSDLETQALALKDVDKSSGRISNCLTVLKQTGARYYNSEGRVKIKDFEKMDPRSILAVDHAINKYLSVGPMIGTFGVYCSAFDLYGESEFVDEIFFPHPSHWTWGHVVVITGFGVQGIYPFWEFQNSYGPLWRGAARGFGQLYAVYVLSLYGITMA >KN538830.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538830.1:162178:177308:-1 gene:KN538830.1_FG045 transcript:KN538830.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDLGLACNTAPRPTTIDQMAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPAAVEKSWYAWWESSGYFGADPVAHTLKFALDITMPLYAGEGCQVIMLCGFQESTMPALLHSKCQLIICPVYQVVVEKKLMRERKLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVRLYKQGLIYRDYRLVNWDCTLRTAISDVEVDYLDIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHSLEFINIFTDDGKINNNGGAQFEGMPRFAARVAVIEALKAKGLYKETKKNEMCLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEIIPQQYEQDWYRWLASIRDWCVSRQLWWGHRVPAWYVVLEDDQENILGSDNDRWVVARNESEANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGNLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCWKDSIMVSEYPSLVKEWADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIVQCYQSLVVTLSSISSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELGKLRKKREEIQKIRADFEDGEACGVRLELEQRLPGYDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQVLEKSLLCSYKSDTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSACIWMLSSQSQNFVNKADGQDDVRIIPKLTLFGHSARIWDCYVSNSLVITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKVVSDDVNYDSEVFSISSPTVSGQYGPMDSVPVKVIICLVHHSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSNNVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTVVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKSLECRHIFTADPRGVLKLWDIRNALFSNTLDITTSQKVPLIAVFESSFGARIMCLDAFPQDEVLVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDRFKGAHGISSVTSVRIITSTSDHLQIHTTGGDGCICFFKYDRNAQKIEFFGMRQVKELGTVQSIFPPHASEKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHNIHIHRHWAPCQDKKLLPQVFHMQFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSAGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDTLVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGNSSVMQPNQFIVDQLENDWRYLSVTAFLLEHPSTKFDVALLAPQGSPVLVLKHIIAAANTADHGDTYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCPKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSILQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPAMSYCVISGGDDQAVHCFSFTLGSLQDCAINTSLDSPDNGAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRMPTRELEFYVDFCNLIKTLKYVRQNVHSMPTCRLVRVCLNNLIALIEQWIVRSLSCSAVLVSLMADGDNGKWNCPSVRPS >AMDW01037426.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037426.1:104:481:-1 gene:AMDW01037426.1_FG001 transcript:AMDW01037426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHI >KN538806.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538806.1:85853:86128:-1 gene:KN538806.1_FG001 transcript:KN538806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADCKGHSQEANPIKASTMEVEAFMAKQENHNHRFEIHHQSPQGRAPTLAGLLIGKLKNGRRNLLLLVDLKDYMLQMLKTKASVLSPTVAG >KN538806.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538806.1:164596:171550:1 gene:KN538806.1_FG002 transcript:KN538806.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQFILHCLCPVHHDSYVYICGIILTPVDEKPPIGVVYAARGIDDLTDLIGKALLLELVSSELDPRTGKEKETVSAFAHRTMMKQDTYEAEFAVPATFGPVGAVLVENEHHREMFVKEIRLVTGADDSSAVTFDCNSWVHSKFDNPDRRIFFTVKSYLPAQTPKGIEALRKKELETLRGDGTGERKFFDRVYDYDVYNDLGDPDFRMEHLRPVLGGDEHPYPRRCRTGRPHTEIDPRTEKRRGPMYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLANQELRFPHFPAIDGLYSVGIPLPAQLAAAGAATAAASSSSTNIVGGVIPRLVRMIEDTTDHVLRFDVPEMFERDRFSWFRDEEFARQVLAGVNPICIQLLTEFPIVSKLDPEVYGPPESALTKELLESQIVEPVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPETTLYGSRTVFFLTGAGTLSPLAIELARPQSPTRPQWRRAFVHGPDATASWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRMHPVHRLLHPHFRYTMEINALARESLINADGIIEESFWPGRYAMELSSVAYAATWRFDAEALPEDLVRRGLAVRREDGELELTIKDYPYANDGLLVWNSIKQWASDYINIYYKSDEEVAGDEEVRAWWEEVRTKGHADKKDEPWWPAVDTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKNMPVEENKEEEMKKFMEMPEHVLLDTMPSKMQAITIMATLDILSSHSPDEEYMGEHAEPAWLAEPRVKAAFERFAGRMKEIEGIVDERNNDPELRNRCGAGIVPYELLKPFSTPGVTGRGIPNSISI >KN538806.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538806.1:46732:51578:1 gene:KN538806.1_FG003 transcript:KN538806.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/Swiss-Prot;Acc:Q8L3X9] MVELAPNLMSLFLTLSTSIWSQNILTECCLHGCKHDNKSISGFIAYALCAADEALRDANWLPSEDEKKERTRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVVWIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALDQSGLQADQIDYLNAHATSTPLGDAVEANAIKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEKPDPLFEGAFMPLSSPKKMPIRAAISNSFGFGGTNTSLLFSCPP >KN538806.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538806.1:10148:10276:-1 gene:KN538806.1_FG004 transcript:KN538806.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNQERRKVRSILERKIASRSEMPAPAALPYRLQLSCGVE >KN538806.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538806.1:116263:121215:1 gene:KN538806.1_FG005 transcript:KN538806.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQCGADGVDLEDDAAEPHSRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDKREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >KN538806.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538806.1:1621:4693:1 gene:KN538806.1_FG006 transcript:KN538806.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVEVEFEDGSSFHLPAEFLRVYSPAADSKIRSVAGEKITFTKTFSGNSIEDVPSSICNLVCLKSLSLNGNKIRQMDGFMEFEARRRKKFDKQIDSNVMMSSTALDEGIDLN >KN538806.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538806.1:124899:127126:1 gene:KN538806.1_FG007 transcript:KN538806.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLFPEARCQNWPPTAVQPMWKTIWETKSSCLREGVFRTTCDPRLIEALPPESHNARVAFLTPKSVSPEKMACVIHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCDGLYRHATAWDALRKDAATLAQDVTSLTEDAAQKSGITIEQVRERLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPKHSIMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >KN538806.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538806.1:109657:114361:-1 gene:KN538806.1_FG008 transcript:KN538806.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVVVVVVPGTVYWQAQQTNLEYLLLLDVDRLVWSFRTQAGLPASGTPYGGWEGPGVELRGHFVGHYLSATAKMWASTHNDTLQAKMSSVVDALHDCQKKMGSGYLSAFPSEFFDRVESIKAVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVGMANYFSDRVKNVIQKYSIERHWASLNEESGGMNDVLYQLYTITNDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDLLYKQIATFFMDTINSSHSYATGGTSAGEFWTNPKRLADTLSTENEESCTTYNMLKVSRNLFRWTKELAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDRPVLNIIQYIPSAYNWKAAGLTVNQQLKPISSLDMFLQVSLSTSAKTNGQSATLNVRIPSWTSANGAKATLNDNDLGLMSPGSFLSISKQWNSDDHLSLQFPITLRTEAIKDDRPEYASLQAILFGPFVLAGLSTGDWNAEAGNTSAISDWISPVPSSYNSQLVTFTQESSGKTFVLSSANGSLAMQERPTVDGTDTAIHATFRVHPQDSAGQLDSQGATLKGASLQIEPFDLPGTVITNNLTQSAQKSSDSLFNIVPGLDGNPNSVSLELGTKAGCFLVTGVDYSVGTKIQVSCKSSLPSINGIFEQAASFVQAAPLRQYHPISFIAKGVKRNFLLEPLYSLRDEFYTVYFNLGA >KN538806.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538806.1:94289:98398:-1 gene:KN538806.1_FG009 transcript:KN538806.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTADAGKKQGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKELVIVFDHFTVKMNSLGWVAKHHPESECNLRLLGRNRTQLELAG >KN538806.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538806.1:39363:44510:-1 gene:KN538806.1_FG010 transcript:KN538806.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGGEEQSLALTPTWVVLKFNGQEALFSALQRVKEELMLLGFISFLLSVFQKFINHICIPESAAHLMLPCITRETSETTEDASKLCKRKGEVPMLSEEALHQLHIFIFVLGIIHVVFCVTTLLLGGAKMKKWEKWEKEIQQGRTKERPKRPGWMKFIVVRCAISFLKQFYDSVGKPDYQVLRSAFVQRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIVFLLLNINGGYPLNFSFASNAGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDEATGNPWTKPCKEHFWFSKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMENKIYALPRLAIGIIVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKAAPPPHSHLRIPFLMKRRHSTRGAGARRFYNFMAKF >KN538806.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538806.1:11480:13330:1 gene:KN538806.1_FG011 transcript:KN538806.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQISCSPMILKLILGLLWCLVHLVISFFGSLSHLKNDLECYLISFKLLPKYQNLHLERLAYLGVVVDSREANNALKVKQLLRWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCCRHGGMFMECLSSSDGKEAIAKAANLLYSTCCNSDNKSEIAFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYMGPLESMKYGTIVKALYRFSRKYQNYEENMT >KN538806.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538806.1:61241:69565:-1 gene:KN538806.1_FG012 transcript:KN538806.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLQADGGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESVSVSPLQGQAVVQYRPEEADARTIKEAIEGLNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSRLESVEGVNNVECDTAGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMISPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSESFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFIAGQFDIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTKVFSKIPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHMMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSEVEGHMSETEELARTCVLVAIDRTICGALAVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGIGTVFAEIDPVGKAEKIKDLQMKGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEVAAGPKNDPDLAWKNACNATGTQKIVIPPGNYLTGALNLKGPCTSSIILRLDGNLLGTGDLNAYKTNWIEVEHVDNFAINGHGIIDGQGPLVWTHNQCNKNYNCKILPNSLVIDFSTNVTVRGITLKNSKFFHLNIYESKNVVIDKVTITSPGDSPNTDGIHVGDSTNITISSTTIAAGDDCISIGPGTKMVRVNGVRCGPGHGISVGSLGRYKDEKDVEDIIVTNCTIKGTTNGLRIKSYEDSKSQLRATKFLYDGITMDNVSYPIIIDQKYCPNNICSASGTSKVAVTDIVFKNIVGTSATPEAVTLNCANNLPCQGIQLHNVDLKYAGQGNTTLSVCKNVAGKSSNVAKELACV >KN538806.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538806.1:89327:91571:1 gene:KN538806.1_FG013 transcript:KN538806.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAQTNLMISRGHGHGHHHHQPELMKEFPFLSLISSASSCISSSGHQQQQQQQDDDGHGGHDRHQLLRYGCCSGNKQQEEEEEEVTVALRIGLPAVSSPSPAMANHGASASSTGVAGDDGGGGAMAGVGVEVESVRRSGGGGGGGQYWIPTAAQILAGATQFSCPVCRKTFNRYNNLQCAYGKCMGFELRLDLLIRTMFDIAMTIVIIAYCEIIQT >KN538806.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538806.1:147225:153785:1 gene:KN538806.1_FG014 transcript:KN538806.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSVSNARITIATAEAHDNSVKRTVEETMLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLKFSEGTPLSQAATFFANSDPDMPAAPVPAAQVHDWISQNISASLEFSTEKSISKEVSQQVTSDIDVTMADANASNARNSTPTGTNPAYFRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYATVYGCSDATVVLGAIGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAARLDPDLFTNFMIPSWFGTEVQEPTKCCPFPLPEIYCASQSKKLAALEDIRRTIRELQIDDNRKKELTNALHSQFKDWLYGNKRKSVLEMARGSTMAIEDRGEESGMMVLEVKGGKRRGHAVLANSVGGVGDGGEGVCGWGSFWGELLR >KN538806.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538806.1:100943:104028:-1 gene:KN538806.1_FG015 transcript:KN538806.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRARVSRDGDGGGEEEEEPAPAAAESKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDETGIADDDALVGEAADNLQEYFRAVYKKVTEADIEEFEAKYRGSDSEKKDLKDLYTKFKGNMNRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYDKWAKKISEIEPPTNPLERRVKKNKKKSEENDLILAISQRRVQRKDRFDSVLSSIMSKCDPKGSSSSEPTEEEFERARQRLEKKRSKNRK >KN538806.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538806.1:107326:107847:1 gene:KN538806.1_FG016 transcript:KN538806.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDSIVNGCDGGKEHIVAASGSTAVAVAQVEAKTLVVQPTDVAGTSEEVAVVPKVKEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >KN538806.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538806.1:56762:59665:1 gene:KN538806.1_FG017 transcript:KN538806.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPAWKEARATLQKILSADEPVLRDNEALKKKCLVPMSDTEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPIGYHGRASSVIVSGTDIIRPKGQGHPTGDSRPYFGPSKKLDFELEMAAIVGPGNELGKPIDINDAEEHIFGLMIMNDWSARDIQAWETIPLGPFLGKSFSTTVSPWIVTMDALKPFTCEAPKQEPEPLPYLAEKNHVNYDIPLEVWIKPKEQSEPSMVAKSNFKHLYWTLTQQLAHHTVNGCNLRPGDMFATGTLSGPEPESLGCLLELTWNGQKEISVGNSTRKFLEDGDEVILTACCKGEGYNVGFGTCTGKVLPALP >KN538806.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538806.1:14637:16333:1 gene:KN538806.1_FG018 transcript:KN538806.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALLSGRQLLGRPLQSSVSRSSSSRKAPFMVRAEATPPAKQGADRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGVDWYTPGSMGKQYFLGLEKYLAGSGEPAYPGGPLFNPLGFGTKSEAEMKELKLKEIKNGRLAMLAFLGFSVQALFTGVGPVQNLLDHLADPVHNNILTSLKFH >KN538806.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538806.1:75971:81647:1 gene:KN538806.1_FG019 transcript:KN538806.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFHDRHGKLINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLIHGGDHPDHGPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPIIRDFYNSQLTASGNAYDDTLLAKLESVYTDSGDRSSTMFVFNIEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLSSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >KN538806.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538806.1:129644:136721:-1 gene:KN538806.1_FG020 transcript:KN538806.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDHIFLYLNSQDQASAAIQEQPQTLNIFPSQPMHAGEPSPKGSSSMAAAINSAPSNNALAIAAASSKRPAAGGQPSRLNNPADQPSASGKDGKAAVVKKEGGGGGGKHHGGASSAAASEHEGPKTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELVQRSRTQGAILGGGAFSAGIGGQSPEIVARHAEPLTEQQAAGVYGVQQSAREREEALDRDLHATHHALSDAVSSDSLLLFPPGAGATAYSDVAMAHLSLAISNLSSLEAFVRQLMAALGWLVGSGLSGGLYKTVWLLRLIDYLAS >KN538806.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538806.1:18261:21340:1 gene:KN538806.1_FG021 transcript:KN538806.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLENVQVRAVSASGVVSAAIGCDGSLWVWGRSKRGQLGLGKDIVEAAVPSRVEALANYDIVKVSFGWGHAMALTKDGGLLGWGYSENGRLGEIGQITQASSAKELLGKTVDKYSSSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVCHVEVSDVSCGLDHSLVLCSDGIVLSGGDNTYGQLGRKSAWSKFLPVDISHSPFSVSASVGHSLATCNISTEGDDHAETGVLSWGWNCSSQLGRPGKEDVPALVDADGIVLSGGDNTYGQLGRKSAWSKFLPVDISHSPFSVSASVGHSLATCNISTEGDDHAETGVLSWGWNCSSQLGRPGKEDVPALVDGLNGERPVSASAGRVHSVALTSKGEVWAWGSGRNGRLGLGSSMDEPEPFLIDTLEGAEVSQVAAGMDHTLLLVAE >KN541572.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541572.1:6:4088:-1 gene:KN541572.1_FG001 transcript:KN541572.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALLLLPLASLLHAAAAIGHNETSTSGNTSCTPARCGNLTISYPFSLSGVQPVSCGYPVLDLTCDNRTGRAFLSRTFRDHLFRVDSIFYENNSLVAAVETTFAGDADCPVPDFNVTSSLSPYPFIISNTNKYLAFIYNCSIPEHVEQLQPPCGNRTMGAYISDKWNSTPPSGVRGNCNSVSVPVRGYYDGMKPVSGHYEQLIKDGFVLEWMRSVMGDQDCDGCRRRGGECRFEQLSFQCFCPDGLLCSNSTRTNTTSSHPSGKVNRGIKIAAGTAAAVVCLGILGVGSTVLYTRRKRKRSASFEGLIHGGTPLPSLTKEFSLAGLAYTHIFTYEELDEATDGFSDARELGVGGFGTVYKGILRNGDTVAVKRLYKNSYKSVEQFQNEVVHRDVKTNNILLDEGFHVKVADFGLSRLFPADATHVSTAPQGTP >KN541572.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541572.1:13660:21246:1 gene:KN541572.1_FG002 transcript:KN541572.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGFLLEWAGASDDCPKCIASGGQCAYDDGLRFACSCTDGMHAEKCGNSRRSRLILIVSLSATTSLILTCLVWITCRQKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGVLQDGRMVAVKLLTGRKGNGEEFLNEDGDYVASMRRGLLLEWMLVPGDCQKCSASGGQCEYSSDGMGFSCKCPSGVHNPTSCVAGDSKRNGRKKTLIVLIPVAVSLLFPCAYVLIWHRKGQILCYLLCNKIRSRNESNIEKLIVSYGSLAPKRYKYSEVAKITSFLSNKLGEGGYGVVFKGKLQDGRLVAVKFLHDSKGNGEEFVNEGSKRALIYDYMPNSSLDNYIYSENPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYGMMLLEMIGGRKNVKSMVQNSSEKYFPDWIYDHFYQGDGLQACEVTSEVEEIAKKMTLIGLWCVQVLPMHRPTITQVLDMFEKALDELDMPPKQSFCESL >KN541572.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541572.1:9771:10451:1 gene:KN541572.1_FG003 transcript:KN541572.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLLLLFSSLYLLSPANTADEQTTESCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCTNNTPYLGYYRRKYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSANLNLIFYNCTAETAAAAVRRDGGLVETKCSGGDTLVRVGGHYSDSGSYEEYSVEGCGATLVPVLGRSSGEANASSYEELISDGFLLTWQPPSGK >KN541572.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541572.1:5973:7958:1 gene:KN541572.1_FG004 transcript:KN541572.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLLLPILASLQLLPGAANGATVDPSCPPATCGNLTITYPFWLGNQDQSSCGPPSFRLTCNDRASGPFLRNSYIKVLGFDYGRRSLVAVHALLAADADRFSISQSNRELYVLSRCRERLPPPDAVPVTNCRANSSGMYAYLGGSYGMGQTPVNNGGCELSVFLVLGSSNAADMTAANYRWLIAGGFLLEWEPVGDCNACTASGGRCRYDASTSAFACLCSDGGMRPSICDGKNMGKLALIVSLSAAAGLVFAFLAWLMYRQRQKLRSAICGVYSGNTKNEEEMLKKCESLALKRYKYSELKKITKSFEDNLGEGGYGVVFKGRLQNGRMVAVKILTVSKGNGEDFLNEVMSISRTSHVNIVTLLGFCLEGPKRALVYEYMPNGSLKNYIHSESSNLATGWEMLLKIAIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFCPKIADFGLAKLCHLNESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEIVKVRKNIKGIADNFSETFFPHWVYDHLGHRDVGKEYQ >KN541572.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541572.1:24032:24913:1 gene:KN541572.1_FG005 transcript:KN541572.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLLPAMIIATSLLHVAAAVGNETSSNNNTSCAPARCGNLTIRYPFSLSGVQPPYCGYPAFDLTCDNGTGRAFLSRTFRDHLFLVDNIFYENSSLVAAVQTTFAGDADCPIPDFNVTSSLSSFPLIISNANKYLLLVIYNCTLPDDTRLQRPCANQTTMGAYISDRWNSTPPSGIPGNCISVSLPVRGGNGTMLLDQHYEQLIADTIGVAIHGDIGGSGNGSIQQYERLISDGFVLEWQKAVTRDPDCDACRRNGGECRFQQLMFQCVSCHGLICSNSTSPCKNALPIFVF >KN542276.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542276.1:1147:9920:1 gene:KN542276.1_FG001 transcript:KN542276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQAALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGPDNFVCEAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKITPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTEMSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLKDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKESYNVLENQAKVKVSKQLNKIADLVLEIIQILTENDETPADCAIAIVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHTALLRELEVISEAEKKIDAKTDNI >AMDW01038002.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038002.1:69:591:-1 gene:AMDW01038002.1_FG001 transcript:AMDW01038002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQ >KN544572.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544572.1:2351:2953:1 gene:KN544572.1_FG001 transcript:KN544572.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTNNIVRKVTLRKCSAGLIKKVTELSILCSVKASIVVYNIDETGDPVAWPSIEEAKDMWSKLMDMPEAVQKKWMQDSKTLLQQQIMKLQKKLDNLKAENYKREITNIISELSAGLRKNLDDLSPEMVKGVKLEVAKHREAIRNRIVELRAQGASSSVVLAPQEEIVAPHAFQFDLNEPAVVDDDPPIVREEVDLPCN >KN539761.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539761.1:4682:5919:-1 gene:KN539761.1_FG001 transcript:KN539761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAKVVAMLLSVLATYGFAAGVGYTNDWLPAKATWYGQPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNEPLFQDGKGCGACYQIRCTNNPSCSGQPRTVIITDMNYYPVARYHFDLSGTAFGAMARPGLNDQLRHAGIIDIQFRRVPCYHRGLYVNFHVEAGSNPVYLAVLVEFANKDGTVVQLDVMESLPSGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMLSESGQTVIAHQVIPANWRANTNYGSKVQFR >KN539761.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539761.1:36606:39264:-1 gene:KN539761.1_FG002 transcript:KN539761.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAAASSSSAAAGDRPPPPTGAATSDAFSAFLASQAAQQNAQQPNGMEAYNRMMAMYQKLNHHQQQQDQPSNSRQ >KN539761.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539761.1:31120:32951:1 gene:KN539761.1_FG003 transcript:KN539761.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSLAAAAVFLSLLAVGHCAAADFNATDADADFAGNGVDFNSSDAAVYWGPWTKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMTSCGNQPLFKDGKGCGSCYKIRCTKDQSCSGRSETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRLDTNHRLQAPFSIRIRNESGKTLVAKNVIPANWRPNTFYRSFVQYS >KN539761.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539761.1:20223:21652:-1 gene:KN539761.1_FG004 transcript:KN539761.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISSKKAAVAALFSFLVVTCVAGARPGNFSASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCVNHPACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGQNDQLRHAGIIDIQFKRVPCNFPGLKVTFHVEEGSNPVYFAVLVEYEDGDGDVVQVDLMEANSQSWTPMRESWGSIWRLDSNHRLTAPFSLRITNESGKQLVASQVIPANWAPMAVYRSFVQYSS >KN539761.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539761.1:52081:71745:-1 gene:KN539761.1_FG005 transcript:KN539761.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPRWCCSKPTSLYNIDGNKRAVIFDRFSGVLPWTVEKKQVAQQDAESTPSASSPRLSKRGSGLDHRNSPRSGWKAVGVDDSTFDSTHRELCQLADCSPDGCSLLLQVCLDEVLLNADVAKSSRLKPELLSTVFKYCLDKPYFSTSFCEALKTVHVSDMFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANRMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHIDTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLTILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEEIMSNTTYETAEGAVQQPQVMILDICRESCPLIIKVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERALAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKYAAPLRLPTNNMVEDDKAALIMPEQVSSHGLSQVSPSQTPSLSSSSFSLSQLMAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYATEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDRMGSVFAEPLNTSDALERYQQVSQKLDTLIAKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAQKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNVVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLMNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVQLQQDGLLKGDDLTDRFYHILTVFFLPVSCSFANDLYELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVSFNPRPYFRLFINLLSELTTSDLHHDSANFQVLTAFANAFHVLQPLRVPAWSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMKTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >KN539945.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539945.1:69993:70310:1 gene:KN539945.1_FG001 transcript:KN539945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIGNAVPYGTQSFINIGHSAALASVGGKAACFKQEQFSSVHMLSSSYEGEPIARLGGNGGYEFGYSTSIAGGGHMSWLGAQSGAPFLKSGTAGSDKWQGTAQ >KN539945.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539945.1:2381:5779:1 gene:KN539945.1_FG002 transcript:KN539945.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADARSAPESLPPAFLEFLQENGLDPMMYSMADTIPRYIRLKPGMEPQITEIQSELKCHLNKVSWLPDFYAIPPQVQIASSMAYQQGKIYGIDAASGAAVLALDVQPGEHVLDLCAAPGAKLCMLADMLGSRGSLTGVDVAKHRLAACRTMFQKYSVGDRCRLFVADGTSFSILPVNSSLGNGEGSTCHKDNGSTLSEWTSKRSWKDRQKSKMARMAGSPHLTSTSEPELIYYGKHSGLVGLRKCDALRPSADDEAQTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDDLLHLQLRLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLCKHSSAVCLGSLKLSKFNRSALMTFWDFFCKHAELQKIDSADSWPCRSGSIFKTLRFDPATSQTSGLFVAKFTKLQI >KN539945.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539945.1:47096:54265:-1 gene:KN539945.1_FG003 transcript:KN539945.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQTSRFAAARKGPVTEDPGVPGKEREYNAQPKDDMFPIMKQPGTNARVTDPMDDVAQHLIGNLLPDDEELLAGVIEDFDHVKLRTQVEESEEYDVFRNSGGMELDVDPLESITFGTAKASLVNGTGSSTNQYSIQNGAGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQNKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLHIFGAFGEVREIRETPHKRHHRFIEFYDVRAAESALRSLNKSDIAGKRVKLEPSRPGGARRSFIQHFNHEFEQDETKHNSFQIGSPSANSPPSLWSQLGSPTDENKLNALNETAFNGGMSPLGSNHLSGFSSGYPPMKSPVGKSSYWNNRADNIFHGSPTLHNSHSFPEHHGGIISASPLVSSAASSASTASGFTALTGTSFLWGNNNNLRDHGQPSLIQSQALSNSLFPNNQPQRQSNLYQNLRGSFGASEHFSQFNVGSAPSVFPFESNFGYFSDSPDTSYMRQGKFGGTGPTRVGGSLMTNFGAYPRVNVASMQNGSVGFEGLLDRGRNQTVGNSGCQEDSRVQYQLDLEKIIAGKDTRTTLMIKNIPNKYDILELIGVTFCYYSVMRSTFVAHVLLNFRYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPGYIVSFFKQCYISIISSLQISIFVWEIVVNKKLLIEGKAALVNHFQNSSLMNEDKRCRPMLFDPKHTENNNQVKVNNIDPEGSLEISGAKS >KN539945.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539945.1:36308:39093:1 gene:KN539945.1_FG004 transcript:KN539945.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALDEVTDTGAFDRSPSTFRSSVSRDSSARFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDEEPGADPDPFNGAKTIRELYEIASPNYIGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPDLDLYPAHLQTSVDEINELVYDAINNGVYKCGFAKKQEPYDEAVTRLYEALDKCEEILSRQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRERFSA >KN539945.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539945.1:30684:32256:1 gene:KN539945.1_FG005 transcript:KN539945.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVHVFNVWNKGNTTARVFKFHTAAVKDVRWSLNGLSLLSAGFDCSLRLVDVEEGKEIKVFKEDQAVEVIKFNPSNSNLFLSGGSKGSLRLWDIRSGLVTKEYQRNLGAILDIEFSSDGKQFICSTDTSRSNVSENSIIIWDTLRQVPLSNQVYTEAYTCPCVRYHPFEASFVAQSNGNYIAIFSAKSPFKLNKYMRYEGHGVWGFPIKCNFSFSGKELASGSSDGCIYFYDYKSSRLLSKIQAFKEPCTDVAYHPVIPNVIASCSWAGEIAVFE >KN539945.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539945.1:61673:62170:1 gene:KN539945.1_FG006 transcript:KN539945.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSSKEFNRFFNHRVQFRGSSPLRKFVLVFNSSREENETDEDSRPFRYVMMWVMYALMCQIRVLRISNFMQAYIEMDDGMPLVSRHLRKLDLSGLELKECFLNFSSCPALKWLSFSDHCCFDSVKKIVFQSIEYLCFYFCQFSEYHHTHIYAPSLVGLHLEGF >KN538695.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538695.1:85137:86453:1 gene:KN538695.1_FG001 transcript:KN538695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRISEEKPCTDQELDADQMDSSSFNSSSECENQTPSNDEMTGSESKSEAAQTEGGGSSEEKVLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGSMRNLPVGAGRRKSKISTANCRSILITGSNLAAPAGDAPLYQLSIKGDQTATAVKFAPDSPLCNSMASVLKIGEQSKNAKPTSTAQPRNGETQTCPASGTTSDSPRNEPVNGAVSGHQNGIVGHSGVPPMHPIPCFPGPPFVYPWSPAWNGIPAMAPPVCPAPTEPANSSDNGSTTSVQWSMPPVMPVPGYFPVIPSSVWPFISPWPNGAWSSPWIQPNCSVSASSPTSTSTCSDNGSPVLGKHSRDSKPQGDDKAEKNLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRSMFRPFQSKPESREQISGAARVLQANPAALSRSQSFQETT >KN538695.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538695.1:57321:57762:-1 gene:KN538695.1_FG002 transcript:KN538695.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRALLVALAAVLLVTGDALLAPAGGQEQYTKAPAEAHKGYSIVPVGLPVMEKEEKAWFAGRTIFKIPPAPPCRANANAIRC >KN538695.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538695.1:3022:8370:1 gene:KN538695.1_FG003 transcript:KN538695.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRTLRVTCSINFLAQTSLGRIQLWESVDVLNICKMRRFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVIKVCRSKSRRRNLNNEEPSNPKQLRRSMSFSSPAGNSFLKDRSFSFSGDVPSSLFNESDAPDHVAKSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSVVSNLGRPPRPQSTVPSLPRPTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASGNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSVFLDIGLYFATEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFAAKEQCKNLKKELDIRTRRLEKEKMEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKVDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSVECSDKIKKRMQMELIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCMKLLELMKCKRHENEAGNGIAALTVTDYTLEFQSIKGRIQNLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLKEALLSKELDVEQLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISALRGTLKTVTDERDLLWQEAKQLRKTIGIMQNETASLKKKIEALEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >KN538695.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538695.1:161354:161824:1 gene:KN538695.1_FG004 transcript:KN538695.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHPPSPLPPAAAAIPSPTSPSIAINHHAKLPIATMERPSTPDSGWVILSTIAVAGRRYSNNGSTAVGTTRNGNTIDRGMYPSRSLAHPPPNPSIVFVHSSDMNPVLSPTIVCEAGDALLLSVNVRSEPYCRSDDRDYFVYRAHPHPDAVLGYA >KN538695.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538695.1:225:2460:-1 gene:KN538695.1_FG005 transcript:KN538695.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKIEDDRALVLCQERKRFVREAIDGRCVLAAAHCDYIRSLRDTGFLLRKCFEHEASEESIPNNKSPSSFQASHMKAAMNSIRTYLEKVATPVTVTMASASSQDPTGTSPLDHFDQIHPGDNQFSPKEKDRSGQCLDKVDDPRPFLEEGIPELEEEGERTPSNEEDGFAESKDDFANEEENFSESNDAFLSPSIETFVPVSNSNDLSVLLLPFKMGVAPSKIEDDRALVLCQERKRFVREAIDGRCALAAAHCDYIRSLRDTGFLLRKCFEHEASEESIPNNKSPSSFQASHMKAAMNSIRTYLEKVATPVTVTMASASSQDPTGTSPLDHFDQIHPGDNQFSPKEKDRSGQCLDKVDDPRPFLEEGIPELEEEGERTPSNEEDGFAESKDDFANEEENFSESNDAFLSPSIETFVPVSNSNDVSDKNSSTDKAPEHHGHGSVASKDIALPNTGCQNDNPQNERMTDIHTNENYSNSAVSPVNVVPPSGAAFPMVSKEPYPYLSISVKDLYTGMVEIERLFYRACDSGKEVTRVLDEDKLQFRALLPQETGRMSLFLK >KN538695.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538695.1:164061:165023:-1 gene:KN538695.1_FG006 transcript:KN538695.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMSSAATSLPPGFRFHPTDEELILHYLRSRATAGQCPVPIIADVDIYKFDPWDLPSKAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSATGESVGVKKALVFYRGRPPKGTKTSWIMHEYRLAADPLAAAANTYKPSSSSRFRNVSMRSIIIIPREE >KN538695.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538695.1:146077:147460:-1 gene:KN538695.1_FG007 transcript:KN538695.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHHNQAAAAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNFDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAAAACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWCKFHR >KN538695.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538695.1:121514:122603:1 gene:KN538695.1_FG008 transcript:KN538695.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEVSVINHQEEEEETRLELPPGFRFHPTDEEVVTHYLTRKAQDRSFSCVVIADVNLNNCEPWDLPSKAKMGEKEWFFFCHKDRKYPTGMRTNRATASGYWKATGKDKEIFRGRGLLVGMKKTLVFYMGRAPRGEKTPWVMHEYRLDGKLPPNLPRSAKEEWAVCRVFNKDLAAKIAQMPPPPFPRNDSFPLLDLDLDDFLHLDADLPPLIDDPFASTSTLKTEPPPPANLMHNHYGYFSLPASAAPATNGAMADQAIRRFCKAEASTACFSGADADVDPVVDELLSFPDSITDYSYIWKA >KN538695.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538695.1:35346:39571:-1 gene:KN538695.1_FG009 transcript:KN538695.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVSYSSTSWRVRPGLTPFRSPVQPHPHGRVQLQRIAIFATRIRIATPSAAMQSSSTRHRLRPLPPFRYSDREIGTPGAGSFPQKINSHCLFHRLEAVNHDHETIPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDDNSRASLARYIDSRFGKLDILVNNAGVGGVAVDQDGLRALNIDPRVWLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPGMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEACAVGRTLGVARDTAAQNKPGEYGDATAERATEAKDATVEKARETKDASKQQTEELKETTETTHMGADKARDSAAATARSPEQKLEEYKDSASDAARKATHGEERGGQGTARLKQGERGGDAARQRCEQVAGKEED >KN538695.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538695.1:14588:15879:1 gene:KN538695.1_FG010 transcript:KN538695.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVSALLLLLVPPLSALLAVAAPSLAWPSGSSSVRKLIAKKVAPEQAARDELLRVARYSSASAAAAAIPNETPTPYYNLWMKFDSLCLMIQRAPTPFRIRMFALNMD >KN538695.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538695.1:60904:73257:1 gene:KN538695.1_FG011 transcript:KN538695.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRELDLSDEVEGEEDGTTDFVFRLAGDPIPLLPTTSSPPPLFDLQSPPSRPLAVSNRRAAVFLAHPNGFMAATTKALIEASKEAREKGKAATRCARDCCVADIPLPGVSLLELSRDESVLAACAGSVIHFFSASSLLTDKDVEPLSSCTLEGSSTVKDFKWLNHASKAFIVLSKDGLLSQGSLGEGLKDIMENVDAVDCCKEGTHIVLSKKNTLNILSSDFKEICCMPLLFQLWSDDSDSDDASIKVDSIGWVRDDSIVVGSVRLNEEGNEEGYLVQVIRSGGNTFFENSSKPVVFTYVDFFRGIMDDVLPSGVGPNLLLGYLHRWDLMLTSNKKSIDEHISLLKWSSATDDKKTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLYLTGEGKLNIYYLARISDPSELPQTKLSAIEDSDAKKEISPVTVSGKEFTPSATSSLDKSIRPDGAELSSVQPDRDLHGSTDMKNSYPVSKAKEIVASSPAPAPFLAPASNLKPGISFSFSTANSVSMSPAGSNTSSELGSSWQQSSSSNFVNNQLGKGSIPSTQPVGAFGGSQNSKKDGSLSFNKSSVFTSDGSALVKPGERNEPGFGSHPLQSSYTTDKKVPSSVGLSSKSSPSISPIKPSSAGPSSTGFRTGSLEAFPTSCGSPLPQESIDKPHNRTHAVVDHSKNFKLGAMFDTEQDLSKKFYSINDMTKELDTLLSYIEKDGGFRDACITFQERPLSMFEGDLQNFLELLQIFKNKIAEQCSRVEDLRNKMFQGTSTTRVSARQAYMKGIVDQSSDTQYWDIWNRQKLSPEFEAKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRAASSRRAIYSNKARSSQTQISSVYNALNSQLAAAEQLSDCLSKQISALNISSPSTKRGSVAKELFESIGLDHTMDAAKFSGGTPSKSVKRYPSTREHLTSISGPSKTAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAMSQEKSSSSPTSSIVEAYANKLHYPSEVLHEKTKPSGPQHNTLFKWVKESAGPSQGPQHKYPELPGQLKSSDQPPKLGSSSPLLSFSYSHKDVWDNISSSNVPSSGTTHTVPKSSTLTFKTTVIPKTNTSTLPDLSPSMTGSKFSLSPLTVKSLSGESGGASSIITKNKQGGQTMPSLGTTKGLDVSPQNMGGAFRDLNKPSLSPEPPKSALLQGKTVQLGKITETVQSPVKAKPEVAFQPPAFPPTPVAQSSPCSIKPAVPSSATSSSSTMQESAAKTSDILSPTGPSILPSKESMTKPSSPLPDGTISSSLPSIPTPVKESSTGLNKIVSKPEVVTSEVTGTTVSASTSSSIPMPEGKPSSIPATSGSLPSIPVSAPKVVPVSAESAVVTSTGKDVGPSNPSSDEDDMEEEVPSASSDLNLGALGGFGLGSVPSSSPPKSNPFGNSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSAQPAQSSQSTSSNTFSSAFSSGLSGFGQSAQIGSGQQSGFGQPSQIGAGQQAGFGQPAQIQSGFGQPAQVGVAQQSGFGQPAQIGTAQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGSFGAGGFGGFASASASGGFSSNSGFAGGGFGAFGGNQGSGFSAFAGSGSAGSGGPPANLLTQMRK >KN538695.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538695.1:87658:89732:-1 gene:KN538695.1_FG012 transcript:KN538695.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGALLPANEYGILLHSLQMGESYFLVRMHTCCLPNIGLRLRPPRKQ >KN538695.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538695.1:138029:140194:1 gene:KN538695.1_FG013 transcript:KN538695.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCSGSVASPCGEVGVGFAGRVRGAAAAALAESVPIGGYSSKSTFPSGRVALTERKARPLPRNLEAAHGQMNLTIGKAMRWWEKGLQPNMREIESAQDLAESLLNAGDKLVVVDFFSPGCGGCRALHPKIAQLAEKNPEVLFLQVNYEKHKSMCCSLHVHVLPFFRFYRGAQGRVSSFSCTNATEEGYYRLIKMRAIRIALLIVLCHQLGTAVPICSTIIERLWTDRVVTDIVTQESAIHNTLVYHQNKTNWTIRIGKEKPCLDREFGNTTTELETSGWERTAIEKSSSASKKISRHNRRGATWMKMKMKKSYPIRKGIVNF >KN538695.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538695.1:141760:142258:-1 gene:KN538695.1_FG014 transcript:KN538695.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTARNGAVVLAPANPKDDYQHWIKDMRWSTSIKDEEGYPAFALVNKATGQAIKHSLGQSHPVRLVPYNPEVMDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTDIVLWKWCEGDNQRWKIQPYY >KN538695.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538695.1:58646:60236:-1 gene:KN538695.1_FG015 transcript:KN538695.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFAANVSPSAWPRTVTGAVSSALAAAVFAFLDVVDVLLCFVYGLLDAVFEDSPVSCYCHGRSNSAAALEDDDEVSDTLYHRRSALRDALMGLVRGRSGGSPETERRKGRSPRMLAVDLLGFGKSPKPANCMYRLKDHVEMIERSLIDPLNLSSFHLVSHSMGCIIALALAAKHPERVRSITLIAPPYFGACEEKASQVALKRLAEKKLWPPLQFGSAVMSWYEHIGRTVCFLVCKNHLLWERLFRLLTGKRDVDFLLGDLTKHTHHSAWHTMHNVICGGAMLQDRNLEAVEAAGVPVQVIHGGDDQVVPAECGRHLKAKLPGAELRLMDGCDHKTVVFGRERGFAEELRAFWSASHQNKQLAASASGWAG >KN538695.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538695.1:17235:18767:-1 gene:KN538695.1_FG016 transcript:KN538695.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHGGGGGGGEGALSRQGSVYSLTLNEAYTNELENKISRLEEENQRLREHKAVADFSTFPSCVDFLKAFLTQKLEPVVQIVPQPEPKQQLRRTTSASF >KN538695.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538695.1:76461:78125:1 gene:KN538695.1_FG017 transcript:KN538695.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEQMMYHQQQVQSDSQHLSSRPGLPPEKQFLLQGGADSSSGLVLSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQSQANASRAQGDLGCSTTEIDKPCEGNGSPASHLDLETQTNSSSMHINEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALGTIAVAETAATANDASSKRLQNEHTQLHHHQQQQQVGDGSVDSCLTACDCEGSHHSRSHGHRGEQDILSIGLPPFEPAAARSGKDDMEHHYLLFPNEPSRRRSCSDERRREMSTLQASELDLSINGRSSSHSHRRENIDLNGASWS >KN538695.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538695.1:178914:181217:-1 gene:KN538695.1_FG018 transcript:KN538695.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding VTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAVKAPKPSEGEDSYVKKLFLKGTTYYLYVHSYLHYGLLAARAEILKASNGKGYSYCTLEGHQGQYKYGSGKFEASASPSGASYSKCRDDVVKALKVDQACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAPVAKVKPSDFEKAAKRACKLNLKDAEAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVGSHQEMTLVKKVPYSNAFVEAAWPLGSAIEVAS >KN538695.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538695.1:30444:33221:-1 gene:KN538695.1_FG019 transcript:KN538695.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPILLLTAFLSSKPALCYINPGATPPQKIGTKSSGGRATYIVFVEPPPPLGHGDGEDDHYRWHESFLPLSELAGSDDEPRLVHSYTEAVSGFAARLTGGELDAVSKKPGFVRAIPDRTLQLMTTHTPEFLGLRKDAGLWRDSGYGKGVIVGVLDTGIDSSHPSFDDRGVPPPPARWKGSCRDTAARCNNKLIGVKSFIPGDNDTSDGVGHGTHTASTAAGNFVDGAAVNGLGVGTAAGIAPGAHIAMYRVCTAEGCTESALLGGIDEAIKDGVDVLSISLGSSFAADYDKDPLAIGAFSAVSRGITVVCAAGNNGPAFATLSNVAPWMVTVAASSVDRRFSAPTRLGDGRVIDGEALDQASNSSGKAYPLSYSKEQAGLCEIADTGDIKGKIVLCKLEGSPPTVVDNIKRGGAAGVVLINTDLLGYTTILRDYGSDVVQVTVADGARMIECAGSRNPVATITFKNRTVLGVRPAPTLAAFSSRGPSFLNVGILKPDIMAPGLNILAAWPSSVARTDAAAAPPSFNVISGTSMATPHVSGVAALVKSVHPDWSPAAIKSAILTTSDEVDNTGGPILDEQHNKTILFGPFNTGAGHVNPARAADPGLVYDIGVAEYAGFLCTLVGEYVLPIIVRNSSLQSCRDLPRVGQSHLNYPSITVELEKTPFTVNRTVTNVGPPESTYTANVTLAGETSLKLSVSPETLVFSKAGEKKTFTVTVEDLDLYYNNTLYLAFAMNSTASTTRLHIFLKLDRRAEEMERKTEGQTDRKKSLSSA >KN541227.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541227.1:13117:13449:1 gene:KN541227.1_FG001 transcript:KN541227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVLRPQAMRRWNGMAGWCRCVSKVQWLSREGLMAIDRVGVDGFGQNVFADGRRSRTHVLVMLCGTRGWAGGCGLVQGGKGARLSRERMVEPGLGQIEVRGTRSLLCKT >KN541227.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541227.1:17973:22996:-1 gene:KN541227.1_FG002 transcript:KN541227.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAASPAQSRKTETYTDTKRRDDVRGLNIAAARAVADAVRTSLGPRGMDKMISSGEQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHAMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRVVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKIMGIKDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRLVSGLINELQRVNWLRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHAKGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVRFLRILSVAHL >KN542104.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542104.1:8756:15666:1 gene:KN542104.1_FG001 transcript:KN542104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEARAVQMSLPPNACCFSGGKSSHRMSPATQLARAPQHAVARAYVEKSLEVGGNAASLPNHMLESVNVKATEDAMRTTTKKQQLHKFEMLPSPYDTAWVAMVPLAGSSSQLPCFPQCVEWILQNQQSNGLHFIGRNFSIAMDEQLAAPIGFNITFPGMLSSVIEMGLEVPIGQTDVQRVLHLQETELKREYEENSRGRKAYMAYVAEGLGNAQDWNEVMNFQRKNGSLFNSPSTTAAALVHNYDAKAHGYLNLLLNKFGSAVYPKNIHRQLSMVDALENMGISRHFDGEIKSILEMTYSWHSDPYEKFGADDLCHIAKVSDFHSSHQGYLSDTRALLELYKASEVSVADNEFILDRIGSWSGRLLKEQLSSGALQRISSIFEEVEHALDCPFYATLDRLVHKRNIEHFAAMSYHMLKTTYLPCQTAQDLVALGARNFSTTQSIYQDELEHTDSWVKENRLHELKFARQKSAYFYLSAAGTVFDPEMSDARIWWDEHHKEELYSEQVEIVFFAIYNSVNQLAAKASAVQGRDVTKHLIEIWLDLLRSMMTEVEWRISNYVPTSEEYMENAAMTFALGPIVLPALYLVGSKIPESVVGDSEYNELFRLMSTCGRLLNDVQTYEREDGEGKVNNVSLLVIQSGGSVSIEEARREIMKPIERCRRELLRLVLRRGSAVPGPCKDLFWKMCKVCYFFYSRCDGFSLPTAKSAAVDAVIHDPLDLSPPPSPQAKNPYHSCFVTREEIELEKQQHVRRRGQF >KN539777.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539777.1:44934:45203:-1 gene:KN539777.1_FG001 transcript:KN539777.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMGCVVRDIGESANIDTHPPEDGIGISTFGGDHMGSWLGLRPQEMRRFAPALRVMGGMNETLLLN >KN539777.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539777.1:30338:33084:1 gene:KN539777.1_FG002 transcript:KN539777.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSIICPASWNSVVGIKPTVGLTSRAGVIPITPRQDTVGCLFNGPPIKMEPNVFGKSFFIVDDNGHPVHAGDGGGPADTCESVVARLDDDGHGGCAPEAGWRWLSGTNAEADDDGRTLICG >KN539777.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539777.1:54452:60026:1 gene:KN539777.1_FG003 transcript:KN539777.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVEMPNGSVIGQQNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLCHTNSSSATGNPSQVASFSDGMTGQEVNLFGGHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTASAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSSSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQLSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >KN539777.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539777.1:81971:83188:-1 gene:KN539777.1_FG004 transcript:KN539777.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding ECDEQQSHEVFDKLCPPLSLEHLTIKCYYGSRLPKWLMSPILVNLRMLKLENLPSCKELPYGLGQLPNLESLFVKHALGIKRIGEELFVPCSLDDDDTDEDEKNIRTVVDQYNRSVAASTVFPKLIKLVFYGLLRWQEWDWDVQREAMRALQNLQISRCRLSHLPPGLACQTTDLRVMTIERVKELQSVENFSSVVELYLHSNSNLERIANLPNMGMLRISKCPKLKVLEKVEALSSLELKDYEMRTLPEYLKSLELRQLKIDCTLKLLHMIYQRDAALEWEKISHIQNIEAYADGFDDNKRLHVFYTKQTGSFKIHLGEYGASSNSADTEDIDEAAGRSEQEDNHNEQVTGSELPTAES >KN539777.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539777.1:22476:23305:-1 gene:KN539777.1_FG005 transcript:KN539777.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGHPDSFWIISKGKNSRVFNRELPTWPEVVSQSYGRSQSYNDQVCKYLTQQAYQGGDSYFVLAEPKIILPLN >KN539777.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539777.1:60753:62388:-1 gene:KN539777.1_FG006 transcript:KN539777.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQERKVLDALDTAKTQWYHFTAVVISGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMGFGNLTGGIVAIIVSAAFKARFDAPAYRDDRAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNDKKAAADMARVLNVELVDEQEKAAAAAAAAAEEEAARREQYGLFSREFARRHGHHLLGTTSTDPSKTDAGYPPGIGVRNSLFFLAGCNVVGFFFTFLVPESKGKSLEELSGENDMEAEPAAAVNSYRQTVPDSGQS >KN539777.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539777.1:19008:21903:1 gene:KN539777.1_FG007 transcript:KN539777.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPISPRQDSVGPICRTVSDAVHVLDAIVGYDALDAEATGAASKYIPNGGYGQFLRMDGFKGKRIGIPNGFFFTQEIFEKKQLRAYQKHIQLMRKHGAMVIENIDIAKNLTEVQNVLFSNEHIAMIAEFKLSLNAYLSDLLYSPVRSLADVIAFNKAHPVEERLKDFGQPYFIEAEKTNGIGPVEKASIQHLNKLSADGLEKLMRMHQLDAIVTPNDNGRVFFAVGGMPAITVPAGYDSQGVPFGTCFGGLKGYEPRLIEMAYAYEQATKVRMMPGFKM >KN539777.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539777.1:37964:38744:1 gene:KN539777.1_FG008 transcript:KN539777.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVIENIGTTENQTEERLKDFGQSFLTDSEKTNGIGPREKTVLKILNEISTDVLEKLMKKHQLDAIVTPNSDASTIFAIGGMPAIAVPAGYDNQGVPFAICFGGLKGYEPRLIEMAYAFEQATKVRRMPRYKH >KN539777.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539777.1:39775:42091:-1 gene:KN539777.1_FG009 transcript:KN539777.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVADTVALHPWLLDLLPLLIVLLISTHPRELKAPERQLGVGPVPVRSPVKRRAKLPESYEMLCEFFNCFESSTRLLRMKGSKASFPNICASIQHLSERRFTYSHLAQLKYIMPEAIVINKILLRDETTCCMKPDLQVNLLVDAVEGVAKQKGETGYSALRRIFRQRIVDFFRDHPEGDDIPEHELPHPFGPTRSSAPQAIQEDVPKTVSALPLSSATDRQPVAMSHMPHSFKRMFSQRSTAAAAATTSTTSLLSMVEPSITSPLSRKSLLGSPVSGSVSLVRESEGQEKSVEGVAFKSAVSEGTPAKFASTPVRLMAETPVLQTPKRPVSGTGYDTPPLKMAKRSARTKLFMTPTKDASSMDEKKQSTSASTLDGDDELLSFLPKSLLQSVKDKENRALEEKQTGFADRIKREKLIASLPSIFDIIFLIYQSRQRSVMTKQELIHKIIASNPKIVDRGEVEDQLKLLEDIIPDWISEKTARTGDVLCCVDTAMSQAEIRQRLYAAE >AMDW01039933.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039933.1:110:996:-1 gene:AMDW01039933.1_FG001 transcript:AMDW01039933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKVKVTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPKYRFGGLAWLFLGVANTDSLLYDEEFTSYLKQYPDNFRLLDIICLDSSY >KN542561.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542561.1:225:3962:1 gene:KN542561.1_FG001 transcript:KN542561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGPEQIETLYQYAKFQFDCGNYSDAAVYLYQYRALCTNSERSLSALWGKLAAEILMQNWDVALDELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEQVILNDPFLGKRIEEGSFVTVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMSYDEAELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQVIESLKNLNMRTFLLAKNIVEPAQAAQQTTGSSGLTTSNRVLGLVGATI >KN542561.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542561.1:6897:7642:-1 gene:KN542561.1_FG002 transcript:KN542561.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWLPRRPQFPAMAGWHHLAVRNHRNGQWHKSAERTVLATNTGKQQIYLFSISAETQFLRHSSYIVLSDMVF >AMDW01069012.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069012.1:32:415:-1 gene:AMDW01069012.1_FG001 transcript:AMDW01069012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SKSKSIDASDGKVVGASAFSTTGASSHAVVGSISSDMAYRDCDVPLSPVIAMIDDDNSKDNSFDHNDDMSNSMPSGDEAATGGTTTSDASAGDSS >KN544751.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544751.1:141:359:-1 gene:KN544751.1_FG001 transcript:KN544751.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRCSSVINGALLLLVVVVFCGLSPVARSQSSDSCSTPASLAAGVSKLIPFDTSNLTCFDAWSSENFIVR >KN538757.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538757.1:96890:99172:1 gene:KN538757.1_FG001 transcript:KN538757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGVEAATAAAACIMLLILLTSGQLQLASAESEIGRCYDDCLPDCERGSSRVGCKLFCFTCCVLKPIHNCTRGGESTAAAAAAEFAGDAGCRELCTSSIGDAATGECELQHFTGSTQMGRRSHFRLMVISEAKAIELHYLKQSNTARFIPWKGFLDHDMFLGSLCGLDKDSLLRKRCASRNLFCYGNYGPVLSFGPCTEMIWLNYPTLGESQP >KN538757.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538757.1:53639:56967:-1 gene:KN538757.1_FG002 transcript:KN538757.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQKDLLPCEKVRSTNGSPTPLIFAQGKYKTETVEVERTPVDQSCSVASDVSASPLGKWQHVKIMRPYGFKLMLFETPSGFAMFQVSQELIARPKVIITLSSIQIHNKSVARDITVGPGDELEEFILRFCTHNYLIVQDVELKDVIEKKLNIYCYCNPTVVDELTWGLNYVLHELLPQEQILTHECYLPLSKALAKQIKEYGFKISPREIDREFLRAMSFLNYLEYKSERYSLLLDHLFGQYRKSYMSDLDFAKSIASCLHTSEEMVPRDERYSRAEIMEFIDFIIAAPGNRSQTLSFLGRIEAASIDDSPPPPSPPAEMGASGRKRLHLIALCCLGCTIALQAFYRRV >KN538757.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538757.1:26308:26544:-1 gene:KN538757.1_FG003 transcript:KN538757.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRTRPLPPLEAPSFRGRRILALASLLRAGASDTPRGHWIRALAPLAPEAAVSTRKWRNEGGRESGGGGGGGEGK >KN538757.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538757.1:172790:184307:1 gene:KN538757.1_FG004 transcript:KN538757.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQMFADYGVGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAEAGLTEEDIFRGKVTDKWRKFMKGQILRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRASLNTQLLLQTNTEGVFAPPPQAGVSAPALPFPFESVAHHNRLGLFLFALTRSCEDFREPPLEELLRQLKAVDALVNGWLCEQLTSTLSALTSPDDLFNFFDKLRGVLSAPEGANVEDEFLDPNSQLGVFLRCCILSFNTMTFEGVCHLLANLVEYCNSADTSYDLAEDEDFNSEMEMSNFMDTNMHVRDGVFDKYNQGYAPSSHMVDSSSSLVHAPASLHDFEEANMFKADDNLGPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMSQLQKLAPELHRNCEEFLVTMVYFDLYSTCQVQFLQYLNALTHDDYVAALDNLHRYFDYSAGMQGLFSRTASPFQDIIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSSTVGTIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADTLKLTSLLSFDHLSLAKFDLKLSNVAKFLCPMAFFFRSKIIRSSLHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDGLSASNDNGSFSTSWLRNLSAASNSWCSSSKKSRKLLTNDFDNFHFHAQPSPIPASVLQLAGSAYLLRATAWEHYGRFICTLPRMLMYFHPSFVHCLHSAPMVRMNSLVYATCFADAASSSELSLAYVKLIQHLATFKGYSAAFSALKLAEEKFPLSANSHIQLLKMQLLHERALHRGHLKVAQQICDEFAVLSSSVSGVDIELKTEARLRHARTLLAAKQFSQAANVANSLFSTCYKYNMQVENASVLLLLAEIHKNSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLTELWLALGSTHAKRALSLVCQSLPMILGHGGLELRARAHIVLAKCYLSDPKFSVSEDPSAVLDPLNQAAEDLEVLEYHEMAAEAYYLKAMVYNNLGKLDEREEAAASFKEHTLALENPYNEEDSLAC >KN538757.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538757.1:19378:23090:1 gene:KN538757.1_FG005 transcript:KN538757.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRTKVISCMQVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMHSAPALQDHHHHHLQESNLSGCFRDQSGYPEFGFSAASSSSKLRLPPAAAAMVSYSQQNQQLEQALHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGHEGGKKLVDVDPIPTAPSSKKIQGFYS >KN538757.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538757.1:193408:195853:1 gene:KN538757.1_FG006 transcript:KN538757.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEASAAFGATPEMEGFLCDRLLDEAQPIAERFRALFSLRNLRGDAPRRALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSISLLEESLSADPAMEVKETCELALRRIEEQKNTSGAETETVSPFLSVDPALPAKQGLSVEQLRELLLNEQESMYERYAALFALRNDSGDAAVSAIIAALDVKSALLRHEVAYVLGQLQNKAAADALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEIALSMLEFERSGKSFEFLFLQTPQVQQES >KN538757.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538757.1:147763:153457:-1 gene:KN538757.1_FG007 transcript:KN538757.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIPDGIMGETIAKDVTELIGNTPLVYLNRVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTHNSFILQQFENPANPKIHYETTGPEIWKSTGGKVDGLVSGIGTGGTITGAGRYLREQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVDLINETVQVSSDEAIEMAKALALKEGLLVGISSGAAAAAAVRLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAENMEKRSQHQSKRCNAGEKEPTSAHVPGTVLAVGIARWYKSFIASATKDKADPMYIQLCLFSADI >KN538757.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538757.1:120473:124622:1 gene:KN538757.1_FG008 transcript:KN538757.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGSKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEDEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNAMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRLRLSFLLRLTFSHLLRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >KN538757.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538757.1:47487:52115:1 gene:KN538757.1_FG009 transcript:KN538757.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQPKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCSSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQVILKFSICHRCPGVIFPGAVSKWLPPYSRGEMQGDEEGRYRPGFRMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASFLRRLSYKDGEYIAYTTV >KN538757.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538757.1:33033:33254:-1 gene:KN538757.1_FG010 transcript:KN538757.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRAAVPLQLEADLVLATGGGGRGQVGLVLVDGSNRFCTVGTGNLVSYLPHASPSFLLVLLRLCLTARDED >KN538757.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538757.1:77754:81976:-1 gene:KN538757.1_FG011 transcript:KN538757.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRALMRRKQVDSDRVRAAGGHQLAKELSVTQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGQDSLPWFLARHELPWFDVVVDPCAAFLVLVVTALLCKGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFKTGWVGYKVAGGECETFLVLWIFSSWFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSVCCSLYMLVSVVIVGLPRILMAMARDGLLPSFFSDVNQRTQVPVKSTIVTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGSPVDANHEQLSSVVESINDTLIEKKQDTSMEESKRRKAAVCSISSVCVGVVVLTTSASFTFLPFLLRCFFCVFGGLLLLAGLGVLCYIDQDDGRHSFGHSGGFICPFVPLLPVMCILVNTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQAEEIYGSSSSSGFVA >KN538757.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538757.1:104024:113250:1 gene:KN538757.1_FG012 transcript:KN538757.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADSGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKAECFTPDMNTSNFLSRNQKICGSTENRKRKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADSGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTIDLIKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKLAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYNEVKPALTNMVSAAKLIRTQLASAK >KN538757.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538757.1:136257:139166:-1 gene:KN538757.1_FG013 transcript:KN538757.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRSNPGQPLTVKQQVVAGGARSLMVMDPLTNKAAFSGLRQYVKELDSNTLPPFLARVCDPVKPCSFSEEEMLCIFETAAQAHGRKIVPHIALIVSAIIRMMSSRNAIGCSKVVCALSRYVVDPLATEALKSAIIGSLCRPFADCLMSTKVESNSFGSALCVAALVQSNNWRFASNELINDVCLKVSGALEEAHAQSIAHLNLVVVLLTQNPLMLEPYGRSLIRSGLQILDESAKASSSQMIISSIQMIHSIMKGLDLGIISSEISSIIHAMEQFQDDTMPAISIAAFEASETAKLLVGRQKESGHGNNLSQLANYSVRNGRKGSYSHSLMDDADIRDDGSCDSRSCDLNSVHLSTDFDSQHSVGQCGSGSTRARRRLWCNKSDKSHGMSNHDLFRTVIPDSHEASGLMAHFSSVDQIKPDRRLSDVPTRVADPCYVCSAVHEMNHCSQISRAQVLSGDMRMNSTPRKQLHSCTFCRDPEQDGHPPLESPAIQHCSGPGTNILQFRKNSELKERKEFWDSIRQENQCHMQNTDALIEDLKFPADDDDEDFDGAAKSPCQECQAVNEKKTGGKKRNANRSRYPVFLFVFVVAIIALFFPWWKDYKEPYVVPT >KN538757.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538757.1:143881:146358:1 gene:KN538757.1_FG014 transcript:KN538757.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAASSLEELFPHQPATESDRSGIEWLSVYVEDCLSTSASCTNPVSEELPPITMASQGAAKPKLPPRSSSNARKKKRSLASVISDTDDQHCITLFVEPPLLLLDHKDWLAESELILPKKDKDEELVQEQEQEEEENYKMSAGMQFQQEQLVITCSYCLSSQSPQWWDGPSGPTCDACRLRIEARNGHTTSSKKCYGQEIDKEQDIGKRRDKKKIKKAVYVNDELLSEEPVKRCTHCLSYKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSDIHSNSHKKVMQLRNSVPHPRK >KN538757.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538757.1:70955:76911:1 gene:KN538757.1_FG015 transcript:KN538757.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSSNVSQVGKEIKSEAEKSYNTCQEKYACMEKADGNLEKQLLSLEEKYENATHANGELKEELLFLKEKFVSVVENNTRLEHQLTALSTSFLSLKEELLWLEKEEADLYVKEPWEDDDEKQEHDAGKEAKDDDVAGVGAANDQPDELLGHCGEALSMFSRHADAIESRLVAFGYVPPGSTNSLPPWGILNPIVSIFFKLLVENCEFAVLLVEEEVSEDVQEDWDDEKLPGVAGNGCFGGTSSVLRSSREMVDDDDALYPYVDSGLSGSTEILYRKPESVADVENKVNDAESMIPPKETNGPGNDSQGAIKASKEEYEKLPPYMKTLATWEELQEAISKLNSYFGSDKTQGNVALNQDDVGEIGLGRKGRSYLLILLRMNQLAMENIDGSIFYNIRKSDS >KN538757.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538757.1:36372:42049:1 gene:KN538757.1_FG016 transcript:KN538757.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine protein kinase IRE [Source:Projected from Arabidopsis thaliana (AT5G62310) UniProtKB/Swiss-Prot;Acc:Q9LE81] MAGGGGWVAVALPPSSRFGVSEASHRDHGGKQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGDEERVALEDLLVVAQRCAEMSPEELWVKCECIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYVAADDKQHVLSLHQLSDLGLYPFKVAGGSSELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVMSSDTSGDSPGSGGKISSWKKLPSPAEKTLHKVTEPTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLRDSAVKLEVPSGFPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFESKSPQTAECNHNETARGSTSSLTESDGSMDHDILSHLLTVPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIERIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDSNTDSLGSIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYMAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSNVLVGDHQPADAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMIARQQMMNMIQVILPVVTHGTTK >KN538757.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538757.1:91703:96191:-1 gene:KN538757.1_FG017 transcript:KN538757.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESKDGALTHFEVFTALSYLLFSQENVDIAIVEVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGISCYSDIGTITGVEAPIIASRPTTSRSLSGDKPMLIGCCTPFSSDLIRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQ >KN538757.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538757.1:196315:197564:-1 gene:KN538757.1_FG018 transcript:KN538757.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPMLSIKYGETNKVVNMLGIWKEMRQYPGHYWPVSGIASYITTPLTVCSRSKRYLVRLLKKTQRTRVSPEQLLQEHDEDESISPRRCTHYMTMAAYLVGICVGLLNLLAGFMGLGGSGPAIMLAVTVIHSLVEGRNTDQVAAFGL >KN538757.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538757.1:42444:44078:-1 gene:KN538757.1_FG019 transcript:KN538757.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIALALASTGIIIISYSLHRRLHADLKLAIDCICHEEMHLFAHWRETEEKKNYLNQSCRGNSYYQFVIDQTIVAKLWEFTVEEAPSCSLPNKTQDGSRYQGRYTSSTMRHYHVEGVHTLKILKTVGDPRYAL >AMDW01029281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029281.1:77:394:1 gene:AMDW01029281.1_FG001 transcript:AMDW01029281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGGQGFITVENCGDDFLGALADATNNNDDWDDLNAIENEACGNLNGMMKHGVIDDKEVEVRTPLFRQAESSARQTRINLDSFGFSSDDDFETLESHCDRSVSTQKK >KN542692.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542692.1:8534:11199:1 gene:KN542692.1_FG001 transcript:KN542692.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTPVTPSLSRNTLAVSVVAPVLVLAILVLAYLIWRAKRKLNTSSTDLAMVPELMGAPGHITNHWDHLQKPENRRFTYQELEKLTENFKHLIGHGGFGHVYYGCLEDSTEVAVKMRSALSSHGLNEFLAEVQSLTKVHHRNLVCLVGYCWEKDHLALVYEYMSRGNLCDYLREKIGMGENLNWKTRVRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYRSDTQTHLSAVAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPEKGHIVQRVKKKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMADVVVQLKESLDLVEVHGDRGDMENLASDTMSSMSTFGPSAR >AMDW01040829.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040829.1:147:1442:1 gene:AMDW01040829.1_FG001 transcript:AMDW01040829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDDMAALTEDILLQIFSRVGSIKDLFKFAVTCRRWLRRFTDPAFLRGLCLDSGEGHRARLLGFFFQQTRFYRCEKMIKMRVTQQSSVCPPTFLPAPWSPLGLTDRTLTSFLATDDDTFNYAEPLAARCGIVLMRLVPRTALMIACSHLLGVCNPITGECHVLPPLNLSGLHRYLTSYAIITSTDSDLDGKQPPSSSSSGRSTFSQLYLVVQHKKDCNEYFYSYSAATRSWSAPTMCVDGRRFSLVGERSAVVHKGAAHWLFIDRVSSATQDDILYKLTAAVDTSEISLTKLPFCAGGSPLLCVSGDGKLSVACVFPIHMRVWTQQDGTPATWLRTVIRIPLAVPYPDYSHICQPREKWFNFNRGSMLVLYRSNGVFILDLEKKVMEKVMDCLLPLFSDKLNRTAVAYEMDLVEFFVLQLSGLCRGSTG >KN542692.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542692.1:12890:13255:1 gene:KN542692.1_FG002 transcript:KN542692.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWAIFWHYVTDRLTDSSDVDSFSVVLLEVTTGELPIIPGNGHIIQRVMQMVTGNISSVADERLGDSYIVNSMWKVLDAVMMCITDIASQRLMMSAVVLQLKENHELEEAHGDGSLGKCSKR >KN542988.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542988.1:4549:5666:1 gene:KN542988.1_FG001 transcript:KN542988.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQDLEEGGANGFLFVSEDESSVDSPQPSGGRRRGGGWHGGGVVSADGIYFTTLLEQQDGGDVSDVEQHPDDGVPPDSELQVGGGGHRRGVVLGAEDIYFVPESELQGGSDVPDFEEQVGAGVPNGEQQLNNGLFADLGDQQMDDVEEPIDVEEMAVLGDYDDTVAGDEGINEFAEIREVGFST >KN542988.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542988.1:7446:8646:-1 gene:KN542988.1_FG002 transcript:KN542988.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVKNMVSDRMMCKTKNTVMLPSNSISTCKLKKRSMENNQMKNNPTKLKKVNMHDFDLNMQVEEEDYQDQPEFESCGEVQQEQEQAQQGQGYHGDATRHSDVNMQVKEEDYEYQPDDDFVVYANHVDIGYGV >KN541736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541736.1:5570:10274:1 gene:KN541736.1_FG001 transcript:KN541736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDASFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENGERNSGKTNAVAPSIGSGTTIGKVEFNEFINLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSLRNNVQPMVYLRIQPLDGDNSSVSSRDALSKEASVDRDSKELMSEYTEDTEFASFTDDEEEEAPYPYRSDGNVRAGSNRSQESLKGKDVRTVGNEGSRSPFDSQREMPSSSTKVRSEEVEKYPIQVQKANGHPGNLSLLSDLPGEQTPSFPAQNALRAGRKMSFAYGMSDSNQRNFGERTYSTLTSDRAKNMRYSMRVPDFSGSVINKKVDSQKEEVKEVDSQDIAVSNDTRTDTYDGAQAQVPIRISNNRNDSKVRELELRVELLEAELRETAAAEIGLYSVIAEHGSSSNKVHTPARRLSRHFIHALKNWSRDKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNGNAINNGSKTGPRRNSATMWESLNRKKGKLLSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWWQAFTPHMQSANISSEPMPSSNAKKCYGRITVVGNQQQATLSIDIWKKAFKEASEKLCPVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLSDSFGMDMDDYPEVESGDGDNSTAELRKPFYLLNALSDLLMIPKDLCPTFSSSIIKRILDGFVPDDFCPDPVQYSLLEALESEDHLEGNTKGIRAVPCSASPILYPYPASGAILSMIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASSNPLAKLKQIGNPNSARYRLLHEVWKLDDQ >KN541736.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541736.1:19336:19494:-1 gene:KN541736.1_FG002 transcript:KN541736.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPALLRDAPPLPKKEVVAAFHLALACTELDADLRPRMKAVADTLDKIASS >KN541736.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541736.1:12537:16322:-1 gene:KN541736.1_FG003 transcript:KN541736.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALGCGAATAPPGELDLFLLLFLGGSAPPPREGWGVVQCRRLRCPDSAAQREGEQKPSYKMCNAKCKENYGCEVVCFTADVGQGDIELEGLEKKAKASGASQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPIPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGKDLSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSIDAFMENITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >KN543007.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543007.1:528:3193:1 gene:KN543007.1_FG001 transcript:KN543007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRHGGQAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMGNVIKEQWVLSDFGGTVTISRSIGDFAFKKNKDLDREKQMLICDPDILADDITDDMEFLVIASQGLWSCVESADVVAYIHDRLSEGAELRVICEEVVQFGLPSGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARSTVMGYEVDSSTGSADATVDSDEVDPNASGATADSYNPRGHAEIVASHTSDEVYSSGSARVESGELAAPTPSANNTVADEVKVDAGGNIAALAGASDTSDEGVMADSSATADSYDPRVLAESDAGDEVYTSGSARAESGDLVPTPSANKATTPSRMRSIPLPLSQPTTKVNSDEVDPNSSANAAADDGAPNPSLPRSHRVRRGRPRCHGNGPSGGRASAGGSRHKEVLDLRKRLREDSS >KN539326.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539326.1:30331:31914:1 gene:KN539326.1_FG001 transcript:KN539326.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRMRREKVSPSCQTLTTAAAEFAKRKCLREGEKLHSFTFRSGVSDAILVASFVDFYAKCSRLASSVQLFEEFSETDSCIWPALNWGFIYCGQFIEVINLFGRMLISSFVPSVNMLQGLVISYRELGVLRLGKATHGYMIRNSYDAQSENSALETSIVKLYASCGSINSAQRCFDSIHQKDIVAWSSMIEAYTSMAMKLMELEPGNVGYHVVFSNARAGSDRWDEVESIRSSMMQQLGCFTFARRRSKMKSCCEVAGVWSWCHSHKSQVGGGRNYGASQTKATRLA >KN540509.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540509.1:6820:27667:-1 gene:KN540509.1_FG001 transcript:KN540509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIFLLPGAYSLLVLVLPLIPGGAVPAPTLAGCNNSCGNLTFAYPFGVGQGCFRNPDFELVCHRDGNDTQPPSLLLHGGTTGLQVVEDIVVDDISVNSFGVNMSDAITVVPGVDVYNYTWASHKSFRLLDTVVYIVGCDMDVYYETKSVPVCNITCPNKTMTEADAMMNCNGTGCCGFWLEDYISSFDLQFVRHNQTESQSSNSSLWNSIQLSLYSAELEWSIVDQPSCSRALSNQKSYACASTNSSCRATYFGYLCNCDSGFAGNPYIPQGCSRDKGANSTLRFNDYNQVIDIKVEEGVVQIKHDGSGDGVQALMAINGEPHLYDDLWEYSISVGWAVANLTCPEAKQNASGYACVSTNSSCVHVKSTSGYVGYRCKCSPGFEGNPYVQHGCTDIDECQNPGICMGVCHNIMGSYVCGSCPEKTEYDVGTKQCTAAYFGKAPAGYFGHYPVLIGICSTIVTVLIALLGMQVIIHRRSIRRQLLIRQRDEYFQQHGGQLLSDMMKIDRNLEFTLYRQEDIEVATNDFDKNQIIGEGGQGTVYQGFIENIPVAIKRCKGMDESRRMEFGQELLVLCRVNHDHVVKLLGCCLLFEVPILVYEFVPNKTLHDLLHGQDRRYYISLATRLRIAAESSQALGHLHSLARPILHGDVKSANILLGKKPRCLVSVFQDAMNEGTIDELIDEEIIHEDDLEVIHQVAELTCRCLAMPGDKRPTMSEVAQELRRLTELVRQRPDAVAGDLIAFREVARSFTGTTDSTGYTRSRTTEYFTLGITS >KN539326.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539326.1:103148:103627:-1 gene:KN539326.1_FG002 transcript:KN539326.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGWAPGDVAASRDGGRRAWQGSASVACVGRDGGARERGDWGWRRGGNGQRLIPMVRRRNDDGGGDGNARGKGRRGDRATRGMIGCGGAVLRDAERRGLMEERRRQDREHDLPCGEKSESRGRSVRGSLREGICCGGGAHRRQRGGARAMGSLSTV >KN539326.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539326.1:13973:14945:1 gene:KN539326.1_FG003 transcript:KN539326.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDEGGRPNGVTFLSLLSACSHSGLVNEVQELFDCMTRTFGITPELGHHTCMVDVLGRSGNLDDALQVISDMNVKPDGRIWGALLASCRTYSNSKLASYVAQKLMKLEPGCEIEVSIDGPCSQCTVLRSRSPRDRRCQWGLLVAGRVQLLARGSNRSDWMGCEYLALLAVKFSVLSDFRIYKFQVAYK >KN539326.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539326.1:40586:41719:1 gene:KN539326.1_FG004 transcript:KN539326.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMSGYGRVDEQVAIQEAAAAGLRGMEHLILQLSQTGTSERSPAPAPAQEQQQQQVDCREITDMTVSKFKKVISMLNRTGHARKHRVKRTIRVPAISSKVADIPADDFSWRKYGQKPIKGSPFPRGYYKCSTLRGCPARKHVERDPTDPSMLIVTYEGEHRHTPSAAGQDHPPAPPPPLALPLA >KN539326.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539326.1:81878:82745:-1 gene:KN539326.1_FG005 transcript:KN539326.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMLLLLPLLSLIMSSRSLALNQDFCVGDLARGDTPAGYPCKPEATVTAEDFCYRGLATTGPTVNPFNIALSSAFSTRFPGVNGLGISAARVDFSPGGIVPLHSHPSGTELIYVVEGTMSAGFISSTSNKVYTSTLRKGDLMVFPQGLLHFQINDGGGGGDDNNATAAMALSFYSSSNPGLQIMDFALFANNLPTDVLSKVTLLDDLEIRKLKSLFRGTG >KN539326.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539326.1:6952:8680:-1 gene:KN539326.1_FG006 transcript:KN539326.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGIAGVKGVRAERAVEQVGGLAIVRFDDGGVTGGWDGGVEAEDEVGVGGLGREAVQVVMGGAEGDGGDQEGLPARGAWVEAKGLEELRLTCPIVTWWP >KN539326.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539326.1:67870:68109:-1 gene:KN539326.1_FG007 transcript:KN539326.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSLRPNEKEEDDAGSHGGEEEATRRRWEAGEEGEDPRGREEENRPRRQIRATVTNQGRGRFCHHRAATLATGSVPQ >KN539326.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539326.1:16183:18981:1 gene:KN539326.1_FG008 transcript:KN539326.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLLIALGKITVALGADVLQQIRNLLSTEVSLFVQLTGRMNRIKKELSVIHAFLSQADLQGVQTRTVEAWVDAVRKVALDVEDVIDEYVHLLGQQKYGWFTSVKGKFGRSQHLCLWLQIVERLKEIERDLWHISEMKDRWIHTSTELLGRGNLDGDYHCALPYSPQCGYFINSDDMIGFGNYKMLLINWLAQKDSSTSVMVILGMGGIGKTTLASNVYETEKSRYDCSAWIATSQVYNICSLLRTTIRHCFKNTKEIPPNVDIMDQHSLIVELQEFLRGRSCLVVIDDVWDHVCIGTILKAFSHNEHRNKIIITTREIQIAKFVDQSYMIQMEKLEESEAWDLFCRKALLNDKEKSCPEQLVGIAKDIMKWCCGLPLALVTMGGLLSLREKNNSEWKRVYNNLLCSFDNDPGLNHLKHVLNLSYRYLPEYLKDCFLFCSIFPENSMIKRKHLIRLWIAEGFVEDRAGTTMEELAHDYLSELIRRGMLQVMKRNENGRVKHCRMHCIIREVTISLCKSRGFHMIWGNKESTSAYEARRFAIHGINQSSSEILTDLPHVRTFLSFDVTMSDTLLSRIVCSSRYLTVLDVSGALFIKEVPKQVVSLFNLRYLGLRRTKVKKLPSSLGRLANLQTLDLHHSCISRLPSGITKLEKLRHLFVETVKDSSFQSLNACSGVGAPSGICKLKSLQTLFTIEASKCFVQQANKLVQLKSFRITKVRGSHCSVLSESIKRMKQLVYLDILASDEEEILDLDISPPPSTLEKLCLRGKLNDSNLHSFFNSFCNNLTCLFLGWSSLSRDPLPLLSQMTNLAFLWLQRAFDGPQLRFVLGWFPRLRRLHLKDMDHLHSLEIEEGSVVSLEVLEMNGLNELNDIPGGIFFLNNLQEVYLDSMHKDFINHQSEGENVEDFPRFIYGHSPNFWRGEDVAYCHQYT >KN543007.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543007.1:7982:8998:1 gene:KN543007.1_FG002 transcript:KN543007.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDASAAALDPKLAPLLLFGHGDATSLYSVPTRALLPRRVGDGGVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRVGLPPDLDGTVLAAEGSSHRRRCLLSCCGPMDPASCVVLVIDRADTVLWYCRPGDNHWVKKHQHQYLQPGPPHHEHRSIVIGALRQLTAMDGEFYTDLIDHVGVLEFSPEPAFAATAVDDDDRRPAVYMKRTSIFVESNGELHSILFSHPIGCDRIVASVGVYRLSMATTQEQRPAWVKVDSLGGRVFFVQIGCFGASLDARTTGLRGNCIYYSGFNGKALCVYDMERGTTAVINPGQHLPYHQSPQILMPTR >KN539326.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539326.1:6298:6507:-1 gene:KN539326.1_FG009 transcript:KN539326.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMRKRVDQGFGGNGGAGGGLCEEEMPGAAKRGKDAGGGEEKAMAWGWGTRRQKRSLLRRRRQWGKR >KN543007.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543007.1:5368:6663:-1 gene:KN543007.1_FG003 transcript:KN543007.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATAAQGLRDWSSLPLDMLELVLDRLGWSTHPSFPSTCRHWRSAVSPFYPAWITPLLLSAADVGVTNVRYYSPYYHRYFEIADTLLKLARATQQDDGEWGPWELTDFVVEGPRLRAAPISNPVLHGGLLYVLGEDGKMAVYDPCNHDNNFKVPDKPKGFGIKHQVDSHLFESDQGALMAVLVGYSGAPVHVVKLNEETMEWEKMRLYDWPETIHVELVTRDGEAAFVPKSYYSSATREITSDINI >KN539326.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539326.1:97678:97983:-1 gene:KN539326.1_FG010 transcript:KN539326.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDMLLLLPLLSLIMSSRSLALNQDFCVGDLARGDTPAGYPCKPEATVTAEDFCYRGLATTGPTVNPFNIALSSAFSTRSSAFTISARNIYKLGHPLPLS >KN540509.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540509.1:46253:47398:-1 gene:KN540509.1_FG002 transcript:KN540509.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKQLRKKYFQKNKGLLLEQLICSNEKPSENKIFSLEELQKATNNFDPTRILGSGGHGIVYKGILSDQRVVAIKKPKVIKEGEINQFINEVAILSQINHRNIVKLHGCCLETEVPLLVYDFIPNGSLFRIIHANPSNEEYLSWGDCLRIATEAAGALHYLHSAASMSVFHRDVKSSNILLDGKYTAKVSDFGASRLIPIDQSHVITNIQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPIFTDESGLNKNLSNYFLWEIKARPIREIVASQVLEEATEDEINTIASLAEECLRLRGDERPTMKEVEMTLHFLHSKINVVEQSNNGRHPLQEPTRPPHHEAMTIDTGNKAILESSSCYNLEREFMSSASIPR >KN539326.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539326.1:24385:24685:1 gene:KN539326.1_FG011 transcript:KN539326.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARCDTARRGGRELELERRLTFGGIDDNMKAEMATVARIPILDVAGPPVETSTSDRASPSSSKGTKKQKTP >KN540509.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540509.1:38319:38648:-1 gene:KN540509.1_FG003 transcript:KN540509.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLAPLISSQSKELLLWPDSLLPLLLKDKFEVEGRASFVQGDDATSIAVTPLRSGLPVMPVYGHVQRHGRHDSVSLTVDEVRIEVTTYDFAVWKAHRKFQEFLGLP >AMDW01037187.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037187.1:133:620:1 gene:AMDW01037187.1_FG001 transcript:AMDW01037187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVMPSSPAFIHATPGVQLVAGGDSLGQQYNTPYS >KN539407.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539407.1:44911:46482:-1 gene:KN539407.1_FG001 transcript:KN539407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLGALLFQDSLSTMTRMSYYSLLLPILCPLILLLLFRCYATRSGGMLDKLPSPPGRLPLIGHMHLISSFPHMSLRDLATKHGPDLMLLHLGTVPTLVVSSSRMAQIILRTHDRVFASRPQSAITDILFYGATDVAFSPYGDYWRQIKKIVTTNLLTIKKVHSYSQTRQQEVRLVMAKIVEEAATHMAVDLTELLSCYSNNMVCHAVSGKFFREEGRNQLFKELIEINSSLLGGFNLEDYFPSLARLPVVRRLLCAKAYHVKRRWDQLLDQLIDDHASKRRSSLLDNNDEESDFIDVLLSIQQEYGLTKDNIKANLVVMFEAGTDTSFIELEYAMAELMQKPQMMAKLQAEVRGVVPKGQEIVTEEHLGRMPYLKAVIKETLRLHPAAPLLVPHVSMVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAGEFMPERFLSNTMAGYNGNNFNFLPFGTGRRICPGMNFAIAAIEVMLASLVYRFDWKLPIDQAANGGIDMTETFGITIHLKEKLLLVPHLP >KN539407.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539407.1:73901:75427:-1 gene:KN539407.1_FG002 transcript:KN539407.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYTLLLALLCPLLLLLIKRCRAKTRDDELFDKLPSPPGRLPVIGHLHLIGSLPYVSFRELAVKHGPDLMLLRLGTVPTLVVSSARAAQAILRTNDHVFASRTYSAVTDILFYGSSDVAFSPYGEYWRQVKKIATTHLLTNKKVRSYGGARQQEVRLVMARINEAAVARTTVDMSELLNWFTNDIVCHAVSGKFFREEGRNQMFRELIEANSLLLGGFNLEDYFPNLARVTTVRRLLCAKAHYVNKRWDQLLDKLIDDHATKQSSSVLDLDNEESDFIDVLLSIQHEYGLTRDNVKAILVIMFEGGTDTAYIELEYAMAELIRKPQLMAKLQAEVRSVVPRGQEIVTEEQLGRMPYLKAVIKETLRLHLAGPLLVPHLSIAECDIEGYTIPSGTRVFVNAWALSRDPSFWENAEEFIPERFLNSTAPDYNGNNFHFLPFGSGRRICPGINFAISTIEIMLANLVYRFNWEIPADQAAKGGIDMTEAFGLTVHRKEKLLLVPQLTQD >KN539407.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539407.1:18337:19269:-1 gene:KN539407.1_FG003 transcript:KN539407.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYSSIGQGVEALHRRLAVGEVGFMSAAFVQQAAAVVRSVHAQLLEVVGRLHLPAGERWLDEYMDETSRLWDACLLVRAGASALHAYSAAAAHAIHHLHHDDYIHAARAINAPRRHAAGLLQDNRALLHDNIHDPASLLLLDHRSPRDLNLNAFNGFRALLYALRNATSFLLAILLSATVSSCLPDHLISSSTCCTTAPGYASSMARLRHRVAQEMRALAAPAADGILMYEFRQARAAIDSLKADLDRVVATGTGYAHREDMAERADLVKGCLAMLSSGAEAVIAELDDLFDDIVEGRKMLSDLCSHR >KN539407.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539407.1:54064:55641:-1 gene:KN539407.1_FG004 transcript:KN539407.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLGALLFQDSLSTMTRMSYYSLLLPILCPLILLLLFRCYATRSGGMLDKLPSPPGRLPVIGHMHLISSFPHMSLRDLATKHGPDLMLLHLGTVPTLVVSSSRMAQVILRTNDRVFASWPQSAITDILFYGATDVAFSPYGDYWRQIKKIVTTNLLTIKKVHSYSQTRQQEVRLVMAKIVEKAATHMVVDLTELLSCYTNNMVCHAVSGKLFREEGWNQLFKELIEINSSLLGGFNLEDYFPSLARLPVVRRLLCAKAYHVKKRWDQLLDKLIDDHASKHRSSLLNNNDEESDFIDVLLSIQKEYGLTKDNIKANLVVMFEAGTDTSFIELEYAMAELMQKPQMMAKLQAEVRGVVPKGQEIVTEEHLGRMPYLKAVIKETLRLHPAGPLLLPHVSVVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAEFMPERFLSNTMAEYNGNNFNFLPFGTGRRICPGINFAITAIEIMLANLVYRFDWKLPTDQAANGGIDMTETFGVTIHLKEKLFLVPHLPQDN >KN539407.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539407.1:65903:67447:-1 gene:KN539407.1_FG005 transcript:KN539407.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMSSYSLLLAILCPLILLLIIFYCYAYATRSGGMLSRLPSPPGRLPVIGHMHLISSLPHKSLCDLATKHGPDLMLLHLGTVPTLVVSSARTAQSILRTHDHVFASRPYNTIADILLYGATDVAFSPYGDYWWQIKKIVTMNLLTIKKEVRLVMVKIVEEAATHMAVDLTELLSCYSNNMVCHAVSGKSFHDEGRNQLFQELIDINSLLLGGFNLEDYFPSLARLAVVRRFLCTKAYDTKRRWDLLLDKLIDDHVSKPRSSLLDNNDQESDFIDVLLSIQQEYGLTKDNIKANLVVMFEAGTDTSFIELEYAMAELMQKPQLMAKLQAEVRGAVSKGQEIVNEEQLGKMPYLKAVIKETLRLHPAVPLLLPHVSMTDCNVESYTIPSNTRVIINGWAIARDPSCWENAEEFMPERFLNNTAIDYNGNNFHYLPFGAGRRICPGLNFAIAAIEIMLASLVYRFDWKLPIDQAAKGGIDMTETFGITVRRKEKLFLVPHLPPQDN >KN539407.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539407.1:7175:16464:1 gene:KN539407.1_FG006 transcript:KN539407.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDFVSIYTQLNIELAEQAAQWPVWPASSDMTGEHRGVQSNSIVVVGIVQQTRGGRRRRSERIQTDPFPCLATLAELSTCEHRAIVSFFPSICWMWYNGGRRFGAVAAGGGARNRRGSVYVTPALPLPCRLQAMSCAQQDMDPPTREALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNRDALSIDDRSVKSGDESDGAESTSGKSGNIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGQSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCTGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSQDDSPALRLYHATSNGYADVKKSLSSSTKVDAPSSITNSSSSVGEDTSTRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETSATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTNFTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQVICEQSHLSRIKYYGNFDVSLGRYLQDILQNPVLQNQSAHIISSTKSLFWKIPRTQFFKPFCGKKAFNMWTFGEQGLLALFWVGLESCNVPVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTIHQNESLSASAHELVDINWSYQDLLLELYLWDRRLDQLTKCVSAGQECVVSCKDPVDTVVKIIRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEEPVLTENQGAGCAQFSSTGGRNDEDSYTAPCQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQELSNAPNHFRKIPDWNTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNKYPPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPNEVEAVV >KN539407.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539407.1:80555:83945:-1 gene:KN539407.1_FG007 transcript:KN539407.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLPFVLILFFFLLLLVLLHYYLSASTRRSSAASKSNDDVLPPLPPRLPVIGHMHLVGSNPHVSLRDLAEKHAADGFMLLQLGQVRNLVVSSPRAAEAVLRAHDHVFASRPRSAIADILANGSSNIAFAPYGDYWRTARKLVATHLLSPKKVQSLRRGREEEVGIVVAKLHEAAAAGAAVDMRELLGSFTNDVLCRAVCGRSFRREGRNRLFMELAAGNADQYAGFNLEDYFPSLAKVDLLRRVVSADTKKLKEKWDSVLGDIVSEHEKKSSLRRDDQVQMDDDQEEEESDFVDILLDRQQEYNLTRHSIHAILMDMFAAGTDTSYIALEFAMSELIRKPHLTTKLQDEVRKNTTTKMVTEDDLNNMPYLKAVVKETLRLHPPVPLLLPRLSMAQCNANGYTIPANTRVIINVWALGRDGKCWENPEEFMPERFMDSGDTIDNIDFKGTDFQFLPFGAGRRICPGMNFGMASVELMLANLMYFFDWELPVGMDKDDVDMTDQFALTMARKEKLYLIPRSHVIKIT >KN539407.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539407.1:98497:100503:1 gene:KN539407.1_FG008 transcript:KN539407.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLQLEAKTAAAQAVVIVVFFLLVPLALLFHFARAAISSRDRKTRELILSKLPSPPFRLPVIGHVHLIGPLPYVSLLDLAAKHGRDGLMLVRLGSVPTLVVSSPRAAEAVLRTHDLAFASRPRSMVTDIIMYGALDSCFAPYSDHFRSVKKVVTVHLLNSKRVQAYRHVREEEVRLVMARLRGAAAAAAAVDVSQTLQFFANDLICRAVSGKFLCEEGRNKVFRDLMEVNSNLLGGFNVEAYFPGLARMPLISKLICARAIRIRRRWDQLLDMLIDDHVSSARDRAKNDDDDDFIHVLLSLQDEYGFTRDHIKAISIDMFEAGTDTSHLVLEYAMVELIRNPHILTKLQDEVRRITPKGQQMVTEDDIADMVYLKAVIKETLRLHAPGGFTIPHLAREDCNVDGYMIPAGTHVLINLWALSRDANYWDKPDEFLPERFMDGSNKNTDFKGQDFQFLPFGSGRRMCPGIYSGKVTLEIMLANLVYCFNWKLPSGMKKEDIDMTDVFGLTIHRKEKLFLVPQVAN >KN539407.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539407.1:60271:61797:-1 gene:KN539407.1_FG009 transcript:KN539407.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESYYSLLLALLCPLLLLLLKRCWPKTRDDELFDKLPSPPGRLPVIGHLHLIGSLPYVSFRDLGIKHGPDLMLLRLGSVPTLVVSSARAAQAILRTNDHVFASRTYSAVTDILFYGSSDVAFSPYGEYWRQVKKITTTHLLTSKKVRSYAGARQHEVSGFNLEDYFPGLARLAAVRRLLCAKAHDVNKRWDQLLDKLIDDHTIRQSSSMLDKEDEDIDFIDVLLSVQHEYGLTRENIKAVLVIMFEGGTDTSYIELEYAMAELMRKPQLMAKLQVEVRSVVPREQEIVTEEQLNRMSYLKAVIKETLRLHLAAPLLVPHLSIAECEVEGYTIPSGTRVFVNAWALNRDPSFWKSAEDFMPERFIDNTTSDYKGNNFYFLPFGTGRRICPGINFSIATIEIMLANLVYRFDWEIPADQAAKGGIDMSEVFGLTVHRKEKLLLVPLLPQD >KN539407.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539407.1:27427:34905:-1 gene:KN539407.1_FG010 transcript:KN539407.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNRPPPPRKRHPPPPPPEEDHLVTYKRRRSKETQPLPLMANGANSKKDAKAQHWISWRDTLQSFLQSPAISQGGGIQTCIRDALQHNPCLLTNGVVVHTEFKGNLAHSQGEEAKVNGAAGGKVVSADAAIQDAAAAASSEANKAMCNNALFDILVSQKFALLCHLLLGTFHVNKPGDVIDLEKIDAKMRNGDYAHNPALFDDDIQQMWEKFEQVGQEMTGLASNLSTISRVSYQKQASGFSEAEVAEHRIEEISLPGAVHVVTKESTTTVQLAPCDSSHSTIPKRTVPPGRDLCPCDGCGTKVDVEEGLICDECDTMYHFACVKLLNPDIKQVPAIWHCSTCSFKKKELAADTTNNVAHDCLHGGNCVLCDQLELVKTEEEDPKLPIKIELAEEREGSSVSSMGEDNEPDLSTTALSNLCKHCGTCEDDDKRFMVCGHPYCVYKFYHIRCLKTSQLAIEQQKKLGCWYCPSCLCRGCFQDKDDDQIVMCDGCDEGYHIYCMRPARNTIPKGKWYCTFCKIRRAAEGMHKYEDSVLKIHGNSKHASNVNQSKDSEGDGRTLYLVKMVFLEVLFQLRTSMRDKEETGSSFCQTIVTVMEHEAKMGDVATIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKILKMKPLIEVAPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSIVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQSVNSSPRTPRSRMEMLPLVGDKQEKI >KN539407.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539407.1:3587:4460:1 gene:KN539407.1_FG011 transcript:KN539407.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASRHRHCGCSSRFRFMSRPVAGATRDGLVLVVFDRERLVSFFSSHRTSGSLWAIALISRRGRDDTESPTNESTHDADADAMDEQRVAASAASLLAVLVLSLVVSDLSSAGVAPTPPEVLSSPAAAGEAEALLAVKAAPHDTANVLADWNVGFGVGDGGPCNWSMVACSKAGHMDGSTGMPRWASARFLGYDIDLSHAAATTSVR >KN539407.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539407.1:70510:71853:-1 gene:KN539407.1_FG012 transcript:KN539407.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDLATKHGPDLMLLHLGTVPTLVVSSSRMAQVILRTHDRAFASRPQSAIKDILFYGATDVAFSPYGDYWRQIKKIATTNLLTIKKICSYSQTRQQEVRLVMAKIVEKAATHMVVDLTELLSCYTNNMVCHAVSGKFFHEEGRNQLFKELIEINSSLLGGFNLEDYFPSLARLPVVRRLLYAKAYDVKKRWDQLLDKLIDDHASKHRSSLLDNNDVESDFIDVLLSIQQEYGLTKDNIKANLAIMFEAGTDTSFIELEYAMAELMQKPQMMAKLQAEVRGVVPKGQEIVTEEHLGRMPYLKAVIKETLRLHPAAPLLAPHVSMVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAEEFMPERFLSNTMADYNGNNFNFLPFGAGRRICPGINFAITTIEIMLASLVYRFDWKLFTSRIDMTETFGATIHLKEKLFLVPHLPQDN >KN539407.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539407.1:88570:90187:1 gene:KN539407.1_FG013 transcript:KN539407.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRKSVLLSKLPSPPLRLPVIGHMHLVGSLPHVSLRDLAVKHGPDLMLLRLGSIPTLVVSSPRAAEAVLRTHDLAFASRPRAMVPDIITYCATDSCYGPYGDHFRKVRKAVTVHLLNSHKVQAYRPAREEEVRLVIAKLRDAAAGAAAPVDMSELLHSFANDLICRAVSGKFFREEGRNKLFRELIDTNASLLGGFNLEDYFPSLARTKLLSKVICARAMGVRRRWDQLLDKLIDDHATRLVRRHDQDQDDDDDAQQQQDSDFIDILLYHQEEYGFTRDNIKAILVDMFEAGTDTSYLVLESAMVELMRKPHLLAKLQDEVRRVVPKGQEIANEDNIVDMVYLKAVIKETLRLHPPAPLYIPHLSREDCSINGYMIPTGTRVFVNAWALSRDANFWDMPDEFLPERFMDSNIDFKGHDFQFLPFGSGRRMCPGIHSATVTLEIMLANLMYCFNWKLPAGMKEEDIDMTEVFGLTVHRKEKLFLVPQAA >KN539407.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539407.1:37640:38765:-1 gene:KN539407.1_FG014 transcript:KN539407.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSASANSRAEYAHPKHLPLDEFLRAGENVGLSLRGARMRLSIYRNWPSMHDNRFVLYKLTTQVPMPGREYAGLWGGTFGWPPGRPEDERKPGKALFFLLLSYEEDSEGKLQLIATKVLEGTHYVVHPNGSSMFIVRVGEPSAETFPWQTDEESRAVEIKRSFAGEGIATGYGFRYPGSKPGSLFVLQDGRLAFVWRENKAVLTLQRLDLEDMIRKGERVPALPPIPNFAYLTKSYSNVFAVAPGSTSFPASPRCQYSW >KN545042.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545042.1:21:1339:-1 gene:KN545042.1_FG001 transcript:KN545042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDK >AMDW01067446.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067446.1:563:1075:-1 gene:AMDW01067446.1_FG001 transcript:AMDW01067446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGNYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINEMEVPPKPFLS >AMDW01015577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015577.1:1:177:1 gene:AMDW01015577.1_FG001 transcript:AMDW01015577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RPDKAEKRRWVDEQVGLHLAARAWNGYCYGAGYGKGGAVDVSRYGDIGLEAALGYEFER >AMDW01038641.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038641.1:231:834:1 gene:AMDW01038641.1_FG001 transcript:AMDW01038641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RFGGAGFLELERGYQPWVIPKSEARGGAGHAVKKVKRWLRKMDEEMDYEFYDWNLRSYRFKSPFDRRPLVGPRERCRKNAAKRTLRLVGLTDPDYLLQCEDAAFGDWEDSCEDEDEVFEW >KN540154.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540154.1:44596:48036:1 gene:KN540154.1_FG001 transcript:KN540154.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVSVCPRLILLLHLSILASSLPALTGDDQAEDDRQALLCFKSHVSDPSGALASWQQRNTSLQLCSWPGVSCTPRRRVAALSLPSKGLAGSVPPCLANLTSLETLQLSGNGFHGGVPSELGALRQLSYLNLSGNSLTGVIPSALSSCANLRVLGLSNNSLEGEIPSTMSQCMDLQVINLSNNKLQGSIPTGFGTLPKLQILVLSGNRLTGGIPPSLGSSPSLVHVDLGRNSLTGEMPASLASSSSLQVLRLMDNTFSGELPVSLFNTSSLVAICLQKNNFSGASLTNASNMERLRLGDNRLTGRIPFFGSLTNLQALDIGANMLEAGEWSFLTSLSNCSALELLQIDSNNLEGNLPRFVGNLSNSLRILWLSENKISGPIPSEIGNLKNLNELRMDYNLFTDNIPQTVVNLRQLVALSIAQNKLSGHLPDAMGNLVQLNELNLDGNNFTGRSIPESFVNLVGMKLLDVSHNNLSGKIPEFMTSLSSLLVLNLSFNNFEGPIPTGGAFSNASVVSLEGNERLCTTIPGIAFPQCPISVNHKKEHNSLVLKIVVPVLLAAFSTFSCLVIILAKRRRRKQPQPDYEQSNRHMRKITYEDIVKATNQFSPANLIGSGSFGTLYKGCLEIEDNIVAIKIFNLDIFGADKSFDAECGTLKNIRHRNLVKVITLCSSLDLTGAEFKALVFKYMPNGNLDMWLHPKVNEQVQRKTLTLIQRINIALDVALALDYLHNQSACPIIHCDLKPSNVLLDLDMTACIGDFGLARFLSTRSNTQHSTSASLSRLKGSIGYIAPEYGFGVDLSTKGDVYSFGILLLEIITGNRPTDEKFNDGTTMHEFVYAAFPNSIYEVVDPVLLQDESNATHVMQNCIIPLIKIGLSCSVTSPKA >KN540244.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540244.1:6010:7081:1 gene:KN540244.1_FG001 transcript:KN540244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYMMMRKKAKQEDRIISPDMEDVLNNRLISYHDIVRATDNFSETNFLGAGSFGKVFKGQLNDGTMVAIKVLNMELEQAVRSFDSECHALRMARHRNLIRILTTCSNLDFRALVLPYMPNGSLETQLHSEGGEQLGFLQRLDILLDVSMAMEYLHYHHCEVVLHCDLKPSNVLFDQDMVALVADFGIAKLLCGDDNSVISASMPGTVGYMAPEYGSVGKASRKSDAFSYGIMLLELFTGKRPTDPMFVGELSLRQWVTSAFPSNVMDVVDNQLLVQDSSSSLNNFIVPVFELGLLCSHELPDQRMTMSEVVVRLAKIKKDYMASV >KN540154.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540154.1:50850:53923:-1 gene:KN540154.1_FG002 transcript:KN540154.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLFVGVLLTCFLLPSFLCIWQWKRPGLLDEPSASRLASSIDLFIFLSTNHIYSLGLVHQGKCVGAMGGTAACKELVNGYYIIHEKGNERAGYITNTYEVRYGFIATMDVYGFSLTPGQLVSYGSVWIITDNGDAPASSLEVFQIGWRVKPGDERPIFDLYCKMSDPSSPLTDPSHMDEDCPGFRPERGAYIRPGDPIPGISQPNGAKQYITLKVFKDMASGDWLVHYGFNNKDPELIGRIHLSFFKSLSYSAINIWFGGIVITNVTFQPTPLPPPMGSGYMTVDGGNMAASMKNLQFIDEQGRAWSAENDLIGFSTNENVYTFTSIVGDQFFYGGPFRQAILGAILRTHVLYSFLLMFFFYYLFS >KN540244.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540244.1:43831:44718:1 gene:KN540244.1_FG002 transcript:KN540244.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNQRKKLVIQDVPERQLSPDDADDEVNEYYTEGVTDVDNYIDSAFRSTRKNEAYIFIREENVVMNYGPATRDDKIISGLRYIGNTLQSLVGTAFAEHGIDAAFACHDNHGFLCARSEAMIFSANLCARINFAPRTTRDRIIQGPKTISQMFPFFKGTSFEKGIDAAFESTVTGEAYLFKGAEFALINYSRPILIEIRPIVDVFKCFRDCYLFATDIGAALASHVSKDVYLFKENDYLLFHLTPGETNHYIIGGPKEIVPRNWPSLKGILPRKNKALDIYESLQPNPVRDQDD >KN540244.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540244.1:17896:18387:1 gene:KN540244.1_FG003 transcript:KN540244.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRSDLTDPVTDRQLVLAKLQGLKAMYENLQTTLPLQRPFLFTAHVSEARSQLILAEFNKGSNCSTNSPTALLVATSGGNRGSTGGMNPIHATGYGAALRQTKVVATRHPRLRTTKSLASPVSPRLGPCRNITGTAQSRYGLVTQCIIITEVIYNATLLYI >KN540244.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540244.1:8112:10936:-1 gene:KN540244.1_FG004 transcript:KN540244.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRLYWVVQAPARGQACVRGAGVAMTKEGSLERRQMRRHPTSDACYRHCRSRRCSCWRCPSLDRRYYTIRIMLLVSALTSLLLLWMDPNSIPHWAIARVQQLWNEWEIQCLVIVSFLLQAFLLFATGFRKRHRSRVLRGLLWLAYLSADSVAVFVLGRLTLQTGDPRHQLTIFWAPFLLLHLGGQETISAFSMEDSALWKRHVLNLLTQSTLAIYVVAKQWRGDRRLLPPMLLIFVCGIGKYAERAWYLRRAGSRAPGSRSIAGHVTGARREFEREVFWYYDKLNCIFVENLQLHFELVLELATRGFQLSLDFLMDVIPAKSLRPETDWNEGLVARIKSSEKRADLVYKLAEVHLSLIYDYLYTKFGGFSGMVLLHCVLLLLRPAMFVLTSIAVSLFVVAQVDQEGTPTHNYYGTDVTISYILLAGAVALEMSSIFMWFKSSYWPYMTISYLKHRDRFRTLRTLLLFTVRLLGRQNIMEWSGMLAQYNMINTCNRENQAGSLEKMARSIGIDRDYTTHVLVSPEVKNVLLDQLLDIATTSGTPQDLDFANFHGQWARNGFDLSSEDEAAGQPRSSGGSAAQDALRISAIQHLDFVSSAILWHFVTEICLLAGDEEVASGISMLRRSSEELSNYIIYLIVKCNVMLGSDGHYVVKVARRDVKLFLGMVVSRREFVQKVRDGDPNVNLKEFPALDRAHRVSSKLFKMNAHDRWRLISLVCVEMICYVAQNCGAGFHAKHLSTGGEFITHVKMLLFIIGLPLRRHTKEQLFPSEEIEERKFLRRSHPWRRG >KN540244.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540244.1:49504:52740:-1 gene:KN540244.1_FG005 transcript:KN540244.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDKSSGAARALELTDRSGNGGSSTDELPGWFVHCGLDSIEKDKMTLGNRRHTEIKDGAATSAFTKPVSRSVKARLQFPVGRYLKKGRYAQRVGIGAPVYLAAVLEYLAAEASPIVYLFLYQSFQPSVVNRDMLMQVLELAGNAARDNKKNRIIPRHVLLAICNDGEQMRDEQLRDGEVTAEIGTTTPTIGKDLIFSVDGEILKEERGLEELKRQQHLGGWSMARLSKELEVETLGMRDGGTEINKLIQFLVLVTKIDEEEERIREELKEALLF >AMDW01038624.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038624.1:31:659:-1 gene:AMDW01038624.1_FG001 transcript:AMDW01038624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LFVIEGDFIHLREGAQQIISATTAAAKIAAVASSAPYSLLPSVAVTPVAQSTRQKRGPVVDSRSSNVMPSRNGSTTASFGDQFDKGGHIPKPNDSVGYNIVQGIGDVTIASKVKDIQENGFSDEVRPGQSSMHAVSANGVRQERSGLPAGLRGLHTFPEDEQVACRW >AMDW01025015.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025015.1:7:249:-1 gene:AMDW01025015.1_FG001 transcript:AMDW01025015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLELVDAAVDPSLLQPIKETILQVDGVKGCHRLRGRKAGTSLYLDVHIE >AMDW01040320.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040320.1:190:1352:1 gene:AMDW01040320.1_FG001 transcript:AMDW01040320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRIAILFSCWDCPNCVVKFPGSSGTGAKSPAVKEVRILVSKRVV >KN543182.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543182.1:2988:3289:1 gene:KN543182.1_FG001 transcript:KN543182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRASVLKEIVPGGKALDMCALLGETLDYAVSLKAQVDVMQLLVRTLQEQKLKNSGN >KN543182.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543182.1:6159:7743:-1 gene:KN543182.1_FG002 transcript:KN543182.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPTIKVETTPGDWRFPSANQTKRCFTCYIECIEAKGEGSDECTKYAKCYRSLCPGEWIERWKEQRANGTFAGPL >KN538699.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538699.1:399302:402022:-1 gene:KN538699.1_FG001 transcript:KN538699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYASLFDSAKGGDAVKSRGQMIERKIEVLEDMAAKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELHVSAFCRTSVGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDRDELVALNSWHHIDRQTREAIKRNFLPDLLEIYEERVRNFIEDTSGKDMLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTVRDGKLWKTTTIKKRSGTGAPSRITLVSFLRMKKNGSQ >KN538699.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538699.1:436471:439016:-1 gene:KN538699.1_FG002 transcript:KN538699.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMALAPPAPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSGGANGRLPKPSSGEDELVLTPAPRFAAERNDDAPDRPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRSNYANQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCQVRFNFGPNFEFFPEDFGGRSVPQPMSDVPYRPYQLANEVPAENGTAEKTIKLQ >KN538699.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538699.1:344362:344796:1 gene:KN538699.1_FG003 transcript:KN538699.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKSGQPTIAIAGCFAGPMFNMLVGLGTALVIQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLMVTWTRFRVPRFWGYCLMGLYILFTVVSIAIASSSG >KN538699.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538699.1:405660:413850:1 gene:KN538699.1_FG004 transcript:KN538699.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSAVLPEKLQTGKWNVYRNAKTPLKLIDRFPDTPDIATLHDNFVKHATFFTRKLLSSNLSSVLSSEAIYPKLRSYRWMTYGEASTNRTAIGSGLIYHGIPEGACIGLYFINRPEWIIVDHACAAYSFVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDDANIPSTPTSTGVEIITYSRLLNQGKMSSRPFRPPKPEDVATICYTSGTTGTPKGVVLSHRNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFSSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRVCFGGLVIEGYGMTETSCVITTMDCDDRLIGHVGPPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGTTIFCGYYKDEIQTREVIDVDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLKAWAASEGFQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKIKRPQAKAYFAKEIADMYAQLREAESTKSKL >KN538699.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538699.1:419067:430796:1 gene:KN538699.1_FG005 transcript:KN538699.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAERIEEEEECFESIDKWFKLESLLYFYDFWWLPNSSEFNIIISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVQITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFCIVHTCLLKLLVQLVTLVQHTAHSSSPAPAAVTSRRPRMALREAGSNPARLSAASLEGLLDKMSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKEDELTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNKNLSKNEKPSVPVARADEDDIFIGDGVDYSVPNKEMSHSPVSEDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQPNVYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGQYDDDMPSGKKQRA >KN538699.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538699.1:449257:450744:1 gene:KN538699.1_FG006 transcript:KN538699.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANAANQHNCDGPQPSPPTHFLVVAYGIQSHINPAQNLAHRLARIGDASSVMCTLSIHASAHRRMFSSLIASPDEETTDGIISYVPFSDGFDDISKLSILSDDERARSRRTSFESLSAIVSRLTTRGRPVTCIVCTMAMPPVLDVARKNGIPLAVFWNQPATVLAAYYHYYHGCREIFASHASDPSYEVVLPGMQPLCIRSLPSFLVDVTNDKLSSFVVEGFQELFEFMDREKPKVLVNTLNVLEAATLTAVQPCFQEVFTIGHLVAGSAKERIHMFQRDNKNYMEWLDTHSERSVVYISFGSILTYSKRQVDEILHGMQECEWPFLWVVRKDGREEDLSYLVDNIDDHHNGMVIEWCDQLDVLSHPSVGCFVTQCGWNSTLEALELGVPMVAVPNWSDQPTIAYLVEKKWMVGTRVYRDDEGVISGTELAKSVNIVMGDNEVATKIRERVNAFKHKIHEEAIRGETGQRSLQIFAKTIIESDKGSETNFETYGH >KN538699.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538699.1:335145:338540:-1 gene:KN538699.1_FG007 transcript:KN538699.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAAAAAKRLAGRVTKRPVLEKARMAGLAVAAAAVEARAEGEEEEECDLFDGEWVWDDSYPLYHSTDCPFLDVGFRCSENGRPDASYSKWRWRPSRCDLPRFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGNPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRSPPGAPGVVKYTIRVDAMDWMSDRGQWRDADVLILNTGHCGSYFQEGDAVKMDMTVGDAYKRSIQTLLGWLHNEVNSSKTHVIFRTYAPVHFRGGDWKTGGNCHLETRPDVTPVKSLEQWADFLNPVNDVLGNSFRPKLLGLDILNVTQMTAQRKDGHVSVHLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKRQSMIGQNVPLVGTKTLKAGWRKLNKYNLTI >KN538699.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538699.1:476325:478525:-1 gene:KN538699.1_FG008 transcript:KN538699.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGPLIHPVTVLEQCHVSPSPAPAAGRPRSLTLTFFDLVFLDFPPVQRLFFYDNADLRDAHDFLLRELPLFRESLAAALHHFYPLAGTLPCGIRERVSPPEVAYSDGDSVRLTVAVSSDDFQDLAGDHPRDTARLRPLLPPLPKHGSSQDVLAVQVTVFPRAGICIGTTLHHAVADGSSYVHFLKTWAAIHRLVPSIT >KN538699.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538699.1:462825:465494:1 gene:KN538699.1_FG009 transcript:KN538699.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGERAAEEYHCHDFEWEDLRAEVEANPAFSYHLSPFPTTVGTPEKPPPPPPPSEAWTSFHRRHTSGKFFKERRYLLKEFPELLNSKDSAKVLEVGCGNGSTVVPILCSSPSTTVYACDCSKETLEKANEIVCSTKGVEVKDRFHPFLLDASNAAFPDWLFCKSCRSPCAKDVDVLLV >KN538699.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538699.1:416604:418081:-1 gene:KN538699.1_FG010 transcript:KN538699.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNALEKYKGYGEDRWYFFMVREPSKTKKKDESNRKVVVDGVEEGSWSATGSVVQIHSTKEANRKAIIGSKRVLTYKSARSAENDMWSMHEYVLAGKSQMGQYVLCAIQLKQTYEREEKAREEQKNDNKHNKKAARMKNMQQQPTISQAQDEQQETSPTPGEETINVDPDQFMDIAHSMHMMFGGVDQDAPPFMPSLIAPFNNDDGMLQLQPLQLQNPNPEMIYSNQLEPSYIGDQSMFTPYCCDGNCISCRQLQFYQQQQAEDGSTVAFGEADLYQQHDRALGDTGVYPDNIWVDGNMADYAQRQIYNDQDNGGVLMQGPEDSATFPDNFLMLGEMAAGSDDVPGFDYEVDQSMAVVPHVAD >KN538699.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538699.1:360140:362109:1 gene:KN538699.1_FG011 transcript:KN538699.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKELSKRSFASKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGSVAFSNDIDLLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVESATAILTALH >KN538699.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538699.1:327019:333608:-1 gene:KN538699.1_FG012 transcript:KN538699.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEEGSPPAAAAAADPASSGSSDNEITVEQASFVHTEPPQDGSAPPVVSSNMEVLHDKVKKQVIKEGHGKKPSKFATCFFHYRAWVQGSLHKFEDTWQEQHPIELVIGKEKKQMAGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLAEDENNVKALFRRGKARAELGQTESAREDFLKAKKHSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFIVRFWQWLVSLIGSSRDGRSSAAAPAEKPPSWEKPRGPLPLSLFGADEEEEEEEGPAELPPTAADQRGASHASSNGSKPADLKDLIAGLYGSQPQPSSTDAAEVGTQEGSAAAAAAEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEDWSLFTSVSENLNNVQTTDHVGTHENHSIKSASDRILIDFYHKLREESLTVISQYKKDLK >KN538699.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538699.1:431333:431911:-1 gene:KN538699.1_FG013 transcript:KN538699.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGFWRLFTTPGKAHGSLQHQVRGEVQRIVGEYGGEGMPPLSITVTGHSLGAALAVLTAYDITTNSPMQRHGDDEAPPAPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSNDVVTKVPGFPVDDDCGAPPARMKPRLPRWLVSKMGWEYSDVGRELRLCSQGDTARNVVASHDLDLYLKLVAACTY >KN538699.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538699.1:489236:490072:1 gene:KN538699.1_FG014 transcript:KN538699.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPTDDVMMTGAGDVQGAAAAETFRVFVGYDSREDIAYRVCRRSLLRRSSVPVAVIPIVQQELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARMADPRYAVLCVHHDYAPKEATKMDGAVQTVYPRKNWSSMVLFNCAHPKNRAALTPEAVSTQSGAYLHRFMWLDDADIGEERDAYEAEAEEEEEHEAKAILHAPAAPSAVSVDA >KN538699.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538699.1:457838:458999:-1 gene:KN538699.1_FG015 transcript:KN538699.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPRPQMKRSTPHMLVAALVFLVLLFVAGAAVTGESNLEMVSMVGDPEHGRQKASRSLLAIPVGIKNKAAVDKLVSKFPAEEFALMLFHYDGAVEQWGDLEWHGRAVHVAAAGQTKWWFAKRFLHPDVVAEYDYVFLWDEDVEVDAFDPARYLAIVRREGLEVSQPALARGSEIHHGITARQTVAGGGGGGDVHRRFYRRARPRCDEGSTAPPCTGWVEMMVPVFSRAAWRCTWGMVQNDLVHGWGLDYKLGYCAQGDRTMRVGVVDSEYVMHRGIPSLGGGGGWSASAGRIAVRRRSFAEMQIFNRRWKEAVAADASWDDPYPETAAA >KN538699.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538699.1:383204:386707:-1 gene:KN538699.1_FG016 transcript:KN538699.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGGKGQPKDGGGKGQPKDAGGKGQKGGGGGGGNGGGGSKDGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDDDYFDDPKMMKQMAMPPPNAGGGGDKKGGNNGGAAGNGGKKGGGGNEIPVQIKGNANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGGAGELNFLEGEAAAAFRLAYTRIAHFNPMTTFALRLSK >KN544127.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544127.1:407:3348:1 gene:KN544127.1_FG001 transcript:KN544127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAAAKLVLLIMATAATCSFSLPVATDAGSERCLPHERDALLTFKKGITSDGKSFLESWQRRRRDGNFCLWRGVTCSNQGGRVVKLDLGGAGLGGEISPSLLSLDQLEYLDLSDNILQGTNDHVPEFMGSFKNLRHLNLSFMSFNDTFPPQLGNLSKLEYLGLSFTNLLPGEVPHQLGNLSNLRHLDLGYLVEMHTTDISWLARLRLLEYIDMSYINLSMAINWPHVFNMIPSLKALHLSNCLLPATNQSITLLNLTNFVELDVSMNKLGHPIETSRVPDFLGSLKNIRYLDLSETAILSGRVPPQLGNLSNLKHLDLGFISNMYTTDISWLTRLHKLEYIDMSFINLSTITDWPLVVNMIPSLKVLSHYNCSLSSANQTLTHINLTKLEYLGLSRNYFGHPIASSWFWKVRTMKELGLSETYLHGPFPDALGGMTSLQQLDFTNNDLSRNKFYGALPVWIGDLENLRFLQLSHNMFHGNIPVNIANLGSLQYLNLAANNISGSIPRTLVNLKAMTLKHPTRIDVGWYESLTYYVLLTDILSLVMKHQELNYHAEGSFDLVGIELSQNQLTGGIPDQVTCLDRLVNLNLSSNHLKGKIPDNVGDMNSVESLDFSRNNLSGEIPQSLSDLTYLSSLDLSHNNFVGRIPRGSQLDTLYASNPYMYDGNNGLCGPPLQRNCSSVNAPKHGKQNISVEGTEAVMFFYFGLVSGLVIGLWMVFCAILFKRSWRVAYFHQADKLYDKAYVFALVTWPRLTRQATAN >KN546630.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546630.1:194:382:-1 gene:KN546630.1_FG001 transcript:KN546630.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKERGNADYLDVCGVKNKSKLYLAEDPTSVERRYIERQKSAKIETANRAIGAIALEVDKLADQ >KN542525.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542525.1:94:1170:1 gene:KN542525.1_FG001 transcript:KN542525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QLSRLSVFECSSLKVIESKAPNLSSFFHRGFRVNFSVVETLQMKKLDMGCAIHDARANLPSIMPNLETLVIESVNEVVDATMLPTKFIYLKHLTIRMLTGSTISWPYDYFSLVSFINASPSLETLILNAYHPFHGQVTLRMVHESIFTDSQLRHIPEHHHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPIIDGVLTEAPRGLAAIRTYIEDKVPSTVNLTVLEPCSRCHVRRRVQTSSQSDNAVSI >KN542525.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542525.1:14226:14558:1 gene:KN542525.1_FG002 transcript:KN542525.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCSNFPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLK >KN542525.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542525.1:9637:12476:1 gene:KN542525.1_FG003 transcript:KN542525.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREAALARSLSKTLVPAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQTNSQRGKTEYLAQEKGCWIEIRTVICSQQQQAIHTIVELELINLKRGQNKIAML >KN542525.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542525.1:5663:6849:-1 gene:KN542525.1_FG004 transcript:KN542525.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPERESVAAMAEKADMIGVSGLKEAALLLANRDTRCGVFITAVQLRQAPALLELLTNAKRMPPGWVIPRDKKLRQLSRLANELSIIEEVVLPLLMEDAADLDGVRLLHQYGWSIRHIAQHVDKTGGPFFLAAAEASSFVLGLQDHLKSGASSVEFLRKNLEDLRVKIGEFKQLMIRLPAEVGVEEEAAVLVPERESVAAMAEKADMIGLRQAPALLELLTNAKRMPPGWVIPRDKKLRQLSRLANELSIVEEVVLPLLMEDAADVDGVRLLHQYGWSIRHIARHVDKTGGPFFLAAAEASSFVLSLQGHLKSRTRSVEFLRKNLEDLRVKIGEFKQFTVHLPAEVGVEEDGVDGRLVAGEDEEGHQV >AMDW01040273.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040273.1:5:1253:1 gene:AMDW01040273.1_FG001 transcript:AMDW01040273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLASITEVDRRRWVELTGREINFAIPQEACDFGTWRTMPNTEIELDRPHPVMKGNVHQHPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEVFPHAMDEECFLPLNSCGERTQQDVEMHSVVQPSWLHEFSGVMKKARGPVTAAKSIYEDDLGYLIMVSLPFVDQQKVKVSWRNSLTHGIVKILCVSTAQTPYIRRHDRVFKLTDPMPEHCPHGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEWKVCQPYSLVSDHQINAQMAEKASSAKSAEGYSVCSSML >KN540088.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540088.1:65817:66170:-1 gene:KN540088.1_FG001 transcript:KN540088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVAGDVALELVVVHSHSYGGQRQRRVEQAAVDDEYANVLGAHACLVEKVVDGAEHDGLRGLEPRLFHARVRRLPEHGDRDVGVVAARWTPSERMQEFFGAETCRYAEEEEAARACRI >KN540088.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540088.1:48289:50277:1 gene:KN540088.1_FG002 transcript:KN540088.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFELDCIDSRPFHSNFEVLNISLQLSQLRVLNKISSFCYNPSSQNMENHTWRKNLEGSPFMLSDSDNKFTVIGCRTLAYITDENNVGKLMSGCVSACRRGDVTSATNGTCSGIGCCQTTIPKGLNYYKVSFDQAFNTSDSIYNATPCSYAVLMDSSDFKSKQVRNEDLIWPSNDLLVS >KN540088.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540088.1:35326:36225:1 gene:KN540088.1_FG003 transcript:KN540088.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHILAILPWLSTAVSAGDPPPKCERSCGGVDIPYPFGLDPACALLGFNLTCNTTEDGKPYFKNVEVLSISLVEGQAQMRMDISYYCYNITSGEMDDFEWWLDLMNSPFRFSDIGNKFTAIGCETLAYIDDLDETGKLTTGCVATCPEGDLSSISDGACSGIGCCQTTIPKGLQYYHVSFDSNFNTTQIYNMSRCSYAALVESSSFNFSKNYSTSSAFYDHYGGQAPLLVDWAIGNETCKAAQEKSNFACISKNSECVDSLNGPGYRCNCSKGFHGNPYLKPDDPGSCKGEVSYSLI >KN540088.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540088.1:495:1300:1 gene:KN540088.1_FG004 transcript:KN540088.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding VHAIRTRWGFPSSCVLSASGYDQSRSEQRAHARRQGRCILLALGKLHCGCHIYNIHLQLASSINYGRHISTVQFLYNDDV >KN540088.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540088.1:16392:26706:1 gene:KN540088.1_FG005 transcript:KN540088.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNRGRTMLCLAAIAFVSELLTGGTKAQCPDTKCGGVDIPYPFSIGLGSCAMAGFELDCIDSRPFLGDFEVLNISLHLSELRVLNKISSFCYNPASKLMEQNRFESNLDTPFMLSDTSNKFTIIGCRTLAYITDQDYVGKYMSGCVSVCRRGDVTSATNGACSGIGCCQTAIPKGLGYYQVFFDTGLNTSNSIYNATPCSYAVLMDSSNFNFSTNYLTSAEFNTTYGGRAPMVLDWAIRTANNCEEAKNNHTLSYACKSDNSECINSSNGPGYICNCKNGYQGNPYRQDGCQDIDECKEPNKCYGKCRNKDGGFDCTCPFGTRGNAHTGPCDRGLVIGICASLLVTLTILLGIEWFKYKQRITRHELMRQREELMRQREEYFRLRGGQLLTNMMSRDNNIRFMLYDRDQIELATNGFDNMLVIGQGGQGTVYRGCINDDSNSNLVAIKKCKGFDEDSWAEFTDEMLILSRVNHENIVKLLGCCLQFDVPILVYEFVPNKTLYNLIHIQTDPSIRTLEIRLKVAAESAEALAYLHSSLDHPIILHGDVKSTNILLSNNFIAKVSDFGCSKIRTADENYDVVKGTMGYLDPEYLRNFQLTDKSDVYSFGVVLLELLTRRTPLSVDKVSLASIFQEAMREGHFLELIDTEILHEDNMGLISDLATLASQCLIMTSECRPTMSTVAEELRRRMAGQVQQDQGVLTGISSLALTSSAANTSEHFTGEPSTGYYSLKGVAPMSIEFAR >KN540088.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540088.1:55033:56121:1 gene:KN540088.1_FG006 transcript:KN540088.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEYFQQNGGEILQDIIRAEGNITFNLYNSGQIEAATNNFDNACIVGRGGQGTVYKGVLNGVAVAIKRCNNEIDESRKKDFMRELVIICRINHPNIVKLLGSCLQFEAPMLIFEFMPNKTLEELLDLCRSKRFHITLGTRLRIAVESADALAHLHSLPRPIFHGDVKPANILLAEGLVVKVSDFGCSTIDEKTQTVAKGMPGYIDPDYLHEYQLTANNDVYSFGVILLELLTSKKPLSKERKSLTSLFQEAMEDGTLVDILDNDIVDEDSMRVIHHTAALASQCLVVPGATRPAMSQVAAELQQLALVDEVQRCPQQPLLLEDMSFMEIGSTVSTWYGDSRTRGAFSLEKKAALSIEFAR >KN540088.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540088.1:3359:7456:-1 gene:KN540088.1_FG007 transcript:KN540088.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEWAVRNASNCVEAQKDGDSYTCVSSNSVCVNLSSEPGYICNCTRGYQGNPYLLDGCQDINECEEHENYHCYGNCKNIPGSFECACPAGQLLLEMMKVEGNVGFTLYERGQIETATNNFNNAQIVGEGGQGTVYRAEIDGTIVAIKRCKEIDESRKMDFVEELIILCHVNHSNIVRLLGCCLQFEVPMLVYEFVQNRTLHELLDFRRSRSCHVTLGTRLRIAAESANALAHLHSLPHPILHGGVKPANILLTEELVAKVSDFDCSTIDEKTQVDLEKLFSLGWKRNGWYEFSGSFRNVITKKTQVV >KN540088.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540088.1:39907:41355:1 gene:KN540088.1_FG008 transcript:KN540088.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MENAIINPADSIVSVMRVAKEMRLSQKGAKRSTSHHEQNWQLNGGQILQEMIRAEGNITFNLYTSGQIEAATKNFDKACIVGRGGQGTVYRGFLDGAAVAIKRCNNEIDESRKMDFVRELVILCRVNHPNIVKLLGSCLQFEAPTLIYEFVPNKTLEELLDLHRSRRFHITLGTRLRIAAESAEALAHLHSLPHPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVAKGTPGYIDPDYLLEYQLTANNDIYSFGVILLELLTGKRPLSKERKSLTSLFQEAMADGTLVNILDNDIVDEDSMRVIQHTAALASQCLIVPGATRPPMSLVAAELRQLALADEVQRCPQQPLLLEDLSFMEMGSTVSTWYGNSRTSGTFSLEKKAVLSIEFARGS >KN539348.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539348.1:69816:70883:-1 gene:KN539348.1_FG001 transcript:KN539348.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIRSRPFRAGGHTWHVAYYPNGQNAEKAEYMAFFLCLDGTASKGVEAKAIFSLLDMEGNPVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFRAEETPLIVVPPSDMHRQFGDLLLSKQGVDFEFQVGKKKFDAHRLVLAARSPVFRAQFYGRMRESTTKRAIKIDDMEVEVFAAMLTFIYTDALPEMKQQEEAAMAQHLLVAADRYNLERMKLICEDKLSKHIDAGSVANILALAEQHSCHTLKEACLEFLRSSRSLKAVMETDGFRYLIGSCPGLIKDIFSKLSPC >KN539348.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539348.1:47954:50272:-1 gene:KN539348.1_FG002 transcript:KN539348.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METKTPVVRSENQEGDADASESRTHESYCRIPSHIAGARIDGFSRTKGLPAGERLQSIPFTVGGHRWRLNLQPNGNAAEGHASLCLLLDEDVAKPVTAQFEFSIGAENRPSFFLLHVKRMKLKHAPFTPRVSTCNFASRAAWGFSKFLKWADLENQRYLEYDCFVIKCDVVVINEFRTVGGTTSAAATPAAPSFVSVPPSDLCQQLGVLLDTEKGADVVFRVGGETFAAHRALLRFIYTDSLPEMKKGEEDIMFQNLLVAADRYNIERLKLICEEKLCEYVGVGTVAAMLVLADQLGCDGLNKACFNFLKLQQT >KN539348.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539348.1:10500:16562:-1 gene:KN539348.1_FG003 transcript:KN539348.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRVARSGCSELIAIVVHHAPVVPEPAGHDHTCHLPNRAGTPFLYHDAPSSGALLLLEGRGEERRTAVATVSVPSPVIEVDGETGRFTVGGHRWRINYYPNGERADSADYISLYLLLDEKATNSSVKAQVKFQISSTDQVKKLQPLAYNEVNTYGEDSFWSWGQTKFIKREDFEKSNDLRDDSFTIRCDVAVIGEIRTEKTTEIPAATTFVTVPPSDLNQQLVDLLETEKGADVVFQVGDETFAAHRCVLAARSPVFSAELYGLMKEGDTAGVVRIEDMEAQVFKLLLRFMYTDSLLEMEEEDVMCQHLLVAADRYNLPRLKLICEDRLCNYIGVSTVLNILVLADQHHCDGLKKACFSFLGSPENLIAVVTGDGLEHLSRTCPSLMKELFVVMALPPNHG >KN539348.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539348.1:107922:109361:-1 gene:KN539348.1_FG004 transcript:KN539348.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLKEPWHHLLHIDGYSHTKDRLPNGCYMDSRPFTVGGHLWRIRYYPNGDVADASAYMAVYLTIDENIVVAVGGEAFREHRYVLAARSPVFRAELFGAMREATAAAAASSSDSEAIRVDDMEAPVFSALLRFVYTDALPAAPGGADDGQAAGGGSDSEEAAMAQHLLVAADRYDLKRLKLLCEQKLRRHIDAASAASMLALAEQHHCRGLKEACLVFLSSPANLHAAMGSDGFEHLSRSCPGVIKELISKLVPHCD >KN539348.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539348.1:3257:5144:-1 gene:KN539348.1_FG005 transcript:KN539348.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGNSSRSASTSTIVVDTATGYHLLKIDGYSRTKGTPNGTAIASSQFIVGGHRWRIKYYPNGKHTDNADYMSFYLFLDEKTNTNTESVKVRALFQICFADQVKTMPTLTSKTVRTFGDGSSYWGYAKFIKREDFEKSKDLRDDSFTIRCDIVIVHDFLVETTVIPPDKSFVSVPPSNMILQLGDLLETGKGTDVVFEVAGERFAEHRCVLAARSPVFGAELYGLMKEGDAAVVVRVEDMEARVFKLLLRFVYTDSLPEMKKKDEGVMCQHLLVAADRYNLERLKLICEEKLCKHISTGTVSNMLLLADQHHCSGLQKACCNFLGSSANLSQQGLPLCYETAGVRMLARGAIA >KN539348.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539348.1:103074:104159:-1 gene:KN539348.1_FG006 transcript:KN539348.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTTAPPSAASAIVAGAVNGHHVLRIDGYSHTKNTVRNGQHIRSCLFRAAGRSWIVYYYPNGYTAESADFVSLYAELQDEVLTTAQFTVSLLDELGRPGWSYRSSPCTCKCSGSSGEEYSMCGAERFIRRDQLEQSEYVRDDRLAIRFDVAVMDKLRTTEEIAGGAAPPSEMSRHFADLLASGDGADVEFLVGGETVAAHRVVLAARSRVFRAELLGPMKEGVAANGAIQVDDMDAEVFRSLLHFVYTDSLPPEAAPPREGAAAMAQHLIVAADRYDLERLKLICEERLCEHIGVASAATTLELAERHHCHGLKRACMEFLSSPTNLKAAMETDGFEQLSYPAVLKELMAKALVLRLI >KN539348.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539348.1:83230:84396:-1 gene:KN539348.1_FG007 transcript:KN539348.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSASNNGIPSRSSSSAIVVSKVSGCHVLKIDGYSHTKEMLSQGDCSRSCTFRVGTHSWYLEYYPNGRSLHNASDHIAICLVRDDDDGGGDLGYGGAAREQMTARFHLLDRHAGKPVPGYTRGVTSPLLSGRVWACSNLVTRKELEEHVLDGDCFAVRCDITIVKVPRRAAPAPAVVVDVPAAAPDLPSQMGALLLSMEGADVTLQVGGGEAETTTFAAHRCVLAARSSVFRSELFGATATSKAGSGGLVHVVDDGIGARAFEALLRFIYTDAPPELDEEDNDASSMARLLLGAADRYNVERLKMICENELCKRIDVNTVATTLALAEQHHCSSLKKACMDLVDANPRAVEAAGGFEYLSNKCPSILRELIARLADFDLKNDG >KN539348.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539348.1:39524:40549:-1 gene:KN539348.1_FG008 transcript:KN539348.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSMVSGCFVIKIAGYSRTREEGRSLDSPPFSVGGYSWRTIYHPSLLFYPVRMSFALVLLDRIDGPMTMNARCKFTLLDRAGNPVPSHARDSPVVIAWSGAERSWRCSDLVTVDELERRRPELLGDDDRLAVRCDIVFMDVLGGAAARPLPPSDLHQHLGKLLSEKVGADVTFQVAGRGETFAAHRCVLAARSPVFRAQLFGPMKEGSTASGVIAIDDMEAEVFSSLLTFIYTDSLPPDAAADGVMARHLLAAADRYGLDRMKLVCEEKLRKHIDGSSVGSILSLADRHNCDDLKEACFDFLSSGAKLREFAGTDAFEELIGSSPAVVKELVANVATLIS >KN539348.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539348.1:21551:23862:1 gene:KN539348.1_FG009 transcript:KN539348.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGADEDEQRRMNQGRIAGALKSRAFTIGGHQWRIHYYPNGNTEECGEYISLFLHLDEIVTDKNVYAQHGFSLFDEFAGDDDDDELRPSSIADLGQVSTFSGNNIGLGRLRFIKREELEKSKLSEERLLHRQMRCRRHQEYPVGGDAIGGEDLSKAKGGEDLLRAEKGADVVFEAGGETFTAHRCVLAARSPVFSAELFGSMKESDTTVVIRIDDMEAQVFRALLFFVYTDSLPETKKEDEYAMCQHLLVAADRYNMERLKLMCEERLCSYIGVGTVTTILELAEQHNCDGLKKACFDFLSSQENLKAVTAGEGLEHLSRNCPSLVNELIATLGNLIQ >KN539348.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539348.1:59230:59514:-1 gene:KN539348.1_FG010 transcript:KN539348.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLEAADRFNLERLKLVCEDKLCRGIGTATVATTLALAEQHGCHGLKEACVEFLRIPGNLSSAMATDGFEHLTTSCPAILKELMSKLAVVH >KN539348.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539348.1:72152:77780:-1 gene:KN539348.1_FG011 transcript:KN539348.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAPNLQSQMSALFLRADVTLQVGGGETETTTFVAHRCVLAARSSVFRSELFGATATSKAGSGGRVHVVDDGIDARAFEALLRTVRGYHLLKIDGYSRTKTVLSFGEYTRSRSFRVGNHSWHMDQILSERQLQRRHITATLRRRWERSGSAFLIAPAILIMKEPSAVADPPAVVDVAAPLPELNRDMEAALLQSEEGADVTFEVGGESFAAHRCVLAARSSVFLAELFGAMKESTAGGGKARVDGVEARAFRALLHFIYTDAAPELDGKDQETSSMARRSICSSRRTGHTWHVGYFPNGVIGAEEEADYVAFFLYLNDNDAAAEEAVKAQAIFSLLDIEGNPVSSYTFTTVLVNFSEEKYWGYKNFIKRESLENPLYLKDDCFSIRIDLAITPPLTVAVSPSDMHRHYGRLLISKEAADVEFLVGKKTFDAHRLVLAARSPVFRAELYGRMKESTTKSAIPIDDMEEEVFEAMLAFIYTDSLPKMKRRDDEAAMAQHLLVAADRYNLERLKLICEDKLSKNIDTGSIANILLLAEKHSCHALKEACFEFLRTSRSLNAVMETDEFEYLIDTCPGVIKELMSKLIVNLGQSNEWRREMGL >KN539348.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539348.1:93794:94468:-1 gene:KN539348.1_FG012 transcript:KN539348.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSSTGNNNGGIPAPSPSSSAIVVSKVSGCHVLKIDGYSHTKEMLSHGDCSRSCTFRVGTHSWYLEYYPNGRSFLHNASDHMAICLVRDDDGDAGDGGAYEQMTARFHLLDRHSGKPVPGHTRGVTWPLLSGRVWACSNLVTRKELEEHVLDGDCFAVRCDITIVKVPRRAAPAPAVVVDVPAAAPDLQSQMGALLLSKEGADVTLQVGGGETTTFAAR >KN539348.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539348.1:97031:98156:-1 gene:KN539348.1_FG013 transcript:KN539348.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTTTTDEPTTASAIVAGTATGHHVLRIDGYSRTKNVVPNGQFISSSSFRAAGHSWHVFYYPNGCDDESIEYISLYLLLEEPATATTATTATTTTVQFTVTLLDKDGRQVPSQKGNSGVFAYSSEIQKYGFTQFISREDLEQSEHLDGDRFALRFDITVVGKFRAEEIAGPVGAPYVAAELLAGVPAKDGGGAIVQIDDMDAEVFRSLLHYMYTDSLPPEKGTTREEAAMAQNMIVAADRYSMETLKLMCEDRLRKHIGASSVATMLTFAYRHHCHGLRAACTEFLSSPTNLKAAMATDGFEQLSCPTILKELMAKALF >KN539348.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539348.1:56437:57273:-1 gene:KN539348.1_FG014 transcript:KN539348.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNARCKFTLLDRAGNPVPSHARDSPVVIAWSGAERSWRCSDLVTVDELERRRPELLGDDDRLAVRCDIVFMDVLGGAAARPLPPSDLHQHLGKLLSEKVGADLTFQVAGRGETFAAHRCVLAARSPVFRAQLFGPMKEGSTDSGVIAIDDMEPEVFSSLLNFIYTDSLDDDGDGDDDDGVMAQHQLAAADRYGLDRMKLVCEEKLRRHIDGSSVGSLLVLAERHHCRGLKEACFDFLSSGVKLEEFAGADAFEQLMESSPAVVKELVANLATLLIS >KN539348.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539348.1:29083:31049:1 gene:KN539348.1_FG015 transcript:KN539348.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLGGYHLLKIDDYSRTRDLFPTSTALKSRAFTIGGHRWRIQYYPNGNTPNCGDYISLFLHLDEEVTREVYAQLQFRLLNDELGDKLPPPPPPPSLDANKFFSHASWGQPKFIKKEELEKSRHLKGNSFTVRCDVVVITEFVAKDMPEAATATAARRRTPARGTGSFVSVPPSDLHRHLGELLLGEKGADVVFKVGGKTFTAHRCVLAARSPVFGAELLGSMKESRRKAVVRVDDMEAQVFKALLRFAYTDSLPEMKEKDEGAMCQHLLVAADRYAMERLKLVCEEKLCERIDVSSVATVLALAEQHHCDGLRNACFDFLSSPENLKAAMAGDGFEHLSRSCPSLMTELVAMLGNLVQ >KN539348.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539348.1:63161:64344:-1 gene:KN539348.1_FG016 transcript:KN539348.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSLAAAASDGASSSSASAIVAATVNGHHVLKIVGYSLTKAVPNGKSIRSRPFRAGGHTWHMLYYPNGNRAEKADFVAFYLCLDDAEACSEAVEAKAIFSLLDMEGNPVSSYRFTTRLVNFMEHKKGWGFDFMKRESLEESEYLKDDCFKIQIDVVIITDFHTEEETPLIVAQLYGRMRESTTRGAIRIDDMEEEVFRAMLTFVYTDDLPEIKQQDEAAMAQHLLVAADRYNLERMKLICEHNLSKHIDTDSVANILVLAEQHSCHMLKEACLEFLRLSRSLKAVMETDGFGHLISSCPGLIKDIMSKLSPC >KN539348.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539348.1:32077:33189:1 gene:KN539348.1_FG017 transcript:KN539348.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGALSPTTAAAAVSASAIVANTSRGYHYLKIDGYSHTKATPTGEALFSCQFTIGGHRWRICYYPNGNVLEAADYISMFLVLDEIVVRNVKAQFQICFSGQVEKQAPSLAWKTVRAFNKQTSSSSSWGYPKFIRREDLEKSEYLRDDSFTIRCDIIVVDDYRAEDASSAAAGFVSVPPSNLHSHLGDLLKNEKGTDVVFEVAGQRFTAHRCVLAARSPVFNAELFGMMMESDTTTNDAIQIGDMAAPVFKALLHFVYTDSLPETMEEREDTMCEHLLVAADRYNLERLKLICEERLCKYIGIGTVMDILALADRHHCKGLKKACFDFLRSPANLSAVTGSESFEHLSRSFPSLMKELVDILGTSHNYAW >KN539128.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539128.1:87408:90816:-1 gene:KN539128.1_FG001 transcript:KN539128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METRGKKRKRERVVLAIPSSPARVQSPWIHLPPCIRAHKLESGEDSCDSYTSNASTAKTDLEIRVFLESCSEEIQAYTITFNTPKAQAYDNLAKKMYEKCRKRVTRDQVKYFWGECRKRLNMWVWLEGQATGLGCDPVTSAIVADAYWWKSKNAVYKGVACFRNDPLKYIDPYHAVFKGCTVVGNHSDVTGAVAAPTQDQQQPSAMSNDDWDSHGTGEQDWSEEDAAIINVLACSLELNQRAARRVPVQTGISWMLETMANPRQCKAMFRLKAKQIHSLHDLLSSKYYLHGSWEVCGIGALGMFLYTMAGNRPNRDTNNRWVRSSSTISLYFNRVLNAMLALAGNILKPVDPNFTYTHPRLLEGNKIRPFYESVGAVDGTHVSIVASVATSINNRNRHHLTTRNVLVVCDHDGRVMFLDAGWPGSVHDQKVLNQAIQYYPNNFPRLPQRKYLLADF >KN539128.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539128.1:123174:124750:1 gene:KN539128.1_FG002 transcript:KN539128.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFIDTAGKGQSDPLILTQLNITKAIRDSIQINFGECGLAACLGSLQGIYELYSSIVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVQTMESCFNKIKGLES >KN539128.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539128.1:109900:111513:-1 gene:KN539128.1_FG003 transcript:KN539128.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLRWLPINHVMTMTTTMLCFLELFGWRCCGGVEHARGNGIPVVVFPKSKSAPEGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQPNPDEYT >KN539128.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539128.1:101094:101516:1 gene:KN539128.1_FG004 transcript:KN539128.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLENNGRGANGTWGGGLPNGGPFFLSESESSLVLMGCDSQVLVWELGGNNTWSPLAVPSAHCHGPQPIVMALTTRRTLLRNFRERCMLEHWWLSNKHRFRLLVLSHPDSRDGSIGDGSACGYLHGRLRIQLYYRYILF >KN539128.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539128.1:142190:142831:1 gene:KN539128.1_FG005 transcript:KN539128.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPQSTQPTSFRHDIIYFNHIGGCITKSSIYIADDVLACHHLLRQNQPVFRLHRRRFSGTSSLALTKPGLHQSHRPSTLSLASRHDIIYSGNIGGNLAPSSFYVVDNIPARVCLLRKGQVLHRPHLSSPMLMASRRITSYFKKVGCYANPIFHLQCRCIPTSQKLLREDQGQYLLTWRPYVPSITLENYNTSFVSMHLQHHGSSPCTSPTL >KN538874.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538874.1:136577:140873:-1 gene:KN538874.1_FG001 transcript:KN538874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSAVLCESSAFFAAMAPPPEATVGGGSKRIEVDGVENVEAFKETVELMFEPDPMRWFVRAGVSRAIGVLEVSSSIMFDRGIKSCLEYIEAVPWNENEEEKLKNLFARCTFDEAVSKDVLARLKPQCQSISDDVTVHLIQSVTSSTNTGARKEMQSLVNGLLSRSSVYQKDLSGLNKGSLYQICCSCLNSLVEHFMEDLCPDKIVRDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVELWAKQEDLISMHGQASAMFRYELSRISANVFVVLGKGKVQCPSDLRSQLFYGWFRPMLMDFGWLQRCSKGLDMRMLEENLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSRAFQGGRHHVHLCALGREIRPDAGVYHTPFLFQSHDVGKAFLEKILWRPLEPSEFTMYIPSSPTNAIFLPSCEKEGNDPSILNSENVSAGPGLLMFSSSNGELLFPLPRHRWYLTRAPSGKMNGLKRCTRGATGLVTSK >KN538874.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538874.1:96450:102338:1 gene:KN538874.1_FG002 transcript:KN538874.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQRDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKVPPLKYEYYFALLRDFPDVQFTLNGGITTIDQVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRPSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >KN538874.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538874.1:47632:50550:-1 gene:KN538874.1_FG003 transcript:KN538874.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQAWPQRWPLVEVAMFSEVAILSHLCWLDIFGIFNTKHLSNGTSYGAYLVYNVQFLHTEDQNGGYKEQDATASGSSSTSSICSHECNHLVPQKHLRSLLFNMDYDGSSFVKTNNNQKKELKYVGICVRSDGWMEQEISTEISVVKQNNEENGDISIEFRGLTGSHQCQIIVEGIEIRPKN >KN538874.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538874.1:5231:6398:-1 gene:KN538874.1_FG004 transcript:KN538874.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPKLTAKELKSQQARERYTALSIEEKAALVQRNPENRERKNSASTSGTDVAAVVCDVGPVDHYANFPNSVRKHILFVIFVLMDAEVRNSMFKEPVLHDAIKIGVNFRNQELLQPAEQNNAPGETEVVIEPLPKKKHTGNKG >KN538874.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538874.1:41570:46227:1 gene:KN538874.1_FG005 transcript:KN538874.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNRCGLLPISLLQALATIILAVAAAARPAPIAGTSSSTSPVAAANGTCQSRVAPFGYACEEHTVTTEDGYILSLQRIPSGRSETAAAGGGGGGKVPVLLQHGLMMDGVTWLMNSPNESLGYILADNGYDVWIANSRGTVYSQHHTSLASSDSGTLIALAALSDQQQQVGMLRSAGLLSPIAFLDKMSSPLARAAADVFLAEALYWLGLSEFDPTGEYVHSLVTDICKQPGIDCYNLMSAFTGDNCCLDNSSVQVFLAHEPQATATKNMIHLAQSEDSLSDVQDVSRLLRALGQSHSRDGDKLTVQYLADYAHADFVMARNAGDRVYAPLMAFFKLQEK >KN538874.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538874.1:106443:132949:-1 gene:KN538874.1_FG006 transcript:KN538874.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSVLAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTVKWRIFTDNARDFLLKASYSPQNCDVSQRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKVLLNMYLPEFHIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTVGDKPKTARCQGRCGRGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQITYQVFEFFTTSSALLAINTDWEVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGILYDSRKDLNKLNNGRTTDRDKIAATCALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALKKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >KN542657.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542657.1:480:2450:1 gene:KN542657.1_FG001 transcript:KN542657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWEYCTGTAWPGVADLDYREQVLYFEYWDWMHTCKWRKGSCEIDLHHRKQVPAGGDRPRMQVPVWCIFVSGYGHVWLTMDGRTKEPIAAARGIIATINEMLPDDVLAEILGRLPPRSLAAARCACATWRATIDDRRLLRTDLLPLSLAGIFIRFDHLRFPEFFSRPSTPTTTTPAVSGKLDYMPNKYASYTMVDHCNGLLLLNTHVVNPATRRCVTLPRLPPPRNTGGHNYIVFDPTVSPHYEVIKIPYLKWDTRCDPIIRESEWPPSPFLLNVFSSATKQWEDRLFVREGEAAGTIGDLQLSGKRHAVYWHGALYVHRCNYVTRLSFHDGKYKVIKNPQDIDMSKCLKFYLGKSEKGVYLASLEQELDLQLSVWILNESCAKAKWVLKHRNNLKPLLSRWGYHQVNGPWILQDVNYDLYRKNFGGPWFYNIVTYDDLLLEGNNEVSVEHKYEWYSDNDDADHDTQDGVEEQSHVRISLLGFHPYREIVFLSLSCERGVAYHLNSSKMQDLGSIFPQNFNQVSEVGGGIEASFPYTPCWIGEFPEISSEDHLYRN >KN538874.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538874.1:8499:10386:-1 gene:KN538874.1_FG007 transcript:KN538874.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMLRNSVTNEYGTVSYSDLGGFKYLVYANGLCAAYSLASAFYIAVPRPATLSRSWVVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGGFCRQARTSVAITFASVACYILLSLISSYRLFSAYDPPQPSLGNKGVEIAAFPR >KN538874.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538874.1:54793:81724:-1 gene:KN538874.1_FG008 transcript:KN538874.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTPEGLLLLLHEPSLRLHLLNPLTRHRTDLPPVTALLTPEWRRRASWRLGAHGVGLRVNAAGLVVEDASTLVAVDFSDPTVRSIVKPGDESWIVIDDDNNNSTYNTSHAALPFAGRFYRATDRGIMELDIASDDRRKPPPRMRMAVESSLIHSSRALQSTLHLVDNGGELILVQRMLWPDHYAHDDGLWTYTLRPCLANCRRVQCNILSCDLENGNNQRRKKIGFEDLSIQGKKKDKAVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSERDERGDSQGGIGCGVRGQPKPHVGGFSLGLIPTASEQSLKGFNGRGMSRAISVSAETFPFVAADTIYFGYCGNLERLSSRHHVDGDCLVAFWFSPGNAARVDATKRSFDRGFYLLVKAIQELRERKDGLCAGRSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALARNLQVRARTFLRFWGFLFGGVGIGCVQGACFNGRRVAMLVMLLSVITVSRCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNEVSIGFLSVDNILPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTDNVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELQVSEECGVPSSVTFDLTRDHHDSMRMYTIKVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGCISLELWVVSPPPILIEVDSLQGETIPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAEALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTVFRMDLYRYEYHMVNWRWKNGWDMLRP >KN538939.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538939.1:70481:76424:-1 gene:KN538939.1_FG001 transcript:KN538939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMTSASGCAKLDYLNSQEPGDESQINAIDIVDRLLVEDDIETYQQISIDQTTRAKSASTLDSDIAQCLAKRAKCSFPLKKDGNFDWVDTPTVDDCRTSIISMENTVDRANNQVKHGGCGSSTRAWPILECIDEDSGTNCLKKPEPFRGTDDLYQEYDIGPNTQMAAEAMEALFNASTVSYDVKENERPEDSVVINMTKGTKVDKTCAVHSPIQKRKVNFLHHRSGVATEYKQIKVDDTVRENGESSVSHTSTSQTRKYTKQMAGKAKRNISSGITQRYIDHEVSEVITRSGTNDSNIPLSLDTDALIHPKRRRTYIFTSGSSKIEFIEAIKPTALRAKTTEVKQLSTANTVSVSDQDTTSGMRMTQHSSFADHEASAGSSYFNPLAETFTVGLEKQSIPEKKGHDSSLMPSVPLRELNGAGPQARTRTSETLKRVLKSPGSRELANLFRNEVSPVLQSSRRRRKHMSTVRVLLSQSMGNETLNDQTKILIHFGLSVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPAWLECCREARSFIDEKRYILRDIKKEKELGFSMPVSLSRACKKPLLEGRRVLITPNAKPSKELLKSLVVTAHGKVLERNAMSKMKNRSLMGAFVISCEQDYKICVPFIKNGFEVFESELVLNGIVTQKLEFERIKPCEVSASLDKLVQVLGFLHDSESLAQLETCELLWPRDHDTAIHLLHPFTGDIADLPPLETLRPQMGNTTNSVLWNYNQEKHRIGFLRDVCASVSVNDTGSITVMLAFHLFNRVAFAASGDLQWTLSKCDLGRPCWRTLSYQGKLFMVKAKHDITGNSDILQIDPPNDQDAEGSPLPEKELAPKLVATIPKDKLFGPFFLAECDSEILIIGHDSRPTSLDSQTMLLPFAYNDIGNYTHTSVYRISDLTSGRFSPVASIGDHALFIGPRTICVSSKALPTIFCGDTGGYIFHTPPTELFFTQYHLSSRTWSPLIDGSIGNSPPPRPYSLIHHILTCCYRKYWNKGLIFYRETTPSWRVERNCRVGG >KN540298.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540298.1:45159:46380:1 gene:KN540298.1_FG001 transcript:KN540298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASYGRHSLILVPFQTNKNHFYRLESSPVAMELTHW >KN542657.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542657.1:11427:13115:-1 gene:KN542657.1_FG002 transcript:KN542657.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CDC73 homolog [Source:Projected from Arabidopsis thaliana (AT3G22590) UniProtKB/Swiss-Prot;Acc:Q9LJ87] MDPLAVLRDYAARGDLDKIIFSGDEVLFGSEYTFPANAPTAFTNKQSGRPYPLSAAVFLAQHNDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRHGHHSLPTDPLLPSALPSFAPEPQPAMPEEPEGDKASGAYIRALERTLKDRNALLDARGRDFLAVLQNATRREEERRRNKDSAPSSARHEPSSAAAAAAMAKPKVERSFGDGFVPIILVPSASQTLITIYNVREFLEDGVFVPSDERMRAMKGSGKPECVMVQKKLIRGERAGAGGGATTFEVRDKPASLKADDWARVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYVRFEDDSVEAAKVVKQWNVKIISVSVRCI >KN542657.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542657.1:6497:9631:1 gene:KN542657.1_FG003 transcript:KN542657.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVENLSTCRLWLVREQCRRSPEDDTTSAELVTVATSAPSQARGGLPLPPLGIRSGVPNLRGAGSGRGDGQSGAWGEREGVESAAGGVCAQAGQEAAGGWWRPCCARRGHGGRGHRLARDVAAKSSEPASATPAALAPAAAPDAPAMTPSAAPAEPEASEAPPVPADDSPAATVTAPGDAGSSAGPQVASKLPELLHSAGVRNSSNMAAAAVITLFLAYVSAMYV >KN540298.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540298.1:249:3806:-1 gene:KN540298.1_FG002 transcript:KN540298.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPQSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDFLCSIVNAINPEKHERRKAAAA >KN538939.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538939.1:34295:39461:1 gene:KN538939.1_FG002 transcript:KN538939.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELLAMFQEVAIVDEVTVIKDKATKASRGCCFLICPSREEADKAVNAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSSNMPSASPMQQSSLFGALQMGYMPQYNGFSYQPPGTYGLMQYPLSPMQNQATFPNMVQPVNQGNSIRGVNPELSPNSVPRSFNAMQLSSPYPPVPGVQYAGSYPGGLMNSRPFGNSFSSIKVPIVNASSPASSSPSSNPGGQIEGPPGANLFIYHIPQDYGDQELSNAFQRFGRVLSAKHWELRVSSLFNSHS >KN540298.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540298.1:24967:28384:-1 gene:KN540298.1_FG003 transcript:KN540298.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLIPVISFFLGAALTAAFVIATMDINWRLSALASWNNNDSQPAVTDELCHIHRAQKLKAESLKLTTDEMKALSELTEVLRNASMDDRTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPAALARCRQVHPHCYLLRRPEGAVDYSDEKRFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAVANDYYNGDPESLRNRPNGGFLYVRAARRTVDFYRRWRDARRRFPPGTNEQHPNLGPSDKTRVSIVSRMLTSRALLFHSLVDQIGIKLVCALSSKNCPVL >KN538939.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538939.1:5080:5984:-1 gene:KN538939.1_FG003 transcript:KN538939.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGEMIASSVARRVASKLGDLAVEEATLLWRFKDDVDDMKEKMRDLVAVMQDADDKVRQVGKDGAVARRWLAKVKSVAYDVEDVLDEFDAAQLIRNHQSKQQKV >KN540298.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540298.1:13400:21280:1 gene:KN540298.1_FG004 transcript:KN540298.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSTEPSNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKESELVKFDAILSFLYVQMIGGGLQQQRLRGRAIEEAPGITRSGRTAARFGEGASRSVALRLITDENGDGLTCKLMKKSESLQLQLAWSTPAQGFLDGSWLCRALLAPPFHTDSCSRLPPPLMATSTSVGVGKLLSRRPHNTVTLASTRCCYAQLNGEPLDVIAQTTMGNNNIAFEGLIFGCPHLGICRIQPVMMPLVQSCSLSQPPTIFSCLSLTITSIAVKVMFELNRSKKVEYLLTNKQPRSLHGLLSMDGQAFQQNEKVKYNEILFPCSIKLQMWSELAALQADIPFLNVECA >KN538939.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538939.1:146658:152475:-1 gene:KN538939.1_FG004 transcript:KN538939.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTTEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALSASSVLDKGTELVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGPI >KN538939.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538939.1:178:2813:-1 gene:KN538939.1_FG005 transcript:KN538939.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGAMIASSVANRVASRLSELVVEEASLLWRFKDDVDDMEEKMRDLEAVIQDADGKARQGGEAERRWLCKLKSVAYDVEDVLDELDAAQLIKNHQPKLKLFLSRNNPLLRKMTIARNMKNLREKIVAIKNDSIKLHLVHREPVTEGSRGNETFADDSDMDIGMLGRDAETKKIISLLLNTEAKEDISIIPIVGLGGLGKTTLAQAVFADERVNVFDMRIWVYVSKEFDLLKIGKAIIRGANKSINLDSCNLQFVKDNLKKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIATTRSGSVVQVLYTGYLANERKVCPVPEPDHINLGVLSPDDCWMVMKRRVFGPDDEEIGLEEIGRQIASRCGGLPLVANALGQIMSEHRSIEAWTDIRDRKIALDFKADHQQDTLERLMLSYYYMKREFKMCFTYLAAFSKGFVMHTDRLIQQWRALGYIEASDDGQRCIHYLLGMSFLQISKSSLLVNYQKQSRIFKDLPSKVRTFHFRQCNGMQLPPKAFSQAKYIRILDMSGFSFEGHSTPRSIVMPSSIRKLMLLAYLDVSGLPIVALPKYFHTLQNMQTLILSNCSLETLPANMGNLHKLCCLDLSRNNDLTELPTSFENLLNLSRLNLSECSKLEELPESVHNLKCLQQLDMS >KN540298.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540298.1:55611:56711:-1 gene:KN540298.1_FG005 transcript:KN540298.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNSCSIDSEIALIGDDLLSEIIVRLPFKSVARSACVSKDWRAAVSDDYLRRRLPLLMTTVRWAALPAPAKAATLSVLAFDPSTSPDYRVVNFTGWRDRGAAVEVFSSATWAWTARDAEFGGVPASSLSGSMHYHRGVLYILASEPDCLVSLNLADFSSTATVIDLPEPVDGGDAHVAHSGGRLHYIFRDGELLKVWELDDRDQWRPKHAVKVEHLAHGGDEVRFLAMHPEEEGVVYTWSPWKVVEHDLRRKTTTCHCQAWEFDEGERNRVVKAWLVPSSCYLSDCLAHCPVKC >KN538939.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538939.1:177558:178995:1 gene:KN538939.1_FG006 transcript:KN538939.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVASVLTLALVLGVAAIPTVVKSIGVCYGVIGNNLPSPSDVVQLYKSNGIDSMRIYFPRSDILQALSGSNIALTMDVGNENLGSLASDPSAAAAFVQNNIQAFPGVNFRYITVGNEVSGGDTQNILPAMQNMNSALSAAGLGNIKVSTSVSQGVITGFPPSAGTFSASHMGPIAQYLASTGAPLLANVYPYFSYVGNTAQIDIKYALFTSPGTVVQDGGNAYQNLFDALVDTFYSSLEGAGAGSVQIVVSESGWPSAGGTAASASNAQTYNQNLINHVGQGTPKRPGSIETYIFAMFNENDKRGDETERHFGLFNPDQSPAYSINF >KN540298.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540298.1:10452:11352:-1 gene:KN540298.1_FG006 transcript:KN540298.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPATEKLYRMLGMYEALTAVEPDIESLFTGDARDFFSAEVAGVAAQLGSTIRHTIDQFVNVIHGESSRRPVHGGEIHPMTRYVLNYCGLLAECRATLDMVLADNNNNTNDTNDDDDNNGGAASSTPSGRCMREILTHLLRNLDEKSRLYDDAGLKNIFLMNNIYYIVQKMMEFPALRELLGDDWVRRHRGQIRQYETGYLRASWMSVLASLRDDASPAAAHAHGGRAALKEKARSFNAAFEELIYKNCEEND >KN538939.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538939.1:141774:144740:1 gene:KN538939.1_FG007 transcript:KN538939.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPPSHSRWHLVECGGQRAGVPAWTAGRGEVVTCSHEENSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEKLIASEKTFDYIEGFVIINRTGILNNWRTSFKPQDPVQAGQFQSDGRVLYCLELTMNFNHDEADIMEQEVGALLSRLRYISSTLFYTDVTYLEFLDRSTDKTRFGSYPGMNRPNQMQSFNVEL >KN540298.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540298.1:32119:36608:-1 gene:KN540298.1_FG007 transcript:KN540298.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTEQLLECMGQLKSGLSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVNTTFQVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGQQLIYHKFPLDIAERHVLLMDPVLGTGSVEFIQCSFHSDVCLLVGMRTTEVTAEVSYHNYSTVQAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRVIPGLGEYGDRYFGTD >KN538939.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538939.1:88394:91998:1 gene:KN538939.1_FG008 transcript:KN538939.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGSCGSLALKDAYGRFREKVQIRIWRRAGRSVDRTTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSAKHMTFRSTSSVFLSAGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAVLTVVYIDFNCTLSNSGPLPLASLDEKCTVSYLPQTAMGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAIGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSTSDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >KN538939.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538939.1:27580:29282:1 gene:KN538939.1_FG009 transcript:KN538939.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PSB28 protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G28660) UniProtKB/Swiss-Prot;Acc:Q8W0Y8] MAAVMKALAVASPISARAQPRRCPAGSSGGPSQSLHSSFGGVSLQCRRTKPASLQRSRPSMQVVMMAARPAIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFDQPSVFDSSAELGDITGFYMIDDEGVLQSVDVSAKFVNGKPALIEAKYVMRTPREWDRFMRFMERYSQANGLQFVKK >KN538939.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538939.1:9063:9954:1 gene:KN538939.1_FG010 transcript:KN538939.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVFRAFAKAFDTVVARDRYSSVARVAGEKPFELCYGGTGGFALMKRAGYDAPAITLELGAGATGNWTILNGNYLVRGTCVGIVEMGPEGMPVDGEPAVVLGGMQLENILMVFDLDKRTLGFSRLLEWDLTNCYSASFL >KN538939.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538939.1:54541:57012:1 gene:KN538939.1_FG011 transcript:KN538939.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIEIVTLGFFVFLLHKGAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNAHRIVIYHCILLNFSLHFQILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDESGVEPKDIELVMTQATVSRSRAVKALKAANGDIVTAIMELTN >KN538939.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538939.1:84300:85514:1 gene:KN538939.1_FG012 transcript:KN538939.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTEEGKEKKVTVDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNSREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLIIAGSADFKTELSQSDMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEELSNVKFIQEKKLIGKYFEEISQDTGKYVFSVDDTMSALEMGAVETLIVWENLDINRYVLKNSVTGETTVKHLNKAQETDQSNFRDKATSAELEVIEKTLLLEWFAENYRQFGCSLEFVTNKSQEGSQFVRGFGGIGGILRYQVEINAYEDLSDEEYDEDYE >KN538939.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538939.1:186686:187842:1 gene:KN538939.1_FG013 transcript:KN538939.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGVTSVLAVVLVIGAFVSIPTVQSIGVCYGVKGNNLPSRSDVVQLYKSKGINGMRIYYPDKEALNALRNSGIGLVLDVGGFDTVSYLAASPSNAAAWVRDNVKPYYPAVNIKYIAVGNEVEGGATNSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLATTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMVDAVYAALEKAGAGNVKVVVSESGWPSAEGFGASMDNARAYNQGLIDHVGRGTPKRPGALEAYIFAMFNENQKNGDPTEKNFGLFYPNKSPVYPIRF >KN538939.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538939.1:93592:95761:1 gene:KN538939.1_FG014 transcript:KN538939.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPPVAALEQMSRTKMFGGHNLRFRHHSATLGCPMTFSVFLPPSPASDLPVLYWLSGLTCNDENFVTKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVVVCSAENLHT >KN538939.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538939.1:110986:112602:1 gene:KN538939.1_FG015 transcript:KN538939.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFSPVVNPINCPWGQKAFSNYLGPAKSDWEEYDATCLIKKCNKISTPILIDQVVNMAFLNYSRNEAKRKSSSGEDDKFLAEQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIVHHSQFLKCA >KN538939.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538939.1:44686:49436:-1 gene:KN538939.1_FG016 transcript:KN538939.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAVGEGQHQQQRPPDGAGGGGGGGGRGGGRGGSGRLGWCCCCCCGVGGVVRLKCVAALVLGVAVLLSAVFWLPPFARRGRGSEGPDPGAGYDADIVASFRLHKMVPELNGNASKLELDIYEEIGIPNSTVVVNSLQLVGSNWTNVIFSIVPYPKNLTLSSTGLSILRSYFMSFVVRQSTLQLTESLFGNSSSFEVLKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYEGCAHKVRSISMREHTVLEQNLYIKLANLNGSTVDPPTIVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSGGVALPPHSLSPRYPNVHSRSPIPSPPVLPEPPLPTVSFAHAHPPSEHTSRRDPAGLLALAPAPHSFASEPYKVTGRAFAISFWVEMQASAMTNFVEFC >AMDW01017224.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017224.1:42:257:1 gene:AMDW01017224.1_FG001 transcript:AMDW01017224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQIARRSGKLCAFVNEASASALGMWMLEDYSDPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVE >KN538939.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538939.1:12390:16759:1 gene:KN538939.1_FG017 transcript:KN538939.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPIKCLLPPAIVSLVLLISCMVATGEQQAPYKPLVVPLVRDSDTSFYTIPIKNGAPLVVDLAGTLVWSTCPSTHATVSCLSGACGAANQQQPRRCRFGNKFALCMSQFVAFGDAPVYLGMEGRGFVDYREILPYTPLLTNPRNPGYYLPVKGISVSWSVPETPASLPAGALDLDARTGRGGVVLSTTTPYTVMRPDVFRAFAEAFDTAIIRRSKYTYSNVTRHPPVGPFKLCYNGAFPMLKRPASMDIPTIHLELDGATGTWSWFNDNYLVFAPGAALCVGVLEMGPGGMPVDGEPAMVVGVKQLDWNLLVFDLDKMLMWFSGDLAFRLSGCSYSSQIGCLMTLIPPATVSLVLLLSCLVATGDQQPAAYKLPLIVPLVRDTNTSMYTIAIKKDDAPLVVDLAGALVWSTCPSTHTTVSCLSGACGAANQQQPRRCRLFTENYMVQTPRGMCVGILEMEAGGGMPVDGEPAMVLGLKQLDTNLLVFDLDKMLLWFSGELSFRLTGCVSPF >KN538939.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538939.1:62088:69581:1 gene:KN538939.1_FG018 transcript:KN538939.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIRKKSMEFLKSFEVPAKNPSEEAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQKSMEFLKSFEVPAKNPSEEAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALFVQKAALQFIDAVRKTEHPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCAAVSVAIGLATEGWPSGMYDGVGIMLTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLGKAGAPGGLLRWRMVDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKIWASGAAQTMSNAKGFDQLNSSMSETFAKVLLEGVFHCSGSEVVRGKDGRHTIMGTPTETAILEFGLAVEKRARIEHAAAGKLKVEPFNSVKKTMAVVIASPSAGGRPRAFLKGASEVVLSRCSLVLDGTRNVEKLTDAKARRVASAIDAFACEALRTLCLAYQDVDGGGGDIPGEGYTLIAVFGIKDPLRPGVREAVATCHAAGINVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIVGQSIYQLVVLGVLLLRGKSLLQINGPQADSLLNTFVFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVVGVTAGFQVIMVELLGTFANTVHLSGKLWLTSVLIGSVGLVIGAILKCIPVESGSDASDRHDGYRPIPTGPSAV >KN538939.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538939.1:180948:182093:1 gene:KN538939.1_FG019 transcript:KN538939.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQGFAPVLAVALLLAAFPAAVQSIGVCYGVIGNNLPAASDVVKLYKSKGIDSMRIYFPRSDILQALTGSNIALTMDVANENLAAFAADATAAAAWVKQNVQAYPGVSFRYIAVGNEVTGDDTGNILPAMKNLNAALAAAGLGNIKVSTSVSQGVIANSYPPSNGVFNDDYMFDIVEYLASTGAPLLVNVYPYFAYVGDTKDISLNYATFQPGTTVTDDGSGLIYTSLFDAMVDSVYAALEDAGAPDVGVVVSETGWPSAGGFGASVSNAQTYNQKLISHVQGGTPKRPGVALETYVFAMFNENQKTGAETERHFGLFNPNKSPSYKIRFH >KN538939.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538939.1:24265:27012:1 gene:KN538939.1_FG020 transcript:KN538939.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MQRRTLRLLAAVNPTTAVRSKAALVQIASPLDPFLLHLTARFHHAPADAHHLLDETPRRAGSIVRALGACRGASREEADGVAALHCAALKSGAVLDPPVRTSVLTAYSRVRDVCSALQVFDEAAAPDLILWNAAISALTLNCRYGDAVVLFRRMVDVLGVFDSASMVIMLSGASRARSLEHGIAFHGMALKRCLDTDLTFWNTLMDMYAKCGDFYSSEVVFQRMPYRDTTSWNSMVSGSLFNGLAEISAYYFKEMVRSSFQADEVSLSCVLSACSHLENLFSFGESVHSSVIKLGYEDTTSSVANSLITFYYELGFPEAAEEVFLSTSNKNLVTWNAMIKGLVENDRVNEAMCMFQEMRSKNQPDVATLVTIISACGDRGLLPEGKEVHGYIIRKGHLYEECSVGNSLLDLYMKCNDPSTARILFRTMPIRDLISWNTMISGYSRNDSLGEEAKAMLKGLLSEGLSCTLSTVLAVIPSCFCPQDLNFGKSVHSFILKYGFLTGVSAANSLIHMYICCGDSPAAFSLLESITPMSDIISWNTAIVGCVQNGLYRDALEAFQFMHSTLTLNPDSITLVSVLSVCGNLKLQSLGKSIHCMALKHLIAFNLRVKNALLTMYFRFGDTESAELFFSSLGDRNLCSWNCMISGFAQNNEGLRALQFYKKMEDFEPNEISIVGIICACTQLGDLRQGKNIHGHVVRFGLQTNVFISASLVDMYSKCGRLDISIRVFESSAEKSIACWNSMISAFGFHGLGLKSIEIFRMMNNSGMKATRSTFIALLSACSHSGLTDKGLKYYHLMTEHFGIIPTPEHHVCAVDMLGRAGRLQEAQKFVESLPSKQAHGVWGALLSACSQKSELKMGESVAKHLLCLEPENSGYYVTMSNLYAYQDMWSGAVQVREILQDKGLMKPRGRSIIG >KN538690.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538690.1:393729:397363:1 gene:KN538690.1_FG029 transcript:KN538690.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPRPPQMQAPSPGQAAAGAGGFGQIHRSGSGSRLAAVGQLPQYAAAAARMYGSQVNFSGGGGQVGQQQQQLAARAAMLSQGQIGMLQGQGNAASAAHYGLQSQMMAQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGGMRGNGTIPYNQQRFAHAQAQLRPQQTSQQGTLSPQKVVGQGLTRTASIAALNPQLPGSSTNGPMAQMSLPQKQQQAAWLKQMQSSLGSPVSPQQFQHQQRMLLIHQLQQQSGLNQHQIAQTQQQHPHLNTQLLQQQHILQQLQQQQQSPRISASGSQKSMNLTGSQPGTPLSGGTMTGGSASQGAEVTNQLLGKRKIQDLVSQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVLLHLEKNWHLSVPGFLREDKNPQRHPVKVSVDPQQPECDAAGIRSTGNKLVINNSVANHQTRPPVAEPSPMPTMGPLSKVPRF >KN538690.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538690.1:512000:513084:-1 gene:KN538690.1_FG030 transcript:KN538690.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIDLTGDGGILKTVIRRAKDDATAPSDSLPIVDGIAAIYTSWSCFSTPILQIYKSIKASASTLSTVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKSSKVTETALFFFHSATLTFEVELVACRPRKGSSVESVSEEKARLEIQNYQNRQKGTVLMSRVLHFNRELKKQREMAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >KN538690.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538690.1:366878:369890:-1 gene:KN538690.1_FG031 transcript:KN538690.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCASAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDHHAPEDVPEALDATLNDLQLEYLDLYLIHWPFRTKKGSSIGKPESYLPPDIPSTWAAMEKLYDSGKSRAIGVSNFSSKKLGDLLAAARVPPAVDQVECHPGWQQTKLHNFCQSTGIHLSAYSPLGSPGSTFMNGNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >KN538690.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538690.1:332028:336412:1 gene:KN538690.1_FG032 transcript:KN538690.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQSPLLSPVALGLSAFAFTVSRLTISFPSLPPAIPPSVSRLCRKKGATNPATTPSTKAKRGKRGGKQQEDARGVGVGEGGYTTAAAEAERESDERVRDQASQSQARALGKCGAVSPLSPLSPGPGAHCAAPLRTHHPYYVPTRARAEEKRPTTMYHVPQHSRREKLRFPPDAGDSPPPHGHGHAPQQQHGSWPPPPAFYSYASSSSSYSPHSPTLAQAQLVAHGLAPPLPQIPTQNFSLSLSSASSNPPPPQAQPRRQLGGLAQATGPFGPFTGYAAVLGRSRFLGPAEKLFEEICDVGGAASHVDRTISDEGLLDADPMDGVDHDVVDHDLGSADRAAADAGPISGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNAAPFAALALRAMAKHFKCLKSMILNQLRNTSNKVAVKDGLNKDIAVFGLAGGSSGGAGLQRGNSASAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQDILIEFVMLVQVSNWFINARVRLWKPMVEEIHNLEMRQMHKHSVVDKGQHSVHHQAQHSSQCSGNPSVPSDSHPGQSSSITRNHNTAASQGFPDELSQMSQSIQGQVSFAYNGLTSQHNIASPHHQHQQVGGVGIGGGNGGVSLTLGLHQNNRVCIAEPLPAALPANLAHRFGLEEVSDAYVMSSFGGQDRHFGKEIGGHLLHDFVG >KN538690.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538690.1:462704:464108:1 gene:KN538690.1_FG033 transcript:KN538690.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPVDLRLPSGPQAALGILAFEAAAAMSKLLSLHRSLSEQEVSRLRSDTMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGIDFGGVYASLKAGAPDARLDPLVAKGLRMEALDELEASERKLAFRGWNRLSGPIPMQPVAPSAAGDSPGDDSLQQDLKAQRIKLLQMEAEGRQEEANAERAEMYEMLPAKLRAAAERNMDRTRRFDGGARVYALQTLRWADKEKAEAALVEVLVALSCVCWYEERRGGSVRLL >KN538690.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538690.1:310158:311246:1 gene:KN538690.1_FG034 transcript:KN538690.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding LTFLEHNHVIMLCFVLPDPLLRVSIRQRRQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGHAFSEKEGLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESASTNGRSMQGTTINVAEAPAATKGSCCSS >KN538690.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538690.1:446728:448142:-1 gene:KN538690.1_FG036 transcript:KN538690.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSCGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTRSDEEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKPDRPDELERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFHNNGPPAPAARASGVPSSADAAETENGGAPSVKGISKAESDKACSDAAMLLTKLALARRSADNVSVVVVDLRRGL >KN538690.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538690.1:413657:423670:1 gene:KN538690.1_FG037 transcript:KN538690.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRGSEDGGGSGPKVDGDVQGIAAADPGILAWHRDNDERQRKWAANLRGTPPGFVLGTFLNHSSSYYNALVCVPLGFSRLMNQRLCTCGYSWNSLEANGHQVPDFLWTMAKKITSVFTGQWWVTVYHLTALGSITQKPKAVTKKEKQHGHYSTVNMIQHRCSLYKREFHSPFPKIPNYPSFTDEPTIDGGEEPTDAGPAVGSRVRIGRFPVCPESMREYIPCLDNEEEIRRLPSTERGERFERHCPAKDKALSCLVPAPKGYKVPIPWPRSRDEYITLCPIVLGIEYSMQGKGVSMTHFDELQMVPDIAFGSRTRVALDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMAAAFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEESQQEAWKEMEDLTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPGVKPALCDPDDNPDDVWYVNLKACISRLPENGDGSTPFPWPACLMEPPKRLEGVEMDAHSSKKELFKAETKFWDDIVEGYICVFKWRKFKLRNVLDMRAGFGGFAAALINRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFSLFSKEQKRCNISSILLEMDRILRPGGRAYIRDLKQVVQDVKEITTAMGWRSIMRDTAEGPYASRKVLMCDKPMELGRFLSDIYILMKAGNCLFLSSILLIGASAEAKAVTCMGQIYGLEKQWVLRKPNRTEPNRRRCGRVVRVVSGPLSWAKQEN >KN538690.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538690.1:362885:364756:-1 gene:KN538690.1_FG038 transcript:KN538690.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKAGPGVVADVVSAAVKHTVLSLLAELLWQAGYRHIDCAPLYKNEQEIGGALKKLFDDGVVKREDLFITSKIWCSDLAPEDVPLAMDSTLKDLQLDYVDLYLIHWPFQIKKGTELSPENFVKPDIPSTWRAMEQVYDSGRARAIGVSNFSSKKLGDLLCVARVPPAVDQVECHPGWQQAKLRAFCHSSGVHLSAYAPLGRMKGIAVDSVLPSVAEMLGRTPAQVALRWGLEQGQSVLPKSVSEARLKENLDLFGWSIPEELCAKFSEIEQASAFNLSVKQIRGDGFVHPESVYKTYEELFDGEI >KN538690.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538690.1:340668:342716:1 gene:KN538690.1_FG039 transcript:KN538690.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRVPVDSYSYAHGLKACIAASTSHVPASALVREIHAHAVRRGYGLHTHVATTLIDCYAKLGIVSYAESVFATMPERNVVSWTAMIGCYAKNERPGDAILLFQEMVASDEDLVPNSVTIVCILHACAGVNALGQGKLLHAYILRRGFDSLVSVLNALMAMYMKCGCLEAGRHIFNLIGHRKDVVSWNTLISGYGMHGFGHEAVQVFEDMTQMGVSPNIITFISVLGACSHAGLVDEGKRLFESMVDYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHIRPSPQVWGSLLGACRIHRHVEYAEMACSQLFDLEPRNAGNYVLLADIYARAKLHSEVGVLKDLLEEHALEKVPGCSWIEVKKRLHMFVSVDNKNPQIEELQALIDQIRDGSVEAPV >KN538690.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538690.1:385861:387598:-1 gene:KN538690.1_FG040 transcript:KN538690.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVILPHKEIKSSILKPEIVM >KN538690.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538690.1:398910:403939:-1 gene:KN538690.1_FG041 transcript:KN538690.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEEVEMEEDGAGLRARVAAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEMKGMSIHGVGKNVFQQEGSFLTNLQVELWKKMKDRVRLPLTKVVMITAYLVSFPPKKYNKGNSITVSPRSQVSRTSSGAASGPSESRDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKTAEAQISKVMGNCVKAIACGGRHSAVIQEHYLHLDGDCTDSSCSLTGHYFGMCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDASSLENKNARAVSCGARHSAIITDWGIIDPIIQTRPLGFVERDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >KN538690.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538690.1:426134:430183:-1 gene:KN538690.1_FG043 transcript:KN538690.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWRVPNYDVLEKCTEDILSLIKPVEGDRNKRIYAIQELADTIYSAGALRGASVKPFGSFVSQLYAKSGDLDVSVELFNALNLPISKRKKQDTLREVRRALQKRGTARHMEFIPNARVPVLQYVSNQYGISCDISISNYPGRIKSKIFYWINTLDDRFGDMVLLTCEPAILPPLKEIYEGNIVEDISGRAYYNEKHLDEVCSINIERFRRQNMGQRNQSSLSHLLASFFHKFFRIDALSDKVISTYTGRLERIQDNPRWMDKSYNLFVEDPFEKPDNAARAVGSFEFQDIVNAFSNASNKFVSDAHALTDRNELLSLLCTPDVGSKLGGRASASRYTNTLVSPHDRFLGYLEYVAPNPYDDHHQPARGGIRSGQSPHGYTTNRQTSVHYQNNNHPQAYSTQRQTTVHHQNHQQAYAAGRQTGGQYQNTQRSKENTLYRHSNGRAATTWHEPVRGRFYNGAWQ >KN538690.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538690.1:432869:437004:-1 gene:KN538690.1_FG044 transcript:KN538690.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFVDCSMTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYSNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEGIAETTVYDEEHLDEVCSENLAKFQSKNKERRNESSLCHLLATFFHKVEDPIERPDNAARAVGLKGLDRIARAFTAANPTETEGSYRNQQHRQHTSGRQTNRNAVNTRCCYTRGYD >KN538690.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538690.1:372444:375880:-1 gene:KN538690.1_FG045 transcript:KN538690.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARMYKNEKEVGIALKKLFEEGVVKREDLFITSKLWCDCHAPEDVPDSLDETLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKSRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKESVIISISEKLGKTPAQVALRWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >KN538690.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538690.1:343545:349040:-1 gene:KN538690.1_FG046 transcript:KN538690.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGAGLVAAVAKADVENTDSTRGFVKDVKRIIIKVGTAVVTGPNGRLAMGRLGALCEQVKQLNFEGYEVILVTSGAVGVGRQRLKYRKLVNSSFADLQNPQMDMDGKACAAVGQSVLMAIYDTLFSQLDVTSSQLLVTDRDFMDPSFGNQLRETVNSLLDLKVIPVFNENDAISTRRQPYEDSSGIFWDNDSLARLLAQELKADLLIMLSDVEGLYSGPPSDPQSKIIHTYVHEQHGKLISFGEKSRVGRGGMQAKVAAAFTAASKGIPVVIASGFAIDSIIKVMRGEKIGTLFHREANQWGCSKEATAREMAVAARDCSRHLQKLSSEERKKILLDIADALEANEDLITSENQADLDLAQDIGYDKSLVARMTIKPGKIKSLAGSIREIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDVVGKKLIGLVKNKDEIADLLKKYSVQLDDVIDLVIPRGSNKLVSQIKAATKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLVELEKEAIDHINRYGSAHTDCIITTDGKAAETFLQQVDSAAVFHNASTRFCDGARFGLGAEVGISTGRIHARGPVGVDGLLTTRW >KN538690.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538690.1:390640:391353:-1 gene:KN538690.1_FG047 transcript:KN538690.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIFKAVAQYKEGGHVSLSDMISDKPSPASYVLLPGDSDGRHADDKTQPLRLQASTGSEEEVLYAERYAYASAISVTVIDLPLKKNFSCYLMQDCRLFGLGFAGRN >KN538690.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538690.1:361157:362625:1 gene:KN538690.1_FG049 transcript:KN538690.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRKPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFLPHLAAKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVLLFSVVSCFLWMTSCSLLTVLWALLVGLLDLCGKNVTKFHISAASCDSLIVGD >AMDW01036924.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036924.1:262:408:-1 gene:AMDW01036924.1_FG001 transcript:AMDW01036924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SIRACKKAALECEEAKFEYYKLAAGDRITPKFVQTMESCFNKIKGLES >KN540742.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540742.1:901:3998:1 gene:KN540742.1_FG001 transcript:KN540742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPTEEEEEEEVEYVEGETDEDDLSDEPVAKKPKGRGSDLRSNIGKKSKKLITEVERDDDMGIRRRTRM >KN540742.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540742.1:13864:19201:-1 gene:KN540742.1_FG002 transcript:KN540742.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQTSLCEVGYLSNEIYLIKLIVYACASYGKGSKVKFMMANGTLVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLFVQDYKENDPSTHKGYDLNKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLTEPAIDTLYAESVGRFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKIEFNDEGKVCGVTSEGETAKCKKVVCDPSYIPDKVRKVGKVFRAIAIMSHPIPNTADSHSVQIIIPQKQLGRKSDMQITLGLLVISIIVTKECSLVHARYVFCCSYSHNVASKGKFIAFVSAQAESENPAAELKPGIDLLGPVDELFIDTYDRFEPTNDPSSDNCFISTSYDATTHFESTVMDVLSIYTKITGKTVDLSVDLSAASAAEDDL >KN540742.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540742.1:9454:12145:1 gene:KN540742.1_FG003 transcript:KN540742.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSACSASAAASTTASSPALQLPAPLSHTTAATQDTSPAAGALAHYNNAIDLTTNDDSKVLPPVDDIFNENDSSHDHEQHLQRFLLERSYKTQAIINELDIESIERHLANLLIVEKEENNDDMEQDDVLMDEMDE >KN540742.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540742.1:5195:7905:-1 gene:KN540742.1_FG004 transcript:KN540742.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGEGISDQIGRFQTQLQMDGRGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYAPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPQTDLLERLPRSGGNLTSSFPLSPLKVLKRSQISGQYMLV >KN540742.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540742.1:42787:43453:1 gene:KN540742.1_FG005 transcript:KN540742.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALYPLGEMRRSQRADGLAAVLAIGTANPPNCVTQEEFPDFYFRATNSDHLTALKDKFKRICQEMGVQRRYLHHTEEMLSAHPEFVDRDAPSLDARLDIAAEA >KN541828.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541828.1:2232:6476:-1 gene:KN541828.1_FG001 transcript:KN541828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKELREKQDVTKGAEEDVTTTTMTTGFGAESEREVPTGPDPIHHHGRGPRRQSPSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQSYVIATSTKVDISGVNVEKFDDKYFSRDKKQKAKKTEGELFETEEEATKNLPEFKKEDQKVVDAELIKAIEVVPDLKTYLGARFSLRDGDKPHEMVF >KN541828.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541828.1:12210:20728:-1 gene:KN541828.1_FG002 transcript:KN541828.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRSIDDQFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYRNVTLFEKCHTVSGMCESIDIEGRIYDLGGQVIAANSAPVITHLAKELGSDFEEMDTHKLSLIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANKSGRAGLHALSGLASDPTHEFLKQNGINSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWERLSKSLPFEVFCDTEVLNVKRNSCGASVTIKNNNGEKQVLEFDKIILSGAVAFKNSKTYRSSSLTDGESEVVELNNLERELFSKVQTIDYYTTVVKINGFEHIPKGFYYFGEFMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSFVAKCVTDVVSSMGGNVQKVILQRRFKYFPHVSSKDMKDGFYERLESQLQGFQNTYYVGGLLAFELTERNASYSIMAVCKHFAIDGEGELTPYVKRLFPLSHNRNPSPPRDLGELEGVEFPDLPSLDGYLQYWGTHKVTEKNVIYTWINEEGKLMNRRTYQELHGNASYIAQKLLTSTKPVIKPGDRVLLIHLPGLEFIDAFFGCIRAGVIPVPVLPPDPMQSGGQALLKVENISKMCNAVAILSTSSYHAAVRAGYIKNIVTLAKRVQKCSAQWPDIPWIHTDSWIKNYRRSSDSFNSDSVLFTKPQPSDLCFLQFTSGSTGDAKGVMITHEGLIHNVKTMKKRYRSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTSVLFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKVYDLSSMVFLMIAAEPVRQKTVRRFIELTQPFGLSEGVLAPGYGLAENCVYVTCAFGECKPVFIDWQGRVCCGYVEQDDTDTLIRIVDPDSLTEHQEDGVEGEIWISSPSSGVGYWGNSEMSQRTFFNQLKNHPNKKFTRTGDLGRIIDGNLFITGRIKDLIIVAGRNIYSADVEKTVESSSEVLRPGCCAVVGIPEEVLAQKGISIPDSSDQVGLVVIAEVREGKAVSEEVVNNIKARVVEEHGVAVASVKLIKPRTICKTTSGKIRRFECMRQFVDNTLSLAKGNHVSKKKGLSRSLTTGTGMESKRSLLRQTVDLTISHWPKSQVKNSNEITEFLTQIVSEHTGISKDKISLTDSLPSYGFDSIAVVRAAQKLSDFLGVPVGAIDIFTASCISELASFLENLVHKSQPQLAPWPKSKVKNSREIIEFLTKIVSDQTGIPKDKISPTDSLPSYGFDSIAVVQAAQKLSDFLGVPVGAIDIFTAGCISELATFLENLAHKSQLAPGASCYIEDETQVDPMDAISPEFSVLGTGILQLLALTYVCFVLLLPAYLASSTYMSIFSTVSLVRSPLLSYLSSLVMAPIVWIFYISLTSLSLSILGKSFLQPNYVLIPDVSIWSVDFVKWWALNKAQALAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLTVADGAVLAEGALVQGHEVCNEVLSFRPIWIGYEASIGPYAVLQKGTVVEDGAVVPPLQKTGAGKSTRRTSRTSVSIKKEAAKANMILEHLVSIYAVGILGALSGAIVYTLYTLFSGKAASPLHFSFACIAGAFHWLPAAITACAVIVQETPTSALSFALFTAFAYLSYGVILSILTSITSRALAAKPGTKQNGIASLIHQRITISAHVRFAKMLSGTEAFCVYLRLLGAKIGRHCSIRAINPVANPELISVGDGVHLGDFCNIVPGFYSKGGFTSAEIKVQENTVVGSGSLLLPGCVLQENVILGALSVAPENAVLRRGGVYVGSQSPAMVKNTLLDEDERIEEMDQAYKQIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLRMYEEIPSFPRHKIFASGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDNDGEAPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRSPHIRDAVWGSLRSTDSYTVLHYYSNICRLLRFDDGREMYAKFKLRPADPDVPEDSGKVVPRGILPPETGAIPRDEGDTRPLLFLADDFRRRVGSPDGVRYVFQLQLREVSADAAARDVALDCTRPWDEAEFPYIDVGEVSIGRNLPTEETEKLEFNPFLRCPEVDVIPATSCAQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVAAAATPTRSNAGDATKVTLARTWYQALWATLCQPLLQTLVPYSVLGLVIFLPLRGLLAVAAATRFPLYWLLPAFWAASGVAAMATCAAAKWALDLGDHNK >AMDW01032069.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032069.1:45:359:1 gene:AMDW01032069.1_FG001 transcript:AMDW01032069.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKIDIEIMQLVAEVDNLKKNLLEVCTYDYREIILPAMKDFLWAKLCNVPPKGVSSSEDDKVSEASIENRDPVQEDIN >KN541381.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541381.1:1652:1828:-1 gene:KN541381.1_FG001 transcript:KN541381.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYSSGTSAMAMWMEYMRRNDGKIVGINHTGHAILYDPATRTVHTLPAITTPKLWAI >KN541381.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541381.1:27196:28956:-1 gene:KN541381.1_FG002 transcript:KN541381.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding KKSKSWVIAAIAAPVAAVLLCLIVCYYCRWSRRFRKDRVRLRERRSGRFQGGDELIIEMEGEISEFSVFEFREVIKATDNFSEENKLGEGGFGPVYKGLFSEGLEIAVKRLASHSGQGFLEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFYIFDESKKDLLDWNKRLVIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFGSNSNEGTTRRVVGTYGYMAPEYSSEGLFSPKSDVFSFGVIILEIISGKRNASLDQCEDFINLLGYAWKLWSEERWLELLDASLVTNWQSSCMLRCINIALLCVQENAVDRPTMSNVVAMLSSESMVLDEPKHPAYFHVRVTKNDESSTVGTCSTINDVTINY >KN541381.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541381.1:22768:23568:-1 gene:KN541381.1_FG003 transcript:KN541381.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRIFASVYSSVTGEWGDVIFTGPVSTIYHFGSPAILVGNALYWLLSVSRHHILQFNLETSSLAVIDGNWPGTNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWEREVTLGGAANWVLRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTGFYMFQVDSMQSKKLFDCNVITRCHPFTSFYTAGIKSL >KN541381.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541381.1:13087:15873:-1 gene:KN541381.1_FG004 transcript:KN541381.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLVVKKIGAALGNEVINQASSLYRNLFAQLAELQGSMSRICRELRLMHEFLCRMDVRSRHDQAYEIWVDEVRKLAHGIEDIVDEYLHLVGQRHDKGWSFYLKKGINQPEALRSLNRMVCLIKEAESSLVHLFQVKDRWVPNASTGYANNSGYIVEKSQHLASTSRSICEDLVGIEENRDTLFNWMREDGMACSTIVLHGMGGLEFASEGRVLTLEGLSEGKSWELFCKKAFRREANHECPTELKNLATQMLSKCKGLPLAIVSVGSLLSVREKNPTEWRRIYDQLSWELNNNPGLDHVRNILYLSFIYLPTYLKSCFLYCTLFPEDYILHRKMLLRLWIAEGFIEEKGENTFEDVAEGYLIELVHRNMLQLMECNSFGRIKSCKMHDIVRELAIDLSQKQSFGLAYYEYGNRSSTMDTSIRRLAVAKCSNNILSSICLPRLRSCIVFDKGMPSLRIIKSISDKSKYIVVLELRGLAIEKVPDAVGCLFNLRYLGLRYSKVKFLPKSVERLSNLLTLDIFNSYIQELPQGIVKLKSLRHLLVERINDPSWRDFRSRHGVCIPKGLSNFTNLQTLHAIEAQDQTVKDLGELTQLKSLRVWNVKEIHCERLCVSILKMRFLYHIHIAACDESEVLQLNKLDPPPLRLQKLCLRGRLAEGTLESPLFQTGGQKLRGLFLVWSQLKQDPLPPISRLCNLTQLNLTRAYVGELLIFRSGWFPSLKFLLLRDLPNLHRLEIEEGAVIGIRVLQLRHLDKLMDIPLGIEFLPSLQRLCFVHITEDFLALLNRCSRLKHIQWWYSTHDQPLTKKCTPLN >KN547601.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547601.1:84:691:1 gene:KN547601.1_FG001 transcript:KN547601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NIALVSADAASWVANVVRERAPNAVQCMDPFHVVQWATKALDEASLAAIERDNAPLYRAYLLKEQLRAVFQAGLEGLGLLEGWLAWASRSKLKPFVKLARSVRAHRQDIYAALIHGLSNARTESANGKLRLLTRLAYGFHSPASLIALAMLKLGGLCPPLPSLS >AMDW01024934.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024934.1:48:194:1 gene:AMDW01024934.1_FG001 transcript:AMDW01024934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLAEMPDECLYNSPKVRVGADGYLPTTSWVFRRGKVLDAVVMVITEGSQ >AMDW01032530.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032530.1:5:392:-1 gene:AMDW01032530.1_FG001 transcript:AMDW01032530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LYELFISNMDIAKSSSYGSNLFGLFRLPERPEWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >KN538741.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538741.1:107015:107510:-1 gene:KN538741.1_FG001 transcript:KN538741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHASAKDKEEPHAGGGRGGAVRDGDRGGAVHADGDQGGVAVTTSLLARAPTKVFPKIPRLGTNAGEVADVIRRERDGVGRKDRPVAVSSPTFVAFPLLPDLALEKAAANARLLSLRCEKATDL >KN538741.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538741.1:88599:90509:-1 gene:KN538741.1_FG002 transcript:KN538741.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFAVIRKSRKIPALNSQLLWCAAIQQLECVCIVLFVKKLYGHCRVQVQVQAKTEYQERKFSDMYRDRSLCKDLNQGQRIVIKRRRQGLIEQFTQISSARFALKAPVLFALAKNITMFIPASHDCVPFA >KN538741.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538741.1:6968:10060:-1 gene:KN538741.1_FG003 transcript:KN538741.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLRLLDAAVAEAVLDSCRFGIIGYFCSGAEEGMYAWIAANYALGTLGDDSQDTMGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSTPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRMGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKRIDVANQIGGVPVDWALGAFIVQKASNQTEYSDSSVPYLNSYDYSGLVPLLFISTVVLFTACSILRGRRSRLKTVYDMEKGRYIITRVRR >KN538741.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538741.1:172994:176963:-1 gene:KN538741.1_FG004 transcript:KN538741.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDSRPLGGLDGLYGVQLAGRSMYSDDEAVKTSIIDPLAREPQEGVGTSRRLLIRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSIPFIVLGLQTPRRVSFAKRASTEPELRMAHNLHKMSSLLGGALFIADDAFPETPYLHAAWHLAAALGVHIEPEKYWHSRGDHHTGALLGVGEEEEGDEPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRQAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLM >KN538741.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538741.1:2252:3567:-1 gene:KN538741.1_FG005 transcript:KN538741.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSGGVSLPPLSTTHTLLSVRESMGAEEEPLIQTVECRICQEEDNISNLESPCACTGSLKYAHRACVQRWCDEKGDLTCEICHEVVLKSITFNTRMNGDKFMKAMDEILSDFKVEVD >KN538741.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538741.1:187555:190975:1 gene:KN538741.1_FG006 transcript:KN538741.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRYPRKLVLAGCLTALTVMTALSVSLGWVAPNLDANFKNNKAESKSKSKANDDKKKQQRPFVLQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGVLYELHLMLPLHFLNGRIVEWSAIPAVWYYVILVRTGRRIIMPPEGHA >KN538741.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538741.1:168120:168839:1 gene:KN538741.1_FG007 transcript:KN538741.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPVTHKAINGTLNNTAGDKSAKVTASLSHMAQWESARLEAEARLARESKMRIAASTPSKLHAQSTNPPASTPSPCFDVLNAWQSAKIDLESPTSTLTFAGSNASMLPFSTTTALELSESNSNVWQQRSDELEGEESEWKFVSKQQLQGMHGKETEEHFIGCEESWFPGTANIGAGFTGMLLDGSNMHDTSECWDESSNGQDEQRSQVSEDAENKNYWNGIFSMVNSEQPPLQPPLL >KN538741.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538741.1:127920:128565:-1 gene:KN538741.1_FG008 transcript:KN538741.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARATARVRLALLLAVVGVGAQDYDFFFLVLQWPGSYCDTRQSCCYPRSGKPAADFGIHGLWPNRDDGSYPQNCDPDSEFDPSKEAKEADETEVILALVSTPLDLPPT >KN538741.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538741.1:58510:58704:1 gene:KN538741.1_FG009 transcript:KN538741.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVAVVAGAEEREERERGGKTEKKEKGKGFRRHKGKERRLKKCFVVGPISSLHCGVVVSIVQ >KN538741.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538741.1:20730:25912:1 gene:KN538741.1_FG010 transcript:KN538741.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPASFCIFPPNGVLFFYIQAHDERSRDYTVSSDPSAQMQAAAQAPSPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >KN538741.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538741.1:97288:100139:-1 gene:KN538741.1_FG011 transcript:KN538741.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEEAAVMRGRRWRAISSWRNAPSRRLVGRRYRRFALVGLGFALCCLDISSRIIRSTSSLVIKDLVEKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRIAAIDNAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFWNKIHLWYDFFFVGKIAAHTVTFIYYCFVIPVSVWLPEIEIPLWGVVYVPTVITLCKAVGTPSSFHLVILWVLFENVMSLHRIKAAVTGILEAGRVNEWVVTEKLGDANKTKPDTNGSDAVKVIDVELTTPLIPKLKKRRTRFWDKYHYSEIFVGICIILSGFYDVLYAKKGYYIFLFIQGLAFLIVGFDYIGVCPP >KN538741.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538741.1:122679:123872:1 gene:KN538741.1_FG012 transcript:KN538741.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVTISSVGAQAGLISKPRNHGFTSYSGLKAASSVSFESGSSFLGRNASLRASVAPRIVPKAKSGSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVVQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEQLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKSELKASIEKGIEFVHKQQTAAASV >KN538741.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538741.1:133953:135203:-1 gene:KN538741.1_FG013 transcript:KN538741.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MPVRDTVAWNVLITCYTRNRRTKDALKLFDAMRGGENGAEPDDVTCILLLQACTSLGALDFGEKVWEYAVDHGYGGELKVRNSLITMYTKCGCVDKAYQVFCETPKKSVVTWSAMISGLASNGFGKDAISAFEEMGRSGVAPDEQTFTGVLSACSHSGLVDEGFKFFDIMCYEYQLKPNVHHYGCMVDLMGRAGLLDQAYELVVKDMRVAPDATIWRTLLGACRIHGHIDLGERVINHLIELKAQQAGDYVLLLNTYAAVEDWGKVAEVRKLMKEKGIQTTPGCTTVELNGEIHEFIAADASHPRKAEIYEKLDEINKHLRIAGYVPNVSSELHDLDSEGKECALAYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGVYRRLVIVRDRTRFHHFKEFQCSCNDYW >KN538741.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538741.1:145576:146457:1 gene:KN538741.1_FG014 transcript:KN538741.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYENLTPLLKRGPAVGDLPEVFLMEPFLILLQILNKQFGYEPYPGFSKNVDKKMEAILRENREELKQRKAT >KN538741.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538741.1:91294:92955:1 gene:KN538741.1_FG015 transcript:KN538741.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLLTTTTTTTIYSLAHTPSQAGRSRKASDAGEAADPEEIPRTGVGSGGSLEMSSQQQQQHAGATPKSVLRAMAVPGLTLYHLKSHLQRQPADHDGDSAADEPRTIAYDGDSDGDAKEALRDSSRSMVQMQREVQRKLQEQIEVQRHLQLRMEAQGRYLQSVLRRAQQVLADHSLASSPEAATAELSELASAVEIECMSSSSPPRRHRQSAATDSCVTTSSSEAESKAAGSKRLHTSDCTVEQPVQGKRAFNFLQRHNQADQEEEEYAGAEDGSSSEIDLNSG >KN538741.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538741.1:27040:28005:1 gene:KN538741.1_FG016 transcript:KN538741.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47470) UniProtKB/Swiss-Prot;Acc:P27521] MASITARAPVAALRPSASLKSTFLGQSSTRLARAPTTRRNVRVEAKGEWLPGLPSPTYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVLTKIGLIDAPQWYDAGKATYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFEPTLEAKEKELANGRLAMLAFLGFLVQHNVTQKGPFDNLLQHLSDPWHNTIIQTLSG >KN538741.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538741.1:103726:104482:-1 gene:KN538741.1_FG017 transcript:KN538741.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLFLERMYMAVVISGVKILRRRPERRYRCDPIPDDDPELGTSAFPVVLIQIPMFNEREVYKLSIGAACSLDWPSDRVVIQVLDDSTDLVVKLLTFVAAGNSLSLSSLFRFYPLSLLRLPVCHAGARRLLTWPFRPSATTMTVRLEC >KN538741.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538741.1:30244:34235:1 gene:KN538741.1_FG018 transcript:KN538741.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVAGARGAGRPLMRLVTMSGVPILRQLHLEERLLRRTGDNWCIINDGTAPATIVMGVSGRVSELVEIEPVLRDKVPVIRRFSGGGTVIVDQGTVFATFICSKTAIPGLQPFPRDIMSWTGQLYDKVFDGFGEFHLRENDYAFSQRKFGGNAQSITRDRWVHHTSFLWDYDMKNMDYLKIPKRAPDYRLARNHTDFLCRMKEYMPSRSVFTEGIISALGDHFTVQPTGPEAVLSDDTGFKPSTKLLSAQDLEDVIASKESHKSSQAEALLVTTTSQCPVTQSGRQPE >KN538693.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538693.1:88009:89802:1 gene:KN538693.1_FG001 transcript:KN538693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATYGSAGVVSLLVGLGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATPDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPTIAPSNLEDMYASDMVMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARVGAPATQREMFEQFASLNKHQLPSVGSPRNSTAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >KN538693.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538693.1:172072:174190:1 gene:KN538693.1_FG002 transcript:KN538693.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRSSMIPALLLLASLSIFKLPCFSRSLCFSYDFSNSTTFNRSDIAIDGSASLNGLIELTQNADPKREGIYDTVGRGSYGHPVPLWDEATGEVTSFTTRFSFLIKFNYSGKAKYAPSDGLAFFLSPYPSKMSPLDGGGWLGLFTNSTSMNSSAAAPIVAVEFDTFQNEWDQSSDHIGIDVNSINSTAVKPLPSLSLSSVTEPMVASVSYNNSTRMLAVVLQMGHQDGGKRYELNSTVDLKSLLPAQVAIGFSAASGWSEELHRVLTWSFDSTLVVTAGNRRRWRAGVVAGVVVASVVVVGASICLLVMIRRRMISRRRTREEYEMGGSDDFDMNDEFEQGTGPRRFLYSQLATATNDFSEDGKLGEGGFGSVYRGVLSEPAGVHVAVKRISKTSKQGRKEYASEASTASDVYSFGIVLLEMCCGRRPVLLQEQSIRSRLLEWVWDLHGRSAILEAADERLRGGELALDAKQMECVMVVGLWCAHPDRGVRPSIKQALAALQFEAPLPALPPTMPVPTYSSSPSLALYRDAAAASSSSSSAGFSSSTSGERSSTSSSAATAESSWLLKHNNRGSEKTVLGNN >KN538693.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538693.1:65062:66495:1 gene:KN538693.1_FG003 transcript:KN538693.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQQPTGQAPYMAPPQGNYAPPPPPGYPGNFDVGMNPPQPAQTQSRGDKAFLEGCCAALCCCCLLDMCF >KN538693.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538693.1:15273:16850:-1 gene:KN538693.1_FG004 transcript:KN538693.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSSSGSAPPRVLRRQQQQPGSAPELPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEVDLYKFDPWELPEKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGSTREKVGVKKALVFYRGKPPKGVKTNWIMHEYRLTDTSSSTAVATTRRPPPPITGGSRGAVSLRLDEWVLCRIYKKTNKAGAGQRSMECEDSVEDAVTAYAPSSQQHATAAAGMAGSDGAGGVAAAHGGDYSSLLHHDSHEDNFLVNGLLTAEDAAGLSTGVSSLSQLAAAARAAATPCDATKQLLAPSPTPFNWFEAFLPRAKEFPGGLNRSGRDIGDMSLSSTVDRNLSEAGAVAIDTGDAANGANTMPAFINPLGVQGATYQQHQAIMGASLPSESAAAAAACNFQHPFQLSRVNWDS >KN538693.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538693.1:141312:142442:-1 gene:KN538693.1_FG005 transcript:KN538693.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKANAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSKRSFF >KN538693.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538693.1:179985:189810:-1 gene:KN538693.1_FG006 transcript:KN538693.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding WAMSLYAWEGDWSYEFSFFNVVIQLTDVGYEHMEDIIGLLFRYIALLQTSGTRQWIFDELVAISEMGFHYRDKSPPIHYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNDLTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVTPSIIQKWVNMAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTMFSRVWYKPDTMFFTPKAYVKMDFHCPLSNSSPESTVLTDMFTRLIMDYLNDFAYDAQIAGLYYFIRPSDTGFQITMVGYNDKMRTLLDTVIGKIAEFEVKVDRFAVIKETIIKDYENFKFRQPYEQAFYYCSLILEEQTWAWDEKLAAVSHIEASDLQIFLPRLLGKTFIECYFSGNMEPGEAKSVIQHVEDTLFNAPISFCKALPPSQHLTKRIVKLERGWRYYYPALCLNHQDEKNSSILHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITVLTQRNDSGVRGLQFIIQSTVKDPSNLDDRVEAFLNMFEGTLYQMPDEEFKSNVNALIDMKLEKYKNIREESAFFWKEISEGTLKFDRKEAEVAALRDLNKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPHSYQITDIFSFRRSRPLYGSFKGGVDIIRGTEELLLQRVVLPPSLFDTPNYYRPHTSSVCSRTRHPRPELLLGALPALSPVGGPGGHDEDVILQQRPRPRAAVRRVRHHRLAGEGAGVGAGQGLGAGGVEDGLVEEGPGADERVLVVLQHVVGVGRGGGAPAGRDEGAADGGEREAARGGGGGGVLGEDAAGGQEVEEAFEDGERGHKKKTREDRQTWKKKENEEEAAAELLFPLFLNKASCSKTFLFPSVYSLPDVVLV >KN538693.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538693.1:219:1907:-1 gene:KN538693.1_FG007 transcript:KN538693.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVARGGTAVDAGGGGGGGEDGKRRRRRWKAPREDQLGMVPGRIFSNDGRSRTATVYTQQGRKGINQDAMLVWDGFGGEDDGVLCGVFDGHGPHGHVVARRVRDSLPLRLMSAARDSGADMPAAAWRKAFARAYKAMDKDLRSHPSLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSREATGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVK >KN538693.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538693.1:157470:157772:1 gene:KN538693.1_FG008 transcript:KN538693.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAPPPASRFDLVVASDVVYYEALVDPLIETMRFFVKGEVVFVMAHMRRWKRTDKKFFAKARKVFDVEVVHEDPPLEGWRHGPVVYRFTEKKRRDKK >KN538693.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538693.1:97641:98162:-1 gene:KN538693.1_FG009 transcript:KN538693.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDSSMCALLAATPQHVVICRCHLPATHILAGFFVGVHLHRYDDTVSRMAFAGDFYDSVLLCREKEVVAALSEVPCHLGYSPGHWLPSSGNNVHLDAQHRVSGEAYAVFLDGYCFHTDLHSARVHAVANCPPYQYQKNEGKKSK >KN538693.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538693.1:132796:137334:1 gene:KN538693.1_FG010 transcript:KN538693.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGDGDAPPCEVTGALTVVNFSFAASSLAYGRINPSRLENIWLSFYYFRFDFSISGKRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGLAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYFSDASRTQLSQGCAMAVNNSPCENVVRDAEPCGTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLKQLEQQFDVFSMEIKTLQASSARMSAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESELACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESAEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGDRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTSMESAKNVKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLETSLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSDSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKP >KN538693.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538693.1:60129:61728:1 gene:KN538693.1_FG011 transcript:KN538693.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] MKAKRAADDEEEGGERERARGKRLAAEGKQGLVVVSTGEEEEEAAAETRGLRLLSLLLRCAEAVAMDQLPEARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRISGAFQAYNALSPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRPTKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFQPIEGKIGHVADAAALLGPRHHGEATVVHWMHHCLYDVTGSDAGTVRLLKSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEEAAERHAVERQLLGAEIRNIVAVGGPKRTGEASSFVPSPGCHEQHHFNYKIELFFLQKELKLS >KN538693.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538693.1:26767:27460:-1 gene:KN538693.1_FG012 transcript:KN538693.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGDRLDERIGEAISQCGVAVAIFSPHYCDSEYCLRELALLVESRKKIIPVFYNIKPSDLVLPQAVVESVAHHPRDIERFKFALREVKNTVGLTYDPATGDLAELVTSAATAVLENIDKHED >KN538693.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538693.1:73571:77364:1 gene:KN538693.1_FG013 transcript:KN538693.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLVLPLSFALLVLSAAAAAAPRGRPSQVDVGRQLREELWERNQGHEMLRSWRDGDPCSPSPWEGFSCRWKDGDLFVVKLNFSSKKLQGPIPAAIGNLTELDEIDLQDNNFTGSIPESFFDLTRLLKLSVKCNPFLNNQLPHGLSISVEFSYGGCAYHSPPGASNQRIAVIGGVAGGSLACTFALGFFFVCFNKREKNPQKKDCSSTRNPVFEECSTHKATNSAVQQLSLKSIQNATCNFKTLIGEGGFGSVYRGTLAHGEEVAVKLRLLSAVRHDNLVPLIGYCCEKDQEILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLAHLHGFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRDEWSLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASACTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFASFDATKGHLQTMPSLPG >KN538693.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538693.1:91648:96014:-1 gene:KN538693.1_FG014 transcript:KN538693.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein k [Source:Projected from Arabidopsis thaliana (AT4G36720) UniProtKB/Swiss-Prot;Acc:Q6NLY8] MALLAPAISGEVGLRLLLAPLSSTVVIRTASCAIGVGLPVYSTFRAIEKKDQKEKERWLLYWAAYGSFSMAEVFADQILSRFVLLFLWMIGAKHVYRRYVRPFFLKHQAKIDRILNILSKELNKFVSSHEDEIHFIGHMAARGASTANYIINGPEQSEAVRAAIEGPNSTTTEEAGTPRNET >KN538693.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538693.1:125016:126014:-1 gene:KN538693.1_FG015 transcript:KN538693.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASFMLAVLLAVAVAAAPARAVRCPPSDKQALMRVKQSLGNPATLSTWSPASADCCEWDHVRCDEAGRVNNVFIDGANDVRGQIPSAVAGLTALMSLSLFRLPGLSGPIPACLTALSNLQFLTISHTNVSGVIPDSLARIRSLDSVDLSHNSLTGPIPNSFSDLPNLRSLDLRSNKLTGCIPAGLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAAGRPIGKVDLSWNDLDFDLSKLVFPPELTYLDLSHNRIRGTVPRSLAALSTLQTLDLSYNRLCGPLPRLHGVIRHGCKPYEHNQCAGGAPLGGCHQS >KN538693.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538693.1:167276:169317:1 gene:KN538693.1_FG016 transcript:KN538693.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHNCCFNKNEIDLRQNLKQGLRMQWVFCLQHRSYTSHQCPNANQKDVTVLICPLCAKGVRLNPNEDPNITWDTHVNSDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKPESTFPFGNMLRRSQKAESRSNSNSSSTSSSWWSSSLLTAATSFKSSAEAGMQKLSTATTQAIQKAKDGISTSSSNSGDLVEQCVQCPARFSTVGALIEHCEKSHQSNSQSNRSRVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRA >KN538693.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538693.1:105821:109050:-1 gene:KN538693.1_FG017 transcript:KN538693.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPEGSGRGRKKQQEQKQQQKQKEPKQQQQKKGKKPNPFSIEYNRSSAPSGPRLVVLREPTGRDIAARYELGGELGRGEFGVTYLCTERETGDAYACKSISKKKLRTAVDIEDVRREVDIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKQGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKGMLNPDPRRRLNAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDNMINFDELKLGLHKLGHQMADADVQILMDAADVDGNGSLDYGEFVALSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQLTTTQ >KN538693.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538693.1:66776:71390:-1 gene:KN538693.1_FG018 transcript:KN538693.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MESSSEEELEEDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEMWQKHCKDEHVEEKDLQTELDEILSYDTQDSKVSFLDKLDTLLAEHKIEEALLALETEEKKYMATDDPGRELDAGISTYKTALSKRKSILEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSLAHQVLLKAYGSRLQKNVEAFLPTCSIYTETYSATLSKIVFSAISKVSKESSSLFGDSPMNLNRIIQWAEYEIETFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESYGLKFSNLLMVLLHPYVEEVLELNFRRLRRKVIDSAKNDDILLPSPQEGSRLSSSVAPNIMLTSSGKKFMSIVNDVLDQITPMTIVHFGGTILNKSVQLFDKYIEALIEVLPGASEDDHLVESKEPIEFKAESDAQQIQLIGTAYTVADELLPAAVSKFFDIQTEKKRIGGTGEGLGSGSIYSVEYKEWKRSLQHSLDKLRDHFCLQYVLSFIYLEGKSRLDARMYLELKTDDLLWESDPSPSLPFQALFVKLRQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDQSIQLRPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIITRAIAAFSARNVDPQSSLPEDEWFLDMAKVAINKQLGTSGSESELEEPVVVHDEISDSEESSISSPSTIGSEDSFASANNDDLETPVYFTDPEA >KN538693.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538693.1:40386:42320:1 gene:KN538693.1_FG019 transcript:KN538693.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEELYVKLGSVFTVSFLGVVKATFLVGPEAQGGFYSRPESEVHQGGTYRMTVPMFGRGVMYDVDVATRSEQIAVCFEALRPTKLRSSTATMARETEEYFAKWGEQGTVDLKRELDLLILTIASRVLLGKEVRETMFDDVVTSFHELMDNSMHLISLCFPNLPIPRHRRRDTASARLKELFSRAIQLRRGSDRAEDDVLQRFLESRYRDGRAMSDNEITGMLIALYDPERFGPERKEDKVAGKFSFTAFGGGRHACLGEHYAFLKMKVIWSYLLRNFELELVSPFPEVELNNIMLGPRGEVMVSYKRRKLTST >KN538693.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538693.1:78535:82098:-1 gene:KN538693.1_FG020 transcript:KN538693.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFAFSLMLEMDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAGQDINCLQNGKASDYIPLPGMTHYSWTDEEAQTFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQDPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGSNGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSRSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSSRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVIDNTPTDKSTDNGTREFFGADKSADKESIRRRTLSWAKELMEEAYTTHCQFQL >KN538693.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538693.1:158691:163789:-1 gene:KN538693.1_FG021 transcript:KN538693.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRLDQHWCKWRPWCREGGEQAGEEDAVTTKCSVRGEEIMNYFTYKATSTVLHQLYEMNPPAYTWLYNYVVVNDPKEGKHFLIALAKERQDLAERVMITRLHLYSKWIKNRDYSQEIFTACQVVIELIPKDKLNFTESNHNGSATIQLQEDAFYNKAVKLTKDELNGKITQSVGRAIYTDPVPLWDSTTGQLASFTTRFTFKIYAPTNDSSYAIVMIRAIHSTIDSHKNTWDPDGNHVGINIHSIVSVANVTWRSSINDGRIANAWVTYQANSRNLSVFLSYQDNPQFSGNSSLSYSVDLSKYLPDKVSIGFSASTGKFVELHQILYWEFDSTDVHLMKTEKTKGILVISLSTSGSVVVCSIGLVCFFLCFRRIRRTTRSREKEKEKLDCDESIDSEFEKGKGPRRFQYNELVVATDDFAAERKLGEGGFGAVYQGFLKDQNIEIAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLVGWCHEHGEFLLVYEFMPNRSLDKHLYDGGNLLAWPLRFKITIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSGFNAKLGDFGLARLVDHDQGSQTTVIAGTMGYMAPECVTTGKASKETDVYSFGILALEIACGRRPVVPKEDNDRISLVQWVWDLYGRNEILNAIDGRLDGEFEEREVISLMVVGLWCAHPDYNIRPSIRQVISVLKFEAPLPDLPPKMPVAMYFAPPISLCRFSQSSNGTLKELERPNSNGNTSSSSATNDSCAPPSVRLPEVGY >KN538693.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538693.1:45147:55924:-1 gene:KN538693.1_FG022 transcript:KN538693.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLHPNVSAYGGTFAQSEGAALFRKACIGCHDMGGNILQPGATLFMKDLERNGVATEDELYNITYYGKGRMPVPASASASSLVWDHAVGVARLPFRLPAAGDPLPAGLPHLEPANRITAAAFGSDDEPVDLELRVEISSISGVEDALLLKPASSAPETRLRAGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKAASKNFERRSLQSSDIKQGMGVTEKVQQRRWGYYPGIDPHLGFNEFMEKFFEHGKCSMKVFMVWNSPQWAYGVRHQRGLESLLRQHPEACVVMLSETLELEFFQEFVKEGYKVAVALPNLDELLEGTLTHDFVSVWNEWRKTKYYPLHYSELVRLAALYKYGGIYLDSDVVVLKPLNALQNSIGVVKQVSENSSFSGAVLAFEKNSPFLAECLKEFHSTYDDELLQWNGAELMTRVIRNMSGKADENSGHLDIKFEPSVAFYPISSTDITRYFSEADSTDERAQHDALFSRIVNDSTTFHLWNSITSSLACRPTPNAVYAWSAAYALSSSLPGPNLPGSNDPASSYTCGSALWITIGLATVCPAGTSYSAPPLSLALTTKLRRAWRISISDGGWRRRVSLTQRWRWLIRCRASWSTTSPPEYELLLLPHGSVEVLGVGEEARAGPRAGAVGPAL >KN538682.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538682.1:687312:687616:1 gene:KN538682.1_FG001 transcript:KN538682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSLDLRFILVLVLLLNPTFAGARVDPHRAQVVHTTQASI >KN538682.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538682.1:771362:773718:1 gene:KN538682.1_FG002 transcript:KN538682.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRNVAGDVASDLHMDQHRLAAHVEELWNEWEIQALVLLTPSPSLSLDIYGGLRHGLVLFWAWFMLLHLGGQETMTAFSMEDNMLWKRHLLNCATQAQSSMLAEYRHSFLLLNLKNNSAEAYFMAYYYPSHRRRCHDVIVRLVHPQWSEKLAQYNPLSGCLNDMKREEAGGLLERIMCAIGMKPSNTTYVDISHELKKLLLDGLIQVGISGSFSVNKWDGSKFTGQWANLEPQSKMQYSIEHATSFVLAWHIATEICIFREHNNEIVGGSSNSSSASSIYIVPTRQLSNYVMHLCANCGMYPGSSAGDILVAEAQCFILRCLRRSGSKPSDALKYMIDKAHNLVTEPAPRVGEFSKLFDPVLILSYQLSQELHEINDANDRWDIVMNVWMEMLNLLHGVASQQAWVSH >KN538682.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538682.1:656151:657671:1 gene:KN538682.1_FG003 transcript:KN538682.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDANPAAAYAAFAADVEPFRPLDADDVRSYLHKAVDFVYDYYKSVESLPVLPGVEPGYLLRLLQSAPPSSSAPFDIAMKELREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQAAPAATELEVLALDWLAQLLGLPASFMNRTVAGGRGTGGGVILGTTSEAMLAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGAGKLQEHIRSDVAMAKTFEDLVRGDDRFEVVVPRNFALVCFRIRPRKSGAAIAAGEAEAEKANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEERHVRSAWELIKKTTTEIVAAGAGEDK >KN538682.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538682.1:790881:792130:-1 gene:KN538682.1_FG004 transcript:KN538682.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLAIKFDHFTVFKYSPAAHDVVEVNKADYDSCSSSSPIATFNSGDDTIPLTAAGTRYFICGFNGHCTGGMKVAVKVEAATGSNPAPSPMTPRPRTPTAMAPNAMPPTAGGRPVPPSSSASQPAGVASLVGLSLGAIFIGLMAF >KN538682.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538682.1:631467:631918:-1 gene:KN538682.1_FG005 transcript:KN538682.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVGAEARRGLRKGVGGGVLGKRWQTRRSDDVEADVGLEKRKSEHHAVVDEEFLEIVGRARRSGPNMRCHQRWKKQSRSGRQGRRRRRTELIERRLVRTMKPGKLPIKYREYWNYVWSGKNGSFEDTTSD >KN538682.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538682.1:755847:756857:-1 gene:KN538682.1_FG006 transcript:KN538682.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARATIGAAILVCVVILALDVTAGILGLQAQIAQNKVKKVRVLFIECEQSSSKAYQLGLAAAVLLVAAHAVANFLGGCACICSQMEFIRASINRKLAATLIVLSWLALIAGFSLLLAGAMRNSSPQRKCSLAQGHTLSLGGILCFVHAGVTVAYYVTANAAAYELP >KN538682.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538682.1:775820:776558:-1 gene:KN538682.1_FG007 transcript:KN538682.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARALLVVAVAAAAVLATTAMGAATYTVGAPAGSWDTRTNYAQWASAVTFRAGDRLAFRYSPAAHDVVEVTKAGYDACSSSSPVATFNSGDDTVPLTATGTRYFICGFPGHCAAGMKVAVKFCPSI >KN538682.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538682.1:622709:625120:-1 gene:KN538682.1_FG008 transcript:KN538682.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAEGERRSDRKGGKMAATARIPPMRFTHKPALDCSAGATPTLQVFSVEVEATSGGLQWPLDVFGIVAMRDDLDRNRNIVFHRTRDNCQTLTEQDRNLVLIGPTRAVALSPPEPVTIEVELKVKGSTESEDKDFSFLAVPLICHKTYYSRVFHSGSHTSKLSTLAFRFGYVVRSVEATIFVQVIHGSWPDGLRGEFAAFTSGVRCKTMANTDSIDHEKIVLLDSRGEKVAVTADGKIKLSRCVVSVESNAELKVSVKAWKAENNVVENVNVFTALEAGVRNTTLDIGFCNMEISVAWSLISQYPVSANSVL >KN538682.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538682.1:758274:759872:-1 gene:KN538682.1_FG009 transcript:KN538682.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MQLACGMLAEARQVFDGMPARSEATWGTMMGGLIDAGRSRGALALFRELWEEVGGDAAPRVVVVAVRAVTALGSARAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGQLDEARRVFDGMPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHRNLQLARLAAEQLLAMEPQKINNYVELLNLYINSGRQTEASKVVNTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >KN538682.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538682.1:696842:701329:-1 gene:KN538682.1_FG010 transcript:KN538682.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRERHNKSQSRSWASHRPHVGEISWASPNKDGPRSSPIKMGLTDGMEACCTIKYACRTSALMFTAEQTTPGSNLGMQAKGWSANWKHYSTNDWMMNEVHTYNELVWKANAGWTLYMAFLMDMTPLISRPETYSLQGLLPKEHRVYVSYKLAELQLSIVYDYFYTKIGVYFEPEERLNGRFAQLATLCSTFAALFLFARADLRGNFSYDRADIVVSYILLSGAFLLEILSVFIVVSSFWAYFMATVSDFLCTRCHDVIFIIVKLVHPESKPQWSQKLAQYNLIIGCIKQKRAAAGSCLLKCMKRVIGIRPSTMTHVNISHELKKLVLDKLLQVGSRLHPDDVWDISKFTGQWAKLELRSKLHIESSSRARLQVLISDSIQRAGFMSAVLAWHIATDICFFHEDELGCSSPSRGPSRELSNYVMYLSAKHGILSGNDGHMRLRNAQEFIVECLEDRQEALDQDAVVRSVAAKIDNLTEDFEHPRILTAVEPVLIQSGQLAKELLKMKEAHDRWDIIMNVWMEMLCYMALHCGPGFHIKQIIQIPLYLYFNEKKEDIFYKAKIIPKVQHT >KN538682.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538682.1:751895:754901:1 gene:KN538682.1_FG011 transcript:KN538682.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MGKMKGVSEGLIIGITVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASMIPIRANGVNACAILSNSTTGQESPREVEDRGASLWLEGPGRKSVISASGIPKYSYKELQKATSNFTTLLGQGAFGPVYKADLSSGETLAVKVLANNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLLYAFMPNGSLASHLYGENIAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFEMIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAMAYRCVSRVSRKRPAMRDVVQALIRVAKHSQSKKHHKRRPPPGRADDESVDLEASEVQSSLSGHQRQESVGSVSDLADV >KN538682.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538682.1:778821:779519:-1 gene:KN538682.1_FG012 transcript:KN538682.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRSALLVVAMAAAVLATTAMGAATYTVGAPAGSWDTRTNYVQWVSTITFRVGDQLVFKYSPAAHDVVEVTKAGYDSCSSSSPVATFNSGDDTVPLTATGTRYFICGFPGHCAAGMKVAVKLILILM >KN538682.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538682.1:813864:823816:-1 gene:KN538682.1_FG013 transcript:KN538682.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) UniProtKB/Swiss-Prot;Acc:Q84M92] MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGETDEAKADKEAEAASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVAAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVGPGEYKVVDLDLPNTTESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQVRFDEENNTCCTFVFQAGFVTGADSMSARGLPRMVIDSVNRCDVDIRKELLSSILLSGGSSSILQLKERLEKEVLEESSGNTRVKVLASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEKMNSSKSCGGIYIKFDTLLP >KN538682.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538682.1:801325:801960:-1 gene:KN538682.1_FG014 transcript:KN538682.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATAVKHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNATDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYMRQLPRKCSPNLIPLRNLHQIKVASEPLHLVPGKALRRHCCDVVSSSSETNMDVNIRKCKEDELIAMHS >KN538682.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538682.1:787470:789393:-1 gene:KN538682.1_FG015 transcript:KN538682.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIILLCAHRKAYVLESHFYPPITQVIDVISRKPELTKISFLAHSVGGLAARYAIAKLYRHPNDTSKGGMKGTICGLEAMNFITVATPHLGSRGNNQGMAVPLLFGSIAMENFASRVVHRISRRTGKHLFLTDDDEGEPPLLQRMAEDYGDLYFMQDLLFVNSLIHGIQKLLAGEHRLSEERLSCLSGRNRYLKSTPHIVHEEYSEEFNDETCQNSATVCDSYILEEQEYK >KN538682.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538682.1:644306:646571:1 gene:KN538682.1_FG016 transcript:KN538682.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTHKPALDNSTAYALDALQIFSVKVAATSGGLQWPLDVFGIVSMRDSVDRNRNVLFHRTRDNCQTLTEQERNLLLVGPTRAIVLSMPEPVIIDVELKVKGTTESKDKHLSYLAVPLLCHATIFMRVICGSWPDGFHGQFAAFTTGVRWKDLASEKNIAGVDDERILLLDSRGDQKVVVTGDDGKIVLSRCVVSVEDKGELKVHVRAWKVDDSFVEAEMVFTALKAGLSNGELDMGFCKLGVSVAWSLISRKPVYADSELFKSFPFSIAFFTLSALPISSSHIEQLGGELNVEDLGHQLCCGFPNRCTIVNLARV >KN538682.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538682.1:808058:812545:-1 gene:KN538682.1_FG017 transcript:KN538682.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSRNQKSSQKDAAPNEAKPPRYPQRNRSITASASASAFASPAVANSRVAKERPSSSTAGEGEPQETVLKLPSIPTLPARMAKLVPLEGLGCEAAVGSLTPSREREYKVTNKHTEGRRPVYAIVFNFLDVRYYDIFATACGPRLSTYRCLMNGKFALLQSYLDDDMNESFFTVSWACDIDGNPLLVAAGSTGIIRVINCATEKIYKSLVGHGGSVNEIKSQPSNPSLIISASKDESIKLWNVQTGILILVFGGVGGHRHEVLGVDFHTSDIYRFLSCGMDNTVRIWSMKEFWEYVEKSYSWTDATSKFPTKFVQFPVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWEPITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTVPVSSKKQK >KN538682.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538682.1:633323:637507:-1 gene:KN538682.1_FG018 transcript:KN538682.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERRSDREGDDGGWMAAGSSSGAMVRRETRRSEVGLEKSSSLPPPPLPKEAVKIDKKKTKKRSDYSIEDILKILDMSEEELAVVKEEWAEMEAKEKEEAPKVKETMVEWEARVKKRIEEENKAYREMMRSQDEDESSWDAIQYRKSWNARWSRTRGSFEDTTRMPPMRFTHKPALDYSAAATPTLQVFFVKVAVAKGALQWPLDVFGIVAMRDVLDRNRNIVFHRTRDNCQTLTEEDRNLVLVGPTRAVALWMPEPVIIDVELKVKGTTESEDKGLSNLAVPLLCDDTSYSRLLHSGSYTSKLSTLEFRLGYITSSVEATIFIRVIQGSWPDGLSAQFAAFTTGFYGMGMACRDSNMSIDDERIVLLDSRGEKVAVTSEGNIKLSRRVVSVEKKGKLKVSVKAWKGDDCVVETEMVFTPLKSGLSKGTITFGVCKLEVNIGWSLISQYPIAAGSVL >KN538682.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538682.1:662520:662996:1 gene:KN538682.1_FG019 transcript:KN538682.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLHHVMSSSGSVRKGIHSASPRVTAAFCPAAPRWRFHAMHAPAATNLKPAEPKLGTRSSSVLAGTHQSEARNGGSRCVGGGRDTVLRRPQGGAGSGDIDGAVSAAWGEGKMAVAVEEARRRKRWQRIWLLTWMSSRPVPNRQPRRRPPLQAAAAA >KN538682.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538682.1:693546:696495:1 gene:KN538682.1_FG020 transcript:KN538682.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MKREAHVTKEQVRRMILECSSGPNLHIKLELIDTLERLCIDYHYEKEIDNVLRRVHEEEDDDSDNCYDLHTTALRFYLLRKHGYHASPDVFQRFRDEEGNFTCDDNNNGTRSMLSLYNAAHLRIHGEEILDDAIVFTRNYLQSVVKHLQSPMADEVCSALRTPLFRRPRRVEARHYISVYDKLPTRNETILEFAKLDFGILQSLYCEELNVLTMWWKELQLQDHLSFARDRMVEMHFWMLGVLFQPQYSYGRIMLTKLLIFVSIFDDIYDNYSTLEESKLFTEAIERWDEEAAEELPGYMKFFYKKVLTTIKSIETDLELQGNKHVDYVKNLLIDATRCYYNEVKWRSEGADEAAATVEEHLKISVPSSCCMHIPVYAFISMGNDVTTDDAINWGMAYPKIITSSCIVGRLLNDIASHEVYVFESN >KN538682.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538682.1:733990:740799:1 gene:KN538682.1_FG021 transcript:KN538682.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRFAGTHPRLSKRTTTDGLKEAFAKFGEVMHARVVTDRVTGFSKGFGFVRYATVEDAAKGIEGMDGKNCLISLAAIGKAETFLDGWVIFAEYARPRAPPQQPEMNSQPQQSWGPPSSSWGAQ >KN538682.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538682.1:720083:721338:1 gene:KN538682.1_FG022 transcript:KN538682.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYSMYGHVAKLAEEIEKGASSVEGVEVPETLSDDVLTKMGAPSKKDVPIITPAELAEADGVIFGFPTRFGMMAAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPVGYTFGAGMFEMEQVKGGSPYGSGTFAGDGSRVATELELQQAFHQGKYFAGIAKKLKGSA >KN538682.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538682.1:766549:767311:-1 gene:KN538682.1_FG023 transcript:KN538682.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLERMALLAVVVVAAAAFSTASGASYGVGEPNGGWDLQTNYTSWASFITFRLGDKLVFKYSAAAHDVVEVTKDGYLSCSASSPIAVHRTGEDAVELGRLGRRYFICGVPGHCDAGMKLEVRTLCPIPSPPPGSDGDGDGDGTPGGICIDGSSPPTIVSTPGVVSYGSAPGSSGSANTALAIMAVMLLLLIMF >KN538682.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538682.1:660289:660477:1 gene:KN538682.1_FG024 transcript:KN538682.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLGHDALLFMLPFSVYALVFLRPPRGLHQTPYPILACAVTTPAALLAATDDVALATVLA >KN538682.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538682.1:639073:641631:1 gene:KN538682.1_FG025 transcript:KN538682.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEVDRKSDGKTAATGKGSSSGATARRRSRGRVLGKRRLQADDDEDEEEEAELEEEEEKQEPKRASVQRVWTSADFEKSEGFLRFIGKTAEEYAASKAAFASRPRRIETAEEWEARVRKNIEEQDKAYEEMMRSQDEDESNWDYIAYRNSWNDTWSGSRGSFEDATRIPAMRFTHKPALGYYSASALDTLQIFSVKVAATSGGLQWPLDVFGIVSIRDSVDRNRNVVFHRTRDNCQTLTEQERNLVLVGPTRAVVLSMPDPLIIDVELKVKGTTESEDKRLSLLAVPLLCADKYYSHVLKSGSYTSKLSTVEFRLGYIAASVEATISVRVIRGSWPDGFHGQFAAYTTGVRFRHLASEDTLACIEHEKILLLDSRGDQNVVAVSGDGTIELSRRVVSVEKVGKLKVFVRAWEEVDHNNVVEQVKVFTPLDAGLSNGELDVGFCQLEVSVAWSLISENPVLAKSVL >KN538682.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538682.1:782293:782859:-1 gene:KN538682.1_FG026 transcript:KN538682.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLGVAMAAAVLGTAMGVTTYTVGAPAGSWDTRTNYAQWVSAITFRVGDQLVFKYSPAAHDVVEVNKADYDSCSSSSPISTFNSGDDTIPLAAIGTRYFICGFPGHCTAGMKVAVKVEAATGSNPTPSPLAPLPRTPTAMAPNAMPPTNGGRPAPPSSSASKPVGVASLVGLSLSAIVAGLIVF >KN538682.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538682.1:670856:672415:-1 gene:KN538682.1_FG027 transcript:KN538682.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFPAGEGETFQPLNADDVRSYLHKAVDFISDYYKSVESMPVLPNVKPGYLQDELRASPPTYSAPFDVTMKELRSSVVPGMTHWASPNFFAFFPSTNSAPAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQMLNLPTSFMNRTGEGRGTGGGAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGVAKLQEHIRSDVAMAKVFEDLVRGDDRFEVVVPRNFALVCFRIRAGAGAAAATEEDADEANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEEHHVRSAWELIKKTTTEMMN >KN538682.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538682.1:763635:765834:1 gene:KN538682.1_FG028 transcript:KN538682.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPADGGGGGSGDDWFLDCGILEDLPAAACGAFPWDASPSCSNPSVEVSSYVNTTSYVLKEPGSNKRVRSGSCGRPTSKASREKIRRDKMNDRFLELGTTLEPGKPVKSDKAAILSDATRMVIQLRAEAKQLKDTNESLEDKIKELKAEKDELRDEKQKLKVEKETLEQQVKILTATPAYMPHPTLMPAPYPQAPLAPFHHAQGQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDSEACPPVA >KN538682.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538682.1:643372:643602:1 gene:KN538682.1_FG029 transcript:KN538682.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDKGEGFLRSIGKTAEEYAASKASRPRKRMETAEEWEARVRKNIEEQDRAYLEMMRSQDEDESNWEAIQYRKF >KN541763.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541763.1:5941:6429:1 gene:KN541763.1_FG001 transcript:KN541763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANDGADAGDSKILLISSDGQHFQVTEAEASMSKLVSNMIEDDCTENGVPLPNVASNVLAKVLEYCKKHAAAEDVAVKTQELKSFDASFIDVDNTMLFGLILAANYLNVPSLLDLACQHTADLIKGKTVQEIRDTFGIVNDFTPEEEEEIRKENEWAFEN >AMDW01036355.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036355.1:147:603:1 gene:AMDW01036355.1_FG001 transcript:AMDW01036355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PGESLKDFYKRTDMYWQMAAYEHTQHTGKVAGSMSLPYLFVLIQCQELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKSKQKSGMR >KN541981.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541981.1:3650:8120:-1 gene:KN541981.1_FG001 transcript:KN541981.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTAPACRGQGKKARRDEPSAVPVLAHTTRRTRRSAGAPLLATPFVLSVNTFTAHPSQLIMLTRQRREYAKETPKLEFDRVGSSKKMKHITKQLEPICAKVTTILNLELLRSSGRTAPVIAKSRPVTTCRSIEPKLYGRDSTKKSIIDSITQGKCCGEDLTIVPIVGPGGIGKTTLIQYIFHSQEVQNHFQEKVWICVSQNFNVNKLIEEIEKSTHRVEGEKDGRAEELIEQRLESKRFLLILDDIWKCEYEDWRRLLIPLTKGQRKGNVILVTTRFPAVAEMVKTTDTSIDLEGLDPEIFRELFLACAFGDEQSRSEHIGLLEIGDKIAEKLKGSPLAAKTVGRLLRNRLDIHHWTRILESREWEMQTGKHDIMPALQLSYDFLPFDLQQCFSYCALFPEDYKFDSKELVQFWIGLDILEYGGQNKTIEDIALSNLDGLITHGFFKKDDTDGHPYYIIHDLLHDLALRVASHECLSLHRSNMRSAEIRPSIRHLSIVMDGVDDSDKITDENFKSELRKLKTRLTVTNLRTLMIFGELDESFVVTFGDLFNEANALRILHLPRMSFSVESMIHRFSALVHLRYLRLGTNCGSETHLPSTLSRFYHLRILDLQAWDGCLDLPSNLAKLCQFHTQHDELHSGISNVGNLQFLQELKIFRVNKENRGFELMQLGHLIKLSGLGIYNLEKISKEEAAAEAKLAYKTLLNKLTLDWETNIDLDKEAQILERLRPHNNLQDLSIRGHGGPSCPRWLGSELSVKTLASLSLVGVAWNTLPSLGNMWNLRDLTLMRIPTLNEFGPNHFGPITEHSFHNLKRLELVDLKRLEKWVAGNYHELFTRLQALVIRDCPELSELPFIDQIWPPNLQELEIQNCPKVLTLPPIPWTCTLCSVQISKVGLSLGHLNYSKLPSAVTLDIEGKDGLHSLDVVLAIDYLAELQKLDMEKCPPLELRHLQMLTSLNALRLNNSKGMLGNLGDAEWQIPLERLFIQNCHWTGKELTKLLSLLPKLYYLHMWACQGVTRLGVEHQQREAADLEDTQSAYQQQQIGMDQMEEVTQQTVDQEDEDGLLLFPAHLSNSLRVLEISHFPELILMAPPVLPAPDSLMVGTPLPLGDCRSKCSGPVKLQELRTDDIARVLVKPICSFLSSSLTKLVIGFKEEVERFSKEQEEALQLLTSLQEIEFWNCDKLQCLPAGLHRLVHLKSLSIMKCPAIRSLPKDGVPSSLQVLDVTECGNKELKHRCRKLIGTIPKIKL >AMDW01141000.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141000.1:134:224:-1 gene:AMDW01141000.1_FG001 transcript:AMDW01141000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSAAALAIIASLLVAVTLADARLTAHP >KN541981.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541981.1:15880:20016:-1 gene:KN541981.1_FG002 transcript:KN541981.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAISAAQWVVGKALGPVSDGLVEAWAASSELGTNLDALKMELLYAQGVLDNAKGREIRSPALKELLQKLRDLAYDAHDVLDELDYFRIQDELDGTCEAADEHAKGSFHNLFLNAHHTAKATAGKLMGFSACSCAAAHHGYPSGPSSEQVCCVWPYNDHIASDDEEVSGHIHKLASGARNTIRAVGKRLHCSSFPLIRDYCYGDGDSSISIDTCPNKVQHKKHTKEIPKLKFDRVGLSKRMKNIIEQLQPVCAKVTTILNLELMGSSRINTSSDAKGRPISTPTSIEPKLYGRDTTKKSIVDSITQGKYCDKDLTVLPIVGPGGLGKTSLMQHVYNSQEVQSHFQIKVWVCVSQNFNTDKLIEEINNSIPKVENENNVRAEELIEQRLKSKRFLLILDDIWKCESDDWKRLLVPLTKGQTKGNIILVTTRFPVVGEMIKTTDSSIDLEGLDHGVFRELFLACVFGDDSREGHDDLIEIGDKIAEKLKGSPLAAKTVGRLLRNHFDMYHWNRVLESREWEMEANNHDIMPALQLSYDYLPFHLQQCFSYCALFPEDYKFDSKDLIHFWIGLGILNSSSPKKTFEDIALNNLDGLVTHGFFKKDESQGHPCYIIHDLLRDLAVKVASHECVSLHCSNMRSVEIRPSIRHLSIITDDLDDSDGIIEENFRAELIKLKTKLKVENLQTLMIFGTFDESFVGCLGDLFKEATALRVLHLPKLPFPVESILHKFSALVHLRYLKLGTMIERETHLPITLSRFYHLRILDLLQWDGCFDLPGVISNLAKLHNFLVPNDKPHAAISNVGKLQCLQELKRFEVNREGIGFELRQLGYLMDLRELGIYNLERVHMKEATSEAKLLNKNCLQNLTLHWDSYQTSVDPYKDEQVLENLQPHKTLKELSIYGHGGPTCPKWLGAELSVKFLETLRLSYVAWKIFPPLGEVYLVNDLGEELFSCITGQSFRKLKRLELVGLQKLRKWVATEVCPMFFSCIEVLIVRDCNELIELPFSYCTYGPSEGDGKITWFPRLKEVEISQCPKLVLLAPIPYTQTLCSIYIVFSGTSLKSLNYWNMSSSLRIQGYRDLHVLDDKVLAFHNLTDLQELYIDDCAPLSIRHLQILTSLKRLSLIGGSNIVFTPIESSSDIEWQLPVEFLSIWNWHDSGKELTQLLSHFPKLSYLDLHGLKKISRLIVAVEQQQMSTVEREDIQGTDEQKQIAEEMVEEEALTQLNVDQEEELDGLLLLPASLANSLQFMRIVDSPELILVAPLALSSGHKVETGAGGLQALRSLQNLHIERCPNSTSSLSSRAYCPFPSSLQGLSLDGVMGIQSKRILENLSSLTQLSINDCGKDLKWDVMWPLITHGHLSSVEVYQTPKFFASQDPNL >AMDW01027762.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027762.1:76:213:-1 gene:AMDW01027762.1_FG001 transcript:AMDW01027762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ETEEALKVEESAPIAEKQGEQDDAPTGEKDNKEGAANEEEEKEEDK >AMDW01030952.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030952.1:43:423:-1 gene:AMDW01030952.1_FG001 transcript:AMDW01030952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKVLSSECTEEAKKVHDALHREELLKQKVADEKTRHLEAVTEVEMAKTLFAQEAFSKHKAEIVADMVTAEKTKVMDALLSTGKSCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVK >AMDW01038502.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038502.1:233:785:-1 gene:AMDW01038502.1_FG001 transcript:AMDW01038502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAK >KN541734.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541734.1:15076:15723:1 gene:KN541734.1_FG001 transcript:KN541734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQIEAPAPEKLSWGCCVCACVLIVSVVLFAGLMFFAIDDNEALYSAAIDAVSGFDPVSELGQDPKLDPTFDLTVRIRSRSWSRGDECLEPGTAVEVAYRGVLLASGPVEKFCSGGKKAKDQHVVAWGTGVRLPGFALDALVADARRGAEAFDVAVKMPSKHRGYVTVDGTLISCKARRVGDAAALGTPCDVSSMDIPVPSPNTGKTQTTGGAN >AMDW01134062.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01134062.1:371:490:1 gene:AMDW01134062.1_FG001 transcript:AMDW01134062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALK >KN541854.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541854.1:1784:7272:-1 gene:KN541854.1_FG001 transcript:KN541854.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSVLLLFEWRTLILGFCCLQHPRPPPVRRNAAARPWPLRRRPTGASSPSGVVSNSASPSEASFKKDHLHVSTLRLSEVACVEDDPLEVTCLEDDDDDDPLVDRVEKFFRDSGMTSLQYPAAFNDSWRMLSEFQDLLSLLIAFDFGKRPPVYNAKWLSKRKRPPFYNAKWLSKGSPRAPPVRRNALVIPSVPPPLALEHPRPPPVRRNASASPGSPGWLMEPEKAPGRGRLMLSDLPDDLIRRIMSFLYARQAVRTCVLSRRWRDLWRSLTRINADFCEFKGDTRTWVGDKARFEKFLSALLLRRDPVLLVDKFWLRCPSCSFGVCSLDANLWICHVLQLQAPVLDVRAVGISRLNQAVFTSQYLRRLALSSVVLSKGFFNQLKMGCPELECLFLRDCHIHDHHISSQTLKILTINISDFSFVDKYDCCISTPSVTALTLFGPKGRVPLLQDMASLVSASVYLANDFSNFGTAVDVHRLLTSLSGVKYLALDFDGVNEVQITNENNMQWCPEFIDLVSLTLGSWCLESNFYGLTVFLQNSPKLEKLTLKLNKVHTRRIVGKLKEKSFTCERLKVVEVICTGDDPLVNCVEEFFFNSGMTSLQIRINHLDGYELYEPRLYRDEYRRRQYMG >KN541854.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541854.1:19793:20209:-1 gene:KN541854.1_FG002 transcript:KN541854.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSETDVLIRLDGLDPEAFWAFFLSCALGDEKPEHHKELLDLGREIVKKVGYSPLVAKTVDRLLKKDLTRRHWSRVLDSKEWEHGNSVNDIMPALKLSYDCLPFHLQKCFTFCAIFPDDYQFRAGSPVVGPWCHKLQ >KN541854.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541854.1:14935:17500:-1 gene:KN541854.1_FG003 transcript:KN541854.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPEVPEAMHISRLIHMHQFRATKKLQSSVAEVRKLKLMQELTMFQNHKGHFPPKKLAIEVRGSPTYILDTLPFHNNVRLFNIFELQQLGELRHLGGALTISNLHKVKTREEAEKAKLRLKRNLVRLKPVWDEAGSEQTDEEANSIEGLQPPANIRELCIKNHKGITCPSWFHSANSFKALEILHLHGVSWNTLPPFGQISCLRKLKLENIAIENFEVCSSVPLGTLQKLTSLEALTFEDCSNLSSGRGENAVIKISIKHLVLRNCNIAGKELSKILACCPCLSHLEMEDCNGITGLRMQQSVHEMDDDGNDVGVLQFPSKFTNTLSKLSIFSRNDITLNVNREVLGKLKSLQWLQLGGCVLSCAAMQAVDDDLPLANNLKVLRAYGYDKPDQAQDWLMTRIARTVVAGSFQLEELDIGSISGVLVAPICNHLSTSLHRLTFRNDTLVQSFTEEQDIALQSLSSLQDLIFYGCDRLQSLPPSLRFLYSLWRLEVSFQKLQGHIAELQKQCNDLWQDIPEVVLTCIPLI >AMDW01023143.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023143.1:8:301:1 gene:AMDW01023143.1_FG001 transcript:AMDW01023143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNASFVVPKNTSLLEAHFRREPAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGLNFFVLAQ >KN540035.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540035.1:64148:68337:-1 gene:KN540035.1_FG001 transcript:KN540035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 [Source:Projected from Arabidopsis thaliana (AT1G65590) UniProtKB/Swiss-Prot;Acc:Q8L7S6] AQTVFGALHALQTFSQLCYFDFTSKLIELISAPWRISDTPRFPYRGLLIDTSRHYLPVTVIKKVIDTMAYSKLNVLHWHIVDAQSFPIEIPSYPKLWNGSYSFSERYTTSDAVDIVRYAENRGVNVMAEIDVPGHALSWGVGYPSLWPSDSCKEPLDVSNNFTFGVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPRIKKWLDDNNMNVSDAYRYFVLRSQKLAISHGYDVINWEETFNNFGDNLDRRTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLKGIDDPEQQSLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKIAEDPRLVTSRLARFRCLLNQRGVAAAPVAGYGRTAPYEPGPCVRQ >KN540035.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540035.1:44555:44809:-1 gene:KN540035.1_FG002 transcript:KN540035.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPACYVAPDMTPVRIVVNNKSPSEVPREIRIRYGNDRIPPSIVSRHVVPVQILGAWVWDESKSEYVDANGEKYVAMYNRAP >KN540035.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540035.1:28269:31047:1 gene:KN540035.1_FG003 transcript:KN540035.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLSACLVFLLILVLSLQESPLHAADTLTAEQPLSADQKLISQDGKFALGFFQPAAGGSSSRWYVGIWYNKIPVQTVVWVANRDKPITDPTSSNLTILNDGNIVLLVNHSESPVWSTNVNNTIASPPVVVLLDSGNLVVRHESNTSEVLWQSFDDFTDTWLPGNKLSRNKKTGVIKRMISWKDRADPAPGMFSIQLDPSGATQYILLWNSSTAYWASGNWTGNAYTGVPELSPSNTYPNSAYTFQFVDNDQETYFTYTIKSDAQILTRAIVDASGHFQAWIWADAAQAWQLFFQQPKAKCSVYGMCGAYSICSENAELSCGCLKGFSESYPNSWRLGDQTAGCRRNLPLQCGNNGSVKAKQDRFYMISSVKLPDMAHTRDATNVHDCELTCLNNCSCSAYSYNGTCLVWYNGLMNLQGNIGELGNSIFIRLSASELPQAGKKKWWIVGIIIGGLVLSSGVSILYFLGRRRTIGINRDDGKLITFKYNELQFLTRNFSERLGVGSFGSVYKGILPDATTLAVKKLEGLRQGEKQFRAEVSTIGNIQHINLIRLLGFCSEGAKRLLVYEYMPNGSLDHHLFQNNSAISSWKRRYQIAIGIAKGLAYLHDGCRDCIIHCDIKPQNILLDMSFTPKVADFGMAKLLGRDFSRVLTSIRGTIGYLAPEWISGESITTKADVFSYGMMLFEIISRKRNLTQTETRTEIFFPVLVARKLVQGEVLTLLDSELVDDANLEELERACKVACWCIQDDESSRPTMAEVLQMLEGLVDIEVPPAPRYLQVLAEGAASKT >KN540035.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540035.1:2428:4591:1 gene:KN540035.1_FG004 transcript:KN540035.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQQVTD >KN540035.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540035.1:23287:26027:1 gene:KN540035.1_FG005 transcript:KN540035.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRFSSCVLSMIVLILSIHENPLHAVDTLTANQPLSGDQKLISQDGKFAQGFFQPAAGGSSGKWYIGIWYNKIPVQTVMDPSGANQYTLLWNNSIEYWANGNWTGDSFTGVPEMSPASAYPNSAYTFQFIYNDQEGFSESPRNGNPGNQTAGYRRNVLLQCGHGDSLTQQRLAASELPGSRREKHWSIIGIIVGLTVFITRNFTERLGAGSFGSVFKGIIPDTATVAVKRLEGLSQGEKEFRAEVSTIGKIHHKNLIRLLGFCCGGSKKLLVYEYMSNGSLDQQLFGKSNLTLSWSTRYQIAVGIARGLAYLHEGCRDCIIHCDIKPQNILLDESFVPKRRYQKESQKEERVHRLFDPELVGDANPEELDRVCKVACWCIQNHPDCRPSMREIIQILEGLKPIETPHVPRYLKLPADGQE >KN540035.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540035.1:54758:63650:1 gene:KN540035.1_FG006 transcript:KN540035.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLLDTGNLVIRQESNASSVLWQSFDDITDTWLPGNKLSLNKVTGVPERMISWKNLGDPAPGMFSVEIDPDGSNQYIISWNKSVVYWGTGNWTGSSFPNMPELSPANTYPNTPYTYKFVSNDKETYFTYNVTDDRVLSRHAIGVSGQTQSLVWVESAQAWVLYFSQPKANCGVYGLCGVNSKCSGSALSSCSCLKGFSIRDPNSWNLGDQTAGCRRNVMLQCGSKSSAGGQQDRFYTIGSVKLPDKSQSIEATSIHSCKLACLSNCSCTAYSYNGTCSLWHSELMNLQDSTDGTMDSIYIRLAASELPNSRTKKWWIIGIIAGGFATLGLVVIVLYFLHGRRRISSMNHTDGSLITFKYSDLQILTKNFSERLGVGSFGSVFKGALPDTTAMAVKKLEGVRQGEKQFRAEVSTIGTIHHINLIQLLGFCSEGAKRLLVYEYMPNGSLDHHLFGSSCVSLSWSTRYQIAAGIAKGLAYLHEKCRDCIIHCDIKPQNILLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNGMHGGSFFPVLVARELVEGELHKLFGSELSDDMNLGELDRACKVACWCVQDSESSRPTMGEIVQILEGLVDVEMPPVPRYLQVLGQDSSNFYLSRKSFSGGEDCSVWLEAVASFFHRSGLAWLDIGRVDLASACFEKATPLVSAAATEEDRGVLLELNLARARAASDAGDQALAVALLSRSKPLAAASPEGAKSLAQGYLSIGEATLSAKHSNPAVEASTLFTEALDLCEKAASPSSSSPRTPPYGGATPKTPNLEGLKRRCLRFLALERLQAQDYEGVLRCIRVSRASMGLEEEHPSIGVMAMRAWIGSGNMAEADKELERLMANALATENLCVSAAEAYLAAAGPEAARKVLIALAARCRAGGAAAAVRVVKQVIDGGGGGIGRARAIAELVSDERVVALFDGPGNTHERGTMHALLWNCGTEHFRAKNYDTSADLIERSMLYVSRDEESRSRRADCFRVLSICHIALQHLDRALEFVNEAYKVEPNIKCAFLKVKINLQKGEEDEAFKQMKTMVGCVDFNPEFLTLTAHEAMSCKSFGVAVASLSYLLGLYSAERPMPMPEVAVLRNLIELLSREPGTEAEILKYSRRAKQRMADLGVESFFGSGIVGGRELNWFADLSWNMGLRASKEKKYNFGAEFFELAAEFFSSSNAECDENRSKVCKALIMAVTIMLNAEELNNSPLSDSDIKKGVEMLSRAGKLLPLISPSVPVASDQLEANNFLYLHTFNSYQLMGRMGTPAHPQQLQLIKNFASSKACTPANLLTLGVTASKGALPNMLAAEFSLKACITTALASQSPNYRVISCALRKLACLAGLQDLNGSKSDAAYDVFQQAYQIVVGLKEGEYPVEEGQWLVATAWNMSCLPLRLHQAKVARKWMKMGLDLARHLEGMKERIASMQTTFENLERVSGDEPDECSQEEAPKASISGSMSQPVLV >KN540035.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540035.1:11417:16724:-1 gene:KN540035.1_FG007 transcript:KN540035.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWYNKIPDHTKVWVANRRAPLSDPDTSRLAISADGNMVLLDRAGSPVWSTNVTTGVAANSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGRLGRNKLTGEVTRLVGWKGYDDPTPGMFSLELDPGGASQYVMSWNGSSRLYWSSGNWTGGMFSSVPEMMASNADPLSLYTFNYVDGENESYFFYDVKGEVVLTRFVVDVTGQIKFMTWVDSAAQWVLFWSEPKAQCDVYSICGAFGVCAEDALPAISIRLAASEFSDTGNTKKLIIGLVVAGVAGAVILAVVVTVLVRRSRRMKALRRVEGSLTAFTYRDLQVVTKSFSEKLGGGAFGSVFKGSLPADGTPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCTERTRRLLVYEHMPNGSLDRHLFGHGGGVLSWEASYGMMLFEIISGRRNVEQGQDGAVDFFPATAARLLFDGDLKGAVDGRLAGNADMGEVERACKVACWCVQDSEATRPSMGMVVQVLEGLVDVAAPPMPRELMPLAGMALLQLREHCSLLPNPLRPARNHRKNRLLPPNSAKVPGFSRISIHGAPPAPEAAILEERVEVDVDVEAAVVPWRGAFLFPVAAAVVASWPLPSLAVEAGGKVSLESIVVAIDDFNNRNPFFVAGAVFVWLVAIPLVQEYFKKYKAVSAIDAFRKLRDEPGAQLLDIRRGKSVRFMASPNLRLVEKSAVQVEFDEEDEEGFVKEVLARFPDPANTVVCVLDNFDGNSMKVAELLFNNGFKEAYAIKGGLRGPEGWQAIQEKYLPPSVHVVPRKMSKESEDSDVSADGTDDQLEVNGKLLATPNSSVVNTGNGAEDSTEKPNGSTSAIKHASRRPLSPYPNV >KN540035.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540035.1:35673:36298:-1 gene:KN540035.1_FG008 transcript:KN540035.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFDGGKDGFYEAVVSELKVHNELQKDKAAAMDTYLGRRDKKDDEMARAREVTERIMTLSRECGVTEETPELWVGGRAA >KN543225.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543225.1:2236:9394:1 gene:KN543225.1_FG001 transcript:KN543225.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPLMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALAAYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVISVKLKGHDVELEQDQFLLDGSSDSSMWIVPITLGCNSHDMQKRFLLKHKYSSIKDIDSQFDDQDRQNGGNFWIKLNIDETGFYRVKYDDELTAALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKIYGESTEVEERLTKNDILGFFPEGFVSLFIGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIFEARETAWSWFKAQSHPYIDGIT >KN542877.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542877.1:2658:4854:1 gene:KN542877.1_FG001 transcript:KN542877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPPAVKGVAKTLAQHHKAVIGFLLGFFLVLLLYTFLSGQLVSSEDAIVRAVTQQSTPAVHTDQDGRTTSPTSPTSTSSNTTQGMTLMDDDYMMIEI >KN542877.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542877.1:5660:7277:1 gene:KN542877.1_FG002 transcript:KN542877.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLIRQDIDQAGTKNGTNHKPGAPRKPICDLSDPRYDICEISGDARTMGTNRTILYVPPVGERGLADDSHEWSIRDQSRKYLEYINKVTVRSLDAQAAPGCTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITARVYEGEVQFLVSDLQPWFVDKYRLILTNLSRYDIVDFNQDSGVRCYPKITVGLRSHRDLGIDPARTPRNYTMLDFRLYIREVYSLPPAGVDIPFKESSMQRRPRAMLINRGRTRKFVNFQEIAAAVVAAGFEVVPVEPRRDLSIEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMVFYGGPAREMRLRDVEYSIAAEESTLYDKYGKDHPAIRDPESIHKQGWQFGMKYYWIEQDIKLNVTRFAPTLQQVLQMLRG >AMDW01053035.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053035.1:164:448:1 gene:AMDW01053035.1_FG001 transcript:AMDW01053035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LREYVEDTEDYINMMLDEKQNQLLQMGILLSTGTLVASCAIAVTGVFGINVHIALYDTPASSAAFPCAAAGIVAGSLALYLAALLCYKRAGILQ >AMDW01036035.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036035.1:140:593:1 gene:AMDW01036035.1_FG001 transcript:AMDW01036035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPFCKQKKPTVPRRAKRPQQAEKSNDANIKRRSIRRNLDNEFNLCSSSSDNPKESVEGILMMFDSLRRRVLQLDEKEDASRRADLKAGTLMMQNNLRINNHKMIGRVP >KN543395.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543395.1:1934:5603:1 gene:KN543395.1_FG001 transcript:KN543395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGRSAWTRGAAARSDEEDSSLASLSARLARVIDKNILMSEVVASAVVSEAVSRISTFFIDKHKWKLSEEDGMERLEIAHIRMEAALEISSRWPRVTDASLLRWRKKLKRTSDECSQIMDRCKRRAMEDDEMEQEVRQCAFPKRIAHATKSFISSFTGQKKVDSLITTSTIQRFERFANGAGEFLRFMEFGSIGRINYMPVDPLTGHLRAGKALQYENSHGNQYYLAARPMRFAERGQEAGVLLRYQNHERPEENFILGIMLRLAASTNVTAIVASCLELLPPNFKSVAEAAKQELTQLHQRGFYCFPFVDSTDPEYWSIHHAETHRARPNSACCDCEEHEHHGRSRSSDMVEPSGAFPEPVIKLAVQRYVSTSQRQKQSSSSSSSGFSGNLGPPLLQLTAVFAPHASPEQLLSGAESVTVVAIDGREEQPVHTNVGLHELEELLLPNAVNHLCHEAADESSAHEVFWRSCHGVAYLCMENVVTEMAGCRPTHWPRSALVRQRRRRGGWWLVAAPQREGRKRGVRAPNHRLMRESYMCRQHVEEEEAEQLVRNSSFPMQIVHATKSLVLSIYNGNIHEPNRSVVRRFEWYADGANDFLRSVEFGGTPCYYLFFDPLVGHVLAGETLEYKLVQGNRYHLFLIQANNIVERGA >KN541299.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541299.1:3518:5473:-1 gene:KN541299.1_FG001 transcript:KN541299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTLLLLRGAAMILWLLISRTPSTCCAHARCVAGERDALLSFEASLLDPSGRLSSWQGDDCCQWKGVRCSNTTGNVVALNLRNTNNFWYDFYDADGLNLLRGGELSLLGGELSSSLIALRHLRHLDLSCNLFNGTSIPVFMGSFKNLRYLNLSFAGFGGRIPSQLGNLSSLQYLDVSWNNFYLSSTDLSWLPRLTFLRHVDMADVDLSSMRDWVHMVNMLHDLRVLRLSNCGLNHIVSKLPHSNLTNLEVLDLSSNSFTSFHYNWFWDLTMFEELYLSDAWLAPVGPIPDRLGNMSALRVLDLSFNSIVGLLPKTLLEKMCNLQVLRMDDNNIDADIREFMQRLPMCSWNSLEELSLDYTNMSGIFPTLIRKMSNLSVLLLSGNMLVGELPAGVGALGNLKILGLSNNNFSGLVPLGTVSSLDTLYLTGNKFSGSMPLEIGAVSNLKELYLGHNTFSGPAPSWIGTLGNLTILDLSYNNFSGSVPLGIGAVNLKILYLNNNKFSGFVPLGIGAVSHLKELYLRYNNFRGPVPSRIGALGNLEILDLSQNSFSGPVPLGIGAVNLNKFSGFVPLGIGAVSHLKELYLRYNNFSGPAPSWIGALGNLEILDLNYNNFSGPVPLGIGAVNLKIFCILTTTSSAVLCLLELEQ >KN541299.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541299.1:29713:30606:-1 gene:KN541299.1_FG002 transcript:KN541299.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding PLPSLKAPLLEELLLANNITGSIPPSMCQLTGLKRLDLSGNKITGDLEQCWKEFGNTSSMPNTNREDHFGSNMLSLALNHNELSGEFPRFLRHASQLLFLDLSNNRFSGTLPKWLPKRMPHLQILSLRSNIFSGHIPRNIVDLGNLHFLDIAHNNISGTLPDSLANFKAMTVIAQNKEDYIFEESIPVTTKDQQRDYTFEIYNQVVNLDFSCNSLTGHIPKEIHLLIGLKNLNVSSNHLSGSIPDQIGDLKQLESLDLSYNELSGEIPPSLSALTSLAHLNLSTSAYQQHHDDSCRM >KN541299.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541299.1:9171:12005:-1 gene:KN541299.1_FG003 transcript:KN541299.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFRSFPIVMVVVSLLLTQTSSIDQASSDAEKTGGCIPKERSALLAFRAGLSDPANILSSWKGVRCSNRTGHIVKLDLQTHGCYGTDAEFPWVKSEPGLGGNISSSLLVLQRLQYLDLSCNKFSGVRIPEFFGSLKNLRYLDLSESTTSGRIPPQLGNLSNLHYLDISDSSDMYSTDITWLSRLSSLEYLNLADVNLSNAVDWLLVLNMLPSLKALILPGCQLSSSVDSLPYYNLTSLETLDLWANNFDKRITPNWFWDLTRLKYLDITGNGFYGPFPYEIGNMTSIVELRLSMNKLVGMIPANMKNLCNLERFVAYGTNINGSITELFNRLPRCSRNKLQKLSLESCNLTGRLPTTLDLDLSWLDLSHNNLTGPIPLWIGKFTNLTILDLSFNKLEGVIHEGHLSGLARLDRLVLSDNNHIAIMVNSTWVPPFNQITEIELRSCQLGPKFPTWLRWLTHVENLDISNTSISDKVPDWFWIAASSVTNLNLRNNNIRGVLPSTMEFMGAVAMDISSNQFSGPVPKLPVNLTSLDLSKNNLSGPLPSDLGAPFLNVLILYDNSISGAITSLCKMQYLSLLDISGNKLTRQIPDCIANSSSEISTGFSISNLSLRKNNLSGQFPSFLQNCKYLIFLDLSYNQFFGTLPAWIGHKLPSLVFLRLRSNNFSGRIPADLTSLVGLQYLDLAHNNFSGSIPNSLVKLHGMSLPLYQDELSRAIRSESGEDSNELVDYIENITVVTKGQERLYTGEIIYMVNIDLSSNNLTGEIPEEIISLVALTNLNLSWNSLSGQIPDKIGSLSQLESLDLSHNVLSGKIPSSITSLTYLSHMNLSYNNLSGRIPSGNQLDVLEDPASIYVGNIGLCGHPLPNNCSINDDPKIEQGELEEVSFRLSIIIGFVVGLLLVFYCMLFSSRWRYTCFVFADGLYDRTYVQVAITCRRLWRRN >KN541299.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541299.1:7600:7824:1 gene:KN541299.1_FG004 transcript:KN541299.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLDVFDRMPDRSSTQQPSMGNRSKRQRGWAGPKLLVYTGEPHDFEEMGAAPDSEVGEAARSPICVMGFPYGG >KN540629.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540629.1:736:4280:1 gene:KN540629.1_FG001 transcript:KN540629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] LWNSASATALSSPIFNKNHIVVLLGLWRVVYAYHLNPLPALKCDPYKQTDIMLPRIGLNIGNIVMSAKPNSGSSSETTQGESCTVGEKDGVVIVDHGSRREESNVMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQVDGYLPYVLFSTIKMKNFIQVGTIFFTQDIPALAAEASKEHADIPYVVTAPLGLHELMVDVMNDRIKYCLRHVAGNVEECTVCAGTGKCQLYP >KN540629.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540629.1:33007:40791:1 gene:KN540629.1_FG002 transcript:KN540629.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGATKFDASQYAFFGNNAVEEVELGGLEDDDGVDAGFVGPGDEEYPSAYGRDMFESHFRYAAVHPPSSYPRITDSAETAVPYTICMSYNISEPFPCHHLMVMIIFHNRQLCSNRQGVFIPRICLSVDQRDLGVGSGRHMDEGGGSFTGVDDLAGAFSKLTRSINEPTQSGILVMGVQFLDKDICSTPDWAQDSYWPTQPIFGAEQGLDNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFISYPPSGAVSHSSPGQPHHMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRNMAHLDSAGLSTNNLQQNALNSGPIRPNGAMLTPGLVQHNLQRPNGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHSSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELASMRMDNGWPRFRSKYMSTAEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGGQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDVTEKSASKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSNNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDSSAVETTTKLASAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGHAAGDGASFIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIHALQTQPAVAAVIGREMPVELLRASLPHTNEYQRKQLLGFAQRTMDVFLLLGSFFLSFVAHETCLRKVHDW >KN540629.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540629.1:22415:28941:1 gene:KN540629.1_FG003 transcript:KN540629.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSEVPSPRDPELVERLILDLLDPELKGHALSELRKEIVVVYPALSPPTLSLGASNRVCNALALLQCIASHPETRTHFLQARIPLYLCAFLETDSKAKQFEYLRLTSLGVIGALVKVDDPKIINFLLENEFVPLCLHNMTIGSELSKTVATFITEKIVVDDAGLAYVCANADRFYAVGAALATVVTSMVDQPSKRLLKHVIRCYLRMSENPRGFAALQTCLPPQLKDGTFNSSLRDDPSGRHLHQQLLVKMTSGKKGGAGNSAGRMSWG >KN542326.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542326.1:6594:11180:-1 gene:KN542326.1_FG001 transcript:KN542326.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSAARWVVGRALGPVTGELLEAWAASKKLGSNVCELKLLLLQAQAMLENAEGRDIRNVALDQLMSQLRDLAYDADDVLDELDYFRIQDELDGTYEAVDDAEEERGLVRGLALHARHTARAIARKLKCKCNADALVPVDDAEQGRCLSATAVGKFLPCCSPPTVRNVDSTAAKANEQHLQAPKLKFVRVEMSKKMSEIVEQLKPVCDAVDRILGPLQPSGHSKNAKTQCIDLEKRPKTTPTIIEPELFGRKDLKRIVADEIMIGKYRDNDITVLPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPKGNNEKENESDQEKIEKRIQSQQFLLVLDDVWEYHEDEWKTLLAPFRKSGTKGNMVIVTTRKPKVAKMVESTDCSIKLDRLDHEDSMRLFQACVFDNKKTWEDYPSGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKLTLDRWTRVYESKEWELQSNDDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYRFCGQELINLWIGLGLLGTGDQNKTMEYLGLEYVDQLVDNGFFEQVGKEHDSPYVMHDLLHELATNISSHEIRCLNSSTLSSINEIPKSIRHMSIIVDNRHVENRIAFENHKKDLSTLGNKLKAGNLRTIMLFGEYHGCFYKIFGDVLIDAKSLRVIFLSGASYDVGDVLCNFSELVHLRYLRIKDSRMCGASLPNSITRFYHLLVLDLQEHYGELGFPRDMGNLLKLRHFLVHDGNIHSSIFEVGKLNFLHELRKFEVKREMKGFDLEQIGRLLVLRGSLGIYNLEKVEGIKEANDAKLAYLNHLDSLVLDWDNERCNKDPIREGNVLESLKPHDNIRELHIAGHGGVSCPNWLGGDLCIRNLESLQIKSVNWDTFPLPGKLYMTEGQERQGSVTSHDFHNLKRLELVNIPKLKKWHGDGTINLLPHLQSLTISDCPELTELPLSVSTSCQFQQSTICFPKLQKIVISECPKLLSFPPIPWTNSLCYVSIEGMDSGLEKLNYSKDEQSNSSLYITGKDALDSMFWNVLDFNNLTELQELEIKKCPPISLDHLKRLTCLKTLAITDFGSILLPVDSENEVKYNLLVEELVINSCGASGRELTQVLSHLPKLSILVIWDCQKVEGLGVAEQQTIPTPESSLSPSTNEAAKAPTTRLQQQTGEAEEMETAVAADDGLLLLPSKIKELEITNCRELSLDGGGIHGLLSLQSLWINDCPKLLCSSSSSYSPFPTSLQRLILRNVEGMETLPSLPNLTSLTISSCGNLRGGEVLWDLLAQGHLTYLSVYETPNFFLGSEQSCSQVDEQEDMHRSWRLQELRTDDFARVLAAPVCHLLSSSLSKLVLAWNNEVECFTKEQEKALQILKSIENLHFWSCEKLQSLPAGLSQIPNIKTLEIFDCPAITSLRNLPNSLQQLDISCCPAISSLGNLPNSLQHLEIYDCPAITSLGNLPNSLQHLEIYDCPSISSLDGTTIRSLPKDRLPTSLREINVRSCGNEELKRQCRKLQGTIPIVQA >KN538712.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538712.1:117851:118201:-1 gene:KN538712.1_FG053 transcript:KN538712.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELRAGVGASVKADVYSFGVLLMEMVTGRRPSWPVKINMKGKEVEMLKWARDKVDKGQALEILDRQMGIQWEGRETDQDEMRAYLDVARRCTEESPKHRPSMEEVVEMLNKIKT >KN538712.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538712.1:68314:71592:1 gene:KN538712.1_FG054 transcript:KN538712.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASPFLSFILLLITIYIAHGAAGDAIGGDPWQEPEVAQQPAVVLAGEWQLLHQNTGVSAMHMQLLPGDYVLMFDRTDSGPSNISLDALSPVVSLAVGTVAQLAAGLYEAQVAAPPSPSVAPPGYYLWFVLHAGVPSTAAWAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPLSSPSSKSPRRPQPPPIPPPAGNVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISQMPPASKVDACNAVAAWAGQYCKCRFELDEKELEEEEADSLGSVSPLSSEAENGKALEEEMAKMRVNGDTNGRNCGEREGRVPLPWELLQPVMRVLGHCLLAPLNPTEVRDAAAEAVRVVYARACHELVPQAILASRSLIELDKSARKAAKEAAAAASGAIVSVGTAGSTASSSRPSSKPNTPGKQRKPDVLLLSK >KN538712.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538712.1:26864:31548:1 gene:KN538712.1_FG055 transcript:KN538712.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVDAVLLTDANGLLSGIVTDKDIAKRVIAEGLRVEQTITSKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQVGDNLPDHSSVIETLRERMLKPSLSTIISENTKVAIVSPWDPVCVAARKMRELRVNSVVITAGNSLQGIFTSKDVLMRVVTQNLSPELTHVEKVMTAHPECATLDTSILDALHIMRDGKFLHIPVVDGEGRVVACLDVLQITHAAISMVSLHMRIFSFLIPCFFLMVSVHLTSFCVKVEGGPETTNDVANTIMQKFWDSALALEPPDEEFDSRSEISLLMPSEAGDGRSSINPPIVGNSFVFKIEDQKGRMHRFACGSESLHELVSSVVQRLGIDGEKGTVQLLYDDDEGDRVLLTTDTDLTGAVLHAKSSGLKSLRLYTDESNSSSEVTKHSSEVTKHASEVTKQPPELTSSHTSQLTPARYGLMADFSQM >KN538712.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538712.1:19331:21883:1 gene:KN538712.1_FG057 transcript:KN538712.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRAVIESFFVMLKENIYVLIRIESLVALVTLIFLAMFIIDFYRCRTRSSILTTILESIDELSDQIVVYLIGAMQSARFENELFPVWAIVLVSLRTSLGYLSGYGISDRDRQFMELANVIKFIGAGVLAGTRGLKYARPLWSFWAILQLKSMYRFFAHGMANESLWHGRSSEFIPEYMRTFIPEDQETGVNHDDRNTSTMMPGKKYLICGESNKDITLKKPQYTINISNSSAQSLVTLGKIQEYNWKGMNNRDGDSKFKDLSMAFSLSRLLRCRLEDVTLNKDSINDMQHLIISEFIPDSRGQRQEEKVDEHQAVEAERTFRILELELAFVRDYFYTLYPLVFWEGLGSLCLSLLLSAATFAIAFWLAVGIRKVYQPPEGNLVLWVDGCNFDIIMTWVFMFCVMFKEIWEIVTYLVSNWTRLLVLCKYVQDQAWFVSERLTKHLVRSFFESKIGEPWHGRIDQYDFLQQITYKPTLWKLANVITLGKIKGKLDGKKTGEAIKIPQCVKLAILQAIRRIGLTSRPLPREIPSLRSSTIQFERYEWACLKLRTCSQVILVWHIATSLCEIKLAHDKKIDLTKPGFLCSAWSYMKKKLCCFSSYPYLIGENSGLSAGLKTNYHIAISLSRYCAYLQVFRSELLPDSFLVPEVLFVETLKHAREQLKDCNLKWCRYNKLMGIALQATPSSVDEKLKMNILQQGVTLAKDLIGMKDDEACWKILAEVWADLLVHIAPSWNASDHKNNLESGGEFITLIWALLWHCGIEKSSLWHKDEAFENNAQVPQESSTETSNVISMDEPANEDGIESSEELKTRSFRRGKERRNGPKDTAKQSHGENEEKNGISSSSFRS >KN538712.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538712.1:135554:137952:-1 gene:KN538712.1_FG060 transcript:KN538712.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHCGKVKSFPKDDVNKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASVVRVIVHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >KN538712.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538712.1:60910:65341:-1 gene:KN538712.1_FG061 transcript:KN538712.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFAIPFLASLLFTKRKGEKKRGVPVDVGGEPGYAIRNHKFERPVETHWEGVSTLAELFEQSCEQYVYMPLLGTRKLISREMEAARDGRSFEKLHLGQYEWKSYADAFKTVCNFSSGLVRIGHLRDERVAIFADTRAEWQIALQACFRQSITVVTIYSSLGEGALCHSLNETEVTTVICGRKELKKLVDISGQLDTVKHVIYVNEEGVSSEVSLAQKCTSWRVESFEEVERLGLETPVEAKLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPALGKKDVYLAYLPLAHILELAAETVMSAVGASIGYGSPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGAAKKLFDIAYSRRLAAINGSWFGAWGLEKHLWDMLVFQKVEHLCLEILRDLSIYALGFGLCCCRAPIGQGYGLTETCAGGTFSEYDDNSVGRVGAPLPCSYIKLIDWAEGGYLTNDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDNIMIHADPFHNYCVALVVVAHSELKSWASQQGITYSDVSDLCEKQETVKEVLQCLAKAAKQARLEKFEIPAKVKLVPEPWTPESGLVTAALKLKREAIKKAYEDDLAALYS >KN538712.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538712.1:41962:51070:1 gene:KN538712.1_FG062 transcript:KN538712.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGGIASPGEKPIPNGASPNHSQSPKICSRITDNETQGTATAKSLNEKLVLEAVSDDSSTQHSQSPQPDVFTNVRDENMQDSVKSLSEKLASALLTINAKDDLVKQHTKVAEEAVAGWEQAEAEVSTLKRLLEASTQKNTSLDDQVNHLDDALKECVRQLRQAREEQEEKIRDAVAKKTQELDSQKSELQNHISELKQQLEATKLEAAAVAVQHDLQDKLQVAEKENKGLKIELLTLAKDLKRLSLERDLSNEAAETASKQHLESVKKIARVEAECRKLRHLTRRTSLANDSRPAPNNACMESLTDSQSDSGERMLAVDSEMRNSDSWASALIAELDQFKNNSASSRDVVNNHVEIDLMDDFLEMEKLAALSEVERVSSSFGTETDSDQAVAIDKASKVETETLKNQVTDLQAKVEKLETEKRDLEMAVAEARVQLDTAYDALMAANNKLAELQMQFNLANESKIAALGQADQLDAERGSLALQLESKSIEVEKLQAVLASLQESTDKKELESQLESTSVELADLRKTVASLQEQIDAERTLSLQHKAYADMADADKESLEAQLQSAHADIGKLRGSIETLESELQKEKTMYEELVVQMESMKIESEKKLGVESAKEALEARLLVVNSEIAKLHGTVNDLECDAAKEKTFSSELKMQLEAVEGIRKMLESELESSHQETMKLQEKISLLEVRLKDQTALLVEFTAKAEDAAAGRKAMEGQLEGAKLEITRLTNRVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANTNGDLKVKQDKELSSAAGKLAECQKTIANLGRQLKLLTDLDGVTAEPEKLESGDALLDFREPDVEVPPAGFANGLYDLDLPKSNGSCLSPIPLVQSSSTHSQTSAPKVYKPASEVNLGADSNEFYISPNVKAPRVAGLLVKIFAWVLEAPIIGSIVLYILKRDNLVNKLVSDAEIPEPPLFTAAHTWQDIPEQNVSLTKPDMSPAERVQEAVVCLPARLESTLADPPSPGFRRWTIRDFTSAYISGEITPVMVARRFLAAVKECSGPDLNMALFISCNPQDIIRQAEASTLRYQQGAPLSAMDGVLVAVKDEIDCLPYPTTEDVI >KN538712.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538712.1:92928:95192:1 gene:KN538712.1_FG063 transcript:KN538712.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transporter-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/Swiss-Prot;Acc:Q9CA25] MGVEQDGKFSMTSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVAFQVFYGLMGSWTAYLISVLYVEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLLFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFVPSFHNYRVWSFLGLLMTSYTAWYLTVAAVVHGKVDGAAPSAGPSKTMVLYFTGATNILYTFGGHAVTVEIMHAMWRPRRFKMIYLAATAYVLTLTLPSAAAMYWAFGDALLDHSNAFALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGVHGGAGVLRRAAARLPVVLPIWFLAVIFPFFGPINSTVGSFLRHPMVLMMLLITDDHAHIPQGQSHLKNHMTDREGKKLNLHAGCKLCRSEEEPACRPAFASMHAMVHLLSQKR >KN538712.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538712.1:129304:133050:-1 gene:KN538712.1_FG064 transcript:KN538712.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKALDTLSPGYQPPIPAEEVRPAFDYEHEAQPFDDKFLHGNLESFPANRGRGRGGGRRGRGRAMSNGPPAYDYGEEWEEEGDYYNYRGRGRGRFRGRGRGRGRGGYYGGGRRGGYGYDYGYGGRGDYYEDQGEYFEEPEDYPPPGRGFLPVCALNAVNSFSSILPVADDSSPALTDQASRAGY >KN538712.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538712.1:140499:142816:-1 gene:KN538712.1_FG065 transcript:KN538712.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQDPFYIVKDEIQDSIEKIQDTLHQWKQTPENTGEHVHLTKEIIASCESIQWQVVELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQVVSIRRYVEAGKQKSAFGHSVNPSELVRSKQHIAQDNDDFIASESDQQMLLIKRQDEELDELSASVQRIGGVGLTIHDELVGQKRVAMVLKKASLKGQIMMIAFLVVLFIILFVLVFLT >KN538712.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538712.1:79349:81550:-1 gene:KN538712.1_FG067 transcript:KN538712.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAKEKDKGGKKMSRVGSWGSMAFYSSSSSSSRNQPVSAAEGKNGGKKEKRRSSISRSITCAGSICSTKESSVSSRGRGRRRRSGGGGGGSTSSRSLMGPGYHGDSAAAVSASSSFNSVMTAATSATATTTTTTTSSSATSPPSALSSPLSSIGGSFRAMQIRKLSGCYLHCHSVLDPRTLAAVVFSCPDCDEVFVKPDSLELHRSTRHAVSELGAEDTSRNIVEIIFQSSWLKKSAPVCRIERILKVQSSDKTIRRFEQHKEAVKEKARSAGDEAGRNPRCVADGNELLRFHCTTFACSLGIAGGTALCCASSSPPPLHCKLCSIIKDGFRVDGNGRIATMATSGRAHDTAEVLPDGEKRAMLVCRVVAGRVKKLSSSNSSEDHDCDSVSPCSEGVYSDLDELFVFSPRAILPCFVVIYSGY >KN538712.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538712.1:51924:54939:1 gene:KN538712.1_FG068 transcript:KN538712.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVQDAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYNTGKVAGGSSGGICSHAGRPLRRGGLEADGRAFLQGWASSPELDRRDARDLSRDSGGCAYRPELNLPLLKAASSMPTIRLARYAKWFNDCSEDIRSCCYKAVHTLRTRYGWEVTADVTIPEIEEMRLAHYVTMGSECTASFDKYLKKLSKSEIGWDVRIALSAYGSFSSRAYLNSQRIRNRQMYFHDKIFETFDVIVTPMTGVTAHELQDDAGHTGELDYINGAALVRYSIAGNFLGLPAITVNVGYDREGLPVGLQFIGRPWSEATLLHLAYAMQEACGKNYRKPMVYYDLLNKNKY >KN538712.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538712.1:97576:104590:-1 gene:KN538712.1_FG069 transcript:KN538712.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1 family domain-containing protein MIP3 [Source:Projected from Arabidopsis thaliana (AT2G42700) UniProtKB/Swiss-Prot;Acc:F4IP69] MGSVDLIAACLDSIRQIEDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAICSLENASPLDSAAEWHSSFAHPARKIVVITSRLLSDAHRYILRCLGNHGTISHCTVLTAISEVGHSAYADSPLGPDAFREYETLLTQDHEELLKKYEKSDEHKDTTFYTGKDFTSDGGRYSNWESGVHYGSNSESSPTKKDLFGDESSQLETKGKRLSVTVTHFPMIFSPISSRTFVLPSEGTMAESCLSNHHEDSLSPGLPPISTGRSFDGDEIPPGVTLTAQFLYHLASKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKKSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLTRKEGTSSYFLSKNPQTPSKHSEATVKRIPLDIKVPFEKVFSKEEPKSRTSMLPESIMSFVSGWNSAEVESEVTWLPDYADKAHNDKLSSQHGSLNGSFLSNYAGVRYLESLLDRGAKDGLMLIKKWLMEALQHEKLSFPSKGRQGAASVSELHSMVQMLSRDQLSLVRNRGVIQLALAAEMALQEPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKQANKTESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDIVVDSILDRPSSVKLRFLVGLENELEAKARPKDGDKSNDSIEASKSTDDFDDQWDSWGDDDDNTDDQKDEAYGDMQLKLEVRDRVDQLFKFFHNLSSMRLRNQTLGEGLAALSRFETDIYSRKGLLYKLLLAVLARYEIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIVFVVGGINALECTGSVALSEPSVGTQFLSSKYQVREVMKAISDSSRPDVELILGGTTLLTPDDIGVEATIEVAISELVSGFDLSVRCDLPMLEGHVEVQLFSGIIGEPCSLRRFVMAVSLDIVMHLKFMWYCSICGSLDVSDMVGNDKSVCILGLNFEPLLPLSFPICHLP >KN538712.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538712.1:58335:60065:1 gene:KN538712.1_FG071 transcript:KN538712.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAASALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >AMDW01027506.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027506.1:166:360:1 gene:AMDW01027506.1_FG001 transcript:AMDW01027506.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQGARGGGGGGGGGYGWRWGEKAGLVVSLLDDALFHVLYAAEAVVLSAALCSFFLCCGCNI >KN542031.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542031.1:692:2212:-1 gene:KN542031.1_FG001 transcript:KN542031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPNPLRFLRQGLAVQARGDFRIPWVDLTVPQRPARCHEDFCVAIVEPIPLEQDWDHHQALISIFIQDELHYEMRFAMNRDALVLSPPEFYDGVHSVTFVNHDQGPNWRAANFHREGWFMFLDFPLDFIDRHHVHLAVASFGQLTFWADADRMLGRVFVRAKYRDQDSVPRKIVLFDPLGAGGGGESWTVSVFMLQGDFINFPPEADLPPTGPQPGPYDAADDDPDASNVWQFGNPVGQHKSKWALQPFESILPPQERVIEDVIPISVMPPSSPTTVASPISMAPVALLPPKAPVEKRDGKTILYSPYRRQSSRLLQGNATKDLQMDPRMGVRKPRGKSA >KN542031.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542031.1:19914:20171:-1 gene:KN542031.1_FG002 transcript:KN542031.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAELGEDLLHLVDGAGAVDEAERAGWVGEGLGGDEGDERRRLAGARRHLEQRVAAHVEGALQLIHVCVLLWVDVGVREVHR >KN542031.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542031.1:7668:9090:1 gene:KN542031.1_FG003 transcript:KN542031.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDGTTAPSSGGKTATVASESGGGRYGGGPPPPARCSGANLALRALLFAVSLSALVVLVTAKQTVMVPFVIRPPQFILAPVPAKYTHSPALIYLLAALCATCFYSLITAISSVRLLSSSACSAKTLFYLILLDVFYAAVMASATGTAGAVAWVGLKGNSHTRWNKICNVYGKFCRHIGSSTFLALIAAIVLVLLAFLNAYSLYRRSR >KN541297.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541297.1:5849:9211:-1 gene:KN541297.1_FG001 transcript:KN541297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPCIAGAIKIATHISSMCSPQWSPVFRHVEADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVSGWIWDKTIPDPRYVSSLGVQWEDVYKTVENLNDGERKLKVGLLNFNSTEIGSWTQLLPDSDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPIFPRGTHFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKAAQSIRQAGSTRDFVILVDETISNHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESKNSTKENPVPATPTASLTSS >AMDW01019027.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019027.1:7:132:-1 gene:AMDW01019027.1_FG001 transcript:AMDW01019027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAMNTSRAEEKAIDDWLPITSSRNAKWWYSAFHNVTAM >AMDW01052438.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01052438.1:1048:1140:1 gene:AMDW01052438.1_FG001 transcript:AMDW01052438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAEGLRRRRSMGGRFRAEIQIDPGVAIP >KN541348.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541348.1:546:6642:1 gene:KN541348.1_FG001 transcript:KN541348.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVIASKVLGSSDNYPVKRNSDASASSPFASTSARMWLWRQQQQQQGHAPLLPSPPLLARGLWRPSPSNNLSIIQSICDQEENKEQQTSLNRGDDDPLFNDQLRRICARKIAAPNICSRNAISMEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRAKNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTMSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSTLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGAKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNEGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPTLDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEHGKENHILNEDDKENLILDKGGEDTLDAGGANSYEESVLPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGVLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSTVLEHESLRSSYKEQKPAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFEDGYDSFESMVPVSNRVSRRKNL >KN541348.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541348.1:22386:23623:1 gene:KN541348.1_FG002 transcript:KN541348.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNKRPLVADQWHPSSVCCDHRAALREIARGQSLVTQLRAIVLPALHSDERCDLAAQMMEGILDCSRKAISELQLSSGSPHDDDDHVDDKRRVRKIVSSSSDDDDHCSSKAADDDGNKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNAKHPRSYYRCTYRQGEKCKATKTVQQREDLHHANSYNGDHPIMYTVVYYGQHTCCKGPAASSDDHVVVEASQISTDSHCQSPSSSSDLQAATVHAGDSSQCSNISVTCSSSVVVEDCNKLLDMLPAADELTTDVLLFDMTAYAPLDFDINWEMDTNALWA >KN541348.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541348.1:15068:16560:1 gene:KN541348.1_FG003 transcript:KN541348.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTPTTPGSHSTTALAGDGECCLPPGLTTTTTVSGGGGRRRGRKRVHRDNDNVKLLLQADDDQEAVVADHGDANAKPLPNFTKTRRRKQQTTTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIQPSLPPVILDTTVRTTNNHHPPAAAPVVTAVAESAARARARSPATSSSSDMVMTSTSGDTVTYILH >KN539602.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539602.1:84744:87067:-1 gene:KN539602.1_FG001 transcript:KN539602.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICLLLVNLLLHSENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >KN540267.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540267.1:12579:16139:1 gene:KN540267.1_FG001 transcript:KN540267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWVAGPITLLLFAAITFYTCGLLSDCYRVGDPATGKRNYTYTDAVKSYLGGWHVWFCGFCQYVNMFGTGIGYTITASISAAAINKSNCYHWRGHGTDCSQNTSAYIIGFGVLQALFCQLPNFHQLWWLSIIAAVMSFSYAAIAVGLSLAQTIMDPLGRTTLTGTVVGVDVDATQKVWLTFQALGNVAFAYSYAIILIEIQDTLRSPPPENATMRRATAAGISTTTGFYLLCGCLGYSAFGNAAPGNILTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRFFAERKEDDAKNDAGIAHPGKLKGFSPHKPQGREGRSSQDTPA >KN539602.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539602.1:57109:60801:-1 gene:KN539602.1_FG002 transcript:KN539602.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAWADEE HOMEODOMAIN HOMOLOG 1 [Source:Projected from Arabidopsis thaliana (AT1G15215) UniProtKB/Swiss-Prot;Acc:Q9XI47] MEKLVTHKKERVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSDEKALASQASARVSEEKPPSSEENALAVDTSISNDIGEVSPDLPIDNIDKLPEIEDMQFEARSSKDFACFNSSQWTCMVCHDKSLAPFLIMLVWYDIATFLAYRKLSSGEFEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEIQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKHS >KN539602.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539602.1:25997:29022:-1 gene:KN539602.1_FG003 transcript:KN539602.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRCPVCVITGGTSGLGKAAAAALAREGFHVVLAGRSSQLLSETVQQIRDQQPDAHLEAFQVDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRAADPGVVETGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPEASGKYFFGGKGRTIRSSQLSYDVEVAKKLWAESLALFNELQNSV >KN540267.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540267.1:34634:35244:1 gene:KN540267.1_FG002 transcript:KN540267.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRFATTAPLLALAVLAAVASVAVAIAPAGKDPGGFVVTGRVYCDPCRAGFETNVSKSIPGATVSVECRHYGAGRESLKAEATTDEKGWYKVEIDQDHQEEICEVVLDKSSDPACSETEKTRDRSRVPLTSNNGLKQNGIRYANPIAFFRKEPLADCGSILQKYDLKDAPETP >KN540267.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540267.1:18322:28058:-1 gene:KN540267.1_FG003 transcript:KN540267.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTASAPSQMRSSSARSPSCPPATWCGLFDKCRGAQHLNDFFTNLLLRRNRFALDVFDIECCDVGDRFEAFRENVRQWIRYAVSCQVRELRVSIDGEDEQIRLFGAPLKAQHLKRLELWNVEFGAFSLDFSSCRELEELEVVGCVIKGKVKQILSESLRHLRIEESVFFGNRTRICCPNLISLEISDFHLYTPVLMSMPSLASAFIRLGEHCHDSCYSDYYGECGPGYTVCDHNAVKGNGSVLLNGLSDAIHLELISDAEVFIFRRDCRCCPTFNKLKTLLLNEWCMAADSSALIYFLQHSPVLEKLTLQLQESPHTMVKRGSTNKNQKERFLASKHLMLVEIKYGEDEMLQKVLHVLSACGIPSEKIIIQRMSLWTSGGFAISTNNKLVGDLTPHARLDQESGDGDLLELTLKLTSLSSMGWRSVTKCKAAAVATTDGCGEDRISALPDEVLQRALSFLPSRDAAQTCVLSRRWRHQWKSVPALRISVFDKCRGGKNLNDFVTNLLLRRNRFALDQCDIECFDEGDIFEAFRENVRQWIRYAVSCQVRELRVSISGEDEQIRLFGAPLKAQHLKRLELWNVEFGAFSLDFSSCRELEELEVVGCIIKDKVKQILSESLRHLRIEESVFVRNRTRICCPNLISLEISDFYLNTPVLMSMPSLASAFIRLAEHCHDSAEHCHDSCYSDYYGECGPGYTVCDHNAVKGNGSVLLNGLSDAIHLELISDAEVFIFRRDFRCCPTFNKLKTLLLNEWCMAADSSALIYSLQHSPVLEKLTLQLWESPHTMVKRGSTNKNQKERFLASKHLMLVEIKYGEDEMLQKVLHVLSACGIPSEKIIIQQMSLF >KN539602.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539602.1:316:4911:-1 gene:KN539602.1_FG004 transcript:KN539602.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKAIDCPPVPRTTPTPSTGSSSCPRDALKLRVYANVLGLIKAKCYHGAHHLFVEMRVSELWLFSLPSAPDTVLNYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQILDATLDGSRHPSELSRISVKLNGLQLPTYALNDILVSHPCPASVSRFSFRSSGLRVATPAGSTAAMLSAGGFVMPISSHELQYMIREPISPRDADKPLLHGLRSDKNGCSGVCPSSRLTTLDIFGLGAGAALVQKRATFIMRCTGLDLPALGDFLTHVQHKPATHLGENIILLLRQNACVSLYQGRSRKMQAHTRGRECQPPRADNFQEKRRLRRKQPGLHTGRMT >KN539602.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539602.1:21716:22495:1 gene:KN539602.1_FG005 transcript:KN539602.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPVMARAAPSTSSALFGEARITMRKTAAKPKPAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLTDPVNNNAWAYATNFVPGK >KN539602.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539602.1:76036:77712:1 gene:KN539602.1_FG006 transcript:KN539602.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWPEAAPFVPQIASTGGDGGAAVAAGGWSSGSSSPAPSSCSSSSSSWREGDCCYDVCWCSSSTVHELRSIAERMVRDGYIEGLIRAFGGAATAGAAGRRGPPDELLLHNWFSQLDVEWVLLLHTCSEEEEDEHVRRPPPLPVEDLMALMERWIRALLTMVQVLCITQLELRAEKPTVAGVRRAIQFFLLRRDSKTAHADYVQQVVQFARFAEESILRMLAFVDAATLAVVEDDDDDHRVAEALPGMLQVYACISEASPTVLAMFKEASDLLASGSSRHGQEAQVFDGMDGIFLRKRKKLSDAIWDMMEKVRASFLQDGCWQVSPEASASGVHETTVLMMNYIALLWRNDDVLTFILQDHHFSVFVSHTQGFSSVVNLITDIISCLGHKLEEIASSLSNSILDPALRCIFLLNNWQLVLHRIESLDLPSWALIDRCRTQRYIDTYIDVSWSPLLCCIFIGNSSDTPRKKTYRPASGFRRYLSLENFEIEFRKTYAKQKFFKVPDPKLRQRLRQAIIHKIIPHYSMYLEERAARGMHNRPPKITPEQLKELLEELFEG >KN539602.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539602.1:15853:19794:1 gene:KN539602.1_FG007 transcript:KN539602.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGTEAAPAEVKVTDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGAANGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFSIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAVRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDEIKAIDMEMGSLQEDLTAATARKDKAHESLVQLRHARDAYNTSFHQNRQLLSKARDLASRSELAQVQELYKTQVDKFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQLKMPAKQAKEARAPQAEVSPKDESRVKATAKPSKAKSSLDADDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDDSAPASAPVMREQRESVRYSRNVVTKTKAPLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >KN539602.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539602.1:41443:46485:-1 gene:KN539602.1_FG008 transcript:KN539602.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDIPDPQEYEAWQSRNLKLLEAGLLVHPLVPLNKSDVSAQRLRQIIRGAYDRPLETGKNSESMQVLRSAVMSLAGRSDDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWGILGINQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYSKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRIETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERIDRLKGWVDRTLKHETWNPAANRENIAPSCVEMLRMVGETLDAFFQLPIPMHPVLLPDLMFGLDRSLQLFVSKAKSGCGTRNSFMPQLPPLTRCEVGSNILFKKKEKPQNPQYRGSQNGTTNGADPLALPQLCVRLNTLQFVRGELENLEKKIKTGLRNVESAQADVTDGLDIKFELCQTACQEGIQQLCETTAYKVTFYDLGHVLWDILYIGDIASSRIEILLRELDPILETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFKALKDLFLADGDGLPEELVDKASSQVKNVLPLLRTDSESLIDRFKRMMAESNRSGAKNRLPLPPTTGHWSPNEPNTVLRVLCYRYDETATKFLKKTYNLPKKI >KN540218.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540218.1:10208:10831:-1 gene:KN540218.1_FG001 transcript:KN540218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVFANMYQHPDMITPGVDAQGQPIDPRQMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQLREEDQAAAAHTALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGTWEGSEEKTLWPLQKATTRQEPQPKPKPTP >KN540218.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540218.1:52382:54769:-1 gene:KN540218.1_FG002 transcript:KN540218.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFLCPLFISFISVLLCCAASASPWQTIGTGTSLQVDHGETFLVSPDTTFSCGFYPSGDDTNAFYFSIWFTHATDRTVVWTADSGLPVNGHGSKISLSREGNLALTDVNGTTVWESKTGWGKHTTVALLNSGNMVMKASDSEDKIVWQSFDWPTDTLLPSQRLTREKRLVSQSGNHFLYFDNDNVLRLQYNGPEITSIYWPSPDYTAVQNGRTRFNSSKIAVLDDEGRFLSSDGFKMVALDSGLGIQRRITIDYDGNLRMYSLNASDGNWTITGEGVLQMCYVHGLCGRNGICEYSPGLRCTCPPGYEMTDPENWSRGCRPTFSVSCGQQREDFTFVKIPHGDYYGFDLTSNKSISLEECMRICMDSCVCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYIKLPKNVASTSLISKHHGLTCKPNASKVMLVSIDAYRKNSDNIMWAYLYVFATIIGAVELVFIMTGWYFLFKMHNIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGSGTVYRGILGDKKVVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGRQRLLVYEYVENESLDRYLFYDSGTRNLLSWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLNRDFEAKIADFGLSKLSKRDSSTFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGTRVSSGITIDEENIDLMQFVQVVKQMLTSGEVLDTIVDSRLKGHFNCDQAKAMVKAAISCLEERSKRPTMDQIVKDLMVYDDEDYHPAYF >KN540218.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540218.1:40120:48722:1 gene:KN540218.1_FG003 transcript:KN540218.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPKRRRGGSARSRGRKKQKRLDAIHDIGEEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVVEEEEGEEEGDEEEEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVMDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEADEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSSPSEQLKEVQQDVQTGGASNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILQHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSTTADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEICSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHGIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADVVINDGQSCGVDNDGQMSRVINGEENRISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCPVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDESYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >KN540218.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540218.1:30047:31794:1 gene:KN540218.1_FG004 transcript:KN540218.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >AMDW01025541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025541.1:1:333:1 gene:AMDW01025541.1_FG001 transcript:AMDW01025541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPAAAQEVLRDKDVVFASRPSLLVSEIFCYDNLDVGFAPYGAYWRMLRKLCTVELLSTKMVRQLAPVRNDETLTLVRNIEAASGHGDGGGKKPVTLARLLTACTNTITAKA >AMDW01121509.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01121509.1:301:429:-1 gene:AMDW01121509.1_FG001 transcript:AMDW01121509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ACEVLAVAGGGQSENINSDKENLESPNAKKEPRTTTKLQAKIK >KN543322.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543322.1:119:3339:1 gene:KN543322.1_FG001 transcript:KN543322.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVASILFLALLLLSPPCSAKHKRECGELNAPLPLCDPGGDACIAWCINEGYATGKYPFADILPGSQKIEMSLVMQLMALPPAQRSLPRTRPAKALKAVLTTVLPMAT >KN543322.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543322.1:4076:5655:1 gene:KN543322.1_FG002 transcript:KN543322.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGATAMVLLLLAFGVVGEAKTHEYRSHTFKGVCIHDDLWKYCVRVRSRYDGSSSVVRPRYAMIPAKPSRGCASVTTTASPAASLRTTPAATAAAS >KN542382.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542382.1:27:293:-1 gene:KN542382.1_FG001 transcript:KN542382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTPATLDNNYYKLLPQGKGLFFSDNQLRVNATMNALVTRFAANEAEWKQRFADAMVKMGHIEVQTGRCGQIRVNCNVVNPSTSSPE >KN542382.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542382.1:13524:15851:1 gene:KN542382.1_FG002 transcript:KN542382.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGRYGAEVFVLDLLAVSPPVGLRSVRVLFALPETLDLEFTGVNCYIAIGGLLILATRRVAHKSEPVGEILLRAPPRTRRHGHNPVPVVNGLARQAANPAHIERRATPAADGPDPLWSGRSAVAAALECEVPGEGGRQSGGGAGAVLLLLAVGDRRREEAPASAVRVPAAPARHRAHRAPALHPDSGKSSCVPYVIVDDALPSGRFLLRATDGWYGLNGAYYICCDCDARTRVATPPPPSGSLDHLNFPRRRSAQLHPTSTTTTQQHETVVDYPARDNKWDVKECPHHQRAMGGCHGGVLCCADLPYGFLTSCVPFADELRHLRYVELPQCCVMVGADTIPFTRDDEKHHRWLFATVRVKIHGIPDAPVVSSWTLVDPEDSEWTLEHEVGLTPGKFP >KN542382.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542382.1:5264:5693:-1 gene:KN542382.1_FG003 transcript:KN542382.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALAAAACALCLLPATTSGALRVGFYQNSCPNAEALDQSKILMFDSYFNFTN >KN541530.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541530.1:13397:16138:1 gene:KN541530.1_FG001 transcript:KN541530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARTLLMPLAAATLLVASTIFLFAATGARWRPADTGLPQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKELQEKLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEDRLIEGRLTASSQMELVGTASFCLGLKGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASQGMHASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTMMWRRLKEVDKHFEYQYPSQKDDAVQMIWQTLARKVPAIRLKSHRSRRFSRYDRGGK >KN541530.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541530.1:22430:23706:-1 gene:KN541530.1_FG002 transcript:KN541530.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATPSLLFSSPTPRRPSSCLPPPPPCSSSSSSYASHGFKLQQPQLLFINRLSSRNSNGSGRRNISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLDSTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMIVDVSSDTNGAEQEAETAQVSAATQQTN >KN541530.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541530.1:18801:19250:1 gene:KN541530.1_FG003 transcript:KN541530.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKVVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KN544012.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544012.1:3416:3739:1 gene:KN544012.1_FG001 transcript:KN544012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFWSRVTQNKPNGPRAPEFANFAKPMGGTALNTGSSPRFDVFGNDFGWGRTTTVWSGSTNKFNDKVMVYEGPTGAGSMSLEVCLTLAALAKLVADEEFMDAVTTP >KN541900.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541900.1:7569:9499:-1 gene:KN541900.1_FG001 transcript:KN541900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSPALRPMPMTPTALRWPPPGSDQSPAAKTAMCLLCKGSYDRELAKLEAEQTDKPPSRPEAAKPGLPHWLQLSNDQNKAKEQELKLKRSKDELERKWRETCTRIHSTRPMAPALSVPLATFTPRPPVEPKLGVARGAAVPTLKMNPSWEKPSVAPTLELRKSPPASPVKTDLVLGRLDPGTNPAVENEQKESCEGLTALQKAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRNVGTRGDMWLLFVGPDQAGKRKMANALSELMANTRPVVVNFGGDSRLGRVGNDGPNMGFWGKTVLDRVTEAVRQNPFSVIVLEGIDQVDVVVHGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWVPEELKGSNVETLLRGEERMLESTSSSWQLELSIGDKQVKHRADWLCDDVRPAKLAKELSSSHGLSLDLNLAVGALDDTEGSHNSSDVSVEQEQEKGQLAVKRSTPAPGSDILELVDDAIVFRPVDFAPFRKTVTDCISAKFESVMGSSSSFRIDEDAVDRMVGSVWLTDEKIEDWAEKVLKPSIERLWHNVKHDSGRSIIRLTAVADKALPRWGGGREGLPVAVTIAIDGM >KN539608.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539608.1:29393:31946:1 gene:KN539608.1_FG001 transcript:KN539608.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWEDKDFFRHCPVSRCRRDGPEIRFPHRLESSNTSSACGASCAKLACSGTDTILHHPFLGPCKVTAIDYKLAAIEIIPSVDFLSSCPLQKLIFNNLPEPEYRYSFCSLQSYQPGRILRCSKEITPTAYMVNFYDFYQSNFYEDETNITDYVVGPISCLSDPSYFSYLVSTWLNIYSLPLDCKAVSKDVIPIPEGSHLADGGPTFKQQAEMIISFSKTILSWFGGDTDMNCTLCESQGQRCAFSSQRNQTFCMRYEPHGSNVKVIAATSSVAAFVILSLVVVIVLYLSLKLRYNEEIHKKVEMFLRTYGASKPTRYTFSEVKKIARRFKEIVGQGGFGTVYKGELPNGVPVAVKMLENPTGDGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPKESLEKYIFLHDPNTPQELLSPNKMLDIALGIAKGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTRARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYDKVITGQEFVLSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >KN539608.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539608.1:62387:68012:-1 gene:KN539608.1_FG002 transcript:KN539608.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGSLFYRSPALQVIYVLCVLADLVPDAGGRRHHQIQIQPACPTFTCGAHLRNVSYPFRRRGDPPECGVASYELTCTDDKAIIQIDNGTYLVRGINYTDATFSVVDANMLDSSNSTCPLPRWNRIPYYYRRYGVVEDSSRNIVQELVPSYLIITAAFVTCSREVTNNGMYMPVACLSTNSSFVYVLTGWFADFYIKNLEPSCGYLAMTPLSIGGLPVRALNASYADVVKLMRGGFAIQFPYKIDRFDYCKLKDFMAQSFQFILAPLVVLTFQVHKYWKRRMIIDAVEKFLRMQLMIGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGNVHVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVRLVGFCAEEMRRALVYEHMPNGSLDKFIFSHDMRFSWDKLNEIVLGIARGINYLHQGCEMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGAISSKSDVYSFGMLLLEMVGGRRNSKQDMSSSSQAYYPSWVYNQLVQQELGEMVTAFNMHELEKKLCIVGLHCIQMKSHDRPTMSEVIEMLDGGADGLRLPSRPFFCDDEPMPHLVLADGIQDDAGDVTRFVTKIEREGTSVLFKVLPAFAFRDVRNPILPIQ >KN539608.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539608.1:17144:19285:1 gene:KN539608.1_FG003 transcript:KN539608.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQTLSILAVLGVLVTKSGAADSQEQPACVPFSCGHLEVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDVGIHWVERKLCTVGFWCIQMKPAERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDDCCNSSSKSSAISEDD >KN539608.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539608.1:37013:38935:1 gene:KN539608.1_FG004 transcript:KN539608.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSTSTARSTHHLVPLMLLVAASTNYAAAATLNITNRCSFTLWPAGTPVGGGVRLDPDKSWAIDVPANSGPGRVWARTGCSFDANGNGSCQTGDCGGVLSCKNGGKPPQTAAEFSLDQLNKKDFFDISLVDGFNVPMEFLPVPEKRQGEPPCSKGPRCPVNITSQCPSKLRAPGGCNSACTVFKTCQPTNYSVAFVRMCPDAYSYSADDASSTIFTCPSGTNYQVTFCPPTDRTSSSPVPPPAPTAIGPSGMESSSKRGGRLVAAIVASVIGSTSVLAIIIASIIIIKRRIRRHQEMQEEEQEFEELPLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFMGQIADERVAVKRLDRSGQGMREFLAEIQTIGSIHHINLVRLIGFSAEKSQRLLVYEYMPKGSLDRWIYHQQDSHALALDWSTRYKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDNFDAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSNHLITLLQEKVKSDQLVDLIDKHSNDMQVHKQEVIEMMKLAMWCLQIDCKRRPQMSEVVKVLEGTIRIETDIDHDFVATNPVSFGVAGIVDSDPPVASDLSGPR >KN539608.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539608.1:43718:46642:1 gene:KN539608.1_FG005 transcript:KN539608.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWDDQDFFRHCPPSRCSDGGPEIRFPHRLQSSNTPSSCDSSHAKLICSGQDTILHHPFLGPCKVTAIDYKKAVMKIIPFGGSSSPCLLHKFNSTNLSADVNDQNQLYLTEPGRIVRCSKEFTTSRASMIDGYKTVIADKVVRLIPCLRDTTSHFSYLVSTWLYLYALPLDCMVVSKGNIPIPSPYAGLTFKQMAERIINSAEITLDLLLGSIPYNCTRCEQQGQRCAFSSQRNQTFCMHHATSVAAFVAVSLVVATVLYLSLKQRYNEEVHLKVEMFLRTYGTSKPTRYTFSQVKKITRRFKEKVGQGGFGTVYKGKLLNGVPVAVKMLENPTGDGEDFITEVATIGRIHHANIIHLLGFCSEGTRRALIYEFMPNESLEKYIFLHDHNTPQELLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCPRDQSIVTMTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIKNQNEVYFPEWIYEKVITGQEFVLSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQVPPKPFVSYESHPMP >KN539608.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539608.1:14060:16446:-1 gene:KN539608.1_FG006 transcript:KN539608.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATESDEADFFRNCPPSRCSSDGPDIKFPFRLESSSSSCGAPGMQLSCSGQDTLLLHHVLGLSKVTGIDYIYGVINIVPLAESWSQCALQKIISANYSTTVYKQYGFQYASLVSCSEEFIWDSTDSIFGPISCLSNASQSLYLVAPYAFVSILPLYCKVVSTEVMIPYTSNQGRKDYNASASAEFNESAKRITTFSEITFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPHVLAEHLINTFVGLESHNPANCLDNCSKSPAKVIIVAVSVPTIVVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITRRFKHKLGTGGFGSVYKGELSKGVPVAVKMLENSKGEGEEFINEVATIGRIHHVNVVRLLGFCSEGTRHALIYEFMPNNSLEKYIFSRDYISSQEVLVPDKMLKIALGIAQGIEYLHQGCSQRILHFDIKPHNILLDHSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAVSYKSDVFSFGMLVLEMLSGKRNSDPSINSQNEVFVPEWIYETIVSTQESEFAKDMTQEEKEKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFVSSLS >KN539608.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539608.1:73604:75876:-1 gene:KN539608.1_FG007 transcript:KN539608.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHGALHCSTVMRVISVLCVLGVLVPDAAGGRRHHRRHDCPSFTCGHISDVSFPFRRRGDPPECGVQSYELTCADDKATIQIDKETYSVSDINYGDSTLWVVDASFLDSRSSCLLPRWNPLLREPRLQAKSHHIIELAPPVGVTWASFVNCSQEIRNSSWMYMPVACLSTSRSFIYVFTGQQSAYIQNLEPSCGYLATTPLGGSKLNSTSALQNVSYQDVVKLMMTGFAVRFPFTVSGWNFKECLALSIRQTRTGSKERVSNIAIIDFSFWSCFLLGDRSHDNLIYMYMVVDTVSIALLILKWTAVLCRFVLAPLAVFIFLAHKYWRNKITIDAVEKFLQMQLTLGPTRYAYTDLTAITGHFGEKLGQGGYGSVYKGVLPGYVNVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVCLVGFCSEEMRRALVYEYMPRGSLDKYIFSSKRSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNSFVPLNALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSDMRADNSSQAYYPSWVYDRLIEQQVGVGEISAATVANMHELERKLCIIGLHCIQMKSHHRPTMSEVIEMLEGGVVGLQMPPRPFFCDDESMSPMMDSYQFSSGLTEILEEDE >KN539608.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539608.1:82838:85403:-1 gene:KN539608.1_FG008 transcript:KN539608.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLKGKVEEGGTEKGDDSRHSSSSMSRGGIIRWGAVLSPVAISTTYRILSGGEELVITNNSSSKYIYLPVDCLTTTYSFVYMRAATLSLFSSHAIFIESIEPSCGYLGIIPVGGMNNNILPGYTSYADFVNFTRGGFAVQFPMMPEYIQDSPPGPRINWFGLIKKCLNESVRLPDSNDNPLPSAVTKDRIVDILSIDLRFWGCIIDVEASRYINTRLSIQNMFYAIDLSLFLRFLFAPLAVMIFLTRKYWKTRIAIDVVEKFLRMQDMLGPKRYAYTDIVAITSHFRDKLGQGGYGSVYKGVLLPGGVHVAIKMLDGNSNCNGEDFISEVATIEGSSTYTKDVICRFYTLTLSHTTSFLMITFFPKVADFGLAKLYPRDKSFVSDRALRGTVGYIAPEMVSRCYGVISSKSDVYSFGMLLLEMAGGRRNADPNANSNASRAYYPAWVYDQLIADQQVDEISTVANMHELERKLCLVGLWCIKMKSHDRPTMSEAIEMLEGDVDALQVPPRPFFCDGDSIPPSQVMDSYLHSSELTAISEEDDGVAE >KN539608.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539608.1:90191:94185:1 gene:KN539608.1_FG009 transcript:KN539608.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNISFRPLLSSSSLLLLLLLSHGSSLLLLLLLLLSHGTYISMASSSWDDQDFFRHCPPARCSKHGPEIRFPHRLQSSNTSSACGASCARLTCSGQDTILHHPHLGPCNVTSIDYKEGVMKIIPLVGTSSPCPLQKLIFDSLTPYDSQICALYMSDHAKVVHCSKEFTPSGTSLVDGDWENIADYIVGPIPCLGDTKHFAYLVYAQLCAYVLPLDCKIISRGSIPIPRPLYYPIPFFKERAEAITNFAETNVSWVFLLGVGDLNYCTTCELQNQRCAFSSQRNQTFCMGGHHDGSHVKIISATSSVAAFVVLLLIIATAIYLSLKSRYDEEVHLKVEMFLRTYGTSKPTRYSFSDVKKITRRFKEQLGQGGFGSVYKGRLPNGVPVAVKMIEHSTGNGEEFINEVATIGQIHHINIVRLLGFCSEGTRHILIYEFMPNESLEKYIFLHDPNTSQELLATNKMLDISLGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGIMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSIENQNEVYFPEWIYEKVIAGQDFVLSREMTEEERLKVRQMALVALWCIQWNPRNRPSMTKVVNMLTGRLQNIEVPPKPFVS >KN539608.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539608.1:40963:43193:-1 gene:KN539608.1_FG010 transcript:KN539608.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGKGAILSPVDISRTFLILSAGEGSHGGWDLALDTGPGATWVSFVNCSQAVRNNSVYVPVDCLSTSSSFVYVFGSWIMPPSVFPIIGNLETSCRYLAMIPLGGWDSPLPHNASFSDIVRSMRNGFAVHFPIIHRWSRIGHIKDCLMGSIRGFHEEPLSNQTIKDQIVDILFIDFSFWSCIIGGVGMKDYFDMPQYMMGMLRGKIEFYGGFIVQFALFVFKWIAVLCRFVIAVIAPLTLLTFLAFKYWKTRIKIDAVEKFLQMQLMLGPTRYAYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVHVAIKMLSNYNCNGEEFISEVSTIGSIHHVNVVRLVGYCAEEMRSALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRDNSFMPVSAARGTVGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSKQNMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKSHDRPTMSEVIEMLEGDVGGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >KN539608.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539608.1:22099:23625:1 gene:KN539608.1_FG011 transcript:KN539608.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPSGTNYQIIFCPPIDLTSSSPTSIAVAANNRQGKKVIAGIIVASVIGSTSVLTIVIAYTTIKRRTRRRREIHEEEQEFEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGQIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSFHLITLLQEKVKSDQLIDLIDKDNNDMQVHEQEVIEMMKLAMWCLQIDCKRRPQMSEVIKELEGTISIETNIVHDFVAVNPVSFGFTAVVGSAPPLASDLSGPR >KN539608.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539608.1:5348:9619:1 gene:KN539608.1_FG012 transcript:KN539608.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAANWVVGMVLNKLSDELMAGYMASRELGLNMDQIKRDLNYMLALLQAAEGRDIADNLGLQRLLADLCNKADEAEDVLDELHYFVIRDELDGTREATPDLGDGLGAQVQHARLAARNTAAGNYWLSCFSSCCRSQSQQDDTVTVVITGNNRNSSMAISKTDEDHDQSGASGHIQESLFDRVAMSKKIKSLIQDVHSLCPPISDLLQKCSPCVPPSMERPNTSSVITQNKLYGRDAIFDQTMDQLLKGKNYIMSVLPIVGPGGVGKTTFAQHLYNDHRTKQHFTVMIWVCVSTTFDVTELTTKILNSLNATESQGTNIRESSLDQLHKSIQDKLKSKRFLIVFDDIWEHDFSKAASTKRFSKTEWEKLLAPFGTGETNGNMVLVTTRFPKVAETVKKGANQVDLHGLEPDEFWDFFQLCAFSETQDDNDKEELFDIGKQIAKKLKCSPLAAKTVGPLLRKKPTRKHWMEILEKEEWLKQKDGDDSIITALKISYDYLPFYLKKCFSYLALFPEDYEFDSLEISCYWDSIGIINSSGKNDTIEGIGSQYLNELYDNGFLMKGDDNHYIMHDLLHELSQIVSSRECANINYSSFRADDILPSIRHLSITIQDKYTESFKEEMEKIKKRVDIRNLRSVMIFGSYRSRRIANVVRDTLNEIRALRVLFIFMNSPHSLPDNFSKLVHLRYLKIGSPWGLKVCIPSTVSKLYHLKFLDLKSWGGSNNNLPNDFNRLINLRHFLAKKEFHSNVPEVGKMKCLQELKEFHVKKDKIGFELAQLGQLEQLRGELCIFGLKNATREEAIEAKLKHKSNLSKLRLDRGGNREKNTSSSSSSSRTQVVSNENQDDDIILDSLQPHSNLTELSIVNLGGGMAPSWLGSNIIHLDTLHLDGVPWATLAPFGKIQYLRELKLRNIVGMYQFGPDFPGGTTHTSFRHLKKIVFEAMPDFVKWVGGDDSSHSFFSGLERLDCISCPKLNELPLSSCSSSSCTMWFPKLRRLNITRCLELSVPLVPHTSTLTYVRVNDSVRGFNTSKKLTLDGYNGALAFQNLGNLEEIYIGDVHNMSLIDFQQLRSLRRLTVTLCRDTFLRGLDEHVVVVFNSVRVLNLSGFLLTRKMLSNLFRCFPALYVLSMSPSKESHEEVTLQIPSSCSLKTIRLFKCKNLILPPLDDGQGLVNLTSLRNLHIDDCGKIFSQWYMGKSAQTTSNPFPSSLLELSICRESSIHSIALLSNLTALTSLQLIDCCNVTMDGFNPLITSNLNKLCISSCGSVPADLLIEMARTKTTMPQVAFQLKDLVVDSISAVLTAPICSFLAPTLRELGIKDDVDRVSSFSDEQEGALELLVSLKKLSFDGLWVLQSLPEGLHKFPSLTELSISHCPQIQSLPKNGLPTSLETFSVFICNSALEEESKRFREEKERYYSESDD >KN539608.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539608.1:55999:61814:1 gene:KN539608.1_FG013 transcript:KN539608.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAEFSLNTVESDFFDISLIEGFNVPMDFLPVPAPEKSSRHGAPPCKGPRCPANITSQCPSELKAPGGCNSECNVFKQDKYCCTGKGNYTCEPTKYSLSLVRMCPDAFSYSMDDASSMFTCPSGTNYQVIFCPPTDLITSSPPIPPPAPTAIGPSGMESSSTKRGGRAVAAIVASVIASTSVLIILITCIIIKRRMQRNQELQGEEQEFDELSLQGMPRRFTLQQLQEATDQFRDKLGEGGFGSVFVGQIADEKVAVKRLDRSGQGMTEFLAEIQTIGSIHHINLVRLIGFSAEKSQRLLVYEYMPKGSLDRWIYHQQGSHAPALDWWTRYKIITQVAKGLSYLHEDCTRKIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQIDCKRRPQMSEVVKVLEGTIRIETDIDHDFVATNPERFYLTGIVDSDPPLASDVSGPRWSNKNQLQEIEARRSKAAEETSAAIRATTAVLKDKSPTAPMASPSLAPTKCLMECPNNNITWVVANSNHIGEMLAPTAAWELGDRKDMDQAPYIATKDLPKVTSTNAISVKSSMELVATDSTTSGTHIDTPNSAKAMPTNCSMFGMKVNTGTIQTGVVFPLFLDKLDIVTVLALHWAGLKPWPPPHEDDLTYILVNQREVELWRTILVDHNKEGLLMIIELYVLDLNDCCLSWSHSILASVLAVELSSTRQYGYEIISFKSNHVDMLKLFGTPINVLEQCEHLEGDLIILIIKEKLMPWNSGMGICLCCLLVIQLPVGKLKWNVSVISLFHLLITKVNEFPRGITTGGKSTLWTSSECTCVGLHRRYPVLLPFWISRAEKWVMRHIGMTLLVPESWQQASYKVLYGAEKLLKLNAEDKSYRRVKSFEEGASSVQYKEPLGITNA >KN539608.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539608.1:76881:79720:1 gene:KN539608.1_FG014 transcript:KN539608.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSCSGQDTILDHPVLGSCKVTMIYYRHVIMNAIPLVDSSPHCPLQKLMSVNQSTAVYKLHTSEVASLVGCSRDSIDTNQYIIVGPTSCLSIANNASQFWYLAYPYTYMFILPLGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTGETVFTWYTSNVTSICQQCEREGPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELLNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRQALIYEFMPNESLEKYIFPHGSNISRELLVPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTDPTIENQNEFYFPEWIYERVINGQDLVLTMETTQVEPKKPALNDKGGKHVDGEVAESAGAP >KN539608.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539608.1:26424:28437:-1 gene:KN539608.1_FG015 transcript:KN539608.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTNSSCPLPRSDHLPSFQGIRGPHYTRYLVLGGAWPACFVNCSQAVKNNSMYMQVDCLSTSSSFVYVLNTTSLDGYARFENLEPSCGYMAMIPVSGLDTMPTGTENYADFVNFMRKGFTVKFPVRLDHRNSFEVIEECLNQSIRGFREGPLFSSTHLKKRIVDIFFIDFTFWACIMGKMGRASYYVETPIYMMKMLRGKRTFYAGFVLAPLGILIFLAQKYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTNHFRDKLGQGGYGSVFKGVILPGDVYVAIKMLTNSCNGEEFISEVSTIGRIHHVNVVRLVGFCAEEMRALLYEYMPHGSLDKFIFSPEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLCPQDNSFVPVSAARGTIGYIAPEMISRSFGAISSKSDVYSFGMLLLEMAGGRRNSKQEMSNSSQSYYPSWVYNQLIQQDMGEISHGFNMHELEKKLCVVGLHCIQMKSQDRPTMSEAIEMLESGVDDLQLPSRPFFCDDEPMTPVVDSYHFSSELTEIAEEDE >KN541022.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541022.1:249:660:1 gene:KN541022.1_FG001 transcript:KN541022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LILEFRASWMFMTMSPHLCMMQENRVHVLMTWPSNSLLSDFVKYTVSRVTTEEKAAEFFEFAGKNQTIVRACTEAKPGEGPDQPEMDREHQDSGATLTCSYGA >KN541022.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541022.1:18707:20780:1 gene:KN541022.1_FG002 transcript:KN541022.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCIVQEDRKRAPKLACCPPSSEQQHVKSNGNCRNSEDRPVPNFMPLSWNPMNSSLPPDIRWWLQLQPNLGGQKNLAGEHLYFLGRETSDKEVEDSAQKNIHDEPLFCEMFDTNPEKIEDVFEPSWMVSTAFMKYSSETGLQDLKNIGGYSQVPPKCKENASDCLFNDKEFLDFKNFNPPPSKNPQKDDFDMNAPWKGGERSRPWWQITDENELALLVAERAMQHIENCDLPRPTQIVRVQGTESRSHGNMGRYRGSSGPAGTMSYPDTGQCEHIECSYSTASTDELDLTSDGVWQQQERNVTRSDAQDFPRGINTEPRGKRTYQNPAEQAQLLEALCHSQTRAREAEMAGKLAQSEKDDVIKLFFRQASHLFACKQWLKMLQLENICLQLKHREHQIATMIPDIPWITLKKRTTPDHEKEDWTRKKGKRHKDGGNFCDVLLFAVGLGLAGAGLLLGWTFGWLLAKL >KN541022.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541022.1:8246:11770:1 gene:KN541022.1_FG003 transcript:KN541022.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPTLELSEMMLHAAQPWRSRCTQRDVRPGAVPPRPVAADGRGEGTSTVRGRVLEGTTRGGGRGGGMEREREVVAPARNAVAVAGDLATHGGERVAGPLVAKEKRNGGGELGTKRGLEKRAPLPPPKRRVVSAKRQFPPDFGRDSAVPLGRGRGRGGGVRPSDGAPARAVLGEKVASAGNGDSMANVHHHAVMDTVLMKSSHASDENLVAFKVGSPENGAEGAARGKGAHNGELLGKREVLAQAVNLLPMRRTVSATHRFTAGCGRDAAAPLARREEGKVGSGLEVMPVDVGGGVSKEVMATDGSKHSINQCTANIVGAVSVLDGTVQYQELEEGEVADEAYCDVESQKVVGCDSFDDSAGERHEGVVPVTSAVTEVLTSHAYDEMMQIKALQERGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKRVLGSHGMKGEVPSLAIEDHGGIAQIDQELEDVDMTTGEYRVQDAQIATHVIPHEFTTGRHEGGLCASAAAEDVKVMNKYKGTLPKAAAKSSMNIATGVFGDGIMRSKILSTARKVVKPPVRASHKPPLNTLHRPFSTNSASFGHKKLKVKRPDQSKDIPMKIASTSGLAGKDNLTDEKALSLEDDDILKALVVHDGKLEGSLKIRRVDLAADKIIRKLPGFTKPGPTVGNVNGVEVGDEFMYRVELALVGLHRPYQGGIDTTDYNGVLVAISIVCSGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIETKTPVRVIHGFKGQNREDNSHSRAKQILTFTYDGLYLVVDCWTEGLKGSRIFKYKLQRIPGQPELPLHIAKGLRRSLSRPGLCVADISQGKEMDPICVINDVSNVHPTSFQYISRIKYPSWLTKRHPQHHGCDCTDGCIDSTKCFCAVKNGGKIPFNSNGAIVHDKPLIFECGPSCRCHSSCHNRVSQKGMKIHLEVFRTANKGWGVRSLRSISSGSFICEYVGILLTDKEADKRTNDEYLFDISHNCDDEDCSKGRPSTISSLNSSGGCSQNMEDVCFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRVPHIMFFAAENIPPLQELTYDYNYKIGEVRDLNGRVKVKDCHCGSPQCCGRLY >KN541022.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541022.1:1622:1995:1 gene:KN541022.1_FG004 transcript:KN541022.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMVSLDNHSASASPSSLDIIGASGDGLISTMTALVLPRPPLTSSAPAVVGPILPWYDGCGLAASHVLPTMIAATVTLAFRTEYLRKKALLHSDEFTVTEVFAGVTDKVYLL >KN541022.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541022.1:22920:31053:-1 gene:KN541022.1_FG005 transcript:KN541022.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINRGVFFKILAFLCFIRSSQGRNHFTTLDLPPLLKASGFSRIQHEAYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNISHLENFHICLADDSPNSPSQGFISTDGVINARAKVLGGGTSVNAGFYSRADPSPTKLSQFPFIPIKVQKYYLEDVKNYKTKELSEIGEEEKVEWDKVIAEIPTVSFVQDAGWDAELVNQSYPWIEERIVYWPNITPWQAALRDGLLEAGVSPYNGYSYDHLFGTKVGGTIFDEAGYRHTAADLLAAGNHNNLRVLLHASVTRIIFNTEQEHRKPRAIGVEFKDENGGQQHAFLTRNRDSEIIISAGAIGSPQLLLLSGIGPRKELKKHNISVVLRNEHVGKGMSDNPMNSIFIPTKDAPKQSLIQTVGITDGGAFIEASSGFSQSPDSIQCHHGIMSAEIGQMSTIPPKQRNLDAVKKYVHKKYSLPKEVFSGGFILSKIDGPLSTGNLVLVDTDINSNPTVTFNYFQHPKDLSRCVYGIKTIERILKTNHFTNFTLNGGGYPMEVVLNMSVTANINLIPKHTNDSTSMEQFCRDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGLRVIDGSTLFRSPGTNPQATVMMMGRNCKVLFINEQHVKLCRKLEM >KN539095.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539095.1:65372:66706:1 gene:KN539095.1_FG001 transcript:KN539095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPTVEPLVLAHVIQDVLDPFRPTMTLRITYNDRLLLAGAKLKPSATVHKPRVDIGGTDLRVFYTLVLVDPDAPSPSNPSLGEYLHWMVTDIPGTTGVNFVIPKNNIKDAAINEPKRKETSEKLPYGRKSTKQLSKQVDS >KN539095.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539095.1:45038:46902:1 gene:KN539095.1_FG002 transcript:KN539095.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSCGRSGEWTGRVVGGHAPLSLALVHADVDDDKVMFVPWVWVETDFRTGDNPWWA >KN539095.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539095.1:7015:8178:-1 gene:KN539095.1_FG003 transcript:KN539095.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLRRLLLGATPADALLTGRLPFSPSSRRTPHRFRRSRRSPNPAPPSPEAVSAAIATLPSRLTPPLLSYSLSSVSDARLLLPVLTHSLRLPTFRPDPGPFLVAIKRLAAADLYADFDRTCALAFSLLPSLPSPGPFLRTALYFYCEFRKIGKAFHVYTLMRASADPAARPSADTYHALFTALLSRGGGDTMVHYMYMDTVSALFRQMLEEGVPPDTRALNVLVKGYAQSLHLNDALRVFHQMRPVYGCEPDALTYSYLVHGLSEQGRTRNAREVFDEMLAKGLAPTEPACNAFVSALAMAGEAGEAERVMWDMARAGRVVDDVTRRAVVEELWRSGRRDDAERVVREMEERGIVGGAERRALLRSLHDEFGDDDLDVDDRWRNTR >KN539095.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539095.1:125094:128366:1 gene:KN539095.1_FG004 transcript:KN539095.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGYRAEDDYDYLFKTVLIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSIQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGKEYAEAESLYFMETSALDATNVENAFAEVLTQIYQTVSKKTVEASDDGSNAPIKGEKINVKDDVSALKRIGCCSN >KN539095.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539095.1:4225:6546:1 gene:KN539095.1_FG005 transcript:KN539095.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/Swiss-Prot;Acc:O04904] MAASPSLQELTITRPDDWHLHLREGDVLAAVLPHSATHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSNFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGNTLEWLPSDQLEE >KN539095.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539095.1:129894:132275:-1 gene:KN539095.1_FG006 transcript:KN539095.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEVMDRSTSAEDGYCSAGTDSPRAESVDEQGAAEESSPPRGGQKRELPSPSASPSSPLPPAAKRRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKSSSCHASKSSPRSTAPKPEPAADGQQPEPAENESSASAELEVPEPEPEQEPESEPVVKQEEEQKEEQKAVVEPAAVTTTVAPAPAVEEEDENFDFGWIDQYHPTWHRSSKYKGTMLDS >KN539095.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539095.1:115727:120540:1 gene:KN539095.1_FG007 transcript:KN539095.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEKTSGKGHAKLPTYLDRLTDNAGWAGAISMTPSAGPRTCTNLQAKSCDTSSARIPTQRERKGFSMYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTVAKETEFNLWGFVFIMLAAVMSGFRWCMTQILLQVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRSKNCDKNSQTSSGASKYVILDDDDMENQGNSG >KN539095.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539095.1:85540:90575:1 gene:KN539095.1_FG008 transcript:KN539095.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MACASVSAPLSPLLRSESCLKFLTPRSIEDLVFPGGTKLDSVVKRIIYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLPEREESFKVNETVNVHCGFYSDNGGFKISDIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQESEGKVVDDNGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEAVLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLIQYRKDGMPDEKRLHGLKALSEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFTDIWNTTWYPKAADHVTTEKTWYVVDATDKILGRLASTIAVHIRGKNEATYTPSVDMGAFVVVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIKDKRIQKSEK >KN539095.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539095.1:41003:41620:-1 gene:KN539095.1_FG009 transcript:KN539095.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVSAAKRGIRSWATENGLADLPVAALGASSGGYFVSRLAAEMKLAAVVLMIAEGSFGPGGAPAGYPPAMFLHMPKDQRRAALVERNSKMLRSNGVEVNELRSLELPLTTTMLSDRIPGLNRGLSERIWRVFTEDGFVDERGFMRKDGRATPWKDAVVKRGFWEEVSGWADHIQEELNLAYGYHEMTSLHNDEMFDWIEEHLS >KN539095.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539095.1:9095:11610:1 gene:KN539095.1_FG010 transcript:KN539095.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVAQRASQRRDVFHTNFLTPPIVKECMLAIEKLAGIKAVAQGGYPQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >KN539095.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539095.1:53292:54989:1 gene:KN539095.1_FG011 transcript:KN539095.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVSCRPVGVSFRRRPRILHCPSQRLRLRAARLLGFDDYIPPYAGATSEQLLTGVNFASAAAGIRDETGQQLGERISFSAQLQNYQAAVRQLVSILGGEDAAASRLSQCIFTVGMGSNDYLNNYFMPAFYPTSRQYTPEQYADVLISQYAQQLRTLYNYGARKVAVFGVGQVGCSPNELAQNSRNGVTCIERINSAVRMFNRRVVVLVNQFNRLLPGAMFTYINCYGIFESIMRTPVEHGLAVTNRGCCGVGRNNGQVTCLPYQAPCANRDEYLFWDAFHPTEAANIFVGRRAYSAAMRSDVYPVDLSTLAQL >KN539095.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539095.1:73865:84292:1 gene:KN539095.1_FG012 transcript:KN539095.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGTGRLLMLDESRARDLTINGGVLRGVPPDVVVEEGHGILERIRLVPGPPTDEPVVCSFPKMAECFNRKAGLLETTVPLGSFNSLFSFTGSWKNDEAATKSLAIDGYSVLLFRVKITSDELFLHESVKRAIPHSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFRNYVKEIGSERFSDGDSKLNATPINYSEKDMTVIFRRRGGCDLVQNFNDWIKTVQSAPDVIGMTFLPIVSLVGDMPGKKHLARAIELYLKYKPQIEELQYFLDFQVQLVWAPVPPGIAGQHRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLKLCLEGAKQNRLAIHLQHLGSLPKIFVPHWDSHITIGPPKWQGPEEQDSRWFEPIKWRNFAHVSTAPIEYTETSITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSLVHRTDEASSSSSDNAKLVKIVDMTETLKGPQDAPGHWLFNPVELNWAMLFSPYDIMICNQQFMVLIKFYVICASWMFGKVEAQQLLWSIDLQICSAIYSVDREMRHYLFGKLPKIRQSSEDPFTKQDALYGQANAPSVCHSIHLEFLAKMENEEMSKVTQANGIDSREHKGQSIAVEANGKGETREVVEGKVDWRGRPAIRGRHGGVANSFFILVNFGLENLASLSLAVNLIIYFMTVMHIGLTDGSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIISSVIELVGLLILTLQAHSNKLKPPYCLFPFDPKCETVSGDGRTHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCAGGAISVTVLVWIQNYQGWDKGFGAATGVMGLALLVFIAGLPGYRISVVQGSTALLEILQVYVAAIRNRNMKLPENPDELYEISKSKAPPDTDFMAHRDKPFRFLDKAAIVQAPTDEATSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMSTCLAQLQTFSIQQGVTMDRTIGTFKMPAASLPIIPLIVLVFAVPIYERGFVPFARRITGHPNGIPHLQRVGVGLVLSIVSMAIAAVVEVRRKRVAARHGMLDANPMLGKQLPISCFWLAPQFTVFGVADMFTFIGLLEFFYSQAPPALKSMSSSFLWCPMSLGYFLSTIIVKAVNAATKGATASGGWLAGNNINRNHLDLFFWLLAVLSFLNFLNYLFWASWYKYKPQQSAHVPAEHKALRPVKHIKQHVCTFALYAHDPRRQVETHHFVSRLNQDVLQCAVYDADDKNARLIGVEYIVSRKIFDSLPAEEQRLWHSHAHEIKAGLWVSPHVPGMLEKAELEKMAGTFGKFWCTWQVDRGDGLPLGAPALMVSPQDDPAADVRPDLVRNRDDKYRYSTTELRAARADVAVPAEARPGQADYWLRHRKGFAVDVVPHEMKCHAPFP >KN539095.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539095.1:91923:93771:1 gene:KN539095.1_FG013 transcript:KN539095.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPSTDAVVGWTPAGTSFVVANQPEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIKGQRHRLKNIHRRKPIFSHSSHSQGAGPLTDNERKDYEEEIERLKSDNAALSSELQNNTLKKLNMEKRMQALEEKLFVVEDQQRSLISYVREIVKAPGFLSSFVQQQDHHRKKRRLPIPISFHEDANTQENQIMPCDLTNSPAQTFYRESFGKMESSLNSLENFLREASEEFGNDISYDDGVPGPSSTVVLTELHSPGESDPRVSSPPTRMRTSSAGAGDSHSSRDVAESTSCAESPPIPQMHSRVDTRAKVSEIDVNSEPAVTETGPSRDQPAEEPPAVTPGANDGFWQQFLTEQPGSSDAHQEAQSERRDGGNKVDEMKSGDRQHLWWGKRNVEQITEKLGHLTSTEKT >KN539095.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539095.1:95418:98810:-1 gene:KN539095.1_FG014 transcript:KN539095.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYTNLLDLAEGNFAALGPGGGGGGRRRSGSFGLKRMSRVMTVPGTLSELDGEDDSEHAATNSVASDVPSSVAGDRVIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVFFVGSLRAEVPVADQEEVSQALLDRFRCAPVFLPDPLNERFYHRFCKRHLWPLFHYMLPFSSSASPSPSSSSSPSSSSGSGRFDRGAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLKTVLSLPDREWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCKRINGEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGSDSTSEVNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKQLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSESRAIFLDYDGTLVPQTSISRTPSAEVLRIINTLCSDRRNKVFLVSGRRRDKLGEWFSSCPDLGIAAEHGYFLRWTRDEEWQTCTQTSDFGWMEMAKPVMNLYTEATDGSYIDPKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVVAEKILVSMKERGKQADFVLCIGDDRSDEDMFENIADTIKKGMVATNTSLFACTVGQKPSKAKFYLDDTFEVVTMLSALADATEPEPETDLTDEFDELAVSVSSVDIDDEQTPSDRLIGG >KN539095.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539095.1:48794:49740:-1 gene:KN539095.1_FG015 transcript:KN539095.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDTAAVSSQEGAPSSGMRYELQAAASCCSASSSGASLFGPCSRSEEFLSSGASSTARASNDEEEEELMEADVLWPDTAPQPDEQPRWGRESGIQDRSRA >KN539095.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539095.1:18770:19048:-1 gene:KN539095.1_FG016 transcript:KN539095.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPSPTGRKVGTPEILSPSVLDFPSLKLSPVTPLTGEPFNRSPASSSEDAERAAISERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPSE >KN539095.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539095.1:55459:58744:-1 gene:KN539095.1_FG017 transcript:KN539095.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLMYMAHEGDAEGIQELLDAGADPNFRDSDGRTAMHIAACEGQAEVVELLLQRGADAVAEDQWGSTPLADALHYQNHDVIKILEKHGSKLKIAPMHVKNVREVPEYEISPNELDFTNGNGISKGTFRKATWRGILVAVKKLDDDLIMDENKVWTPSEKCSSLTDWIGLHCRQAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRKHLSRKGALEPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFYDKKNNEIEKAHNSKERPPFRAPPKHYAYGLRELIEQCWSENPASRPDFRTIIERLSYIQNEISQRNRWKVKPLKCFLSFEGMWKKDRNEGSTTRSSRSSRSYF >KN539095.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539095.1:139520:146912:1 gene:KN539095.1_FG018 transcript:KN539095.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase A catalytic subunit 8 [UDP-forming] [Source:Projected from Arabidopsis thaliana (AT4G18780) UniProtKB/Swiss-Prot;Acc:Q8LPK5] MVMMEGILMVTDEGAIRWPPYLLRRMVVEHVELRAEEAEEVAGAIGSSPSPTFIDEGVHARTMSTHARTISSVSGVGSELNDESGKPIWKNRVESWKEKKKEKKASAKKAAAKAQAPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARRWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKAEKSEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFIGSSETTTTNSCANFDC >KN539095.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539095.1:27799:31686:-1 gene:KN539095.1_FG019 transcript:KN539095.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 5A [Source:Projected from Arabidopsis thaliana (AT1G53140) UniProtKB/Swiss-Prot;Acc:F4HPR5] MGTRRPLVLQMVHDPTALDPRCRFQEEDSEEYGSPMVLATAIADLIKQRTEAHLRKIQAAVSPKPIVMRAEYAYCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIRDIDPTFRRTMIVISKFDNRLKEFTESWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGAFNEEKYGPYIGFSCLKKYLESELQKRYKEAAPATLALLEQRCSEVSMDLSRLDSKLQATSDVSQLRRSAMLHAANICTHLRSLLDGAADPAPELWGKTTEEEQMHSGIGSWPGINVPVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERCRYQDSKYHQNVEDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYENDFLSGVGSVANSMHRFNHFPGVTSFDLSDSGSALEEAQENVPPKDRQHMTPPAKGNESKEVLRESQLTVPETPSPDLPVDMNGGKKKDNGNLNDGGARKRHARMAAYANRNHHNNVIGGDDLGSKSGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDRFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRTL >KN539551.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539551.1:83085:88708:1 gene:KN539551.1_FG001 transcript:KN539551.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVAQSDSRITGILIHSKASFKPSLHASNSTSSAEHTRVTVLQDDNRTSKLGIKELLPAYKDQDLELNDLLTGVAFASGGSGYDPLTSIPSTAISSSGQLNLFSDYKQKVTSLIGEEAMTHILSEAVFFTVMGANDLLNNYFTLPVRRHQYDIPGYVDFLVSNAVNFILTMNEMGAKMIGFVGVPPLGCCPSQRTGLSRECEPLRNQASELFNTRMKQEIDRLNAEHNIDDLRVAYIDIYYNLLDLIHNPGYYGFKDTSDGCCGNTVLNAAIFIKYHSACPNAYDYIFWDSFHPTEKAYDIVVDKLIQENKQYLM >KN539551.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539551.1:1783:2085:-1 gene:KN539551.1_FG002 transcript:KN539551.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSAAVLVVAVLIGGFISAAAAGENNNATAMKAGLLLQEELEILDPSSFCSSDDCQDCLVEGVVSCFKAAGWSSLLLQPLQPALCFLTYVLDNACIKQ >KN539551.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539551.1:71653:73038:1 gene:KN539551.1_FG003 transcript:KN539551.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWEQLQGLCAAGHLRRRCRGRSLVLLQQLQLLGVLVVVVAGVAPGISEAQVRSRFKAIFMFGDSIVDPGNNNGQLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLLGFLTTRLNTCPPSVCAYHAQLPCKERAAHCDVLPRCGLI >KN539551.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539551.1:19749:20291:-1 gene:KN539551.1_FG004 transcript:KN539551.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLPLACAHAPLRFAAASDGILADANFAIVVDRPRHGSDGHDPTLPPPLLFLLRECREEAGGAGGMLEHVEREEVAVGGSRCNGIGRGRFGGPEVQEALHGGFSGDSHQHGESPVRWRCSGIGGRGGGVGQGVNMAAIPVSGGRCGRAVVARGWLSERTSGGGK >KN539551.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539551.1:13517:13876:1 gene:KN539551.1_FG005 transcript:KN539551.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLSLTVGGGAEEIGGGVEGDGGNEITRYTELT >KN539551.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539551.1:74196:81096:-1 gene:KN539551.1_FG006 transcript:KN539551.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSFAAPAAGGAPWRGVVGAGRAAVGFPPRRRAVALIVRGQAEPEVELTKEETTTSSTPTPTPSPAAAAPKAKPAASTGLWDVLAFSGPAPEPINGRLAMVGFVSALAVEASRGGGLLDQAGSGGGLAWFATTGAPNSWPFIYFKDILRELRWSVGNQGDSKVNDAAIAFPGGRSARWPYIATGTASKERGKGDRFQCLIGEGVLNSNAPGVQSKRSLGAMADDAYLETQAIPNVPKF >AMDW01030712.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030712.1:40:324:1 gene:AMDW01030712.1_FG001 transcript:AMDW01030712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCISETCSYIRKALDGVVDAAPPAKQAETKEATAKMAGIAATMLDTAMASGEKRQVAAVSIAFMLAADAIVASAPADKFRVMDETFKAAASPIA >KN542148.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542148.1:3977:11285:1 gene:KN542148.1_FG001 transcript:KN542148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSQLCRISYRPDNQTPEQYLAVDIANNNVVKLSKMPSPRSRGVCELTFGADFDGCQYYIQWRRSTSSLRAVKIIPDIALQRWFPVHVAGTSSYNGMTAGTQQGFSSYNYNAGTTDTTGHGGYGNQEEPRNRRHRNRHGLAEGVEDPKKNLAGYTISSDEGLPLVISQTGNPNANTEEVANKLVKVSDFTEGSCCAAAPLASRAEEQCELGAEASGRAAKTRRTRPSAIKLRCGGVDADQDLPLVISQTGVVPIGKTEKRANQPVKMSAFAKAMVAPEAPLVTSSSSPLTV >KN541037.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541037.1:12760:13553:1 gene:KN541037.1_FG001 transcript:KN541037.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRTARRLRLDEVKTSRMNDTMTVCGHQATTLRRDEEEASETNNEDSGLTKRRPHERHDGGMQHHQKNMRKRVTSSPAGTSSWLCGQRDDLPRLMMCLVDMGTGGSAVFPVSLMRPRLSGTVDSVHVVAMTCSGEVMQVLGPDMTTHRGMVACHGLTNNTSMT >KN541037.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541037.1:26398:28000:1 gene:KN541037.1_FG002 transcript:KN541037.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLFICVLHQVLLFLLWIQRYPLALCLVQLPSVLDRDILVGSGPVLLGNWFHGTFVRHDQLANWRNSPYTREGWLMILGIPLNLKTRAIIERITNLCGEFVDWHYRDRVLGRVLVKARYKSANEVPNCIVLGDTMAYGGNGQTWTFHVYVLSGEPTDMLPGDEDLLPIWQMMPPPQQHHHHNQHQHHNEEDFNANHNQNEDIGDNQMILEQNKIDQPHDSVSVQDFTLDLTVSSSNERESFRTLVGRLFLLVCQSLLYLSEVCLICSVKLLRMEYLHHCFWPYNQLFSGPS >KN542068.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542068.1:1041:4852:1 gene:KN542068.1_FG001 transcript:KN542068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G37510) UniProtKB/Swiss-Prot;Acc:Q9FGI6] MPPPREPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTSLSSYIKTKYCLAASSSYPWPVCNFAFLEKCRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGTDGRFKAVTWRDALAVVAEVLQQVKPEEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPSPPADLRSNYLMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATQAKVAYIGPPTDFNYDHEHLGTGPQTLVEIAEGRHPFCSTLQSAKNPVIIAGAGLFDREDQDALFSTIETVAKKFNVVRPDWNGLNVLLLHAAQAAALDLGLVANPTESIKSAKFLYLMGADDVDLDKVPDDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKILRALSEVAGAQLPYDSLSGVRDRISMVAPNLVHVDEREPCTISSEVKPPVKQQVSSTPFKTIVENFYMTDAITRASKIMAQCSATLLKK >KN542068.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542068.1:16164:19519:-1 gene:KN542068.1_FG002 transcript:KN542068.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine dehydratase biosynthetic, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10050) UniProtKB/Swiss-Prot;Acc:Q9ZSS6] VFSFKLRGAYNMMAKLSREQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKQRCEQEGRTFIPPFDHPDVISGQGTIGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVSQVGMECSVYFTAALVQVKIIGVEPSDANAMALSLCHGQRVMLEQVGGFADGVAVKVVGEETFRLCRELVDGIVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGRMNITEFKYRYDCNAKDALVLYSVGIYTDDELKAMVERMESSKLRTVDLTDNDLAKDHLRYFIGGRSEVTDELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGETGANVLVGIQVPPEEFDEFKSRADNLGYEYMSELNNEIYRLLLRDPKI >KN542068.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542068.1:7628:13016:-1 gene:KN542068.1_FG003 transcript:KN542068.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 1C [Source:Projected from Arabidopsis thaliana (AT1G14830) UniProtKB/Swiss-Prot;Acc:Q8LF21] MATMGSLIGLVNRIQRACTVLGDHGGGGEGGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >AMDW01031637.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031637.1:14:388:-1 gene:AMDW01031637.1_FG001 transcript:AMDW01031637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHKARLEDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLK >AMDW01018881.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018881.1:10:264:1 gene:AMDW01018881.1_FG001 transcript:AMDW01018881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFLGKADVVLRGFSGYNTRWALRVLARAMEGAAAVGAADPVAVTVFFGANEASLPDWKQVHQHVPLDEYQSNLRAICAYFK >AMDW01029148.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029148.1:104:274:1 gene:AMDW01029148.1_FG001 transcript:AMDW01029148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPANLFLEIVVVLLLLATAAPFVSGQPYDYPMANLSTRWVNNAAMLKHNSYSDGSA >AMDW01064878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064878.1:60:224:-1 gene:AMDW01064878.1_FG001 transcript:AMDW01064878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMDGGEKEKVEAAVMEAYEWLDGNLDAGKEEYEEKLRQLEDVCNPVMSAVYQ >AMDW01038815.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038815.1:169:756:1 gene:AMDW01038815.1_FG001 transcript:AMDW01038815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HASNYWTCDGIIQRARALEVSNALHPEMDPKAPFLLLEDDNKFVYSNSNRPYRWVFAISNDTIEEAMQTKMASASSIFLATQMYSGGLLGIPDGFFEQCSSLCVLVLSCCAFNFVSPPFLHCQTLKFIGLDRCKSNSTVELQGKWACLQNLRVIDLRYTDWVEIFHEEKMELMANLME >KN541945.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541945.1:10607:12379:-1 gene:KN541945.1_FG001 transcript:KN541945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERPNDGHSVTIPDGMIPTPNMEDAAAGASSDTKPAAGTNTPTSTPKDDGSKPAAAQEQDNVLSASANLAQLLPTGSVMAYQALSSSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAFTDSITHKGKVYYGVAMSERLRIFNIEVGDSIADNEGKLIIMPEQGKVLTQEQTEVLNQLEKRKLHWLDGVHAFFTAVVFLSVAFSDVGLQKCLFPHAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRHGIGFSDSSTTSASSKDAIANHIGFHGSSDSSTTASSKDASRKVADIYTMTSDQNRRESSNNAASNVTNHKNMNGKEENANSKPAAQDKVLSASANLAQLLPTGSVMAYQALSPSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAITDTIYYNGKVYYGVAMRRGLKIFNKEDNDPNFHIEPDNKKKNENKNGTATELQAVGQQKSPSSSNESEHNGEKKGKLTWLTSIFEKKGGEKVKQDNKLTAEKELKDKLERMKLNWLDGLHAFFTAVVFLSVAFSDVGLQRCLFPDAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRSGIGFSNPTSKGDDKAKPHADAK >KN538765.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538765.1:203783:205938:1 gene:KN538765.1_FG001 transcript:KN538765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSNNMIIEEVNKGLNPGTIVLLVVATLLILFFVGNYALYMYAQKTLPPRKKKPVSKKKLKREKLKQGVSAPGE >KN538765.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538765.1:174067:182756:-1 gene:KN538765.1_FG002 transcript:KN538765.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRIAYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYVNNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDFFFPGTGDIKDIGEREGKYYAINIPLKDGIDDSGFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIKYFAPDYTLKVSNVNMDNLNSKSYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYIPDIDEDELDPDERVDQHTQDKQIHRDDEYYEVTYATCMQRLQILSRCPVGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSDAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKMDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNAMNRMTDASNLKRRRGRKGLKKTYIV >KN538765.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538765.1:149599:150369:1 gene:KN538765.1_FG003 transcript:KN538765.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDGTGSPARRGGTPTPATSRTGWRAPAATHGRCTHPARVQPGVAPTPRRRGRRPGVSVDAACAGAGAGRGGRRGGRAAGRGGGVGVRVAIAAAASFSSRAHELAGADLAEAHPRRSGAAIAVVSSPPATLQPPSSLLLHGLTPWPATSRPEHAALYYCNSAAKASCHLAAKRRKEYDYQDWIELMANGDRLIGNVAMWLAPRREEGGDDQKASTRGRTRNPAGRQGCVAFVSRFGKESRRDTGPDFVDEMACKD >KN538765.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538765.1:250033:250308:-1 gene:KN538765.1_FG004 transcript:KN538765.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDLHGWLFHAADPSPASSCAAVFTFQTRGASETKFWIEDDGDGDDELEQSQTPAAPRGPKQKLGGGGAAPSGQGFCLLIQGFRGASKIA >KN538765.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538765.1:147117:148801:1 gene:KN538765.1_FG005 transcript:KN538765.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRADDLLVAGTLVISIVFFRCAAAVAATEYVRPPPGRVIFTEHTKPASHPQQVHVSLVGANHMRVSWITEDKHVKSVVEYGKVSGKYTASATGEHTSYRYFLYSSGKIHHVKIGPLDPGTVYYYRCGMAGDEFGLRTPPAALPVELAVAGDLGQTEWTASTLSHVGRSDYDVLLVPGDLSYADAQQPLWDSFGRFVQKYASRRPWMVTEGNHEVEAAMALPGWPRPFTAYAVRWRMPLYYSFDAAGGAVHVVMLGSYADFNSSSEQYRWLARDLAAVDRGATPWVVVLLHAPWYNTNAAHEGEGEAMRKAMERLLYEARVDVVFAGHVHAYERFTRVYNNEANPCGPVHITIGDGGNREGLAFDFRKNHKLAPLSLMREASFGHGRLSVANATAARWTWHRNDDADSTVRDEIWLESLAANGACRQSSSAAAAADSQNDEL >KN538765.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538765.1:260555:261798:-1 gene:KN538765.1_FG006 transcript:KN538765.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRSSGGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGAASPHAAAPSFVNGHVAPLFEVAFSAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVMSHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTVTSDDSSST >KN538765.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538765.1:243064:244624:-1 gene:KN538765.1_FG007 transcript:KN538765.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARRQRAEEERHRPAKERRPAGIWACVRVVNHGVPGELRRRLLELGKQLLGRDTFELKKARPGYFWGTAALKSLRVKEVNWLEGLHVDLVPGSSSSSSQVGDGDDDDDDGWMRIRALMAEYGDHMARVARKLFDALADELSLDHHQAASYLAERQGFLRLYRYPPCPSSASCLGMEPHTDSSVLSIILGQDHVGGLQVLRDGAWRDVAPAPGELLVNLGDMMTAISGGSYQSVRHRVLASRPSTERVSCCYFAFPQEDAVVEAPGGIGGGVYRPFSYREFREQVQADIKAVGTKVGLSRFYATATR >KN538765.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538765.1:211749:212971:1 gene:KN538765.1_FG008 transcript:KN538765.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVLRVKHPSSLGGGGGGGEEEAGEASSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVEVIRKRIDVVNRQLKPLGKTCVKKEKEYKEILEAYNEKNKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >KN538765.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538765.1:171673:173202:1 gene:KN538765.1_FG009 transcript:KN538765.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYSTSTSISDDSYGSFSGNGCRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSFFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRPRRPRGEPQKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDAASLTTARKKKEGKCLEFRLNGDDIEFELF >KN538765.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538765.1:150909:152105:-1 gene:KN538765.1_FG010 transcript:KN538765.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRPSAAALLLAATALFLVVAGGAQPLSPDSPILRDPNVIPIYMTPGSSPTVASCYNQNNTASGPDCTVEPRACPRGCRDMCYVHCPTCKLVCLCELTGTECYDPRFVSGDGNKFLFHGRKDADFCLLSDANLHINAHFIGKRNAAAARDFTWIPVMGGAGRYAVSDIFATDCEVARFAGEDGALASSVGMVDAPADALCGSGKGSAGLVCKK >KN538765.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538765.1:189523:198566:1 gene:KN538765.1_FG011 transcript:KN538765.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALRCALHRRFAISHTPPTPLMQMISYLLLQLASGGGGVVSCAGAPGKVLVPGGGSEDLLSSAEPDVETQEQPEESQIPDDNKVKPFEEEEEIPAVAEASIKVVAEDKLESSEVIQDIEENVTEGVIKDADEPTVEDKQRVIPPPGDGQKIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFARSAEGITYREWAPGAQSAALVGDFNNWNPNADTMTRNEYGVWEISLPNNADGSPAIPHGSRVKIRMDTPSGIKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPNSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKVIICRFTINRISQLPFMQDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVLPGNNYSFDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYTPSRTAVVYALTED >KN538765.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538765.1:208582:209775:1 gene:KN538765.1_FG012 transcript:KN538765.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >KN538765.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538765.1:223788:230204:-1 gene:KN538765.1_FG013 transcript:KN538765.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIKLSPMAGGGLDYSWFPASKAEIERFGRSARKIWKVPVRRIDERSFAEVVRGDRMERRFPPQRPEQWQNNKRKAVEEGAGGWRERAEWEREEEDLRDHLMAQNRRSDGRGRADDRREGVRGWQGDKSKQWQGDLRENFESRRGDSRENFESRRGKGSVQKVDIELRALFKGIKWDWKVKQINENDFLIDFPNEEARSKMTLVKSFDFDKFPIKASVIESWMTDSVVDELYFVWVRMYGLPDFARSEAAIKAISDLVGELDEIDTSTVVKGDFVRMRVGCLDPFAVNCSVILYINNVGYKIRWEVEKDSLKASDLMPPGDDDDEDGEDKGTDGSKGGDAGGKDKDTGGPKKLDKKEEQFPRLSQSAPPAQKGKGVVMFDPGQDSLSLSTDVQNMKQLVSPVLDKMGVQQILLSNPGAKYGVFQEVKKKKLRKVILPVKRQSLRVKDKDVPVQVKAELRKSKANLNPAEIASASNIVLGGDESETLVNLTAICAREEAQAILFEAELRKKSSVVAEDVDLGVNDSSGLGEPENEIEVREEELYYEGSLGQGTTKMQGHEESQGGLGLKKKRGRGRPRILSTEREQELNDLKRMLQSVQIEGGCDECVVGYLRFGLRA >KN538765.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538765.1:232266:232830:1 gene:KN538765.1_FG014 transcript:KN538765.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMDNPSIQARLHGEIMQRVGDGRPVDDRDTDGMPYLQAFVKELLRKHPPTYFALSHAAVEPGSKLAGYDVPVDANLDIFLPTISEDPKLAGRRICPGVGMGTAHIALMVARMVQAFEWRAHPSQPPLDFEDKVEFTVVMKRPLLAMVTPRKLSF >KN538765.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538765.1:183274:187735:1 gene:KN538765.1_FG015 transcript:KN538765.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPPPPRAVICVGDVHGYITKLESLWANLQSALPPDAFATALVVFLGDYCDRGPSTREVIDFLLALPSRHPAQRHAFLCGNHDLAFAAFVGALPPPPDGSPLSATWGEYIQNEENEGWYRGPGHEGMHCQGRRWGGIMKEKRNPKRGSSYMGSIYDARPTFESYGVAHGSPDLVKVVPEEHKRFLHDLVWIHEEENVPIDTNEGQIICKLIAVHAGLERSIDLNEQFRILRTKDTKISKVAMLSGRQDVWNIPKLIYKLQLRRLLYRNRRKVGNATKIKDLAGKQTIVVSGHHGKLHIDGLRFVIDEGGGYADRPIAAIVFPSKELIRSTEGTSSQNRSRYHQE >KN538765.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538765.1:153053:155540:-1 gene:KN538765.1_FG016 transcript:KN538765.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVGDDLMRGLPSPVIPKEIASHVLDGVEICDGILKNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERATQLQSEITLLERRMILASGLEGMEGFRQRWSLHGQLGDTRKRLEALNSGIEKRGSQSSPVQETTPAVRKRWFFCSESECESQEVKTVEPLLLIHSYSLLCLVNLP >KN538765.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538765.1:200442:201419:1 gene:KN538765.1_FG017 transcript:KN538765.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHVEGPRIIRVRSCRSLVLLGVWQYKNLEELTVEDAPCLERLLGDMRLTAAINVSGAPKLTAFGYVVISSSNFLIFDEVIEKDVCNGLRAPLLSVKILAISVKFSSKNDMDKLMNLLNFFPFVETLHVQASDTRYDLTQDSTDTVGSSYHEKLDPIGAQVLQLMTIQSKVFSIPQCVAGQQALLNQSHVASTEAEIVFEDMESHDLEHLSIELANTLPDPFDTYHR >KN538765.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538765.1:284128:286345:-1 gene:KN538765.1_FG018 transcript:KN538765.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIVGNSSSPASSKALVASDDDNKPRQPQQHSSKRHAPSGSSTPTFGGSSRLAPPSNPKWKRVLLKIGGTALAGAPPQNVDPKVIMLIAREVQVACRHGVEVSIVVGGRNIFCGDTWVSATGTDRASTYSIGHADAALVPNSPFDSCMMFCILIFTMHIPYMYLMNTRIGSSSSSTCVSLNFVRMMASVMNAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFAGIGAGIGNPLFTTDTAAALRASEINADVVLKGTAGDDDYGCPPRGNNNPPFEHISFRELAARGFSRMDMTAITCCQENNIPVVIFNMLEPGNISKAICGDQVGTLVDQSGRIT >KN538765.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538765.1:168196:170760:1 gene:KN538765.1_FG019 transcript:KN538765.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSEIVELVDESKDARPGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPISSSEIVESVSLKQALRKMCISQASEMAAMKRMPKPTAVSNTPEAGAIKKLYTSVVVQTNEERDEKNKFGKVSVLPEKDVISSSVKSMEAKNKVRNKSPAKKNVRSASPTTTKVQKTRIQDVISNKSSEASEDLPAGLAVAKQRKGKMKTSSPRAVPVGGSRLVFRSKTSTKKKVKPEPAAAVVSHKTCEAKSSNSQANKKHEALQDEPRTTPINKNAAASSISTDGANCGTKGCGVGEIHGSKPSELSRSKEKGECSQSSKSSMGDYSTSTSISDDSYGSFSGNGCRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSFFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRPRRPRGEPQKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDAASLTTARKRKEGKCLEFRLNGDDIEFELF >AMDW01003357.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003357.1:4:162:-1 gene:AMDW01003357.1_FG001 transcript:AMDW01003357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVIMGSTLMATTAILFCTGVAAILSSTYAVKKPLSDAVFGAHGEYMMALKY >KN542708.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542708.1:174:5750:1 gene:KN542708.1_FG001 transcript:KN542708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] LQVMLAKNLEECVEFAPEGGKVMVRTAPVGKSGGTPGVELRVALQALEIIDAVDYGMTKLADLMIKHVLVPAISNISVAVSVEALEKSGPQYPISILCVTPTEELQSSNWLLLFKGYKDGSALYSRIIDIIKFSFSKLTWSRISDLVIKHFISKAVPHEASKLIEFQDVVRSTTEFENTLRNMMFISHEKRDGKLTQFVDDVEVHFAVRKRNEILVKARHLLVHYDYDNPLDACLSSARVAKELCYAARDALLLYKAIVPVQLEKQFDSINQVAAIIHNDFYHLSQEILGLAFEYRADFPGDLQKLVVFVDLAPTFSQMADGVLTRQIQLVTANLIEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKIHIMWESILPRSIYKRSMCYILGSVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENEFLDHQTWIELDEIIRPLKKFRKLAELLDMSLKSITAAWESGELTNCGFTSSEVQNFVKAIFADSPLRKECLLWISRTPS >KN542708.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542708.1:8784:12842:1 gene:KN542708.1_FG002 transcript:KN542708.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQVNSLLNMFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIGNLAASVLRLVLYTTIRFLDYMMFAYQQKIRYLPIMVMETDKLNILKLSAIFGKHVEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGK >KN543174.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543174.1:4212:9699:1 gene:KN543174.1_FG001 transcript:KN543174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGWALALLLFLLHLPAIATGSSAHFDGNNTVWCHPDQAAALLQLKESFLFAGSTTILPTWLHGTDCCNWEGVGCDASSRLVTVLDLSGRGLHSNGFDPALFSLTSLRRLDLSKNSFSFGTVTTEVVRFDRLTLLTHLNLSNSDLRGHIPMGINKLFNLVSLDLSSHYFSNSKYGIFYDENEDEIILGDDYNDLHESNLLALVANLSNLRELYLDAVDMSGNVDNWCKALAQSVPHLQVLSLEDCSLNSPIHHSLLSLPSLTVINLQSNPAMPANLFPEFFMGFVNLTVLRLSNNNLEGWFPDRFFQLNNLKVLDLSFNMYLSGNLPEIPSSLETLRLEGTNFSYAKPISSSNFKTLEELGLEGKFISMDFLTSFGLIVSLCHLELFNVDLLGDSGSNLLSWIGDLKNLTSLVLDEVDFSNTMPSSIGNFKNLRSLKMSDCNLPRATMSAIVNLMDLQSLDMSNYNMFGSMPSSLGNITNLEYLYIYGFIGPMPAAIGNLKSLKIMGFWNCQFTGPIPLTLGNLTKLQTLEMSHCQISGPIPYSVGQLKELRVLVIYDGNLSGTIPSSIGNMTRLVYLGLRSNCLSGKIPAPLFILPTLRFLDLSDNRLSGPMEEFDAISSCLVALRLKTNELTGEFPKSFYQLTSLASLVINLNNLVGSVDLSSFWRLRKLFTLNLSHNNLSLMGGEGDNSSSTYPSKLNELSLAACNITKFPSILTRLSDLSYLDLSCNKISGEIPEWIWERWKSRLVHLNLSHNMLTGIELTSYVLPFSSSFETLDLSSNMLQGQIPMPNSSAEFLDYSNNGFSSILPNFSLYLSKTVYLSMYKNNITGNIPHSICNSDHLSVLNLAYNNFSGPFPSCLMEQNYFRYILNLRGNQFEGMLPTNITRCAFKTINLHGNKIEGQLPRELRKCTYLEVLDLGNNQISDTFPSWLGGLSNLRVLVLRSNQMYGSIGYTLQDKSRDNFSNLQIIDLASNNFTGNLHPQWFEKFMSMKKYNNTGEIMDHGNVLAGYYQDTITISYKGFTVTFERILTTLTAIDLSDNALEGSIPESVGKLVSLHVLNLSHNAFSGRIPPQLGGITALESLDLSSNHISGEIPQELTNLTFITVLNLSDNKLEGKIPGSRQFSTFGSSSYEGNAGLCGDPLPKCASWSPPSAEPHAENSSEHVDIVMFLFVGVGFGVGFAAGDQAAGCRVPEKQAPPFGCAAGGGFPTPG >AMDW01040810.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040810.1:536:1537:1 gene:AMDW01040810.1_FG001 transcript:AMDW01040810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPPLPRRNPDAVCGLMRPPLLMVVVVVVVSTAHRGTLMRFSLCRWLMLELLQVAKAQPCVLRRFTPASRRKCVAAGGHGRQELRAGQYQLDDDEPLWLAVVRDINWGLRSFMAFLAEQPRQLKHLEWPVFRNTLRTATLTLILVAVFIVALSSVDAALCYILSWLLRKSA >KN541910.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541910.1:5747:7059:1 gene:KN541910.1_FG001 transcript:KN541910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPVSCMNIELIPVNFSVCKQGLLFFMAKEQDQLIKRVELKVSVNCCDGYRSKVLKALNLKGVLRTEPSPEVEKRRRHDGGGGKKEASPDNGKMGGGTAPKHGDGGADDKRGENGGGGSGASSARIHGGGDDDVKAAMCCYHRAEPPAMAVPVLQPPYYGFGGCYHGTPPPAMAPCRRGRIPVVRPQPTRFADECCMYGDDDTAGCHVM >KN541910.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541910.1:17827:19564:1 gene:KN541910.1_FG002 transcript:KN541910.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) UniProtKB/Swiss-Prot;Acc:Q8VXY7] MLACFLPLVLIVLCFPKSSAPARINTGMSLFTVALLVVPVMDAVYVRGVPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPARYMQAVVAGTATSDVDASCTVTLFLISNYAGVLVSVLRVITKGVYPQDADGLRKSAILYFVVSIVVMIICIVCYNVADKLPVVIHYKNIKKRAQKAEEDGGMSGSAWRTTLWSIVGRVKWHGIGIALIYAITLSIFPGYITEDVHSEALKDWYPIMLISAYNVFDLVGKSLPAFYFLENANIAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILMTLAPKAVPIQHSETAGIVIVLFLVAGLVVGSFVAWFWVI >KN541910.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541910.1:13099:13722:-1 gene:KN541910.1_FG003 transcript:KN541910.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYRPEVPQPLRKARAYAVYPRASLLNHDCLPNACHFDYADRPGPGNTDIVVRALHDITEGREVCLSYFAANWQYKDRQQRLLEDYGFRCECERCQVESKWKQDDDSDGDDTMEEEEEDGGGGEGGDDGMEQEEGDGGSDSDDDFPHSYFFVRYLCNHGECYGMLAPLPPLPNGEPSHVFECNVCGNLKNEDEIDAPDGGDSSMAD >KN541910.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541910.1:7899:9798:-1 gene:KN541910.1_FG004 transcript:KN541910.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVLRVGMSCEGCVGAVKRVLGKMQGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWDAEPAPVEATAASS >KN541910.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541910.1:20565:21863:-1 gene:KN541910.1_FG005 transcript:KN541910.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VHDMMLDLILSKCKEDNFISVVYSGEDYVSIAGQHGYSSNKVRRLSLQSKAAESDCTVLIEGKATPAHLAQVRSISLFEKSTGGLPLLLRFKYLRVLHIMLGYSSERVDLTAVSQLLHLRHLMIVSDWCEVELPSRLCGLVHLETLEITSNVTLSIPLDIDSLPYLSNLRLPLHVQLNRLPNSKSLRTLGICPPLDMDFFKALGEQTNLRGLGLCFDRKESSTASNLDSLGSSVGKLQNLRYLGIHFLCGISADGLMGSLSDFPHSIEILNLQTCCISRVPRWINVALVNLRRLHLSLSETCTDEEDNVFPRDATEHVFREAAQAHPNQPAFKFYCSGD >AMDW01025272.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025272.1:63:267:-1 gene:AMDW01025272.1_FG001 transcript:AMDW01025272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FVETLDRCFKNVCELDIVFNFNKAGLEEYKRVKLIKHAYGPLQERTNIVLL >AMDW01032506.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032506.1:76:192:1 gene:AMDW01032506.1_FG001 transcript:AMDW01032506.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIFTNESNIERWNKKRQQAVDSKIGRLDKFIERVKVPIQ >KN541403.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541403.1:18230:18563:1 gene:KN541403.1_FG001 transcript:KN541403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEDEDDDEAVPVVYETRSLVALAHRQIIVNLTNLKRVD >KN541403.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541403.1:23524:26952:1 gene:KN541403.1_FG002 transcript:KN541403.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLELVLLSGDLSGAATRAVARCLRPMWGKPSASGFSTSAMLRSVGGVVIIDLAPASAAGSGKPPSTPAGVVHLELPPLATPPWPSRHSTAPSALLPMWFAPSLSIHEPDAAICVMYPELPAEQLAMASAARFLQIHHDCDGLLQSCLSLATARASATLMGSPRGAKDVSINFNFSKDDDKHSEDDQQPLCGEYLENEKCDIDYVLRNFRGMEKEVRSLQKEVETGTEEHKETKEELKEGMAETEEELKETKAELADTKRVAYMAILKDARRRAMEAERAKTKEAKEKEYAKNRIKLSWISASALKLAGEAILSTFFGGP >KN541195.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541195.1:30052:31927:1 gene:KN541195.1_FG001 transcript:KN541195.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLIAHLLPLLLLVFFCDGILLSDATDCDSSDRAALLKIKEQLGNPAELSSWLPSTNCCSRDSDIICSIDTGRVSISWFYVHSISSSLERYQMTMAMETLTPLISHTTSSPAINPSFLFDIAKPMAKIDLSWNRLEFDMTKVRFPHHLNYLDLSHNSIKGRVAKSLKDINLKFFNVSYNELCGEIPTGRYMAYHGADCYVHNKCLCGSPLPPCKNGQ >KN541195.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541195.1:72:2441:-1 gene:KN541195.1_FG002 transcript:KN541195.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTVCERARVQRPKEQVADGEALLELVNSLAITAKSKKKDGPTPSEFVTSLLTKFGVRASLLDASIESFSWSDLGAMASPLFMTATGCQTMNGALNLAIEERRKRVARRLFDRFPRKPAGLYETTPDLDERNDTDKNMAVMFKLLRKNKCVKLENLILNRQSFAQTVENIFALSFLVKDGRVEIDVDDKGNHFVVPRNAPAAELITSREVINSQYVFRFDTKDWKIMKGIVEPGDELMPHRQNNIGEHYNNAKSYSASEPQRERDEFAQGEAIDETLIKPCAEDVILKRKRRSEAESLKHWSFSCKWQ >KN541195.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541195.1:21610:23009:1 gene:KN541195.1_FG003 transcript:KN541195.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPEEAAAASAWDAFGRIDVLINNAGLRGGVHSPLDWPEDEWDTLIKTNLTGSWLVAKHVCRRMHDAKLKGSVINISSVSGLNRGHLPGSTGYAASKSAMHYVTKLMALELGAYGIRVNSIAPGIFKSEITAPLLQKKWLNTVVSKIVPLKTHGTTDPALTSLVRFLIHETSSYVTGNIFVVDSGATLPGVPIFSSL >KN541195.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541195.1:17135:19132:-1 gene:KN541195.1_FG004 transcript:KN541195.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAGTDDDLPPTYQPRGPRVIFNGNGSLPQPSLHSNVDREIRQIEQQAYTGVLRAFKVQSDAITWEKESLITELRKELQISDKEHRVLLKGVTEEEAVCRIRQSRQTGGTQSSSHHSSVVHTPVPAKRQKKSHSVPVTPQAPVITMHAVVGKKGGRQASDRVLKRLPSNNSPMLGSSRRRGRLHPNELIKGYSPLDGFGIPNTGNVVMEVEKVLSNPNMLEIEKAMKLLRDQEQSLLDAIARLDEASDGENGSIYLLLMGDCGI >KN541195.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541195.1:9267:15106:1 gene:KN541195.1_FG005 transcript:KN541195.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAVEDLRAEVVSRRAAVSTAGAPDTWRTPPAPPQPAQPYHYSSPVKPDRRGSGKDMAAAENAAKPSSDELGDELKRALEARLSPKTPRNGDSKFPTTLIIQRRQHIFVSPREGERYSGTIRRHVDLETVRSRLVGATAAATATCYASASEFYRDMMLLCANALVFFPRGSPEHAAALQLRALVSKQGDGRKEAGGSGSKKGAAASTPPGRRIGRPPKRAAAPPTPPPSKRAKDDKPTRKRGKK >KN541271.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541271.1:1606:9391:1 gene:KN541271.1_FG001 transcript:KN541271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQPPGAAGATARRRGEQPGVRGERPDAGPSLRLRRAGAISFLQNQVSQLQMQLAVAQGVRTTQRKILGYATGCHLPSPPVPRSAGPCSGFPSIVGSEGVLREGGAGMGEEEDPDWLRAFQPPTTSTVMLSSDSYDSPENSPTRTTPSGEEQKGENKASSDHVGDGDVAAPNKGKKATPTRRKTLTSQEDAFGKDEKPTMESNQDKPAKRSTPKKLVKLPSSSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKVQRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLKGTIYKSTIVPSRTFCVVSVGQPEAKIESIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAGKGQKKAKVAGKATKKGTRKTQTTKRTKKAKK >KN541195.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541195.1:24196:28623:-1 gene:KN541195.1_FG006 transcript:KN541195.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHHQPHSPPPSDDDVVVIQMNAAAIAAVDERSSTNEVDDAAAGKGGGLTGRTFSQAYKMKHRTPPEFTWRQVALLSFQSLGVVYGDLGTSPLYVFSSISLDDPGEADFVGILSIILWTFTMICLVKYVFIVLKADDHGEGGTFALYSLLRQHVNFKGNMPVPVTHLASDINLKFHSKKRILTSKLLKFLEQSTKWQAVITYIVLAGTCMVLGDGALTPAISVLSAVQGIQSRSSSITQAHVVLLSVIILFILFFFQKHGTSKVSFTFSPIMILWFTFVAFIGLYNIIKHYPPILKAVSPHYIIIYFIRNKRAAWETLGAIVLCITGAEAMFADLGHFNKSSIQAAFLVKNPSKLSTTFYSSVAVIFVMLFTTNLMTVVMLIIWESNIALASLFFVFFFSIEGIYMTSLMNKILQGGWVPFAITAFFLIITLSWTYGRSKKGEYELANVMEREEFIKTVTTRSRVPGVCIFCTDMMNGIPPIVRHYVQHVASLRELMVFVTIRVLPVRTVLPEERFIIDKLEPVGVYRCIVQYGYMDNHNMEGDDYVASVIASLKEIAENDDEILVLDSALINGSTFVLGRTIIKMGTRHNCLKRFFINNLYRFLQKNFRSNMSSLKINPGKTLQVGMLYEI >KN541271.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541271.1:21190:31192:1 gene:KN541271.1_FG002 transcript:KN541271.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLRCEPSPKKKLVKLPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKVQRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLEGTIYKSTIVPSRTFYVIEYIMNEFIQLEPQSNLFGRDYDGIDYDGEIWQAKLHKNSKSVRYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDLALAILMEYINKDDTNIQIGAILGFGIAYAGSQKEECNEAEPAEPIIRLLPVALGLLYLGKQESVEATTEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRPLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLCHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVKIAQGLVHLGKGLLTLSLHIILIGFYFPRKYHYILYIIVLAMQVVVDIRLLLTVDEDLKPISVPVRVGQAVDVV >KN541271.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541271.1:13696:14193:1 gene:KN541271.1_FG003 transcript:KN541271.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGMLLLPSLPFPFLLSSPLLPPNSPPHPVPPFPASAAAVEGRRVPSPALCWWGWRGAGDPPGPPGVLVSSCSDFATKVGCAVHFRDIFGERGFN >AMDW01036155.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036155.1:14:484:-1 gene:AMDW01036155.1_FG001 transcript:AMDW01036155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EFLLAGNNNREQQMYGRSIEQHSGQNIFSGFNNELLSEALGVNALVAKRLQGQNDQRGEIIRVKNGLKLLRPAFAQQQEQAQQQEQAQAQYQVQYSEEQQPSTRCNGLDENFCTIKARLNIENPSRADTYNPRAGRITRLNSQKFPILNLVQLSATR >KN541969.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541969.1:11282:11674:-1 gene:KN541969.1_FG001 transcript:KN541969.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWQGCCCCIICCILVVLLPVLLLLILAYGVIVPVRVTAEDATLTRLDLDGANGTGFAYTILLTVTVHNPNMVVRAKYTRALAVSEPVSAHRQKATMKELSGGKSSDGGESRDGLRARRRRRSYGGLRI >KN541515.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541515.1:228:4908:-1 gene:KN541515.1_FG001 transcript:KN541515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLPLPYTTFIGHVESNEVIWLMESIKLARRKVTAVARLPFAVAGEGEEGGGQGKGDEVRGTNGQCRTMGASAAAGMQMVAARPCISASQGMLTSRAAVSRIGRALSTTTGFATCPRICYSSPLGSSKRSGVAIRAMSSESGPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAALSASSYSFVSLLQHFLPIMNPGGASISLTYIASERAIPG >KN539786.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539786.1:81876:82310:-1 gene:KN539786.1_FG001 transcript:KN539786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLTRKLQRIKTAAAREEDDDAGYTSTSPSPVANKGHCAVYTSDGRRFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGRTMPPVALHRQFAVCS >KN541515.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541515.1:14916:19584:-1 gene:KN541515.1_FG002 transcript:KN541515.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRRSVLLLLLALTVLSPLVLYTRRLSAALNPIQRRDLPGEIVNQGRGVKASKLNALPLETVGPLKEPVGIVFSEESRESASKSTEPDSQEFLLRKAGEHKNRVLSEATAADSARSEDDDLIEQVTSKEGEDDGLATVSVDQQQITTASQQRSASEAKVKFLCYGMFFVHAFVNMLASFSHSLTFPASSLENVPEQTSMENSLEGNKDGALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKTLEQTLIKGKLMQDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDPSHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVRNIEEFTWLNASYSPVLKQLESQSMIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPNLHKIVFLDDDVVIKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTLPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSAYLDYDQPFLRECNINP >KN539786.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539786.1:76745:79129:1 gene:KN539786.1_FG002 transcript:KN539786.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHIIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQTKLKILKEIAKEHQIDWDMTETEQELLKPSEELIQGPNTFVEATNFPVKTTMSAAHAVQINPSNYSSGYADEYDDEHTMQFKDAASAARAAAESANRAASAAKAAADLVNKKTHSSDEVEDRRTSFHESSHSSKRQSMSNSRSSIESNHVEDKEDTEQVELSARRMRKRNIRSTRKVHSEIKFDDSEGLNSETEDESDMEIQSIERPAPRSEPYPGSRHSEDEEKENHELPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >KN541515.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541515.1:11073:13120:1 gene:KN541515.1_FG003 transcript:KN541515.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRLLVVVVVVAGHCAAVASAARNSSVVGYHGDPTFNVRNYGAKGNGQTDDSKVAEEQSIDQASSLVELSPSFLANCEVVFVRDMQALMTAWKAACAATGAVTLVLPPGTYYIGPGTLKAATDLKRFGNDWVEFGWVNHLIVSGQNGAAFDGQGAASWPFNKCPIRKDCKVLPTNVASVNSKFFHMALLQCSGAKISGVKISAPESSPNTDGIHIERSNGVSIADTTIATGDDCISIGQGNDNIDVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSNAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKRHA >KN539786.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539786.1:37576:39203:-1 gene:KN539786.1_FG003 transcript:KN539786.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG5 [Source:Projected from Arabidopsis thaliana (AT4G35190) UniProtKB/Swiss-Prot;Acc:Q8LBB7] MEEAAAAAMNGGVQSRFRRVCVFCGSSSGKRRSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVAEAVHNGGGHVIGVIPTTLMGKEITGETVGEVREVGSMHERKAEMARRSDAFVALPGGYGTLEEVVEVIAWAQLGIHAKPVGLLNVDGYYDFLLAFVDKAVADGFIPPSHRHLFVSAPDAPSLVHKLEEYVPVQQEGDPETPKLRWEIEQQAAVQVVGYSSTLHAQIDCPLAIAD >KN539786.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539786.1:75:5180:-1 gene:KN539786.1_FG004 transcript:KN539786.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDPSSMWPRRTPPPPPPPPTSLLLVVRPTYRIRAGNTLDAIARGVFAGLVTYQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVAGQPVVHYTYVVPPGASVAAIAQDFATTEATVLALNRMPDAKSLLAGQVLDVPLRACSSAISSTAIDRNLLVPNGSYILTANNCIMCGCSSYTWQLDCQPTQGISSSFCPAAKCGDMFLGNTTTSPASSCESTACSYAGYTNSTSFTILANLTTSSTCNASSIMFEEHFHSCFLSAWATDSWSHVVSNLGSRTQVHPFSLVDHVESGMLDELCSLGGDHPGEIKKELLNLALPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVARHDSDQFTS >KN539786.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539786.1:19156:30774:-1 gene:KN539786.1_FG005 transcript:KN539786.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVTVLRGVATSLYRRIVDTKKRRWFVVSRFLRSDWSSHSHHPCKDLESDGAGNVLDGMLTTSDMASAAGGASGKEDWFDCLPDDLVHHVLSFLPALEAVRTSVLSRRWRDFWVSMPRLNVDAGDFRDDGQFENFTVHALPLLDSSVPLRSLRLRSSLHYLSALWVNHAVKRKVAVLEYSGRAEACSSVDASLSLASSYLTKVVLKHFDFDYGQFWPLIDACPALENLELLDVWTFYSVTISSSSLKHLRIVSCLFYNGFRINAPNLLTMCLDDVNVNGPLGHDYLVLENLSSLMTASVSVYHCSYPKHYVKTELHFFHGLSHARNLKLIAPLYEALFEEGLPTCPVFNNLKCLVLGDWCMAFDLYPLRCVLRQSPMLEELCVELGEEECENCKNRERAFSYGEISPFSCDRLKTVKIKCTEHDERFVALLLLFCKISVCIEEFDIDRGWGSDSIASGEAFPRTPRLRLRAVSHGAMRRGASQAQQRKDAEAAEQLDRLMREASSPRKEAREALLLDRSRRHDEAIARVDELAARHPESAAVAHLAGLLHYHATSRAMAAKDRQGVEAHCNTARDFYIQAKRLAPNCVEIAVRLALARLRCFNDGEAEPEIERALAIPFPTDPAENNVAYDNALGTTSSRDRVEKARRVALARRPEILSYVRNRSIPGDVRAVLDYADSDGVAKAVKPAKEVALRYPYSARAHLIYAYIRLKFAQGMAPGIDNRTFLSRILADLDKVASQFKTSLVLAMFRAKLSFLLGMYIPMTVECIRASTMEWPADPWDDDVPVKSVLGEKPEDRVASIRKEFGRLQKKLDVVAIDHMQSLTIEERDSVLSVGLNSMLQHYTNEKIDEATKIVSEALSFVQKSGSWRYWICPYCVGKKIPNTDALLQHMRNKHPEGSVWPKLLSVLDPNLISDTSRGDHFSDDMTVYKDSEEQYVFHFKRILPPAVTDQRPFSEIRENKCTEGIKILEKIKLKLKNAPADILSTEFNEACAEIRDLWHDFLEISVLDFRVVILPHVMVFIWERFLQRMSEKAASESVNAADIGVVFPYVDTPDIDEILPNVDDALDNNSADNDAICPNVPDASDSNAANIDAIHPNVVDASSSNASNTDAVCHGIDDAQGRDAAVCPNVDDAPENNADDMDAVIPDTADAPENNADDIDAVIPNTADAPENNTDDMDAVIPNTADTPDRNSDIKDGSNLSHANKVQEDEANQKPENTTLSCSDGTSTDVIDKQSDAHVKDEDYGATVNENESNSPTEMVEYGNELDATPGKFDHSTEEIASISCYPKSIDDLKKNNADEDLYFLNVIIQLLWNLRHFRNEFLRGRSTFDIVHEDLCIAEKLYRIFSAWEKNEHSKTVLLLTDVKTTLCGIVNDSNMFQTVKLGAICQLQAGRNFASEIMAIILRSLDKFENSVCVGSMRIVLDAPCRHCVWYTLGLFGTRLKQLMSCRCGEWFGEEYILLFHKLDASSPHSTKICYVEHGYVCFARDKDDKWLKYDTTTVKTVDTWGELLELYREINIQPEVLVYEVIK >KN539786.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539786.1:79686:79901:-1 gene:KN539786.1_FG006 transcript:KN539786.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEYGFSGDGRITLPCDAMVMEYVMCLLGRNASAEVEKAFLSSMVMPCHYASCVTPSLGAYQQVAVCRN >KN539786.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539786.1:8681:16513:-1 gene:KN539786.1_FG007 transcript:KN539786.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTSESAITSAGSASTSGFDCLPDDLVHHVLSFLPAPDAARTSLLSRRWRNLWVSMPCLNIDVSDFHDASQFDRFTDHALHLLDDRVPLRSFRLRSCWIDDSAVSWLRYAVKRKVPVLEYAERQGYFIHGCHDLILASSYLTKVVLEHVILHDCHFGPLNNGCPALENLELLEVNIQFTEISSTSLKHLRIVNCMMDCKFWIRTPNLLTMCLDGVECKSSLILEYLPYLTTASVSVNGFSLEDSEDEDLSDEHHVEYNILGVLKLIAPLRQALFEGCLLTCPVFNNLKCLVLGDWCMAFDLYSLRCVLKQSPILEELCVELREVHAGDVLDVMPPTSNMASPPTSESAITSAGSASTSGFDCLPDDLVHHVLSFLPAPDAARTSLLSRRWRNLWVSMPCLNIDVSDFHDASQFDRFTDHALHLLDDRVPLRSFRLRSCWIDDSAVSWLRYAVKRKVPVLEYAERQGYFIHGCHDLILASSYLTKVVLEHVILHDCHFGPLNNGCPALENLELLEVNIQFTEISSTSLKHLRIVNCMMDCKFWIRTPNLLTMCLDGVECKSSLILEYLPYLTTASVSVYGFSLEDSEDEDLSDKHHVEYNILGVLSHAGNLKLIAPLREALFEGCLLTCPVLNNLKCLVLGDWCMAFDLYPLRCVLKQSPILEELCVELREKECEYCKEKAPPFSYSYGEILPFKCHRLKTVKIKCGERDERFIALEWIYGLKFENLAIVSSPFTGATLTKERSSAHLLPAHLLSGPKLEYGLRGAHRNERVAPIDLWGSKLASNFFGCSNSSGKFLDSSVTTQPDRYLTIVTSGGLNQQRTGIVDAVVAARILNATLVVPELDQRSFWKDSSNFSEIFDINWFISFLAKDVNIIKEPPEKGGKAVKPYKMRVPRKCTPKCYLNRVLPALLKKHLFLWLKFRKILSHIAHTTFSLVIQVIRLTKYDYRLSNKLDKDLQKLRCRVNYHALRFTDPIQELGEKLIKRMREKSRHFIALHLRFEPDMLAFSGCYYGGGEKEKRELGSIRKRWKTLHIGDPEKGRRQGRCPLTPEEVGLMLRALGYKSDVHIYVASGEIYGGEDTLAPLKLLFPNYHTKETLSTEEELTPFLAHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILVGRRRYFGHKRTIRPSAKQLYPLFMNRSNISWDAFSSQVQTIQKGFIGEPMEITPGRGEFHANPAACICEKTGIKSVVGSDSRSNRETINSTEISNKPIGGPTYPIYTDEEADRPDTEDDPSGIGEMIDMEAEDDSLASRVDSVLEEILSD >KN539786.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539786.1:51820:55593:-1 gene:KN539786.1_FG008 transcript:KN539786.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGQSFFNISYARSQPPPPSVSYEHRLQATDARVHYYAGEGNPQAPPRGYGGGYGYPPQGSSSYNQYAYGGYYGGASPPPPADIPSTSRGEVTPPAPPSPPRVSTWDFLNPFETYESYYEQPTAAQASYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHAANGYSGKGKMAKEEGGRSSTGDELPHESKLSEASSSGSNQEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPIGPEKTYVDDAEVVLEIRTQFERASKSAIEVSKMLEVGKMPYYPKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKAEEKMRALYDRQREELKILDEKGAEADKLEATERSIRKLSTKISIAIQVVNTISDKISKLRDEELWPQTCELIQGLMRMWSTMLECHQIQLHAISQAKNIDSMIDGAKFGEAHMDLIKRLELQHLDWIASFASWVNAQKSYVGTLNDWLRKGVTYEPEVTDDGVPPFSPGRLGAPPIFVIYNNWAVGVGRISEKEVVEAMQAFASNVLGLWERHRSEQRQGLMANKGMDKDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQAQALQDGGSHGETGSLQLSLKNIFEAMENFTANSANTYKDLHLRAEEEKARVAQESGRVS >KN543377.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543377.1:3467:7157:-1 gene:KN543377.1_FG001 transcript:KN543377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGLGQVANIAQLAGVDAYGLIKMIVEAAQTAKRNRETCQKLARHVKMIGDLLNRLQSTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCLMGGKQAHQLREVQSDITFYLQLFPLVSFVDTTRTWERLLRRAQPSCTEDTADELQKVHHSDHRIRNHVQDYAHKYIKLIELHWQFIFRFNTEILNATEFGDQSVTQCPEVFEEKRSEQASIRSLNLDQLVVNDIGKGAVLTFSQILAATNNFSGRSLIGQGGFGPVYKGKLPNGLEIAVKRHDTSSHQGEEEFMAEIDVIPKLRRKNIIELIGFCVQGKECILVYEYIPNGSLASIISGKFSILTKTNASTFFSLNDIWYFVDETKRILLNWSKRLKIIEGISDGLLYLHNHSPKCIVHRDIKASNILLDYEMNAKISDFGLAIKLAPKATTEALKNRKKLHKLIDPSLGAKKHERAQIMQCLRVAMLCVRDRAEHRPTMSEVVTMLPSIKTPKDRKPFRQLSTCAFDAQIDH >AMDW01039437.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039437.1:269:724:-1 gene:AMDW01039437.1_FG001 transcript:AMDW01039437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GMEPSDGQSCNQDDDVAMEDLQKGGGTSTNPLLHVQNKSQGKKRKINNGDDPPRKQVDIDRAINLMRFVFDEGLAFLDNGSGRSLDERMLVDMACYMVNQMLGDPSSGLQHNGLPRLQTLTPTLDKDIAHSFAKETRKAIAKDLQVQGDFFG >KN541884.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541884.1:11437:14107:1 gene:KN541884.1_FG001 transcript:KN541884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLNLSTNSLQDVIPEALVNCSSLRILELSKNFMAGEIPPKLDLLSNLLVLRLSWNNFTGIIPPTLSNITHLERLALASNHFTGTIPDELGNLSHLSRLLLGDNDLSAANQLQGSIPSSIGSLSTDLQELYLDGNELSGIVPPSIGRLQALITLALCTNSLSGTIDEWVGKLTKLQGLYLQRNNFTRSIPSSIGNLTQVVNLLFGSNEFDGPIPSSLGNLQQLSLLDLSNNKLVGNIPIEISNLKQLVTLDLSSNKLTRKIPDTMNEYQIIQTMIMADNIQVGNIPVTFGSLKTLTTLNLSHNNLSGTIPVALNNLQILTHLDLSNNQLHGEIPRNGVFENTTAVYLNGNPGLCGGSIDLHMPSCHAICQRTKHRFPKVSYKDLVQATGNFYEYNLIGRGSYSSVYRGTLEKGKMEVAIKIFDLELQDAERSFVLECELLTNIRHRNLLSMITACSTIDNKGNAFKAIVYEFMPNGNMDTWLHCQENKTDPNFFGLSQRISTAINIADALAYLHHDNGRPIVHCDTKPSNILLDVNMVSYLGDFGIARFYLDSRLISVGDSSSLRAKGTIGYIAPEYAEGCSASTCGDVYSFGIVLLEMLTGKRPTDSMFSNGLNIVSLVERNFPSQILDVIDSRLIDEYNGLETENLAIFQCLQSLLRVALSLYTPITKGKD >KN541201.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541201.1:19301:23326:1 gene:KN541201.1_FG001 transcript:KN541201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDETSKVARIGGEEEAGASSHSQQLIGIEEYLQEAFSSAASPPCSGDAMEAVAAFAEEVGKATTETERKKKEEERKANERKAKLQKDIELGGVDAVFSLSVMMSGFFLSPEVKGRQTLYLNISMFLAFSSFICGFSLILLSMQLLSAKGDHISGLHRAISRCLFYACSVLPALTILSLLLVMPYRPYIYFGFVVLAVVAVPVSLIHLYVSRKTEEAKATDMEGGAAAGTAPDVNEESQKKEMESGYKITSAIAAMSFAGLVLQSNDPKLRKFFVRTIPRVNGALLVLLAVAAFAASFGILKWYMLSAFVPLALAAIVHFVIQHCCTTQQNVVRAGDNDEMQLKWMAEMATKLTAWSLGIVMAIFGGFLGDDDKTHDKMVTLKICMFFPTTAFASSLGVIYITMRPDGESAARDSSKAAMNILACSAMVLFSAAMLVIYIVEVMKS >KN540531.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540531.1:10024:15021:-1 gene:KN540531.1_FG001 transcript:KN540531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASRPLSARIAQCFLLNGFIFLGRYNYSLYAFISLNLKYGLLCYHPQDSHISYTKQWYNDIAKHALDVVKRKSLDASKALDAHTISESTEKLEGFDEVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGTQAEKVIDQLKPSHGAKLQRIPVFLIAKRLTTQVLQLFPEVQKEQ >KN540531.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540531.1:36553:38273:1 gene:KN540531.1_FG002 transcript:KN540531.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTGNRKPVRSASAIIAGTESGQHLLKIDGYSRTKDELPTGSDIRSRSFRVGGHTWHLRYYPNGFNSDCAECVSVFLQLDYNVMKGVKAQYKFSLLDRVGKPSYSRSSGKADVFLNAGWGYRTYIGRDLLESSSEYLVDDCLTIVCDFTVFNDLRAEDIDVDDATPPPPLPPPAVVVPPSDLHRHLGGLLATGEGADVTFEVEGKTFAAHSWYIGYYPSGSNSDSTDYISIFLQLDENVDKGVKAEYKFSLLDRAGKPSYSRSGKGATFFHDDGWGFRRFIKRDQLEKSEYLKDDCFTIMCEFTVFMEVQTEDIDVVAATPPPTTPVPPPPPRVVVPPSDLHRHLGGLLATREGADVTFEVNGKTFMAHRWVLAARSPVFREKLFGGLGKESATTNGAVDDMEAQDFEALLHYMYTDSLPEMKGGEEAAMLPDLVAAANRYKIERLRLVCEQKLRKYVNGRTVVAMLAFAEEHHCNGLKENCLHFLDDTVKLREIVKAEGLENLSKSYPSILKDLIAKLAAVPNVAS >KN540531.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540531.1:26462:27556:1 gene:KN540531.1_FG003 transcript:KN540531.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTVAGGRLLRSASAIVGGTESGQHLLEINGYSSIKDAVSTGDCVQSRHFRVGGHGWYIRYYPNGFDWNVSDCISIYLVLDGRDYYYRGPTVRAELTLSLLDQEREPVASYIYSHGLQIFDGYGRYRGSPRFIQKAVLERSEYLRDNRFTIRCDITVMENPQAKGVEERVTLPPSDLARHLGGLLATGVGADVTFEVDGKTFLAHRSVLAARSPVFHQELFSQEEKENENAGVIVRVVDMEAQDFEALLHFMYTDSLPEMKGGDAVAMLPDLVAAANRYKMERLRLVCEDKLCEYVTVRTVAAMLAFAGEHQCPELEKKCLQLLEDPANLRNIVETEGLEHLTKSYPFVLKDLIAMFATKP >KN540531.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540531.1:22043:23167:1 gene:KN540531.1_FG004 transcript:KN540531.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKLLRSASALVGSTVSGQHLLEIKGYSHIKEVIPSTNYIVSRRFRVGGHDWCIRYYPNGIYPGWFEYIAVTLYLDGSVNQGVRAHFSFTVLNQAGEPMPASWNYYNSGYTFTSWDWEGPWTFIRKATLEGSGPLHDNCFTIRCDLTVIMPPELKGCDTESPAPPPPPSPAVSVVPPSDLIRHLGGLLATGDGADVAFEVDGKTFLAHTSVVASRSPVLRADLFGPVGRKKKRNGVIADSAGAIVRIDNMEARDFEALLHFMYTDSLPEMKGGGDAVAMLPDLVAAANRYKMERLRLVCEDKLCGYVNVRTVAAMLAFAGEHGCHGLQKKCLQLLDDPANLREIVETEGLEHLAKSYPLVLKDLIAKFATKP >KN540531.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540531.1:40943:49071:1 gene:KN540531.1_FG005 transcript:KN540531.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTGSRKPVLRSASTIIAGTESGQHLLKIVGYSHTKDKLPTGSAIKSRSFRVGGHSWHISYYPSGNDSDNANCISISLNLDDDVDVKAQFKFSLLDRAGRPSYLKSIDNPHIFKSSGWGFRCFIQRDVLEKSEYLRDDCLTIVCDLTVFMELQTEDIDDELPTGSDIKSRSFRAGGHSWHLCYYPNGFNSDCAECISIFLQLDYNVMKGVKAQYKFSLLDRARKPSYSRSSGKADVFLNTGWGYRTYIERRLLESSEYLRDDCLTIVCDFTVFKDLRTEDIDVDDATPPPPSPPTVVVPPSDLHRHLGGLLATGEGADVTFEVEGKTFAAHRWVLAARSPVFRAVFFGAMTGGASDVVRVDAMKAQDFDALLRYMYTDSLPEMKGGEAAAMLPDLVAAANRYKMERLRLVCEHKLCEYVNGRTVVAMLAFAGEHQCNGLKEKCLRFLDDPVKLREIVQAEGVENLSKSYPSILKDVIAKLTAIPRS >AMDW01085678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085678.1:56:1052:1 gene:AMDW01085678.1_FG001 transcript:AMDW01085678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGFNGGAPYAANIAASVAVLNTNVCAATSLLMWTCLDVIFFRKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVVMGIFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELFSLESTVPGLRGAFYGGGIKQIGKQLGGAAFVIAWNLV >AMDW01022889.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022889.1:19:315:-1 gene:AMDW01022889.1_FG001 transcript:AMDW01022889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VARAAGVATVAFLSQPCAVDLIYGEVCARRLALPVTPTDASGLYARGVLGIELGPDDVPPFVAAPELTPAFCEQSVEQFAGLEDDDDVLVNSFTDLEPK >KN542478.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542478.1:5692:6970:1 gene:KN542478.1_FG001 transcript:KN542478.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRSSSSAVAAALSLCKVLLIVLALICTLHTASVDGGRAAAEIGRGPLDPTYTPPVAPGRPYTPGRGCVYGVRCPP >AMDW01010980.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010980.1:6:215:1 gene:AMDW01010980.1_FG001 transcript:AMDW01010980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCTEERHLHALLVAGDKYDVPFLRR >KN538819.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538819.1:168035:170604:1 gene:KN538819.1_FG001 transcript:KN538819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKSKGPKFAAVKKIITKKTIQKYKEDVLNPKKKDNEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIIERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHRYSIERLPEATIGGGNVFCAGGSL >KN538819.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538819.1:218848:219048:1 gene:KN538819.1_FG002 transcript:KN538819.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAEVAGAFAARFASPALAPPRVRERGGLEVTYPQLGRADGMAHHHSPNWPNNPPPPQPNSAY >KN538819.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538819.1:125055:125429:-1 gene:KN538819.1_FG003 transcript:KN538819.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLESKQEPKKGDRLSALAADPARELDVLGHDGDALGVDGAQVGVLEEPDEVRLRGLLQRGDGGALEAEVGLEVLGDLADEALEGELADEQLRALLVLADLTERDGARPEAVRLLHAAGGRS >KN538819.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538819.1:173823:182696:1 gene:KN538819.1_FG004 transcript:KN538819.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIFSVTEGTVRSLLSKLSSLLSQESWFVRGVHGDIQYIKDELESMNAFLRYLTVLEDHDTQVRIWMKQVREIAYDAEDCIDQFTHHLGESSGIGFLYRLIYILGKLCCRRRIAMQLQELKARAQDVSERRSRYGVMLPKTTLQGAGPRLTRHASRHLDPQLHALFTEEAQLVGLDEPRDKLVRWIMEADPCRRVLAIVGFGGLGKTTLARMVCENPMVKGADFHCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTMDGNMDGMERWEVAVLAEKVRQYLLDKRYIVIFDDIWTISAWESIRCALPDNKKGSRVIITTRNEDVANTCCSGPQDQVYKMQRLSDAASRELFFKRIFGSADISSNEELEEVSNSILKKCGGLPLAIVSIGSLVASKTNRTKEEWQKICDNLGSELETNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEVAESYFDEFVARSIVQPVRIDWSGKVRTCRVHDMMLEVIISKSLEENFASFLCDNGHPLVCHDKIRRLSIHNSHNSVQRTRVSVSHVRSFTMSASVEEVPMFFPQMRLLRVLDLQGSSCLNNSTLNYICKFYQLKYLTLRKTNICKLPRLIGNLKYLETLDIRATRIKRLSASASNLSCLKHLLVGHKVQLTRTTSVKCFRPDSGLEMTAGVVKNMMALQSLAHIVVKERPAVLSEIGQLQKLQKLNVLFRGVEENWNAFLQSLVKLTGSLRSLSIHILDEKEHSSSLEYLARIAESPPLFIRNFSLKGKLQRLPPWISSLRNLSRITLRDTGLHAEAIGVLGDLPNLLCLKLYQRSYADDHIFFAHGNFLKLRMLVIDNMENIRNVHFEKGSVPNLEWLTIAFLREPKDGITGLENLLKLKEIEFFGDIILSMVTKVASCMKAHPNRPRVIGDKWNNVTEESMEGAIVSLTEGAVRGLLRKLAGVLAQESSPAQRVHGEVQYIKDELESMNAFLRSVSTYIVILDDIWSSSAWESIKCAFPDNKKGSRIIVTTRNEDVANTCCCRPQDRIYKIQRLSDAASRELFFKRIFGMADAGAPDDDELKQVSDSILKKCGGLPLAIVSIGSLLASKPNRSKEEWQKVCDNLGSELESNPTLEGTKQVLTLSYNDLPYHLKACFLYLSIFPENHVIKRGPLVRMWIAEGFVTQRHGLSMEQVGERYFDEFVSRSMVHPVRIDWSGKVRSCKVHDIMLEVIVSKSLEENFASFFCDNGTELVSHDKIRRLSIRSSSYSSAQRTSNSVAHVRTFRMSPSIDNIPFFFPQLRLLRVLDMQGSRCMSNKNLDCICRFFQLKYLSLRNTSVSILPRLIGNLNHLETLDIRETLIKKLPSSAANLTCLKHLLAGHKEQLTRTSSVKFLRPSSGLKMSHGVIRNMAKLQSLVHVEIKEHPSVFQEIALLQNLRKLGVLFYGIEVNWKPFLKLLNKLSGSVRSLSIEIFDAQGNISISSLEMLSSLVSPPIFITSFTLTGKLGSLPPWVASLRSVSRLTLRRSQLRADAIHVLGGLQNLLCLKLYHKSYADDRLVFPLGGFARVKLLIVDNLVNLEKLHFDEGSMPNLERLTLSFLREPKDGISGLNNLLKLKEVEFFGNIISSVVSEVVSCVKDHPNHPRVVGDKWNIVTVYN >KN538819.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538819.1:191577:197672:-1 gene:KN538819.1_FG005 transcript:KN538819.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MAFGGLALALALLVVSVSLLPLVASSDGDHARCEGVVKGWAGSVAGSEGKDGDKLSLRDLLFFLHIPRTGGRTYFHCFLKKLYTNAEECPRSYDKLRFDPSHPDCKLVVSHDDYSFMSKLPSERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTSRVLTKSRARDARGIDKVRSSHRVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYLSGAHEVRHCVRKHPDLGHFVLQVAKSRLDRMLYVGLTEEHEESARLFAHMVGAQVLSQSGALNLDIKDNQPSRNDPHSSTLDPEDEETNEHLNSTHVSQNNRALNAADTVKDDHGKGNLTVGKLMETYEGCISKLRKSQSNRRKISLRKVEGANFSKEARRQVPEAVLEQIISLNSLDMELYEHAKKIFTQEHLMLKSQQSTVIQHKQLTDQKGWIEMICSSWSCSPWKVVIFGLGVTITIALIILALTTRRRTFKLKV >KN538819.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538819.1:119656:123324:-1 gene:KN538819.1_FG006 transcript:KN538819.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKERKEAAQREKEAGDEAYGEELYKRAAAHYAAAADLDPGDTSCLIKRAAANFSMNKCKECVADCDEALRRRDARCGCDEKLAADALFLKALALLNLAVCAADHEPAITALEESLELRPGSKETRAKLDMAKRNRDAFAEQERLDQEAAKTHRDRGLELLRKKKYKEAEMQFSEAIKRNPRYPKICLQLLLFNFGIHLFMTDMITQNFSDRARCLVKLNSLPKALEDANRCIELDDTLGMGYLRKGLVQIAMAKYEDAIATLVDGLKHDPQNLSIHNSLRECAARIKMAKDSDAIAKDLTKHQREIECLHKQLNEGENKASKERSRRMKSEKLVKTLSSQVEQLRSANERNANLERELSECRERFERLQLIQNHILQHFTCPISHEVMNDPLMAADGHTYEAKFIRDWFRRGHNTSPITNVELEHKKLVPNRALRSAIEECRK >KN538819.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538819.1:223055:225214:-1 gene:KN538819.1_FG007 transcript:KN538819.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRDRDPLVIGRVVGDVLDAFVRSTSLKVTYGSKTVSNGCELKPSMVTHQPRVEVGGNDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTAASFASSPPQRGTDNRHESTHPEASRDTSTTVSHTYREQSTPSPAAQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRMYN >KN538819.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538819.1:185014:190496:1 gene:KN538819.1_FG008 transcript:KN538819.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDVPGRDSGRMRMRRRFKGGRTGYAVARFHLLCKSLRGKRRESNTTVKMMLGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFGGLISMLRKLACRHRIALQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHASLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFGGLGKTTLARMVCGSPVVKSADFQCCPLFIVSQTFNIRALFQHMVRELIQEPHKAMAIAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNLQRLSEMTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNGSTLASHDKIRRLSIHSSYNSSQKTSANVSHARSFTMSASVEEVPFFFPQLRFFQLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPASAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLQEIGLLQNLTKLNVLLRGVEENWNAFLESLSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGELERLPPWIPSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCRGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLTKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >KN538819.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538819.1:126358:129961:-1 gene:KN538819.1_FG009 transcript:KN538819.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHSHRRGATEANEQMRRKKEKALREKEAGDDDFDEGRYKRAVEHYARAAALDPGDISFPIKCAKSYFHMDQYEECVRRCDEAVERGRELRAKKSLVALALLLKGTALLNLADCASDCKAAIRALKQSLDEHYHKGTEAILDEAESAMEEMEELEKEAAKHHREKGKELLSKKKYKEAAIQFTKAIKKNPLNPRVSIDTATGSTELNALAEGLEDADKSIELDPTFWKGYLCKGEVQFLMHNYEDAMTTYLDGLKYGPQKTTIYDGIKRCLEQIKMAKDRDDRDKDLWEAFKKSSSSQVEKLMMQRDVVTLELKSAKERNANLEQQLSEQIGRIERLLSIQNSEPPHFICPISQEVMNDPHFAADGHTYEAEHIRKWLNDGHDTSPMTNERLQHKKLTPNHALRSAIREWHQHRNMRHTSPRRQQLFL >KN538819.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538819.1:117904:119086:1 gene:KN538819.1_FG010 transcript:KN538819.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLGDFVDVLLDLQGEEKMSDSDMIVVLWLSLIVVVFVLDTDPHEADGVQVRDSRKQLATKATCKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KN538819.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538819.1:205708:213358:-1 gene:KN538819.1_FG011 transcript:KN538819.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHLVESEKAAENAGPTYRNVLAKDTGLLRPPPGVESCWDVFRNSVEKYPDSPMLGRRRVVDDGKAGEYVWMTYKEVYDVVMKLAASISKSGISKGESCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKITELLKTCHATSKYLKTIISFGGVTNDQKEEAKNHGMSIFSWEEFLIMGGDHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNITGADCVTRSIGEPDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKALFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRFIVSGGAPLSVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDFSMVGTVGPPVQHLDARLESVPEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTDDDYMPNTMYNTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWIYGNSFESFLVAVINPNQQVLEHWAEQNGISGSLSELCENSRAKEYILSELTKIAKEKKLKGYEFIRAVHLDPLPFDMERDLITPTYKKKRPQLLKHYQGTIDALYKMTK >KN538819.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538819.1:234125:234316:-1 gene:KN538819.1_FG012 transcript:KN538819.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHRLVFVLFQQLGRQTVYAPGWRQNFSTRNFAELYNLGSPVAAVYFNCQREAGSGGRRVYP >KN538819.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538819.1:154593:162343:1 gene:KN538819.1_FG013 transcript:KN538819.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGSPICSEQDVVSCAMKETLDSSTCVNHLVVISIVAVLTVALVLQLLMKIPKSRASARQLVAFNSLLQLAAVVFTGCLGLLNLGLGLWMVGISFNQDTSIYRPHWWLVILAQGFSLILTSFSFSIRPRFLGATFVRFWSLLLTICAAFICCCSVVYMVGEKEITIKACLDVLLLPGALILLLYAIRHSRDEEGYETTENALYMPLNTERDHGTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYAKPLEEKDMPLLGSTDRAQNQYLMFLEMMNRKKQLQSHATPSVFWTIVSCHKSGILISGFFALLKVVTLSSGPLLLKALINVSLGEGTFKYEGIVLAVTMFVCKFCESLAQRQWYFRTRRLGLQVLKLYAWESHFKKVIEGLREVEYKWLSAFNLRKAYNTFLFWSSPVLVSAATFLTCYLLRVPLNASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQCRKKYIAGTEYPIALNSCSFSWDENPSKHTLRNINLVVKSGEKVAICGEVGSGKSTLLASVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLPHGDSTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGKIIRSAPYQDLLEYCQEFQDLVNAHKDTIGISDLNNMPLHREKEISMEETDDIHGSRYRESVKPSPADQLIKKEEREIGDTGLKPYILYLRQNKGFLYLSLCVISHIIFISGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCSMFFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSISASLNAYSNLGVLAVITWQVLFISVPMIVLVIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEGGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPTKLMETEGSLFRELVKEYWSYASSGNV >AMDW01038950.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038950.1:194:829:-1 gene:AMDW01038950.1_FG001 transcript:AMDW01038950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDYGLRLVFTMLSLIWAKIGRAITGLGPRLDPTFNEATGDCEQSCWNSKCYSVISIYGIAGSGKTTLAQHVCSYEKMDSYFFPVMWIYVSPGFSVDKIYQKMLEAATGKPSSEFSNLDTLQMKLEAELTGKRFLLVFDDIWHEKDATAQDKLNQVLSPLKVGKKGSKVLVTTRFKDVAMSLGSQRIIPVPNFKEEDFFNLFMHYALDDA >AMDW01019067.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019067.1:15:284:-1 gene:AMDW01019067.1_FG001 transcript:AMDW01019067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KQAATLDRRIGRSFNVPPAALQTFISVTIIAFIPVYDRAFVPVARRFTRASSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAAV >AMDW01035762.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035762.1:80:590:1 gene:AMDW01035762.1_FG001 transcript:AMDW01035762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLDISSNDLTGPIPAALNKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSDKTSYVSKKRHNKTAILALAFGVFFGGITILFLLARLILFLRGKNFVTENRRCRNDGTEETLSNIKSEQTLVMLSQGKGEQTKLTFTDLLKATKNFDKENII >KN544150.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544150.1:2676:4055:-1 gene:KN544150.1_FG001 transcript:KN544150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PGQGDRPGRRGVRDGEAADGSPEEEAVAGHREQQRRRHARGGALAPKDENVGPDHIAQIVSKWTGIPVASLGEDERKKLLELPQLLHRRVIGQDEAVGVVAEAVVRSRSGLGNPNQPSGSFLFFGPTGVGKTELAKALAEQLFGNAKLLVRIDMSEYVNASSVTRLIGSAPGTNGYDKGGQLTELVRGRPYSVVLFDEVEKADAAVFNVFLQILDDGRLTDGQGRTVDFTNTIIIMTSNLGAQHLAADAAGAASHKDDATEAAAAAKQHVLADVRAYGARPIKRCLEKDVMTRISKMVVQEEVDDDCYVTVEADQGKEELVFTVDKQADAEENDAAAEAASSSSAAGKKRKRRPPARYLVVIDDDE >KN544648.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544648.1:1337:1564:-1 gene:KN544648.1_FG001 transcript:KN544648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LMDESKEDEAIGELSQAIAFRADLQLLHLRAAFFDSMGDNANTLRDCEAALCLDPTHGDTLELYRKASTKAEPQS >KN540640.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540640.1:319:6105:1 gene:KN540640.1_FG001 transcript:KN540640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TALDGVEMRGEGSVSTVRGGAVLLGWPDGLRPVGEIRRGEYDVPFDSILSVWLDFVLLVANELGKNWDCSFVLAGGRAVVCAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTALVTNFESDKPPAEFANIYKEDELIGGHVIMLGADPASQAAAMEALHAYPGGLQVGGGINLENAISYLNEGASHVIVTSYVFSEGKMNIERLKQLVDLVGKHRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRLGIDEELVELLGRYSPIPVTYAGGVSTMDDLERIKRAGNSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMVSQP >KN540640.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540640.1:23657:27273:1 gene:KN540640.1_FG002 transcript:KN540640.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRTQTSLTARENQPFVGLQIPRCVDSSEAWECPNLTRPGPASSAAKTSPSLSRARWTDGAPNLGDLRDRGRTREFNVGMTYYGDKAKPRTYAARFASPDRSELVSVVIKPSNQLKITFLEHLFCLKNNGRAQAKDITDLGTLKEASKIFVPGGAKLYSARTIKVKDEDDIRTYYFYEFGVDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSLSVL >KN540640.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540640.1:16554:22651:-1 gene:KN540640.1_FG003 transcript:KN540640.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLSLSSPLFLAAPPKVQGVISCQAALASASWNSVRLGRKSKTRETIVPDPDYRLPIVILGIAGAFAYADNLLAAAPVGLLGCLLLFQTTRVRFVFDDEALEVKVGDQLEESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGDEILDSTVSENLPVLATDEKYNEAIYTTAKRLAAAIDGLPDPGGPTFKDNKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >KN540640.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540640.1:12391:15995:1 gene:KN540640.1_FG004 transcript:KN540640.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPHAAAVLLVAAAALCLGGRAEELEASSPEFNYPAVFNFGDSNSDTGGRVAAGFESIAPPYGSTFFGSPSGRFCDGRLIIDFLMDAMDMPFLNAYLDSVGAPNLRAGVNFAQAGCSVTPATATSVSPFSFGLQIKQFFAFKDKVTKLLSKGDMYRRYIPQLDYFSQGLYTFDIGQNDLAGEFYWKTEDQVAASIPTILLEFETGLKKLYEQGARKFWIHNTGPLGCLPQNVAFFGKDRSQLDELRCVAKHNRVAKLFNLQLHALCTKLRGEFAGASITYVDIYTIKYSLIANYSRYGFENPIQACCGYGGPPLNYDSRVPCGQTASLNGNLVTAKGCKDSTEFVNWDGIHYTEAANFHIASQILTGRYSDPPFADKMPFLIKPKF >KN540640.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540640.1:32944:39617:-1 gene:KN540640.1_FG005 transcript:KN540640.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEPEPEPEQEPDHDHVPQDPAPEAEPDGAVNNPISPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGRPRGGASRCAARRDSTARLIAIKRRQTLGRVKWTRTRLAGRRVTVEKSIRSCPTLHVSMTGWTQIGFEKPEFPGFEWAGSGAVPIGSVGTESELCYTWLADIHWLVGSGANHAGGSSGPLAAPSRENSLSPHGGHWPVTDQGELQRVSKCDGKTMIILTKDLKGNTAYQRVTLSALITRSASSAPRGSRFRKVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQG >AMDW01030491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030491.1:97:363:-1 gene:AMDW01030491.1_FG001 transcript:AMDW01030491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RDERINEVQVQLAAGRALARLTTESQNNCHAIIRQQGDLQAFKSMLSGQHGTSRRVVVANILKNLCAYAKPDSDCQYSMQKFSVDNISM >AMDW01040327.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040327.1:325:1061:-1 gene:AMDW01040327.1_FG001 transcript:AMDW01040327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESLDNITLFSIITVMSFFLLAPVTLLTEGVKVTPTVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSQLKRLKPKPKTA >AMDW01040584.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040584.1:1140:1625:-1 gene:AMDW01040584.1_FG001 transcript:AMDW01040584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKQTEMLQEILRMNRMMQQQNERIKLVLRENQELREKVSSLTATSMKVKDDKMNFFLYNIVSVRYNIWDLEK >AMDW01021088.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021088.1:9:129:-1 gene:AMDW01021088.1_FG001 transcript:AMDW01021088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPEGKVSLYWGITADGSVAFSDNIDLLKGSCGKSLAPFPQ >AMDW01029359.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029359.1:36:309:-1 gene:AMDW01029359.1_FG001 transcript:AMDW01029359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NIKPNNILLDKEFNAKVADFGLSRTADKVGKARYLDPECRKTGKLKRSSDVYSFGIVLLEIACKKDENSFAKVWSRYLEKSLMQVADDRLR >KN539355.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539355.1:39260:41824:1 gene:KN539355.1_FG001 transcript:KN539355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLRLGFLLVLCILAFLCPAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTEDGVDLVVRDPNGNQIHDSRDKISDKFEFIVHRRGVHRFCFTNKSPYHETVDFDVLVGHFSYYDQHAKDEHFSALFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKAFFESAALIAASVVQVEKLRRYQIQGDDTELGHGHQSLDESEAENRVKFGHGSRETNAIVCSV >KN539355.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539355.1:87202:100086:-1 gene:KN539355.1_FG002 transcript:KN539355.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMAQKTEEAEITEQDSLLLACLEHESWDFCFTSSGALRPFKSFSVSQIGVGFWFLRVALSLECVTDGSFRVLGAAVTTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNTSGDEVAMNLSRTGSKKNSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNGAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDTEVRPSEAERYIVAPNDGTCKGQNGTISEDDTQDPAHEEELTAQVREWICSRDTESLEVSDVLVNFPDISMEMVEGKAVIHSEVTNRKLLEIKKILEVDIAEQMAIDTNAEPGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNVSMQSGQEASTVDKDPSRTPTSVREQASVCSLESGVLGQKVRKSLAGAGGTQCSQDKRFRKASTYLAFGAVIKLSLIDPVKMTAGEGADPPVRQAPEVPSSSSSSVKPADPRVSRRNSEGDSSSNAIYHLASQDHLNGVKAFLRKHGGGMDQVDSFKISEDELAKWEKCCESSSTEQETWTEGLIGPSLGPMKDIQNKSTSKHLDNFAETNIPPSSNIASNVVMPLQSPTNGAYYPNSTSCQGSSSFGSIPYSAPFETFGVPIRPCGSVALHEQQVMLGTDLFQSAGTKMKGGQFTILGNGPNSSVSCSVHVQQRNSGGNSSQGLKANVHTGAPPPWLEASERDQENESLSGYARPSSRKGKSGKLNPKRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGGVWQSGTRKESRKEFEKNHKPHEEKSNKLSLEMKPYISKRMRVGSNKDEQSDIDVEQ >KN539355.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539355.1:26163:28328:1 gene:KN539355.1_FG003 transcript:KN539355.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAAAAMAVFLAMALVLSGTEARFLSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCSFKVNSTMAEELSLEAERTTDQNGVYKLDVMILCITRVAGASSGIATMFIGLMNMWE >KN539355.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539355.1:47561:47788:-1 gene:KN539355.1_FG004 transcript:KN539355.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAASMLLGASVALMLCGSVTFMLGFFLMPWVIGLACVFLLVGFVTNLSVIWRAILWPASCSSSPKVASTCT >KN539355.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539355.1:58905:64994:-1 gene:KN539355.1_FG005 transcript:KN539355.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTRTLERYQKCSYGGPDTAIQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGTLGIKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKEKLEESNQLHGQVWEHGATLLGYERQSPHAVQQVYSRADEQLMRDCLHADMATLKADATTNKNGCDDTDQVAPLD >KN543606.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543606.1:80:319:-1 gene:KN543606.1_FG001 transcript:KN543606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHLSDLIVLPEEEEEADATGGTVPVLSRTMWLDDQNYSLSDFEFPESVNEVLSCIDFTTTDPSCLEMDNLFDLPAD >KN539355.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539355.1:24198:25574:1 gene:KN539355.1_FG006 transcript:KN539355.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTNSSPLLTINAFGCVVEAAYIAVYLVYAPRPARLRALASFLLLNVAAFSLVVVVTVAAVAQPHRARVLGSICLAFSMAVFVAPMSVIMVVIKTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCVQMALYFIYRKPNTAAGGVMILPTTAAAAPWGLQSNGETAHLNFAAQSAQAY >KN539355.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539355.1:107237:108963:-1 gene:KN539355.1_FG007 transcript:KN539355.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLCPYVFGLHLCCGGGSEANPATARIPARAHSVTTVQILKAQKEQDVRCLTFQRNVNLNMDTGSDRDYYRVERSDILLALFEQKITIGWKGRRSYSLWGQSKAQGSELPNRTVWITHLVGESMDLIAEGDEDAHGRALDLPGTVDDGGVAPAKQRHGLRVADVGAETREDDLGDAVHVDVEGGEVVEPVAVADEPTT >KN539355.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539355.1:54450:56639:-1 gene:KN539355.1_FG008 transcript:KN539355.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWRLAVLACLCAAAAAAARPPPPHHTWNITYQYKSPDCFRKLAVTINGESPGPTIRATQGDTLVVTVHNMLDTENTAIHWHGIRQIGSPWADGTAGVTQCPILPGETFTYRFVVDRPGTYMYHAHYGMQRVAGLDGMLVVSVPDGVAEPFAYDGEHTVLLMDWWHQSVYEQAVGLASVPMSKIDNHTKWAINGVSLSFPATPYLVAMKHGLRGEFDQRPPPDSYDHRSLNLSSPPASLAVRHAAYRLALGSVVDVVLQNTMIESNGRSETHPWHLHGHDFWVLGYGEGKFVPEVDGPGLNAARGAVMKNTVALHPMGWTAVRFRASNPGVWLFHCHLEAHVYMGMGVVFEEGVDVLPRLPASIMGCGRTKGHHY >KN539355.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539355.1:33212:34162:1 gene:KN539355.1_FG009 transcript:KN539355.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPNKIKMFVWRLAHNSLPVRCNIRRRGMEVDTLCPMCSRLDEDCGHLFLKCKGVKECWRSLNLEEVRLRLVQCQSGEETVKEILSMTAKDQLKAVVLLWKWWYARNKANMGDKKLTSLEVCDAVLYHIMDFEKLHQGRTPCMKADGRWKPPPADIYKINSDASFDASTKTGGWGFLARGSNGEFLEGGYGYILRASSPLQAEATAALQSLERVAQLGMTRIILETDAVELQRALTSTDFDRHQDGCLFRQIKAFVSSHFASCLIRHCPRSCNKVADCLAKYGASSVNSGMTMYVSQVPEFVSHLVSGDLPGALG >KN539355.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539355.1:16927:20701:1 gene:KN539355.1_FG010 transcript:KN539355.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHVQMPLKRVQHLARADQSLNLQQLQQWACVFGKLSNAKRAHAELHSPALAAMQCRSSVAFGAPMKILWHRQCQAFK >KN539355.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539355.1:75940:78620:1 gene:KN539355.1_FG011 transcript:KN539355.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKVTSAEIGKEEVIIQVAKIGLPAALLAEAHLAAGDDDDRRLGVRLAHLVPPVVVAVLAQRRQVQTAELCSVCIGRPFSSMTNSLESRLPQLNKCLHCIAAIHLIKSLTGILKEQTMNGGGMGPPSTIGPCSSFNTNMEPLCRNNWGGVGLVEGRPWPLGGQVQLLFLASY >KN539355.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539355.1:113069:115395:1 gene:KN539355.1_FG012 transcript:KN539355.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPTPKSASTCPGPSGSDSGPVICSAPIKYQLANYSSDYGKSGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAYPFVEWGMKWSPPMRTAAGTVTFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKIVWGKFYSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANY >KN543606.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543606.1:4921:5226:1 gene:KN543606.1_FG002 transcript:KN543606.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLSVVGFFASKRWVQVCRRRSGRRGGTEAELAAGATTSAGVRQRPVPNALPRTTAFAYECPLESGDRGSCVVCAACLEDVRSSEMVQRQRGHSWDHA >KN539355.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539355.1:35577:37576:1 gene:KN539355.1_FG013 transcript:KN539355.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >AMDW01040699.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040699.1:618:1632:1 gene:AMDW01040699.1_FG001 transcript:AMDW01040699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKGQFAGRADFGDGSCSSAPCGSTANGEDDHIRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMTVQHPADLSKTGDTDDSKANLCAEHNQTTMKTDTEMVPEQEQKADVLPPTKEEDSMATS >KN541679.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541679.1:4521:8653:-1 gene:KN541679.1_FG001 transcript:KN541679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKLGFQRYFLQALRNVGTLVRTASQLLDDCPADGGFDNISSDWLDRVKQIISDFKSSGIAKEIPDEWTGVGENIRLFSDNNRCKPEGYIPVDPAKANWLEHVHRARLTKFKAPRIGEKSKEFLDRAEVLQREHDGNFKESYKSHTFYKKQPRPDLQDQTEEMYTLVRTASQLLDQCPKDRGFDETSFDWLQRVMQLIDKFEASNIVVEIPAPWSSARENIRQFWANGRYKPEAYTAAPSAATSQQLVRRNGVPDYEIKEKSNKLASEAKTLVKEYEEKLKKDFDGNTLYKNKPCPELPAQTKMTTLVSTASELLDDCPLGSGFDVQPFDWLHQVMNLIKDFESSEIATNIPDAWSEVRENINQFSRNSMYKPEEYARVEHVQIYQALLRNPIATDKGIEEKLERLSSQAEGLIKVYDGELKEKHREKTLFKKRPRCQLPDGNNGIQFMDFDGRKETMKKVLRSINGIKPQITLIYGPGGIGKTTILAEVAKKAQQHNMFGRVVYAEVKRRPNVCAIQYTIASQLGMSLQLEGQIGRSSELQNNIKQNKKVLVILDDVWGDDEIMTRIGIPLIESVKVLVGTRRRDLAWRGANKIPIDLLSPEDSWKLLEQEAQVDGYNIKIIAEQVQAECCGLPLALAVIGKALSQKDVGEWKRAVHYLKNSDPTGLEDVEHKLYKIISFSFDNLPNEITRNVFLSCCLFPESEKVHEVDLQRHLDEDKDMKILDAEVSGDLGTRVVESVDTLEHYGLLQKCSTPRGIVKMHDVVRDVAVFIAREKNYASIICGAARPKNLFNKKNTENCTRMSLSNINEIDDTSKCPELQTLLIRGNTFLPDLFFSPGHFLPDLFSTKSKHSLAVLDLSYSEISTLPTSLGNLTNVRTLLLNGCKNLSDVAVIVKLTGLQVLSLRESVVKSLPKKMKNLLNLIVLDWENSTASPREGTDPEVTQEHIKGICKLKELSMKSKSITDGAFLEITKLKHLRAVKLYVTKKAISSAGIFAGENPCTWNKFTIYNHFDSLMLSETKNFNLSIKGLEEVTHGFRVLLRKAEEVVIDDCFQNATRFEQSTNGGTETGLVLTEATGEGTLKNTSILRVTDCANISYLTSEDGVALTELEEMELKGLKILIGIFKPQECTATGIFLGKVRKITVTRCDEIKCVIPMDVLQSVQNTLEVISVIDSKKVECIFQLEANCSAFFSKLTSIVLYNLEMLNCIWQGTPPVEIFKCLKELSVKKCNTLTSLFTVEVAEKFKKLQKLTVEDNSGLTEIISTDGADAELSKQAFPSVTHLSLRSLPNLKHFNSSDINFEWPALIKLKLGACPTLTGLPIGPGSAPRMNIIDLDSSGDLEWYKKLKNQERLKDFETW >KN544399.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544399.1:1965:3171:-1 gene:KN544399.1_FG001 transcript:KN544399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CDNTMIHNVSIYGDFDTPNNDGIDIEDSNNTAITHCHIDTGDDAICPKSTTGPVYNLTATNCWIRTKSCAIKFGSASFFDFKKLVFDNITIVDSHRGLGMQIRDGGNVSDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIQFINISSVSENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYSGGLYDYRPGCQKMVKHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWDVDPLLFQPSTVNKLSFHDWQSLDVSSQ >AMDW01028722.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028722.1:14:391:-1 gene:AMDW01028722.1_FG001 transcript:AMDW01028722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLGVGAFTLDWATVVSFLGSPLVYPFFAIVNVWVGFVLLVYVMLPIAYWVLNLYQASTFPFFSASLFDHTGQEYRISEIVNDRFELDTDAYARQGKIHLSLFFATSYGLGFATIAATLSHVTLFYG >KN540324.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540324.1:5764:8952:-1 gene:KN540324.1_FG001 transcript:KN540324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYHPDKNGDDPVASDMFQEVTFSYNILSDPDKRRQYDTSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKMGLVCRVKSTDRSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFRSVNYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTEMTSRYTQEMQAIDNLLKERNEIHASYTNNSPLKRSSSRSKAKSPSKFSKGEEDNNQRKEKKVKDQPTGGCRSADEDSNEKKTKERFPRKKWLNIPFKIDRRKPC >KN541121.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541121.1:9849:15669:-1 gene:KN541121.1_FG001 transcript:KN541121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLELLLQSIQELIEDQGENNPFGAANHDELIASLLHNNQENPLTDVSVEDVRGGKDMQGIPWEKIVFRRDQYREMKMKNYRNYQNLSYAREEALKDCKKVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHNQSVTHWSSLDQTSTELINAYDCIIPKQRGHGSQSVAMVQVTTMAVDDSLLVIGGFQGELICKRLEDDGVLFSTRVTDDENAITNSLEIYQDPTGSRRLVAANNDCSVRIFDIEYFDLLKHYVFPWSVNILVFNQSVSVSPKGGLFAVLGDHEDGLVVDPKCGKAIGALKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSESVAVLGGRMGSIRCIKFSSDGRFLATAEPVDFVHIYDSYADYGRSHEIDLFGEIAGLSFSPDAEAFYVGIADPTYGGLIEFNRRHQHHYLNCMW >KN541121.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541121.1:24337:24552:1 gene:KN541121.1_FG002 transcript:KN541121.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDERMAPVWLDNVFHGTVWCVEYVADDRSVLLLTVTKGEKKGVPEVDVVDYKVWVFGCVGHYLDPESNTVE >KN540324.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540324.1:32457:41298:-1 gene:KN540324.1_FG002 transcript:KN540324.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTPHTMEHKLLKAVATGDADLLAQALGIWSTATAEQGDDDQSCCLKGVTAEGSSVLHIAASRGHLELVVMICTHDISLIKSRNNQLDTPLICAARAGHVDVVDYLVRVASAMQEPERSVLRANSGGVTAMHEAVRNGYAPVLQKLMSSDSGLATMVDDKSVSPLYLAVVSNRPDMVGILIRQSSDGVRSPASYAGPDGKTALHAAVYVGKEMSESLRRWEPTLAEKVDIYGRTALHYAVLTGETGLVKLLLDNSSAAYIPDNDGLFPVHVAAIAGKASLTRMLMEVCLNCDELLDNKQRNVLHCAVEYGRFMVVWHICRNPKFTRLLNAGDCEGNTPLHLAVKHGDVMIISCLMMNTRVNLSIINHGGLTPLDVAFNETTRDYSLSWPTSTSITICLLACNAYTSAFPNRADKRFLEYQEESSVYTNVSQSILCISVLIAAGSFAAAFTPPGGYIAEGKDAGMPLLKGYTGFSYYVASNSVSFYCSTFATCLLVHASLTNRHRRRRYLSLSAGLVFLAITGTVITFMIVAIGLTLDSDNSWGDYIFSIIVFELIYRLMFGRLLFMGSVLAVPICLRLPMQLRRSKRLHLWQDILKLIAAASFLVYVSICYLKIVFSFLEAVLPFFFVEIENVTPSSIEFCSWRGTISSDLSGAPFGYYFLFCNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLIDPQSSEADLAKEALFTKHPEMEGWPKNHHFQIFKLEIKNIFLIDWFGGPKPISPTEYLEYEKNRALLKSS >KN540324.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540324.1:30635:32124:1 gene:KN540324.1_FG003 transcript:KN540324.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIVPSGETFERGCVEACVALGFTPAALPPSVDLAASPPPALIPNANLRKAISSYCDRVGLPRPLAVSPEEARGIVRRLMVVREPGRAGGVNGERFESSSTSPEFAALGLTLEEAVLCKEIRLFGDSLVDSISSC >KN538873.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538873.1:179886:181653:1 gene:KN538873.1_FG041 transcript:KN538873.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >AMDW01040896.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040896.1:117:2579:-1 gene:AMDW01040896.1_FG001 transcript:AMDW01040896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNVVAFFRGRSILITGSTGFLGKVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDITSELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLHLIMDV >KN538873.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538873.1:167550:168916:-1 gene:KN538873.1_FG042 transcript:KN538873.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWASLQDKLQGRQWKEKQVRKITDKVFDRLTEDTKKREQEALTFEEVYIAVLCVYNDINKYLPGPHYDPPSKEKLKAMMNEYDINLDGLLDREEFAEFIRKLTAESLCAISLKLLVTLVAAPALALATKRATEGVPGVGRVVRKVPNSIYASVITLGVVMAQKSTEGVE >AMDW01017024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017024.1:100:246:1 gene:AMDW01017024.1_FG001 transcript:AMDW01017024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVNAVLDLVVPPASMVMLAFAWPTLSFLRGVEWAVKTLTVENMHNK >KN538873.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538873.1:210241:211317:-1 gene:KN538873.1_FG044 transcript:KN538873.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding TRNVDSKQFLGELPPFVSTILDKIMSFPGANKCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSMVSGTDKDSIEEPQCIRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNTRRVSFTFRKVRMGLCNCEYGQFCDSQSK >KN538873.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538873.1:106641:108448:1 gene:KN538873.1_FG045 transcript:KN538873.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding LALDLIGEEQPGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTELRADAASIQAKPAKQRRYIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGNSVYLWDATNSSTSELVTVDEDNGPVTSVSWAPDGRHIAIGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYQGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLVWNKNERELLSSHGFAQNQLTLWKYPSMVKMAELTGHTSRVLFTAQSPDGLTVASAAADETLRFWNVFGAPEAPKTATKGSHTGMFNNSNHIHIR >KN538873.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538873.1:206987:209011:1 gene:KN538873.1_FG046 transcript:KN538873.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g22150, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/Swiss-Prot;Acc:Q9LIE7] MLRRARALPDTAVLRNSLLNLYASCARYREARVDVVRRLFDAMPKRNVVSWNTLFGWYVKTGRPQEALELFVRMLEDGFKPTPVSFVNIFPAAVADDPSWPFQLYGLLVKYGVEYINDLFVVSSAIDMFSEFGDVQSARRVFDRAAKKNTEVWNTMITGYVQNGQFSEAIDLFSKILGSREVPLDVVTFLSALTAASQSQDVSLGQQLHGYLIKGMHRTLPVILGNALVVMYSRCGNVQTAFDLFDRLPEKDIVTWNTMVTAFVQNDFDLEGLLLVYEMQKSGFAADSVTLTAVLSASSNTGDLQIGKQAHGYLIRHGIEGEDLESYLIDMYAKSGRVEMAQRVFDSFKNAKRDEVTWNAMIAGYTQSGQPEKAVLVFRAMLEAGLEPTSVTLASVLPACDPVGGGVYSGKQIHCFAVRRCLDTNVFVGTALIDMYSKCGEITTAENVFGGMTGKSTVTYTTMISGLGQHGFGKKALALFNSMQEKGLKPDAVTFLSAISACNYSGLVDEGLALYRSMDSFGISATPQHHCCVADLLAKAGRVEESYEFIEGLGEEGNFVAIWGSLLASCKAQGKQDLAKLVTKKLLDIEKQYGHAGYSVLLSQVLAAESNWNSADSLRKEMRARGLKKEAGSSWIKVQNAAFDHKFIEKDHNYVENEHMFSILDGDADSTDRL >KN538873.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538873.1:185377:190500:-1 gene:KN538873.1_FG047 transcript:KN538873.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPSALLCSDGKETSDPENGRQCPFFDELHAVFTERARTMQQQLLESESGPSVKKKLKRPSGDLSSEDSDDEEDGGGDSGDEKPIRSRKRKTADKRQQSQRMAEKSRTSISSIHELLQDFLVQQQRMDIQWHEMMERRSQERIVFEQEWRQSMQKLEQERLMLEHTWMEREEQRRMREEARAEKRDALLTTLLNKDFVEAQGKRLKFSPEFRTNLDVLYSEMSQCLDKGQLQQKSAMAADVVSIGDSWLGYAIRKGLVEPVKNAEEHDWFQSLSNRWKIHLCRNRNGEIDPNGSIWAVPYRWGTVVIAYKKNKFKRHNLKPIQDWGDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTNDMESEITGGRETVLESLTQLQKQVQLFDSTNYLKSFGVGDVWVAVGWSSDVIPAAKRMSDVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLIHQWFDFCLQIARSLPFRQDVIPGALPLFLENPVPEVPQERNKRKPKLETNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLITRMQRPNRGLFGNLLQNISSVLNFKSRV >KN538873.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538873.1:145592:155104:-1 gene:KN538873.1_FG048 transcript:KN538873.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSSIFPFCRSSPLSAPPPFTAAQYEELEQQALIYKYLVAGVPVPADLLLPIRRGLDSLASRFYHHPVLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVAPHSQAPATAPAAAVTSTAFQNHSLYPAIANGGGANGGGGGGGGGGNAPGSFALGSNTQLHMDNAASYSTVAGAGNKDFRYSAYGVRPLADEHSPLITGAMDTSIDNSWRLLPSQTSTFSVSSYPMLGNLSELDQNTICSLPKAEREPLSFFGSDYVTVDSGKQENQTLRPFFDEWPKARDSWPDLADDNSLATFSATQLSISIPMATSDFSTTSSRSPNGIYSRWRVVLRAFLPTTGSEEGKLQEKGMLRFAAPAQIVTLHPFEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERALLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKTLERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKSLDAEVQALEELSKQLFLEIYELRQAKIAAAFSRTWRGHAQNLLGYALSVYCVYKMLKSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLIFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQADKHPID >KN538873.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538873.1:174948:176220:1 gene:KN538873.1_FG050 transcript:KN538873.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSRPPRRALNWINSGSTYSSSSCPPEPTSSTSGSTSSWVPPRDNAPSWAPPPPPPRGNPPSWVPPPPPPRGNAPSWVPPPPQPRGIAPPEYGFQVSGASRVSRHLRRQERLERRVERMRRFKEKLGTVFHHHFGPSGSNEGAPPLFSRDVHGNGYHRPSPWKVLGGVLHRTTRRGEKNNEGAPPLFSRDVHGNGYHRPSPWKVLGGVLHRATRRGEKKTRSVPADQRGSVGGGGGVGHALLHMWDRRRAMAKQRGGVGRALFQMWGKGRAAAKRRGGGVGRALFQMWGKRRATAKRRGGGGVAHALFHILLL >KN538873.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538873.1:182644:184924:1 gene:KN538873.1_FG051 transcript:KN538873.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 29 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09010) UniProtKB/Swiss-Prot;Acc:P82281] MAGASFLSTVRQPPAPPPPLLAPRGSASSVSSPARRHAHIQVCCHATAQEEPVRFRRRDLIGGCLTTAIGLEIVEGSTGFTGVATAADLIERRQRSEFQSSIKSTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSIRLRPENSGLSAAVDLLVEAKKEIDSYSKGGPIAFADLIQFAAQSALKLTFVDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDSQEPDPEGRVPDWSKASVQEMKDKFVAVGLGPRQLAVMSVFLGPDQAATEELLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKVDLGKLKL >KN538873.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538873.1:194951:198239:-1 gene:KN538873.1_FG052 transcript:KN538873.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDQDGAVALVASATGPEEGQVARQLMVNDRRARRMIGMEPLLTYLRRQALREGERAPASYRSALLLHRRRRYALPPVAATATSKPVLKDPKKYQEWDSLTAKFAGAANVPFLLLQLPQIILNSRNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYVVIAQLAMAESMPLPQFVATSAVVAAGLLLNFLNYFGWLQGTLWLLWEDFITIGGLAVLPQVMWSTFVPFIPNSLLPGIISGSLAATAVVMARMGKLSKGGINFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFTMLLAMIGNGLMIPRAVFIRDLMWYAVYLQPWILLF >KN538873.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538873.1:201612:204812:1 gene:KN538873.1_FG053 transcript:KN538873.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGEWLSLAAMVLVLGLVVAPSAKAGDVDMVFLKSAVSTGAVCLDGSPPVYHFSPGSGSGANNWLVHMEGGGWCRTAQECSVRQGNFRGSSKFMRPLSFSGIIGGNQRNNPDFYNWNRIKVRYCDGSSFTGDVETVETSTNLHFRGARVWNAIIEELLAKGMSKAQNALLSGCSAGGLAAILHCDQFRDLLPATAKVKCFSDAGFFVDGKDITGNDFVRTFYKDVVNLHGSAKNLPSSCTSKMSPDLCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKTWAKCKLDITACSSSQLTTLQNFRTDFLAALPKPEQSPANLSIFIDSCYAHCQSGSQDTWLAQGSPVVEKTQIGKAVGDWFHDREVSRRIDCPYPCNPTCKNRDDD >KN538873.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538873.1:171170:172050:-1 gene:KN538873.1_FG054 transcript:KN538873.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTIESIRKIGKCAIKRNIPEIEFDADGSIFFFSGIIADCCRGKERSAFPASDSGASIQNQKHISSHKYAILDVDSTLRVLEFISDEERRMMAQFFCRMWDRAQGKLYVVRICDKVFTELSDKNTQLLDISSLHVATLMVYK >KN538873.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538873.1:176706:177562:-1 gene:KN538873.1_FG056 transcript:KN538873.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRHVTTVKN >KN544005.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544005.1:1794:4396:-1 gene:KN544005.1_FG001 transcript:KN544005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APTWTDIDHHADVDQCANRSAELVLGTEVPPLMVIFRTLPSSAKPATKQKRVDMASAILLSIAIMAQLSSISAQPAPGCQSHCGDMKIPYPFGIGTECAIEPGFVIYCNKTDDGSMKPFLINVESNPCSYAALVETDTFRFKTEYVTTMKFNETYNGQQPVVLDWAIGKVGCKEANMTSYACRSKHSECVDSINGPGYLCNCTLGYHGNPYITDGCIDVNECEQNESPCPKDAACRNTEGWYRCSCPVGRKLAKETNTCNLDISLIIGKELKVRSLIYNWMCNMAC >AMDW01141552.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141552.1:118:2218:1 gene:AMDW01141552.1_FG001 transcript:AMDW01141552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CLISKRFLLVLDNVWNDKDMNEWEKLLAPLRIGGTGSIILLTTRMKSVGDMAGYALGLKVQHLKLVGLLEKDILMLFNKHAYSGVNLDCCKNLHSLGEQIVKKISGCPLAAKVIGAHLRDNMNYMYWKKILQEDLQNLQLGMDGIIKVLRLSYHHLPANLQMCFRYCSIFPQGYKFGKKELIEMWLSSGMISQTEDETKALEDIGGQCLDQLARKSFFEFTSEERDGVVLEEYYAMHDVLHDLAQVVSSGECLRIGGIRSMNIAKTVRHLSVKIVDSVHLKELCRLNNLRSLVIEFVGDDPCMKYSVAFDETLKSFKSLRLLCVTAKCWFEMPDAVSKLIHLRHISLFSTKRSFLVSVHKLFTLYHLETLRIMEYSEGEMLNLNGMSNLVCLRNLLVPYDTISSIPRIGKLTCLEYLNAFSVQKKVGHTVCELKSLTQLRHLRLRDIHNVDRKEVLDASLKEKKQMRTLCLHWSSDEVVSESIDDQVLDDFQPHSGLEELNIIGFSGTKLPFWITNSYLENIVSLKIINCGKIDCVPSLASLCSLNSLSLQHLPLLTSMGNLLLGCDEIPICCSHSLISSPKIPTGISEGTDDTDSDSIFFPPHLYTLIIRRCPKLMELPTLPQRLKHLKTSVSYNRIFVKYLLESSTSINVKN >KN541073.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541073.1:10190:10931:1 gene:KN541073.1_FG001 transcript:KN541073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGHAWHFKNYDKRPQFAKEKMARDARQGLWAYDNPEKPWEWRKNKRKASEHHNSGVR >KN541073.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541073.1:18362:18706:-1 gene:KN541073.1_FG002 transcript:KN541073.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRAELLEDFVGGGIRNGATGTSCSGSSTEVDIARQALLTVRLVPVGVQAPWRCACWWCLTSPRRVVPPRLVDGKPRLPQTLLFVVLHLASQIAKGVLGFQGEEYTRRHIPAA >AMDW01112637.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01112637.1:155:394:1 gene:AMDW01112637.1_FG001 transcript:AMDW01112637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFVRDPPVPYSLPLSSPLHRIPVCL >KN539371.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539371.1:76294:77001:-1 gene:KN539371.1_FG001 transcript:KN539371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGMWTSAFVLRVRFVLNLKSLPYEFVEEDLGDKSDLLLASNPVNKTVPVLLHAGRPVNESQVILQYIDEAWPDRAPAVLPSDPYERAVARFWAAYVDDKVRLAWLGILFRSETEEERAAAVAQADAALEALEGALRECSGGKPFFGGDGVGLVDVVLGGYLGWFTAIEKLIGRRMIDPARTPALAAWEDRFRATDAARGVLPDDADKMLEFRQTALALGASKKITL >KN539371.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539371.1:47735:49493:-1 gene:KN539371.1_FG002 transcript:KN539371.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQEEHCRIGYEEQSEWYFFSYKDRKYPTGTRTNRATMTGFWKATGRDKAINEILVSYEEEGWVVCRAFKKRTMQPPRSSIGAWEPSYSYHDPAVFVGGGEHFKQEAAAELDGVAAAAGANAFLRYSTRLAELPQLESPPLPSQGSQAASAVVDGEEDNADSSRRPGGGGGAAVTTDWRAFDKFVASQLSPEEQHTCRATDDDDMAALLLLDGGGQEDDAGRWLGSAGLLSAVAADATTDCGLGTSCVPGDIN >KN539371.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539371.1:7364:10944:-1 gene:KN539371.1_FG003 transcript:KN539371.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLGLLRRTHLLSRHASSSSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLAIRSLAFSMSVLYFDPLHEANRKTKRPSIVFPSAARRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQPIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKALAILQSFFYDGVVPNNALSDDDEEITEAGCEDDQLAKQAKEQVCDGGQQTDESQLTLECDKRRAISHSEEPQASGQSQNRENVVPRSEGRRSRSGKKGKKRPARRKSQQKRDELLSTLEGGSNYSSRMDDDTVTSGKDQVLSSSSRFASPEDWLSIKPLERLKDGFVVALRTRDNSGFHVARERVAGGGWYLDVVSKATKRDPAAQFLITFRNKDTMGLRSFVAGGKLLQVNKTMELVFASYSFDVWESWTLEGSLLDCCKLVNRKIPSVVLEVYIEILAAVSEEDGVTRWLD >KN539371.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539371.1:111127:111951:-1 gene:KN539371.1_FG004 transcript:KN539371.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDELKLLGFWASPYVCRDVFTNKSELLLSSNPVHAKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWAAYIDDKLLPPWVHAYRGKTDEEKAERMKQTLAVVDALETAMEECSKGNAFFGGDTVGYVDVALGGFLSWLHGTEELCGAKILDAAKTPLLSAWARRFGELDAANAALPDVGRLVEFCKMRRVELESAEAAAARN >KN539371.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539371.1:3495:6973:1 gene:KN539371.1_FG005 transcript:KN539371.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTESRSIFSGRWGQAEKDFKVEVATIGRVRHKNLVSLLGYCSEGACRLLVYEYMENSNLDKWLHHGDDEISPLTWDMRMHILLGTARGLAYLHEGLEPKIVHRDVKSSNILLDRHWNARVSDFGLAKLLCSERLAYLHEGLEPKIVHRDVKSSNILLDRHWNARVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLIMEIISGRTPVDYTRPAPEVNLVEWLKRMVAERRVEEVVDPRLPETPPPKVLKRAVLAALRCVDPDGGQRPTMGHVVHMLEDDLKFRDELQLARDLSPHASDSYEYELRYTAVMVASFSFVYVINLTKKSRKEKQRMRRQEKEQEQRQMVLEALAVKNGGEVDEDDDELPQPVFDRILRRIMFMVGVPMASGVGLLNLYGALERGRGVAVPSWLPLLTILVAFGTSALGIAFGTLSASWDPEKEGSLLGLEEARANWPVLWEEEIEKAKKKK >KN543121.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543121.1:709:7935:-1 gene:KN543121.1_FG001 transcript:KN543121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDRMTGWREEEAKEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVVRYADGVFDPHFCRYVTIMEGSSNLNSDHRKDSSNPVTTIAAVTISDGDVNEPTVLVSGNDFYAFPLIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGFFHVFPYFSVGLLQNGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGYGRKFRERLLGQWGVVDVNDCCSCATFLIADLASLRAGMHKFEAYYIDNLVGNRQAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSL >KN539371.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539371.1:108624:109420:-1 gene:KN539371.1_FG006 transcript:KN539371.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLATWFSPFASRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPVIKKVPVLLHNGKPLCESMVIVEYLDETFAAVGPSVVPADPYERAVARFWVSYIDNKLVAPWFQVFRGKTKEEKAGGLKQMFEATAVMEVAFRDCSKGKPFFGGDAVGVVDVALGSQLGWLRASETLSGIKLFDPAKTPLLLAWAERFLALDAAKASMPESGRLLAYAKMRQAETDAANASK >KN539371.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539371.1:101624:102461:1 gene:KN539371.1_FG007 transcript:KN539371.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGLVMSPFAIRVCVALKLKGVSYEYIEEDLANKSELLLSSNPVHKKIPVLIHGGKPVSESLVIVQYVDEAWASPTSPSILPADPYDRAVARFWAAYVDDKMVPGMVGVLRAATEEERAAKADETLAAMAQLEKAFAEVAAKNGKPFFGGDTVGYVDLALGCNLHFLEAVRRLHGVALVDAGKTPLLAAWAERFVEAEAAKGVVPDADDAVEFARKIQARVAAAAASTAAK >KN539371.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539371.1:37136:44802:-1 gene:KN539371.1_FG008 transcript:KN539371.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MPDIRSAPGRGKSDEDERRPIGSLFKLKRKRKAPGSAEAKGDSNPSVESEAPDGVVPGEMDDTLAIIKRKLRKPKKGKEGGDAVVVGSGAEGELLVEEEDVQGGVNVGDGVAEDKSNLDGVKVEVDEVIGGELKDSGGLGLEDSLSTLFKRSGRKSRQVSVKEEEGVEVAGSHGEEILEKGSGLVSDRVAKGTKRRRRRTKEEMKNAAAKSESATANEGSPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKPKASDDVLCHRSLGETIEQDAETRTVLDDGSRNSSDGVSHRIEVSACLSNQPCLKPCSGELAEEVSLSAANAATDGVSNEHTYSETLLKERNDDAGCSHGKPPTLAIKSIPCKKPTEMPKKPVRQKDQLLSTDVDNKCVVGSGDTKDVNIENQPAFGIPESHVTGKGLHPHKMATSVKELDVVDVVAPTDFEDMENASKSKRVTRSSRKRKHGDMAYEGDVDWETLMQEQGLFSNLSAALVDHPLKSKDKIKISEVLDNGDDSGVAAVRAGLKAKAVTPIEKIKFKDILKRRGGLQEYLECRNMILSRWCKDVKHILDLAECGVSDVCLDDESPRQTLIRDVYLFLDQNGYINAGIASDKVKTNHESPPEDVEVSKLNESHERKSVSIQDCIVTEAVQDKKAVVKQTDCVLTEASNEESSSAAIHCDTQDLLPPLKSEELIFKEKNQGVLTEVEGGSLHQAEAADIEHSENKHEASDRVESGGYGKKIIIVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDTDLESEYNGLLDEMAQLFAQNGESAVGLSLEDGLEYALRKNRVTQSEQDDQLRNVSSAGAVDISESASTEKEIAHCGKEDKTDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLESLAKGLDVQLNHVVTEVLYGSEELGASGNSRKFVKISTSNGNEFVGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSIDRLGFGLLNKIVLEFPEVFWDDNVDYFGATAEQTDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVKNAIVVLRKLFKDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVSDCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLDACELSTALCKTSSDASYPLFSKETLLQEMFFSAKTTSGRLHLAKELLKLPPDVLKSFAGSKEGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKASNGGLKLLRRMPSTESTIKKPENKAAKLEAMTATRSDGSSLRSQKQHHALEPKVDNGLVMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINAPRELPKIPSFHTFAMRDHYLDESDTRKKVLSDNLVRLECISEIDSRNDKAKNPSVDHANCADVDSSKMTGDNCTQRSYSNENACLINIRDHSTDSGAVDSRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESKPASDGQSRGVEHLRQGLINFISTVLMPLYRNKKVDREGYKGIMRKAVTKIIETCTEGEKMMTVHEFLDSKRKNKIQTFVDKLVERHCHMNRPPNSWLGCQIELYGLTEVPYEDMGFSMVSFAMDTHIPYRTLV >KN539371.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539371.1:14030:14296:-1 gene:KN539371.1_FG009 transcript:KN539371.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMIVEKEIVGGAELRELLHRFLSLNSPHHHHVILRAFAEIWEEVFAGYERTPDFLVSSRHRRPTKKKLPASYAAADDDDDDSWNAA >KN539371.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539371.1:67310:71791:1 gene:KN539371.1_FG010 transcript:KN539371.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDSYPQGPRLRLHGEGLEGVLSPSLARLPALESVSLFGNRLSGVIPASFVGLAATLHKLNLSGNALSGEIPAFLGTFPVLRLLDLSYNAFSGEIPATLFGECPRLRYVSLAHNALTGRVPPGIGNCVRLAGFDFSYNNLYGELPDKLCAPPEMSYISVRSNSLSGAIDGKLDGCRSLDLFDVGSNNFSGAAPFGLLALVNITYFNVSSNNFAGEIPSIPTCGDRFAYLDASRNKLTGSVPETMANCRNLMVLNLRANGQGLAGGIPAALSQLKNLNFLDLSENALTGVIPPELGDLSNLAHFNVSFNNLTGSIPSSPLLQQFGPTAFMGNPFLCGPPLDHACPGRNARRLGVPVIVAIVIAAAILVGICVISAMNIEAYKNKRRREQQQHDDEEEILVSDSAAIVSPGSTAITGKLVLFRKNSSASRYEDWEAGTKAVLDRNCLVGVGSVGAVYRASFESGASIAVKKLETLGRIASQEEFEREMGRLRGLTHPNLVTFHGYYWSPSTQLLLSEFVDNGSTLNILLDNEHEAKLSDFGLAKLLPEPSNLPGYVAPELAASSSMSSRHGGDKCDVFSFGVVLLEMVTGRKPVSSRHGRQGTVLVVVLRDYVREMVESGTVSGCFDLSMRRFVEAELVQVLKLGLVCTSESPSRRPSMAEVVQFLESIRGSS >KN539371.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539371.1:95209:96001:1 gene:KN539371.1_FG011 transcript:KN539371.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGVVVSPFAIRVRIALNIKGVSYEYVEEDIFNKSELLLTSNPVHKKVPVLIHGGKPISESLVIVQYVDEVWAAAPSVLPADPYDRAVARFWAAYVDNNMFPGMAGVLFAATEEERAAKAEETLAALAQLEKAFAECAGGKAFFGGDTIGYVDLALGSNLHWFEALRRLFGVALLDAGKTPLLAAWAARVVEAEAAKGVVPDAGVAVEIGKKLQARAAAASTAA >KN539371.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539371.1:102715:105336:-1 gene:KN539371.1_FG012 transcript:KN539371.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDNHELKLLGTWPSPFVVRVRLALGLKGLSYEYVEEDLSNKSELLVVSNPVHKKIPVLIHNGKPVCESSIIVQYIDEAFPGAGASLLPSDPHERAVARFWAAYIDDECHVASLFPNPHA >KN539371.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539371.1:105937:106742:-1 gene:KN539371.1_FG013 transcript:KN539371.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGTWFSPFVSRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPAIKKVPVLFHNGKPLCESMIIVEYIDETFAGVGPSVVPIDAYERAVARFWVSYIDNKLVAPWFQVFRSKSMEEKAEGLKQIFVAVMVLEEAFKECSKGRPFFGGDNAGIVDIALGSQLGWVRASQALSGIKLFDPAKTPLLEAWAERFLALDAAKASMPEFGRLIEYAKMRQAESDAANAAAN >KN539371.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539371.1:62263:64262:1 gene:KN539371.1_FG014 transcript:KN539371.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSFAANGEPAKFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGMATQNNELKFRLQSMEQQAQLRDALNEALTAEVQRLKLAANEVGDTSSSSNLAHQIQLRCQNQMLDLHKQQQQQVEQIPFYQLEQPEQQNGTARNHESK >KN543121.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543121.1:9313:10100:-1 gene:KN543121.1_FG002 transcript:KN543121.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VSSLTGRLPSQAPTRRSRRTALGWCTPSRDGFGQTRSRRSSTISGSPRGTQSRPAIESAHVQPNGFLTRCRFTVEVIDAVVGEIAAHRVGIRLSPFLDYMDCVDSDPEALGSYMVEQLNKHEGFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGYDQEEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDHVVGYTDYPFLDEHHHDDDDDSNAPSA >KN539371.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539371.1:22902:23819:1 gene:KN539371.1_FG015 transcript:KN539371.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLNVHDKAEKQKAMKAVSTLVGIDELSMDMASQKMTVIGMVDPVNVVSKLRKSWAATIESVGPAKEPEKKEEKKDGGGDAKKDGGGDGKKEGEAGDKKDGDAAKKDGEAKKEDGDKKPAPPTEQQLFAELMNQYYHRPAAYGYNPYMSVPPHYVVQSMEENPNSCAIC >KN539371.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539371.1:74993:75590:-1 gene:KN539371.1_FG016 transcript:KN539371.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTKRALYHLFHPQLFQHRERKAVEGAAGEVEAKRGEADTEDDATGEVEAGLAEADAEDGAARGIEDEDEKKGHWNGYVQGN >KN539371.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539371.1:30031:32784:1 gene:KN539371.1_FG017 transcript:KN539371.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSALASAGRAANEAVSFVVFMVLDVVEVLLCVVYKVADYMLEGAWRPCYCSSSSTAAGAAASGKIVVSERGGSKVPYFPVPRGEVGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEIVRDQLTCDVTIYHGRDDELLPVQCSYAVKAKIPRARVKVVDGKDHVTIVVRRQKELAMELEEIWNRKR >KN542721.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542721.1:1234:3870:-1 gene:KN542721.1_FG001 transcript:KN542721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSISRLERFSPSACRASVDDGNTNNYKHRNGGNNQTIFHNSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >AMDW01070458.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070458.1:471:1269:1 gene:AMDW01070458.1_FG001 transcript:AMDW01070458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFCLLQFCILFLFFFMCPRQCGESKVKTNSKENEINKEFGCAVKHKLYEASRT >AMDW01038726.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038726.1:112:837:1 gene:AMDW01038726.1_FG001 transcript:AMDW01038726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLPKLTRMIFGGWIAFQDPLSFGHVPLLESVTLTNVGLSWHNAVKLSKFLSNISIRDLTLDFNSEKVWDHLCEIETDDERRKAYSYSENKNVDWNASASDSKNHSLSTLVMFGFQSNDENLI >KN539487.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539487.1:47679:51768:1 gene:KN539487.1_FG001 transcript:KN539487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILAQWLSDMAGLVMSYCNPILSYSNDGPQNPEALAIQTIFVVNEIEALYELFKRIDGAIIEDGKTNKEEFNLRVFGPEKGGTLFVDRLFDLFDMKHEQALGFEEFAVALSIFHPDTPIQDKINLLTIVDMLKQMMIAILVESDLNLIDLVIETIIDKTFEEANTNKDREIDFEEWQALVNAHPCFLKNMTLTYLSFYFMLIAKMLHDLLERRYHHDISRVRLPLSSEVSFQMYDIKNQGFIEREALKQMMVAMLAESDLNLIETIIDKTFEEVDMKKDGKIDFEEWQALVNAHPCLLKNVTLTYLR >AMDW01023061.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023061.1:3:197:1 gene:AMDW01023061.1_FG001 transcript:AMDW01023061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPPQFPWPAASHKRIPFEIDLPVVPFGASWGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASV >KN539487.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539487.1:22838:26366:-1 gene:KN539487.1_FG002 transcript:KN539487.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGEGKRQQRITELDQPPNKKVVGCELPRWTTSPPRTPIAPPIPHLSTMTSDGEKAGGKGARRRQVGRMVGRGLEGTDQFLRWEGIIVISHQYSSQSSDRTCERSYAAAVWRRRGGCDGGRRDAAGWRRRLRCDGGMRQVGGGGLDATAGCDGGCGRVAKLVPCAKLEFLALEGSSSGSPNSCAGRGGKKNTNPSKSFEAKEEWRGIITDTSNNILETKGSYEMNTTFITTSNTFITTNYG >KN539487.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539487.1:58246:62098:1 gene:KN539487.1_FG003 transcript:KN539487.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVIAQWLSDMAGLVMRCCNPIPSYTNEDGAKNPEALAAQTIFTVDKIEALYELFKRIDGAIIQDGKINKEEFNLRVFGPEKGGTLFADQVFDLFDLKHEQALGFEEFAVALSIFHPDTPIEDKINFSFQLYDIKNQGFFERAELKQIMVATLAESDLNLTDQVIETIIDKTFEEADTNKDGKIDFEEWQALVNAHPCLLKNMTLAYLG >KN539487.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539487.1:77902:80048:-1 gene:KN539487.1_FG004 transcript:KN539487.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRGLGLLRRSLGLGPLSTQRALSSTSPAASAEGGAAAAEAAKESKGRKKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAAEAPTKISGVNKRGWKYIKESQKKLHDTPKVETPVTA >KN539487.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539487.1:19013:22095:1 gene:KN539487.1_FG005 transcript:KN539487.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGTPAPSVAWDSSSTPTPKKQRSRWDETAAGVGSTAPRATDAVTPAGGYAPGPTPFDAADLATPSPSQIARGPMTPEQYQLLRWERDIEGRNMPLTDEELDTMLQQPIRTPARKLLATPTPLFTLLYAIPEENRGQQFDVPKELPEEHKERRILKLLFKVKNGMPQQRKVAFRQFTDKAQEFGGGPLFNKILPLLMQPTLEDQERHLLVKVIDRVIYKMDDLVRPFVHKILVVVEPLLIDEDYYARVEARQIISNLSKAAGLATMIATMRPDIDNTDEYVRNTTARAFSVVASCLGIPALLPFLKAVCQSKKCWQARHTGIKIVQQIAILMGCAVLPHLRSLVDIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLRPLWKGTKSHRGKVLATFLKAVGFIIPLMDVEYASYYTRGVMPILIREFQSPDEEMKKIVLKVVKQCVSTEDVEVDYIRNGILPEFFRHFWIRRIALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVAHLGASDIDRHQEELLIDGIVYTFQQQTSDDSNVILNGFETVANALGQRVKPYVPQICGMIKWMLNTSSAKARQRAADLMSRIAIVMKLCQEERLMCHLGHILYESLGEEYPDVLGSILGALKAIVNVVGMTKMTPPIKDLLPRLTPILKNRHEMVQENCIDLVGRVADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVRNGILKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNLVWPNIFETSPHVINAVMEVIDGMRVALGSAVILNYCLQGLFHPVRKVREVYWKTYNSLYIGAQDALVAAYLALDIDGNNIYSRPELAMFV >KN539487.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539487.1:64383:72240:-1 gene:KN539487.1_FG006 transcript:KN539487.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCYGAMNHPQQTMPPRASANGFPHRKLDREGSGRHDNKTQLLRSSSGGFSGAENGGKLGHGSPSRDRLIYALTQLIGHHVDVHVKNGSIISGILHATNSDKDLGVIMKMAQVIKDGSARGQKSAADVVKKPETMIIPGRELVQILAKDVALGGDELPKGPSQEKRKDLMIDSAISRSHYPEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRIAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKFKSSTNVLSNTNQVDSLTRAGNTNPKALLSTADEESSSHLFGTDLPVTNNVSQLASQSQLNKLLPIDESRSDDKLTKDSSGNRDTRNLQTENIISEGGRSSISEDLEVPSSSSHASEPSSSGQAKKSSESLPADSSLSRKVPSSGEYVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSVRPPQPPASDGPYYYANNMPTPLGPPMFPPAYGGQPMVYNAQPGPSPQGYMHPAGPQLQYGQQMMMGQTRPVYYYTPIIGHN >KN539487.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539487.1:10063:14659:1 gene:KN539487.1_FG007 transcript:KN539487.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKLWTTHQVSSGDASTPFYDFTMRQGARRHEWSDWRRSMKGSSGSRIKETRRFWALGVGYSLYYDTEVNLDDPFLPLKTTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >KN539487.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539487.1:37052:39348:-1 gene:KN539487.1_FG008 transcript:KN539487.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEVVGEGRGGEAASAAVGLEELRRRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKVHLGEELADVLLYLVRLSDMCGVDLGSAALRKLEINARKYPAGQCKGSSKKHTYYSSGCDVSGNGSGNGTNHLTSKEEHDNNTSSNNDNNGV >KN539487.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539487.1:41499:44436:1 gene:KN539487.1_FG009 transcript:KN539487.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTWKLSPPKPYSITAPSISNTHHHISTIHPQPIQLSYTWYNINLNLALQEEEEESAGEHDLQQDAVQELQQDVVEEDVGQGEDHDHHSHPFDLNLQAEEGNYEEQPEGELQHDQEQVHEYLGNVAHHFDLNMEVDEEEYQAQSVFADIHYLRNHAQGQEDGEVHQEQEQAQQVQEYEGVVPHHSDLNMQYNDEDYDEDQSDDDFGVYADHVDIEYEVEELEESGGEQDNMNQDVQQEEHANVTTSSKTWLTDDERVKVYEALLEKSVNLKLRRNATTVVASLFGNKKESGAIVEPAHVDGHLGSPPTRTSAHTRTV >AMDW01038426.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038426.1:60:673:1 gene:AMDW01038426.1_FG001 transcript:AMDW01038426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVE >KN542590.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542590.1:202:1735:1 gene:KN542590.1_FG001 transcript:KN542590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTEAVEKYDHNSVKDCAGIAADVMVNEAVETYDHNSERDCTEITAHAMDTENVTEDDNSGKNSVENERTDTEGEENSVDSTLSLSSPDITLEEHENHNYVVQDTASTEITLSTGSVMHQEQFSEEAKNEETAEVKLAQETVTTPMVEAEVKLEEETETIPIAETTEVKPAEEPETSTMAETVQTASMAETAEVKLAEETETIPMAETAEVKLAEEKAMKKNEFEQEEENSKEESVKPYNSLAYSSVPSLLKRTVKKGQVNPRWNETGMKLEQDCTNGELNEHELTKGGAAAMGGAVLTMARRPDSMAILALIVAVTIAITIVVRLYVPLQAT >KN542590.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542590.1:6271:9508:-1 gene:KN542590.1_FG002 transcript:KN542590.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNVATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELTSMTAALHKVAETPADKLDKQAKIWASDVRELSYDIEDAIDTFMIKGKGHESAKSFKKVISLFNKFKTNHQIHGVIKDIMDQVEKVSERRNRYIIDDNAAMPTTMDVDPRQEAMYRKATELVGISGPKSELTKRLLEHGCSSKQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKKYSHIDEAWQTKQLIDKIRDFLNNRRFLCMIDDVWKKSAWDKIKVAVQDAKLGSTLIITTRNKEVAEHAGGAVYEMKPLSDDDSRHLFYKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSIGLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWSWLAEGFITDETRPAGTSLWEIGESYFSELINRSLIQPMSVFAWLDDGKVHQCKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREQLSK >KN538982.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538982.1:77377:78125:1 gene:KN538982.1_FG001 transcript:KN538982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIRGRVRHARVLAAVDRMVMIVATKFAEAVLVMNFEEVLPLPYECFRSMPPPPPPYQQLVIPVECSQAMLASLLRARPLCGERLREARATAEHALADAKAEGDDLAAVDVNLVLTFLAARDGDLDDALRRYKAAVQKDPSDSRPYELVVAAALGSGTLTTLGLERGGRGRLVLVAPWREVDARLTAAVLDDDLDLTLPERVQLRLLHHRRPDGLWRSSTGVG >KN538982.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538982.1:168387:170980:-1 gene:KN538982.1_FG002 transcript:KN538982.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPPSWATFFAVVLATGYLLRAVLLRRRRRAYKLPPGPKPWPIIGNLNLISSLPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLKTNDAVFADRPRTAAGKYTAFDCADIVWSPYGAYWRQARKMCVAELFSARRLESLEHIRHEEVRALLRDLHNAGVAGNAVQLGDHLSMATLGVISRMVLGKKYVEKQPAGAETASSPPMTPEEFKCMMDELFLMSGVLNIGDFIPWLDWLDLQGYIRRMKNVNRLIHRFLDRVLDEHDERRRLQGDGFVARDMVDILLQLADDPNLDVQLTRNGIKGITQDLVTGGADTSTVTVEWAMSEVLKNPAILAKATEELDTIVGVDRLVTEGDIPHLPYIHAIMKETMRMHPVVPLLVPRMSREDASVAGYDVPAGTRVLVNTWTIGRDPSVWDSPEQFWPERFVGSGIDVKGRDFELLPFGSGRRMSPGYNLGLKVIQLTLANLLHAFSWCLPDGITAGELSMEEIFGLTMPRKIPLLAVVKPRLPDHLYAEP >KN538982.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538982.1:13209:14799:1 gene:KN538982.1_FG003 transcript:KN538982.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRARAGNEGESHSSHSAPARLSPSVARLGNRPRTGCFSWTRGLALHGRMGPAADVDGILLVLPTRAGEHGGAKEAPTATLAVVRQRVARGLREQLVATGARVKDKDAGEDPGGERCWEEQHETEVDVVHGEAGDAALAVKGEARGGGGGIYRGKGGGVEVWRVTLDATRGTVRSVSAGWKK >KN538982.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538982.1:95468:99102:1 gene:KN538982.1_FG004 transcript:KN538982.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPHPKSIPISTAWPEVPWVEVPLAELGPVGDNGYGQAIDPVGDCDFLPPCAIFSMDDGIAFLLGMLEGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLCLNYADVMDYDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTVAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGCEDSKQMVQPFKFSNQRLRDLGLTFTPIKESLYNTLICLREKGHLPPYSSL >KN538982.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538982.1:140561:143371:-1 gene:KN538982.1_FG005 transcript:KN538982.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVFVFLLQAVLLRRRGAYKLPPGPKPWPIIGNLNLIGALPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLKTNDAVFADRPRTAAGKYTAFDCADIVWSPYGAYWRQARKMCVAELFSARRLESLEHIRHEEVRALLRDLHNAGVAGNAVQLGDHLSMATLGVISRMVLGKKYVEKQPAGAETASSPPMTPEEFKCMMDELFLMSGVLNIGDFIPWLDWLDLQGYVRRMKKVNRLMHRFLDRVLDEHDERRRLQGDGFVARDMVDVLLQLADDPNLDVQLTRNGVKGITQNLVAGGADTSAVTVEWAMSEVLKNPAILAKATKELDNVVGSGRLVTESDIPHLPYVDAIMKETMRMHPVAPLLIPRMSREDATVAGYDVPAGTRVLVNTWTISRDPSLWDSPEEFRPERFVGSRIDVKGRDFELLPFGTGRRMCPGYSLGLKVIQLALANLLHAFSWNLPDGIAAGELSMEEIFGLTMPRKIPLLAVVNPRLPDHLYAEP >KN538982.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538982.1:7979:11551:-1 gene:KN538982.1_FG006 transcript:KN538982.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATRSSSRLSGGIDGRQVAAKSAHDDVESEVRAQRLAAGNRGPAATGRQLGSELHLCALAAAEHGHRQHSIAGGSSTVPLRSSIVTNRRRRGMVFGGVVDELHQEKNKHCYKIHGDILDCYTATSLRQLREFHAKEVDEHLDDGAQIVMTRQKYLRDIKERTKTSFK >KN538982.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538982.1:114955:118971:-1 gene:KN538982.1_FG007 transcript:KN538982.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWITHFMGYNSGNQKVLANGATDRDTNNLAMQTQVPTQTREGPTFTQLLLGEEDFDLPPHVPDEAEENNQFYHQTTNEFLNMNQLGNNGTRTTHLEPQEQMMMFGSSSGIGSQLSVSQAIEVVDVVGGLTSPWGTQLHEGHLAHLMSYIRAFRDLDQQTSPNLVMQQSIGPVLNHYARSARMSYSMRYPENTYGHINTLDNRNFEFHFLLEQAKNPENNVMRLINIRGHVPAFSADPFGSRFIQHKLERATPTELLMVYEEIVPHTYMLAIDVFANYAIQKLLGYGPTLCRRELIGKLIGRVAFKVSDMDQRIDMANERVLEWCDDLEILKELIFEIVEGVLELAVDQFGNYVVQYVVEHGGESVRAMIVMRLKGLMVMLSCQKYGSNVMEKCLTIGSIHDRLIIIADIVGASEDQILVNGDGEQAWKLCDTEDVGTTAAEWVVDLIVIVVNRNFFRLIHYVHGRHVLAHLQILLTAREHNHRIENKINSIKRSRTKSISMNIFKEEATVAAAIVIIERAIPVLTAVDPAALLGVTEDRATPMRAAADPAAPERRGGGDDRTSCQQGRGKSHRLPSKRKRRLHPPVVWERWKEEEEEEARVVVEA >KN538982.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538982.1:30608:49202:-1 gene:KN538982.1_FG008 transcript:KN538982.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYAKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPVGAVIVGLDQYFNYYKMQVMAQQANGVGQGAAGEKREWGHGQFQLLLIPVAASDLLIATVNRLLLYASLCIRENPGCLFIATNRDPTGHMTSVQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSKSAVDTALGLKFSIQLGFGLLLFGSLSPINWLCFDAVCLTVDDENPRAWVASYRDGECSWRALPQDTGVTVAFDPFWFEGRCVHAAGDIYWHICNSGRLLKLDPTTLSFSYLLAPSELGDRNKKFRIGEAPEDGRLGMAAMEDQEMQFWVRGEASGSDNGWFLQKRMNMRKVFDTVPGLPRDKLSRTVSIWLSDIDAGRTGKLFFETEGYGRYSFHMDTGKLERLATEDGKEYGHPIYAYFMAWPPAFLAPEKSEFPVDKI >KN538982.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538982.1:1638:2042:1 gene:KN538982.1_FG009 transcript:KN538982.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSTTHHRYRVGEMPASDGRLCVASLEPPGLLELWVRGSGECSDHGWVMERRVRMLEVLDAVPWLPRNVLLRHLVLWLSDIDAGRTGKVFIATAGFGRFSYHLDTGEMECLATEDGMEYGQPIFPYISATADG >KN538982.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538982.1:154800:155075:-1 gene:KN538982.1_FG010 transcript:KN538982.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIDVKGWDFELLPFGSGWQMCPGYSLGLKVIQLTLANLLHAFSWSLPDGVAAGKLSMEEIIGLTTPRKIPLLPIVKTRLPDNLYAEPL >KN538982.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538982.1:62288:73720:1 gene:KN538982.1_FG011 transcript:KN538982.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSYVIFPGDCVEVNSIYGLFESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSEPVASMEKSSLAIGQLQISDSKGISDLEGNPEKLVNGLGPDVRLSLIQSAPSISGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGSSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVPQDSVETTTPYPPQQSHGDQIPSLETSESQARLVQQVNDSSAGYYTQFYRPPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQEARLQQLNLPHAMEILIAVSFLSLFLVIWSTGPRTLTTVGAVSTFSINSVGGFLLCTFLFTTMVRFYPDKVSLPSTCAMAAVDHLPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKHPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQSEGSGVWIPAPGRDISGLQPSNYYGLPLQGQHLAFAPAQAGHGTFGGIYHPAQTMAGAAVHPLLQPPQAIAGVGGEMVGPPANGYQQPQRAQMNWPNY >KN545205.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545205.1:337:1414:-1 gene:KN545205.1_FG001 transcript:KN545205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTCKPNSGLIVDRPVAGLGRTGRLLPHPQYSLTTHSVRFPKLQKQVYPRLVLVAASQKKLTPLRASSGKVDPEAENDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGNGDSGGPEDESFKESLDELVQVILATVAFILV >AMDW01006074.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006074.1:66:209:-1 gene:AMDW01006074.1_FG001 transcript:AMDW01006074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQ >AMDW01047542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047542.1:216:435:1 gene:AMDW01047542.1_FG001 transcript:AMDW01047542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSSVKEALPAALGSASEPPRLFDGTT >AMDW01038359.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038359.1:32:782:-1 gene:AMDW01038359.1_FG001 transcript:AMDW01038359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKATNGDTFLGGEDFDGALLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVQSLIERTRIPCVNCLKDAGVSAKDIDEVLLVGGMTRVPKVQDIVSQIFNKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPR >KN540198.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540198.1:9575:12225:1 gene:KN540198.1_FG001 transcript:KN540198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKNEGTGDMPQQPAGEIYTIFTVDPNDRKYYLAAVDSSDELWYMVTADEPQQQHKQQTPPPPPPHGQQQQQQQQKPFILRNKKRNCALVVVSKPAAAAADKQGMTKPEQIVPAAGSSSSRTHQPQTGERRRSTPDTGSSKSAGKQPARREEWPGPLQVVLGKHDAEGERWTTEGTPASAGVVAGDADDDRRLISRTCYIQRASNANLVFKAVNTGGRDGSIIELTDKPKVIMGSDQDQLFCCWTIVPVAGDHIIPDGGSSLSPSLTMDHSRLVIPAAQSGQFSSQGDTKPITPDKGASLSTDQPRLEATGETLKDGHKQGDNKKGKKK >AMDW01012269.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012269.1:69:233:-1 gene:AMDW01012269.1_FG001 transcript:AMDW01012269.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SHNHNHKQRHLYLVLDDWEAGYSIHKVVDDDFSARPAAAAKHNPLIRIQAQHAYS >KN540198.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540198.1:56424:56685:-1 gene:KN540198.1_FG002 transcript:KN540198.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSATVDPTLGTLATMDLEIRTLATTDPAAGRSASTM >KN540257.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540257.1:50412:53026:-1 gene:KN540257.1_FG001 transcript:KN540257.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTALMHHPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >KN544998.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544998.1:461:1071:-1 gene:KN544998.1_FG001 transcript:KN544998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFALAQI >KN540257.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540257.1:11366:13567:1 gene:KN540257.1_FG002 transcript:KN540257.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPQTFPLLHNRTGTPKLTNKCGHCKNKLDFGKEFVCCSDCSDPTILIKHSKMGYCKSGAELSMQLKPHEIYHWVAGPWMKCSSPCDGGVRYRDVACYGNLSDATIKHYPVDDASCSADEMPARQEACNEQSCGVDMAEQTNSRKNGMSGWLVALILLLGLGAIGGIVFTSYTYYLRRTSGRSGFVYVMMEAYS >AMDW01040522.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040522.1:8:724:1 gene:AMDW01040522.1_FG001 transcript:AMDW01040522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGHGRYIWRGGHEYIGTWKAGEMHGRGTVIWADGDRYDGAWEDAKPKGQGTFRWSDGGMYIGLWCQESGETQGKGVYYPPSGGPAVPLPREPKEVITKLLEELEMSEGKTVSLLPSQKVLTWPGVEPVTKKPVWRPPEVAADQGMWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRWDDLLHVVY >KN540257.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540257.1:34210:36887:-1 gene:KN540257.1_FG003 transcript:KN540257.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGDAAAGNKEKGGGVDRTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYHDTIASKEFSKLAYYSDICVGAIACRLEKKEGGAVCVYIMTLGVLAPYRSLGIAHMKTSLKNKLLTVEYLVTLPEHAQCIMPTWVIRWDPGTLYVTGSKLLNHVIDLCEKQNIPEIYLHVQTNNDDAIAFYKKFGFEITKTIEKYYKNITPPDCYVLTKFIGQAATKK >KN540257.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540257.1:28667:31458:1 gene:KN540257.1_FG004 transcript:KN540257.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSALDDPKASGSVPVSAPVPVPSPPTPMGFLLTLLTSALLVGVSQAATGPDPPTIKFADSNLQTFPPSEAKGKISGAYRPPTDADDTFSSSKSGGGGRGGGAGSDDAGQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAMNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >KN540257.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540257.1:15476:26542:1 gene:KN540257.1_FG005 transcript:KN540257.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLAWGSLWAVYVCVVLCSILVMAFLGGGLLVGKVVEEPIQVTETLNFDYTKPSPVAFVPVQRLVPPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGYRSDASLFDFDIWWLHNKRCMLGLMTNALLHTYYQLLFYCIAHYYSDVDDSRRILEIKQLWISRKQPNHGSRLGDAKVAFRVRTNYHKIIHYQFQNRAKIMSKVPRFVTKANGPWTNHGLQSSCNLFFFSMQHERQMEHQFLYERIPSFIMDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYQEDIEFNKIDLRDNVAQICVLESVIPGNVQTESSPNHPQQAKQIVVCNTHVLYNPKRGDIKLGQVRTLLDRAYALSKTWNDAPSPLYNFMLEQKLNLSGLAKSNISGQQTSTAQGLYTGPNTARFYPPFHTTNSKEGSISYPNDHKPQPEARNVMENSRLSGREPTLTDTASDSCLNPESSTNPHEQELMGCVKGPTKEAYTSDAEAHTNTINGEETSVVNNSSEGYGVIKKSSVEETNVTNFSSSSTTVNDETLQSDSSEIVDRSQLLPSYESSEPKDSGEELAGGSNNGSNTLANFPGHVISGKATCDFERNNVQSDTLFDISKVRPDEKEQDSEPLSTQNNCMPSESESTCFSDSLKFADTLHQMSNLLEEENNTEPTQLTSPLEPLQHTDCAFSDTCDVQCTPEVINRLSESHSCSNELGNCSCAFEDDGASNEVLCSDVNADPSFFKEFSGVNESLLEDEDQLQTTSDGSPSAQQVITSDKRYYDYDPYRWTPDETKAATGNEDCTFVEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALVCELAFTK >KN540257.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540257.1:38913:43468:-1 gene:KN540257.1_FG006 transcript:KN540257.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADYIFYRFFDVKSCTLSEQLPEKIAGVEGNLLLNSKVEQVTSCSDQEVHGVDQKMLNVPVPLPQSTVMEDESPVAAVSLPPSVFKEENVASAIPFPQPVVKEESAAAAIPPPHVALKEESVSKSTENITKPAQKVLPGERPPKRVKISENVTVQNVPLDVTERPSCTGPLELAGRQADRSKWFKIPWDTRLRNADEQGTLVYIQNLDIQFAAADIEELIRDALQLNCIAKPINHPTYDDPNNGKAYAIFKTKSAADSAISKINSGLVVGGRPLYCSKGLLKVPKPSETLLGHLTINNIRMGIRQREEQKKAVSTSHCSQPNTMEYDLALDWMLVRAKQETKFRTLHKLLNAATGLGRLKIDTANSGDRLVGIVGIAKDDHVFVLDALGEPYAGS >KN539589.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539589.1:1622:1879:1 gene:KN539589.1_FG001 transcript:KN539589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGAFTNCSSALGTLKPSRIVLRLFIDSEMLMMMAMDLHKLFFGPLIDDETLMLTKALHKLFFGPSLIDDDDDKLRHGDDEDMK >AMDW01038474.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038474.1:63:786:-1 gene:AMDW01038474.1_FG001 transcript:AMDW01038474.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNDGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGTKEVEATRENLGWPYEPFFVPEDVKSHWSRHVPQGAAFEADWNAKFAEYEKKYPEDAATLKSIVSGELPAGWADALP >KN539589.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539589.1:83640:84752:-1 gene:KN539589.1_FG002 transcript:KN539589.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MECLASPAYYGASASPSVSSSSSSLVSIEENTERVSSGYLSDGLMGRGQERKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRRNSLTQKKRRSSLFDVVEGSKRAAAMPISGSASELQIPGMSIGVGAAKEEVVLPPCLNLMSNSSSASQHSPSLTLLANPQVQLQMPDLELKMSTSRLSDQSGPSPSTPFFGTIRVT >KN539589.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539589.1:49788:50962:-1 gene:KN539589.1_FG003 transcript:KN539589.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGAHAPPWQHMASPVSGVEGGGGRESEVVAAPYHLLDALRHYLPSNEAAAAAAEDEEEAAAAAAAVDAYACDEFRMYEFKEQQPMERVESGRALREKVFERLSKEATVSTDAATAAAAPDVGWVSDLIN >KN539589.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539589.1:19345:21147:1 gene:KN539589.1_FG004 transcript:KN539589.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVVAAPIPAADAAAKALEKKLLDLELPPFPAPAKKAAAAKVVAAAPKKKLAGGAGGYVLEDVPHLTDYLPNLPSFPNPLQNHPAYSVVKQYFVNADDTVAKKIVLVCGLHDMYGVTSVVGIEGGYRGFYARNTVELTPRSVNGIHKRGGTVLGTSRGGQDTAKIVDSIQDRGINQVYIIGGDGTQKGAATIHAEVQRRGLKCAVVGVPKTIDNDIAVIDRSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDLCLIPESPFYLDGKGGLLEFAENRLRENGHMVIVVAEGAGQDVIARSMRLADAHDASGNKVLLDVGLWLCAKIKDHFKKKANFPITLKYIDPTYMIRAVPSNASDNVYCSLLAHSAIHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSLEDVEKAGQDDEEPIVPLVEGENSLVKAPPLLANAGDGAALCNGAA >KN539589.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539589.1:88478:89025:1 gene:KN539589.1_FG005 transcript:KN539589.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEVRSSCDWEGWGMDGWRSRDGDLDEVIAKAGVGTREASALGTGTSMRSQQKQEWELGRLQCHRTIPELGEISVVWEDPALVTYRLDRALASLYFSVGALDYSASPSPGSLKDATNLFNTVAPLHL >KN538705.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538705.1:392890:395104:1 gene:KN538705.1_FG001 transcript:KN538705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEPTADCFLLRISTNPRRKIQLPPLRQPLEFLSTYEMLESPESASCTVLVASSTEAEEECYLLHCHPGDEMWTKSVSPYDGISFSSLMRNYGGKIYDFASNLIAIDVIGGKIELQQLGTITDEEEDSRRCGRHHIIESCGKLFLLWIHDLGCFYDDGLLTAIRVFCLNLETLSWERVEGIGNDRAFLISGTYAFSCPSIEGVLQGNCVYLVWSSCDSERLYKFCLDDMTISFHQILPQPTKSWSRAFWVIPASTQSISMPQESTIPNNLLWRKPSKDNPPNDFDEHKEDGQKNSPRPWDHLPVELLELIVSNLSLVDHIRFPIVCKAWSEVLNPIEQAKVWPWLMHISKQDGTCKLFDPLRSENYNIQVTIFDTNEDRYIFRSSKDGWVLASAGIYGNDIFIINPFTEEIVEPPMLAFLYNYNGVSFSSSNPMCLDCAFFGINSSDSGEFLSTFTWQHGEPHWIEQEFEYNVSFPVGYNNPIMFDGKFYCLGRKGNLGVFDPTSNTWRILDKPEPIHVEMDLFEQDHIGREFCYLVDMDGELISVFLRNANELPRVFKLNRTKISWVEVEDIGGGALFLDYRSSYGVASPDGGNGNRIYFPRYSKDGKAVFYDMNKKTYSPSFYGVIEPLNCVWVVPNLRKNESTSED >KN538705.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538705.1:438882:448619:1 gene:KN538705.1_FG002 transcript:KN538705.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEPAGGVEPATYVDNTSDPYNFGINRSDDEDDDYAVFHSDSKTQHLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFVGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFDVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDVVNKKHFEERQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVHSVYLPPPKLDFTSQHQEWVELEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDVIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSIPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >KN538705.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538705.1:364659:367602:1 gene:KN538705.1_FG003 transcript:KN538705.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALVGRLEAAVSRLEALNGAAGATARGGDGGQVDHASAHDPAILAFDELVAGAVGRVSAAAGKIGAEVADVTRVLEKAFLVGKDLLVRTKQTQKPTVDSIAVFMGPLNETILEANSLAEGTRSSHGNHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKSKDPDHVEWAKALKELFVPSLRDYVKTFYPLGPVWQPPGSSTSKAPSAPCPPSASLFSSSAQSSQPKAGMSAVFAEISSGKSMTQGLRTVTADMKSKNRTDRTGVVASEGKEAHKKPSSSSTKLPSKLELQMGRKWIVEHHVGNKNLVIEDCDTKQSIYVFGCKDSVLQVKGKVNNITIDKCSKIGLLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANSDGDWRKKAGTFSP >KN538705.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538705.1:400524:403918:1 gene:KN538705.1_FG004 transcript:KN538705.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSGIRRDGWSSLPLGFGEKPWLVQAQGTVALSFVDISDRSLHVRTIPELQCKVCLGCVHDGDWLLMVDETTSDCFLFCLSNSSKISLPPLREPLVDLGACLALGSSLINRDNAVVISSTAEPEESFLLYCHPGDKEWTKLMIQLDSHRLSGDLVNCALQLYSLSTFGCLVTLDVIDGAAIQARMDSEWESTCDPSYVPYLVESGGDLFLVAASLYGCPYDCPLNGVSVYRLDQAESTLKKVDGIGADRAFLVSGHYGFSCVAVKGQVQGNCVYIVWSGHDCEIIYKFCLDDMTLNKFHVLNYRIRAKEFKESAPSIRRDIEVSVLNNFYNDEAEHVTPIAPWQNLPIEMLELIVSNLSLVDRLRFPSVCKEWSSVSNPIAKAKVWPWLMHCIKQDGTSKMFDPLRSMEYAMEVGPFDADEWQTFRFSKDGWVIVSHGDNNIIVINPFTEEIAKLPMFDKWYLFNGISFSTVPTSPDCVFLGVGGSPKGDSIRVSICKPNKRESTEDESEDKEKEPDVEENESESEEDESEDEEDEDESEDEEGVYWRDFFFDNDDVLFPVARNNPVYFRQEFYFLRQKGNLAIFNPCNNEWRILDKPEPIHANLTPFDEGSEACYLVELRGELIAVFHHNANEPPRVLKLDESKMLWIETEDIGGGTLFLDYRASMAMTSSEAGYGNRLYFPRFSEDGKQAVFYDMEAKKYSPTFYGAKEPMNCVWFVPKLQADPLMSNQLI >KN538705.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538705.1:417069:420472:1 gene:KN538705.1_FG005 transcript:KN538705.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCSSSIPQLILLLSLTTLDAIKNVASIISSFPIGGILGGGDLRLSSAIADCLDLLDLSSDELSWSMSTSSSSSYQPTNAGAATSSHVGTGDARSDLRSWLGGALGNQDTCKEGLDDTGSVLGSLVGTALQTVTSLLTDGLGQDGSGNSTTVSAAVDAAPTESASRYVIYVKKGVYKETVDIKKKKWNLMLVGDGMGVTVISGHRNYVDGYTTFRSATVAVNGKGFMARDVTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVFQNCTLAARLPLPDQKNSVTAQGRLDGNMTTGFAFQFCNVTADDDLQRALAGGGNQSSAAAGTVVFMQSYIGAVVRPEGWLAWDGQFALDTLYYGEYMNTGPGASVGGRVKWPGFHVMTSPAQAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >KN538705.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538705.1:352933:355616:-1 gene:KN538705.1_FG006 transcript:KN538705.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDLLDLKLTFPPENKETSGSTENNEQSSAEHMLKLSGVNLDSFFAARMENTTTTAVPQKHTVVQEKQSTDSHGSSSLEMRATYLTGTKTSSQNTNQIEVTPAFANWDAGFQSASSESVTEDSKKSDLFNSASNVKASSFPAHVTTISPVVPSGNETYMRSTKLEDSKDLASASGMLVKDESNSGIFPENNIAEFTESSLSKSSAHSDQLPARGDTGVGIDEAFDDWQEFTGGNQGSLSNAGEHMEGPIESNPSEIKTVDTWPVSSMESSNNVTDNSVDDWQAFTSSSGQGGNSVKPIEGSAASQGGDVVKPVGQTASISFEHFSEANSVELWPVGNINELHNTKVVNETNDSFDDWQDFTTSGQGQGAPSNQVGGMIEVSRVTQKETGDDSWFTTDVKEEINKDLVNTTNAMLDDFQSFSGSDLAPQSSSFVSGEMMNPSFGQHEGTDTVQSWLGGSNNMGTNMATTNSEDNSFDIWQDFTMSGHQKENISIFERKTTSTSSEPAKETDPMDLWLTSNAQESNSSKDANRINDSSGGWQDFANFGQKESMKIPGVGHSAKDSSGAEPLDFWASSNSAELKNHEQINEDSDPFDDWQDFKNSHPLDTSLQVPSNSSFDNSSARMPDALEGLEFGSFAQSVPSQSQRDNKENSNQTNTVSSDQNLERMVGRQQTGDLGSLSTIWPTTSHDTQSVSKPESADANVERLLSQMHDLSFMLKDELSIPDKPVGHSKP >KN538705.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538705.1:452933:463176:1 gene:KN538705.1_FG007 transcript:KN538705.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPDTLPNSEAVSHAYKFASTWEKNAPLTEQQNAAIAALSRAVSERPFPANLEKSLAKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSEVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQAMASNLTYNFDLHQEAVEVCSTSLQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >KN538705.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538705.1:405931:408268:1 gene:KN538705.1_FG008 transcript:KN538705.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDHSLPPGFGTRPWLVQGSRGDTLTFVDVSDLSLHETVVPERVGTIKDEEKYARGSGCYHVVESCGKLFLLWIEELGCFGNDGFFTAIDVFCLDLELMSWERVESIGSDRTFLISENYTFSCPSIEGVLQGNCVYLVCIQSILPMEISAKPNSLLSTKLSKDILLNDLDEHDGLGNSLCLWEHLPVDLLELIVSNLSSVDRIRFPTVCKAWSKVLNTIEQAKVWPWLMHISKQDGMCRLFDPLRGEEYNMQVSIFDTNEDRHIFRSSKDDWVFASAGIYGHDIFIINPFTEDIVEPPMFERRYHYNGVSFSSPNPMCPNCYFFGINSSLSGKFLNINTWRHEETEWIEQRFEYDVPFPVGYNNPVMFCGKFYCLGRKGNLGAFDPTSNTWEILNKPEPIHAEMDLLENDHRGREFCYLVDLEGELISVLLHNANEAPRVFKLDLTKMSWVEVEDIGGGALFLDHRTSYGVGSPDGGHGNRIYFPRYSVDRKPVFYDMDNKMYYPSFYGHIEPLNCVWVVPNLHKNESTSED >KN538705.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538705.1:368627:370682:-1 gene:KN538705.1_FG009 transcript:KN538705.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGETLSFGDVDALSRRVAAGLSSIGVCHGSTVMLLLPNSVEFAVVFLASSRLGALVDGENPNLHLREDDVVLCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFDTVKMLQLVERHGVTIAPLVPPIVVEMAKSDALDRHDLSSVRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPTPVKSGACGTVVRNAELKIVDPDTGLSLPRNQPGEICIRGKQIMKGYLNNPEATEETIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAVADAAVVPMKDDSCGEIPVAFVVARDGSGITEDEIKQYVAKQVVFYKRLHKIFFVDAIPKAPSGKILRKDLRAKLAAGIPAC >KN538705.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538705.1:322782:349564:-1 gene:KN538705.1_FG010 transcript:KN538705.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTDKEHNMRADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERIYNYPLDENVKSKLICIDPSEFVFIVQTFVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQHRLLVRDEKYPHIVHVDKGATNSNEADARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQIIAEWHLNCAYSKEVGEYADVQLIELTRAFPSLAARAWDSDGEFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPGTPSLDAALAAVHDDAVDTRAPDAVQAAIQRRIAGLPEKASENLHTARVIVPVPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLRVPGGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRREGEEGKGSTWEVYRKSLEATGCFNGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGSDLPPSDDDSWLYNGEDELAAELCARQQEMEEYETAKQYRKSQRKNVSGSSSSQSNEFNLGEITESMQEFVRKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGRSKDEPGNEAGFDGKSSSSDIDLEDDSDYGSDFGEESGEKGMDNAFMESYSDALNKELSMTTIEKSFARAPHPDTSNEGPSGAADTDGEMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKWQRTKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIGRADFISLHMPLTPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGKLAVQLVAGESGGIKGVKVVYTTARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHESPAAEAPLESIQVRLSHVQSKFAGAISDGGDIVLEGRVKYGVPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQTNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEHIGHIPAIEEFVFLEL >KN538705.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538705.1:420867:422964:-1 gene:KN538705.1_FG011 transcript:KN538705.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFSDFDPITERRHVERQRQERRRVMVAAGAASVILIIIVMGGAAVAYNASFGDGGSSSSSGSASGGGAQPSLHGVSKIIKAMCAQTDYKDTCEKSLAKAAANISASSSSSPKDVVRASVAVIGDAIEKAFDKSSAIVSDDPRVKAAVADCKEIYENAKDDLDRTLAGIDAGGVDGLTKGGYQLRVWLSAVIAHQETCIDGFPDGDLKDKMRDAMESGKELTSNALALIGKASSFLAALHLPASSAASHRRLLSFAFDEDATKQPEVNRSSGNSLRRLLSFAFDENAPKQPKGNDDDVLVWVNRQERRLLKAKFQNKLKPNVVVAKDGSGKFKTINDALAAMPKKYTGRYVIYVKEGVYEEYVTITKKMANVTMYGDGSKKTIITGNRNFVDGLTTYKTATFNAQGDGFMGVALGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEGHQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCVLVLRHKAGYLPWSGDFGLKTLWYAEYGNKGAGAATAGRVSWPGYKKVISKKEATKFTVQNFLHAEPWIKPTGTPVKYGMWA >KN538705.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538705.1:466405:468866:-1 gene:KN538705.1_FG012 transcript:KN538705.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVEFTTSLVEPSPPTGLKLGAAICVGDQVPMKCSMKCTESDNKPLMEHPKRNPWPPAWLGWKEWNVSWTAVNYSEMRFYFIPPWPLPLKVGWLALVFFEVGAAHTDMMDRMLHWTDLKPWPPPNQNFRSIMVHLFAWKHWKVSVEVSLFAWNSKQYMNSVLLITVGTKWLIHFAVNDYFLQGKPFKLVDPLELMQVILMLLVWDPDAEMFQIGSYSLQPENYQLTNYLMARLLKQGNLKQVLDGVDQSKNIKKSDVDVGEDNSGNISTAAKMFIDGLGIKEDSEMLCPSAQYIDNWPTKLLDEIRNGCNIYLLVALIDDELNPGCFLIDKRWFDILVLLFFTGATWKVESYALPIKDVIHMAVYFVQPLQGIMLQTRPGKIEHLVILDINSATQTVKFWLCYKSTIKIHPSCSSMVQISASKFRACGKDNIFQQPVKNQFTSYMLHASIVCAEYWCMHFSEVVQHAICIGWIINWAVHFWMEQVVCSPRIILQMPWDPGGAWRWILRLACGSGYARCLLMKMRLLLTGELMG >KN538705.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538705.1:385226:387964:1 gene:KN538705.1_FG013 transcript:KN538705.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGGDCSSSPPSDFGKKPWLVQAQGTDTMSFVDMSDRSLHMRAIPDLQGKLCLGCVHGGDWLLMVDEITGGCFLFCLSNSSKISLPPLREPLGDMGACVVLGSSPDNGDCAVVITSLPDPEQSFLLHCHPGGDEEWTKLMVPLGSDRLFGKPVNCSGQLYSLSSFRKLLTIDVIDDALHAKILNIGWESSCGHNFEPYLVESCGELFVVLASLYGYPYNCPLNGVSVYRLDRAEPMLRRVDGIGTDRAFLISGHYGFSCAAMEGLVQGNCVYIVWSGCDCERIYRFCLDDMTISLQPILPHPTEDLRRGFWSVPAGIEATELVQSAPSIHHDAEVNVLNNFNKDEDAQATIKASWQDLPIEMLELIVSNLSLVDRLRFPSVCKQWSSVSNPVAQAKVWPWLMHCVRQDGACKMFDPLCGVEYTMKVGPFDANERQAFRFSKDGWVIVTQGDDSIFVINPFTKEIVKLSMAGGWYRFTGISFSSVPTSPDCVFLGVCSSPKGDGIKVWTCRPNEEETEDNEIYYEEEAEDEERDSKENEINYEEEADQDEEREAEENEINYNEEAGDEESETEEDYWSEFDFENDEVMFPVARNNPVYFRGEFYFLGQRGNLSVFNPGNNEWRILDKPEPIHADLTPYDEGKEACYMVELRGELIAVFHRNANEPPRVLKLDESKMEWVEMEDIGGGALFLDYRASIALPSSEAGHGNRIYFPKFSEDGKQAIFYDMEAKEYSPMFYGAKEPMNCVWFVPKLQSDEYS >AMDW01034886.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034886.1:4:507:-1 gene:AMDW01034886.1_FG001 transcript:AMDW01034886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKVLQQIRDTKLFQLVHKLQCSKQPCCSCSSLTDEDSISQIAASVCCEGTALLSGNLSSRDGLFSSETCSGCAQVNDDGLKNVITGKVVSEGNGHVDKLAPISSTETCFCNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLE >AMDW01029116.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029116.1:32:350:-1 gene:AMDW01029116.1_FG001 transcript:AMDW01029116.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMALNHISRLCKSVDASVRFYVKALGFVLIHRPPALDFNGAWLFNYGVGIHLVQRDDARRAPDVNPGDLDPMDNHISFQ >AMDW01034769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034769.1:140:289:1 gene:AMDW01034769.1_FG001 transcript:AMDW01034769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGGDLPEKPTILLSSEKLTGEGFEFMYKTVDEMYDDAFVEYGRALGILP >KN545118.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545118.1:124:2356:1 gene:KN545118.1_FG001 transcript:KN545118.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGPDTKVYGIIGKPVGHSKSPVLHNEAFRSVGLNSVYVPFLVDDLANFLNTYSSPEFAGFSCTIPHKEAAVRCCDEVDPIAKDILEPFNKSRVTFVSNNFVQDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRASQPTDTTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLLGAPALTLAELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDSLMRDIVLTKI >KN539334.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539334.1:14403:15754:-1 gene:KN539334.1_FG001 transcript:KN539334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALLLLDAVVAFVAAWYVRATSDRSIPEKIHRRELHVQARMLLEAGSRVELGEDQQQQQQQG >KN539334.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539334.1:71385:78395:1 gene:KN539334.1_FG002 transcript:KN539334.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMGEKRSHGYSLRVAKAKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWLSTSSHVMLAELVHSVADFANQVLPLCMEFRTYGIRKYDANPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSLLE >KN539334.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539334.1:50626:63537:-1 gene:KN539334.1_FG003 transcript:KN539334.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQCLLNQKLLHTHMLMLYVLCCRYLTDQLQKVHIVYEANKDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSIYLQIESDNIIRHYRYVILDTPSRPAILYDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHGRLESLDGHYRSFLSVGDESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPKASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHDNGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLRCVDDSVIIIITKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKGDSSIAIAERIYEMVKEMWPQRFTSVIIVLMTCCTVCSWHRISNFNVECSLKYCEHYLQCSSILLLAVDLQKMRRTPKHNTHPNADEILYQSPSIEISPDHKQPRERTEMNANIRHDKTCAITESLMLSRSWLARWTVNGARYESPRQVLSHGGMVSWQCCGAMWCGLSVMVPTHAASRHIWLGPSAVLRSLNLSHVESNDQGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >AMDW01040466.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040466.1:43:1516:1 gene:AMDW01040466.1_FG001 transcript:AMDW01040466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTIGHGGEANEEGVLLTMSTLTENGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPACIPQAVLDARASVDAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTNRMGRQLSSMGFDPSAAMDRARSRSRGRKRDRSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARR >AMDW01039536.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039536.1:56:920:1 gene:AMDW01039536.1_FG001 transcript:AMDW01039536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISTTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDDFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRNPRRFGINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >KN538698.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538698.1:407787:410596:-1 gene:KN538698.1_FG001 transcript:KN538698.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQEHRAYARVGLLGNPSDMYGGKTLSFTISNFWATVHLAPSDDGGPLVIRPHPRHDLVDFASLPQLVTRLQNEGYNGGVRLLMAICKVFYSHCVQHGIALKEQNFTLSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHLIKVEIRPNIILDAEKELGIVAGLQDRVAQVYGGLVYMDFGKEHMDTLGHGMYTPLDINLLPPLYLIYADNPSDSGKVHSTVRQRWLDGEEFIISSMEEVARLALDGRKALQDKNYRELARLMNRNFDLRRQMFGDDVIGTVNIKMVEAARSVGAAAKFTGSGGAVVALCPDGDAQVLLLEKACRDAGFLVQRIQIAPSPLPLTEGNPPF >KN538698.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538698.1:455273:462878:-1 gene:KN538698.1_FG002 transcript:KN538698.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPNSHSVEVIQECLTAGMAVARFDFSWMDASYHQETLNNLRKAAQNVNKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVTITPDLSKAPSSEILPIKFGGLAKAVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLHNSVDIISLSHTRSAEDVRELRSFLQSHGLQDTQIYAKVENTEGLDHFDEILQEADGVIISRGDLGIDLPPEDVFISQKTAIKKCNLAGKPAIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAAESVYNQLVHFKKLVKHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAARGVYPLMASTEEAETGGLTREEYGIKLAQNYGRSVVLLLEEDGVDEAEDAEDDDADVDGERGHGLVAEVHVAAAESVDHVGDGLVAVEVLLVGVLEPGPQLLEAPALGLLRQRPVVVVHSKELEAEIGWPRIP >KN538698.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538698.1:360589:365587:1 gene:KN538698.1_FG003 transcript:KN538698.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMYIAIIMNIQEKHGRAVKHCTFLTLNGIIIWEKHARHVKRYIFLTLHGIIIWARLCRSHTQTRATAASTVAAVGAARPFEEAAMEHGTVEDSSASTFSIAEEEHTLANPARFVLNQDPRVAFCGYSVPHPADNKVNIRVQTTGDPAIDVFKDGLQDLIVMCQHVRGTFDNAVVDFRSKVGT >KN538698.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538698.1:415200:417889:-1 gene:KN538698.1_FG004 transcript:KN538698.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGDQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITRSDADETFNIKDFIQSAKLYSTMQ >KN538698.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538698.1:446813:446955:-1 gene:KN538698.1_FG005 transcript:KN538698.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVIVRRAREVLQYLGFKLKLLERCLLILSW >KN538698.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538698.1:354281:354715:-1 gene:KN538698.1_FG006 transcript:KN538698.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYMDFVMVSTFHGLIYILLFSKDENLMNSDNTLELCSGWLVAPSMMEPLFPAYRVEIGYVVEPVADGVDDTTLDNSTINQLTSHGSYCPCSHGGRLGEAREVPSLIGMEQKTTTKRNGKWGEEADAGECTPVANEEGSSQRY >KN538698.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538698.1:477167:480126:1 gene:KN538698.1_FG007 transcript:KN538698.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAISWISGALFIWMFLIDVAEPTKRAIKSIKADDGDVIDCINIYQQPAFNNPLLKNHTISTIKTRPGKLPFSKRAKTARQAWQNNGRCPDGTIAIRRATQQSQLEVDATQPNGCSIEYAGIQAPQTVYGATGDVNVWGIRVEPNEWSTNGIIITNGHGASLQFGWMVAPTLYGESHGKTRLFIRTVDPQNGVDCFNLNCAGFVQVSNEYAFGAALAPLSQYGDVQYETHLTIYKDMLSNRWCAMYGDTMLGYWPLEAFPAFDKGEEAFWGGQVCNMHEGQEYTTTGMGSGYHPIEGMGKSAYIHGIQVMQIDKSWQRPTRTFGNMSNQPCYGVEPYESKDGALSIFFGGTANMACCGLACQSPGK >KN538698.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538698.1:492170:492344:1 gene:KN538698.1_FG008 transcript:KN538698.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFLLLVLVLLAPLLPGTTGDHQHALTTEHLPAAAVIDDAGNTTTAASRDPLRISI >KN538698.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538698.1:429780:432621:1 gene:KN538698.1_FG009 transcript:KN538698.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPDPKPTREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVHYSKPSRTDRNRNYRGNYQNGPPQGNYQNSPPQGNYQNSPPQGNYQNSPPPYGSQQDGRGYAPRQNYADRPGYSGTSGGYQSQTTQYQGHANPAGQGQGYYNSQERRNFNQGQGGDFRPGGPSAPGTYGQPPAPGNYAQPPPPTYPGSNQGAPGVNPGYGGNNRQGPGPAYGGDNWQGGSNQYPSQSEGQQESWRGRQ >KN538698.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538698.1:367079:368334:-1 gene:KN538698.1_FG010 transcript:KN538698.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGQAAPWGGVQEYYAKPSSFGRHQGRPWEQGMNNLVNYRQSGGPPMPAKEKVGGHHGSPSVLRDHDHGMDRRSSDESGHDQKVGPERKEGVPPKHAQSEADTKRAKQICNLNMLLEALVWSSSINQQYAQRSRVRKLQYIAELERKVQALQSEGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFRKK >KN538698.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538698.1:346996:347689:1 gene:KN538698.1_FG011 transcript:KN538698.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLASSGREGGEREAAGGGPVEGETECSGGEDEWSAWMAEAELFYSVDDWKFAAEQLVRWSDRARMTGFELAELFD >KN538698.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538698.1:449062:453807:1 gene:KN538698.1_FG012 transcript:KN538698.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLRIRFGGGSGGAGSVAFWMWIPPPHSLASEAISWRSIWRGGNTVYRPLSMELCTSSVSGSVQWSRISAFDCRTRSHGYASSSSWVSQRRRKTSFYVMNAASTGALPPTKKLIPRTNVRNISGDKPSSALEQLDIERGVCIPFRKYTPEMVLNTSNGYIYRVRNRVLGSRGSVLSLASRGVEITWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSRTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIERNRQMEKKLDLTDTIKDGARLFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >KN538698.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538698.1:486614:489641:1 gene:KN538698.1_FG013 transcript:KN538698.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIIFLLFLIACVVDRSVNVHCEKQLVSSFDKHDNASSSLAVGLGTPAKTQIVEIDTGSSTSWVFCECDGCHTNPRTFLQSRSTTCAKVSCGTSMCLLGGSDPHCQDSENYPDCPFRVSYQDGSASYGILYQDTLTFSDVQKIPGFSFGCNMDSFGANEFGNVDGLLGMGAGPMSVLKQSSPTFDGFSYCLPLQKSERGFFSKTTGYFSLGKVATRTDVRYTKMVARKKNTELFFVDLTAISVDGERLGLSPSIFSRKGVVFDSGSELSYIPDRALSVLSQRIRELLLRRGAAEEESERNCYDMRSVDEGDMPAISLHFDDGARFDLGSHGVFVERSVQEQDVWCLAFAPTESVSIIGSLMQTSKEVVYDLKRQLIGIGPSGAC >KN538698.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538698.1:395258:403920:1 gene:KN538698.1_FG014 transcript:KN538698.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEEDEQPYEQQVEVDFAQEEHVESAVATMRREREERRKKLKREQQDEGSRLHSQQIRNDYAPYNRAGRGRIKEAPDGWMNCPAFGEPIDKIIPSKVPLDETFNDSVPPGKRYSSKQVVNKQRKAGREIAILKFFCETCALTAPPLVRNVHIIGMIGLVIDLTNTTRYYSPAEWTRQGIKHVKIPCKGRDAVPDNESVNWFVYEVFLQQQFGLTKFNDIPVEVMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTQVSCVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEHMITCPSTPEWKRSSDLDLNGEAKQDDDDENGDLAPVHNEVEDKVITNDDVLGDAVPYDQQDALRVVCYRLLEMPPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNEGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGVKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPSMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSISGRIVECSWNKEEGCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAQHRRR >KN542198.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542198.1:2714:10955:1 gene:KN542198.1_FG001 transcript:KN542198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTNLDQDNIMTAYYGELLKTDRQAFETQLEELLQKMASYYPAQDQPAPKTDSVEAEKLGLPFVPPYLEQNMRMGVGSVDLSNIDGMIQGVNYASAAAGILSSSGSELGMHVSLSQQVQQVEDTYEQLSLALGEAATTDLFRKSVFFLSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVNAMRQEIKNLYNINVRKVVMMGLPPVGCAPHFLWEYGSQDGECIDYINNVVIQFNYALRYMSSEFIRQHPGSMISYCDTFEGSVDILKNRDRYGFLTTTDACCGLGKYGGLFMCVLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSGEHTKMCYPVDLQQMVKLK >KN538731.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538731.1:61211:65077:-1 gene:KN538731.1_FG001 transcript:KN538731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MWNASYRKRQAHERYPCSRVAHVLEEAARRGGGRVSLRRTGARRRQERQPFLRKASAKRFRVTGRGKIVRRRAGKQHLLSKKNTKRRKRLSKMIQVNKSDYNNVTGALPYLKVNRNAE >KN538731.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538731.1:109420:113579:-1 gene:KN538731.1_FG002 transcript:KN538731.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLNQAMPGNGGRLEDCNMCLKALPHAHSDPVVNDYGSDMHGGPGPETVPVYMSLRPEDVARIMMPERAVPVPMGAYGYTHMHPVPHEREMMYAQQVEGIPNTVLIDPSGLHQHVYVHQQQQVPPQQLPSTYGFNQIPVIPNEKDRVVSPGSAHSDISSSHQHIMQQPPPPQQQQVPSGQGMAQYPVKQNSPNNQLTGEGSLSGNARHREDGLTRRDNVSPVAPAAVPTYMANVDRMMDSLRLSPSEASSGSTEHRKPVMPPENGVPQNAIPEHSQGFPDTRAREVSQSNTNTFFDVSEPKVVLPTEPAPSPSIASSYLHNVQHTNVSHMPHMMSIGGPYSSYVVATVGPGGVPPSAYGVDLVYANSAVNPLSERKDVPHEVAPNANAQVPAAALVNHAPNVDQIQESGLQGQQFGNDDPWKVVTNTHALPPRPKRVASRENISPKDPHSHNSLLNCKGPDLNIPAEDVALHQQSDHKDAHTEHGRFIKGDDMTSPDLVSVEDSLPTSNTKSSEPQPPVVNDGVGAVTDVSLFMSSLMLSKRIIQVNKSRPADWISGFPATDDLGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQDKMRNDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDKRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPAVPDSCDPEWRSLMEQCWSTEPSERPTFTEIAGRLRSMAASHKVQP >KN538731.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538731.1:27728:28072:-1 gene:KN538731.1_FG003 transcript:KN538731.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQISRCPTNPEAESRRGYSLTVPNPSAAGTSTSAAAKAVASIPMRSSTCKASRNGSEKAEIRGSQHRSREALEPTASFLIATILNTQLLGGTNCLLLILRLRHREKLPNFQI >KN542198.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542198.1:11931:12376:-1 gene:KN542198.1_FG002 transcript:KN542198.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAILNESDLLLSDDAVEQIVDQTFKQADLNSDGKIDPDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGVDDEEL >KN538731.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538731.1:67739:69965:1 gene:KN538731.1_FG004 transcript:KN538731.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQVKLSAILNATSLTRSIRRQKRQHSPVLQVRCYAIAGDQHESIATEFEEICKEVPQKLGAFYRFCRPHTIFGTIIGITSVSLLPMRSLDDFTMKALWGFLEALSSALCMNIYVVGLNQLYDIQIDKVNKPSLPLASGEFSVATGAVLVLTSLIMSIAIGIRSKSAPLLCALLISFFLGSAYSVDAPLLRWKRNAFLAASCILFVRAVLVQLAFFAHMQQHVLKRPLAPTKSVVFATLFMCCFSSVIALFKDIPDIDGDRHFGVESLSVRLGPERVYWLCINILLTAYGAAILAGASSTNLCQMIITVFGHGLLAFALWQRAQHCDVENKAWITSFYMFIWKLFYAEYFLIPFVHLYPMLWSVLQYMQMWKGN >KN538731.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538731.1:99687:102347:1 gene:KN538731.1_FG005 transcript:KN538731.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYQDHALAMDAAAAAAETGGHHHPGHFVYQTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTVVTLTAGASPGEGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRYRVVDF >KN538731.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538731.1:13913:15139:1 gene:KN538731.1_FG006 transcript:KN538731.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAGLGLAPLLIGGGRLGFPLLGGQSPTASRTPCRIGVEATALAAADVAAPVAVEDGDLMITKACLLLDIQGGHLMPKYLDQLLQNVGNMEWNVRVWMRGDDDQPFLTSMPLKNQGS >KN538731.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538731.1:132356:132655:-1 gene:KN538731.1_FG007 transcript:KN538731.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPTSPSSLRHRLRTTVCCCFGSPGERRSGEKLRWRRRVAAGEFGYDPLSYALNFDDGGDGDDDAADDAAAAFRYKNFSSRLPPSPARRSTAIAIS >KN538731.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538731.1:145471:159638:1 gene:KN538731.1_FG008 transcript:KN538731.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYASVNSKVSVFDEENYDEDEEPPNDNDLPSDNIVQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDSCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISEESLDDHNEDQAEGYVSGCCDVQNKFHSSSVMTDPFGHTEIPDSTSYRSPENSYSPLRKETAQENNSLDEPNNITQRVKIDTTRHLNKLSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPMKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDTSLAMQNVRPNSILHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNNDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQVKKSVSWIYHRLTRRATNFKILFSWQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGDENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQAQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSAYAGALTPKESTPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNAQIKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKINSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADVLDDAEYAIED >KN538731.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538731.1:91543:92026:1 gene:KN538731.1_FG009 transcript:KN538731.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLEKSSKRNGTKSEGQQARPQPDEPAAKQDAQNGFVLPDLNLPVEDMAADGSAP >KN538731.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538731.1:45500:50174:1 gene:KN538731.1_FG010 transcript:KN538731.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQHRFYGESKPFGNESNSSPEKLGYLTSTQALADFAVLITSLKHNLSAVSSPVVVFGGSYGGMLASWFRLKYPHVTIGAVASSAPILQFDYITPWSSFYDASESFNCFSVIKAAWDLIDERGSTDAGLLQLSKTFRACKTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIDGFPAGADIVDKAFAAASLYYNYTGDQTCFQLEDGDDPHGLSGWGWQACTEMVMPMTISNESMFPPFTFTYEGKSDDCFQSYGVRPRPHWITTEYGGNRIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGC >KN538731.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538731.1:8579:10197:1 gene:KN538731.1_FG011 transcript:KN538731.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVVRRRRRIADYLNDGEELGIEGSPVVTPRSPALAAARSLLPRFRWARAASRIGRKGKAEKEGVVVVEEEIAVEKNGEPVAAAAAATVASTSVFDNESHTRTPDLGVGLSLVFLLAKTSDEFNKMAKVRAEMETLLREIKEQVRQSSSGGVGDDDASKPRCNLESAASSCLTDTNENERASARMMEDQATSSSNHMDEEDVSREKSAEEYECCFPRMDVLEEELHAELDLLQVNYGSDVQLFLPEEHDAEQLDEITECREEFNDDVGREDEVVEDEDYDDEAEYNGVNAVELERRLHELLHQRNQERIEELELALKRAEKKLIEKEMEVSMWKDTAKLALRQDSSTMLWIIASWGTHADTLERGTCDVFL >KN538731.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538731.1:103866:106243:-1 gene:KN538731.1_FG012 transcript:KN538731.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHARSGLPLLRAHLSQEGSRGFASQVAKPTGKDIKVPEALYGGTGNYASALFLTAAKANLLDKVETEIRDVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKHIDRIAERFVDLTMAHKGEVKVLVRTVIPLPEKEEKELKETLQDILGKNKTILIEQKIDYSIMGGLVIQFGQKVFDMSIKTRAKQMEMFLRQPLDI >KN538731.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538731.1:142397:144134:1 gene:KN538731.1_FG013 transcript:KN538731.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVTIQKLRPSIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQSVAGGVLLDVSCGSGLFTRKFAKSGSYSAVIALDFSENMLRQCYEFIQQDDTLVNTNLALVRADISRLPFASSSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEGELEDLCKSCGLINYSSKVQRSFIMFSGQKP >KN538731.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538731.1:50816:55116:-1 gene:KN538731.1_FG014 transcript:KN538731.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQATAARKFPEGLRVLAVDDSPVCLMLLEALLRRCKYQPTMTRDAATALRMLRERPGDFDLVISDVHMLDMDGFKLLELIGLEMDLPVIMQSANGELETMMKGVTHGACDYLVKPVSLKDIQNIWQHVWRKRKLDIRNHNGGYNDGGELVFATRTKRKYTRKMRNDGDNYGENKENMDSTLKRQRVVWTPELHRDFVIAVHELGVDRAVPRKILRMMKVDYMTRENIASHLQKYRLYLKRISTQTGMDPDQFPEKWKYMNELDALKNYCENGRYHLTPAIASSSSSNPFARMNSASALAANGFLPTHSVQLKNSQRNMAMGTVGHGGNPGNNPVFQPLQNSSNARKCFPSGPSGSSFANISNGLVLDTDDSGSSYADMFCKSMWDTSNGSPSCHSSNSSANKSNNGVSAPANQFQVQSNCGFSAPANQFPVQSNCGFSAPANQYQVQSNGWFSAPEKQYQVQSNGGFSVPANQFPVQSNGEFLAPTNQFPVQYPEVNNQPLVQMNQSSTNHFSTIGNGYQFPDLANYSKYWQTTAPSMFPDLGHNDGTSFGPSQANIANINQISSFAASSGQEPMFGDELHGQMSPIMSTISLSDFDDQMGSFNIGNDTSPAEMMHDNFSLGSDSNISSTTPTDSSFGSTFPDFHLDNPEMPAQMLNGGDEDGILLPVLDDTVDQQDLFDQLDENNGFITGTNGPEGTDTLDDIVAELFNDDFMEGYDAVVDGDQDFVP >KN544001.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544001.1:2357:3082:1 gene:KN544001.1_FG001 transcript:KN544001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNGSTSAGVGMLKTPNQRQPLREIDFMLALDSMPVTEEYTWDDVDPDDPENAEYREIILRKRDPDARKIDNEELLAFRARIAREFMEWGYVKIDPDWQARRDETFAELDDPEGGAQSDNTTKTAGEESFHEVNEDDNDDESDNTRKA >AMDW01069932.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069932.1:280:492:-1 gene:AMDW01069932.1_FG001 transcript:AMDW01069932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCS >AMDW01144084.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01144084.1:84:293:-1 gene:AMDW01144084.1_FG001 transcript:AMDW01144084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AETDVEFEDLAGVKKLDADVFAQLAPDIRKDELPALVLHAWSAAVADAVENPEAVLHNWSLIRINDAEE >AMDW01030092.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030092.1:2:400:1 gene:AMDW01030092.1_FG001 transcript:AMDW01030092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVVLENAVLLSLLAELGASTPTCVYFGQAPRGQQTSLLLGRLGLARGSIAARHITEAFTDGELDLVIGNGSDGQHGMEVPVFDGEGRRYGLTCGYSDYAMCYRLFGAAGEFRRFRANNSEVRDVAVGKDKLMK >KN540854.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540854.1:818:1089:-1 gene:KN540854.1_FG001 transcript:KN540854.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELAAAGSSGGGGSSEATCTGRPTVRGDERDSDSSNAWLYPGATLRSVPLQARYQPSKPQAQVPARLNSDVEL >AMDW01024895.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024895.1:12:338:-1 gene:AMDW01024895.1_FG001 transcript:AMDW01024895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLVYLQDNVSWSVGYGIPTLGLLVSISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVPVPADAKELHELELEEYTRKRKFRMDSTNAMRFLNKAAVKEDGSPAA >KN540854.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540854.1:33927:34326:1 gene:KN540854.1_FG002 transcript:KN540854.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGAIQSVLPLLPLSSNGFPRGCRQPGAEAALAMTDGGVGAAEGVCAAAGLQGGAATAVGQGDGQPDVEQQGHVQ >AMDW01054256.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054256.1:67:555:-1 gene:AMDW01054256.1_FG001 transcript:AMDW01054256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFSRGKGHSVVQKAAYRSGQKLFDQSMGETHDFTRKRGVIQTEILAPEHAPEWMRDRERLWNAVEFREARKDSQLAREVELAIPRELSAEARFEVVREFVRDHFVSQGMVADVCWHAPDSDKPHAHIMLTMRALDDADPCGFGEKVRAWNARANVLEWRGQWA >KN539306.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539306.1:46759:51391:1 gene:KN539306.1_FG001 transcript:KN539306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFYELERSEAYSPFHVALARNRETYRFIGSHSRRHGNIYRQCERVDPGHIIKCIYRIKLYYQENWAQEGWGFWGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKERDIDSIDEIWHLATEPGFIVYSCVAVVSVLFLIFWVAERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFGGSNQFIYIQTWFFIVVVIVCCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKVSLQIIRFRQLRNFSPAQD >AMDW01030796.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030796.1:106:372:1 gene:AMDW01030796.1_FG001 transcript:AMDW01030796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGVLQNRFGVFRHDDWIGRPFGCKVHRAATAGGKGKGGFIHLLAPTSELWTLMLSHRTQILYLADISLVVSYLELVPGCHVLESGS >KN539306.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539306.1:15271:30866:-1 gene:KN539306.1_FG002 transcript:KN539306.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEDFLVVNTVPMVAYKYVHYFPCTLYCPCQKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLLNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKEKHLQIEVERKALALGERNYDSIINQKRTEIFSLDQKIKTLQYDEHKDKIRSVFKGRIPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSNLQKELDGFTSFLQLLASIEKFSTLYLYHLPSNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVEVIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSLQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAVHKFQKAEEDLGHLAEEKEKLTLEEKHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERIKGYLNSKKGEKLNELQEKHTQLQSDLHKSKERKEEKSAELSKNKELLKSQDQLKRNIDDNLNYRRTKAEVERLTHEIELLEDKVLSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKVDGMNAQTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEHQHSKIEAQEIFD >KN540017.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540017.1:6957:8971:1 gene:KN540017.1_FG001 transcript:KN540017.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWSEHNGRTIGLYLMDFSFKMLNEEGQGDFVLLFAIDWPLVDIFEFMYSTGTKKGLEDGIRQGCVNNILLLPFKFPPIFTLLKYILYAGDDDDTIPILWLNLNGQLGDIVDRADGSDVSFSAGGDTFHAHHAVLAGVQDGAPRVHGGVRHAVRHPAQHQPGHIQSSTTLRVHGCLAVADRRRCQLDVNDDRIFRELARGGGHVRIEEVEADVCTEAVGERVGGDGGDDTRLHRDVPLPGAKEQVPQLLDGGEQLQEGGLH >KN539306.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539306.1:55088:55304:1 gene:KN539306.1_FG003 transcript:KN539306.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAESGEEGGRAAAEENRPLEAPDNKHERFRFRLSSHQLPGTATLKVDCSVWHQ >KN539306.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539306.1:78171:82199:1 gene:KN539306.1_FG004 transcript:KN539306.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHTEGHFFGGMFELAYSPTQEGYRKCQRQVFWYSLLKLHVTLEVFQQEGWKDSLPVDFPKPSDNENSVEVAHPNIKPFSVHPLPLTKNSDVLLESSNGSDSLKEEKNHYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQNYTWKDFLDMTRDTITSKKQRKVGLIRRNKTDSLIGQGDGDTEMINGGGSSHSEDGDAETSAS >KN539306.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539306.1:4815:8353:-1 gene:KN539306.1_FG005 transcript:KN539306.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDNGDLEQTVVGGGVGDELELRAAADKGSVGKDSPGNLKSKAIDVYVEEPKIIDLCDGLDDESDYIHELKVDSEEECAKMDVEVDVSKGKGVTEATGSGCKGKTMEEETPDRRIVVDHSESAPNDSQPPSNIEYDSDYTPGDDAPLDDDEEAAEIEKHYNEVKRKVMIGQLDDLDDCFLQSQRSEPTMHASGEEGNGTPYANSDEEELVEEIGSDGEAPMETQELVRVNAKANVSTEHGGSARVDLQAIVPHS >KN539306.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539306.1:62226:64738:-1 gene:KN539306.1_FG006 transcript:KN539306.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQFRCKKQFYVQISCMFYSNLSSLLLKVIIRLMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQSQNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDSFIRQCNFAPP >KN540017.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540017.1:45959:57390:-1 gene:KN540017.1_FG002 transcript:KN540017.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVFMPSTCQPPLLQVISRKQSINATGCLLWLLFIYSEITILALDEKLQVHGELAAVDPAAPIKEIRCCAWRTKNGSPWEIVLCIYDFPQHLHSPCFRIQEDSKGRGFSIWSSSKEVRRGQSFKNNLVDTLLRFSTVKLYMVHFLLSSNTIKEVGKSVYFPRNHQLGFNVFRFRCLPTDQSVLFNIYGFVAFSSTDESFSQIFGCRGPQSWFLMVFNCVAKLLPGKPASFYLLSLLSWFSLIKRAREMASVYFSLKQQVILHQSPDVYDKKGRENRRHHVSGIYLLLGSKDDKILELETRFREILREELSSLIEMPTSECLQTDLSAGGVIIQSHVFSQFKFID >KN539306.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539306.1:626:904:1 gene:KN539306.1_FG007 transcript:KN539306.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGEGEGILASFSRSSVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGGPPPPAPLK >KN539306.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539306.1:32085:32627:1 gene:KN539306.1_FG008 transcript:KN539306.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQSRRIRGGSGGNGDDGVEEKIEKGKQATCSVKPIFYNGSRSNYSLGPLEYVDCIVNALK >KN539306.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539306.1:119230:120212:-1 gene:KN539306.1_FG009 transcript:KN539306.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVARAYGIVDELLARRKGGREAGEPRKDDMLDVALDNEDEWKNNNPVIDRNNIKGLIADLFVAGTDSGSTAIEWAIVELLQNPQSMQKVKDEFRRVLGTRTEIEESDISQLPYLQAVLKETLRLHPSVPMTFMPERFMETDTNFFGKHPEFIPFGGGRRICLGLPLAYRMVHMVLASLLFHFDWKLPEGAGKDGVDIYEREVWDGASQRDTTQSLGY >KN539306.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539306.1:34361:38830:-1 gene:KN539306.1_FG010 transcript:KN539306.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQASPSPSAQVVGNAFVQQYYQILHQSPDLVYRFYQDASRLGRPPADRYGDMVSVTTMEAINEKIMAMDMSRAEIKTVDSQESLGGGVTVLVTGHLTVRDGVCREFSQSFFLAPQEKGYFVLNDMFRYVGDGPTPAAAAAAVEVQPEADAVAPPLANGTATAPLQPAAPDYDGMPQEEPDVVEHAAVPPEEEEEVYNPPLEEVEGGAVEEEQSVPEVINEVPNNVVPVVAPAAAPVSHEEAPKKSYASIVKVMKEAPVPAPIPATRPAPAARPAPPKPEKQSPAPPAPAPVADATPFSSNAESSNTHEPEVDAHAIYVRSLPLNATTTQLEDEFKKFGTIKPDGIQVRSHKIQGFCYGFVEFEEATAVQSAIEASPVMIGGRQCFVEEKRTPGSRGSSRGGRFAPGRGNNNFRADGMRGRGNYSGGRSYGRGDFSYRSDYGGRGGGRGGSARGPDVGYQRVDGGRGGRTSAGPGAPAK >AMDW01058207.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058207.1:51:248:1 gene:AMDW01058207.1_FG001 transcript:AMDW01058207.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARKKDIMDDLDAFRNGKEYYARVGRAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTV >KN540017.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540017.1:38193:43554:1 gene:KN540017.1_FG003 transcript:KN540017.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEIGGHLKLSCLLLQRQVEDDSNLGAVTLLFQASPDCVQRNNESISLQIKKKVSKLDVPTGVVCVAAAPRSRTAKHLPSGCKLKQLNMKSIKEKKSFEMMSRNIIICLLNLGNNKEEVVRFSFMTQTTKPGKKVNMELQGKERERERAEWCWIEYFCKGNKQYLSANFASRDNGHEAPAFLDIGSGNGGQSSGRWRRQRRTQRRRSVMAAAVEDGATAVLDNSSSSGARRRRQRRRSATATAVAEAETAALGDGNSARGEAEAPKDGGAMAAAEEIY >AMDW01083494.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083494.1:191:513:1 gene:AMDW01083494.1_FG001 transcript:AMDW01083494.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMK >AMDW01039091.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039091.1:43:836:-1 gene:AMDW01039091.1_FG001 transcript:AMDW01039091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FIYPASWVGDQTLLYRGAKRAELQRSLDPPPLANGRSPSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIAKTKRGADINATLLDAALREDPANNVKYYKLEFRVESPSFRRRNVAVCCAKDGKLYTLNAQAPESAWKAVRKEFLAMADSFSLVADV >AMDW01010726.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010726.1:33:188:-1 gene:AMDW01010726.1_FG001 transcript:AMDW01010726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISAL >KN544124.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544124.1:45:1994:-1 gene:KN544124.1_FG001 transcript:KN544124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTTLLSLLLLFRFSRSWKCQAAELDIAQTAVLEVDASWNLSRKIPDTLFGLFFEIILIGHDNWIGEHHRKLTMPELVGYGRSLSVTEDNIELHVSIQNHGFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFSQNIVALRMEILCDNCPAGGVGIYNPGFWGM >KN542904.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542904.1:1878:3089:-1 gene:KN542904.1_FG001 transcript:KN542904.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVASSPSAAQLSGLRFLPDSAISPAALVAACPAFYGLTSLHLTALRPLSFCWIAFLPRLKSFYLVNSAAAAAVDYAGWSSDDVDGNGETIGTLPLERLSLCGICSGDRGIGWLWRRCGNLQWLQLRACDGTGDGPSSQFFAGCLAGLLALELRACRSVSDHVLLLAADRCRVLKSLLVYDGGSREALHRFIHQRGAALHTLDLRLPLDLHNDHLLAIGAEAEQGQQSQNGGHSLAALRLQSCVLITGDGLRSLARTTTGAGIEELALVNCDVVEREPGLLTFLSQSMRRLRRLDLSYNETLSDKEVGAMLSSCHNLIDIRLRGCRCLTRGSLVSLLRYCGRSVEVIDITRCLSIAAADVELFAQEATRLIQVIIEDSLLSEELQAIAHKKGIRVGPLRCD >KN543348.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543348.1:525:3419:-1 gene:KN543348.1_FG001 transcript:KN543348.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMYPDAAERVVLVCAGVCLEEKDLAGGLFPVAGVGEAADLLVPRRPEEVRRLVRLTFVRPPCIMPSFFLWDYIKVMGSDYIQEKTELLYALISERQLSDLPIISQPALIVWGERDKVFPMELAHRLKRHLGESSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEGVTEPLNDEKV >KN538834.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538834.1:188461:189746:-1 gene:KN538834.1_FG001 transcript:KN538834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERNAAPRVSASSSAAASPSTVGKKAAEEVSPASLGLGASLVLLLSKSAAELNKMAELRAQMERLSELKIGDDDEEESTDTHAATFADADDDTDGADDDTLTDQCDDEEEEESGAAAQGGVSARELERRLHELLQWRHEERIAELETALERARKRLQEKEREVCWWRNTAKLVTRHKDDSRLR >KN538834.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538834.1:157935:161557:1 gene:KN538834.1_FG002 transcript:KN538834.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNVFLVTLLSYLVLTIRGKELKCINNGENSGQILVGQQVNRTIQAEDGDIYDCVDVNLQPSCKHPMLKDHKIQMEPSSFPIGLDIQSPLEVAFSQAQLSTINCPIGTVPILHNNVDTKMVQRIGTLASNDKQQLGAGIKYWDEIYGIRASINVYDPKVKKDSKDLSASWIQIDNGPKIGHGVGIGVGSSVYPSFSGDSYPRFHISWDNEELNKSCIDHNCPGFVQVSHSVGLGGRVHPISIYNGPQYVINVLIFKDPKTKNWWLAYGENNTLIGYWPSSQFSFMKDKGDFAFFGGYVQGPTAASYPPQMGSGHFAFEGFGKAAFIRNIQVIKYENNKLVSPDIRNAHPGSNDPSLYDYGGYGVNDNGMHVYYGGPGKYD >KN538834.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538834.1:126545:133542:1 gene:KN538834.1_FG003 transcript:KN538834.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVSTQDIDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQARNSFHYLLFISMYCPRNNPAALGTQGVPASKSIQTMSGSHTLSHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAQCTQAKIVSQPSIRTSSVSSEHLEGAVGVSSGLLDSRVSQQSTIPLSGFSANGLLIHGSFNNTCANKLGGTSSSCTPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDPKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSSGDMLMPKNASDLSIPKLQSELSSSSCSFDGLLNSIVKVILSWMDSHNDFRLSPSDHMVRLELIAKVHGTSQAEEYYRKLSTAASKKAASFPLLHCYVTERNVQKAETFMAELQRYGLPVDPHSFNEIMKLYVATCQYEKVFSVIYLMKRNNIPRNVLSYNILMNACAEVSGLASVQSAFKEMLNDDMVEVGWSTYCTLANIFKKYGQSSKALSCLRTAETKLSSTGRLGYSFIMTCYAALNDRDGVIRLWEASKIVPGRIPAANYMSAMLCLIKVGDIGRAEWTFGSWEAESKKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGAHPNYKTWEILMEGFVQSKQMDKAVNAMKKGLSLLKTCHWRPPLELLEAIAKYFEEQGSVEDADRFIKVLQKFNLTSLPLYKSLLRAYINADIVPQNIPQMIAGDQIDMDEEMDQLIIRASKIDIT >KN538834.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538834.1:218750:221575:1 gene:KN538834.1_FG004 transcript:KN538834.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTAAAAASAGPALGLTKPNAVEPPQVTFAAKDVEFSEWKGDILAIAVTEKDLAKGSDSKFENAVLKKLDGQLGGLLSEASAEEDFTGKAGQSVVLRLPGQGFKRVGLIGLGQNAPSTTAACKGIGESVASVAKSAQASSAAIVFASVGGIQEDFKLTAAAAIASGTVLGLHEDSRYKSESKKVHLKQVDLIGFGSGPEVDQKLKYANDLSSGVIFGKELVNSPANVLTPAVLAEEASNIASTYSDVFTATILDVEKCKELKMGSYLGVAAASANPPHFIHLCYKPPGGNAKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSS >KN538834.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538834.1:113754:114318:1 gene:KN538834.1_FG005 transcript:KN538834.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding NIDKIKQVDCPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLSKDKTAKVPQLAPSSSNNNMTEVKHNKCLRFGKR >KN538834.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538834.1:225650:227144:-1 gene:KN538834.1_FG006 transcript:KN538834.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding HYVPQLARKIVEFNKASPYPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDTTYKAIMSSCNFTSANVSRLCNRAMSYAMNHEFGDIDQYSIYTPSCAAAAANATATGRRRGKAAVLRFKDTFLRRRSFGYDPCTETYAEKYYNRPDVQRAMHANITGIPYRWTACSDVLIKTWRDSEFSMLPTYKLLMKAGLRIWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >KN538834.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538834.1:204387:214713:1 gene:KN538834.1_FG007 transcript:KN538834.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRARQRPSVAAKHEKVLLVLILHIPYCKYSQAIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVRNSVKLKRVMQTILSLGNALNQGTARELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTTNIAEDLSKCVLGDNAQKMHQGSASVSANRVPQGSLLLTRKSLCMAGGAARPGKVVGSALRYEIPTAEAYTVSTTVHGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKCGGESQIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAQMEAEKEKVKAAAHKEDLLEP >KN538834.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538834.1:197784:199154:1 gene:KN538834.1_FG008 transcript:KN538834.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWGFVQPDASTHVGNAGLERDLVVLGGDKETVRQSAPILYALPNEEEEGGRRLAVDLSVTAGHGKPNNSDAGLLVQAQGEGLKWLVLKLAADANYQQPPPPGSPKSP >KN538834.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538834.1:149444:153198:-1 gene:KN538834.1_FG009 transcript:KN538834.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNARQMHGGGGRGGGGQDDFFDQMLSTLPAGGDHALYNGFGAAGMHGAAAMQPPPFGQLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNGNATSSSGNGEAANGSSNSDNNGGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSATSSLLLPRTGGGAGGSLHEGGNGTSPPLVNGAATGGDDAGGKQ >AMDW01037601.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037601.1:288:638:1 gene:AMDW01037601.1_FG001 transcript:AMDW01037601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRIDMDNEAEKKINKKDKKGSSSKVVDLRLLLIQCAQAMATDNQQSAGELLKKIKQHTLATGDAMQRVAHYFAKGLEARLACSGKHLYQNQVRLSLVEYLKVYKLYMAACCFTK >KN538834.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538834.1:135854:136567:-1 gene:KN538834.1_FG010 transcript:KN538834.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSSPRGELPALGPGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNSERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVSAH >KN538834.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538834.1:176561:179134:1 gene:KN538834.1_FG011 transcript:KN538834.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLAISIVTLCLTYLVLVGGVKIHIDRDGTIGSTIPSKDLNMAIQELIVQKTGDGDVYDCVDIYKQPAMNHPLLKNHIIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNSGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVRFHIRWVDSSNKPCYDFNCPGFVQVSQLAGIGGRITPVSVYNGPQYIITVMMFQDRKTKDWWLARLDKSSAIGYRPLGYWPSKLFDTLQEKATYAFWGGWVRGPTVSSDPPQMGSGHFAKEGYRKAAFVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMGMHVFFGGPGQCPK >KN538834.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538834.1:191380:197384:-1 gene:KN538834.1_FG012 transcript:KN538834.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEAEGLMHRRIEFHAARKPPRAVEGTGGRFWVESLSPDADKAVVAAAARSEGQVRGLEKGEGSGGGIDPELRVARMYLRRIGAGLQNFGNTCYLNSVLQCLTYTEPFVAYLQSGEHMSSCRTIGFCALCALQRHVGISRSFRISRQEDAHELMVSLLESMHKCCLPSGVPSGSPSAYEKSLVHRIFGGLLRSQVRCTTCSHCSNKFDPFLDLSLEIANAATLVKALQHFTAEELLDGGEKQYSCEHCRQKVVAKKRFMIEKAPSVLTIHLKRFSPFNPRHKIDKKVQFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNEVYQVREADVLRQKAYMLFYVRDRTRSSVMYSDNCTVNLSVNKMISEKITCMNGSIKKDTVETKTLRVPSFVKEDVNLKKQNSENGQSSNISNAPQDQCSKSHSNTEVLEAAASPNNDPASTQKASCIRPDTAAVNLPMKTEQTAPDNRREITSPAQADVSVLHNASFNQKLYEKQLQEHQLETDDALTDSRKDAPAALRTYGVGDGLLGRNGQSSEPHTGPCPAALPIHNGGEGLLGANGQASEAHSGPCSSAFPIHNGGEGLLGASGQSSEPHTGPCPAALPIHDGGQGLLGPNGQASEPHTDSCPAAFPIHSGGEGLLGANGQASEPHTDPCPAAFPIHGGGEGLLGAIDQASEPHTDPCPAAFPIHNVSEGLLGTNSQDSEHRIGPIPAAFPVWNGTDVLLEKYGQVSGPADPFCKQTPTISDTVSIAQIIPTEYAAGSNGTVSSSDDLTGNTEANESSEFVKNYGEQVMVRDLSAENSGYRANADEQTSMQNNTLEVGKDAAKDTDNVANAEEQVLNHPLAEQVKSEKQICPGISTPLICSEDTTELIDKDTGSGKLNKKMNCKSKRQVKYPAVRMFFGPKQLLLASVKLHKKRKHRRSKKHHALSVHIESIITDQQTSTSETVFSKIISHKSRGQKRSCASASSEDGTQLFNKKQHIEGTTNSVPMDSNDAELASAELPSSCTNSLVKQTDSRNNAHANERGPWHFNLLTRGLREITVPRWDDTEIKNTKETEILHPRTRSIGYVLDEWDEEYDRGKRKKIRKPKHGFSGPNPFQETANIRSRQRMRLQSDQTKSGNQPLRI >KN538834.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538834.1:200458:201782:1 gene:KN538834.1_FG013 transcript:KN538834.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFFKKPPDGLLLITDNIYVFDHCFSMKEMEDDHFEAHIRGVAAHLLDNFGDHSFMISNFGIRDEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQRNFLIMHCEQGCWPILAFMLAALLIYLGQYSDEQKTLDMLYKQSPVELLEMFSPLNPMPSQLRYLRYVSMRNVVPEWPPADRALTLDSVILRMVPDFHGQGGFRPIFRIYGPDPLMPTDQTPKVLFSTPKRSNVVRFYSQGSMLIIFEVCTISGLTYMKVN >KN538834.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538834.1:202632:203675:-1 gene:KN538834.1_FG014 transcript:KN538834.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCIPGTIGGGGGGGGGGTAGACRPGAAGGGGGGMGGVFIPGIIGGGGGGGGGGTGDACSPGTAEGGCGGENDDTGNGGGGGGGGGGAGDWPLFSGAETTDEVDEYSEFEINVGCIGSGGGNITVDGFRGGGSGGGRGGDAAVEIEINEVRVSEVRGIAGKASGTYVLVLLDSFSMDNRESDDNAVTLEALENSGGNFSRFSQEASGEADDSGGCEGEDMIDLRETLIILVLVFTGKIGETGANETVGGGGGRGGGVHNAIKDAVDAELCTIRGEGSWFTGVCEPDFSADFDTDCAGAICTAESISPCG >KN538834.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538834.1:166274:173677:1 gene:KN538834.1_FG015 transcript:KN538834.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNVFQVTLLLSYLLLTIGGKDLKSINNREKTNESLTSPQVNITIQTTFKHPVFKDHKIQGAGIEYWDEVYGIQGSINVYNPKVKKDSQDLTASWIQISNLPKAVVGVGIGAGSCVSPSVSGDNFARFHIFWVRHMMGQKESMMDHDNEELKKGCADHNCHGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPTAASDPPQMGSGHFASEGFGKAAFIRNIQVIEDKNNKLVTPNIRDSDPFSSDPKLYSYDGYGLNDNGAISQAQLSTIDCPIGIIPIVRNNNLANMMVQRIGTLASDDLPMLASINVYEPSVKKDSKDVSASWIQISVVPKGTNGIGIGAGYCVYPSSGDSFARFHIRWDPKTKNWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGDVKGPTVVSDPPQMGSGHFASEGFGKAAFIRNIQVIEDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGMHVYYGGPGKYI >KN538834.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538834.1:139745:143132:-1 gene:KN538834.1_FG016 transcript:KN538834.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAAAAADDDDDYHATTGGMEPRGEEDNGASTRGVAGRLLAWHGRKEEDDDDADEDSGGGSGADADADEDDAASAASLGTISNDSILLPPEPTSAHLASLLRARKLILVVELDHTLVNSTRFAHLSDDEKANGFTERTGDDRSRGLFRMELFRMITKLRPFVHEFLREASAMFEMHVYTLGNRDYATAVAKLLDPDGAYFGERIISSGESSQRDRKSLGDVFGWASEMERAAVVILDDTAEVWKGYRDNLIEMERYLYFASSRGKFGIAARSLAERNRDESEREGALAVALRVLRRVHGEFFSGSVCSGSFADVREVIRQARREVLRGCTVAFTGVIPSGDGGGGSDPPRTDSIVLPPEPTSGHLASLLRARKLILVVDLDHTLVNSTADYDISGTEYIDGLAELVTDDPGRGRFILDHASWFSAFITKLRPFVHGFLREASAMFEMHVYTLGDRDYAAAVAKLLDPDGAYFGERIISSGESSQRDRKSLGDVFGWASEMERAAVVILDDTAEVWEGNSDNLIEMERYHYFASSCRDFGSPWECTHSLSERGVDESERDGALAAALRVLRRVHAGFFVVGGGSFADVREVIRQTRREVLRGCTVAFTRAIATGDDHTMWRRAEQLGATCADDVGPGVTHVVATNPTTFEAVWAQVFGKFIVNPEWINAAHFRWSKPKEEHFPVRW >KN538834.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538834.1:183950:187325:1 gene:KN538834.1_FG017 transcript:KN538834.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMKKLAMLTPILVWCQQSAPLAMTPTTPSSLAHSAAWSATLCYIKAANAPRARTSSARIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLELVHTLSVSLNKIGDLRYYDGDLHSARSYYARSLDVRRSAVKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >KN538834.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538834.1:143535:147297:1 gene:KN538834.1_FG018 transcript:KN538834.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MARKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSTALKKLEESLESEATKKSKSGDKAPIASKRKRTIHT >KN539238.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539238.1:36188:38557:1 gene:KN539238.1_FG001 transcript:KN539238.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVAGVQFAGQIEQALRKADELGDDDLRAALRRARDSPADTAFQLPLLQLPVEDPVHAGAAATQPEQQNAGRRLMCPELYRAAFSGSVDKLQELLVSPSGTAAEEQGRRHDGQCVLDETTAGLNTVLHSAAGQGKIGLVRKLCDGDDTAAAAVAALLPKETTKSETALHHAARAGRRDMVSLLIRLAQMHGSGAPGLLVTKNSAGDTALHVAARHGRVAVVKILMVAAPALSCGVNNFGMSPLYLAVVGRSIGAVKAIVQWKHASGSGPKRQNALHAAVLQSVEITRELLSWNSNLAKEPDESESTPLHYAASDGVREIISMLIQSMPSAMYIPDKEGLTPLHVAAKMGHLDVIQDMLKECPDSAELVDNEGRNILHLAIERGHEPVVSYILGDPSLAELFNEQDKKGNTPMHYAVKAGNPRLAILESRNIKLNIVNNEGQTPFDLASNTTGFLHMIGFLLRLSANGARFGAQRQDCISQWSSKNVKEWNEKTTKNLGIVAVLIATIALTAMFNVPGGYNSDGVANLRATTPYNAFLVLDTVAMASSVIATMLLTYGRGAARSSTAWICMSLIFLWMALMSMILAFMAAVVSGLDSTTTKYILWSIFVLPFAFLVALSFVWAVPAPTFTTLLLLPRALAGEDSGWTRRRIGRRFRSVGLYLLVLYLFWFLNAVAFFLTVYVVVNTI >KN539238.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539238.1:126768:127259:-1 gene:KN539238.1_FG002 transcript:KN539238.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANESSSKDDSDFEDELEDMSPVDDECDGGHDDSDDEVFEPVREILVIASTPEKEKKDIEKVRAFRSPSPKNKGKAVVGAEDTRKAVVVAKDNLKIADLSESDSDYIGGDSCSSEEDEEVKQIRKDCNEFKKKLKDGELGNLDDVIYMGSASQANERALV >KN539238.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539238.1:13331:18211:-1 gene:KN539238.1_FG003 transcript:KN539238.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLYGFPWIGYIGTPVVSYPIQQPVSCDHMGVGIERNLVDVLLTPPLSLLAGTPADTAKKSNKSLVIGIVVGTVLLIVTMLIAASLCRLSRWITSRPPPMPLGEYCAGGLRPSYGNVGTHTGEYGFASPSQTPFSLSKATNNFSEERLLRTPADTAKKSNKSLVIGIVVGTVLLIVTMLIAASLCRLSRWITSRPPPMPLGEYCAGGLRPSYGNVGTHTGEYGFASPSQTPFSLSKATNNFSEERLLREEGQFGAFYKGDLTLGISEAAAVKWLKIKSGQAFAVENYVKKFATISLAIRHRNIVPFLGWSSEQDNLCLVYKYVKNWTLHHHLYSPGTLLTWPTRYKIVLAIGSGLKHLHEDVRFSFPHGNIKPSNVMLDEEMNAKLGDFGLPRHFFQYDGETASSSYGQMPVSSRGYVEPRLLHRDQLATTSSDVYSFGVVLLEIACGQPPIILQQDQAEANSLVEFVWECQEKGSIIEAADKRLNGEFNQEEMERVLLVGLWCAHRDSSRRRPSIVEAMRLLKFVVPAPNLPPRMPIPAPTAADHEGRTSPAGRTSPSAS >KN539238.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539238.1:39798:43021:-1 gene:KN539238.1_FG004 transcript:KN539238.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRLLFTQLHLYNLLLLIHVHHATSLNFRFNFSEPGSYCTPGSEIACAGDAYPYAHTIELTKTDISDGNLRSIGRVWYARPVPLWNNTTGEVASFRTTFSFQIKPANLDVSADGMAFFLGHYPSGIPHRSYGGNLGLFNGSNNKNATGTARIVAVEFDTYMNKEWEKDGNHVGIDVNSIVSVAATSPDKNLASGTTMTADISYDSSAEILAVTLWINGTSYHVSASVDMRRCLPEVVAVGFSASTGTSIEVHRVLSWSFNSTLTWMNSSVMPPGAAPVPPETISSEPIMSPGAAPVRTETVSSQSQGKLHGIIAISVAVSFVLVCAFMGFLLRRRLVWKKSNEISDGDCQIELDEIFYSKKELDEIEFAKGVGPRRYHYSELAAATGNFAEEKKLGRGGFGHVYQGFLKTDDQERLVAIKKFSPDSSAQGRKEFEAEIKIISRLRHRNLVQLIGWCDSCMGLLIVYELVSEGSLDKHIYKNARLLTWAERYKIIIGLGSALHYLHQEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGAKSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRRPVEEPDDSDELFVLSRWVWDLYSKNAVVEAVDERLRCSDDGDDELQMERVLAVGLWCAHPDRSERPSMAQAMHALQSEEARLPALRPQMYKGVPFLAMGEHGYSDLSIGTTTSSSASGTGCTAHSEPTKL >KN539238.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539238.1:56388:58010:-1 gene:KN539238.1_FG005 transcript:KN539238.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEGNGGGRLVVTELSHIKELVRQLEGHLGGSGSPDLCKHLASQIFSVTERSIGMIRSGHFDGHRKRSAAAVAAGDLDSATPSPLSDVSDLPFKATKKRKTSTEKKRHQIRVSSTGGVENPPVDDGHSWRKYGQKEILGAKHPRGYYRCTHRNSQGCMATKQPAPASAMVDADLSALDAFEFDPGFTIDITSFFA >KN539238.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539238.1:10278:11340:1 gene:KN539238.1_FG006 transcript:KN539238.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRQLLSVLLISLLSTHPPTGVSSLSFSYDFVSSQPHYNTKDLSLFYLKTTTSILDLHGRLHGDSTTTTVWSKGIRSVGRVLHTQPVLLWDNATGAAASFTMTFCLRTRQQTTGAGAGGAPPRMSVFLVPYYPSSNRNSRSVTTDGDDQIEEVEFETTLNSTVVVNVTSIVVCKLQIINTTVVTGRRHQPRTGRRRLRHSVRAYWIRPQNAETKTRSSPLTQPDEETLVHQAPVTSNERRSWVSWKQLLVCLDPWNRSVELGLGFQFFERSWVRLKLVLNSNFNISLEYGIGNEWD >KN539238.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539238.1:52712:54981:1 gene:KN539238.1_FG007 transcript:KN539238.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARDYCGLPGANFVDLGGEIVGEICGLSVVGGKGKEIQSPNGGMVYDVTSYVEEHPGGDEILNNAGGDSTEGFLGNKQRQLRSYFTCQ >KN539238.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539238.1:23284:24410:1 gene:KN539238.1_FG008 transcript:KN539238.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELHGDRLLIAAACWCVLAGAGDHRQVGREEECQPALSAVHEEGEGGGEARYLASSPVVLLQRWHGCFYSIRPAFGLTSPVPFLARTTEVSPRYASPSKCRSPEVKLCGSEKLLGRDTLLPRSGTMNCEEISAYTTIANPGVV >KN539238.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539238.1:87:863:1 gene:KN539238.1_FG009 transcript:KN539238.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEWEKDGNHVGIDVNSIVSVAATSPDKNLTSGTTMTAEISYDSSAEILAVTLWINGTSYHVSASVDMRRCLPEVVAVGFSASTGSSIEVHRVLSWSFNSTLTWMNSSVMPPGAAPVPPETISSEPIMSPGAVVPPETMSSQSQGKLHGTIAISVTVSFVLVSAFMGFIVRRRLTWKRSNGISDGDCQTELDEIEFAKGVGPRRYNYRELAAATGNFAEEKKLGRGGFGHVYHGCLQIDDQERLVAIKKFSPDSSAQ >KN539238.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539238.1:105616:115742:1 gene:KN539238.1_FG010 transcript:KN539238.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGLVALCKKRMGKLPGIHQVKSNFFSSQYLKHGPFLQQINHDQRKEAILSVMYVVAEIDIVGICLELFCQLSTNRVGIKLPTVEVRYENLNIEAESYVGRRGLPTILNTYTIIMEVSLILHSSMYHTKVQLTTTSKQGLTNALCITKKITHKIPILHNVSGIIKPHRMTLLLGPPGSGKTSLLLALAGTSTLKFGRQSISLQSVKGLAIIMAATTGEQKAEVVTNHILKILGLDICADTIVGNNMLRGISGGQKKRLTTGNVSLELLECMMVTISDPLPNIDATAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIRSELAIPFEKSKNHPAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLKLVAINAMTVFIRTNMYRDSIENGRSYMGALFYGMMMIVYSALAEMGPAIAKLPVLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYVIGFDPNVLRFFRQFLVLFVLCEVIYALFRFIVALIRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKSILGYKEPLGRLVLGSSSFLPETKWYWISIGALLGYVLLFNVLYTICLTFLTHAKEIINDEANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDTPEAFKAKGMTEGRLELLKDISGSFRQGVLTALMGVSGAGKTTLLDVLAGRKTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSATRKMFVYEVMELVEILSLKDALVGLLGVSGLSSERRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGRQSCELIKYFEAIQGVSKIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNSELYRRNKNLIKELSAPPEGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPYIVVRYLFTIVVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTIFYRERASHMYSALSYALGQRIPVWWRWYYWMCPVAWTLNGLLTSQFGDVNDKFNNGVSVSDFIESYFGYKQDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >KN539238.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539238.1:32872:34005:1 gene:KN539238.1_FG011 transcript:KN539238.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMTTQKRRIPHGALITGSIQIKLFGVCGSGRGRTAKADPVALAVRDDEGEVLREMVVRAFEEAVDIVKEEEVVVGRMETARAGTSVGARSGLGITVRTKEEENRASSTTPPPVAAAIVVAEGDTSKQEIMHDPKDMLSKVNPMAKEIRDLEDVTTVLLTSVVCKYIMGATYLASTC >AMDW01040903.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040903.1:760:2618:-1 gene:AMDW01040903.1_FG001 transcript:AMDW01040903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVNTLHDGVALAQETQRSGEAINTLESWIKISNLNMNLTPSGKLLIQSC >AMDW01033900.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033900.1:20:517:-1 gene:AMDW01033900.1_FG001 transcript:AMDW01033900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TIRNPTAAHLNALLAPLLRRHRLVGLVPTLLAAHPSVPRDDATEGIHAKALCIATGADSALHLLQRESPPPSLQLFTSVIDSYYKQRKPHRAEQLWREMVEDHGIVPDAAAHNVRITYKATTGTVEEVKELIRAMREDAGLQPDIVSHNGLMRAMARHGRVDEMME >KN545605.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545605.1:182:1196:1 gene:KN545605.1_FG001 transcript:KN545605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVASVYALPPPHAGTLEDARLILGKVFDDHHDATWLFRLYANCTPNYGIQPGDETWLAWSARNEEAFTEAAAAEVRLMSAIREAKHAVRVHRVYQAQSRRREVAWEAKQILSTATEELNVHVALLLNFDRRCSSATNFRPCMFELCIAMEY >KN539752.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539752.1:78037:79339:-1 gene:KN539752.1_FG001 transcript:KN539752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFVQDEEKQRLLLDEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANAPSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYHRELQREQEEIDTVPDTEAAEIADILSQYGLGPEEYGPVVNSLRNNPKAWLEFMMKNSIFLYDNRNDLHLMSMSVGSVCQILGEIYNNLLGTHCRFELGLEKPEPRRALTSAGTIALAYVVGGLVPLLPYMFVPTADRAMATSVVVTLAALLFFGYVKGRFTGNRPFISAFQTAVIGALASAAAFGMAKAVQSI >AMDW01030333.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030333.1:1:207:1 gene:AMDW01030333.1_FG001 transcript:AMDW01030333.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGS >KN540837.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540837.1:21701:21964:1 gene:KN540837.1_FG001 transcript:KN540837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGRLRDGVEEVGGGHWPAWGQRGGGWWRTAGDVDAVAARTARDGVEEVDGSRHRVRDGAGLLDGGGQHEGSGTAADDCGARTCI >KN539752.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539752.1:58506:61888:-1 gene:KN539752.1_FG002 transcript:KN539752.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLKCKRQLEKNKEGAFGAADIPDHESKEDELYRKMRSTFDKLCHCLDEQEHILREIGDQVDNDEKFRVRSRDSKNSFDSSVGGGGRRMASSSSSSLLRSAARELRRSIPPPRPRPRPAMSVMDRLAPRLLLSTESSNHKMNPSSPNPTMSLLDNFRSDRAKRMDTSKVQFSKDDLKEYDRYLDERTKRAERNLLLSLDKFCDACERRASLLRDIKAMLEARNKRSAQKLH >KN539752.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539752.1:32119:34469:-1 gene:KN539752.1_FG003 transcript:KN539752.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSPELGGRFDRALWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLRSVLNLPETGVKVAELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVKEVQDESYAMVRRINEAFGQPGYQPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDGILGLGPSARKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHEKHHKYVSTHDVGYWANSFLQDLERTCLDHSRRRCWGIGFGLRFRVVALDPNFKKLAVEHLVLAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLSRDQNNMLFLVSTKKRSTLEEWFSSCDNLGLAAEHGYFLRLKRDAEWETCVPVTDRSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIRENSLLPDFVLCIGDDRSDEDMFEVITTAAQDNCLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDEMHSTMPTPVDAADTALR >KN539752.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539752.1:15619:16725:1 gene:KN539752.1_FG004 transcript:KN539752.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLRSAARELRRRRSIFRPPRLAAPPVEPGSRLLTTDGAAKNTTPPSSSTPNATQFQLHRLEDALALRSEYAHCVETIKKLQVCRDEVIAYKRYLLKKKKESDLDYLLTLDQFSDTMEEWSSILRQTKEVLEAKNKESAEM >KN539752.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539752.1:28234:29788:1 gene:KN539752.1_FG005 transcript:KN539752.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEAPVLTIFSSTPEKLKVLSQGFLQQQEQEHLEDSTARQNENCNYAKGKWVADKKRPLYSGNECKQWLSKMWACRMMQRADFSYENFWWQPHGCQMPEFTGPNILKRYFTSIFLFVWISLRTGLILAFSEACRLRHKTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYWFPGTNSTILFYWSASLSELELLNTTDSVTSYALHLDRPVTFLKKYLHSFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGKGRLADLNRAKNLTLYSIARWVDSELVRYPQVKAFLRTISPRHFVNGDWNTGGSCGNTVPLSNGSEVLQDHSSDLPVESAVNGTRVKILDITAVSQLRDEGHISNSTFKGRASTGINDCLHWCLPGIPDMWNELLFAQI >KN539752.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539752.1:68974:73742:-1 gene:KN539752.1_FG006 transcript:KN539752.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLRSAAGALRRSIPRTQSGYNQAFPSPSSAAAPSLAAANPRRRLSSSDCGGSSTDPNKKLNSRKLEKNKEVGTSSRDADISDEELRKRMSSTADKLSRYLHEQTHLIRDIEVQLQDSNRYDQVKYFLQLNSRKLEKNKEVGTSSRDADISDEELRKRMSSTADKLSRYLHEQTHLIRDIEVQLQDSNRYDQVKYFLVLVPSFVCVGLILDKMHVFG >KN539752.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539752.1:17414:22305:-1 gene:KN539752.1_FG007 transcript:KN539752.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MFDCGMHMGHRDSRRYPDFDRLLADGAADYTAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMRKVIPLDLKQNIQVDKDLSIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKNNYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPNHVVLVHGEKPQMAFLKERIESELGMQCYYPANNETVSIPTSQNLKINATEKFIASFCMDETENDPQKQSLNFGGDMPQGCRTEGVAEGILLMEKSKTPKILREDELLHSLGMETHFVHFEPLHPSSIEEVKHTGESAVQQSSLADLDCE >KN539752.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539752.1:53397:55046:-1 gene:KN539752.1_FG008 transcript:KN539752.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMDRLAPRLLLSTESSNHKMNPSSPNPTMSLLDNFRSDRAKRMDTSKVQFSKDDLKEYDRYLDERTKRAERNLLLSLDKFCDACERRASLLRDIKTMLEARNKRSAQKLH >AMDW01031083.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031083.1:122:394:1 gene:AMDW01031083.1_FG001 transcript:AMDW01031083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSSYLVHQRRALADIKRSYFKRHGGLLLYEELNARKSNAFTIYTEEQLEQATNGFDESNVLGRGGHGTVYKGRVAASDDLVVAIKRCK >KN540392.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540392.1:35984:36918:1 gene:KN540392.1_FG001 transcript:KN540392.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASIESERNEEVVGQLSSEQKARLDEVMKQAALSLQSDELLNKAAAGKLQAGNYYFYVQGDLSNYTKGPLTTTTDGQQQYSGSVLIDYTNPLKPGSNNKPGIGSFTMEGDDSVEAAVVYFGKNDKGDQDCAWLIGFNINKTTKPEGRPDWYRDLCPCCCPPQCT >KN542673.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542673.1:6943:9078:1 gene:KN542673.1_FG001 transcript:KN542673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECGSGNCDAWAARDPSGILSPYKFNRRAVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKSFGLKVTVISTSESKRKEAIDLLGADNFVVSSDENQMETLKSSLNFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMMNFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFCFVIDIENSFK >KN543983.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543983.1:1364:2257:-1 gene:KN543983.1_FG001 transcript:KN543983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGRAISTNVSRVLVCLEEVGADYELVTVDFLAGEQNSPEHVERNPFGKIPALQDGDLVLFESRAIAKYILRKYKSSEVDLLRESDIGEAALVDVWTEVEAHQYYPALSPVVFECIIYPIMRGVPTNQQVVDESLEKLKKVLKTYEARLSKSRYLAGDFLSFADLNHFPFTYYFMATPYASLFDAYPHVKAWWEGLMSRPSIKKISANMPTMF >AMDW01036591.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036591.1:217:454:1 gene:AMDW01036591.1_FG001 transcript:AMDW01036591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VMYDASGIRFHTGRQAALLNQIVSDFPPEHPIISSFRPLREPLGHSPFQ >KN539121.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539121.1:69988:83405:1 gene:KN539121.1_FG001 transcript:KN539121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRLLLLADARRGLGELHRKGLFEKTTAPITGQGDRGHQHSFQKEFNFFLPRNRTVFDVDIEAVQEKPWRQHGVDLTDYFNFGLDEESWRKYCFDMEHFRHGTRTLANESSGLQQEFHYNLGLSKSVPKSEIYSVLKEGNGIAKPKGRAIHVEGGMHERLPSADMWPPRQRDSDVIQVNMMFPPSNRSSSDDRSTVNDKCITTKRCGPSNNHPGVDEYLKETSSVVDRVVDKEVHKRGSSECTRSKTVLGDSACAGAQSSTPDNSDMLSEESTEDFHFKRKRGKSNSNAFYVETNRKDEHVLSDFCRHASKSDQESSKGESHRYTPSPADDRYHKATKRQRMDEAGACISSRSLNNCQSDRHLHESGHRAKKELKRQSLAGGKHALFERQENTTDNYSSRYARKHKHKRSSSTFPGTNYRVHNQSCEKQEYLPLGRAALRNDEQCSADYNQRHRRSWREINDDEDIVGCYSARRWQQRHDDLHGSHSMLKAEVCDDIDRHMYRERRYEETRKIRHDRNGDDEFFHYTDYRFGKVLDPEDRRRCRSQSAESSDEHFRRSEHLVFDHFTHPDQLMLSHQANDNHRKSEKGWPGPAASLTFMRSRNRFIDNERIQNGKMKYNHDGYYEKKRQHYSVFDVDDIQQPALYTGSVAETGQCIRPVKRRVHADHSMNRKDRFNSSYQKGRRLMHGWSMISDRDLYVAEMHNSPKDIDVEAMCSPNDMRNSNNIPNIYDKIRHEVVNLQPRDTDNMLLIHRKRKFKRQGIEIRRVVESDSEGCLPADSDLHGSKHKNIHQKVHKPRAFRISRNQASEKSEQQKQQHVSNNQEYEEIEEGELIEQDHQDTASRSKSNHQRKVVLKSVIEASSACQGGVINATSKDADCSNGATGECDNKHILEVHTRKYEFADAEKLLNQCIMYWPEDGRPYVALGKLYSKQSRFDKARAAYERGCQATQGENPYIWQCWAVLERKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLAWAQVEIRAENNAMARKLFEKAVQASPKNRFSWHVWALFEAEQGSIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSPNTARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEDEQGDPVRAEEIRNIYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPSGPATRDNPKSTGEPSNTATVRTSADAEFSGGSRAEGSDASDLANANDKEGNDAAETPESDFDVDGFIRRRLALDPAELDAVLEGSDPRGVVSRRRTQRLPRKPLPLLPVP >KN539831.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539831.1:27344:37683:1 gene:KN539831.1_FG001 transcript:KN539831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAAASTACGLYLSRCASRSSFCEYESPSPLPRGWNTSDSASSSGVLLRIVVTVVTAAAASSSGRLPQSSRWRRFGKVGKLASASLSAPAPRRADWRWRLWSYIAGRFDGLHSASSRHVLDQEFHMRSGTIPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEDIRLGLHRSDYMLDSGTNSLLQIELNTISSSFPGLSSLVSELHRTLLNRHGKVLGLDSKRIPQNWAATQFAEALSMAWTEFNNKRDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGHDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDE >KN539121.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539121.1:107642:110279:1 gene:KN539121.1_FG002 transcript:KN539121.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTPSSSLTAPLLRPSSNANPAPRSLPLLRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >KN539831.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539831.1:8758:11335:-1 gene:KN539831.1_FG002 transcript:KN539831.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEDDRLSDLPDDLLRRILHFVPFREAASTSLLSRRWGSLWRSSGAVNLVEHVEDEEEEDVNLAEHVEYEEEEDEEDANLVEHVEDEEDFDFDDEEDDDEELRVGVAAPMYRTGGAKQRPWQEARRWRSDDIYTYTLSFASLPSATLRVLDITGCNFSDSELALPDAGVAFPRLDTLRLRLCAVRLAHLQLLIDAAPGLATAHLESVVFNTDDNNVNLSYNHRDTGARSSISHRCPAATSLVLEWCGSTDYKFYYTHSRYSNDDDDSCGGSIAIDAPKLRSFRYKGLPRPFHLKSPAPETTTRTAVSLHFNSDYYLKDDTSRVHSWRFIGNFTNAKTLKLKVNNLDHLAVADKASRSMLLSVLPNLVSVELEAAQLMNTKMNTKKSAVAIANLLRCCPALGELTMKLNSADRYWPDHHDRFQPDFYDSVDHFMRRKSNTTTAISSIDSRKGDDDRHVDEVPDIPALSRRSFNCLQRSLKKVSLKFKWSGDDCFGVQLVKFFAQNAMVLEEMRIDSGDRKLFDHMNLNVERWVGADSTKISLKRKNFANSTWEFSRICPGSTPELETSTTSFIVMPLER >KN539121.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539121.1:37814:39325:-1 gene:KN539121.1_FG003 transcript:KN539121.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGRRVRALAVAALAAVLVLVASTEARLLEKETLGGGGGIGGGGGFGGGGGLGGGGGAGGGFSGGLGHGGGLGGGFGGGKGGGLGGGGGLGGGGGAGGGFGGGLGHGGGLGGGFGGGKGSGLGGGGGLGGGAGGGGGLGGGSGLGGGAGGGLGGGAGGGGGLGGGAGGGLGGGAGGGGGAGGGLGGGAGGGGGLGGGAGGGLGGGAGGGGGLGGGAGGGIGGGAGAGGGGGLGAGGGAGEGGGLGGGAGAGGGGGLGGGAGGGGGLGGGAGGGLGGGAGVGGGFGGGGAGGGGGLGGGAGGGAGAGGGFGGGAGAGAGAGGGSGLGGGAGGGGGLGGGAGGGAGAGGGFGGGAGAGAGAGGGSGLGGGAGGGGGLGGGAGGGAGAGGGFGGGAGAGAGGGGGLGGGGGGGGGLGGGARGGLGGGAGAGGGFGGGKGGGFGGGLGGGGGSGFGGGFGAGGGAGGGAGAGFGGGAGAGGGGGLGAGAGGGGGFGGGGGVGGKL >KN539831.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539831.1:40695:45026:1 gene:KN539831.1_FG003 transcript:KN539831.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKPAPTPTPHPLAGEKVPLTAFDRAAFDVFVPMVFAYRAPAPSSEAGRRGRRRRVLHVNNEGVLVLDATVEADLDAVLAANVATDLYPALPEHSFGAALLQVQLTRFRCGGLVVGLIVHHHVFDGHSMSTFCVTWARAVRDGEAFSVPSPCLDRAITSVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAAAAAVEKIANIGVRFTAKFVAELKARVGGRCSTFECVLAHAWKKITAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSASYRDVVGAIRAAVARVDAEYIQSFVDYVEAADARGEELAATAPEPGETLCPDLEVDSWLGFSRGTETDVECLALAHHPRSRGAEGAHGVAVADVVVDDEADDEVATPEAG >KN539121.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539121.1:86504:87429:-1 gene:KN539121.1_FG004 transcript:KN539121.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRPRLTLEDYILFFTTRSGHGLTMDHLNQIVFMHGFIKFHRQNKLSSGV >KN539831.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539831.1:64035:67840:1 gene:KN539831.1_FG004 transcript:KN539831.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPISLRCWLSKASSPIPTAFLPPTGLLALRSAGGELPRLLYKPESWTKRANVIFLDSPVGTGFSYAADADTDGAGFRTGDTIAVRHILVFLRKWLQEVHPDLLSNPLYIAGDSYSGMIVPAVALGIATSSPEPHQPSLNLKGYLLGNPVTDHNFDTPSKIPFAHRMGLISDELYKTTGYTMSIIWANNDTVREALGIHQGTVPSWQRCNFDIPYTRDIKSSIRYHLDLTARGYRSLIYSGDHDMAIPFIGTQAWIRSLNFSVVDKWRPWFVDGQVGG >KN539121.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539121.1:91469:95400:-1 gene:KN539121.1_FG005 transcript:KN539121.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRPKLGGFSIPRPTSYSFERSQPPQRLYVPADDPDLDDIAFSDDAAAPSDAPPAGGGGAAGDEEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDDEDDPVESFLRAKKDSGLALAADAMHAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPPLDHSTIEYEPFNKDFYEEKPSVSGMSEQEVADYMKSLAIRVSGFDVPRPIKSFADCGFPVQLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKEMKAGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGQDVPNELMDLAMKDVRFRANRDSRKGGKKSGKGKGGGGGGGGGGSGARGRGRGVRGVDFGLGIGYNAESGSVPAPRSAAVNSLKTGMMQNFKSSFVSASSNTSSNSAPSRGAPSSFVRPALRGFVSGGTIGGDANQARAVQPAPSFVPASRPAENTVENAIPNPERLHDVNDTISW >KN539121.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539121.1:111875:114348:-1 gene:KN539121.1_FG006 transcript:KN539121.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAKYNRGNPAVKRILQEVKEMQSNPSPDFMAMPLEVPDPNPASCIYILCVSCPIWSWLGPNRTLVGRDLVLMAFFREDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTPGGGALGSLDFKKEDRRALAIKSREAPPKFGSAERQKVIDEIHEQMLSRAPPVPQLLTNETNEETNQLPASDASDEHAHKAVGGVNTSGSNSDSVNNDLPRPDSESEIVQHIVEGRTEGVSNHSRANLSRESIPRVAPTPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF >KN539831.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539831.1:5815:7645:-1 gene:KN539831.1_FG005 transcript:KN539831.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDRLSVLSDDLLRRILHFVPFREAASTSLLSRRWGSLWRSSGAVNLVEHVEDEEEEDVNLAEHVEYEEEEDEEDANLVEHVEDEEDFDFDDEEDDDEELRVGVAAPMYRTGGAKQRPWQEARRWRSDDIYTYTLSFASLPSATLRVLDITGCNFSDSELALPDAGVAFPRLDTLRLRLCAVRLAHLQRLIDAAPGLATVHLESVYFEFNIYLDYYGYGVYGGLVAVESHLLLRCPAATELAMEFCGSSSYVNSHLDGGIVIDAPKLRSFRYTGHPRRFYLESPAPEMTAVNIHFIDGDHRFADRLWRFLGNFTNVKILKLTVQELGHLAVAGKARRAELLCKFGNLERLELEAVRKPTKTKAPAPSPAVAIANLLHCCPALVDLSLKLKMLNYYAWSKNASCLRSLHEKFQPDFEKSVGLFMRHKSKMTAVSSSLIDEHHDDKVSNIPGLSGRSFACLNSSLRRVKLQFQLGSASNCLGVRLIRFFAQNAMVLEEMCIDSGNRKLCEHMNLNVERWVGVDSSKIRLKDKNLTESSWEFSRIHPDSAPEFERNATSFKVLPLERR >KN539121.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539121.1:35005:36608:1 gene:KN539121.1_FG007 transcript:KN539121.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MARPRLLLLPLLAVAAAVLSSPLGRALAKTAKKSNDIVNGPLLTSKINAKRTLIVGPEDEFKTVQSAIDAVPVGNTEWVIVHLRSGIYKFVPILPCVREKVMIPETKPFIFVRGNGKGRTSINHESASSHNAESAAFTVHADNVIVFGLSIRNSARAGLPNVPEVRTVAAMVGGDKIAFYHCAFYSPHHTLFDVAGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEIKGSITAQNRKQEDGSGFVFIKGKVYGVGQVYLGRANEAYSRVIFADTYLSKTINPAGWTSYGYTGPTDHVMLGEFNCTGPGSEATKREPWSRQLTQEEADKFINIDFINGKEWLPAYYY >KN539831.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539831.1:52890:57601:1 gene:KN539831.1_FG006 transcript:KN539831.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCTEQPAVTRRQLLLLLLLLLLLLLLLLTSSPLCSRVSASAGARARSNGGGSSRRVVRHLPGFDGPLPFELETGYVEVDHIAGVRLFYYFIRSERRPAADDDPLLLWLTGGPGCSAFSGLVYEVGPLTFDLHGRQGGELPRLLYKPESWTKRANVIFLDSPVGTGFSYAADADTDGAGFRTGDTIAVRHILVFLRKWLQEVHPDLLSNPLYIAGDSYSGMIVPAVALGIATSSPEPHQPSLNLKGYLLGNPVTDHNFDTPSKIPFTHGMGLISDELYECVKDIYQNHILEPYCTLASPHNPRIDKPFTSGGRQMLQLQEDQDLHLSEISSECRTARYTMSRIWANNDTVREALGIHQGTVPSWQRCNFDIPYTRDIKSSIRYHLDLTARGYRSLIYSGDHDMAIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVGGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMLARWVSGDPL >KN539121.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539121.1:129339:137726:-1 gene:KN539121.1_FG008 transcript:KN539121.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSHDPPEAKDEAKSRTECSGFRGKTQTSSPYIDQIGSGQILLPFVAAAVGYPNLAAAVGYPNLAAACAKWDADGRRLLVSNFFGAGVSELRAEAKGKEKEEERVVLADPDVAGRVALGLAVDAPRGRLLIVYADRMPRFGYSAVAAYDLASWRRLFLTRLDGPGDSTLADDVAVDDEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFFQRPGWINNFVGLNGIVYHPNGYLLVIHTSGGDLFKVYPKTGSVHVVKVKGSLKTGDGLALLEDIRACVESADAVPALLWLLKNGSDNGKEIASITLNLLIHKSDTGTISQLSALLTSEQSESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRNDLRETHIAVKTLLQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPIAHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSIPKRMQHLHCKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHYLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFALHDSKTRAEILEAGAVEMLMEKISQNAFLYVATVAYRKQRGTRLNRLLENPAKRPVSRINIVLVHNHHLCIYTGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQPLASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPVQITLERLFRVDDIRVGATSRKSIPHIQ >KN539831.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539831.1:4512:4916:1 gene:KN539831.1_FG007 transcript:KN539831.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVSFTHPNTTALLERYYDGTPEGVYTEDFPVRPPRPYNYTNPALIPPGPLEEVLEPTFKATKLKRFKYNTSVEIIFQSSTLLMSDSNPMHLHGYDVFLLAQGLGNFNAKRDIRKFNYHNPQLRNTILVPRSG >KN539831.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539831.1:13232:14014:-1 gene:KN539831.1_FG008 transcript:KN539831.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTRLRFPAATALTLINCLTNGGMSGSVIDAPRLRSLTYKGAARSQFELTSPAPDMKMVHLHFNHYFHQRDYLRFIHNFTKVKVLKLKAENIDDMAVHGMYFPNIARLELDGGFNRWSKTAKAAAAIASMLHCCPVLCDLNLNLISTVSPDYFKNSKQVQHFFQRKSQLDFDRSIDDFMRNSISKRGDHRHNGDEVSGFIPGLTACSFTCLQNNLRRVSLQFRLDENSENFGVRLVKFFAENAMVLEELRVLTVETET >KN539121.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539121.1:124258:126549:-1 gene:KN539121.1_FG009 transcript:KN539121.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MSPKGLILLSFASSSLLFSFLFSLFALRFGRPLHLPFVASSLAGNASATIARGPVFAADGGGRSTSGAAVNVLPGRGRSGSLGEAARRSDAGGFPSAGGVGSAMEVKEAALGSENGGAPANGDSGSAMGEEGAPAGGGDGNSAEGENTTKEVADSAMETNLLVSNASASQGAATPVEEPKKPKSVQDVDSTIGASALGSNGEFLQGESGNSSSGAYTSQRVDQGEHSAHSTVRNSSGTAPLSSSKQKTDLVQETVDSKVDVARSDAALCNVYDGRWVFDESYPLYTSDSCPFIDEGFSCEANGRMDGSYRKWRWQPTHCSISRFDARKMLEMLRGKRLVFVGDSINRNQWESMMCLLRGAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKARIGSKRTRTLRIDTVDRTSSKWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDHVYPHLDASTAYLKALTTWASWVDHYINPRKTRVFFRSSSPSHFSGGEWNSGGHCRESTLPLNDTRVRAVPEINMILEQVAQQMKTPVTILNITNLSGLRIDGHPSVYGRKAVVGLTTSSVQDCSHWCLPGVPDTWNELLFYHLVSSQQKGVTS >KN539831.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539831.1:24030:25119:-1 gene:KN539831.1_FG009 transcript:KN539831.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVFLYILRFSSLPSNTLRVLDLTRCHNFSPPPPRTASSATAVAFPRLTTLRLRHCTYRVKHLHGIVDAAPELATVHLEFVLLTSDRHRRFGPVTWNTGLRFPSATALALIHCRGEEGAPGRSMEITAPRLRSFTYKGEAARVLRLKANHLDDMAVAEVFPNLEHLRLELDGAYSGWSMATETAAATIAVLLHCCPVLRQLELNFISDLPPDSCKNSKQVKHFFQKKCDADFEKSIDDFMSLTKFESKQRLDIPGLSDCSFACLQNSLRRVGLQFRLGEDSDCFGVRLIKFFAENAMVLEELHVLTAETEISEST >KN539121.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539121.1:88388:90549:-1 gene:KN539121.1_FG010 transcript:KN539121.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTTHGGSDDCWGQDLSHGSDSVGGARQVFDEMPSQLGSAAGAALHVQVNHLIYPVSTNVMHQVFNPYGAVAVQMLVVDAWRVEAIVWFRTTCDAEWAQAELHGRNIYDGGCVLDVQHVPTLLEDRADIAPTKCSMQVPGCATTKSDTQSTPTTLEHVFPATMSPSAASTKSAVTTTSASLTEAMEAEASMDKVVENAGKAIQDLCTRIDRILEAFRDTKVDLSENKDSTRDVAVLSANTSPTTIALEVSAEAGPTNHVDSAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSMNLCFRDPWLALNAIPSRILIGCLSYDLGVNSLSLVPSTLEVPYHCFVLGSVCRVSSPPVPLWRVAVPLYSDQVYSGSRPSPWPDPWLHSGSGSVVVFQPLQPWPPPLQAKSKGSIVERQLELWHDPQIKQDNKGVVVNLLQPRLSPDKWNESWFSCDNAWELAQSHCKFLLTEHMALIAQYEKNRFEQDLSLCMVSKRASWNLWNLLTEGSISLMEAKAQLFRRMHWVGSKTMDQFVWNLCMPNMEKSPWPPPPHKIRTDLLWLNSHEVSSLQFNAEFWRLLARINLFDCHSGQEDMQLFQAGAKQYSPLVVRMALADHLQAPWDPGGSNLVTLLHVRKDRQQPPPRPLQIVFPIGLSGVKKFR >KN539121.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539121.1:48209:55894:-1 gene:KN539121.1_FG011 transcript:KN539121.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTPITAGARGGHIQPFGCTLAVADDSSFRLLAYSENAADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVSYFSSFSIPEGNTYSHAGISYAEFGRGQVKYLVKFIFVGRICCSTLGLPVLVKAFPQRLFKTCLVTPAGQPKRVTWDLLMENPVYESSRNQHFVDSDEAHLNFGTASVILGVEVVPPVS >KN539121.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539121.1:1499:1969:-1 gene:KN539121.1_FG012 transcript:KN539121.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMVDEDVELWEALEKGDVTVKAKLDGVGYHTMELSKEDRIVEFIAQVTNLIRDVANFGRMERRDKVKYGNSGGTTTTTLVRRR >KN539121.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539121.1:99654:106217:1 gene:KN539121.1_FG013 transcript:KN539121.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRSHKELRSAHVNFIKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCVLSRQQGVEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRVEESAAGDEERHAPQHNWVDEIVRREGRAGLGGGNDVNCNSTAIRLRSARDSSALTREERESPEVWAHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAAELVVAGDLCRHLRKTLEAMESASIEELNLNESLQNFLQDCLLEVVRGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSNAPMLFPEALLQQILKSMVHPDVDTRVGAHHMFSAVIVRGPSRQRSESDFLYETKKWQSRTTSVFASATALLEKLRREKESLGSDKTGNMDDEKEKSIREEENKHVWARKNSAYFSKLVFSFTDRYAALTSSAEEANIVMLTEDQTNQLLSAFWVQANQTDNTPFNYEAIGHSYSLTVLSSRLKDSRNSNNIQFFQLPLSLRSVSLTPNGVLSPSCQRSIFTLATSMLAFAGKVCHITELVDVLRCITSCNMDPYLRIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSDCRTKVGINDQRVLDVIACALCNLTEMDKDVLVKELTEMFTPEEVPLFGSNSALDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTGSSISKTTMPQSVPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESSIRTTSEPCSAVKLPPASPFDNFLKAAYRAQ >KN539121.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539121.1:143662:144309:1 gene:KN539121.1_FG014 transcript:KN539121.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSTAEGHLEAHYADMLAAFDNPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTGELGAYDVVFLAALVGMAAEEKAG >KN539121.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539121.1:116779:123622:1 gene:KN539121.1_FG015 transcript:KN539121.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKASDSSTQRPKVRALASVSTPRARFPTPISFPNLIGLAVQRPDQGPSGKDAAGLVALHGKLAQLKRQRRNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWWKERSEVLFEKYEKQSQSSYKTDLQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREGYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIAETSRSKITSSEYADKSATPPPGDASETENVSPDMPLRTLGRRKFSKHASKSNDHSPDKRQKIYSSQFPFATSVLNKQSVPEIGETCPDSIESAVDQFPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQCKCVAKIAPVWKMELVVRSIAGAQRAAKIGLEVVIAQKVNAEADNARVLLPVVNAIQMFAETVGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >KN539121.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539121.1:64085:68170:1 gene:KN539121.1_FG016 transcript:KN539121.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRILALVASEFALLPALDPDEDKAYAGGKVFVKAVHDGVNIFFMLKVDGDYTYTKGCVVLTSEQVTCAFDWLVEHYSENKKCPSVALMFQIGEKATYYNMGGCKDMPGSCTSKSCRGQEVDIMHFSVGNAIPGRLYGGNHIDNANGNGGDRFGHLVDLYAWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDRLQQDAQFTIGGPNSMAVAFWYPNDGKPWSKSEHYSASCDWLVLDIQPSMEAAHYRPAPNRSWDAATAFALLLSVVAICISVFVGYGASKNRSSVQFTPLEQI >KN538761.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538761.1:198060:200500:-1 gene:KN538761.1_FG001 transcript:KN538761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVFDQLESKNGVSWNALIAGFARKGDGETTLLMFAEMQRNGFEATHFTYSSVFSAIAGIGALEQGKWVHAHMIKSGERLSAFVGNTILDMYAKSGSMIDARKVFDRVDKKDLVTWNSMLTAFAQYGLGREAVTHFEEMRKCGVHLNQITFLSILTACSHGGLVKEGKQYFDMMKEYNLEPEIDHYVTVVDLLGRAGLLNDALVFIFKMPMKPTAAVWGALLGSCRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGQWDAAARVRKMMKATGVKKEPACSWVEIENSVHITPQKLSPYFTCDHHKHLGSESQSVFSYRRLSWNERMLLCGLLTTLRHPYTVCLSTN >KN538761.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538761.1:263307:267008:1 gene:KN538761.1_FG002 transcript:KN538761.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSFAGRVLFAAAFLLSAYQEYALLLPPLPLPSLKNSPLANPDLRAPRRGGGRLDLAARRAARLSGGGEGGLDVGSGGFNEFGVDGGPAAKALQPKFNTIVANISTRTGLVVPHIELKHIVAAMIALKGLGGLLFILSSSLGAYLLNCALVGALLFFLAMKNSIPKRQPNRKKAPKPKST >KN538761.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538761.1:171527:172719:-1 gene:KN538761.1_FG003 transcript:KN538761.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGAYTEVARQKAVGRGRKVAAPRPNFPQVKTMPRLLGTDGSGVLVVIFMEASFEGPFPLNISPDDRPLCGGAYDFHKMTTLAGVSEGKRIHLFLALFLSNPRADTG >KN538761.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538761.1:204427:205989:1 gene:KN538761.1_FG004 transcript:KN538761.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLKVLTTLDHARTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDLAGDNPGSLPPNVSAAGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNAYPAPSYADGRAASLVPEADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKNLFQKDIFSKVGWIPPARTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDVAGRFAIQLMGFAMMTVFMLGLAAPYHHWTTPGNHTGFVVMYGFTFFFANFGPNATTFIVPAEIYPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPHKPEAGYKPGIGIRNALFVLAGTNFLGMLMTLLVPESKGMSLEEVSKENVTDDEEATA >KN538761.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538761.1:230797:231100:-1 gene:KN538761.1_FG005 transcript:KN538761.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRSKDTATKYRGVRRRPWGKFAAEIRDPERGGARRGRGDKIEFEYLDDKVLDDLLDDEKYRGK >AMDW01033469.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033469.1:12:338:-1 gene:AMDW01033469.1_FG001 transcript:AMDW01033469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADYSISMARCISFLSTSSSLPCATKPPCCSVSSVLPSSPSSHQCRGRKRSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVE >KN538761.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538761.1:167839:169483:1 gene:KN538761.1_FG006 transcript:KN538761.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLYLACEGEIQQLLHGPRDETDIYKCLAGESYLLATKETVTIQTPPNPTLNLLDFIPKYGSRCGRRCGRKRKPFSLKPLWFGTTTIRRHHLRKNNEHWTFKEITELVKGVSKHGVGSWTKLKRDFFSTSIRTAVHLKVKVQKTMILSLDMELVEEIKHLASKHPYPRRKNY >KN538761.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538761.1:273735:274530:-1 gene:KN538761.1_FG007 transcript:KN538761.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLPLVFLHAAVVLCLCGGGGIFLGRRRVHDAGVAPLPGLALACGPRDVPVAEHRVADGGKVSGGGSIGTPGDDGKEGGSQEEVGRERVKGTQHRAQSLLTFICGGGGDGPGDGEAALTGMRLRILMARSGGSQLTNSLADLDAALGLLG >KN538761.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538761.1:287419:296190:1 gene:KN538761.1_FG008 transcript:KN538761.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRAKVAAALGVVWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQRDHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLYNFLIKPILTGRFFVAVSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSMTVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYLRMDTSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAIETVNITQMNSTASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPQGSAGAFIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGSCHSSIDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQRGGQSGIMLDGMVPVGSLSWMYQMFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINT >KN538761.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538761.1:215167:216988:-1 gene:KN538761.1_FG009 transcript:KN538761.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQQHLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLAKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRQSVYGMTLLMMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKPRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGFPAPAYQDDRAGSTVRQADYVWRIILMLGAMPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIQEEQDKLEQMVTRNSSSFGLFSRQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKAKTMSALEEVFRIARAQTLIALCGTVPGYWFTVFLIDIVGRFAIQLLGFFMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQDPHKPDAGYKPGIGVKKAKEMCVKYFNYVNSVFKYLAT >KN538761.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538761.1:180943:182116:1 gene:KN538761.1_FG010 transcript:KN538761.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILQPAHEDDIHHLLQGPQDEADLDKWLADFIPKDGCKRGPRGARKRQTFPSRGARTRRAFPLDKWRNLLKACGIDFTSTAKGKAQKTMLWPLDKRLTEQIIQLAYKHPYPRQKY >KN538761.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538761.1:149099:152709:1 gene:KN538761.1_FG011 transcript:KN538761.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATATAALRLRAAFLSPAPPPAAAAASAAAASRLLPRRPTASILLLPLRRLCSVPPHAVGDAGTGSSSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGSERCFLSLFFAVSSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTAGDYDCPRKVLSFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >KN538761.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538761.1:255009:256821:-1 gene:KN538761.1_FG012 transcript:KN538761.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQDSVKALEADIEHANELASEFLRDYDGAVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMIYKVYADGTTALPEWEREASIRQFYGVIFPSLLQLPSGITELDDRKQRRLCLQKFRKVEERVSEVDLERELECGICLEVNAKIVLPDCAHSLCMRCFEDWRQNFVYLNVVFKKERKRLPLPYIGWLCSSGCKVSCKSPALLIEYHNALSTESLTIFMHQDVVHPIKPASRMTLFITAANILLNYVLLLSRNTKSKSCPFCRACLKKVNPSSLWLYTDDRDVVDMDTLTRENIRRLFMFISKLPLVVLHVVDLDIYEYRIK >KN538761.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538761.1:277706:278657:-1 gene:KN538761.1_FG013 transcript:KN538761.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVKQKGLAAAGEFSTKPPNKKFAKKTAKKPSPATAKKKEAAAAAVEEEDEPTKKPKLKKEEDDSNSAISAAGGAQKRGYYNPLYDDPTDETYAPPASPPSAAALATASSKTSTSSSKARKKKVADSGEGFYYYAGFGPFRTKRHCRSSSNNNNMQDQPPPVEEEQHEEEEARSPEIADPHPSAGNKSRSGNGDDVTTTTDRQTTLASTTTAVAARDDFSSSDDDDDIAGIAGGDEESSDDARIGRLRTGINGDARKNKSQQARKRWRKPVKARSLKSLM >KN538761.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538761.1:191757:197654:1 gene:KN538761.1_FG014 transcript:KN538761.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPWAAWLICLHHWTLLLFLVPSAARRQTNKPISERGERIKENGSVMEQQLASISVTESAEGMWRRQEDHRDGRAVSGEDATAGGGATGRRKKVAGSVHVKLQASRAFFRSLFVGEQYHSVDVRTTTRICSREAVTAAAIPDEEKLRSERTRSAMAPDEEELCSECARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLENQKSKIAGVVFCTVSSSDTEIYKRLLPLYFPRDRQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGATDRAATTAPIDLPFDSGLASKRSSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSAQAQKGFNYAKLLGYGDLACPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILAMQMFVDGEVWKKVVYVDRLVHLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRPSG >AMDW01068254.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068254.1:64:670:-1 gene:AMDW01068254.1_FG001 transcript:AMDW01068254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPVCESQVIVQYIDEAFPDAGVPLLPSDPYDRAVARFWAAYIDDKLLKSWLQASMCKTEQEKAAAMKETFAAVASLEAAFKECSKGKAFFGGDAVGYVDVTLGAVI >AMDW01020129.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020129.1:28:261:1 gene:AMDW01020129.1_FG001 transcript:AMDW01020129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVFETRVALQLGRSTSELKQFRRMASPSFKDDDVKDFAGKLF >KN543018.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543018.1:1919:3263:1 gene:KN543018.1_FG001 transcript:KN543018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTVGAGNILDAPCDFFYGIVNLVNVSLLSVIPPVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >KN543018.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543018.1:7964:10644:1 gene:KN543018.1_FG002 transcript:KN543018.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDHASKSSCSSLSTSTQESEEDVTVGTLLTEAKNSGRSLGKRLSHLDSIPHTPRVNGQIPDVNNATIDHETLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKSVVKQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFSAKICLLTSFRDTCLIEIVPRGATPTKELWLSFWSEVHYNSLYATEDLPNRKTRKKHWLF >KN545225.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545225.1:820:1035:1 gene:KN545225.1_FG001 transcript:KN545225.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSPAPLSPPSIASILISGSHFPTPTTSALALTSSSNLTELDIFRTHIKAKDLDLQYNPALEKLSFIV >KN544092.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544092.1:370:4215:-1 gene:KN544092.1_FG001 transcript:KN544092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEPYGHIFINLIIFAGFLSNFWSLIVFQITPLPKDRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENRTVVYQGMEIPNKHELV >AMDW01040085.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040085.1:221:649:1 gene:AMDW01040085.1_FG001 transcript:AMDW01040085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHLMIFNLVLLVLSSDMMIATRMTNYGRLDAPVCKKISFKVHCDDIKPCVQLCATQDPLYPIPSKVASIVCHNSSECECMYCPRAIRN >AMDW01039468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039468.1:49:993:1 gene:AMDW01039468.1_FG001 transcript:AMDW01039468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELVFRDNKLTTIPDASIFKGLLVFDVSFNEISSLKGLSNVSSTLKELYVSKNEVAKMEELEHFHALQILELGSNRLRECIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTAIEDVETLSRLEDLWLNDNQIPSLEGIESALASSREKLTTIYLERNPC >KN539955.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539955.1:65864:67217:-1 gene:KN539955.1_FG001 transcript:KN539955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFLRAADVTTEKDELVKVWAEQVRDLAYDIEDCLEEFTVHVKHQSLSRQLMKLRHRHRIAVQIRSLKLRVQEVSNRNMRYNFIKSAPSREMDDFSTNMEMTRYQAAHYVDEAELVGFDGPKKEILKMISGSENVEVQTIWIVGAGGLGKTTLAKKVYESSNITSMFPCRAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFTKYKEVKVKENNLTDHLKEWLRNKRYFLVLDDLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAESGSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMNETFEKILKKCGGLPLAIVTIGGLLAAKDVKEWDELYAQIPSELENNPSFEVMRQKLLPQSKNTDASCYRCT >KN539955.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539955.1:45901:48749:-1 gene:KN539955.1_FG002 transcript:KN539955.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKEEEKELKADKNLRRRGEEMIKGDSKQLASMYMKEEMIKGERQLGTHEDRLKDEVIKDGDKISDGNFFKSLQNISSTKEEVCSPGPIERSCMDSSLLNMKAQNKGQDDKLESTRAEMGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSTSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQKWTN >KN539955.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539955.1:34171:34560:-1 gene:KN539955.1_FG003 transcript:KN539955.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDDVATGRGGDGEEPDGGGGGRRHHAGNLPGEQRGNDGAKTPHLAPDPLSLRGGRKLVGAKVTEEEEKRGVAGGHESDRAGVAGCPVDRRAGGEGENEETRANEPGSGGVISFVHQPSRSALLSADY >KN539955.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539955.1:5718:6080:-1 gene:KN539955.1_FG004 transcript:KN539955.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAATTCIGFILLTASSIAAIHRSHGEITETSFIAVSYLCLVLLFVFLRRFEAAPPNSPARGRAKAGVWVATALLTAVFSWRVSALMPWPVDAIIWVMAASTVLGGFYALFLHHPGVD >KN539955.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539955.1:28297:28638:1 gene:KN539955.1_FG005 transcript:KN539955.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGALTKLGFGALTCNSALAIYRSRGDPAAVTFVCGAYAAIVLLFYFLLRFERRRDDRGRTKVVVWVLTTLLTAMFAARVAPLMPPLVAFVVWVMAAGTSVAGFWAFFLNR >KN539955.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539955.1:53772:63967:-1 gene:KN539955.1_FG006 transcript:KN539955.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYIKDELKTMHAFLRAAEVTTEKDELVKVWAEQVRDLAYDIEDCLEEFTVHVKHQSLSRQLMKLRHRHRIAVQIRSLKLRVQEVSNRNMRYNFIKSAPSRDMDDFSTNMEMTRYQAAHYVDEAELVGFDGPKKEILKMISGNENVEVQTIWIVGAGGLGKTTLAKKVYESSNITSMFPCRAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFTKYKEVKVKENNLTDHLKEWLRNKRYFLVLDDLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAESGSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMNETFEKILKKCGGLPLAIVTIGGLLAAKDVKEWDELYAQIPSELENNPSFEVMRQVLALSYKYLPSHLKPCFLYLSIFPEDFEIQRKRLVYRWIAEGFIRARDGVSIVDVAIKYFNDLINRSLIQPSRVNMEGTIKSCRVHDIIRDIMISISREEKFVCWIDDKETCALEENIRHVAYYNSNSSGIAMDSNQVRSLTVFGDRPKELTPLLCSPQVRMLRVLDFQGDRFGMTQKEMDHIGSVLHLKYMNIRCDSDFPHFNGYSKIYRIPRSIGKLQGLRVLDISNTYITSLPTKICELLSLNVLRSTYGVRVPKGIGNLKQLQELGDVDIRLTSSKAVKELGQLSQLKKLKLLTNGATQRKCKVLREAIEKLSSLQSLRIDAFGCWASSLRSLEWLHSISSPPPFLKNLTLEGCIKEIDWLRELTHLVKINLGRSELKEGKTVEILGELPNLMVLQLWWNAYVGEKLLFQAEAFPKLRKLEIGDLEDLREMRFEERTSPRMETIDISNCRLKSGITGIKHLPKLKEISLACVEFEYNGAQPGWFLNLTLLLMICFLRASSNTKPGWFLNLTLLLMICLLRASNTKDLDIDEQEKCAFSRAHPERPKQAQLHGLQVIWTLLLYFEGKKQQCFQQYKSKSWAEVAAAAAAELKKQQAAIQVASLANWGFPHSCCVSRLGWLREKAQLNMLNMVSVDQPLCLPVAAIGNFSLVGRSSYGADDEGMLQPN >AMDW01034428.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034428.1:3:515:1 gene:AMDW01034428.1_FG001 transcript:AMDW01034428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PWAADVARDRGVPSALYWIQPVSVLAIYYHYFHGLGGVVDEHRRDHSFVLEFPGLPPMAAGDLPSFLTEATDPSDYFHSIFTTFRDLFDALDRETPKATVLVNVFQELEADTLAAVGAYDVLPIGPVLPSGDDAALFKQDDAKYMEWLDTKPAGSVVYVAFGSLTVMAKGQ >KN539955.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539955.1:13970:14329:1 gene:KN539955.1_FG007 transcript:KN539955.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGRSAWISRAGLGILTVNSGLAVYRSRGDAAAVAFVLGSYAALLLLFSCLAAFERAPPGSPARGRLKRAVWALSTLVTAMFAWKVAALMPPPVAAVVWALAVATSLGGFLAFFVYT >KN539955.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539955.1:36514:42312:1 gene:KN539955.1_FG008 transcript:KN539955.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTSCALPGAARPHLAVSPSPPASSIRFCRGGRAVVSLRASVPPAAAAATTSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVRQGGCSGMSYTMEFEDRSNASPDDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLRKWKIFYDDPKRPNRYLLARELVSRPDATDIEIIFGGGDEQSDEAPSLMNNVMGVFSSVSRFMRVISK >AMDW01073309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073309.1:5:241:-1 gene:AMDW01073309.1_FG001 transcript:AMDW01073309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRSPAARSLFMANNTHYIAKKVRGSSKLVGFVGGEGWAAAQSAETRRHVDAFVHEAWRDVLVVGGEGADAAVREA >AMDW01030800.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030800.1:105:428:1 gene:AMDW01030800.1_FG001 transcript:AMDW01030800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLACGDANANFVRKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRKRYQIDDFISKPSVVEIDPRLPALYEEVERL >KN547728.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547728.1:370:643:-1 gene:KN547728.1_FG001 transcript:KN547728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALHIDGDDVVLDVGNLALAGQASGGGGGGDDGALHIDGDDVILDVGHLAGQASGDNGDAVDNALMRLPCALNAVGVLTGTMAAAAAR >AMDW01037587.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037587.1:7:585:-1 gene:AMDW01037587.1_FG001 transcript:AMDW01037587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSADCLSYRDIEQTTAIPSADLKRCLQSLALVKGKNVLRKEPMSRDISDDDNFYVNDKFTSKLFKVKIGTVATQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRVESLIEREFLERDKTDRKLYRYLA >KN540905.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540905.1:7290:7787:1 gene:KN540905.1_FG001 transcript:KN540905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSDDSGGAEARSEHEVMARAKGRLAELASNASWGREWGAGPTWEAADTWAPPSSPDTTATNAPVPSNPAAVADAGGPPAVIAGGRDSVLAGAGRDRCDSQHGHYKCELARTRRARLAVASVAAVMYSYLIDSIIFWVQFKPPFSLQYSGRILFFSVAYDFR >KN540905.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540905.1:28964:39061:1 gene:KN540905.1_FG002 transcript:KN540905.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRLEVIMKVLGVDKSASQRDIQKAFHKLSLKYHPDKNKSKGAQEKFAEINNAYDILSDEEKRKNYDLYGDEKGNPGFDGGNFGNREGYTYFTGGDAKTSHFSSGDGWQTMGGQGNTKTFSFSFGGGNPGAGGGNPFNFDFGDVFSNIFSGGSMGGNQHTGSAGKARRGTKSSGHDSSSVNIQEVTMQIFNKETADQGITWLLLFYTPNTKGQFVLESVVEDVARSLDGALRAGKVNCDHERALCKKAGVSIGKSARLFIYSYTTTEKGSLHEYSGDYDSKSLKTFCQEHLPRFSKRVDINQFSFPSNIIPNLPQVLLLSAKKDTPAMWRAVSGMFRSRLIFYDAEVQDVSHPLLKSLGVKNIPALIGRSVNGEEQLLKDGISVKDLRSGIKELKNLLENFEKKNKKLASNQAKKPAHTDQPKENKIPLLTASNFEEICGEKTSVCILGIFKSSKAKENLEAVLSEISQKTLIRGQNYNSGNAVAYALLDGNKQSAFLSTFDKSTFKSSDKLLLAYKPRRGRYAVYDNEVTMEEAERLLAHTFGPAQRVVGSKRSSPGPDLRMASRSLSPLSSASKLCSRCGQADEPKMAATTFSSSPSKVTTAKDSCGSNYRSGTAKTDVSVPRAAAASATVAEEGGGKQVMVVLVGPPGSGKSTFAEAVLGGSAAGRTWARVCQDTIGNGKAGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFMKLGSHVHVDVHAVVLDLPAKVCISRSVSRTGHEGNLQGGRAAMVVNRMLKNKETPLLTEGFSRIMFCKDDNEIKKAVDMYSALGPSDSLDSGVFGQNSKGPVQFGIMKFLKKPGSSAEKSGGHKVTPNESIPQMQNHISEQQNLEVGGTCTMESVKELSNSKKIEDQSRESVLSDISSRTLAFPSISTADFQFDLDRASDIIVDAVADILQKYDNIRLVLVDLSHKSRILSLVKEKAAKKNINSSRFFTFVGDITQLQSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLQRATKECADTLRPGSSVAVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFENFVAIVQSCMGKQNTEPALEKPATAVTSPNDSKTKRECNHDSERTKKHKLLQPNTSSNQAREGDSKRSGVTTTKTWGSWAQALYELAMHPENYKNSDSLLEISDDFVVLNDLYPKCSNWHLSSTSYLQAKRHVLVVSRKDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQRGSATISSDDRVLAMELRCHRCRSAHPNIPKLKSHIAS >KN540905.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540905.1:15855:24835:-1 gene:KN540905.1_FG003 transcript:KN540905.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCSPPYTLRTASPAAGGTASIPRFFYVWALLAIAGFGPTLYELKISVPVYVFFVILCNKIHNGSKSSKHFVTCAIDNEEKVYIRKSLLLNMREENGKIALQLAALIARIVCFDYPKEWPDVFSVLAQQLQTSDVFTSYQVSTVLFRSLKKLSKKRLAFDQRNYSEITVYLFDYIWNLWKSNAQIVLQNFSVLSQHNSSLDQSNDLLLIYERWLVCLKIIRELICSGYASDSTTMQEVCQIKEVCPVLLGAIQSILPYYPFFKERQAKPWSHAKRACIKLMKVLIILQDKYPYSFAHETVLPAAVDFCLTMITNPEQADTSFEEFLVQCMVLVKLVLECQEYKPGQIGFEAVGSSEHASFDQRKNNLSATASSMVMSVLPADRIMLLCDILIRRHFIYTATDMNEWHSNPESFHHEQNLLQCTEKRRPCAEALFIILFDNYGVQLAPFVASIIHDVKAVSPPLEIEITAGMLLKEAAYTAAGHVFDELSKYLSFDEWFCGYLSIDLSNGNPNMCIIRRRIALLLGQCAFEIKGVIQKEVCDALVGLLGDQDMAVRLAACSSLCYAFRVFGIWEVDLLECIPTCWAMCFKLIGAVQEFDSKPAGVLVFRKFCLGIAGNAMQVQVLSFILVLLNYVGDDRIIPFVSELSQFFLKTWEESSGECLLQIELLDAIRTFISSLGYNSPLCYGMVLPILQYGMDVDSPNALNLLEDTVLLLEATLSNAPSIVPQLLDFFPYLVGIMNGSFSHLEIMIKIIEHYIVFVGSDLLQSHATSLESILDTIVGNADDKGLLTTLPIIDLLVLMFPQEVPPLISSALQKLVFISLSGGDEHYPSRTAVCVTSAAILARLLLLNRDFLAQLLSEPALIARFQQAGINQNLLLLLVDWWINKVDDANSIEKKVYAMALSVILTTNIPGVIEKLGDILRLCTSVIIGGHGRTTSDDSSDDTISSLPLSDDPEYSNTSKEFKKAQIRELDPIRKASLVDMLRENLKECAALHGDAVFNAAISRIDPLVIAQLRQALEIG >KN541851.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541851.1:18902:22070:-1 gene:KN541851.1_FG001 transcript:KN541851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPRFSSENKESRMEPTGRRENFSKKKKNMKSFRVLHLISRELVWILCYEVKRPLLGPKDLPLCINKLPLMIDREPAGSSRHGSNMDPSINIPVNRDAITEEVAAAFTESEVIPERYCRPDEVHDGIVVGHDDDEAYELPVVDMEKLLDPELAEAEIAKLGSACQDWGFFQLVNHGVDEQVVNEMKDSTVKFFSLPLESKRTVEIQDNGFEGFGHHYRRASGKLDWAESVILLTQPIQERNPEMWPTNPSSFRDALDKYSAEMTKLAMRIASIMATDLGVDQEALVGAFRDKQQNMAIHHYPPCRHPDKVIGITPHSDGLGLTLLLQLDDTPGLQIRKDGRWFPVRPRPGTFIINVADILEVLTNGAYKSVEHRVLADAEKGRTTIVTFHEAYVDGMVTPIPEVLKLNGAEARYKSIERLEYIKGNFVALSEGTRFLESLKI >AMDW01038513.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038513.1:400:783:-1 gene:AMDW01038513.1_FG001 transcript:AMDW01038513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDRHVTSNNTVRINVISRHDGYGPPTWECDNARDVELNRVLPMANVRRVCLRGVCERSGVVFLAIGADLYNQQPDLALYALDMDKKEARKVAAPPGHCRRLSSSFFGYEMDRVAYLASLSGGESIAS >KN540905.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540905.1:10194:12585:-1 gene:KN540905.1_FG004 transcript:KN540905.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREEEEEAAAAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEEILLDMKSKLYRFDKEGNQWKERGTGTVKLLKHKETGKMDGVRLDWVMFVCAVATTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFREMVEEIAEQQGKNEEKENEEVSSTAGLVEKLSVTETKKEENAEKEETPAEEDKKDAKE >KN539103.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539103.1:41480:46390:1 gene:KN539103.1_FG001 transcript:KN539103.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MESLVLYEFENFEIPSLSFSASKLRNMDAFSKSDPMLVIYIRKDTRLEEIGRTEVILNSLEPSWITKATISYQFEIIQPLVFKIYDIDTRYHNTPVKTLNLAQQDFLGEACCNLSEIVTNNHSLTLNLRDSCGHALLGTVTVHAEESNSSRMAVEMTLHALNLENKDVFSKSDNPLLVECFDFDSSGDHELIGAFQTTITQLENLYNSKSGANFFSHKGQKKLKGQLFVDKFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRSPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDRRFPAWGFGAKTPQGYISHCFNLNATTNDCEVVGVEGIMSAYTSTLYSVTLAGPTLFGPIINKAAEIASHSLQYGNNKYFVLLIITDGVLTDIQETKDSIVRASDLPLSILIVGVGNADFKQMEILDGDNGKRLESSTGRIATRDIVQFVPMRDVQGGQISVVQSLLEELPGQFLAYMRSRDIKPRAPLQHDNASSAPPLYPPTK >KN539103.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539103.1:86185:87507:1 gene:KN539103.1_FG002 transcript:KN539103.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPANGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTITALEMGAVDTLIVWENLDVRRYELKNTATGETVIKYLNSDQEADQSNFVDEATSGEFDIIDKPLLLEWFAENYQQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVAAFNDDDDDMLDEADYEDFE >KN539103.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539103.1:15674:19571:1 gene:KN539103.1_FG003 transcript:KN539103.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKALYINHHRRTAVAISGVAEFVENNLRNGSLEAEYYLKAIANLASMRDIGFIDAQFFLLSRNYSAIMNLIGLHYSISSLNIPPNEVYKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMSEGATILAILKRGAVHEVFRLQRSNQASPIRGLTVLQMSISTKAMIAATRSPMRLNAASSSDSSVQHTLPMSALAEKDQRTNLLVIGVWNDLRSFTFFTVSCATGDFVAVNSMMVADGEMR >KN539103.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539103.1:51111:51771:-1 gene:KN539103.1_FG004 transcript:KN539103.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSASSVSRGNREYEVSELPLVKCPFCKSDATIVERKCKKPDNLGRKFYRCLTGQYTGAQCRFFMWQEEYVVWLVKEGILHASADCNAQREVLFALNGAMEFG >KN539103.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539103.1:67005:68742:-1 gene:KN539103.1_FG005 transcript:KN539103.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKKTVSELSGSTSKLEFDIYGEIGIPNSTVAVNFLQPIGAPNWTNVIFSIVPYPKYSSISSMYLSILRASFMSLVVEQSTLHLTESLFGDTSFFEVLKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQGRMNELKDQMKAGLQLDPYEVAL >KN539103.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539103.1:2688:7258:-1 gene:KN539103.1_FG006 transcript:KN539103.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLCCLLVLCSGRELKTKHTPIYNSTLARTLAEYTSAVYTADLTQLFSWTCERCCDLTEGFEVIELIVDVKNCLQAYVGYASDMNAVVVVFRGTQETSIQNWIEDLFWKQLDLDYPGMPQAKVHSGFYSAYHNTTLRDGVVNGIKKTREAYGNIPIMVTGHSMGGAMASFCALDLVVNYRLKDVTLITFGQPRIGNAVFASHFKCHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWVHNVGLGSLVYSIEQICDDSGEDPTCSRSVSGNSVQDHINYLGISMHAEASGSCRIVTGMALAADSHCVAPCILAFHLQFKVNYRLKDVTLITFGQPRIGNAVFASHFKCHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREIWVHNVGLGSLVYSIEQICDDSGEDPTCSRSVSGNSVQDHINYLGISMHAEASGSCRIVTGDNKLQYKMDSDGNIVFSKQPGLSVDQLHSSQHGIGS >KN539103.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539103.1:100453:104424:1 gene:KN539103.1_FG007 transcript:KN539103.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLQYLKLLLLLALGGVTTMHVPKQDVPSSLEALTLDGHFSFHDVSAAAQDFGNLSSFPPVAVLHPGSVADIATTIRHVFLMGEQSTLTVAARGHGHSLYGQSQAAEGIVISMESLQSNTMRVNPGASPYIDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVRRGDVITCSPEQNSDLFHAALGGLGQFGIITRARIPLEPAPKMVRWLRVLYLDFTSFTEDQEMLISAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPVQASQFESDGKVLFCLEMTKNFNPDEADVMEQEVNTLLSQLRYMPSSLFHTDVTYIEFLDRVHSSEMKLRAKGMKYFHLSGTELDESNNKKKYMPKADISKIKKISIFV >KN539103.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539103.1:134176:138091:-1 gene:KN539103.1_FG008 transcript:KN539103.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEEGISYAIDLGGTSFRVLKVELGAGSTIINRKVEHQPIPENLTKGTSDDLFNFIASALKNFIEREGGEVEGRALGFTFSFPVRQTSISSGTLIRWTKEFSIEEAVGKDVAQCLNEALARNGLNMKVNVLVNNTVGTLALGHYYDDDTVAAVIIGAGTNACYIERNDAIIKSLGRVTNSERTVVNVEWGSFRPPQIELTPYDICFNNETWNYYDQGFEKMISGVYLGEIARLVFQKMAEESDIFGTAVDGLSTPFVLSTPNLAAIREDDSPDLREVGKILEEHLKLPDVPLKTRKLVARVSDIITRRAARLAAAAIVAILQKIGCDGTLCGSTQVRTMRGVRRRTVVAIEGGLFEGYSVFREYLNEALVEILGEEIAATVSLRVMEEGSGTGAALLAAAYSSARQKNSE >KN539103.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539103.1:58418:66318:1 gene:KN539103.1_FG009 transcript:KN539103.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDELAAMFAAVAVVDEVTLIRDKATKASRGCCFLICPSREEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFHPSNMSNPNAMQQSSLFGAMQMGYVPQYNGYGYQPQGTYGLMQYPLSPMQNQAAFPNMVQSVNQGSSIRGVNSELSPNSAPRSFNSMQLGSPYSPVPSMQYPGSYPGPPGANLFIYHIPQEFGDQDLAGAFQGFGRVLSAKVFVDKATGLSKCFGFISYDSPASAQTAISMMNGYQLGGKKLKRFAQGTKFTQETAARNSQEQTHYYTANAGQSFTCATTEGACHLLGFDHYTQTVEIYIWVVQQENVQVQETETNAFFLHDYGGGDGGGGDGDDDCDGLVEARVLD >KN539103.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539103.1:24692:25637:-1 gene:KN539103.1_FG010 transcript:KN539103.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPAAVVEAAPAKADETKEVAVVQEDQEKAEEETKAEEKETPSSPAPATDLGESKEQNTMEAKPAVNDDGEHKVEEVATTAVSESSSPSPSEAEKETAAEKTNDVAVPESSSPSEAEEKKDAAADEKTSAAVAAAAASESSSPAN >KN539103.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539103.1:1009:2196:1 gene:KN539103.1_FG011 transcript:KN539103.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLVESNTKQLELGMSTDDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTTPDYDSLSRLKIVTMILYEVLRLYPPVVLLNRRTFKETNLGGIKFPADMNLILPILFIHHDPEIWGKDASEFNPGRFADGISNASKYHDASFFPFGWGPRICIGQSFALLEAKMALSMILQRFSFELSPSYIHAPYIVLTLRPQHGAQIKLKRI >KN539103.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539103.1:76808:78412:1 gene:KN539103.1_FG012 transcript:KN539103.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEIEKQEEELRAQIEEQKLNEILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >KN539103.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539103.1:111192:120547:1 gene:KN539103.1_FG013 transcript:KN539103.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMNLHQTRGEGSVNAKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTGVPLQGDNGMPKTFVFGGNGSRPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHVDRTNDVSSGASTNPSDTFNGTDGTGLCPEKISSVPFQQKESGGSSRQAEAFVFGSTSNEGSVFSSADDSDAFVPPSSVSNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKLMDDRGNFVTGANSKTFTSVHGNMESTLPAKMTKLNLGHGAPSNNNKDETNHQPPEVSGFGTNAATTFSSAHAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSSNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQDDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNIPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNRRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQVAKEASVMFDQSIHIHDYGVSNQSSSCAEDLVSATENLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMYDTANGKPKSAPAEVWDDAYKLNDQGQAYEENGYRTAHKIGEHVTFQESSADFSGLNFTFGASTSSQSSVSTQRCNTRRKVRTKSGQLPKPSATQAYVQPKSSLDKKTMQFSTEKNKAGDSTDEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGHRSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSALHNYTSATLQSLPVAVRTSVVDWISQSEELLKKRTVSEATTALQLISNALHISSHSDKLMEMKAEALLTLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALACNSDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGVEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKQYDRGFNPRPWQSNRSSGSRSRWSGYDDDYW >KN539103.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539103.1:27255:32384:-1 gene:KN539103.1_FG014 transcript:KN539103.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALFFEVLVRLLKRVQGHALLLNMLGLCHKPHKVIYAKQHVLVMVLYRLDNFCRCLLFMRKDDGVEVKKKSSCSVCLVNKSEEYVAFKVVIMQAQMIAPPDLQIRDKFLVQTTIVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLITGVPSAKTGVEVRVAKGTLNIESEASNVTNEVHHSLKTNFPPLRENPATLNEMPFPVKQTTILAPSKEVPAISAESAHHWKETPAESLFSSNAVHHSLKTSFPPLRENPATLNEMPFPVKQTTILPPSEEVPAISAESGHHWKETPAESLFATNALPHSLKTSYLLRENPAILNEIPFPVRQTTILPPSKEVPVISAESAHHWKETLNVPLESHFSSTETNVVSSECPETLENTSPSKEFAILRDTLVNAENLHYVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >KN543443.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543443.1:1850:6331:-1 gene:KN543443.1_FG001 transcript:KN543443.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDLHALAAAVALLLVSSVLVATSTAADCPSKCGDVDIPYPFGVGDECAWPGFNVTCNHSFTPPRPYYSNAEIMNISLEAGEMRFYSLVLQDCNNSPNTTESSGEAAHDDEPCTGLGCCHVPSIPPNLNVLNLVWADPSLVPNVAWDQGAPCSYAFVAEKGWYNFSRQDFGRAGSKGFVNRGDSHKRVPTVLDWAIRRNGSCSVAAGRSVAPACVSDHSYCEDATNGDGYLCKCSKGYAGNPYLKDNGGCTTTVCAVVALLGLAWFIRCEHKAWEQRGFFESNGGQLLKDMGVTTFTKEQLSKITNNNKTNIGKGAFGDVYKGFHHNQEVAVKCSTAKSNIRRGKYEFLKEIAFRKSISSNDDGTSNQKVSVNEIIVQSRMRHDNVVRLIGCCMETEVPMLVFEFIPNGSLEKVLHEPDQQALSLPKRLDIAIGSAEALAYMHSFDSQSIIHGDVKPANILLGNNLMPKVSDFGSSKLGLVTKAPCADMSYIDPVCMKTNIATQKSDVYSFGFVLMELITRKKAKYGGRSVHPDFVKYYTDNNARRKMYDQEILYTDAHGLQPDQCIECLDTMADIAVRCLKDDVDERPTMAEVLEELKQLRASNELIV >KN538831.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538831.1:54659:55345:-1 gene:KN538831.1_FG001 transcript:KN538831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSSSTMELVSRMLIRDTAAGLTHATIHLHNAAYYLSSVLRIALRHADADASTDFSSKLPSLAANPFPRLATHLLASIPTPPPPPTACTLDDALLVVSVVHNTLALLLEYNLERCILYLRLLGRRNDPNLHRHNRLPLARDRLRAACVMLDFAAAYCNVAANAINAHYIKLQNEASLIFDYPWNLP >KN538831.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538831.1:143982:144392:1 gene:KN538831.1_FG002 transcript:KN538831.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLRKYLHQQEPHSVPIELVLKLSLEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVLWEILTALVPFSDMTPEQAAVAVALKV >KN538831.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538831.1:36210:36851:1 gene:KN538831.1_FG003 transcript:KN538831.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVEELKVEQAKMQGLLDHVDAFPAGGASPTREDYYTAEEIISHGISDMQICRVHKAIVMGSALLYDAKTMGAILPPPSCPLTTDLAELLALLPPPEQTISYPDCDDVGLEGFAMRVQHAVTALGFAEEALESSFYTFNDAISLIFTKPIPPLAHATKRKARSSIALALRCAAYAHAQATIALTRIAPPLTTPANNIAQSNIAANQQDKSA >KN538831.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538831.1:6524:7590:-1 gene:KN538831.1_FG004 transcript:KN538831.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFALELIPPSLDETLPSCVLGLNDLLDRSAAVAGAVPGDGGPETGADGVTTGVPEPGADGVARGDEGLVGVGMIGSPEIGDGLAGEGLIGLPEGDGATGGAHGVVGDLRCGGGGDCTTGGVSERAGGLGGGKSAGDDGGGDAATGGCCIGDGFGGVVAGVGGTGTEAGGDGGGNVADGVGGGDATGTGGGGTVAGGGTATGGAGGGEGGGESGCGGGVAAEGRGGDAAGGVVEGSAGVALGCFAGDDLSMHRNSEGIQAFCEKIPQICTVTKQNFRFFSTTFAFFLSAERNEAKVK >KN538831.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538831.1:108622:117001:-1 gene:KN538831.1_FG005 transcript:KN538831.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAAADGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKQDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQTELANDATLPVHSPTDPLGKALVTSNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVAGNKNRQVIPTDVPPRNVDHGDMGRNSLSSRRDVSSQVSQHDPHSVPLDFEPENQNPPFKHLSRSDVSDASEGTEVQHAREHSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHVSYEVQLPINIPYRRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFK >KN538831.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538831.1:147448:147768:1 gene:KN538831.1_FG006 transcript:KN538831.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALAVLKGRSSRSLRRRGIIVLVLPCRRRRRLRVRVERGEAAADVGVADEHDGDGEGGAGEADDGGGVEGAGGRIHVGGDRAERLGDDGEVVPEGGHGRPINLI >KN538831.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538831.1:50:433:1 gene:KN538831.1_FG007 transcript:KN538831.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEWPFFQSTVDLIEMVVAKADAPMAKHYDDVLVHDAGQRALGAELRQELARTENCILAVSGHKKLSANNRSLRKLIDSRLTYLNPMNMLQVEVLRRLRQDDDNRKLRDALLITINGIAAGMRNTG >KN538831.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538831.1:119249:122970:1 gene:KN538831.1_FG008 transcript:KN538831.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATACASPAAARPPLHIPLRSPPSAAHLPSAAASRRASSAACRCTASASASPSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEASKLKKAILEATGNDAVAQVMSELKTAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSFSPRQLVTASSGTPLFEIFLVRDDDETYTMKLTKKNKNSVMSSCDICIYEIFRQVVHMRPTKGTSSASSVSSATAESPAKEENESSLESSAISEGITEEANTDTTLKGDEDVEDKEQDVSNAKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEEAELASDSSEELVQDDAKSTSENSLEDPTTEELQQDDVPDGDSDSAEDSKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDLADTKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIITDTNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >KN538831.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538831.1:57976:64752:-1 gene:KN538831.1_FG009 transcript:KN538831.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCGAAPEEDPAMALVTPLPTTTTTTTTTAAAAANKQPHYYGCFDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRLYQGEELGIDRVDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRVVPGSTWTHENMSALLLDSIVDKHQIDIEADHLKIVKEMIVASSKFTATESTKEKRFLYDIVANGRNGIDVDKLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPADFWKLDDTIIKSIETAPNDELNKAKGIIQCIRRRELYKFCNEYSVPKDKLEHFKNITAQDIVCSQGDISDSDQLLLLVRISTIPSIKFFKDFLLSQDFGCDEKFPITDERVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPRKKRIRFH >KN538831.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538831.1:93112:100640:-1 gene:KN538831.1_FG010 transcript:KN538831.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDNSVVDMYHGVPVADPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRREVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDQFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLIRDLVTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQIDEVADRYSFMANMLDASWTE >KN538831.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538831.1:149853:153019:1 gene:KN538831.1_FG011 transcript:KN538831.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTPSPQDGSGGGEFSDNLVLQTPQPMREDYIQNAVNFLGHPKVKGSPVFYRRSFLEKKGLTKEEIDEAFRRVPDPQPNSTDVAAVASQQAGIANQSAGVQPYETVQAPQAINTGPIIPHAQPQLSWSRTLIGVGVFLGVGASAAVVLKKLFVPKLKSWIQGAHVEGDEISGNELRSKFYEEIKAAIQDSASAFSYIAKTNQELLASKDEDKKILTKLAQAFDSQAEAFRSLSDSLNRMSENRFSQYNLMEDHFQSAPWNVLIQFLYLQQTNAYNTSPRSDFDSGRHPFMPVPGEPSPGAFPARSYVEQQRMQRPGYGFQPQMSNDRWNPGSPLTNYHAPVPAPPAESPFQRRWVPPQPPGVVMPEAVAAIRQPRQQVAAALRPSESAAATEQPQSGGAAMANAGNGEAEQEREAAA >KN538831.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538831.1:26010:26285:-1 gene:KN538831.1_FG012 transcript:KN538831.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFFLGSFFAIDMCVGSLVLFLWSRSDPVRAHTFAPAVASGLISGHGIWSLTSSILSLANVSPPMCMRVFSTATNEKVQLFRRTLPAPP >KN538831.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538831.1:7891:9779:1 gene:KN538831.1_FG013 transcript:KN538831.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFSMGNCRFFTYEELHQITNGFAAKNLLGEGGFGSVYKGCLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTEAIETGNVGELIDSRLDKNFNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDVDASGSRPL >KN538831.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538831.1:43097:51581:1 gene:KN538831.1_FG014 transcript:KN538831.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPTGGTTAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRREDRTSLVPPASRNEKRDKDHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIESHVNTNYINEGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPSVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRIFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRLSPVGNVPEKLQASKTYAAKVQRFHRFLSKP >KN538831.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538831.1:56166:56897:-1 gene:KN538831.1_FG015 transcript:KN538831.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRMVDHSYHDVMESIQAEESAAKSACNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDAAAGAGDAAARLRGIKNTLELVKLIKADDVTLTLATDDLEHYALKQPGDATFAPAPSPDELEEAMKHAEAAQEHVLRCCARVRAVVRCFQGVERVGVGVGGAEQGGVIAEGELGVAHESLDAAVGELLKAEAAAAASMANARNVSERKQNATVPDPPIPVIDIDSD >KN538831.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538831.1:84163:86346:-1 gene:KN538831.1_FG016 transcript:KN538831.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRQDMKGLCVKLASLIPNEHCSKSKMQGATRTQLGSLDEAAAYIKKLKERVDELHHKRSMMSITSSRCRSGGGGGPAAAAAGQSTSGGGGEEEEEDMTRTTAAAAVVEVRQHVQEGSLIGLDVVLICSAARPVKFHDVITVLEEEGADIISANFSLAAHNFYYTIYSRAFSSRIGIEASRISERLRALVIRS >KN538831.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538831.1:134505:134991:-1 gene:KN538831.1_FG017 transcript:KN538831.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWREERWESRSDQRKGRRMGEWRTRLSQASRRRCFLPCSVAMAASTCAGRRHRHQRWFRAQGRCRGGGGELLHNLHRHRFFVRPRYHHILRNKMDMEALAISAENHEEAE >KN538831.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538831.1:68986:76607:-1 gene:KN538831.1_FG018 transcript:KN538831.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLCLKLSSLLPAAAAPRRHHHHYSTSSSSSPPSSTKEAVTQLDHLEQAAAYIKQLKGRIDELKKRKQQAAALTTNTSNGSGNGGGGGMPVVEWVDRAEERRGEEEFGRAEPQIPEKVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLAQADLVLSNKLQLGQFVHLDDLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDRTPVKERPPAPSPARSTASSLRKSSSVLPRITRSKSFVADRAPDHPKIPKSPFPTEKSSMSCYTASRAMSRRATPKEEEPSSPSSDDELCSSATSSKKRPSTSTRVPEAMEQREQAQKAALEALRNASATDNVVRIYKMFAELSKTARPDAPATCFESFLIFHQEAVQAVTDIEAIQAATSMAGAEIAQNRSSLSKRRGALGVSKSVSFAPGTLDDGGGKNRSSNASRKCLVLDKIGEDGDEKRSSSGSSATGALGSSLRLAKQMQCEASSWFMDFVEAAVETGLKKKSKASATADGRKQSSCCCPQSLILRVINWVEMEQSGDGSSRKPSHPRAAAIARKLRIKAKNP >KN538831.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538831.1:39161:41011:1 gene:KN538831.1_FG019 transcript:KN538831.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MARANEMVRADSRMMVVFSALASKSGPLTFEDSLRFVKKVKARNYMLYLSLFDILGRMELSRHEAYRELQLLFQNYPDLHEELEKFRPPVPIKHPANNIWPWLFACVVPLVARDIPGNFGVIGHQVGRKRKLNHHLQEAATLCS >KN538831.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538831.1:10992:14493:-1 gene:KN538831.1_FG020 transcript:KN538831.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHSGSDLLKPRDVCIVGVARTPIGALLGALSSLPATKLGSVAIQAALRRANVEPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTTVNKVCSSGMKAVMLAAQTIQLGIHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDLYAIQSNERAIAARDSGAFSWEIAPVEISSGRGKPPLIVDKDESLAKCTSLPSRLRSKIRSDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTAPALAIPKAVSNAGLQTSQIDYYEINEAFSVVALANQKLLGIPSGKLNLSGGGVSLGHPIGCSGARIIVTLLGILRHKNGKIGVAGVCNGGGGASALVVELIHPMGKIVKGDSDAQL >KN538831.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538831.1:156020:161496:1 gene:KN538831.1_FG021 transcript:KN538831.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G62790) UniProtKB/Swiss-Prot;Acc:Q9XFS9] MALKVVSFPGDMAAVSFLDSNRGGAFNQLKACFKIIRSVFKEHPFLVPIKLGTSTHSTQPAPMLAWEWELLSSGEHRHTVIVKLQTLCLNCFDFDISKVDFPFQRRDRRAVSLRRTCCSMQQAPPPAWPGRAVVEPGRRSWDGPKPISIVGSTGSIGTQCFIFSFLCITKKTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLFDELKEALADCDWKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHKVKILPADSEHSAIFQCIQGLPKGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIGYLDIFKVVELTCDAHRNELVTRPSLEEIIHYDLWAREYAASLQPSSGLSPVPV >KN538831.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538831.1:52320:53303:-1 gene:KN538831.1_FG022 transcript:KN538831.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPAAAAGNGGEDEAVAVKKHLATTALGALRLVTISASDAYSHTLDALHALRSAYANIVDVAPPDLAAAEALLAHDCNHSIALASRLVIHMELMAMEATIHVDRWLTSTDAAVRLQGIPAVVWRYKMDAVVAWLGNARKKLLDASADCHAQFIDKVGFKVRFC >KN538831.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538831.1:124145:126963:-1 gene:KN538831.1_FG023 transcript:KN538831.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPCNLLTRRRALTACAAAAALTAAAALFLLATPPTEDPTHPYLLASLLHNNTSNQPDSAAASQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAPFLQEVPIPLWSTAADEELIYAKKEITIASLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISAKFPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMRIYDRLPHRITRRMNYIQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDALNWSAFSVVIPEKDVPKLKQILLAIPDDQYMAMQSNVQRVQKHFIWHPNPIKYDIFHMILHSIWYSRVNQIQIE >KN538831.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538831.1:18180:23677:-1 gene:KN538831.1_FG024 transcript:KN538831.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKHAFVDYSLLKKDLKRMQHDHSPQGTIITTSTPHDHHQQQQSVAAPSSYNLSHCRLLLHKLPAAFFGSNNADHAGAIQVHRRVGRGEVYETEVTSPEMETTAVAAAREFFARLDAQLNKVNHFYKAKEEEFLHRGHSLRKQMDILLDLKSRSSSSLSGHHRAAAGDDPSISFSSATSGAEDESTRYVTSATDTDESQHETAVMRDPEELSAEQGLEGSGSFSRQSLGRTVSSCQRKNLKISIPLTTPCRTISALTDLLRDDLVSQPKNKCDSDAGITFTTINKTKLRHAEKMIKGAFVELYKGLGYLTTYRNLNMMAFVKILKKFEKVSGKQVLSVYLRAVESSYFNSSGEALKLMDEVEDVFVRHFAAGNRRKAMKYLKPTQRKESHTVTFFIGLMTGCFVALFLGYCIMAHIAGMYTQRRDSIYMETVYPVFSMFSLMFLHLFMYGCNVVAWRKARINYSFIFEFAPGRELKYRDVFLVCTASMAVIVGVMFAHLSLAVRGFHAQAIPGFLLLGFLLLLFCPFNMVYRSTRFQFLRILRNIVFSPLYKVVMVDFFMADQLCSQVPMLRSLEYVACYYISGSYRTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDESDTGHLVNLGKYVSAMLAAGAKVAYEKDRSFGSLSLLVIVSSSATMYQLYWDFVKDWGLLQPNSKNPWLRNDLILKSKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAGSGFREWQLYATEDSDPFLDALTCIKYASQYRK >AMDW01034981.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034981.1:45:422:1 gene:AMDW01034981.1_FG001 transcript:AMDW01034981.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSNEEMMEMAIVEQLPPSSHHLNGGSVEVDMEEDHVWPTKDGPLPIFLKVCEKINQNAYTC >KN541062.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541062.1:1780:2016:1 gene:KN541062.1_FG001 transcript:KN541062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKQDGAAAAGEEERKTSWPEVVGLPVEEAKKVILKDMPDADIVVLPAGSPVTKDFRPNRVRIFVDTVTSTPTIG >AMDW01031798.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031798.1:173:415:1 gene:AMDW01031798.1_FG001 transcript:AMDW01031798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADSLGLTGHERYTIHLPTSIGDIHPGQDVAVTTDNGTCFTCTLRLDTE >KN541062.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541062.1:25840:32222:1 gene:KN541062.1_FG002 transcript:KN541062.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLVRALAPVALSGSRSAARAAAVEFLARKVVPLGVEGGEDGVRKAVGYLPRYLAAKAPEKSEARAMAVEAIVEVVRTMGQLEMEGFAGYVVAMAKGKAKGRLLAVDLILAMLPLLLPSEGDDCGLQEGSWGLKFVRVLVERCLDMVGGVRARALTNAAHALDVLSERGMEVDRLQEVMRIGNIGLGELLRLRCADDKAAVRKAALVLITKSIRLIGRPVDESLLTAMGAACSDPLVSIRKAALAAISEVFRNFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQAANLNLNDESNDMEEVFPKGTLHLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRGCKPIEMWTAPAGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDKSKVCPKGEPNSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKMLCKRKAKTAKEGEALILKWVQQLICKAVNILDEYIKETSEAAKGPKFFTPLSGKLKGRKDAFAPKSMSYAVIAVFTIGSLILACPTANVQGVIPSLHTIITSGNSQPRPKNLAGGAVSFKELSPSLYIQSWDTMAKICLVDDKLAKRYIPLFVQELERSDLATLRNNIMIALADFYVRYTAMVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPSLVDESEKIRHLADFLFGNILKGLPCILGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPNICTDNSEMDEEGGDGGSTNAALQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKHDGAGKGKGKAAAAVAAAESSFTFT >KN541062.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541062.1:15453:20889:1 gene:KN541062.1_FG003 transcript:KN541062.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFDKPVYDDDIFDGVPGVKSSSSARFDDVFGGSHAPPPPAYDDLLGGFGSKPEVKEVLQEEKRKPEPAASSAGFDDLIPGFGGRMPMRARETVGTKDKNVSMSTSKPASMASDPFDVLGTTSTSKHTSSGIFTDPLDELGRPAKSQGKKHDNTAVDSGLFEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPVQNFSRKNTTQKPSVENFENIFPKSQSARYSDVHVDIGASGSEKYSGNGMDDQSPRSDESEDEIWLTVSEIPLFTRPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDNYPRRSNQNHNHHRSSSNQAGSSSIDELEDFAMGKSQSSAYDNANPFNEEEFEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKLRNREQQEQDDETRFNTQDHEERDRQERLEREREMRQREEKEREQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDARERAERAAAEAKERAAAEAKEKVATQARDRAAAERAAVERAQQEARRRAERAAVERAASEARERQAAEARERQAAAAAAAAAAKEKQSKPDDLESFFGMGARANSAPKQRAPTAESMFNSQTQNRGAASSASASMRKASSTTNIADDLSAIFGGAPTSSEEFQEIEGESEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHRISETMDFEIKRWAAGKEGNLRALLSTLQYVLWPECRWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKDIGLYMETDYLSMMNI >KN541769.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541769.1:10952:11356:-1 gene:KN541769.1_FG001 transcript:KN541769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMTATADRAATGIGVGGDGFYHGRGCVGLGSCSASSVGSFSHWRGSVSGGFHDQSNVDAAPATYTASAAAPTMNPASVMTPMTDPASATAPMMDFALATSAVAPASEADGAAVVVFLAADPFAVGKAASVAS >KN541065.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541065.1:19:1222:-1 gene:KN541065.1_FG001 transcript:KN541065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAGGRGDLSAAVSDEANAWCVRFKNGAVDDGGGDDDDDVDDDEEVSEDDYVQAGGGLERRKRKATVTPQKVQTSKVGIKNKKVQAQYLSDLAKEAERLSQENENLRWELKFKTKDLEHAVQTVEWKNKEIKVLKKENNELKTENENYKKN >KN541062.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541062.1:7997:12688:-1 gene:KN541062.1_FG004 transcript:KN541062.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYETCIHSFLFGTKVEQSYIMIKPDGVQRGLVGEIISRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKEKPFFPGLIEYITSGPVVCMAWEGAGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCEWESVLTPWLVE >KN541065.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541065.1:24835:32949:-1 gene:KN541065.1_FG002 transcript:KN541065.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPDQAQEVHDGLRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKINKVIVYQEFGYLISELQKMNTNLANLISERIDYGLWRMKLMYFLMDKEEKHRKRAELELEVSELEAALDKETRLGRILHCSLQGRVVCHCCLSTLVPNKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRHQRHSICSLGGRRELQGAELLPRLPCPGSDEALECESKASVGSVSSKGEEVEQIRRSSHSFENLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDAAELELSSTSKLNISCIGPRSLVPKSSAITGAAISTLKNRRMSQGGDGAEKEIGCHKRFVEFTKSSFDVSRISSCLVDIKNLRILMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHAYLQHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERDLQLSYGLGYPEPNVVFALCRGSRSSPALRVYTAEDISNELERAKVEYLESSVRAASKKKVVVPKLLHWHMRDFADDVASLLEWIYSQLPGRSSSTGQLKRTIRELLGAAGAGGKAAVAKAVEVEPYSAEFHYLLPL >KN541065.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541065.1:12761:17465:-1 gene:KN541065.1_FG003 transcript:KN541065.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYIDPYEEAEAEAAAEAAGLTSAAAAEDSSDEGDSEDDSEAESDYEERSYGLLRSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGASSKRRRHGRERAFHRAFARFVRTDPSFAQDLAAINGIATTADASSNSSATGAKEKADANGGTDGSASVAAAAVGNRQEEERFAWPWSGVLAAGAGFNAEDFADRVAMFGLDDVVPLVVDDAEGADSFAIVRFANGWGGFGDALTLENHFNKNKLGKNEWDARGSAGDAVKGEDGETDVKVYGWVAREGDYNAGNVVGRYLRKHTNLTTIDEVSKSESEKSGKMVAILATQIEAKNRFLQDLETKKNATELSIARLEEDNRKLHDAYNEEMRNLHRRARDYALRVFQDNENLKLELDSRRRELNSRAKQLEKITAENANDRKKLDDQKQKAKDDNSELELASIEQQRADEDVMKLVEDQKREKEDVLARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLSERLEHEKKRLEELSGELVKKERESNDELQEARKELIMGLEDMLTGRTAIGIKRMGELDEKPFQNACKRKYGNDDYETKAAELVSSWQEEIKKPSWHPYKIITVDGEDKEVVDDDDTKLRDLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETTSKRRRP >KN541065.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541065.1:2686:9388:-1 gene:KN541065.1_FG004 transcript:KN541065.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSTAVGGESSQRGGLRASELSASFGLVDGDAVERVFPQKSFDQNIWSSERGKNLKRKATVSPTMNCENLTEEEYLVDTEEDSRRISREIDDLRSHLAAKMKELEYCEEIKNLRIELAQKAKEIESLKKQNKEVEAKGESSPKNREKEDFLARMLQLEKELYEKHQLELEVTQLNGTLQVMKHLEGDDDGDIHDKMEKLSGRLERKKECLEELSRELLKKERESNDELQEARKELIMNLKQQLQVMKYLDKMEKLSEILECEKKRLEELSGELVKKERESNDELQEARKELTMGLEKMLTGGTAIGIKRMGELDEKPFQTECKRKYGNDDYETKAAELVSSWQEEIRKPSWHPYKIITVDGEDKEVVDDDDTKLRDLWIEYGDDVCNAVKTALSEVNEYNASGRNLMEQEVSEDEYVQVGGVLERRKRKATVTPGKLQISKIETPHKLQISEVQTPHKLQISEVETPHQLQISEVEIKSKEVQALVLAQDSQRISQENENLRLQLALKTKELEHEENQKLRLQLELKNKDIESLKKQNDELKAEIEYYKKTTKPPRVARRCRWCEEYTTHDYRNCPQRRSY >KN541848.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541848.1:7292:7834:1 gene:KN541848.1_FG001 transcript:KN541848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVRGSTMVRPAEETPRVRLWNSSLDLVVPRFHTPSVYFFRRGEAAAAEGGSYFDGERMRRALAEALVPFYPMAGRLAHDEDGRVEIDCNREGVLFVEADAPGATVDDFGDFAPTMDLKRLIPTVDYTDGISSFPILVLQVSNSPPFFLPPPTRLPAGDDPVSTRATISDSMAKVVSG >KN542827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542827.1:32:1977:1 gene:KN542827.1_FG001 transcript:KN542827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHAIGLIESSSIDTDNFINGVRIIDALVQSQLQGHHALMRQLLTGSASSSHILVKLLEAATRCSPIQNNMTKSAARIVEYFAVDIHLKKLPGGIECISDMLELSTTGPQLEQFKDTLKLDQCMEMLHWGLKILRIFAAHSDNCRVICDTEGLLSRIMAPMSSDLLHRIDHEVWHSVVEESMQLVALLVVAPGVTGVRLRREISGNKEAVTTMDSIPKCSKCKPLLQIMAIKILSQLAIDKSLSLSMSVASREELAKYMLCIFTDDNKDMSVRKSAAQALAMLCVESQSIAVVILQADGNVVGVLKDMLLHSKENESRISAAEILAHLYNHCTYDDEYLGELNKVIKDVMPKVLGEMFGCGDIQTAGTKADKAMFSPPGSVSIEVQDGDNWLRVDSKLLEAFLYLITTVFDVSQDQDLVQLVDVVFPGDATFTPIGKLKEMVCIYILPNYELTAHWLRIVKLIFMMFISTLRLRSSSYAKEEENLKELMGYLSEVSIQMYGVDGVLSLADSNNGAKPPLKTLVSLFIEAQEIVDGQKEGIIYLRGSVEVNPMA >KN541848.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541848.1:21705:22247:-1 gene:KN541848.1_FG002 transcript:KN541848.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEYWYHFKALAFRGAANDPAGPAHPALAATAKEIASVLNGSFLGMHILIALMRSNPRECFWRAVLQSLVEYLCRTSNSKRIKADMGYVQESALIGRIALKLVFPMRLTLRSCSITKQGGDPQLGPELNRVAGGIAYSCRSDDSGSVDVILCRSRIPPYEIYKLSCVMEEEVHLERAS >KN542338.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542338.1:1791:2024:1 gene:KN542338.1_FG001 transcript:KN542338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARPRRHHQRHRRCRGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDN >KN541848.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541848.1:18766:20672:1 gene:KN541848.1_FG003 transcript:KN541848.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) UniProtKB/Swiss-Prot;Acc:Q9SAH5] MAQVSHVLKALQLLALASVASFSWPPPLYSVALLAVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPFQYVLLWCLGYIFMIWVESKEDPATRAKLLS >KN541848.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541848.1:13967:17707:1 gene:KN541848.1_FG004 transcript:KN541848.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGNADGREEEAMTMTRRALQRCPHFGKILMECQLKYFFLTSNGSHSKEDSETGETGADDAAAATEETPSVIVTALQSYKEALINDDETKVAEIEDFLFSIEEEKNSLLSKISTLGAELTTERDRILRISADFDNYRKRVEREKLSLMTNVQGEVIESLLPVLDNFERAKTQIKVETEQETKINNSYQSIYKQFIDILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEEGVILQEFRKGFKLGERLLRPAMVKVSAGPGPEKPVYDDPAMVEDSVAPQKVKEAEDDGFDDDNAE >KN542827.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542827.1:3387:5753:-1 gene:KN542827.1_FG002 transcript:KN542827.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLRLLAKLVSDEEWCRVIAEKEGLLAKIMVPLRSDMHHTKYHSVCSDSERPICREMIGASIQVMRHLVTTPGATGEMVRCEISGNTDAMTSLESIVHCDRCDDCLLMEGALEIYSRLRRGDDAPSTIAKMREHFIKRLVLIFTQHTTAEDDRVDLLAGEMLAKLSSHVKENTTKILRAKQDVIDDLTGMLEDKITKCGIIAAQILEHLCIHHSDDDECAQNLKEHMKFTMPKVLAKPRDGYVNRETLTAVLSLSVTISRNLMNARDLPPLFDAITSEAGGFSILGKVQLQKMIERSSCLTTANELKTVKLVADLLILTVKHGSRDAIRDAKELIESLSEVAKEISDTENFMVIAGGILVSLKRYHWMPSIMR >KN540631.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540631.1:16568:18680:1 gene:KN540631.1_FG001 transcript:KN540631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEEKDGDAAGRVTSPGNGDDEPSDGGSSSEGQGVSPQSMPTPPPLPRKSQSPPRVERTGLGSLDPPKKRGRLCKTSQMSEPPSLKKTKKAPLPNMSEPTMKANKEMGRSITSLLGRKGEKTKEEANVKVPVAVQDHFIRMARPPAPPVPISDFRHTIRKKKLQEFSKEEFEKGKTKKTIAEFLEGSGLKSLSDVENIASAPLAAQFKLGQPLTTDEYRNIVANCTQMRRVEEWYLQMAKEGKEMFPVFYRDEDFHHCDGIIWVPFKELFQLYNLRELDLSLIQLWTLPHWILLVIHLNDSKIVVLDGLRTPQAKYQSVIDTLNKALVKYKKKCIRHAPCANTFRVWAHPYCLRQDPGTSTCGFYVMRFMWIFMEDGNWGISDAEKLKLPTSKLLSHACLGLAEQLCGFIFNQIISSDGAYNISKAPTGLAGFIEAGCPSDILDSRST >KN545702.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545702.1:1020:1379:1 gene:KN545702.1_FG001 transcript:KN545702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QWETRKAIILALGSFATAVFIGWIIKLDLDAKRKHLDAEMNKEKQLPQLDDTKKPNLLSFDPKDGIQELGPLEAVARLILVIIFFAFIQALAETLHKRLEDGVADDSDQDSKKKTASKN >KN542338.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542338.1:8761:12836:1 gene:KN542338.1_FG002 transcript:KN542338.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFPEEVVEHILGFLASHRDRNAVSLGRPRFVPAGWGAAARPWVAACVAACPGLEELRLKRMVVTDGCLKLLACSFPNLKSLVLVGCQGFSTDGLATVATNCSLLKSLSGFWDATSLFIPVIAPVCKNLTCLNLSSAPMVRSAYLIEFICQCKKLQQLWVLDHIGDEGLKIVASSCIQLQELRVFPANANARASTVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPRFTSFRLCVLDPGSADAVTGQPLDEGFGAIVQSCKGLRRLCLSGLLTDTVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHQYEAMRSLWLSSCNVTLGGCKSLAASMANLNIEVMNRAASINEADNANDAKKVKKLYIYRTVAGPRGDAPEFISTF >KN542338.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542338.1:2290:2604:-1 gene:KN542338.1_FG003 transcript:KN542338.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAGTHFLHSVLKPNRGAHAPWKATMAMAGTLCPLRIETLITDPQHRSPKSIDAEDRSKDDNNGEEDRFRTCIPESVDWRQRANRRMKATMAQRTPNTRGGG >KN540631.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540631.1:41332:42086:1 gene:KN540631.1_FG002 transcript:KN540631.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQAHNLTMENAARQQLLHAISIAGMPIWSRSQSTGRVTAHSVASGMDPESQCKNEAWVSN >AMDW01032628.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032628.1:202:395:-1 gene:AMDW01032628.1_FG001 transcript:AMDW01032628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VANMSYTSLMEQIISSQPTISDMQEQNSAHSTFVASPSAFQ >AMDW01040862.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040862.1:304:2897:1 gene:AMDW01040862.1_FG001 transcript:AMDW01040862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLHLHQPAFFARHGQWQQQLQQTAHAWVLMWEDVRGSQSKPILINCGSDSTTDVDGRRWIGDSSPKNFTLSLPGTVATAPDSDGKETYGDLYKNARIFNASSSYKFVVAAAGSYFLRLHFSQLPTNFSTKESLFDVSANGLKLVSKFNVPAEIYLRNSKINSTSRAIVKEYLLNVTSSNLEIEFSPDAESFAFINAMEIVPVSGNSVFDSVNKVGGYGLKGPFSLGDSAVETMYRICVGCGKIESKEDPGLWRKWDSDENFIFSMSAARAISNSSNISYVSSDDSTSAPLRLYETARVTTESSVMDKKFNVSWSFNVDPDFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAENYDVFAKAGGKNKAFHEDFLDAASPQMDTLWVQLGSESSAGPAATDALLNGMEIFKVSRNGNLAHPTVRIGGFNSAMGKPKRSPKWVLIGAAAGLVIFVSIVGVIFVCFYLRRKKKNSANKTKDNPPGWRPLVLHGATAPAANSRSPTLRAAGTFGSNRMGRQFTVAEIREATMNFDDSLVIGVGGFGKVYKGEMEDGKLVAIKRGHPESQQGVKEFETEIEILSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGTDLPALTWKQRLEICIGAARGLHYLHTGLDR >KN540436.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540436.1:44:7389:1 gene:KN540436.1_FG001 transcript:KN540436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDELIRRKLKLVAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNIKAVLSSILCQVSQLKYENFTSWGEKEIIDKIRDVLKDVRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLQGISQKILKRCGGLPLAIITIASLFANRQTQTEDHWNSDYIIDRDDLIWRWIAEGFIQPRQGTILYEKGERYFDELINRNLIQPICIDVHAKAQACRVHDTILEFIAGLSIEENFVAILNGQCSVSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLSGFRVLRVLDLGDCSSQNIDNIGNLVHLRYLRLRGTRYNKLPKEIGNLRFLQTLDIRQTRIKELPSTVVHLTQLMRLMVDTWTKLPNRIGNMECLEHLSEIDASMYPSLMKELSDLPKLRVLELLLSTWEKSKEKLFLDCFSCMKKLETLHIFAPHISLDFMLNVDWTLQELKKFSVCICPKSEDIFNLSPLSVWEEFSPLSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVVDEMLVILSHSGGNGHARAAFQCLANFNFTSPAMVLAFRHGAMQRLQILSFRFQLKKTKVFHCDFDLGLENLTSLKTVHFGVDCRYARLWEVQAAEAALRNATSLNLNCPTLDLSKHFERLMYWDGMEEIPDLKIFKEENIQFGPSEFLTRVSGTIGSYNTSYDVITSITLATNVDCYGPFGQEKGISFNFPIQGNGSIVGFFGHAELYVYSIGVYVNPWVEIWKQEEKEGIIKIGSFGRGGGCRCDIKVAPQHLESITISSKIVINSLTFSYRSHDGQLHILGPWGGGGENNYTINLGPSEFITKVHGTFGPFGEFPIVITSLTFINNAGHQYGPFGQGGGTPFHAPISGISLDFMLNVDVALQELAEKVKILTSAHRSVVWPKFSPLSTHYQVKILRQEDHGVIGDLPALCSLDYLQVINSLRGKIDDNRS >KN540436.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540436.1:49805:50350:1 gene:KN540436.1_FG002 transcript:KN540436.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAHGHLVLLVAAVALLSAGFLPHAVAKKGGAVPPAVADICSRTPFPDLCKSTAGRHASKYPVIDVVAVLNMQVDAFSKRTAHARERVTKVSRGAQPQQTQALSFCDTMYMNTQDTIGAAERAITFRDKATAKIMLQLAVQDFESCDRPFKQSGINNPLEKIDVELNQMAQNCMTLASMI >KN540436.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540436.1:9167:14191:-1 gene:KN540436.1_FG003 transcript:KN540436.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILEKSRKTFPTSMRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQ >KN542882.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542882.1:8406:10173:-1 gene:KN542882.1_FG001 transcript:KN542882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTCSTMLPSSATTRPVAESTAVASERMFPATTASYVPSITSAAMLTPVPFNETEEAKADMGKVEDKSIRTFHDMCVEIKDMINQMLETCHNNKVEPTLGNDLTGVADVSCTTNDLIPIALEASQEADGDGDDLAMEDDCVEYTTVETKLCPVLSINDQWMDHKEKASFDMQWKCGGISASPTMATSFSSQLQRFICRAEARTMATFLAQSRELLESWFTLVASWLSLICTPYDEKMQVKAPWPPPAREVQRHPNIKQVIDPWPLLQLAGAKETPWVSCQRHLFKAQLRESWGLPQVEQTIDTTDNDCAINKLINSKQMVNEVTETNCFEMELHVGKISLSTSWKIWDLCSALKMMLVEDIASACKFRNITKGIKRAIDRNILQEGCLYWFLFI >AMDW01030827.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030827.1:48:371:1 gene:AMDW01030827.1_FG001 transcript:AMDW01030827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRRNAAAETYVPGSGKYIAPDYLVKKVTAKELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEM >KN539958.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539958.1:48467:50254:1 gene:KN539958.1_FG001 transcript:KN539958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAITADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESNCPELCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQFACICSLVACIVGSEELSEASQLISCISNMVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPVPPSVGYAPQAQPAYYYR >KN548736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548736.1:265:444:1 gene:KN548736.1_FG001 transcript:KN548736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSLVVKQ >AMDW01039159.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039159.1:207:670:1 gene:AMDW01039159.1_FG001 transcript:AMDW01039159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGKEAGAWSKLFLFAPGAITFGLGSWQLFRRQEKIEMLDYRTRRLEMEPIAWNQMAPSDLSAGVDPAALEFRRIVCEGDFDEERSVFVGPRSRSISGVTENGYYVVTPLIPRPSEHGR >KN539958.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539958.1:17990:27662:-1 gene:KN539958.1_FG002 transcript:KN539958.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSTRIVYLAPSSLASTPAGEWREWREDLARKYLSLQAMICNELNFLLTLQKTIDRYKAYTKDHVNNKTIQQDIQKQVKDDTLGLAKKLEALDESRRKILGENLEGCSIEELRGLEMKLEKSLHNIRLKKTELLEQQIAKLKEKERTLLKDNENLRGKHRNLEAAALVANHMTTTATAAWPRDMPTTSSSAAAADAMDVETELYIGLPGTERSSNRSETG >KN539958.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539958.1:51412:52992:1 gene:KN539958.1_FG003 transcript:KN539958.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTAAILAAVIISLAGVATTVDARFRAMQWTPAHATFYGDETASETMGGACGYGNLYASGYGTDTAALSTTLFKDGYGCGTCYQMRCVGTASCYRGSPGNPSGDVGDMWVKAGGGGGWVRMSHNWGASYQAFAQLGGQALSFKVTSYTTGQTILAAGVTPASWCFGLTYQARVNFS >AMDW01033638.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033638.1:10:370:-1 gene:AMDW01033638.1_FG001 transcript:AMDW01033638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKK >KN539958.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539958.1:62964:63813:-1 gene:KN539958.1_FG004 transcript:KN539958.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGEGHDDGYFPILEVRILDEAWLRGGLWWRRGIRGEQGLAMAMAQQPGNVNVAGFLQALRRLRNRVIMMLWMRMLLRQLVVRWWLRVHFRRFMWVWHLRVLRARVRLFLWRIRHDHLVYILDTIMVLAYVVFKINASFIGYIEFVKLNCSSASLVGDE >KN539958.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539958.1:3367:8022:-1 gene:KN539958.1_FG005 transcript:KN539958.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQQHQLLSTAVHDTMPGKYVRPESQRPRLDLVVSDARIPVVDLASPDRAAVVSAVVNHGIDAALIASVMEVGREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHQFVPDWPSNPPSFKEIIGTYCTEVRELGFRLYEAISESLGLEGGYMRETLGEQEQHMAVNYYPQCPEPELTYGLPAHTDPNALTILLMDDQVAGLQVLNDGKWIAVNPQPGALVINIGDQLQALSNGKYRSVWHRAVVNSDRERMSVASFLCPCNSVELGPAKKLITDDSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >KN539958.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539958.1:57275:58450:1 gene:KN539958.1_FG006 transcript:KN539958.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLPVALAMAQKHGGGGGERVWARPWRWAKTAFFVVAMIASLLLVCAPPVLVVILDLALPPALLSARLRGGGGGDDASFVAAVVAQARAFDFRSIVRLKGGHQTPKGLKQCSV >KN539958.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539958.1:1091:1823:1 gene:KN539958.1_FG007 transcript:KN539958.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding ILSDSVWLPLLPRQPPAAPALPSAAPPAPPCDAASSRRTSNCSPAARPSFFIIDKLFEDGVKLPGVEKLGLLDRVVPRLLEHLRNAPAEKILRLEAPANGQSRRRIEPDSFTSIAIDSAAHSKCLMHGVCCVLTAEDKFAWLRDEEFARETLAGINPYVIELVREFPLKSKLDPAVYGPTDSAITADLLFSPAGRRSPDLLLFMGQR >KN539958.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539958.1:42878:43252:1 gene:KN539958.1_FG008 transcript:KN539958.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSANLMIWHCTIPGKQGW >KN539958.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539958.1:44224:45576:1 gene:KN539958.1_FG009 transcript:KN539958.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPEIDYEKLTCQGPRPLLGRQSGGAPCRGVVQKEPRCRAAEPARGAEEAVDMDGGRETDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRVQAKQYPALL >AMDW01019560.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019560.1:19:198:1 gene:AMDW01019560.1_FG001 transcript:AMDW01019560.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYTQMVGLSSNPFRWIGEPQ >AMDW01081325.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081325.1:26:937:1 gene:AMDW01081325.1_FG001 transcript:AMDW01081325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSKWSSRFERFGIDGLKDKGGRGRKPSIPAAKIERVITEVTRPPKGHKRWSVRSMGRHVGISHSTVQRVWSKNELKPHVVRTFKLSNDPKFEEKFWDVIGLYLDPPAKALVLCCDEKSQCQALERTQLGLPLAPNRPRTMTHDYTRHGTVTLFAALNALEGKLIARTEQRHTHVEWLRFLKQIDRETPKDLDIHLIQDNYATHKHPKVKEWLGHHQRFKCHFTPTSSSWMNLVERFFADLTEDVIRTGSFGSVRELVRDIEAYLATRNANPKPYKWKAKGKDILEKIRRARAALDKVEAA >KN540923.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540923.1:34953:35738:1 gene:KN540923.1_FG001 transcript:KN540923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPDMIPWSKPGKACTSASFTAVGGEDALKDAHRRAGAASSRAALSPRLAASSPGSARKSKSTEKWAPFAGGSSSGRLEYACTASSRRPRQKAREEREK >AMDW01014476.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014476.1:19:252:-1 gene:AMDW01014476.1_FG001 transcript:AMDW01014476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDDDEKKPFAYYVIRERLRAFLAENPRARFVVAGHSLGGALAILFPTVLALHGEEDMLARLHGVYTFGQPRVGDEGLC >KN540923.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540923.1:537:11920:-1 gene:KN540923.1_FG002 transcript:KN540923.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLPKLGELLKDEYDLQTGMKEKVKSLSRELESVHAVLRKVGEVTPEQLDELVKLWARDVRELSYDMEDIVDTFLVRIDSSETDDRSVLRHLRKKMSRLFKRTKDRRKIAGAIKEIDKKLQEVEARRARYTVDSIITKPAGPASIDPRLQALYKRSTELVGIDGPVDKVIKMLSLGDDRNMKIVSVVGFGGLGKTTLAKAVYDKLKPDFDCGVFVPVGQVPDIQKVLRDILIDFGFKVSDVMILDERQLIDKLQNFVQKMRCFIVIDDIWDKKSWELIRCALQDCKCGSRVVATTRISEVATHVGDVYKMQPLSRDDCEKLLYARKVDSEGKCLDSPSVEACDKILKKCRGVPLAIITIASLLASKRMEDWPVVYNSIGFGHEGNDDVANTRRILSFSYYDLPSHLKPCLLYISIFPEDYEINKNLLIWKWIAEGFVHVEHVGIGLFEVGEGYFNELINRNMIQLVKAENEGYISSCRVHDMVLDMVRSLSSEENFVTLWDSSEKQKLPRRNARRLALQSRSIKEQNGNQLASTSMEQVRSFIANDCNDISMLFPRFRVLRVLILEDCDDVEDVEGCGGNSLDHLGSLLHLRYLGLPDTDISKLPKEVGGLKFLQTLDLWNTGIKELPQAVGLLTQLLCLHTDRSTTVPAGLIGKLTSLQELWTWPGSAYYRDMDPVAGAASTRRFAKELGNLRELRVLRASIYAVDESTERDLMESLLGNLQKIQSVDIFGSPLERGVTWDAGFASPWRLRHLNLECFEFSRLPASVNSSLLLNLSHLDMKVQVMQEQDMETLGRLPELRCLVLDSRYTKVVRIKNTGSDCYFKKLRFFTMGSSSILFDVQGSECAIMPSLESLAFGVHVRFLKDADLLCFEKLGLVNLPSSLQRVTVEINSWDAHDTEVEEAEAMFFVIIDDIWDRKSWELIRCAVQDSKLGNRVVVTTRIFEIATHVGCVL >KN540923.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540923.1:23848:26056:-1 gene:KN540923.1_FG003 transcript:KN540923.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQPAASDPAPPPPPEEEEQPAAAGGEEIAALDEQLAVADGGGEDGKAAAAAEGGGGGGKLVAETMRKYAAPRSSRYHGVTRLKWSGKFEAHLWDNTSQVEGRKRKGKHGSYVTEENAARAHDLAALKYWGAGPNTKLNFNVSDYEKEIERMKTMSQDEFVVYIRRQSSCFSRGTSSYRGVTRRKDGRWQARIGRIGESRDTKDIYLGTFETEIEAAEAYDLAAIELRGVHAVTNFDISNYCEDGLKKLEASSEVPKLLEGPSKAAKLAGR >KN540923.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540923.1:19878:23349:1 gene:KN540923.1_FG004 transcript:KN540923.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPPSCSTRLRSPATTAACFLPASLLLFLFFLLLRRPPMGSFPPTIPGGSVSSRRAELYGRMARDLDERGAAFLDGGETSQSLTLSELFDTTRDGAVVPRLKAANPPVRANVLYLDPEFAAVISKAVKEVFLPYFNQVQVFTDVSVCNTVEIEAEVDAVKRVTDDVCPLKIILDQVVLTSTGVLLGLWQVESGTDPADIRSRLREALPRAPQKQLYDPVMLHTSLARILGHPKLPQEGNAQSLDHVKFFHNLVAQVNSKIRGFQATVKELWFVEEYDVLALALNGKMKKIVCNHMYVISFAVKGYTDQNFSIPLGPTATNYVFSNAAFTNF >KN544006.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544006.1:1155:2268:1 gene:KN544006.1_FG001 transcript:KN544006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSGKRVSSSSTSSHQQHAKRRRRAPGDELAQTHGVARNAHAHSAESAAAHSDREPESADQTAVDFSVSGEDTVTTGPELEQLVGDGNGATVEGEGQKPEGSPVPPLLEQHGGDDHDHHRQRGGFSGEVSGAAPEDVDWFDYDEVLRGLDELEIPSFFGGYYI >KN540923.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540923.1:14157:17675:-1 gene:KN540923.1_FG005 transcript:KN540923.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKPSRIEPFRHKVETDPRFFEKAWRKLDDAIREIYNHNASGLSFEELYRTAYNLVLHKHGPKLYDKLTEDMEDHLQEMCVSIEAAQGGLFLVELQRKWDDHNKALQMIRDILMYMDRVFIPTNKKTPVFDLGLDLWRDTIVRSPKIHGRLLDTLLDLIHRERTGEVINRSLMRSTTKMLMDLGSSVYQDDFERPFLEVSASFYSGESQKFIECCSCGEYLKKAHQRLDEEAERVSQYMDAKTDEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDKYEDLTMMYSLFQRVPDGHSTIKSVMNSHVKETGKDMVMDPERLKDPVDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEHFINLNNRCPEFISLYVDDKLRKGMKEANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTQRFYAGTPDLGDAPTISVQILTTGSWPTQPCNTCNLPPEILGVSEMFRGFYLGTHNGRRLTWQTNMGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSADCLSYRDIEQTTAIPSADLKRCLQSLALVKGKNVLRKEPMSRDISDDDNFYVNDKFTSKLFKVKIGTVATQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRVESLIEREFLERDKTDRKLYRYLA >KN540923.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540923.1:28785:30546:1 gene:KN540923.1_FG006 transcript:KN540923.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLGSSAAFCVSMSGALLTAAGMVAAVGGISSKGTGWELVGKDDLELVNQWAFQGEKIIHGKPSGIDNTVSTFGSMIKFKKGELTNLKSRNPIKMLITDTRVGRNTKALVAGVAERASRHSDAMASVFHAVNSISEEVSSIVELAANDETAITSKEEKLAELMEMNQVLSNLVLEKVIAELESQSFRCFIVEVGGQGLQINH >AMDW01036387.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036387.1:173:589:-1 gene:AMDW01036387.1_FG001 transcript:AMDW01036387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFHHVDKLCTPDAWSLLKKQVVSSEMEEVEIDETLKDIGMEIIDKCGGLPLAVK >AMDW01034354.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034354.1:177:341:1 gene:AMDW01034354.1_FG001 transcript:AMDW01034354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILSAYFAVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPR >AMDW01032650.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032650.1:164:397:1 gene:AMDW01032650.1_FG001 transcript:AMDW01032650.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFTTYAERRIVEVVQGEEQAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQ >KN542420.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542420.1:94:870:1 gene:KN542420.1_FG001 transcript:KN542420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EETGGWGFQSLRSLQRLEIIGCPKFLSAYEAPGCPFPSSLQYLEIIGRMEGVKTLDFISNLNFLTQLYIDGCGEDLRCEGLWPLLTQGQLSELEVTETPRFFAGLDPKLGGLQDVQEQQLPPLQCSSKPLELWTDDFAGVLVKPICMLLSSSLTNLLLGWNDEVERFTKEQEEALQLLTSLRDLQFWRCSKLHCLPAGLHRLTSLKRLGIEKCPSIRSLPKGGLPSSLQELDVSECENEKLKQRCRKLIGTIQKIILD >KN542420.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542420.1:13194:15319:-1 gene:KN542420.1_FG002 transcript:KN542420.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DILHRVYSLMPLKDAARAACVSHGFLRCWRRYPILILNNKTIGLIKRKLSLDDMESYAVSKIDHIIKSHTGIGVKVLKLQLFACPNISAAVLDKWFVTVIKPGIEELSLEMSSLKKRTEYNFPCSVLSNKAGGGTIQSLFLSSCAFHPTVTLGWNRSLTSLHICKVDICGEELGQFLSNSFSLERLVISECSDIIQFKVPCLMQQLKYLEVTKCQMLQVIEIDAPKLSTFIYGDVGVKISLGDPLQVKDIRLMGYNEADTVCYARTKLPSILPNIESLIVSSPNEMTSTPVVPSKFLHLKFLEIYLKELFASLPSYDFFSLVSFLDASPALETFILHVEQRFERHDSILDGEPTDLRRILHDGHANLQNVTITGFNSSKSMIELTTHILENAPSLKCITLDTANFSGKNHLAMGECSPMMRGGILEARRAFEAARRHIAGKIPSFVEYKFLEPCRHCHFGY >KN542420.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542420.1:4681:9728:-1 gene:KN542420.1_FG003 transcript:KN542420.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRELQTNKLDISSNIIDALRQQDDIYAGIMPEFPLDKLPEDILHHVYSLMPLKDAARAACVSHGFLRCWRRYPILVLNSKTIGLAKRKLSLYAEDVPLYEPALKVDDMESYAISKIDHIINNHSGIGVKVFKLQLFACPNIDAAVLDKWFVHVIKAGIKELSLEMSLCKKRTEYNFPCSILSMALGCNISLTSLHLYEVHISGEEIGQFLSNSFALERLVISDCNDIIQFKVPCLMQQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQIKDIRLTGYNQPNTVCYARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKASDAAKRYIAGKHKQLIMFSYIQELIQILLYYRKEYLDWLTARWVALLSIDENLLALIHIMYSSAKVDNKNEHFVPCLRDEQNAHQVIDDTTSKGFWGPEVANKTLSS >KN543879.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543879.1:1882:2025:-1 gene:KN543879.1_FG001 transcript:KN543879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLLLVAASGSRLHMMLEKGEISQWSTCEGVEFGTVASRREPPKR >KN540333.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540333.1:13069:17477:1 gene:KN540333.1_FG001 transcript:KN540333.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGLPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKVSPTQIIHRTPLSCRSLDLTIPLQESAEAAAELARDFAPEIQPRWGDAGLEEIMGDASISAVAVVLAGQVQVDLSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMMVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTVQIERGIASGKHGYQDGDHKAESRSSYVEGARDVAVLEAMLESSAKQGATVQVKKFLHP >AMDW01038806.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038806.1:6:815:-1 gene:AMDW01038806.1_FG001 transcript:AMDW01038806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMASEPSVPKGTAFADLLLQGPEPPSLWNDLTSMFRKAFRWRGADKRFTLSVYVMSVLQGLFPILDWWKTYNLKFFRSDLMAGLTLASLSIPQSIGYATLAKLDPQYGLYTSVVPPLVYAVTGSSREIAIGPVAIVSLLLSSMIQKIVDPSVDPAFYRKMVFTVTFLTG >KN540333.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540333.1:31740:36565:1 gene:KN540333.1_FG002 transcript:KN540333.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVTPNGNGDTPLHSAATAGNLAMVKKLIQLSKGADGDGSATAAMLRSGNRSGETALHGAIRFGSFDMVEELLQEDPELVCVPRDGGAMTRTLMKLNEKLEFTDMSMSCNLYLMQRADNRGSTPLHFAASLEGPCSNKYVQLQLLLLHSGIARFLARHFSCRDERNRVTSQLLEISLDAAYQPDNRGLFPIHVAASAGRHKAVKVLLDKSPGTAALMNPILNMQDKDGNTAIHLAVQLGDMDIASFLMMNHKVRLNLANNKWQTPRHLAEIGIPPVLYYSKHPINPGSSHIQEHLHDSSTYHHQSIQQQHSPALSTRKNHHWSIQQEYPPAQITQHREIDSQILTQMNNSIASIKIPTLSVVE >AMDW01010386.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010386.1:30:182:-1 gene:AMDW01010386.1_FG001 transcript:AMDW01010386.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGKDLEQEREMEGGMEWKDRIDKWKTKQEKRGKLNRDDSDDDDDKNDDEYM >AMDW01033056.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033056.1:188:430:1 gene:AMDW01033056.1_FG001 transcript:AMDW01033056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGALRSCMEQLLIAREEREQIIVEAASEISSEKKKARELQRKLDAATKKAAKLAAENSSLARALDAKDAAIGELRES >AMDW01032875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032875.1:17:286:1 gene:AMDW01032875.1_FG001 transcript:AMDW01032875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEVENAINCLVSSAVIDPDVKGGLRWPLGKESIDERFSIVGVWHTNYKAFRNEKLRLKLRHADRFDHRSSTGEVSNEVTFKLIGISASLE >AMDW01034272.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034272.1:32:238:-1 gene:AMDW01034272.1_FG001 transcript:AMDW01034272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEKLIKELPTAPSDSSNVEAVSGDKGYSGNVATPPNVEDGTDVRETQSILLERIASEMNRLKFYISHAQ >KN539156.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539156.1:67670:68521:-1 gene:KN539156.1_FG001 transcript:KN539156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKVNLLNSSLTLRHA >KN539156.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539156.1:14271:14992:1 gene:KN539156.1_FG002 transcript:KN539156.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMRSFCGTHLRLRELAYPSIKIDSSERITRVGGKFYTTFGDRIVTLEFFPYPTFDIIPIKSAHSIVYHYAEICLLESSGDLFILFFYHPMTCSQKTVEIDVHKLDITRRAWVKMDTLEDRAFVVNTIKNSGVSVNAKEVYLDGNCIYFLMRGDKGLYVHNGTGSSDLVLQRQRQTVT >KN539156.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539156.1:85633:89450:1 gene:KN539156.1_FG003 transcript:KN539156.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSAALVDNFGCKRTLQIDSIPLILGAILSILHLKQSYSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYVSECHYRWNILTKHINQSRWRTMLYAACVPGFLIVAGMQFAVESPRWLAKVGRIDDARNVVEHVWGPSEVEKSMEEIQSVVANDDSQASWSELLEEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELAKKLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >KN539156.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539156.1:102721:106509:-1 gene:KN539156.1_FG004 transcript:KN539156.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRKAVELDAAARREMAIRRLREEAGTGSSRREFAVFETARGDALFTQSWTPVAAADRVKGIVVLLHGLNEHSGRYNHFAKLLNDHGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAVLDPCVEVHVEGVILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVAALHRRGPPVSRDPEALKTKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPGASQRLYQSSASAHKSIKLYDGYLHDLLFEPERDDIANDIINWLSSRLDVLQKW >KN539156.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539156.1:11294:12430:-1 gene:KN539156.1_FG005 transcript:KN539156.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPLDVLAAILERLRWSSHPSVALTCRHWRSAVPPFYPAWITPLLLSTARVGAANLRICCAAAGRLLALGMSGAVLDADLVTGVVRKVPHARKDMFDFIIYSDDAHRMFGIDAVLPLSVAYVNQNNDGDWEDWTLTEFDPTRPWLRASPITNPVIHGGLIYLLGEQGRLAVYDPCKHEEGFEIVDKPMSFGIFKHYDSYDIYMFESDQDELMVVLVGQRGAPVHVVKLNENTMEWDKVDSLQGRALFTGTHASMMKKVELEWMQNRVFLPMFYKWPETVHVDLVSCDGELAFVPKSSPNTDYSKAKNGGENSVDMWSYKLGQQEAAKEFWGAEKVDYSIWIELH >KN539156.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539156.1:95198:97979:-1 gene:KN539156.1_FG006 transcript:KN539156.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKHIILPRLVPSKLSHRQQLCGHRSVSEISGVVDETLGKRPLDGQNDILRYRFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRKGAVLHQEITNSSATERSAQEEHFRCIMSKQMVGIFMSVWVRGNLRPYIHHLNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPR >KN539156.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539156.1:308:2282:1 gene:KN539156.1_FG007 transcript:KN539156.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTRAWKAQAASDRKEKAGEKAAEDSISSKQLLANNGLDDLKDHFYWITPQGWLLMLHRDSHEIFLRNPFTSQRINLPFDQDRFLRKNYIRCLLSHKPTDSNCVVLILSLHDTVIWYCRPGGMQWFKHEYQARRFFRHRGTVITNMSLITAVGGKFCTVFPSTVVTLDFSPNPTFDIVAITPVQNSVYKFMEIYLLESRGELFCLYFYPPVSCPNKTVEISVYKLDIPTTAWVKVDTLGDRAFFIDTRRTTEHRSMQKKLAYRKTAFIFRGTKTKDYMFIVWSVELLLQSIPVQIL >KN544145.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544145.1:3275:3632:-1 gene:KN544145.1_FG001 transcript:KN544145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSKREIAPPTPQALPPGVPWWGGAVKPGVAFPPSGEAMAVPGWWTAPPLQSNSFVSPYGAWMGAVPTPPDGQGSQNTSNDPLER >KN539156.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539156.1:19589:24222:1 gene:KN539156.1_FG008 transcript:KN539156.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPLLGTTVDEEDFSPPSTSGRGRGGRKQGRGRGGNSCHQCKRVKPRPEEMVRCQLCGDKVFCAACIKNKYPEMQQAEVRDECPFCRNICNCTRCNPSDKSDGPRNPFVRRCNSSSSVKRRVKTAASGLRCRVDTAALQAKAIDKLEANSRINNESAMLDKADTLDVRTDEVDTETKSKYANYMLHYLAPHLTKLNKDQMSEIEKEAKIQRLELSQLSVEQAACRHDERVFCDHCKTSIFDLHRSCPGCSYELCIVCCKELREGKLMGSYKEELFSYPNRGPDYMHGGDGDSVPELINYKQGDLSSNQSKDIQWRVDSDKIYCPPTELGGCGNHILQLRRIFSKDWLSKLEVDAFQMRKQLEPSDIIGRDTCECSCSTDHASSRKAASREDSTDNYIYCPTLDNGKPEDLTHFQKHWVKGEPVIVQQVLKKMSCLSWEPPDMWSKVHGTGTSPEMKNVKAIDCLSCCEVEICTQDFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKYMNSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDAIKSLKRRHTAQNEKECSGNADGNYTSPKICGDANELSCPINSETNKGGALWDIFRREDVPKLKLYLDKHSKEFRHIYCSAVQKVCNPVHDETFYLTEEHKRKLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEVSNTFPMRTHFEPFGTKYVSMCSPRLKLVTPYIQKDEATLLIGLLTKNKWQTF >KN539156.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539156.1:112650:117182:1 gene:KN539156.1_FG009 transcript:KN539156.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPAPTNPRQDAIDLHKAFKGRAEVGNGAAFKGSSGEIAKNIIWSQVDLYGDKLQSCPHLNRQLLGNSEAKLSRSGNEIINCRKAMLLWILDPAGRDATVLREALSGDTIDLRAATEIICSRTPSQLQIMKQTYHAKFGTYLEHDIGQRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHMASVASAYHHMYDRSLQKVVKSETSGNFELALLTILRCAENPAKYFAKVLRKSMKGMGTDDSTLIRVVVTRTEIDMQYIKAEYYKKYKKSLAEAIHSETSGSYRTFLLSLVGSH >KN539156.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539156.1:48582:56501:-1 gene:KN539156.1_FG010 transcript:KN539156.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMERGLREALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENLPALRALDGYLKEKLVTWLSPAALTLHHITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTAASIQEVLWDDPPTPESEARCALFYSISSTQIFATLSPIPGFMQWLLAKLASQIKLAEAESQDGSLLEGTSSTFRESILFPEEERMIHDAVEHAGGKSGIELLQDILKSSQYLAREKKRGKALDAVANFHLQNGANNALLFWQMIERINWMADQSEKGIQQSGGIMVNYMYRLENIEEYALSYLGTGLAHTSSNLLQYIEMLQALPHHNRNAKLARWNHFLKDLIQFLFAQGTLLDSSGLVPETNAEAIRVARSRGVQTIIATGKSRAAVIEVLGKEYFFRLAGCNSLALFYASRVWFVSLRRGGQKLYQKNLDIEVCREALLYSLEHRVALVAFSQDDCYTTFDDNPLVDFFLVYHEPKKFVFLETPEAISSMLRPHWARRVDGMAQVILIQAQSDVLEVVPLGTSKGNGVKIMLESLCASPDEEEQWEEANLTGLTPHASGRENGLNMNGGQEFHKCSFKP >AMDW01020478.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020478.1:34:240:1 gene:AMDW01020478.1_FG001 transcript:AMDW01020478.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPRSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAGAGRSPSCATSPRAPAS >AMDW01040254.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040254.1:171:999:1 gene:AMDW01040254.1_FG001 transcript:AMDW01040254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >AMDW01040776.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040776.1:1046:1918:1 gene:AMDW01040776.1_FG001 transcript:AMDW01040776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VARAKAEHAMQLKQRLGSALNNSAGSGSSPLMVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHASDMGAPPFFGQLPQVQPQIGATAALGGTQPPTQANQAAGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSTPPPPPLPNIMSAGFPRLSAPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >KN539268.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539268.1:90868:96061:1 gene:KN539268.1_FG001 transcript:KN539268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRNWLFLDFFSSSTERHMEPQPAKYLRMDDVIGSFIYESSFGVQSSFGAIGAVDVINRFNTEVYISDIESSYLPSQTPRGVKNLRTKELEAIRGNGHGERKEWERVYDYDVYNDLGDPDNDPDTRRPPEADDIDGYLAGMVQRQVKLLLKGEEEEFKKENTVQAVLNKKLLMLDYHDVLLPFVHAVRELDDTTLYASRTVFFLTEEGTLRPIAIELTRPKSPNTPQWRHVFMAGTSVTASWLWQLAKTHVLAHDATYHQLVNHWLRTHCCVEPYVIAANRRLSQMHPIYRLLHPHLRFTMEINAQARGMLISTGGIIESAFARGKHSMELSSVVYDKSWRFDMEALPADLIRRGMAFHGEDGKLKLTIEDYPYANDGLLVWDSIKEWVSDYVNHYYPSASDIYSDEELHGWWNEVQTNGHPDKIKDGWPELDCHGSLIKYQTTLILPALNLLSSHSPSEEYMGGTHTEAAWMANMEVRTAFGRFKREDDEDRGDDRPPEQGSGAEEPVGPWCGAICAAKAVLR >KN539268.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539268.1:114175:119096:-1 gene:KN539268.1_FG002 transcript:KN539268.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM7 [Source:Projected from Arabidopsis thaliana (AT4G02060) UniProtKB/Swiss-Prot;Acc:P43299] MAATATKTIDFAAERALAKDFLANFAGPRGEPKYLNILQDVSNRKIRAVQIELDDLFHYKDADDEFLQRVTENTKRYIGIFADAIDELMPESTEAYAVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIRRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLTDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRAYISAARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >KN539268.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539268.1:48172:56851:-1 gene:KN539268.1_FG003 transcript:KN539268.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATQTLAPAVRLSRSHGAPSSFSSQPRRAAAAASRVSCTRIGGLSEVVNGELVGAVVVDDDREQTTDRHKNVVADYTLSATVTQEADNLEGYFREVLQKQVKLLLKGEKEEFKEELRKDFPIFSKLDEETYGPGDSLITRELIEEQINGAVLNKKLFMLDYHDMFLPFVHAVRELDDTTLYASRTVFFLTEEGTLRPIAIELTRPKSPNTPQWRHVFMAGTSVTASWLWQLAKTHVLAHDTGYHQLAEDALLRGTINAQARGMLINANGIIESAFAPGKHCMELSSAVYDKFWRFDMEALPADLIRRGMAIECEDGELELTIEDYPYANDGLLIWDSIKEWVSDYVNHYYQLASDIHMDKELQGWWNEVRTKGHPDKKEGWPELDCHGSLVEVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEGQGCGREGMQPTFVEDPVRVLLDTFPSQYQSTLVLPVLNLLSSHSPGEEYMGTHAESAWMAEREVRAAFGRFNERMMSIAETIDCRNKDPERKNRQGPGVVPYVLLKPSYGDPKDMTSVMEMGIPNSISI >KN539268.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539268.1:99191:102448:-1 gene:KN539268.1_FG004 transcript:KN539268.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVSLGESNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KN539268.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539268.1:108806:110798:-1 gene:KN539268.1_FG005 transcript:KN539268.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATVEYPVPVSPPYPAASKDVELRRAMTASARSAAYSSAPVVFEDEWLAVVDKPAGVYCDALLSALPCSAATLGDEATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPTWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTRFEVLGINGKGQFREPSNFEVDETESITVQEKAADLTSDGDEKNSIILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYSGVIEWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPPPSWANEFISMMG >KN539268.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539268.1:36060:36671:-1 gene:KN539268.1_FG006 transcript:KN539268.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCRRRQSSSRNTLGPVPQRQERLLSAAGNECGSGGALSLLAWFEHATSHATTKFACGCRRWSEEDGQAMCAGWECHGGYAFGADFSQHQGGKYGDPGDHRRGTMQPWTSPRALVVWVEADDPVPDSPPGLVARHRLLHARQLPPNTRIPPPIDTCSRRPPLPVVMGRRRLVDGGLVSISASPVPRIGAMPRSEEKRRREWV >KN539268.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539268.1:106903:107820:1 gene:KN539268.1_FG007 transcript:KN539268.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKAVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >KN538750.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538750.1:133428:135528:-1 gene:KN538750.1_FG001 transcript:KN538750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGELISRASLPPPWSLLAYGLVGPRLRGTAYRFLLGDLREFSRLNEAAWSSAPLPLGCHDIVPRVTPFVHRNVRDNGRPCCFSWFGPIPSVTITDPAQVRDVLSNKLGHFEKPKLPALTKLLADGLTSHDGEKWVKHRRIMNPAFHLEKLKLMLPAFSTCCEELVGKWMDSLGPDGSCELDVWPEMQSLTGDVISRTAFGSSYSEGRRIFQLQTEQAELFIGAIQKIVIPGYMYLPTKKNRRMRRINSEVESILRGIIGKRMQAIAEGESTNDDLLGLLLESNMRHADENGRSSPGMTTEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGRDKPEYEGLSRLKTVTMVLYEVLRLYPPAIVFSRKTYKEMEIGGVVYPSGVILELPVLFIHHDREIWGSDVHEFRPERFAEGISRASNDCGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELATSYTHAPHTVMTLHPMHGAQMKLRMI >KN539268.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539268.1:68924:74606:-1 gene:KN539268.1_FG008 transcript:KN539268.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGSAKGARAAVAAPPSSPSTAKAFQQQQLHTHGQHRVSSAPSPPHRMPEPVAPIWPLRLHGSTRATVNSRQRWDMWLQTHSGSGKINGEVFKESVNLDQQDLELIKEAKPILKKCNGLPLAIVTIGGFLASRPKTTLEWRKLNEHISAELETNPGLEAIRAVLNISYDGLPYHLKSCFLYLSIFPEDDKISKKRLVRRWCAEGYSRELWDKSAEEIANNYFFELIDRSMILPTKKSTYSSRGADSCQIHDIMREIAILKSKEENLVLRLEGGHRLHNHDIVRHLSITNSGEDRETDFAELETTVDMSRIRSLTLFGEWRPIFISDKMRLLRVLDLEDTEGVRNHHIKQIGKLLHLRYLSLRGCRGITYLPDSLGNLRQLETLDVRGTHIDRLPKTIINLRKLKYLRAVPKLSDTYEDIAEELPELIRNRLCISATALLGLCVFKESVNLDQQDLELIKEAKPILKKCNGLPLAIVTIGGFLASRPKTTLEWRKLNEHISAELETNPGLEAIRAVLNISYDGLPYHLKSCFLYLSIFPEDDMISRKRLVRRWCAEGYSRELWDKSAEEIANNYFFELIDRSMILPTQKSTYINRGADSCQVHDIMREIAILKSKEENLVLRLEGGHRLHNHDIVRHLSITNSGEDRETDFAELETTVDMSRIRSLTVFGEWRPIFISDKMRLLRVLDLEDTEGVRNHHIKQIGELLHLRYLSLRGCMHIAYMPDSLGNLRQLEILDIRGTLIVRLPKTIINLRKLKYLRAVPNIDDIEEILILPELMRNRLCISTVALLGLCLACSASAIGKFDEETSTRDLCTMCCCSILPSIAMRLDGNGVVAPRGLRRLTALHTLGVVDISWQPSILQDIKRLIQLRKLGMTGVNKKNSKHFLSALAALSRLESLSLISKGKPGLWGCLDAEEKFSPPKDLKTLKLQGNLVELPKWIGKLNNLVKLKLSKSRLKDRDAAIHVLGELPNLTVLCLWRKSFHSLEGGELNFSEGSFKSLVVLELHFGGSKCVKFEQGAFLNLELLLLSVYYEEVETKFSGLEFLPGIKEVWLLQGEFYPRNEQAARRLKEDLLAQLSENPNKPILKTSGYFS >KN539268.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539268.1:12450:13722:-1 gene:KN539268.1_FG009 transcript:KN539268.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEPKGCSFHPNWRFHMYCSLVVNATAEVRLIDTRHGSYDVVYRGSHTPSGGRQLPEIIGGFNYRGSVDPSLKGRYIYRYGSDLWVATETLEGSDQYISMRITMVGCSSTSPMACDPNGTAIVGLINFIVKGNNGDALFLTTKGIYRVVHPTLCHNPTGEPIIAGAAGDGINNRSPAQWTPITWMKVLPTCVGFLTSLFISWCIINRLACPGTNDGDGQLGPFVMMNNTCCNNGTFMCCIPIYVVTGNRGMTEIFVLSCLWGIHFNSIT >KN539268.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539268.1:111577:113356:1 gene:KN539268.1_FG010 transcript:KN539268.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLANDGTIDALRMKIIAQLKANEDMKKNTMMMVEQSRVLNTPGAEKKTKRELFDALRQELENPVLEKASREVWDLILENGGLGKEITDTIESVFCRLSGIDMMPPPPSTSIPSHQERERNMAADGGEKSKEIDTPEKPSSSSRKRHYSDITTKGAGAVPNGGATSQHDGSEDSSQK >KN539268.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539268.1:78406:81319:-1 gene:KN539268.1_FG011 transcript:KN539268.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSIGRSVLNGALGFANSTLVEEVSLQLGVQRDQAFIRDELEMMNSFLMAANDEKDDNKVVRTWVKQVRDVAYDVEDCLQDIAVRLGRKSSSWWLSPHTLWERRHIAKQMKELRGKVEDVSQRNMRYQLIKGSKPTVATNVAPSSIARATMSGAHEERWQHEKAIDHLVRLVNKTKVDERRVIAVWGTSGDIREMSIVGGAYDHLKRNNKFECCAWVNLMHPLNPTKLLQTIVRQFYVRSLQEAGKATPSCQILSSMLIKEDQGLGFRVLRSMLMKEDHLNDEFNKYLSDKCYLIVLNDLLSAEEWKQIKMLFPDNKKGSRIIVFTQQVEVASFCARTEEVAPEHMQLFADQTLYAFHCKGAKDGIDSMEHSPSLHEDTRYSSEEGKNLTRTDTMVTFFKESEIVGRVDEIKEIIELISKGSQQLEKISVWGMGGIGKTTLIQNVYRSEKVKKMFDKHACVTIMRPFNLNDLLMSLVRQLEDSKTSRGKELASILEGKKYLIVLDDVLFTTEWDAIESYFPATETGSRIIITTRHESIAKHCSGDQQGKMYQLNRLGDNDAKNLFAKKVT >KN538750.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538750.1:79914:85124:1 gene:KN538750.1_FG002 transcript:KN538750.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLSSMRKKEPILFSQKFPSADPLALDILQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENGGNGPVIPMDRKHTSLPRSTIVHSTPIPAKEQPRIGPSRDKPSDESYSNPREYDRFSGNAPRTSQAQQRVPTARPGRVVGPVLPYENGATKDSYDARRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPSQAERYTLHQQAYTCANSATVTDVALDMRAPPFHLSGGPKGDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >KN538750.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538750.1:17235:18055:-1 gene:KN538750.1_FG003 transcript:KN538750.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTILPKIAAHWLFTFYRAARKLRGHAFQYCRSSSATTTKPPPPSPVSPAAKCTVVSPDAADKAVVFGFDGALMRSAALFPYFMLVACEGGSLLRALLLLCAFPLVWALGERSDAGVRVMAFVTFFGLRPRDMDLVARAVLPKFYMEGLNAQVYSRLWLPARRKAVVTGAPRVMVEWFLKEYMAVDVAFL >KN538750.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538750.1:180055:180792:1 gene:KN538750.1_FG004 transcript:KN538750.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPTAFTGNPSFAYGHEADGYIANGPLGGQCNYRVPVSPAFGAPSGMTSPQLRTSLGGFEFQPSKVCPRNFIIFDQTDDKGRIMCHPALVSKLNPSATNAFPSYPEAICRSSGQDNGNLEEVSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDRFESDSLDSSSPPRSRKMHHSSSQSSVFHGSMDTVTHERMRNMVTVLRGIIPGGDQLDTASVIEEAVRYLKFLKMEAKKLGVEVSDN >KN538750.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538750.1:4798:5052:-1 gene:KN538750.1_FG005 transcript:KN538750.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPTTPMTPTPTTPDTGTPIYGGSTTPPDYGSMSPPGGFGSNSPPDYGDVGAAPATMASGRAAVALACVLIATVPLMMSMST >KN538750.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538750.1:195392:198256:-1 gene:KN538750.1_FG006 transcript:KN538750.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFFSQPGGVGVGVGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAGTGGVAGEGVAQRATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDGENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTWP >KN538750.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538750.1:169645:172511:-1 gene:KN538750.1_FG007 transcript:KN538750.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIGTGVALSLGEASPWSLLGGAVAALLLVWAAQMLEWAWLAPRRMERALRAQGLRGTQYRFLHGDLTEDLRLVTAARSKPVPMDRPHDFIPRVAPLLHRALEEHGRVSFTWFGPMPRVTITDPDLVREVLSNKFGHFEKTKLATRLSKLLVGGLVILHGEKWVKHRRIMNPAFHAEKLKRMLPAFSASCSELIGRWENAVAASVGKAELDIWPDFQNLSGDVISRAAFGVRHHEGRQIFLLQAEQAERLVQSFRSNYIPGLSLLPTENNRRMKAIDREIKSILRGIIDKRQKATKNGEASKDDLLGLLLQSNMDYYSDEDGKSSKGMTVEEIIEECKLFYFAGMETTAVLLTWTMVALSMHPEWQDRAREEILQVFGRNKPDINGVSRLKVVTMVLYEVLRLYPPVVMMNRRTYKEIELGGVRYPAGVMLSLPVLFIHRDAAAWGRDAGEFDPGRFAEGVARACKDPGAGAFFPFSWGPRICIGQNFALLEAKVALGMILQRFAFELSPAYAHAPYTVLTLHPQHGVPVRLRRV >KN538750.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538750.1:148501:151178:-1 gene:KN538750.1_FG008 transcript:KN538750.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEGNVCISWFGTTPRVVIAEPELVKDILSNKFGHFEKFTLKSLGKLIALGLASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGPFELDIWQEFQNLTGDVISRTAFGSSFMEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPPNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQHRAREEVLSAFGRDKPNFDGLSRLKTASACQNLNIFLHPYLITSGSTHVNLLTMPRYSVYLLQVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFAEGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHGAQIILTRL >KN538750.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538750.1:63492:65746:-1 gene:KN538750.1_FG009 transcript:KN538750.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEVVDLERGVVAEGALDDDASPIEEVRLTVPVTDDPSLPVWTFRMWTLGLLSCVLLSFLNQFFSYRTEPLIVTQITVQLGHLLARVLPRRKFKAPALLGGGEWSLNPGTFNMKEHVLVSIFANAGCAFGSGSAYAVMIVDIIRAFYGRSISFFPAWLLITTTQVLGYGWAGLMRKYVVEPAQMWWPGTLVQVSLFRALHGKGEEKEENTEGGGGGMSQAKFFLIALACSFLWYTVPGYLFPTLTSVSWVCWIFSKSVTAQQLGSGMKGLGLGAFTLDWTAVSAFLYSPLISPFFATANILAGYVLLMYVVVPVSYWGLDLYNARRFPIFSSHLFTATGSTYDITAIVNDRFEIDMDGYHRMGRINMSTFFALSYGLGFATIAATVTHVALFHGKEIYRRFRASQRDKPDVHTRLMKSYRDVPSWWFYAMLALSMAVSLLLCTVLRSASIITATTNQTPGLNIITEYVIGLMLPGKPIANVCFKAYGYMSMSQAVSFLSDFKLGHYMKIPPKSMFLVQLVGTVVASTVNLVVAYWLLGSIPNICQDALLPADSPWTCPNDRVFFDASVIWGLVGPRRIFGPLGNYGALNWFFLAGAVGPVIVYLLHRAFPSKTWIPMINLPVLIGATSYMPPATAVNYNSWLIIGIIFNFFVFRYRKLWWKRYNYILSAALDAGVAFMAVLLYFSLSTENRSISWWGTAGEHCPLASCPTAKGINLGADSVCPVVL >KN538750.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538750.1:35318:38010:-1 gene:KN538750.1_FG010 transcript:KN538750.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRPPRFQPLPAPIPASTASTTALAARPSSSAHAIICAAAASPFTEATSSSRVALVWTGAGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGPDPLYVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMIFAVLKDLVNEVKSADLVSPTLIIIGKVVALSPFWIDSSKQGAQSIDNLYATETKR >KN538750.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538750.1:95866:104344:-1 gene:KN538750.1_FG011 transcript:KN538750.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASGERENGLLLCCRLLRLSPIFHLLPVSSRRRASAAGSVSASTSTITLVNGLASTVVDCAAPSPPPPYFPVIATFSRKSGAGRYSPDRKITGPECWTFPTGTAVLSTCFLQMPALRMNKMLSTDYLGTQELHSFCKTTEILEDSQSQEIALDRTAVGSTLLSHQNVCSTSEVSGGNFGIAEVSFLQDEYDAETTGVLPPSFLSCGSRSMLPISVPSSSSSSSLETVLFSDSTYSDLQVKETNHNSTAMDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >KN538750.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538750.1:139231:145577:-1 gene:KN538750.1_FG012 transcript:KN538750.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHNLWWRPRRLELVLRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHNAVGEHGSACFTWFGPTPKVTITDPDLAKDVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWMESLGSDGSYEVDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQTERLLKCMQKIVIPGYMSLPTKNNQKMHQIKKETDSILRGLVDKRMQAMKEGECTKDDLLGLLLESNMRHTEEDGQSNHGLTIEEVIEECKLFYFAGMETTSVLLTWTILLLSMHPEWQDHAREEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMEIGGVTYPAGVIVELPVLLIHHDPNIWGSDAHEFKPDRFAEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQCFKLELMPSYTHAPYSMGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIASPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKVNHTTQKYRATQHCTSPYLLKNNRKMHQIKKEIDSILRGLIGKRMQAMREGESTKDDLLGLLLESNMRHTAEHGQSSQGLTIEEVIEECKLFYFAGMETTSVLLTWTMLLLSMHLEWQDRAREEILGLFGKNKLEYEGLSRLKIVTMILYEVLRLYPPAVTLTRQTYKQIEIGGVTYPAGVIIELPLLLIHIDPDIWGSDVHEFNPERFAEGISKASKDPSTFLPFSWGPRICIGQNFALLEAKMALCMILQHLELELAPSYTHAPQSIITLRPTHGAQIKLRAI >KN538750.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538750.1:205119:205409:-1 gene:KN538750.1_FG013 transcript:KN538750.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding ECSLSPQGAASLMNPQSLIRHMQNNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKVKADDGTAAEAFSTLFQSALSKFGLS >KN538750.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538750.1:154488:156445:1 gene:KN538750.1_FG014 transcript:KN538750.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGLLGLALLWQVHRLLVRLWWQPRRLERALRAQGVRGTSYRFLTGDLKDYGRLSKEAWARPLPLRCHDIAPRVAPFVHRTIAEHGKACLSWFGPIPKVTIADAEIAKDVLSNKMGHFEKLKFPVLSKLLADGVANYEGEKWAKHRRILNPAFHLEKLKLMLPAFSACCEKLVGRWAASLGSDGSNEIDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQQELFMGAIQKISIPGYMSLPTKNNRRMYQIKNEVESIIRDLVQKRMQAMKDGERTKDDLLGLLLESSMRHADENGHSSPGMTIEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVQSLFQKNKLDYEGLSKLKTVTMILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQHFEFELAPSYTHAPHIVLMLRPMHGAPIKLRAI >KN538750.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538750.1:166053:167498:1 gene:KN538750.1_FG015 transcript:KN538750.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWFLPTKNNRRLRENEREVSKLLRGIIGKRERAIKNGETSNCDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVTLMLPILFIHHDPDIWGKDAGEFNPGRFADGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICIILQRFSFELSPSYIHAPFTVITLHPQHGAQIKLKKI >KN538750.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538750.1:85653:90981:-1 gene:KN538750.1_FG016 transcript:KN538750.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSRAAAVSLLAIGGPGCSSLIGNFAELGPYLLLDSTSALARNDNRWNRRFGVIFVDNPLGAGFSAPASGDDIPTDERTIAAHLLAALQSFMALDPAFRARPLFLTGESYAGKYIPAAASHILAANAKLPDDRRVNLQGIAIGNGMTHPVAQVTVHADQAYFAGLINAEQKAKVEETQDKTVSLIKSKKWAAARRERNRIIALLKNATGVATPFNYAREKGYPTRPLRDFLNTGEAKAALGARSDVEWARCSEAVSAALADDIMRSARGDVEAVLLAPDGVRVLLFQGVFDLHSGPASVEAWIGSDTAPNPCLVPG >KN538750.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538750.1:39356:45750:-1 gene:KN538750.1_FG017 transcript:KN538750.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLGLFPVLIAWIYSEVLEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIVSCFLSVILIWEIPGVFELLWSPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNSLCPSALLHHCLCRMQFIMLWFQVERWMEKLEESETKVRLSIKGTIISISLVAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISFHLADGDKCTPNRKRILFSTPLESNVYIQAVLAFF >KN538750.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538750.1:106574:113943:-1 gene:KN538750.1_FG018 transcript:KN538750.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHQAMKEHGKVSITWFGPVPRVTITKPELVREVLSNKFGHFEKLKFGRFQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTELVDKWEGLAKGGDEPYEVDVWPEMQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELIVATMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKRIIAKRESALKAGEASSDDDLLGLLLESNLDHSKGNGGAASSGISIDDVIGECKLFYFAGMETTSVLLTWTMVVLCMHPEWQDRAREEVLHVFGSRAPDYDGLSRLRIVTMVLYEVLRLYTPLTALQRKTYKPMELGGVRYPAGVVLTLPLLCVHHDKDVWGADADEFKPERFAEGISKASREAPAFFPFGWGPRICIGQNFALLEAKMGLSMVLQRFSFDLSPSYTHAPFPVGLLQPEHGAQCGLLLYALGALAALWWAWRALDRFWLRPRRLGLALRSQGLRGTDYRFPSGDLKEFARLLAAALAAPMPPLSHDVASRALPFELAAIKQHAYTHPPANVRDQTEVAWNAGNVCVTWFGPEARVIVSDPKLFREILANKNGRFGKQKSILWVQNLLADGLTSHQGEKWVAHRRIMNHAFHLEKLKRMLPAFAACSSELISRWQDSVGADGAQEIDVWPEFQNLTGDVISRSAFGSSFSEVRRIFQLQSEQARNVMKMAKALYFPGYRFLPTELNRRTKANAREVRELLKGIITKRESTMKDGHAVNDDLLGLLLETNIKESQEAGSSKPTMTTNDIIEELKLLYFAGSDTTAVLLTWTMVLLSMHPEWQDRAREEVLRVFGKNSPDFEGINHLKVVTMILHEVLRLYPPILLLGREAYEETELGGITYPPGVTFALPIACIHHDPDVWGEDVGEFKPERFAEGVSRASKDSPALVPFSWGPRICVGQNFALLEAKMALSMILQRFSFGLSPSYTHAPFPIPTLQPQHGAQIKLTKL >KN538750.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538750.1:203332:203574:1 gene:KN538750.1_FG019 transcript:KN538750.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKVKGARKGSPAADEEQSTAAAAVRFVKEWTTWTMKKTKVAAHYGFIPLIIVVGMRSEPRPSLAQLLSPV >KN538750.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538750.1:60223:60471:1 gene:KN538750.1_FG020 transcript:KN538750.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAEQEEEEEEVMVDEKVAVLRQLVPGGEAMAVERLLDETADYIAALRAQVGVMRALACLLSGLGSPPEKEISVTPEKPI >KN541610.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541610.1:5577:5954:1 gene:KN541610.1_FG001 transcript:KN541610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSACRFIARCNGALEAEILACVEGIALALHWTMLPFEVETDWLTLTQMLQSREKDLSASAHLVMEIRRLIDGDREISVRKIHRAQNQLVCEDVLI >KN541610.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541610.1:21996:24611:1 gene:KN541610.1_FG002 transcript:KN541610.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADMTVKKVPMDLQLDCEKVPMDHGKCLQPESCSSKHTEEMFF >KN541610.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541610.1:12111:19536:-1 gene:KN541610.1_FG003 transcript:KN541610.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLALVLALVLESGVLAVDQPEGFLSIDCGLDQDYSTDILAGGITYVPDGTYVDAGINGKVTTVYRDDWKGPRYQTLYTLRSFPSSVTGDRNCYSLPTNEGDKYIVRLEFLYGNYDGKDSTSLRFNLTLGVNHWDTVILDTSTNYGYKAYAAVFDAWSRWAPVCLVNTGSGTPFVSTVELRPLGSLAYPTVNQSLSLYERRSMRSGADVDIIRFPNDPYDRYWYAWELTENEPYSNISTPSAIEPNTTFMVSSHVLQTAFVPVGNSNELVLSSNRIDRPPGDYLVILHFADFQDNKSREFTVSIDSGVQSGPISPQYLKGGYIINWSSDSQVLTIKLAATATSALPPILNAYEVYSRIIHEYPMTFSQDYCYQVRMSIFNAKLYPTLYRNLSCNQLTGTIPDYLRKISTDDSPMVQNLTKVNHRNLVSLIGYCWEKEHLALVYEYMSSGNLSDYLRGKAGLGGTLNWATRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNTLLGRNLKAKIADFGLSKTYHSDSQTHISATVAGSMGYIDPEYYVTGRLTKRADVYSFGIVLLERVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIASQRPMMSAVVTQFKESLELEEAPGNKGDMENVARDDTSSMSMFSPSAR >KN542976.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542976.1:782:6546:1 gene:KN542976.1_FG001 transcript:KN542976.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADELALLSFKSMLSPASSDGFLSSWNTSSHYCSWPGVVCGRRHPERVVALRMSSFNLSGKISPSLGNLSLLRELELGDNQFTGDIPPEIGQLTRLTMLNISSNYLQGSIPAAMGECAELMSIDLGNNQLQGEIPAELGTLKNLVRLGLHENALSGEIPRSLADLQSLGALSLFNNKLYGEIPPGLGNLTNLYHLLLAHNMLFGAIPSSLGMLSRLSWLELGFNNLTGLIPSSIWNVSSLTELNLQQNMLHGTMPPDVFNSLPHLQHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFSGIIPPEVGRLRNLTSLEAEHTFLGAKDPKGWGFISALTNCSNLQALFLGNNKFEGVLPVSISNLSVYLEYLYLDFNAISGSFPKDIGNLISLEALLLHNNSFTGILPSSLGRLKNLQVLYIDNNKISGSIPLAIGNLTELTYFRLDVNAFTEQRYLNILERVSILLDVAYALDYLHCHGPAPVIHCDIKSSNVLLDANMVAHVGDFGLARILDEQNSVFQPSTNSIVFRGTIGYAAPEYGAGNTVSTQGDIYSYGILVLETVTGKRPSDSKFTQGLSLCESVRLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLRLGLSCSQEMPSSRLSTGEIIKELHGIKESLLLGIEDTEK >KN542976.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542976.1:9969:10899:1 gene:KN542976.1_FG002 transcript:KN542976.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMMFFFFSSSLLLSTRSYAMIISSPGRSSSSNGAGDELALLSFKSTLPSALQLASWNTSGHYCSWPGVACGSRHLQRVVSLRLGSFNLSGHVSPFLGNLSFLRELDLGDNQLVGQIPPELGRLVRLQVMNLSYNQLQGEIPAEIGISLKNLVYLNLGQNSLSGEIPPSLSELPLMEQLTLYYNRLSGEIPPALSNLTNLRVLHLDFNMLSGAIPSSFGLLPSLSRLTLGGNNLSGTIPDSFWNISSLTSYSVQQNMLNGTMPPDAFSNLPNLQSMFMDHNFFHGPIPRSMANASELWIVQLGSNSFS >AMDW01020447.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020447.1:9:290:-1 gene:AMDW01020447.1_FG001 transcript:AMDW01020447.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDEKGISNETADKIGDLVKTRGPPLEVLVELRKEGSKFMGNAGSVTALNELEILFRALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQ >KN540376.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540376.1:47034:50253:1 gene:KN540376.1_FG001 transcript:KN540376.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPGDPSNPEPTRDEIIDGYIKTLAQIVGSEDEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVLPRDAPPMPPPPSPPNPGAPPSYQPHAPNPQAGYTNCQGGAPGYQGRAPGYQGGNQEYRGPPPPSPPPPPSAYQGNNPGYQGGGPGYHGGNPPPYQAGNPPPYPGGNPGFAGGAPGYQGQGGNPSYQQGSDNYNAGAPAYKRDEPGRNYQ >KN540376.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540376.1:6828:8207:-1 gene:KN540376.1_FG002 transcript:KN540376.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMLRSLRGDYRYTSFSQLFPLLGSGSLPQLVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFELFGQNIDLTPLKGIFSSIENAASSVARTISGQPPLKIPIRTDNAESWLLTTYLDDELRISRGDGSSIFVLFKEESTLLY >KN540376.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540376.1:32149:34289:1 gene:KN540376.1_FG003 transcript:KN540376.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRATAAVGDCPKKVAKLVDLVNLPTALRELAGGQSQMSHLSFFLRVWSHIKSNNLQVIQWEYGMDPSNRNIVNCDDKLKTVLLGRSKVELSELPMLVKLHFPKFPKS >KN540376.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540376.1:23298:27661:1 gene:KN540376.1_FG004 transcript:KN540376.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDRA [Source:Projected from Arabidopsis thaliana (AT4G05530) UniProtKB/Swiss-Prot;Acc:Q9S9W2] MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKKKNVDEAVEGLRAKGITVVGVVCHVSIPDQRKNLIDTAVKNFGHIDIVVSNAAANPSVDNILEMKEPILDKLWDINVKASILLLQDAAAYLRKGSSVILISSITGYNPEPALSMYAVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTNFARFLTTNETIHPKWVIMNFKEKLDLWYVTDSPKFIVCIPFVLEFLGGARVDFRSKPFLDYVKRLNYKKKNELIDRSTLKRLGTVEDMAAAAAFLASDDASFITAETIVVAGGTRSRL >KN540376.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540376.1:16483:17097:1 gene:KN540376.1_FG005 transcript:KN540376.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSMMEGCLLDVQHVQPFNGKGVDMTPTKYLTLGPSSTTTRPAVESSLAAPKHVFPATMNSFTPSTTASAIATPSPSIKTNKAEGSMVQVEMKPEETFQELCAKMIATLNNMLVTCRDIKVESTKMTLGSRPLPWPGPQLSQGSEGVVVKISHYGPPLPRANCKGVCAEKQLEPWPDPLTRQDNGSVVAKFSTLATTFSGRS >KN540376.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540376.1:36062:38458:-1 gene:KN540376.1_FG006 transcript:KN540376.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGWLVLLLPALLLRGSSGVAAAGKVPAVIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGLPTGRFSNGRLATDFISEAFGLPPCIPAYLDTNLTIDQLASGVSFASAATGLDNATAGVLSVITIGEQLQYFREYKERLRIAKGEAEAGEIIGEALYIWSIGTNDFIENYYNLPERRMQYTVAEYEAYLLGLAESAIRDVHSLGGRKMDFTGLTPMGCLPAERIGNRDNPGECNEDYNAVARSFNGKLQGLAARLNKDLPGLQLVYADIYKILASVVDKPADYGFENAVQGCCGTGLFEAGYFCSLSTSLLCQNANKYVFFDAIHPTEKMYKIIADTVMNTTLNVFL >KN540747.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540747.1:171:911:1 gene:KN540747.1_FG001 transcript:KN540747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPINGNDKKAEYYWKAVAAEFNSNTSRSNRKRTVVQCKTHWGGVKKEIGKFCGAYSRARSTFSSGYSDDMIMEKAHIMFKSENNEKPFTLEYMWRELKDQPKWRRVLEEDSKNKRTKISESGAYTSSSNQDTEEENRRKEKRPEGQKKAKAKLKGRGKNIAPSPLGDQPCQDFVLYNEAIKVKAEAMLKSAEATSKSAEAKKEYTRMEKYQTYLKLLDKDTSNFSDAKLKRHEAVLEKLATELAEE >KN541888.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541888.1:6604:8867:-1 gene:KN541888.1_FG001 transcript:KN541888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAQHSRSVARRHRVDTPELVRGVVSLGEGDHVVSHQQEEASSSYIPQGINEISSSCIIRMVDNRLRNDYSVMYTPRYVSIGPHHMNSDLPMGKEDKETNLQTILQEKAGSGDPLALKSVWKTELDSHVDTALGYYRSSNSTLLNDEIKPLLVLASLNKDMTREKFLDMLLEDGCYILKQFVPQADDDAALAIQRVPKVAQDVEHDVIYLAENLIPFFILERINEIIGWSRPGAELVHFFCSYIKHHVLEYHGYAIGERYKEIPRPSHLLHLLHILLIGYHPTTEVSTRGTGQSRDDDDVEMAGQVTIIAKGSPTTEEPDDKAMSRFLRWHRAKKYEMARVELTGVDLISIKKRSGGSACPERSILDVNLRRRCGSMRLEFPSLYVDDETWCILRNLIALEQENAIMLQHRVTAYCVLMSQLACTAEDVELLGRRRVADHLLPDDADCAGRFAQLCSRVIFNMDDKTLNYLRDECVELDGRYRSKPSKWTAWMLREYFRNPCVTVASVLALIFIAFGMLQAVFAILKFAGKVKQSD >KN540747.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540747.1:30695:31415:1 gene:KN540747.1_FG002 transcript:KN540747.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLVASLLSPSPLPTTTTSSTSPKAYGGNASKKDAAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEREYLTFLAGFRALAPVGAVLDNLALSDVGLQDQIASAADGVVSAERRDGGGQLYYEYEIAGAGAHSLISVTCARNKLYAHFVTAPNPEWSRDEAVLRRLHQSFKTVDPAGPPPASS >KN540747.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540747.1:21228:29519:-1 gene:KN540747.1_FG003 transcript:KN540747.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQKQPNPALPNHGGAGDPAAGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISCDINPLEKEQIVSEPTSVPVRQARLWDHLSVNLHLYVQTQEQQQVDNKDDEAPNELPGGQKSSELQLRSKDQTHPERTSEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNEIRHPPSSNQRNGRKRDRDDEPQQIKRDLPSRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILHVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSREQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVGAYPSVVEKKDVFVKCSVEPESSTMRHSNLNKEEQLGSSSLISMSKTVVVPVNANNLEPSNYETPKDVHVVEKTDITPMNATVTSLTSNIKRRSKANRLSSENTLLNDLSLLSSTGLNWVGRFYLTVEKITQELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVSNVGICLQITLMVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >KN540747.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540747.1:12293:12805:-1 gene:KN540747.1_FG004 transcript:KN540747.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12630) UniProtKB/Swiss-Prot;Acc:Q9LHJ8] MAQREKKVEEPTELRAPEMTLCANSCGFPGNPATNNLCQNCFLAASASSSSSSAAASPSTTSLPVFPVVEKPRQAVQSSAAAAVALVVERPTAGPVESSSKASRSSSVNRCHSCRRRVGLTGFRCRCGELYCGAHRYSDRHDCSFDYKSAARDAIARENPVVRAAKIVRF >KN540747.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540747.1:32283:37114:1 gene:KN540747.1_FG005 transcript:KN540747.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTPRRPQPSIAQKETGSGMEANSSGKSEREESRRRRGMSSSSSSTGPMGVAVSPEVEAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRENGAVPATIAILNGVPHVGLSGEQLKSLAVSGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRNGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPSFFTEVSGCKVPCRVDSPEECAKIIYANKNLHLGSGILIAVPIPKEHAASGNAIESAIQKALKEAENFSLAYSDIALVKNNALVGAKIAVALSDLHQRVTNSKDFGGLPYRVHVQRQARASVCSIALIINE >KN539527.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539527.1:13145:18178:1 gene:KN539527.1_FG001 transcript:KN539527.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNVVSYNAMVSALARHGRLAEARRLFNEMPRRNPVSWNTMMVACSQLGRVEDARELFDAMPARNEYSWTIMVSCYVRAGELALARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEAKELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRYGKLQEAKDVLSKMHSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLHIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSWNALIDGYASNGNGSEVIAVFREMEANGVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAADKLFELEPCKASNYVLLSNICVEAGKWDDADKVRVLMKESILRSCNIHISNKMLDNSGYRCYGASWKPRHCVSKWQEQKDFKALLELSLEFGSKKDDAVQQYDDHGLMAEDFAEMSKKMTEVAAQLQALAAQSAEHAASLEDAKPSYQHASSLRPRGCIFKQHGCRQQLAVTPLHGSPSPTAFSTASFRRPWGGMGYRLRLPTAAVQMGGALPGSTSAILPPLMHAPPGSPSRGVGNGVLQPSGGSATHGEALLLP >KN539527.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539527.1:65927:78872:1 gene:KN539527.1_FG002 transcript:KN539527.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAQAVRLRLVFDNQRILRRSLRESGLRRCWLLLPPELSTVSDLAAHIAARFRLRRSCLSCVILSIDGFVLPPFESTCIFRDNDIIRVKQKASKKIAQHNDVHCIEGPEIVEKQPLPTDEEMLAIEYEKDDDSNQEGVQYNHQNVVNAASHYNTRNDDITLKRKCQDGERGSPGTSKKKKQKVTNTGKHTGCSNEDKAHQDQDHSGSKKLKSPCIDDAKKVMLAEADDTLEKEQISKRYVNSATSIRDNQTKLNSETKEDDCNTRSDIKKVSRSARRKKLKRQLRQKAKEQLKEDIFISLLQGHNAVDFIDGRTSGLVATIGKYRLKEHCQEQPTVADCPSSNNRDVLPSPSSNQNNSSLPFVRHEADEEESDTSDDIVPVVVRPGHIRFESAGGESDKSPVKEIQTIFQWSGTTSKKKGQKWGMDNSNKKSSDISYHGRITGTDTEVNHHVAGNSKTSDNDFGLASNQKVGESSHVGSASEKIVSEKGKSSSEPLDFESLYPLTRLPKEGDLIVYRLVELSSSWCPELSSYRVGKVLIYDPISLRIILLPVPEYPFTAGEKNGEDESEMLVDMSPYKEDGSLEIEYSSLLDIRLLKDTDSVQPAVSTPLTETGIKGGSHAQKPANLDNHKEKTQNTVWEENGEVANDEPAVQENGWGTWTPNPNTSAWSYRALRSSALGPTLAHLRGKNTKRGRPYNRKYGK >KN539527.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539527.1:59041:61147:1 gene:KN539527.1_FG003 transcript:KN539527.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMDGSGVPETAGVEAASSSDARRDNRHMPSEDCVAGINSALQHPTVRFLREQMEKAGCPVLPRMISAMNCMSTNHNGSYGSGLGITVCCDHMRSQDEINQLLIHELIHAYDDCVVKNMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQECVKRRALMSVKNNPYCSGTAAKDAVESVWDICYNDTRPFDRAP >KN539527.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539527.1:26018:29418:-1 gene:KN539527.1_FG004 transcript:KN539527.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDNMIWETASSNGVAMDLGRSAHHQLIEEDGDLEAEATLGSERSFRAPNARTASYRKSSVVKIRGLNPPRNKQAHRARQDGHRKSVDSSHSNHSSIRQLANTMVNNVAEEKEEEEEVNSYERSVPTPPAKTDEEVKMPGFSKFRNKSSAAMSRVGSPCMSASEARSVRSRRTEETQVRSNDVVGSNFSGCGISYCWSGASKYRELYYDSDGPEQPLLSPEGTDAAFQENVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDIKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHAVYIMITSDIDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALAEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLIAESNDMHAHSAIGYTDDWVSKVNSSSNFCHACNSNKSNCSERHCRRLKLENIWRRAIGKCQSRSAKNFLRKEGFLSSVHVTEELAIAEVGFSHPDHISRAEKMQSLIESALQNVLGCNVEVKFKLVPRPVRKDARSKRQSFSLLSCSGRKQELSDSAVTDEDEAVRHGARETPSKGYSSSQQQSPFIMQRTDSKPTVHGCEDDARSTLTSNRSMTDDMTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSHATCSSRDDNL >KN539527.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539527.1:82567:86910:1 gene:KN539527.1_FG005 transcript:KN539527.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIVWETVTILVIEALAQAGLESSNLIIGIDFTKSNEWTGKRSFNGMSLHHIGDSPNPYEQAITIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTSIMSKKISQSKKETEFALSALMEIPLQYKATLELGILGSAQFASPNPGTWLLVADTRHVLNVDRKSNIALSVEGQLIQE >AMDW01025279.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025279.1:58:328:1 gene:AMDW01025279.1_FG001 transcript:AMDW01025279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMANTLFFTSLLYNSTTDHKKG >KN543752.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543752.1:597:5765:-1 gene:KN543752.1_FG001 transcript:KN543752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWSPELGVEGEIREYSIFDCIEIVDAVEKCSGALVLKIDEGILDVDIPQFHNSLRPRNGRMVGVRRRASGFEAFPPLPGKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNVIADTDSLKLDAWRQLALEEDSQWYIVCKVCVYLTARDATLILLINLFHAGKDIPNAGHVQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRFLSAAMKA >AMDW01016984.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016984.1:45:173:1 gene:AMDW01016984.1_FG001 transcript:AMDW01016984.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >KN548663.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548663.1:89:256:-1 gene:KN548663.1_FG001 transcript:KN548663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQ >KN541999.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541999.1:13452:14705:1 gene:KN541999.1_FG001 transcript:KN541999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACSRGSLWRLVIVVLFAALLLPLQTSSQQSTTKKIYIVYLGERQHDDADVITDSHHDILASILGR >KN538716.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538716.1:296274:297283:1 gene:KN538716.1_FG001 transcript:KN538716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAVSKGAAVPSPAYEVTTTTSAAAYSVLRSASMGAAVVRLAAYEDDGGDDGKKKAAFSLVSPGGYQVSRAVAPPLAFVELK >KN538716.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538716.1:362591:367574:1 gene:KN538716.1_FG002 transcript:KN538716.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIERDEKRAVAFIIIFAMMAFAVLLRDVQQVMRVGGELGGGGGGGERMLVGRGWRKEEAEGGGGGGGSSASSTSRGSSLCDSPLPSFVRHRGGPGSDLELDGLPTSSSNASSGSHEEDHGPLQGVKGEGWMQVQGPIKNPAARSTGECQDQRYRLGSVLFHGKNERKQRPASVDFGCPSVNRSSTHSPGFLVNGTGAMNKGLSVSSQNKPGAPTSPGTPSYNRQGATVVGYQQGWSSERVALSSNGQRRHSGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFSEPYSSVSSSSSLLDTGRVGNLTANSPFLAGVLLPEHVCVSSSHAGRDVSGASGEDKSNGMGVRTGGANGAHPAVWSTRVCQRLDSAVQSSPSLPTSQESVQACTDEQIEITTDLTTSSKPEISRKDVATQTSPELSRSSSPSGRPSFSRSLSVQRVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSEKNSTNIIEWKKKTVESKSSAWEVTETAKCISKIEGEEAKMTAWENLQKAKAEAAIQKLVMKLEKKRSYSLERIFNTLRRFQDGIGGGEPKAC >KN538716.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538716.1:280135:281487:1 gene:KN538716.1_FG003 transcript:KN538716.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVVLALALWCLVADGEAVWLELSTTATKCFSERIQSNVVVIGDYDILFDGYPTRPILSIQVTSPYGKVLHHREKVMQGQFSFNTAEPGVYLACFSVDTLDKELGVALELTKLETAVQAVHGNLMYLRSKESDMRDKLLFEI >KN538716.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538716.1:301927:303934:-1 gene:KN538716.1_FG004 transcript:KN538716.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRLLVLLAAASLLLATAVPAARAQEETDHEEEFTYISGDEKGPEHWGKLKPEWAQCGAGEMQSPIDLSHERVKLVRDLGYLDDSYRAAEASIVNRGHDIMMEPYLKMIADKEDREEKVGMIDPRGARGRASVYYRYMGSLTTPPCTQGVVWTIVKRVRTVSRYQLDLLREAVHDEMENNARPLQAVNNRDISIFRPYPHKRY >AMDW01038352.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038352.1:110:500:-1 gene:AMDW01038352.1_FG001 transcript:AMDW01038352.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LKRSRKFQADERLFSLSSVTSPAVDEHMAGRDGTIETLGSIPAASWQMEENMDQVVRKVQPLLQTG >AMDW01003903.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003903.1:48:194:1 gene:AMDW01003903.1_FG001 transcript:AMDW01003903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEEEREKVTVAAAASEVVVVVNGGGGEEEGEGVRALHARVEAEWGP >KN538716.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538716.1:316854:319896:-1 gene:KN538716.1_FG005 transcript:KN538716.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRTLLLLLAAAAVHLLSATPAARAQETGNNLTCSLHVKFMGNAGRVVINGKAYQLKQLHWHTPSEHTVNGRRYDMELHLVHDDGNSNTAVIGNLYQIGNPDPFLLMLEPFIRRIADTKDKSEPIGVVDPQLAKSPDAVYYRYMGSLTTPPCTEGVIWTVFKRVFLLAQTVAQYQLDLLREAVADGYENNARPLQKVNNRNISIFIPDPKKD >KN538716.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538716.1:273106:274450:1 gene:KN538716.1_FG006 transcript:KN538716.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVLVLVDLVLSLAAAVVVTGAGGGALDFRADLDHPYAGSSLSRHDVVRHGARASKTRAAWLTAKLAGVLSNRRGDVSPADKAFEAVKEAVMDVVRLPVANRTVEDYELCFVLPRRTAAAAMEAVQVPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVAKTTDGSGVSIIGNVQQQNMHVLFDVQHHKFSFAPTQCDQI >KN538716.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538716.1:369300:375708:-1 gene:KN538716.1_FG007 transcript:KN538716.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEVPCGQNEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDQFLAKLRSLGLGEAAFTFVLDDPAGNSFIENPNAPSSDPLLSVRFYERTREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEECLVLDLWLEIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFQLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDTLEDDNQLTIEEYVRSWEQNEELGLNDMDTSSADAAYNTTNTTNP >KN538716.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538716.1:310693:310950:-1 gene:KN538716.1_FG008 transcript:KN538716.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAITAVLCLLFPRAWPPCDARANMPGNLTRIRVVVENDQVVTEAGWFDDARGQYGIGALEAMEQGQGDNDGDGDGDDKCNR >KN538716.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538716.1:281909:282812:-1 gene:KN538716.1_FG009 transcript:KN538716.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLVERCRLDFVGSGNCSLALAAPLVESLEIQGFCWISLQGGIRLKHLTIAKNTGTGSVYNIEIGKLPELEKLSLRGVQWSWGAISSVLQCAREVKYLVMKIEFCGDHDTLEPFPEVDLVDFFNSHPKLIKFEIHGAMFAAMCQKNSLKNLDSRFSIPCLEEVLITVRSPLNAELKLNTLESLVKYSPRMRRMVVRISQMKNCHGSADGFFEEICKFMYMNNGRVRIE >KN538716.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538716.1:269159:269605:1 gene:KN538716.1_FG010 transcript:KN538716.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding KRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPTNDTEIGLETCFPWPPPPSVTVTVPDMELHFDGGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHILYDIANSLLSFVPAPCNIV >KN538716.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538716.1:357350:358556:1 gene:KN538716.1_FG011 transcript:KN538716.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDDDSMTCSPTAWCITSCGNSAAPPSPIACALWAIPRALYLTQPSRAPSRSGRHDSDSDESRDRSRKRSRSQKGPKERSSRKKKSRRDGSTRKKEANEGARFALEDGNGGTAYVMSGSRHARITAVRLRKENQVYSAEEKRALAAFNSEQRARRESKVRDDLRCLVDRTLGKLAGSDHDDDPSSAR >KN538716.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538716.1:379050:386413:1 gene:KN538716.1_FG012 transcript:KN538716.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPVGIGDPTMGNGLMSGVAGIGGGAITVAPVDTSVGQMDSAGKGDGDLSSPMAPVPYPFEGVIRGRRSGGNVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQEEIMEMQKNFFPEMQKNQKLFSVGRDGVHYSATKCTKYTISTEKVMITLIHGKQVLEAVNNPYGQKKRCLRRTLTGPWFDSEGCIMSEGFMPKMINLGSHLTRKNKPDQSDTTVEFHPHPDVLLQGAGEGLVFLLGGQVNCIGLQDSTESRPENDTVLVQIQGPATVSSGSVTVQAGPNCRAKEEPIRDTNHWRQHPGVPYAPGHGEPTPSLLGLRDTQRCPFSWTISEAILPSPVIVREPEKTDG >KN538716.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538716.1:287593:289040:1 gene:KN538716.1_FG013 transcript:KN538716.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGAAGGGGKLTRTPSSLLRSPTHQHRKGKRKVDGLAVREGVEFYSNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGLGMYTFRNGDRRSGEWDAGALKNPLPLSDQAVQRAVLAAQRAADNAFHLPRVEEQVNRAVMAANRAATAARVAAIKAVQNRIDGKFCHTEV >KN540632.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540632.1:479:1386:1 gene:KN540632.1_FG001 transcript:KN540632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VILNDPFLGKRIEEGSFVTVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMSYDEAELWIMNLVRNSKLDAKIDSVSGTLVMTTNHVNIHEQVIESLKNLNMRTFLLAKNIVEPAQAAQQAAR >KN540632.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540632.1:30260:40331:1 gene:KN540632.1_FG002 transcript:KN540632.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGPIDFPLVGGPVSNGVTAPGRAAGAVSGTGFPLPLAGGVPPVFGATSAAMGVGGSALPGAIPPVGAMQLAKGLAKGKVVHGTELMKVDAIGGAAQSGSSGTVVAVTSGVLSSCGTAGVQAGGSFGALVPGGGAINVAPSTSVVAAAVSKCAMESKLMEPVVVMAILIGTLSLVIAELLICGRFMVFSMLIRKKVSVMVRSSSGGSGRAAFSRATAGGGRFRFRPMSHSDELTKLAWIGWLIFQNTYYSKPFKTYKVVVMFAVLWSLVLAKLLQRLFNEWNSQQSVAAAASDNSCFISSYMSRLEDLQSRPGYPIPVMNRCKYVVMGEEKLLHVAKKKMSDGGGNDDDNVFTISITTPDCGYGVGMYPHHQGEQKHVNLLIDMAKSNEVVTVEEITNKIRVLPNWCYCGRQFTQHMHQLCFSFSLFKLLRRRFEHYPLVEVGSRTSRYYNSSAPIPMAMSAPWLIILNYYFSFVFVCTYVAAVSFVLLEVRQQCSTAGYSPAASLTYGWAPNVDTIKDQSSRRCPSCGFESPSTSSCHGRLR >KN540632.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540632.1:8529:20791:1 gene:KN540632.1_FG003 transcript:KN540632.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLLRSSFLLSLPLLSSMSRDPAHADSVLFVLLWMLLIELLSKKAFAMVRSSGGEFSRATAGGRFRLTDHYGEVTNLAWIGWLIFQNTYYSKSLNNGGEGKTVVAMFAVLWSLVLTKLVQRVFNERKAQESLTAAGNTHLIAGYMQHVVENASSGDADALARCKYVVMGEEKLVVHTANKKKMKKKRDGGGDDNVVTITTHGCGYGVGRYPNHQSEQKHVNLLVDLAKSGEVVTIDEITNKIKVPDWCCCFTGRRFRDHMHQLCFSFSLFKLLRRRFEHYPMVEAGTKTSRQLLLDELLAVEGGAAKKTFRVMRQELDFLDSYYDPGAPVAMSSPWLFIFNYFFSLVFVSTYLVAVIIVLLEMKNAQLSNNLPLYFAISILLVATLIAVEFTELLTSYILSNWFMVHLLCLLAGDGGGLIWTFLLSLPLLSSMSRDPAHADSVLFVLLWMLLIELLSKKAFAMVRSSGGEFSRATAGGRFRLTDHYGEVTNLAWIGWLIFQNTYYSKSLNNGGEGKTVVAMFAVLWSLVLTKLVQRVFNERKAQESLTAAGNTHLIAGYMQHVVENASSGDADALARCKYVVMGEEKLVVHTANKKKMKKKRDGGGDDNVVTITTHGCGYGVGRYPNHQSEQKHVNLLVDLAKSGEVVTIDEITNKIKVPDWCCCFTGRRFRDHMHQLCFSFSLFKLLRRRFEHYPMVEAGTKTSRQLLLDELLAVEGGAAKKTFRVMRQELDFLDSYYDPGAPVAMSSPWLFIFNYFFSLVFVSTYLVAVIIVLLEMKNAQLSNNLPLYFAISILLVATLIAVEFTELLTSYILSNWFMVHLLCLLAGDGGGLIWTWAFKPAIRLFIAGRYLLFYSFQCMLWLSCRGTNVDTIKIKQVSILRVCEPIHQLLSWSPQVKLATDGETAIVKFLEKVVRDSVDDDKDLQCMVKMPKLSGLELKKGAETATQVILACHLATELLEMKHVVMVDKKAKKKKATRKMNRDERRDYRLHRGVATALSRYCMYLVARSPELLPDNERWVSDRYGDMTDFLEEASRRRCCCCCPFRLWKCGCWRTVLMDMDADDVADPAAKAGVKLFRELDKADAASAAWEGLFDFWTKMVVYIAPSNDVEGHASALAGNGGDLITYLWALCTHAGIIRDPSDDKSPEGGQV >KN538689.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538689.1:277259:278467:1 gene:KN538689.1_FG001 transcript:KN538689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEWTWKLHSSVNKYWEKKKKTSSLRSNPGEEVVQAAATDHMKVAWEVSGSMEQAEQVLRNQEDKN >KN538689.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538689.1:354471:356759:-1 gene:KN538689.1_FG002 transcript:KN538689.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKHSFSALCAAMILNVIILFVDSYVAVASDTLFPGQSLSGSETLVSENGIFELGLFPSAPAGTKHYLGIRYKNMSSNNPITFWLGNRIPITNFINATLYIDAGKLYIEELGSILWTSNSTRNESNTAVAVILNTGNFVIRDQLNSSVVTWQSFDHPADKLLPGAYLGLDRVMGTNILLTLFKPPYNCTLMIDQTRKRGFIMFIDGHDKYLGTFPEWMITYEENGSLVRLNDPGIPNDTEYMKLQLGQLSLLRWLDNATISGWQPVWSYPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFGSGCSRITPSNCLGVVSTDSFVLLDNLQGLPYNPQDVMAATSEECRAICLSECYCAAYSYHSACKIWYSMLFNLTSADNPPYTEIYMRIGSPSKRRMHILVFVLIFGSIGVILFLLMLLLMYKRSSCVARQTKMEGFLAVYSYAQLKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHNNLVRLLGFCTRGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHQIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAALTTIRGTIGYLAPEWISGQAITHKADVYSFGVVLFEIISGRRSTEKIRHGNHWYFPLYAAAKVNEGDVLCLLDDRLEGNASLKELDVACRVACWCIQDDEIHRPSMRKVIYMLEGVVDVELPPIPASFQNLMDDYDSDIYSVEV >KN538689.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538689.1:236630:240247:1 gene:KN538689.1_FG003 transcript:KN538689.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAIRTLREELPDVFSKEPSFDIYRDDIVFKDPLNKFEGIDNYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEAHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRLLGCPSTPKPTYFETSSQSLSAEPALYIYEDEVVDSISFPQCKPATPMQTVQGKTQNKIRKGTFLHSDDMKEYVRDGNGVGDWWGGKAVAVVEENN >KN538689.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538689.1:170915:171774:1 gene:KN538689.1_FG004 transcript:KN538689.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVTREAMAELVGRVARRAYQRNGVVTDVKSFGTICLGYGIKKLDGRHFKEYQDYSGWVAQKNRCAAVNGLFALNNITLDSFEDPIYLNLVIGTLKTHFVTRHLNVSAIEVFFLVYWGK >KN538689.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538689.1:303535:305054:-1 gene:KN538689.1_FG005 transcript:KN538689.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLMLWLSAVVSLLLSSSLHATGASSLSVRRYDAIFSFGDSFADTGNNPVVFGWYSVFDPVTRPPYGSTFFGHPTGRNCDGRLVVDFVAERLGVPLLPPFLAYNGSFRRGANFAVGAATALDSSIFHAGDPPPGTSPFPVNTSLGDQLSWKKSMEEIRSFVPYIIETISIAIERLIKHGAKSLVVPGMTPSGCTPLILAIFADQAGPDDYDPATGCLKAQNELAILHNSLLQQSLRNLQARHPDASIIYADFFSPIMEMVQSPGKFGFEDDVLTICCGGPGTALCGDQGAITCEDPSARLFWDMVHMTEVAYRYIAEDWLRIIESPGNKII >KN538689.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538689.1:359974:362411:1 gene:KN538689.1_FG006 transcript:KN538689.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFLCKPWLPLTESAKPARSPAPAPSSRARTVVQQLAVLLLLLGGVGGGGGGALLAAAASTTDTILPGESITGNQTLVSKNGEFELGFFNPGVGIHYFLGVRLRKLAGYSPTFWIGDRVYVVDLPRAALELFGDSLYIKEDGASLWWSSPSSSSSSSGSGGGGGAAVAVLLDTGDLVVRDQRNSSLVLWRSFDYPGDALLPGGRLGLDVATGENVSLTFEGFTHNGSLRADASRKNGFVLTTDGRDTRGAFPDWMVTTQDNGGTLVLNHPNATNSTEFLQLKVGQVSLVRWSGADAGWVPRWTFPSGCKSGGGFFCGDFGVCTTATGGECRCVDGFAPSDTKEWGLGYFVTGCSSSCSFCNVGSVASMQIKKATENFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGFGYAEKQFRAEVQTVGMIRHTNLVRLLGFCVKGNRKLLVYEYMPNGSLDAHIFSQKSSPLSWQVRYQIAIGIARGLAYLHEECEHCIIHCDIKPENILLDEEFRPKIADFGMAKLLGREFNAALTTIRGTRGYLAPEWLYGQPITKKADVYSFGIVLFEMISGRRSTVTMKFGSHRYFPSYAAAQMHEGDVLCLLDSRLEGNANVEELDITCRVACWCIQDREGDRPSMGQVVRMLEGVVDTEMPPIPASFQNLVDGDDSDIYEENWRLRTQD >KN538689.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538689.1:158967:167559:-1 gene:KN538689.1_FG007 transcript:KN538689.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRRHACAFFPLSVLGFGAIMFRLFAEYYSDFCLNSLYSGDELRIDRLQEKLIIASDKRVCIPNGTCQGGKDNKSLQLVTLQSSYSEWYMSRGQENQSYEILCVMPDCLQINIDLGIAVFMSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >KN538689.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538689.1:310287:312641:-1 gene:KN538689.1_FG008 transcript:KN538689.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFDFLCLLAAERLGLPLVPPFLAYNGSFRHGANFAVGAATALDSSFFHGAGDPPGASPFPLNTSLSVQLSWFDSLKPSLCSTTQGKNNTPSDRSYRTIKQFINYAWINSFVWKQKLIGDGATTVVVPGMIPSGCSPPVLVTFADAGAAEYDASTGCLREPNEVATLHNSLLLDAVEELREKHPDVAIVHTDLFRHVSEMVQNPDKFGFQKNVLSVCCGGPGKYHYNTRIICGDEGATTCVDPSKSLYWDGVHLTEAAYHYIADDWLHAIALSARATS >KN538689.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538689.1:289184:289438:-1 gene:KN538689.1_FG009 transcript:KN538689.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNRLPEITVVPAAGGGGGGAVDAVKAANKEPISPGSPSPASKESLSRHEAAVVSLPAWKLDALCQESGSSPAVMRARFPYF >KN538689.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538689.1:300152:302916:1 gene:KN538689.1_FG010 transcript:KN538689.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRGGHHQRHAGLRIPGNCAFNLRDEHLFRSQYCDYDTVHDEAPLVAMIGWFFRLRRDFWCVCKNILSVGVPSFSWPAVLIDDALPINCYVLTSQRIGRLPNGQSTGWKGQSTLIGRKRIPLRPYAISFSEKSHSNEEGKQVQAFAVVLLHGQSLRGNQTLVSKDGSFKLGFNWLSASFGIWFAKSICHELVWEPDKNYSIGDPQSLSLTFLENGTLQLLNNDSLLWSTHYVKKTSVSVVLVLLDIGNLVIRDETNDSMVLWQSFDYPSDTILPGGGLGFNKIIGKNISLISPSSLYSLELDTRSRGFIIRDIPSGSMLSGNFPSWMKIREDGTDFVMFYDAQTYLHLDDGGRIVLYNLGDCYSPLWFYPENPFGYCGPYGLYSSYSRSCGCPIGFDAHNTETNRFLGCSRLVPIICAESMFYVIDGIDSFPDRPQFLMAKSTEECEAVCSSYCSCMAYAYDVTCLLWYGELWNTTMLGSDSVGRHIYIRVSQQETSLKNSILSLIISVALSFLWIFLAKLFATRPLDARSGLMVFSYAQVKNATKNFSEKLGEGGFGSVFKGTLPGCSVMAVKKLKCVFRVEKQFRSEVQTIGMIQHTNLVRLLGFCVTERNRLLVYEYMPNGSLSSHLFSDNSETLCWQLRYCVALGTARGLAYLHEECMDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGLMLLEIISGQRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLEGNADAEQLERACRIACWCIQDYEDQRPMMGQVVLMLEGVMDVLVPPIPMSLQNFVGMEDHSTDLDTF >KN538689.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538689.1:223806:225795:-1 gene:KN538689.1_FG011 transcript:KN538689.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSTGKGCPNLRRSEEMAAGGRVARDVIEVSDGEGEEEGMAVDVRKGRGAEEGMDAVPTPRKRAALRVVTGESGDEDETDDAKGDGDGDGGDHGSVSCGDNAGLEDDDVTTALPGRKRAAALVVTSDSEDEVESQGGHGRGKDGSRKRALRGVRDDGNEDEGVTRGRKHALCGISDNEDEDGGDGARVVATEIESSDDDMTPIREVVKKMRKERVSKGGGGFGETKGSSTPATRRSARLAKGQPKRAQSARRVLNFVEPKNCEESASDSDEDDDLDDFIINDSDCSENSANSAEPEDSDASAPSEGSSSELEESDNEIDYKDVMACIGRKRNAKEWKYEAEMLSAFAAHPELCLKAVCALYRKQTKDEQEVKATILHNKQGFNQIDAARGSSIAEFLLDGDTFGPLKKTVHDLEQYDRYALEFCHKLAARYSKQLFSIYQNKEDPYFHP >KN538689.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538689.1:178647:179324:-1 gene:KN538689.1_FG012 transcript:KN538689.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDTYRKKSSLAIVDGFAVEITDAQASILRLAKEVRVVEKNQELA >KN538689.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538689.1:246109:248502:1 gene:KN538689.1_FG013 transcript:KN538689.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEQETSDPSPHLFAFLLIFFSELGDRTFFIAALLAARNSGAIIFLGTFGALAVMTIISVVLGRAFHYVDGIIPFSFGGTDFPVDDFLAACLLVYYGVTTLLDAASGDEEKMNEEQEEVEHQNFKLLGLVKSIILLISRKNTVWIEENSL >KN538689.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538689.1:281539:288184:-1 gene:KN538689.1_FG014 transcript:KN538689.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGDVARQLKQMTDFIRQEAVEKAAEIEAAAAEEFQIEKLQLVEAEKKRIRLEFERNEKQGDIKKKIEYSKQLNASRLEVLQAQDDLAMSMLEAAGKELLYITRDHHVYKNLLRIFIVQDKLTKKNPEQSLLRLKEPAVILRCRKEDRELVESVLESAKNEYADKANVYPPEIVVDRNVYLPPAPSHYEAHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEGVRRAVTELGWWFTAADAVALGSAVATPGLVWGGLGLGGEEGGSRGEVVLEIADVEGKPLVCKGCEVEVIGSTPWRLGGGSVFKMHVKAVCEVGNWEQLIAGDGDAVMVRGWFQEAGKIDGEEAAEKEFFAHKIVELMLGDDKDKLGGGKPIWQLILVFLHRKNYCAMVSISDGDGNPLDGVIVPLSMNYALLHVAKNGAGFGQVVAKGPALLDSCMPDTSKEQSARKKRSKLVSKLFEATTWISFCDVLLKNSDGSMPVVDLEDLYFSRYGATSKKLRFLKCWMKQVKQQCLSTSSSIVAVVEEEKHLSSKDETETKSPVLEEDASAPLVNFSLDEVDCDKEDKPMDEINCNKVEKPVGDETSDFCSMEDLEAFLDSVPQKIEQSLCSEDADLGNIAGRLVGLSVHALMIKHGKISVRYSNRGEVDVSDGKIACEASGILLMKPKELVAKYKDRNTARATSQEIPKYSTTYKIREYPF >KN538689.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538689.1:296671:298998:1 gene:KN538689.1_FG015 transcript:KN538689.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFRLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWVPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSNYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNITAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPCYDFSDLGYSMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMHVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGLMLLEIISGQRNSEKIKEGRHTYFPIYAACKVNEGDVLCLLDSRLEGNADAEQLARACRIACWCVQDAEDHRPMMGQVVRMLEGVVDVEVPPVPRSLQYFVGMEDNNTQSAECS >KN538689.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538689.1:322540:349719:-1 gene:KN538689.1_FG016 transcript:KN538689.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRHSFSALFAAMILNVIILFVGSHTAVASNTLFPGQSLSGSETLVSENGVFELGFFSTAPAGTKHYLGIRYKNLSSNNPITFWLGHRIPITNFINATLYIDGGNLYIEELGFILWTSNSSRKESETAVAVILNTGNFVVRDQLNSSMVTWQSFDYPADKLLPGAWLGWDMIIGVNILLTLFKPPYNCTLMIDQTRKRGFIMLIDGHDKYLGTFPDWMVTYEEDGSLVRLNDPGIPNDIVYMKLQLGQVSLLRPSQPNEWELGHFGSGCSRITPSNCQGVVSTDSFVLLDNLHGLPYNPHDVMAATSEECRAICLNECYCEAYSYHSGCKIWYSMLFNLTSADNPSYTEVYMRIGSLNKSRPHILVFIPIFGLIAVVLVMLMLLLIYKKRSSCVARQAKMEGFLAVYSYAQLKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLNGLGHTEKQFRTEVQTVGMIQHTNLVHLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHQIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAALTTIRGTIGYLAPEWISGQPITYKADVYSFGVLLFEIISGRRSTEKIQHGNHRYFPLYAAAKVNEGDVLCLLDDRLEGNASLKELDVACRVACWCIQDDEIHRPSMGQVIHMLEVLQKSGGGGDEEVSRVVSGKSGEKKGRESPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFYSRGSVSNMLHVNVNYPLSLLLNMPLGLLYLSNWANFDVPFMFYFKWYIVLISLVPICNHVKLEPSKFIFLVLDFCLKLAMLIENELIGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNNQQYGCVSDLGLASLMNPITARSRSLGYCAPEVTDSRKASQCSDVYSFGVFILELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMSDVVRMLEDVRRTDTGTRTSTEASTPVVDVQNKAESSSAAH >KN538689.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538689.1:290824:295808:-1 gene:KN538689.1_FG017 transcript:KN538689.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRSPHLTAVRERDVCWEYCDKMEGNKVRCRFCYKVLNGGISRLKFHLSQISSKGVNPCTKVKPDVIEKVKAVIAAKEEHRETQVLKRQRDTELSVRPRRIRDLPSQPTSPERVTSPAITSTSDQTQFLALEVSTPVLKLSSVTNKARSAPPSEAERCIAEFFFENKLDYNIAESVSYRHMMEALGGQGFRGPSAEVLKTKWLHKLKSLSSSCVEILDDDEFWRAVEEIAAVSEPLLRVMRDVSGGKAAIGYIYESMTKVMDSIRTYYIMDEGKCKSFLDIVEQKWQVELHSPLHSAAAFLNPSIQYNPEVKFFTSIKEEFYHVLDKVLTVPDQRQGITVELHAFRKAQGMFGSNIAKEARNNTSPGMWWEQYGDSAPSLQHAAVRIVSQVCSTLTFQRDWSVIVRNHSEKRNKLDKEALADQAYVHYNFMLHSDSRMKKGDGDPIALDAIDMTSPWVEDSDSPNLAQWLDRFPSALDGDLNTRQFGGSIFGTNDTLFGL >KN538689.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538689.1:242347:245445:1 gene:KN538689.1_FG018 transcript:KN538689.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICLKPKDVVPEDAKKPISEEGLSPRKWLHDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVFAGVFAVVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEILDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQLKISRVVSFKNQSGEPESKTTETTTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLATFTSLLIEFVARLDHLVEAAEKLATMARFKQQTAN >KN538689.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538689.1:199806:202894:-1 gene:KN538689.1_FG019 transcript:KN538689.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTLMRWSMAAISSSTARTFASCASLSPAALTFRRVIKKGSVEEFSCVPYILALFNCLLYTWYGLPVAYLMILFQKFVLRMVLPVLASFGLTAVFSSFLFHTHGLRKVFVGSIGLVASISMYSSPMVAAKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFIASPNFIGCPMGILQLVLYCIYRKSHKEAEKLHDIDQENGLKVVTTHEKITGREP >KN538689.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538689.1:250899:253334:-1 gene:KN538689.1_FG020 transcript:KN538689.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTADGSGVPLLHVILVNFRKELLPFITEEEDQHHHREAADVAADADDDCSDVLIVGESAAIAAHNEDANVALLGHTYTHSHLLLLTFRFLTEFSPLNPCGFSSYVVKATSPDGSSSFTAYTYAGELPTCAFGFNSNGVRVSSPAMSVGHSYNLMDVRRRRIVNVETASGNRFSVREAAAAPFFHANMYRHLQVNQVQDENSMSRERRAAELSPDTKEKALSLLGDTADDKYPIYMTGPTLYTLCTVLVDLDEATMTIYKGNPKNRDAVRVFRML >KN538689.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538689.1:317214:318317:1 gene:KN538689.1_FG021 transcript:KN538689.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLHVLVKDFTNHPCPYALHSINASGLFYPAAVRPNGSGEGTKLEEDYLPDRTVSFHHPSGSGGSMQFMSLGQSNNAIIGVDNECRTILYNTEWHSIRTMPSMHGCKWSPPVSLAVNNSLYVMELYPRQDGHVSFEVLAYGRLPSKPSRAYQEDWYWRSLPPPPYVHYQGYEKDEAPPGYDISVERPYKITATAVVGGGSGSSIWISTAGVGTFAFDTANDTWTKRGDWVLPFRGNAEYVAEHGLWFGLSSQGDDLFCASDIAAASVSPPVVLDAWGLDHLGVATSRKCDHSKSYLVYLGNGRFCVGRLFHVEEGDTETERFVVLMGVEVEERSDGGDSRVLRMIKHRSKRYRLSAYMTINLVA >KN538689.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538689.1:205722:211925:-1 gene:KN538689.1_FG022 transcript:KN538689.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHKNTFLMWHTIIIPCRFVGGGGEMLEKKATRSTRVDGVSGEAVIEEFERVTRDAANVQRETLRRILAENGGVEYLRGLGLAGATDPATFRARVPLATHADLEPYIDRIADGDASPVLTAKPATSISLSSGTTQGKRKYLLFNEELVKSTMQIYRISYAFRNREFPVENGKALQFIYSSRETRTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLAGLLAAGDVQIVSATFAHSVVLAFQTFERAWEDLCADIRRGEVSPSRVTSPAVRRAMAALLAAPNPGLADEVARKCAALSNWYGVIPALWPNASRSIIAVLLSQGLYRYRLGDVVKVAGFYNATPKLKFVCRRNLMLSINIDKNSEQDLQMAVDAAARAVLAGEKLEVVDYTSHADVSSDPGHYVVFLELNAADPAAVDGDVMQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >KN540228.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540228.1:4455:8801:1 gene:KN540228.1_FG001 transcript:KN540228.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETPPPEGGEVHEVVEGVDGQAEAEAEDQEERWVRLLPELMSEVVRRVEASGGERWPARKDVVSCACVCRRWRDAAVAVVRPPAESGKITFPSSLKQPGPREFPMQCFIKRNKKNSTFYLYLGLTNATVDKGKFLMAARRFRRGPHTEYIVSLDADDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCALECPSTQETWENCLKTKFRKPTGNTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPNDPASSKDEETVLLQFGKVDDNIFTMDYRQPLSAFQAFAISLSSFGTKLACE >KN541205.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541205.1:1173:3743:-1 gene:KN541205.1_FG001 transcript:KN541205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MALRAHPLLSSSSSLPPPTLPILCLRCPGSSLSSKRFLRSHSRSAIRPWRNPCAARPGGPRFLGQDDADSDADEEDEEEWRWAPSAGPSGAHLVGAVDEDVGVGEGGGGVGWGAPDGDAAGGSGIRESGVDDGGQVGEWDLPMSSFRGRAQVQHHQEEEEEEEEDEDGGGCEWSDPGFFLRGQEEEASSSVSTTTAMEEILTLARSPAVDGQAFAEFLAGYGRGALSVEECVELMRRMGEEGLALGCLHLLRWMQAPEEEPLLLPPQACLLAVVALGRAQMADEVLEIVESLPPERRFSEAVLYNAAMSGLAYRGRYDDTWKVFKLMEKKNIQPDHMTSLIMLDVMNKSKTSAKDAWEFFQRMERKGVKWSLDICISLIKIFCDNGLKTEALIIQSAMEKKGIASNTSMYNTLINAYCKANQIEEAEGVFVEMKEKGLSATAMTYNILMGAYCRRLQPEVVESLLLEMQDLGLRPNARSYNFLIRVYGQQKKMSEKAEDAFLRMKTDGIMPTSSTYTSLLCAYAVNGLHEKAYLTYVDMKREGLKPSLETYTALIDMFRRAGDTEKLMETWRSMIDEKVPGTRVIFHMVLDGLAKHGLYVQATDVIYEFRRAGLQPTVMTYNILMNAFARGGQHYKLPQLLKEMAAMELKPDSVTYSTMIYAYARVRDFSRAFYYHKLMVRSGQLPDVSSYKKLLNTLDVKAARKNIKDKNAIVGILKGKSSLKHRKEKKDEFWKNRKKRSMMNHVYGYPRKRFL >KN540228.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540228.1:37661:48133:1 gene:KN540228.1_FG002 transcript:KN540228.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMETSMALVVGRDAKLVVTGDGPAALNLHIGDECMIGAGNDDKAGLLIGGETICTGDDDQEQKRRRRITIFIRSRRLIATVATDEARNSYFEKTKPPKGKRLTFCYSGGMEVVNLVSSDSESESEDEDEARVHSPDRKRAACEADPRTESESFLERERMARLLHRHPHPHPHPPPSQEVKKGKEKVGEGEMLERAVSAPDDPLIGSRGCTLGAGGESKPGDGGNGGSVSAPQDESDSKGLQEGHGQHGLLHSGSGTPDDKWKGILGARPADPAVDKLSHSQDNGKREDEVPMHGPSSVATNEITGAGDVSMEDGSSTWLSRIKGLNYPLPDENQLRTRQIESDEEFARRLQEQLNKEQPGSQNLEEVDTTLAWTLQEEDAEHARNAVREGQSSSRMAILDSLEEAFGNFGEEFMSESDDDDYESLIRLDDNNHHRGASDIQINNLPLSVVELGIFSEYLPSLPQCIDKWLRMRISCPVCKSEPAGRRPVKVILPKKKPQKWSTGMEPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAAAGGSDVILRESKSRVQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNRES >KN540228.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540228.1:32843:33316:1 gene:KN540228.1_FG003 transcript:KN540228.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAESDSEDGYNNPNNNYEDDEDDGSQQSASRSVSSRSGGVAAAGAGGGGVSSSKRKRGGGGGGGGGFGELARAVETFAEMYERMEFAKQRHAEEMERQRIKFLKDLELKRMQAFVDVQLQLAKAKHRKHPDGASEMLMSLAALPFLSTPAYL >KN540228.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540228.1:23853:30937:1 gene:KN540228.1_FG004 transcript:KN540228.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPTSSGKSEVNSCEPSWWPPDFLAKIESVSLSRKQSVFSDKEPRSNLRSSSWKASQLLWSAGTYSGFIPNGFYSIIPDKKLKENFPTIPSLDDLQTLEADGLKADIIIVDVERDKKLFMLKQLSGALVKGLNSSPALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGAFSKKVLVAWFFETAHHAASQILQMPFGGEAREEELLKNLVALVQVSFTLFVNLLIHLTSQEHTCRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSPSYVGEDQNNSSDCPNNDDTSGGVVATNNGPRNRNGSTQKAMSLPSSPHEYRAQISETINPCDFLSYEKFPFLFAWHVLTFLSPCQITSSGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSLLSNHNLHTNFENFTISFQPAAASKWGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYTVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYVVS >KN541205.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541205.1:5611:6645:1 gene:KN541205.1_FG002 transcript:KN541205.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFLPFCKAEKVKGCNSYSCSCKKSKRLNLRYQIAGHLRFSDPSSMIISLNSLQNSQGQMLVTHTGADGLAKCSLLKGK >KN540228.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540228.1:56998:59599:1 gene:KN540228.1_FG005 transcript:KN540228.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >KN540314.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540314.1:4531:6370:1 gene:KN540314.1_FG001 transcript:KN540314.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDTTSYSEYRYQVNVAEEPQLYIGSGLSSSASVQWAVANLTSQEAQQNKSGYACVSKNSNCLGVNSTDDYIGYRCSCTPGYEGNPYIQDGCKGIVIGLSCGFSILLLSFGIMFLTHRWKKDIQKQLRRKHFQKNQGLLLEQLISSDENASDMTKIFSLDELEKATNNFDPTRILGRGGHGMVYKGMLSDQRVVAIRRSMHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSSSYNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDASYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTRQLNDKSDVYSFGVVLVELLLRREPIFTRVSGSKQNLSNYFLWELKVKPIMNIVAAQVREEATHEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDAPDKAEEMQPLLCTRSEASCASLAINLGDSYNPESQSSHKCYSLEKKFSSSVGLPR >KN540314.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540314.1:47873:50518:-1 gene:KN540314.1_FG002 transcript:KN540314.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGCAGDVHRLENFLLHIGEEHLDKGNNIGSSVDLSVGIFHIRKDSHATLKLTVNVSNDDEVVAKGTYNVTPGDCTLVDDENVVRGEEATRETATDEVRDIEISPLSEHGITVLSDEEVYDN >KN542120.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542120.1:2987:4192:-1 gene:KN542120.1_FG001 transcript:KN542120.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASAASVYGGAAWEKEVRRSAKPRKDGGIAVLVTGAAGFVGTHCSLALRARGDGVLGLDNFNAYYDPELKRARQRLLAGRGVLVLDADINDALLLEKLFDLVPFTHVLHLAAQAGVRYAMEAPQTYVASNKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFAKSIVSGEPITLFRAADGADARRDFTYIDDVVKGCLGALDTSGKSTGSSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVAMPSNGDVPFTHANVTHAAHDFGYRPTTSLDAGLRHFVDWFADYYKLKLDVPKIAAKVAGAGKPSSASKKKKKAAAMSASS >KN542120.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542120.1:15861:18935:1 gene:KN542120.1_FG002 transcript:KN542120.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGNVMHLCMENPRTYRFVFLFSYSGVTASYASAVSINQQRCSITTVVLQIKANHLMISDIWRLAQQVKVRQRVIATAVTYFRRVYTRTFNSLSQWDIKLLEFCSDAGYVYRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQCFDFRLLQDAGITDLTQFAWGIVNDTYKMDLILIHPPYMIALACIYIASVLKDKDITLWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKIAPVMNKLPSKA >KN539383.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539383.1:22706:22945:1 gene:KN539383.1_FG001 transcript:KN539383.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEIAINEEPEPPHAAGRGGGAATTRAAFLLMVSGATMIIAAVGASAGAGDRVPWPRLLAELLIWLVGCITLFAPWL >KN539089.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539089.1:42481:42723:1 gene:KN539089.1_FG001 transcript:KN539089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGLVVDGLLPFHGGVLAGEGGRAEHRPRRPEDGAVAEDAEEADEVLDDVGARRGGAGGGHDGRHGWHACVHKLLRNS >KN539089.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539089.1:125932:133812:-1 gene:KN539089.1_FG002 transcript:KN539089.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTVTPKAVIHQKYGAKACYSVEEQTRSVYRCSLDLPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIRSQKPYSPEAVDLALQHWSGITNPIEVDGIFVPCVMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHAVVSRTVGKASSEIRLYFSAPNVQFVSEISNNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVTLSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFVVNRVSASCKVLGSHVSSEEMDVLKNTENQCASGGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNTGGDDSSTCSTVGSLSMDTSKQKLENNAVLAHIDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYMLESNNEFEFEIGTGAVKNQIESCHYAQVLTILADNCFLEFSVKVLQVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGNVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEAGAAGDLYVRNALVHFYGVSGDVGAMRRVFDELPRVRDVLTWNEVLAGYVRAGMMAVAREVFDEMPVRDEISWSTLVGGYVKEEELEVALGVFRNMVEQGKRPNQAAVVTALSAAARLGLLEHGKFVHNVVRRSGMPVCMNVGAALVDMYAKCGCVAVAREVFDGMRRDVFAWNAMICGLAAHGLGRDAVELCEQFISEGLSPTNVTFVGVLNGCSRSGLVAEGRRYFKLMVEKYRFEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTILSSCKTHGLVDLGVSVGNKLIELDPTHSGYYVLLSGIYAKANKWDEVREVRKLMSSRGTSKSAGWSLMEAHGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGDEEKVHAVKVHSERLAIAYGFIVLEAGSPIRIVKNLRVCGDCHEFSKMVTMVFQREIIVRDVAGNADELTGVKQVQRRVREAGGEVLRVDHAGGGEEEHAKHGRADWG >KN539383.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539383.1:81380:82765:-1 gene:KN539383.1_FG002 transcript:KN539383.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDASAALDPKLAPLLLFGGHGDATFLYSVPKRALLAPTPTPTRVGDGGVDDMMRGHRWWATAQGWLLMARRGSPCTFLWDPFTGRRVGLPPDHDGTVLAAEGSHLRRCLLSCCGPMDPTSCVVVVIDLADTELWYCRPGDNHWVRLHQHPYQHSNTEHRDVIIRFLRQFTAIDGKFYSELLIGDDGLVGVLEFSPELTLTKIAVHGVDDDRRPTVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINATTTQEQRSAAWVKVDSLGGRAFFVKIDSFGASLDAEGTGLRGNCVYYSGFNGKVLCVYDMERGTTAVIDPGTYLPYHQSPQVLMPTFPGYHGEATRSVESIYQVGPTIMEDAYEVKDTKVSKVKRVVRFFEGGCTYLPIPIQNTRVTKQKDKLINQKKSKRSTAGRPPCRGEGASSPETKNSYHGCGFPLEPLKRLLDMKK >KN539383.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539383.1:5518:6084:1 gene:KN539383.1_FG003 transcript:KN539383.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMRNPKVMQKAQVELRNTLQGKQPVKEDDLVNIKYLKLIIKETLRLHPVVPLLLPRECLHACKVMGYDVPKGTTVFVNIWAINRDPKHWDEPEVFKPERFNDGKIDFKGANFEYIPFGAGRRSCPGMTFGHAIVELMLATLLYHFNWELLEGVAPNELDMTEEMGINVGRKNPLWLCPTVRVPLQ >KN539089.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539089.1:16440:20397:1 gene:KN539089.1_FG003 transcript:KN539089.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVTTAIRDSIRETAIGKTRNTEKADRATVEQAIDPRTRMVLFKMLNRGVFNTINGCISTGKEANVYHASKADGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVGAAGIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFELITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVAVMSVTELFNFVIDQNIADEDVDHYLEKIQQKMLENGDMVANDDEITPTVLVQTLDYVKQCEADIVNMSLMQRPSFASEPTADKLYNQPLLGFVQNKDEPTKNQQVQSEEPLDLQNKCSSEHSESCTSSDEDGSWHETLKVGPEERKAARKENKKKVKAEKREARKDKIPKAEKKKRKKMAKAKCKR >KN539383.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539383.1:25445:28206:1 gene:KN539383.1_FG004 transcript:KN539383.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGGGGDGGKSDVPADANEHCPGTQSEEAGKADACAGCPNQQICATAPKGPDPDLVGIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDCQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPILGVVENMSGLRQVLSDFRFVKQGEGGEMDATEWALNYIKEKAPELLTMVACSEVFDSSKGGAEKMCNEMGVPFLGKVPMDPQLCKAAEEGSFSV >KN539383.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539383.1:65788:67716:1 gene:KN539383.1_FG005 transcript:KN539383.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSSLSASSPARLRLRQLSPGDAVGGGFLLVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDDIIDTAKEQYRVLKTDNEFRYGYKVVENGNLRSALTTSNVIELPKKEELKTVVDKVKDFFGDVTSGAKESFAQITGSVSAEAEAPVEEEKPWVKRRNERKRKQKEKQNQKQGISLCIAIIHRRSELPAWLPFLICRNGELIVKRSIPEIVK >KN539089.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539089.1:62173:79541:-1 gene:KN539089.1_FG004 transcript:KN539089.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHHNRAGHKLLNADVVERKEEARDAVRSGFDKMKRRWRKHNSREVKEIDKMIAEVNEKLLGELVTVKCQLAVRGKTSKRELSDVNLRSKKQRYSVCQREPVKTPPPLAPRPLRRARQHGATPPSPSKAHSLPRRRRRQGTSRLLPKRPKRNKMEKRKRIASKEDLHGQMMKRKKWRLQLSNLPEDILCIIVSKLPLREAARTSILSSQWNRTWCSHTNLNLSDQSIMSRRYIERDITPEGRKLNAEEFIRRVDAILQQHNGGGVEKIEVIGLLENENAYHINGWVNFAIKSKTKQLVLDFRSFHWPIDEPYNFAFQIFDAANMVNLQSLKLGSISLKPPADFKGFQNLKRLKLLDVGITDEDLQLLLSNCNCLEFLGIYCCKLITSLRTTHLSTQLKHLYVYECPCLKEIELNSGLTTLEYIGPLIPLAPPGIYVLTNLRIKSWDISDSLQYIFTKLPSTLPRLEMLTLQCRELERITLPDKPIKFIYLKHLRLELAFSGPRKWDADILDFACILEAAPLMEKLEFHMWMNCRDHLRYRKAHGKLRTLPPCPHYHLKEVNIAGFYGQKDQLELARHILRNSVVLQAMNIGPRPIAACDRSRMAILEAFNFVDGSKVAMKYLCKADHRNVVHVWEMSRKDVENVPAYRLLFILYKFLKLMPVVQLEQLNMVGGKESTELVVRGERKRVPNEFIDELASFLGENLTVDYEERHYHGTPQNSFHKAVNVPDVVVFPRSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMSLMKFAINRSQKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKAWGHYWRNVCYSLFWFTSCEAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTVRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMVNGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEEPDAKEELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISVSKEKLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMVHTALSMEGTCTGEHGVGTGKMKASI >KN539383.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539383.1:2371:3373:1 gene:KN539383.1_FG006 transcript:KN539383.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAEASIRKGHELMDSAFRQHQQLRDAMAAQPHLDDCAMEEDLLDTLLRIQKEDNLDVPLTTGNIKAVLLDIFGAGSDTSSHMVQWVLSELMRNPEAMHKAQTELRSTLQGKQTVSEDDLANVAYLKLVIKETLRLHPVVPLLLPRECRQTCKVMGYDVPQGTTVFVNAWAINRDARHWDEPEVFKPERFHSGKIDFKGANFEYIPFGAGRRICPGMTFGHATVELMLAMLLYHFDWELPKGVAPNELDMTEEMGITVGRKNALYLRPIVRVPLQQATMT >KN539383.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539383.1:85474:88333:-1 gene:KN539383.1_FG007 transcript:KN539383.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDASAALDPKLAPLLLFGGHGDATFLYSVPKRALLAPMPTPTRVGDGGVDDMMRCHRWWTTAQGWLLMARRGSPCTFLWDPFTGRCVGLPPDHDGTVLAAEGSHRRRCLLSCCGPMDPTSCTVLVIDLAYPELWYCRPGDNHWVKLHQQPYQYRNPAHRDAIIWGLRQLTAIDGKFYTEELSGIVVVLEFSPEVAFTKIAVHDDDRRPAVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINATTTQEQRSAAWVKVDSLGGRAFFVEIGSFGASFDAKGTCLRGNCVYYSGFNGKVLCVYDMERGTTAVINPGAHLPYHQSPQVLMPTFPAGCYGRPTRSIESIYQVGPTILENANEVKDTKNTRVTKQKDKLINQKKSKRSMAGIPPCRGEGVSSPETKNSYCGCGFPLEALKRLLGMKNCVVVVIDLADTELWYCRPGDNHWVRLHQHPYQHSNTEHRDVIIRFLRQFTAIDGKFYSELLIGDDGLVGVLEFSPELTLTKIAVHGVDDDRRPTVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYKLSINATTTQEQRSAAWVKVDSLGGRAFFVKIGSFGVSLDAEGTGLRGNCVYYSGFNGKVLCVYDMERGTTAVIDPGTHLPYHQSPQVLMPTFPRYHGGATRSVESIYQVGPRIMEDAYEVKDTKNTRVTNQKDKLINQKKSKRYTAGRPPCRGEGASSPETKNSYRGCGFSLEPLKRLLGMKK >KN539383.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539383.1:100567:111808:1 gene:KN539383.1_FG008 transcript:KN539383.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVGYSGAPVHVVKLNEETMEWEKMRSLEGHALFTGTYTTMLRKTKLRLMQNKVFLPRLYDWPETIHVELVTRDGETAFVPKISFCSMKNPCAELLEMSRFPYIDSDESVAVAVLEYAIGRLQIFRAGFSTQFSSVQTREERSRALAGALVISHGGAGAGSGSGSGADVDLAAGVAVGRGVGDGRGIDLVAGVAVVGRDSGSGIDLVADGGAVSNATGIVVSNGGVVDDDLAVVTPGTATGVVVDLDLVAGVAAIRGTGVDLVAGLAAIEMSRFPSIKADETYNGCSTINMQEAANK >KN539089.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539089.1:92327:104150:1 gene:KN539089.1_FG005 transcript:KN539089.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT5G16715) UniProtKB/Swiss-Prot;Acc:F4KE63] MALAGASSSACLRRLNPLLFSAHRRPAWTPRRAARRFCAAAVASERDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPALWLPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKRVWEWKEKYGSTITNQIKRLGASCDWSRERFTLDEQLSAVIEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMDPLAEKALHAVEKGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARSAEEALAKAQEKYGKSVEIYQDPDVLDTWFSRSINTFRHKSLQLSCSALWPFSTLGWPDLSSEDFKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSMGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDATAWDVLLANKFDTEESLQKLPLPESWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDDSASSMAQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKQAIIVAPWPATDLPKNSLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVAAADVLDYISKEKQVLALLSKLDVQSIHFSELPPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDSLLARLNSGSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVSS >KN539383.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539383.1:55440:60593:-1 gene:KN539383.1_FG009 transcript:KN539383.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGDSSPSSGRASFSSLSGLKDLELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNISDDGLRERIHGYLKGASDEHISQFLRLIKYVSGSYNSGEGFASLNNAISENETSKNNKPGSSRRLFYLALPPSVYPSVCKMIRSYCMNPSSHSGWTRVIVEKPFGKDLESAEELSAQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVTPIKHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVNNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKFLSAIVLLLPMVSKTLFLFHPDKGKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDDGKVKALPYKPGTRGPPEADELSKRMGYVQTHGYVWIPPTLSKF >KN539089.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539089.1:11006:11281:-1 gene:KN539089.1_FG006 transcript:KN539089.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKFWQVVAGKKKNGGGGALLPSRQGMLVAYVPAFVAAAASFAVPGAVVGVRAQVLSAALTVHFLKRVLEVIDQGLEIATGFCDKILLL >KN539089.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539089.1:135768:149768:-1 gene:KN539089.1_FG007 transcript:KN539089.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGGSSRDGDIEMGMQADPSDNLKGFLKKVDAIESLIAKLTNLLHKLQTANEESKAVTKARDMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGVHKAMNSCSSTMLAKFYRAVKKKLKERMDDFQVLREAIRQEYRDVVERRVFTVTGSRPDEETVDNLIETGRSEQIFQEAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVDAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLIIVVIIVVAVIQPWKKAHSSGDAVSSSSSSTSSALKYLPSNRAPCRKSKFLSTLVFPMLIFVVSQLQIALHALMASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSVACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLAQAALLSADAALPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVHNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAAHEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMASMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDTDATEPSEEGIQTVLQVLSIQQQFWPVLVPSFASVLALQRSVFSRYTTEVNKMKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >KN539383.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539383.1:8278:11593:-1 gene:KN539383.1_FG010 transcript:KN539383.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRSESMVSVRAYDWIWLFYGFGRIGRLVARVALQSEDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVKVKDSKTLIFGTKEVAVFGCRNPEEIPWAAAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDVNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >KN539383.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539383.1:143:775:1 gene:KN539383.1_FG011 transcript:KN539383.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding DIFGAGSDTSSHMVQWVLSELMRNPEAMHKTQTELRSTLQGKQTVSEDDLANVTYLKLVIKETLRLHPVVPLLLPRECRQTCRVMGYDVPQGTTVFVNVWAINRDPRHWDEPEVFKPERFHSGKIDFKGANFEYIPFGAGRRICPGMTFGHATVELMLAMLLYHFDWELPKGVAPNELDMTEEMGITVGRKNALYLRPIVRVPLQQATMT >KN539089.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539089.1:90623:91254:-1 gene:KN539089.1_FG008 transcript:KN539089.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFVFRRLASHLSSKSARSHFPRAAEASRNFNTFPSAHPKLKINHPTTGLPSVDQTNHLIKPLGCARDANTTALFSTTVKD >KN539383.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539383.1:68784:78078:-1 gene:KN539383.1_FG012 transcript:KN539383.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQLYSEIFRNHEHWNRWITIHTKARPAAAAAGLCLGERRRARAPWGPPDTGGALLERWISRERRSDGRDASGSGFPYRAFVALMVLKFEVECAKQRSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSVFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACVVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAFPAVPPVDTDTDTDSHIDDDVDPTGSNNATASDNNDPANEVDPTANAGSDDSNTGDEVKVDATATAVGSSSTTAVAADEGTGSPPHGALVDTDDEDGLTYSQDMDLPPASTSPQTLPDEDDLPWSNPDKSPPHNNMDAGGEEAKQERHLVLAHKLFLLSHPDLDDLAKVALRSDALDAVKSDGMAPLFESLAAAGVLEPDDALLAEMRARIDEEVRKLDEKIADAEENLGESEVREAHLAKSLYFMRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVITSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGMTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >KN539089.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539089.1:24609:24830:-1 gene:KN539089.1_FG009 transcript:KN539089.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVVAAEHDILRDRNEHYARRMREEWGKEVAFVEFAGEQHGFFEVDPWSERADELVRLIRSFVVEHMDSE >KN539089.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539089.1:1113:1415:1 gene:KN539089.1_FG010 transcript:KN539089.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQKLTGNRKLSTATAPVDSTVRSADDQMAAGGGAEITDTAAATVADDQLALAFGQQHWPAPPPEIDDDNSANLPSPGSFFLSPTTMQALQELAANLF >KN539089.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539089.1:109935:123541:1 gene:KN539089.1_FG011 transcript:KN539089.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADEEECECDISIAVTGARRGGRGFMACDHKRQFLKAGVGNVLKASIVLHANALTTPIMIGTSCGVGMPRVQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQVDSACQAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKKGILLWQVIVLSHHGHYVQTCPFDLIGVHSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNANQNRHSFERPVKIYN >KN539383.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539383.1:97951:99494:-1 gene:KN539383.1_FG013 transcript:KN539383.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPEIHTQVKASGLAWKVRMWLLTYMSVYW >KN539383.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539383.1:18595:18810:-1 gene:KN539383.1_FG014 transcript:KN539383.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWLTVVAPGWCEVILSVGIAVSPDHNGILDATLVLVHFTRSAKEPDEVPKRSIDCRCLQIAVGWLDLAL >KN539089.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539089.1:33667:34719:-1 gene:KN539089.1_FG012 transcript:KN539089.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPAPPHVVEDCLGIVQLLSDGTVTRSGDYSSISLMRDVPIDLPVQWKDVVYDAGRGLRLRMDHPAANPFGPESPPLDGVAFPPVLIVDPELDVLRDRVADYAARLEAMGKRIELVKFEGQGHGFFVLDLMSEASGELVRVVRRFVYAG >KN540978.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540978.1:8696:10141:-1 gene:KN540978.1_FG001 transcript:KN540978.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIIAAPKPYRACLLFLLLALPCCVVAHTSEARLLLQMKRAWGDPAVLAAWNDGDDTGAGDAARYCGWPYVTCDGAGRVTNLSLANTNVSGPVPDAVGGLSSLAHLDLYNNSINGTFPTSVYRCASLQYLDLSQNYLGGELPADIGVGLGENLTTLVLSGNYFTGTIPKSLSRLRKLEWLTLDNNNLTGTIPAELGDLTSLTTLTISTNKLEPGQLPASFKKLTKLTYLAVSQCQLVGDIPAYVADMPDLETLDLAVNNLTGSIPPGIWSLKKLQYLFLFANNLTGDIVVADGAFTAVNLVSIDLSMNTELSGPIPQDFGLLQKLEVLHLYFNNFSGEIPASIGRLPALTEIKLFNNRLTGVLPSELGRHSPDLWDIEVDDNELTGPIPEGLCDNGKFQSFTASNNLLNGSIPEKLAGCTTLKVLFLGNNQLSGGARGTMDGDKACIREPTEQSPHWDSAYHVVQGAYQLNPAEQPVSR >KN540978.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540978.1:23567:24897:1 gene:KN540978.1_FG002 transcript:KN540978.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEIPSDRRDGDVDWSEIPADLLVTVFTALEIPDLICCAAVCRLWRDHYSSTRRLGLCRSSHGPCLLTTSPTGVATLRRLSTGNLYRVPLPDMPPSADTILGNPYHHHPLLPPLRDRYVVGSSHGWLVTTDELSELHLLNPVTGAQLPLPPLRSLAPVRLLFRRNDLTTFYGHSVRDITPMRPEHNPRVPILRLGDATWIWLDLDPLCLGYQDCFFDDDDDGLLYAVRSCGEIQTIDFNGSAAPVINSICDPFKISFDRANYIVRAPWGDILQVWRSFELDDGGEEPRTYELAVFKLDLATEDLVQIKDLRGHALFVSFGTSFFVSVNEFPVLTPNCVYLAHDSTKCRRFKHIAKEVRVYKYNLQDDTFADQYTQSSWKNCPPPALWFQPTWSLNK >KN540978.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540978.1:14379:19865:1 gene:KN540978.1_FG003 transcript:KN540978.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCTGVLLILTLAVLLLLLSPLISLVDGLVRCNQQARVSGLQGRNNTMANVTANEHENEKRPKGRADFGGSFSIIEGEPFTATLWAGAEGFHMTVNGRHETSFAYRERLEPWSVAEVKVSGDLELLSVLANGLPVSEEVDMASVELMKAPPLSKKRVFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAVRFFTGLHKNEQVNMEILKEAQMYGDIQFMPFVDYYTLITLKTIAICMFGTKVVPAKYIMKTDDDAFVRIDEVISSLKKSDPHGLLYGLISFQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIISRDIAKFIVHGHQERNLQLFKLEDVAMGIWIQQYKNSGQKVNYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLQKEYQPVCCE >KN538819.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538819.1:52692:53868:-1 gene:KN538819.1_FG027 transcript:KN538819.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGWFPIVSASGDVLLGHASDRFLRAIDRGDGNGVTVEVSDSRRPNTPWVVEAIPPIESIPRLPHLVGIGHIARAIRFVRAERASTDGTFPHVAWACFEFTGRSLFNLRTELARRLNFAVVSDVIMCVRAGLFGRLTPLITDLPPNNVTMAIIVVTAGTIGEISFLCTCVLLVIAFSFHNSSIDYMLGIP >KN538819.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538819.1:100875:102532:1 gene:KN538819.1_FG028 transcript:KN538819.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITWLATAAAALCCLVAAASAAAQCRFPAVFNFGDSNSDTGGFWAAFPAQQAPFVQAMGLPLLSPYLQSVGSGYRHGANFATLASTALQPNTSLFVTGISPFFLAVQLNQMKELRTKVLTSNGNNDQLPAPDVLHNALYTIDIGQNDLTSNLGSQSIETVKQSLPSVVSKISSTVQELYNIGARNIMVFNMAPIGCYPAFLTKLPHTSNDMDGYGCMKTYNSAVTYYNELLNNSLAEVRKKLQDASIVYLDKHAVTLELFRHPKAHGLKYGTKACCGYGDGAYNFNPDVYCGSSKLLNGQTVTAKACADPQNYVSWDGIHATEAANKIIASSLMSGSYSYPPFDLSKLCHLQPIA >KN538819.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538819.1:33759:34148:1 gene:KN538819.1_FG029 transcript:KN538819.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MDARESLAKSLEAQVSDSRSGALLLRCNRLHIAATKQLIASLVAINDTLEELVDAGFKPLPVDKFLTMIRDIGDAGETMVAESMEQIDASLKVLLMSLPQEDDDNGGCGGAAGEEGIGSDGTQLQISRL >KN538819.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538819.1:5778:8078:-1 gene:KN538819.1_FG031 transcript:KN538819.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFQPHSGGCNDMPFDSYVQQNGHQELHLVDHPFNNVTDGHEYYSPSAGGSFLPFATYYDLGHEYYPQGGEKDAVVVDRASPTIRKASPHLPLFTPKSEVSHLIGGGVVGSYKAFEMNSRLIRRKRASGKSLKKANVVKGQWTLEEDRKLVKLVEQFGLRKWSHIAQILPGRVGKQCRERWHNHLRPNIKKDTWSEEEDIVLIQTHKEVGNKWAEIAKHLPGRTENSIKNHWNATKRRQFARRRSRASSKNPKSGTLLQNYIKSLGIGPIKSSVRQAPPESTAVSSSSPASTQKLAEVNGKIRPDSNPSNQMVTQGILTMDENSYIQTNSCEELLVSTYDDLCLDMCDHLFETKDETPYQVYNIDDDVDMNYIFNHIDYANKIGHEIDMEMAWDDDVLQDDESAGSSPLETPAGLAQINTVHVKEEMDLIEMVTRTQSCG >KN538819.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538819.1:76998:83795:1 gene:KN538819.1_FG032 transcript:KN538819.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase 1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/Swiss-Prot;Acc:Q9FNF2] MATAAGMGIGAACLVAPQVRPGRRLRLQRVRRRCVAELSRDGLTEDSIDKTIFVASEQESEIMDVKEQAQAKVTRSVVFVTGEASPYAKSGGLGDVCGSLPIALALRGHRVMVVMPRYMNGALNKNFANAFYTEKHIKIPCFGGEHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQKCMFVVNDWHASLVPVLLAAKYRPYGVYRDARSVLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPSTDKFLPYHYSVDDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLAIPDLMRDNIQFVMLGSGDPGFEGWMRSTESGYRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVENFNPFAEKGEQGTGWAFSPLTIEKMLWALRMAISTYREHKSSWEGLMKRGMSSDFTWDHAASQYEQIFEWAFMDQPYVM >KN538819.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538819.1:103261:103671:-1 gene:KN538819.1_FG033 transcript:KN538819.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.2 [Source:Projected from Arabidopsis thaliana (AT1G09200) UniProtKB/Swiss-Prot;Acc:P59226] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KN538819.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538819.1:1998:4537:1 gene:KN538819.1_FG034 transcript:KN538819.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLERYRSCNYNLNSCEASAALETELSNYQEYLKLKTRVEFLQTTQRNLLGEDLVPLSLKELEQLENQIEISLMNIRSSKNQQLLDQVFELKRKEQQLQDANKDLKRKIQETSGENMLHISCQDVGPSGHASEANQEFLHHAICDPSLHIG >KN538819.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538819.1:85825:87941:1 gene:KN538819.1_FG035 transcript:KN538819.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAGMLGSAAYTRMRAENPSEFVPRRVLLSHAGLENEIDRGNSFWVKAALVYESVTGDHVDDHTRHLSRELLLNLAAYCCPSIHPDPSSPRPQALPEDEDKKAEDDQLAKRTQHPDEGTEENKQDIVTDVIKLENQLPIKHLLAVADLAEEAVHAAAGDIPGLKDDVAKALREYKLGFARANFDGVIRSFCSYYSPFFSKDEQAKKPDDAAISGELTLLDCLHASLVPPSSAAGGGGVKGGKTSRIPTAKELRRSGVRLEAGVEDGRAVVQFKEDAATLRLPALVFDFKLATVARNLLARELEEQSKPVTRYFQLMNELVEEVADVRILRRAGVVRGGSRGAGEVHELIKKIDGYATYPSVFMAMDVQVEKVKVFHEKRMNNFFVRYRPAIVAASSAGAASVVAIVATRKKRG >KN538819.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538819.1:63630:64279:-1 gene:KN538819.1_FG037 transcript:KN538819.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLAKLSHSPIGSFKAGWILERVERTRRLLAKAEAVYETLLGFVDSGCSPLTADELNGIIRESWWTTDHNDWVKRVSNKSLA >KN538819.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538819.1:72885:73286:1 gene:KN538819.1_FG038 transcript:KN538819.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMRMREKLATMDERQAMAMRLTWISELIASNKKSIAGNKAYILALIDAIDNDRCPYTAAELSDKIRELREDRVTVILPAQAVIKTMIDSVRAATPAAGGDGGTRRRGADDNSGAIGCGPTHQSRTISRM >KN538819.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538819.1:104220:104630:1 gene:KN538819.1_FG039 transcript:KN538819.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.2 [Source:Projected from Arabidopsis thaliana (AT3G27360) UniProtKB/Swiss-Prot;Acc:P59226] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KN538819.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538819.1:27952:28263:1 gene:KN538819.1_FG041 transcript:KN538819.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTETERESSVQVSELADPEPRCMSLEETKQLIGYMNTIVDSLLKIVNSGYSPYPVEEIHEIIRDIREEGCAAVRRSLDQIRRDLDAADDGRRRRELQCHRR >KN538819.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538819.1:109661:112920:-1 gene:KN538819.1_FG043 transcript:KN538819.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFFSKEGVLTYDGGKIKIFRDIDKDEMEYSRLVQMAKDVGYKDGDKLFFAIPGCSLDNGIDQLIDDASISRMMEHGKSCNFLEVYIQHKQHNISENPMLNEAIKCTYSDENTKIGRNKKGNKKRSMSPQVKRDKRIWTAEEENVLVDILLEMNETGWKVDTGHKSGYLLHIEKELAKRLPNSKIKADPHIQSKIKALKKMLSAIIEIQQFGSGFGWDDENKMVVGDRDQFLGWAKSRGCAALYMKPFPNFDKLSEIYASDLANGEGAKGPGDYIEICEEATSDYNHSSDESQGLSHSGNHSSGTKPGGGHKRMFVEEDHVESAFATVSKSFQSLADAEKEALDHEKEVETMRSQLFDVLCALAGFTHAEIVKAARIIGTN >KN538819.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538819.1:9415:20323:1 gene:KN538819.1_FG045 transcript:KN538819.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGDLQQFTAPKAENSFDDITGKVVADFGCGCGTLSVASSLLDAEHVVGIDIDPQSLELAQENAADLELDIDLIQCDIKNLNLRVGLLVDTVVMNPPFGTSRKGADMEFISMGMKVATRAVYSLHKTSTREHIKKVALRNCNAISAEVLCELRYNLPRTYKFHKQNEVDIAVDFWRFVPRARDEDKPMDGTCLPTCIQQAVQVLTLKRVVQSSVTGWNKQPLMQELTKALKSVSSDLLDRFIDSVYKFSEQPYLNEGNFGPVNEIGDEVFIDDLNGEVPKDFPEGVYIRNGPNPLNASQTAAESIFGPTSYMYYEGHGMLHAIYFSKSNLGEWRISYKNKYVDTDTFELERKKNKIVFLPSAEGEPYATLVAFLLNTVRFGKPVKDSANTSIFQHAGRVFAATENHLPYEIDINNLRTLGPYNINGAWDQPFTSHPKKICGSGELVTMGTNIEKPHYVLGVISSDGERLLHKVDLKFEEGKLIHDIGVTAQFIQNDMGGISRIGVMPRFGDADSIIWFDVENHCSYHLFNCFEDGNEVVIRGCRTLDSVLSSASHDDDKSKCSGRAFLQPDKNSEGFDPSVDGTLFSRPYEWRLNLKSGTTKEGYLTDEKVAMDFPVINEDFVGVKNNYGYAQVVDSVATSEIGLFKYNRIAKVHFDRQDKENKQLKSVEYHVLKEKTFCSGVQFVAKENGIDEDDGWIITYVHDELTNVSQVYIIDAKRFAEEPVLKITLPQRVPYGFHGNFFYK >KN538819.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538819.1:45402:46655:-1 gene:KN538819.1_FG046 transcript:KN538819.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHDGHHVWLRSRVHGTYLRAGEDGSGVSLHEGRASVHAAWAAHILHLDGGDILMLHSAANGRYLAAPRTGWSWNSVDLRDLNQLPSFTVGWFAVTAGSGDYVMLRHSSSGLFLRADGGNLLCNSVGVVVDMFDFRRREIRQWVVEAIPPRDSMPILPNPSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNRLASQLRIRESSDAILCVRAGSTGRVTPLVTDLPRNTLVIDIVVITAGTNGEISFYSDRLHIYMLMVLL >KN538819.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538819.1:58990:59385:1 gene:KN538819.1_FG047 transcript:KN538819.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MDARESFAKSLQAKLSDSPSGSLLLRCNLLHLATTRQLIASLRAIYDTLEEFVDAGFIPLHSDDFLEMIRDIRDAGETLAADSLDQIDASLAALFASLPPEDDDNGGGAGEEGIGGEDTSHGTQLQISCRL >KN538819.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538819.1:69875:70327:1 gene:KN538819.1_FG048 transcript:KN538819.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELPQQEVKLFSHWSFEDVQVKDMSLADYLAVNSTKHAAYLPHTAGSRYSAKRFRKKAQCPIAELLMTNSLMIDARPQQREEELSWPCASSSTPWRSSTSSPTPTPYRPLSTPSSTAALVIRMRPVLGRACCQGQLLSVYPISVLHC >KN538819.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538819.1:64643:65032:1 gene:KN538819.1_FG049 transcript:KN538819.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREASAAKSSVQLLPELPDPETSRIRMEETKQLVADMQGIIEALLVFVDAGCSPVPVDEIHEMIRHMREVGCPAVRRSLDQIRRDTDALLAAAAALDLDNGGSGAGGGDEGIGLRQQQNAAQPQPRL >KN538819.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538819.1:97104:97969:1 gene:KN538819.1_FG050 transcript:KN538819.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCKLPSILHIYASFFVKCINVYYLHFPRIFDHWPSSNLPPQLTEIPRSTFNFDFEYERKILAEAEKENPNWSKFVIESQPPPPPPQPPRGPKLTTPTTSVATPGDPVVDKYISMGLGREAVSFAVLNYGDNPAKACFFPYLSCDLSLPSFNISSRTKTIALITQKGFQRSTR >KN538819.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538819.1:37434:39316:-1 gene:KN538819.1_FG051 transcript:KN538819.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCAIFSSRYPVSTAAAKKGIHRLISAARSFQFFGQSIESLKKEIALQAGIDISEYSIKIQAGVHGRMTPLFVDLPRNEETLVIVLVRNGTAVFAAQNALRENQDIPVEEALMLYQRAQNEYESQVRVIQNMNDEHERRVRQVPWAELTKEEAKAVKKEIKVNQAKIIKLQNGLPNLEENMYNAQVTYKRATARHGNGQLL >KN538819.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538819.1:20885:22498:-1 gene:KN538819.1_FG052 transcript:KN538819.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLRFACDQARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPDSRRDPTRWPDFVPGYRDTVVKYSDSMKDLAQKLLRIVSESLNLPPSYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGLWIPVPSLPDGILVILADQTEIITNGRYKSAVHRAIVNADRARLSVATFYDPSKSRKICTAPQLVCKEHPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >KN538819.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538819.1:105031:108951:-1 gene:KN538819.1_FG053 transcript:KN538819.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRFNPWRAAEAEAEAEVQGVKRKGDSCYNKGSYGKAIKHYTRGAELDPSDISFLIKRAKALSALGQECVRDCDDALRRGEELGSGSSGNKLISEALLWKASALEHLADCAADYEQVILLLRRSLETCHSEEAQIRLKGALFMREQYEELKSQKLECGAYPIYAQHDYHARLEERINMDKTRLNTLLKHATKELQKNEGKLSEERSRRKEYEDMHVMEDPYITADGHTYDGEAIRAWLDAGHDTSPVTNLPLEHMELIPNRALHSAIVWWHEQQNAAREHRDMA >KN538819.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538819.1:49200:50435:-1 gene:KN538819.1_FG054 transcript:KN538819.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRAQGTYLRADDDGRGVSMGQGRASVHAAWTVHTHHLDAGDVDILMLHSAANGRYLATGLGWTRRRLLSGNRASIVLRDLDQEVFPPACWFAIRSGWGDDVLLRHCSWRFLRADDRKWNWNRNGTGVIADMIDGRRLARWQWVVEAIPPRNSIPRPPNPSPSFGFFARRIIFRRLTHNDLQWVWIWFTGRSALHLWNQLSRRMGFEPDPNSTMCVRAGTYGRLTPLVTDLPRNNATMVIFVLPPESLGEICTCARLAVAFLFYG >KN538819.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538819.1:89685:90047:-1 gene:KN538819.1_FG055 transcript:KN538819.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MPARATAKKEAKEEDDSAPDILGISAGDGLISPFTGDVLNFPPPDDDMFGGGISFGEPTPPLMVFDDDCMARLGHAPNDDEHLVTSSSFLDDDLGDLPSWPEVDGFFSDDLFAAEPFPAL >KN538819.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538819.1:24162:26124:-1 gene:KN538819.1_FG056 transcript:KN538819.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRANGLYLCADDDRSGVSLQQDRASVHAAWAVHILHFNGGDVLMLHSTANGRYLAAYRAEGSWNVERLNLNRLPSLTFSWYALGSRYGDDVLLRHFKSMFFLRALFRRDRISNSGAVGLCAMDRGTTTMQWVVEAIPPRESIPTLPDPLPPSSLSGVYRIWYVRANPDGIISPNDWRLFLFYAADRLRYPNVDAA >AMDW01031100.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031100.1:195:279:1 gene:AMDW01031100.1_FG001 transcript:AMDW01031100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKGEAKKKKKICSKSESQLQRKFKE >KN541365.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541365.1:8367:12635:-1 gene:KN541365.1_FG001 transcript:KN541365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQPDQPVAAAAPGHEPIATAGAEAEHVVLCFGASTAVAERQPEEGPAADSPVSDAATGGAAAEPYARHDVDPPAAVAHVHALDHPAASIDAALPPRMPKHLYLAVFNGEKANVIEMLQLPNNGAPHGEEEEGQATDGASHSQPQAIDGAHHAEDQTVYDFPIAQVAINEEVGGAQNIHRDPHENKEGAQGQGHFVRNRVAIARREQYESRIDAVTAEGNTVLHIAASRGHAHPPGPDGTSQQEDLITVLYKARWHLLSSLNSEGETPLHRAARAGHVHAVQRIIAGVKENLENLAENQLMDIIATRNCAGENALHLAAMHGHAQVVTTLLKYARDARLSSVLTEANNASALYLAVMSTSVATVKALLAHECNDASAQGPKGQNALHAAAVLQNRDEGWQRPTVRYVVKNPMLHDLLNSQDKEGNTPLHLAANHGKFVDVYALISSGKVHPDNMNAEGETAFDIAKNTVSFFFMGAPDFFQLHPLPSNPMDDIPLTS >KN545271.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545271.1:91:605:-1 gene:KN545271.1_FG001 transcript:KN545271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAGTPGYLDPECVITGKASTESDMYSFGIVLLEVFRLVEWAWELYGRGDDDQSSLDAIADTRLGGAFDRWEMERVVGVGLWCAHPDPKARPAIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFAASTMKYYGDSMTSVGSE >AMDW01040905.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040905.1:87:2201:1 gene:AMDW01040905.1_FG001 transcript:AMDW01040905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQIELQNQQPVGVGIASDPMTARRTGKKLHMEDVSCCQYPLIGVEKFGLFGIFDGHGGDGAAIAASRILPQNIANILSQQETKERVLSCHSASDVLRHAFALTEAALHHQYEGCTATILLIWFDQNEDCFAQCANLGDSACIMSVNGEIITMTEDHRVVSTTERARMANSGQPLKDGEGRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQAVRMTKACLASALIASDGLWDVISTNRAAQLVLEGKQKYSEQKTSADKVAHHVLSEARKLRTKDNTSVIFVDLDTLRSDP >AMDW01040905.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040905.1:2825:3193:-1 gene:AMDW01040905.1_FG002 transcript:AMDW01040905.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DENVDAIIYHIHGVIESFMKKQEKEHTSKMAPPEKRREEFKSLLMEAARPFLLGQTERFVAEVELFLVSHLNIDAYSRLRVQRLKESTSHVSREQDVLPQDRSLEDHYLYFLGDETDCNDEI >KN548340.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548340.1:115:522:1 gene:KN548340.1_FG001 transcript:KN548340.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIGSNNFTGGLPEELGNLTKLQRLKASDNGFNGKIPEYLGTITNLVE >KN538783.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538783.1:194420:197632:-1 gene:KN538783.1_FG001 transcript:KN538783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSPIDEALLHGQEDLQDEVDYDMEQQLLPTGGGSFCMTGASFGRSCLNLSNVISGIGMLSVPYALLQGGWLSLTLFAMVGAICFYTGNLINRCMRADRCIQSYPDIGYLAFVASLVWAGVADKGFHMEGSSLLNLSGLPTALSLYFVCFAGHGVFPTVYSSMNSKKDFPKVHLLKCIYVFYLILNYAVTAVLGYKIYGEDVQAQVTLNLPTGKLYTRIAILTTLITPLAKYALVIQPITIAIEEKLSATMDAEINRLNRVLTSTAVVISTMVLACTVPFFGYLMSFIGSSLNVTIAVLFPCLSYLKIYMSRGGVGCFEMAAIIGILVIGSKPSGVDLEKSISRSQVCAGVKGGDRDEFGLYLVYTY >KN538783.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538783.1:229368:238064:-1 gene:KN538783.1_FG002 transcript:KN538783.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNSRHDIFDRRNVFTVSDQLQFNTSDTGDEGVMRRLMLDYDSNLSLYSLDAADGRWRVTSVAVPQQGDVHDMYGRYVICTYSPDPSCSYLDGYVPHDMSDWNKGCRRTFDILCGEDVGFAVVRHTNYCWDLVRPVPMAVPRLLPLLRDRAQVEQLLRYVVEEVPDDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEQLMELLFSFVKSDHPHSTLLSGYFSKVVICLMLRKTAPLMAYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSGAENVLPTTYGCLRPPLGKHRLKISVSDQMVYRKGTQDLCRSGPPNWIGSGQPNWIGAAIGPADWASHETAEKELIRQSAIKRSVDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCDIVGKILAAEKLSSLSTESTGPTVPSDGKSIPKIGNIGHMTRIANKLIQLGSSNGTIQIHLQENSEWVDWQTDVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDMEEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGITDRLAAVPSSSPNSEEISPDTEETDDGEVVIGTEDQMDTVNLGNGPIEEAEDAAEFTKHPATSMEDEQLQNAEGIERHLDVSNGDAEASTEAAEAAPVSSAPSSDEVQTERTADEPTGSSDSGNSVSEVLPDPDDSSIDPANTAVSSEQTLDDKDVELPTKEVPSVDVETKTDEIKANE >KN538783.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538783.1:168705:172488:1 gene:KN538783.1_FG003 transcript:KN538783.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDVKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMMCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCEKLGGATAEIMCDLLSFEADRRAVNITINRYPYGHEELAVCEDVDQVRGVMEKYPPYQAIFAKISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >KN538783.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538783.1:202349:203792:1 gene:KN538783.1_FG004 transcript:KN538783.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHHACRGGARGFCYVNDIVLAIRELLAHFRRVLYVDIDVHHGDGVEAAFAASNRTAVAIGKEIPNDIPKHGFDVFYKNQEYKLHYTLETKHLNRNRNTANSIDGIRKAAMENLSHLKLEPAASVQFEERRGRSIDVGDLYYDPREQEEEEESPTARLHRKLYFEPTGDQESLYSKHRCDVQPGRGVE >KN538783.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538783.1:215588:225850:-1 gene:KN538783.1_FG005 transcript:KN538783.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSKKIYGMISSLCITGASFGRSYLNLSNVISGIGILFVPYALSQGGWLSLVLFTMVGANCFYTGNLIDRCMCADRCIRSYLDIGHRLRRLWPDGYQPRHSQLCSDNIVEISDLWRPTSLHDRGRDSDDDDFRDMDYDVAALANNLSQALRYGIYSNDDMEENQGTLEHDDELSHPRGQQPQQAPSGTVVEILGYQVHGKQLFVLVTATIILPTTWLKNLSMLAYVYAVGLVSSVALTVSLLWAGVVDKGFHMALESERVAHCPQPLLPLLRRPWLLPNRVFVISSVLCSLNYAVTIMLGYLIYGEVVQAQPITTVNEEKLSATTVAAADAEHNGLTRVLTSIAVVVSTVVLACTVPFFSYLMSFNGSSLNVTIAVLFPCLSFLKIYMPRLGVGRFEVAAIVGILVIGVCIAFVGTYTSLHQIIGTV >KN538783.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538783.1:160478:163227:1 gene:KN538783.1_FG006 transcript:KN538783.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMHQHQHPQPQPEPEPEPEQDGGEAAGYPPEVRGIRACEGPYVKIQAGPHTLRSRPGRDVSGTGNPEWNQVFAINHAKPEPTLEISVWDGGAPSPIEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADEAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDLRVPAPPPGLPFDVRVKIQVGFQSARTRRSVASRSSGSAFAWEEDLMFVVSEPLDESLVVLVEDRSMIKEPALLGHATIAVNSVEQRLHERQLVASRWFSLEGGTSDIGIGPGGGPPGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWRPPVGVLELGIIGACGLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAFAGAGDEQRQDYRIGKRGGKSSEAPAALLCSQVKTES >KN538783.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538783.1:241325:245572:-1 gene:KN538783.1_FG007 transcript:KN538783.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGLRRIDSVALQEFDAGVRAAADWWGVLCVCWWQMGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAQTAYLQSLRNTGATLRQFAEVESALSQQPPARIAVPPSPPPPPPPPPPPVPVPPAYSVTSSVPPYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDGELDEDDSTDDDDTDSCSTPLPPPPPPGVEWEYLEPFAMRPLNFPSSLADRIDKEAASQVTMDDDNWVETNTEFDGYDDESVSGNVEGIVSSVQLNQAKSRALGDDNSSMVSWVTKESDSSAMAWRSKKSLAGIAKEIDEYFLKAAASGSDIVILLDSSGGQPDPSELEANRGKNSKSAKVFSTISWSWSFKSAQANRQSSTHLSDASGYGYHGKTLEKLFEEEQKLYKLVKDEEFARLQYRKNTSLLQRLESGDHDKLHAEKVRDNIEELQARIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQANLLGNLPGNEPTTDTHCQATSQLEVEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDNDGFMKSSSGIRSLCAELQRALTGLPEKVAAEAIKTFLSVIHTIVVQQTEERQLKKKSDQIESKFHTQLEKHSNNATQNSGQPTLAKLDTFKKQVEEEKARYLNSVRTSRAMTLNNLQTSLPNVFHALMGFSGVCVQAFEGISRCSEIVASHSGAVSPAISS >KN538783.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538783.1:206192:210572:1 gene:KN538783.1_FG008 transcript:KN538783.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNSPIDEAPFYGKHEQEDLRDDRVQRTCYRRAASSIRMLSVLYALYQGMWLSMLYALSQGRWLSLALFTRAGGSAWRSSPCFVILLGDNLDKLLPGTVVEILGYQVHGKQLFVLAAATVILPTTWLKNLNVLAYLGSRAGLVGCAERVAHRPQPLLRLLRRLWRLPDRLLLNEVQEGFSYTLLKYIYVLYLIVLLISSVMCSLNYTVTAVLGYLSYGEDVQVKVTLNLPMGKLYTKIAILTTLITPLAKYALVIQPVTMAIEDKLSAMMATVSDNRNNGLTRVLTSSRRCQHDGAGVHFALLRLPHVVHWVLAERRRCHVVLVPELPQDLHAPRRSCPLRGGGDRWDAGHWSSVKNQLSGYILHVYSPGMHMCIITKRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNVMIWKRIKGHLNGMMRF >KN538783.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538783.1:176912:178990:1 gene:KN538783.1_FG009 transcript:KN538783.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGSKAIPPLSPVQPAPSFLLPPNPSYATLRSYIPNRPFLTSVPTLIASFPLRFYNLNRWVLFGVCYAMKRAGSKGVLESSSSSSKKTTRRQKKPPTSSLEELELPNSAMNKIQEVHNIAKDTLKLEDFGLDASMPYFRADPQGHPKVTYVHFGDDNLNFSFGVFCLPQSAVIPLHDHPGMTVFSKILHGSMHIKSYDWVKTPNGAHFAKVRTNTIYDDSSKTTVLYPESGGNLHCFTAETACAVLDVMGPPYSSVEGRDCSYYGVCPSPRGVSRRITDELSDWLRKERCTFKMNAVLVKPSTMT >KN538783.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538783.1:132643:157347:1 gene:KN538783.1_FG010 transcript:KN538783.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQRDGDFEPVYEWLDAGGHYLLRVNVPEFKKEELQVHVDPAGRLTVRGQHGGLRLNKNITHFDLLHFRRECRKRWLSRKAVARSSQIWPPQQRWYMGIWYHKTREHTKLWVANRQAPLTDPESSQLSISSDGNMVLLDRATRSPVWSTNITGIAAAANSTVGVILNTGNLVLADASNTSAVLWQSFDHLDNTWLPGSKLRRNKLTGEATRLVAWKGSNDPTPGICTLWYGDLVNLRGANGSGTDGYSISIRLGVASDLSGTGNTKKMTIGLVVAGVVAAAVTLAVLVAVLVMRSRRAKALRRLKDSSSFLTVFTYRDLQLVTNNFSDKIGGGAFGSVFKGALPGDATPVAVKKLEGVGLGQGEKQFRAEVSTIGMIQHVNLIRLLGFCTDRTRRLLVYEHMPNGSLDRHLFGSGSGHGGGVLSWKTRVEADFFPLTAVRMLFDVDGDLRDVVDGKLGGEADMGEVERACKVACWCVQDAESARPTMGMVVQALEGLVDVNFPPMPRLFTDHEEEDAVGIAGL >KN538783.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538783.1:228500:228679:1 gene:KN538783.1_FG011 transcript:KN538783.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSNLKPEPAASKQFEERRHRSIDVGALNYDPREQEEERLMERCYTAQVQRAARGVE >KN538783.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538783.1:251121:254004:-1 gene:KN538783.1_FG012 transcript:KN538783.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWNYVVTAHKLTVVARSCVGNFTAPDHLDLSLTVQQLSGNVPVLRLEYLLTHQGPQINADILLVDKLEFVLADQLPMLDAPVYGRIATIELFRPCENRYVICRSGRDASDHIGRPTDKGQTDELSRDYNTTWMTAVEMLDDYVYIGADNCYNLFTVLKRRVGRLLVIGQYHLRDLESLVAALGFMDGDLIESFLSLEPSKMGGGCGDGVASCGRTV >KN538783.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538783.1:187140:192082:1 gene:KN538783.1_FG013 transcript:KN538783.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1-like [Source:Projected from Arabidopsis thaliana (AT1G69800) UniProtKB/Swiss-Prot;Acc:Q9CAR3] MQRTHGEGRLSMAQVRAEDGEGQPVAARLLPVHRRAGLPREEAEMDRPDETVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSEHNIRAAPVLNPECGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVRSIIESYRWSPFVPITLDTSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSGDLILEAFKCMKDNKIGGVPVVEGPNRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTIGSTVPDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEEKGAGSVDTS >AMDW01032599.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032599.1:167:364:-1 gene:AMDW01032599.1_FG001 transcript:AMDW01032599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDINKMMSSDGEMSSMAERAASLLRELRQLIEDGCAAAKPLAEMVEYCAKHAAGEEAQGEEEE >KN538804.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538804.1:70425:74535:1 gene:KN538804.1_FG019 transcript:KN538804.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARALLHAPPPLLLLLALAAAAAAAVAVASDPPFSCGAPSSAAFCNPRLPVEQRADDLVSRLTLEEKISQLGDQSPAVDRLGVPAYKWWSEALHGVSNAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTVTGKYAAVFVRGVQGYALAGAINSTDLEASACCKHFTAYDLENWKGVTRYAFDAKVTAQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADYNLLSKTAREDWRFYGYITSDCDAVSIIHDVQGYAKTAEDAVADVLKAGMDVNCGSYVQEHGLSAIQQGKITEQDINRALHNLFAVRMRLGLFNGNPKYNRYGNIGPDQVCTQEHQNLALEAAQHGIVLLKNDANALPLSKSQVSSIAVIGHNANDATRLLGNYFGPPCISVTPLQVLQGYVKDTRFLAGCNSAACNVSSIGEAAQLASSVDYVVLFMGLDQDQEREEVDRLELSLPGMQENLINTVANAAKKPVILVLLCGGPVDVTFAKYNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYRGNTVYKFGYGLSYSKYSHHFVANGTKLPSLSSIDGLKAMATAAAGTVSYDVEEIGTETCDKLKFPALVRVQNHGPMDGRHPVLLFLRWPNGAADGGRPASQLIGFQSLHLKSMQTVHVEFEVSPCKHFSRATEDGKKVIDHGSHFMMVGDDEFEMSFTP >KN538804.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538804.1:44117:44221:-1 gene:KN538804.1_FG021 transcript:KN538804.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDFTEAPWDESETFHLRKYPSWEIDWDSILS >KN538804.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538804.1:8261:8626:-1 gene:KN538804.1_FG022 transcript:KN538804.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEGKKVSSRKREQEPQKQQEEEEQDKKEADVAPPANALMLMRCRSAPAKGLPRRLGGDAEEEVIKNSKKEEEEEDEKEERLVLMSYAPDFFKVSVDIAKETWIVGGDDAVLRCRSWKR >KN538804.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538804.1:114337:118534:-1 gene:KN538804.1_FG023 transcript:KN538804.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWLADDAGGHAALAAPAALSLEGTGGDGWTVGARRHRRRSPRTAQAAMAWAVGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGVYPEYSCGKKQSGSYLEGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPMNGKLQTYAVNVDKSCIGHKCTEGAGFQALVDTGTSFTSLPLNAYKSITMEFDKQINASRASSDDYSFEYCYSTGPLEMPDVPTITLTFAENKSFQAVDPILPFNDRQGELAVFCLAVLPSPEPVGIIGQNFMVGYHVVFDRENMKLGWYRSECHDLDNSTTVSLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPSSGGSTTLQNLLANSNMLLLLTMSVFFIS >KN538804.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538804.1:169745:171971:1 gene:KN538804.1_FG024 transcript:KN538804.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDID >KN538804.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538804.1:2965:5632:1 gene:KN538804.1_FG025 transcript:KN538804.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGVPGASARNSLERNPANKPANERKATNGYAFSGLGNIVKEPRAPPAPSELCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIMSVKSRVAKCGDARKLRNWITVLQESQAAVADGADCAPQVMALQAEALVKLSRHDEADAVLGGAPRFGVDESTKFFGTVAHAYVLMIRAQVDMAAGRFEEAVATAQTACQLDPSNREIANVHRRAKVVASARLRGNDLFKASRFAEACAAYGEGLDRETGNAVLLCNRAACHARLARYEKAVEDCNGALAMRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVEAKLRSQRNGGIASRSQQ >KN538804.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538804.1:119577:121191:1 gene:KN538804.1_FG026 transcript:KN538804.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQRLQGLDFSENGVQNQEMVPNDHYVEEQNITNAEWRSNCYEYHPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSSVVLGSYLGTLVRKPHLAPLNILKWNDKLYKRIYHPKLISEVQRKFAIDGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTVPQTVNESQWPTLVSYWYSEDSKKISDQNQENAQNIKHPHTLGRKSFARKRKELEHDGVEVDRATFFDECHKTKDGRYVNDATQDKMNEVYMKLAEKRVDGQELTEADFEQAMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNMSGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLARLIPRQEVDQNQGS >KN538804.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538804.1:83932:89987:-1 gene:KN538804.1_FG027 transcript:KN538804.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHALVSVKSLCTGANFGFEKRTSKVRFVLVGRCCSGTRKLGLVCASNSHSSVMEPAQLPLSPESGNTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIVVHSESEQAHRWSKIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTRALFPPASEEFSSVEHTVYPPICHKEVAKHTIHWMSNHNSPCDIPKPASVDEFVKNGKKKKSFMSTIFRKKGRSGTGSSDKKLLSRRDIVFDRHCTTKIESLTLSCLDSPHRQFDTREYRVFVGTWNVAGKPPNSSLNLEDFLQIEGLPDIYVLGHLASGEKEGDEVRRNSDVAEIIKSTQFPRICKVPGQRIPDKILDHDRVIWLGDLNYRVALSYDETKTLMGENDWDTLLEKDQLMIERQAGRVFKGWKEGKIYFAPTYKYKQNSDSYAGETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVIEADVDNGSMIRKGYSTLDSRIHFESPIPQRHSFYDDF >KN538804.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538804.1:112866:113726:-1 gene:KN538804.1_FG028 transcript:KN538804.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIKRLLSMLLSAVSGGQRDKRKRMQRRRQRRQQLQLTVELRVRMDCERCERQVRRALAGMRGVQHVEVSRRQQKVTVTGSVDPHEVLRRVQSTGKKAELWPQYPTYGSAAAAAAAVVHCGLGPPHDRWAPACHPRNMDAAMGAEHIANLFSDDNPNACSLM >KN538804.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538804.1:25383:27611:-1 gene:KN538804.1_FG029 transcript:KN538804.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPTRSSRSASDVDEFTAWVRKHPSALSKFEEIAAKSKGKRIVMFMDYDGTLSPIVADPDTAYMSDAMRAAVREVAKTFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESALCQPASEFLPMIDEVYKTLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALGEQVKAVIKEYPKLKLTQGRKVLEIRPSIKWDKGKALEFLLESLGFANCGDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCPKDTNASYSLQDPTEVMEFLLRLVEWKRKSSSSSSLMIRPRV >KN538804.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538804.1:12296:12517:1 gene:KN538804.1_FG030 transcript:KN538804.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMKRQRKTSARCLEEAVSGVQELDEGAGCEELDEKSFASVAQGGPQRDKEWQTLVGEMIRVWLRQTGSVP >KN538804.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538804.1:62237:64684:1 gene:KN538804.1_FG031 transcript:KN538804.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAQGSGRRPFGDLTNVLGKRPAPSNLEKSAGGIKIVRVEKAVEPRKEFDETAKASGGATRNTLPLFDSIAKENLMRPSIFRETKMQHMAAEAAVLLSKESDDMRSCAMSLGSSGLHDKEQESSLESEGGCEEDDDDMDSEYLAYTRDSTKTATNDGECLTQEEMAGSSGNQKPLSSLDFTTGCDDMPCSDVHHHSLGNSELENDDTTKSCACSFCLKALKKSIKFARLLGKRSQGDEYAVNAGRYNLKRAAEMEFELYQQQRSLFLHTENVLIRESAQLRRWRRSAMAAGYVPSYTASSGKSNSNLPSTKRSNICRIQCAAFLILEETSRFVQCAMDKELVDMHLGPAQLLLH >KN538804.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538804.1:81151:83253:1 gene:KN538804.1_FG032 transcript:KN538804.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGANNGDGKEAALFEQRLSKIGEVRAALGQLSGKAALYCSDASIARYLVARNWEVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPHDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQATFNINDYAARMREDDIKMPLFWSPENSALASEPYVMVNKDMAQEGSSGLKSEETASEKREETDTESENREETESESERAEIDSVSGKREETVAVSDKREEKETESENGKAAATSSNGVELTSLPGEGKGITPAD >KN538804.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538804.1:98343:100308:1 gene:KN538804.1_FG033 transcript:KN538804.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKREVAPPPPPSPSQASLGSFASGMMLPGSLGSGAWQGPAPPQPPHPLLYAYGAAASAFPMAQMKQGLDRSAIDLSDLQERGMDFHPPGGFLSYFQDPSILQNHRPFVPPKYYPAEQVAPCSKLRPGAQQPVNIDSGDEEAPTVRTEKRLTWSTEEDIRLEMANKGRDELLETQRRVASDNLESKKLAHLAAMEHKEAVMLETYRSLMLQDTKDMPDDVRSEHLMALKCMREKLFP >KN538804.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538804.1:93146:94793:-1 gene:KN538804.1_FG035 transcript:KN538804.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAPPPISSSLSLMASNPIPSPPVAKPGRPLTVAACSSSSSSSSSPSSTSCSAAGSLTVATSAGRRGLLALGAGFLASAGLLCPAGDAGATRIEYYATVGDKLCDMNVVKSGLGYCDVEVGTGAQPPRGQLINVHYTARFTDGIVFDSTYKRGRPLTMRLGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSDFRVNFGVSYKRRDYGQMKRKGATCLSPDAESKCVTGAPWVSNTQSTTFAICTKK >KN538804.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538804.1:56865:58096:-1 gene:KN538804.1_FG036 transcript:KN538804.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPEAIESAKQCSIRIIPGVEISAMYSPSDGIGAEEPVHILAYYGSLGPSKPQELDRFLGSIRDGRYTRAKEMLLKLRSLDMPMELEDVCTIAGDGVAPGRLHVARAMVEAGYVENIRQAFSRYLYDGGPAYATGSEPAGESVVQLVCRNGGVAVLAHPWALKNPVAVIKDLKAAGLHGVEVYRSDGKLSGLSDLADTYGLLKIGGSDYHGRDDKDEPDVGSVDLPVLAVSGFLDAAQPIWHNATKEILANITERAPNGSKGLQRTNSAKDLCNLRLLSSDLEVTDSTEVEVLQTELSDVVLSN >KN538804.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538804.1:13655:14351:-1 gene:KN538804.1_FG037 transcript:KN538804.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAASLLFLLAVASSSTVPAHGRRDLLPTRIKLVRGADAGAGGVAGGDKMECVYTVYIRTGSIWKAGTDANITLELAGADGNGAPCRMNLTSDGTGPHHGWYCNYVEVTVTGAHKGCAQQLFTVEQWLATDAAPYKLEAIRDKCSAAGSGAAAA >KN538804.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538804.1:65968:68128:-1 gene:KN538804.1_FG038 transcript:KN538804.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRHIGRKRLCKLRFRMKEVTESHKTGKMALLLFDVICGCGKDTMIESKIAIDQRCGSSRYWLIELARLCCSHGTGSGLAKLHTHAKEGYSDTGIGRLTQDKDSR >KN538804.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538804.1:15361:17270:-1 gene:KN538804.1_FG040 transcript:KN538804.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETDTEMSLSLLQGYSSAEEDDPAAAGAVAAELRDSGDSSAEDDGSDGEEASAAPRPAARPRPGPNPSRGDAGGGGGDDSLLPSALDAFAEISGPPEFLNNRVAEPEEAVEALGVLDRRGGGGRGSDSKQPPPGAVVVAKPQLVAIRERVSSGTNGANPPVSAEGKRIIGAANPGPEDAADLLRMCLQCGIPKTYSHARGMVCPVCNDRPEQAREPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >KN546038.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546038.1:553:1104:1 gene:KN546038.1_FG001 transcript:KN546038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDRSFHALAMLYGAVSFVALVQLIRIECRVPEYGWTTQKVFHFMNFVVNGVRSIVFVLRRDVQLVQPE >AMDW01039762.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039762.1:252:903:1 gene:AMDW01039762.1_FG001 transcript:AMDW01039762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSFPAEIIHPARLGCMLRLHVVEHPTGDAAAVAFQCDGCMLPGEGTRYTSVVDNHPTHLALHTSCALATPTLQHALVKGTMELRHEAPAGGGVCSACFETVRGFHYYGSRKTGKGEHPKLHPCCARLPVSIAVQGGLTFELRAEVSHRCTGCRAMEWYYRPWCYRSTNSPDHRVYLHVKCIREIMESPGGGGGGGAGDEDDRVVARLLERADQS >AMDW01070033.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070033.1:15:566:1 gene:AMDW01070033.1_FG001 transcript:AMDW01070033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSATPGFRARVKDLTGPLPAEREGVDVKEERLHEAVELAVDFHSALAYHVVGYVRAALEEDELALDSLRLARDLGPSDLRIAFTLAKRYAAREQFDLAVEECQRALGRGDADLVDPQLNAVFESRHLEPSKEARISTAKNGLKQLLISALSKIAIPMARDRWNGMSEETRRSFLTVGIDEM >AMDW01046003.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046003.1:117:598:-1 gene:AMDW01046003.1_FG001 transcript:AMDW01046003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IYELVLNHLIIMYLKFYTFGTQMCKISSDKLSKIDIDGKGVRQQQQCDRRGGEGEGGARTKLAVRDVFSSDPYVVLKLGNQ >KN542402.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542402.1:5913:8525:-1 gene:KN542402.1_FG001 transcript:KN542402.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQFAGQPDVQCLVCTRPFTLDAQVTDTFEALAICRDCKATVLNDDERDEITSTSHHTRRRRQRSRTASIDSLEDAFSQEFSQLIDLARRRGRETDIDSSSVLPQHASYNSTPSQSQRWHASDDESDGLNYVDSVFGEIESTISFGDYGADSDTSIEEHSVSARRRISIQLDNGSYMNTDTDIDPMNARLDQWDSDDQEDVEESGFDETINTMTQHQQQSHDIQLSGLSEDESEDGVWNWSVAVRQRANVTNLLDDMEGPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNSSRKGAPPAATSFIENLPSVIISASHQINDDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQAVEENSDEQEVQVTRQMAVGAIEETNTSEHNVRVDEQPSSARRRSGWLFIAAAPVYASTELKAGSLIVISLALFYLCNILWLRAVKIRKKLRRQGIRGPKPTFLYGNTKEIKRIRQELKLSQKQGTNNFISTLFPHFLLWRETYGMYMLVFCDFVHDWLLTHMFMTHE >KN542402.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542402.1:10370:12600:-1 gene:KN542402.1_FG002 transcript:KN542402.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHLPRSLQYPPLTPHALHSAAFSHSLRPRRPNGPPPAFASAEFPGSVPDSAQMPPQRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCTHEDSSDQSAITNADQNQIDLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSAHDTKPLNPYSVAFSVMATLAFIDQLGANKAVLVGHSAGCLVAMEAYFEAPERVAALVLVAPAIFVPVFRRKGVKEYGVGEQEWQNKKDSNGSNLPANPLNRIWGKFLELCLWIAGFVMNMIRAIGSIVRSLYCKAVVAILRSSVGVRLVRLVMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALFEYTISTIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRKAFGRPSEQEKLFQAAV >AMDW01044566.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044566.1:208:449:1 gene:AMDW01044566.1_FG001 transcript:AMDW01044566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIDTWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQ >AMDW01079598.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079598.1:39:155:-1 gene:AMDW01079598.1_FG001 transcript:AMDW01079598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GCDASVLLSSTGTHGGAGNMAERDAPPNRSLRGFVSVQR >AMDW01070660.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070660.1:56:919:1 gene:AMDW01070660.1_FG001 transcript:AMDW01070660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TFVLNGEFTDVFPMDENLPPTWQETQDLQMQIEQIELQNNALQVQIELLQDENNALEVQIEQIQHHQQADVHEEMQHDSASIGDFSMQDTASVNNMGHEIQLVQNNLQIITYQALVPCFPFKCLPSEIPRSVWHMAFGSSKDQVVFVRPAKRRRVDAEQIEVVKRPRAKLLHGSGNLRMFPSSSAHGEKSDLNQESPKKRSGVQPEPPTAHSDDMKNSIKPASPNSVEDIQNVDVDVSDVLPEDVSK >AMDW01037065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037065.1:123:564:1 gene:AMDW01037065.1_FG001 transcript:AMDW01037065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WRLKDKNQELSVPNTLMSATVLESNPLLRFNILSQKMIKLASDASKTKEKFIYVMNESDKIEDGLKAMSDTAPNEATVHVQDAAATTCGVASVGAQSGILMGPSGVGIDAESTKGAVAETETTDMVGIASTSVLLDPKCSNSKGRHK >AMDW01040088.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040088.1:26:210:-1 gene:AMDW01040088.1_FG001 transcript:AMDW01040088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLLPVTLLLISLSRAMCTTFTLTNSCAYT >AMDW01030066.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030066.1:165:381:1 gene:AMDW01030066.1_FG001 transcript:AMDW01030066.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMVHQARKQSEKDAAATSAAGGGTRMALRPGEARIVEVLEQIAKRLEDVETKLDHRGEPSRNPHRNPTTP >KN539595.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539595.1:76200:78692:-1 gene:KN539595.1_FG001 transcript:KN539595.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILLALLIALFIPILLHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRIGKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSGEELKQVRGALQNFLSPEMVIRYVSKMDEEVRRHVKVNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVKAALSIPVKIPFTRSSRGLSASQRIRKLLRGIARERETLLQQQQQQAHDASAADDFFAYMLALRAEGAHSLTVDDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEVLGKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVAMETLRTIPPIFGSFRTATRDIEYQGYHIPKGWMVFTAQSVTHLDANIFPEPCNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVTQFRWKLCCKEESYKKDPSPTPLLGLPVELEPRCLPENAHA >AMDW01040256.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040256.1:66:1345:-1 gene:AMDW01040256.1_FG001 transcript:AMDW01040256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLLPDGYPDSVSSDYLQYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQTIFAHFMLENANHMRKAATRSCFYAGFAVQRNFAE >AMDW01025087.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025087.1:54:338:-1 gene:AMDW01025087.1_FG001 transcript:AMDW01025087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RGEGGDHLLLRFLKNKVDYCRLHGVELLYNNALLQPRMLAYWAKIPAVRAAMLAHPDAEWVWWVDADAVFTDMDFSLPLHKYKDHNLVVYGWNKE >KN539595.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539595.1:62488:64271:-1 gene:KN539595.1_FG002 transcript:KN539595.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALLIPILLHFVIRRKYSSYNLPPGSFGFPVIGQSISLLRALRSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTILGRSILTLSGEELKRVRGALQGYLRPEMVMKYMRKMDEEVRRHIDLNWVGHKTVKVAPLAKRLTFDIICSVVFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLSASRRIRKVLRQIAREREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAIVLLTAGYGNSAVLITFLLRYLANDPDILGKITEEQEEIASSRGPNEPLTWDDVSRMKYTWKVALETLRTVPPIFGSFRTAIKDIEYRGYHIPKGWKVFTAQSITHLDGNFFNDPIKFDPTRFDNQTSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVRQFRWKLCCKEEGYRKDPLPMPVLGLPIELETRSPP >KN539595.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539595.1:30015:31393:-1 gene:KN539595.1_FG003 transcript:KN539595.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LHCP TRANSLOCATION DEFECT [Source:Projected from Arabidopsis thaliana (AT1G50900) UniProtKB/Swiss-Prot;Acc:Q8VY88] MASIPCTFQLSARAPSAAERRRSPRAAARLGWLRPSRESAVVPASESGRVGPTCFFKFGNKDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDKPKLEELLRAGAKYDVKDVDGRTALDRAADDTREFILGFAATLAA >KN539595.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539595.1:3707:14866:1 gene:KN539595.1_FG004 transcript:KN539595.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLVVGGWIAKAVIANILSRVRSLLHDNFSLQKDTEKMLNDLEVALPRIEAVIEAAERRSIESSALSTWLQQLKDAVSHAGDVVDDFEAKTIKDQVESKSKVSAKAYSTVKALKALVFSDSELKKLKHAVRRLENVSTRVDSFIELVKLNDDDTVGRIGHSLHSETSSLLGDTKVIGRDEEISLILDIILGYRYHLPRTSEHARPDDQPEFGQRGTLFDKLRKIFLTGTAESSKSSDKAKLEELEPRKKGIKIEEVDPSKDCIEIGEYEPNQKGQTEILDYTSSDVHETSGSSRNLGILPIVGINGVGKTTVAQAVFNNTRVKMCFDLRAWVYVSDNISGKQIVQRIIMSLEPWSGVTDAALDLDSLQHKLIDIIRSKRLLLVLDGVSDDIIIVWSQLRSILRCSEPQSMVLVTTQKYSIANLVGTMGPITLNTLGQTDFRYLFEHLVFDDCFYHHYEVHLFESVCEKIADKFHGLPLAAKTVAPLLRANRNMGYWENVLRSDWWNIADHGYPCKRMVSCKFRTRKLPEMMQTDCTNRKATSWLLHRYYRLDRCSLETLQVCIETEWSLLLFINQDSLADAEAAKRKPERVVQMWVAHGFIQSSNTGDILPENVANNWFDELVDRSFLQPTVWQGRYVMHDLIREFSFAVSSNEYYVFHRNSKVLPQFSNHISVDNDNFDLQWGHYDHKRLQTLMFFGHHRVDKNYDTLGSIVRKSTSLRVLDLSYICMSNVSQASYILSKLSHLRYLDLSFTGIKHLPEAFGNLYHLQVLDLRGCIIEKLPKNMNNLINLRHLYADSQTIALIYAVGQLTKLQELQEFRVRLEDGYKINELRDMKDLRKLCITNLEKVSSLQEATDAKLVPESRSTSQLNKDILDGLHPHFQLKRLKILNYMGIDFPYWVQRLTDLIVVNIINCHWLSVLPPLGELPRLKKLSLFGLSSITHINDQVYGTNDVIFPYLEELHFSELFSWEQWSEAEYKLLIPHLRKLGINACSKLSLLPIDTLSSSVKELHLSSCTSYISMLPAYLKRLTSLTKLSIQDCSATLLIPCHSLTLLEHLQLESCLDVHFEGGMQYFTKLKKLEVHRCFDVTQNIYEQTSLVERYSLMGGLQSLIHLVIDDRFMYYRYYHMLNTLCSIRTMKFCAFDLSEFSTEDEEWLQQLQSLQEIQFASCRNLLRLPSNLNNMLNLKKVVLNDCCKLQSLPLNGLPDNLKEFHVSGGSEVLEQQCQKTDGDEWPKISHVPYVRINGRTIQMISHDLGS >KN539595.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539595.1:21852:29330:1 gene:KN539595.1_FG005 transcript:KN539595.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGSGEFGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVCVDLSPRGEGGEEEEGAVAVAVEMRVVRRREALRSMLPVELTRLALLEKLHLDNNKLSVLPPEVGDLKKLIALTVDNNMLVSVPAELRQCVLLEELSLENNKLVRPLLDFRSMPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENNSYFVAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGVKQMTLDQCEEIYTKLGKLVFAEPAPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTVEVSSVMTESPSIGSAGTPVSGAPVGIKPINTVGTAVSGAPVGIKRGAFMGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKLILASIYWKPQVKSRRGGWRYLDTGQVLIESSCSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATDEYIQKNFQDFKNVCELLVPRYQEEEKSSETTKSMLFSRFKPSNSGFSESNPTLGWRRVVLLVEASYSPDFGKKVNHARSLETFCSQNGIRLTLMNSASGFGKAATALPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPLLSLDGNPTAKSSPPTSPLKSWQPSVHVQSLYDKLQNMPQVGVIHMALQNDSTGSILRRTVPAAHMTPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFMDSGAKAVISSAMEPPDSQSIVYHGMEVNGSLENGKFVIADDEASESEAEPVSPTSDWEDSDMEKNGDRSKDFDDEEYMAQFICLLYDKLFREGVTVDTALQQALRSHPKLKYNCHLPNVL >KN543746.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543746.1:698:2701:-1 gene:KN543746.1_FG001 transcript:KN543746.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGSAPFTNVARVLLCLEEVGADYEIVDVDFGAREHKGPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGEPDERVIAESVARLRETLAVYEARLEATRGYLAGGEVSLADLSHFPYTRYFMEMPYAAPVHASLCEIRGMSVQI >KN539595.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539595.1:68529:70323:-1 gene:KN539595.1_FG006 transcript:KN539595.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSIPFALLLALLIPILLHLVTRRKYSSYNLPPGSLGFPVIGQTISLLRALHNNTDYQWCQDRIEKYGAVSKMSLFGSPTVLLAGPAANHFVFSNQDLIFTETKAINALVGRSILTLSGEELKQVRGALHGYLRPEMVTKYMRKMDEEVRRHIDLNWVGHKTVTVAPLARRLAFDIICSVIFGQGVGPIREALAADFETMVKAMLSIPVNIPFTKFNKGLNASRRIRKVLRQIARDMEGALQQGNSSSADDFFTYMLVLRSKGTHSLTVEDIVDNAIVLLAAGYETSSVLITFLIRCLANEPDIFGKITDEQEEIARSKGPNEPLTWDDVSRMKYTWKVALETLRTISPIFGSFRTAIKDIEYRGYHIPKGWQVFHAQSITHLDRKFFNDPIKFDPTRFDNQSLIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVRQFRWKLCCKEEGYRKDPLPTPVLGLPIELETRTPPEYAHA >KN539595.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539595.1:16040:16838:-1 gene:KN539595.1_FG007 transcript:KN539595.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQSNARFAPFRDAPFALHGALGSSNSSFNNMDHLRQSSSFGQARSYTSSPLGALRPKMSPSGNRLLHTRRPLTAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >KN539595.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539595.1:49354:54484:1 gene:KN539595.1_FG008 transcript:KN539595.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSKSVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRTSDVQDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGPMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRSSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGASRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLQTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLFMFFVCSTIPIIIKVLSGQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >KN540177.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540177.1:2755:4344:-1 gene:KN540177.1_FG001 transcript:KN540177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLLHGVMEAKVLEAKLSSVSSEASDYGHGQPKLAAYSKEVDSLNEMEDLRLTLKVSLE >AMDW01020548.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020548.1:24:294:-1 gene:AMDW01020548.1_FG001 transcript:AMDW01020548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLIPAFITAHRFGGEVQFLVSSYKSWWMNKYIQIFQQMSRHDVVDVDADGDEVRCYRSAVVGLEFHRELGVDPTKTPSGYSVLDFRKMLR >KN540915.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540915.1:34006:37767:-1 gene:KN540915.1_FG001 transcript:KN540915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYGCDMPLFEPFNVPSNGPGHSVEKMNSNSVNRQINGSRKDSGMLSTQPKGIDKYGSGSRAECAPQQRVEKGIKSSSGKKLADDDEFIVPSVFSARFPQYSTKERAGVQEESTPLVALSPHKSPPAVSKSPTKCYNTVSKNLERINVSDVKSRGSQKDKETGPAQTLKNVEVEHFSSFEASKDMFGSRPAKVCPKTGTINDLDEPHLENSEHQATSRNGSSVKFQNPPVRRNTISAKPSPGIENTNGHCNLPQGGLKEAGTKRKRLEAQDNAEKIDDLSDSSVECITAWEISPDEIVGAIGAKHFWKARRAIINISLMKAKYNDILILLYQQRVFAAQVFELHKLVKVSSWIEFGVEYENNQNLYLTYKQVQKLIAASPHVLIEGDPCLGNALLASKKKMAEENLKAQPVLVATNDDVQPSLQEPELSKENSEENPPSPRDTAPVSGHHDQTAKIGASKSNLRATPVASDNRQNNWGVQLQPPQNQWLIPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLRLPSTAGDFMNSAYGVPIPHQPQHMGAPGTPTMPMNYFPPFSVPVMNPVALASAVEQGRHPSMPQPYGNFEQHSRMSCNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGGSGPVSAFPTASAQNTQPQPSSGSRDNQTNVIRVIPHNNSQTASESAARIFRSIQMERQQDDS >KN540177.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540177.1:42540:47738:-1 gene:KN540177.1_FG002 transcript:KN540177.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGAGAMGGGATAATAAAGGGWKTPVSMVLVQLFITGQILLSKVSIGGGMLIFVLLAYNSFFAVIFLLPFALIFERGKWRDMDWGAFGWIFLNAFIGYSVPMSLYYYGLKDTTSSYSVIFLNITPLFTFILSLMFRLEAFKLRSIPGILKIASILLSIGGTMLISLYKGKRDKSAWELGWNLNLVTIVYTGALATAGKYILNSWAITKRGPTYPTMFSPLSVVFTVVLDSVLLGNDITIGSLIGTALVIVGLYLFLWGKAREIPKKST >KN540177.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540177.1:37078:38598:1 gene:KN540177.1_FG003 transcript:KN540177.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMGRDGAPPPNAFSYNVVIAGMWRAGRGGDAVEVFDEMTERAVLPNHITYNTMIDGHIKGGDLEAGFRLWDQMVRHGLKPNAITYNVLLSGLCRAGRMGETLALLDEMASQKMVPDGFTYSILFDGLSRNGDSKAMLSLLGKSLKNGVTNGDYTCSILLNGLCKDGKVSIAEEVLQSLVNAGLVPTRVIYNTLINGYCQTGELEGAFSTFGQMKSRHIKPDHITYNALINGLCKAERITNAQDLLMEMQDNGVNPTVETFNTLIDAYGRTRQLEKCFIVLSEMQEKGLKPNVVSYGSIVNAFCKNGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYVEHGPNDQAFILVEKMKSNGISPSIVTYNLLIKGLCNQSRISEAEEMINSLSNHRLIPDAVSYNTLISACCYRGNIDKALDLQQEMHKYGIKSTVRTYHQLISGLGGAGRLNEMEYLYQKMMQNNVVPSNAIHNIMVEAYSKYGNEIKAEDLRKEMLQKRNNHDDT >KN540177.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540177.1:18323:19372:1 gene:KN540177.1_FG004 transcript:KN540177.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFPADQQRRFLNAHRLFGVSNILKTLRHLKPELCDDAMRTLIYQAEMRAHDPVGGCCRVICDLERQLELDTAELNTPLLLSAEQEVVDALYANQEADAAILHADGHHNQDESQREHHHGQPQQLYDYFYYDSTAGDDVSSKPNLDINVDGMQHFDFDTNYDAEHKVELTSDHQMPVGVDEHNHIDDKGFEIKSAPSLVDVFDLRQEEEQVQTVDVNTDIEVKEMVDMNADIDVKTMVDENSNIDIIKTMVDVNADIVDVVKTVVDVNGDIGVKEELPELENGKIIAGDATQMAESSHCRLGLGVSSF >KN540177.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540177.1:60890:61641:1 gene:KN540177.1_FG005 transcript:KN540177.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLHYLSDLLLGGSSGKTSHKKKRQFNTVELKVRMDCDGCELKVRNTLANMKDVRFSKLRPVTDDIRFSCGGVQSVEINRKQQKVTVQGMVDTQRVLRRAQSTGKRTELWPYVPYTNPYVAPPAAYDKKAPNGHIRRVDAVLPVTPSQEERLATLFSDDNPNACAVM >KN540915.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540915.1:29041:29283:1 gene:KN540915.1_FG002 transcript:KN540915.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGDAPARGSAAAAASLRRRRTTSSGTGGGGASTMLQFYTDEAAGRKMSPNSVLIMSIGFIAVVALLHVFGKLYRTSA >KN540915.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540915.1:18318:21212:-1 gene:KN540915.1_FG003 transcript:KN540915.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALYWWADLDNLTNLQPRYGCDDPTYPYYFKLRCENCGEVSAKATCVSLGEVVDLPNGRSTANLVQKCKLCGRDASIVMIPGQGTPLTNEQSQKGERTCLMVFDCRGCEPIDFAFGNGWKAESLEGTSFDIDCSEGEFADYDEKGECPVGLGKLRSEFRVVKKQESRGKTKYVPSGV >KN540915.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540915.1:247:4205:1 gene:KN540915.1_FG004 transcript:KN540915.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding FSRIVNLPHVSIGRNPSLADGFGERGRPSIGDEAAVPPDATLYVYLQLMSWKTVRHIGQNGTILKKTLRRGNLEGQHTENQAVVGVRLIGKLHDGAVFDQRGHQGDEPFEFVVDEEQDKHPWLMSQAESVEAAVEKEKEGDKLFGSSKYLRAYRRYYKARQIILSCFRRGDIDGEIKQMLISFAFKAAECATQLQRFEQAYHRYREVLEYDPGNVKAQEMSGQAFPEASLVIDTAAMHRGLEPFRPKEQHSIGWTTTTGAISSMRLKQGHKYRGGMIFVPPIARPGANVPTSRSPATQATRVVNTNRVTTNIENQINSGTTRKSLAYVISSNDRNCRTKTNNITAYNKHLFPLLTTESLIGDVL >KN540915.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540915.1:11135:17758:1 gene:KN540915.1_FG005 transcript:KN540915.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPELGEPDKSFHNLWDEEEEDDDDEGEVERLPPPHSGLLKWTVLKSIDGNDEECRAFKAWREFQQSISGVGPAFYCHEAQVHFTGRLLGGTQFVSSRENGIPLTFILGQDDVMHGFDFAVSSMLPGEKAIFTIPSELAMTKTGSPAKIPSNNVPLNKTLWFEIELINLFTITDIFDDEGILKKIVKSGVPNRSQFRWSDVGSVFVRYNACLKDGTLVSKSEGVEFSLADGFFCPALSYAVKTMKEGEEAILIVKPKYAFGEQGRPSLGDEAAIPPNATLYVHLQFLSWVRLRHTWEDRTISKKNLSAGNSLRIHTKSQGVVKGEVIDGQDKALMTTKEEVALFVKCALSLIMLLVLLFIVKVLDYFPEEEEVKQERTAQEFLEDSPEVDSAGEMDRGPKVGVTLLQAGYCRKLKHGHRHRGSDLFVPGVAGANASQPASTPAAAASAGGGATPATSAATANRNAVQISSGTVRTDRGFSFLCFRSSPTK >AMDW01010480.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010480.1:82:219:-1 gene:AMDW01010480.1_FG001 transcript:AMDW01010480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKELESICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEE >KN540915.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540915.1:31362:31679:1 gene:KN540915.1_FG006 transcript:KN540915.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSALLRAEAEAEAEAAKENHYSYSKRGKRPAAEVVKGLSMERMAHVALGPEMRLAPWPEKAADADWGSCYLEKSDWKYAARDAYLCFEIAAVCLQKLGAPVGN >KN540915.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540915.1:5097:6437:-1 gene:KN540915.1_FG007 transcript:KN540915.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEASVETTLSSLRDDQWWAAQHYTIFRVPAYVRDRSRASYEPRMASIGPYYHGAVELRAMEFHKWRYLHDLLSRHAGGDGTAAAVTASALVAEVRPLEAQARACYSERPAGMDSDDFVRMLLLDGCFILEFFFKWHTEQPADALCDVGWGLTLVAADLLLMENQIPFFVIERLYEAVAGVQGTRQSLLNLLVMYIGDEEPIKWPSGDWDVHHLLHLYYECFVPNRSTPPPRGRRSARSGRRTATTTRAPRAIPCATEMRHAGVKFVARRSRPARADDGETTTTTYDVAFDDRGGVMEIPTILIDDARRPLLANLIAFEQSQGGEVAGLLSSYVALMSQLIVTARDVELLRRRGVVENLLDNDEEAARFFNRLGDIDPVDYDTQAFAGLYEDVTRYCGTWRNRHMAGLRRNYFASPWSAISVVVAAFVVVLAATQTYFTVFPSNK >KN540915.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540915.1:25529:27242:-1 gene:KN540915.1_FG008 transcript:KN540915.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFKQNAGKEINNIGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKEYDLPVGLFPQDATNYEFNEETKKLTVYISSACEVGYKDSSVLRFSTTVTGYLEKGKLSEVEGLKTKILIWTKVTAVRTEAAKVHFAAGMNKARNRDAYEVVRDGVGIDKF >KN540435.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540435.1:46806:47027:1 gene:KN540435.1_FG001 transcript:KN540435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSALIAKTKNAMNEMAMELFAIFGKGSLPQLSIQSPTRAVADDDEDIRRSNN >KN541985.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541985.1:483:2115:1 gene:KN541985.1_FG001 transcript:KN541985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYSQDAYFVTASLISIAFFLWYTSRLRRTAILLPPRPPGLPVIGNLLSVHQFTHRGLTKLSKIHGGFFHLRVGQADVFVVSSPETVREIIHENDSVFSHRPVTAAMVYVSYDLADMAFAHYGPFWRQMRKLCVLKLFSPRRDVSWRVVRGEVDALVRSVAELRGVAGSVGDLVFKFATNVTFRAAFGAQSREDEKLSEIFMVFNMGDYIPCLGWLDLNGIGKRMAAARHALDVFIDRIIDEHLAKLRNGDVSASDMVDDMIAYLVDALGGRHKSADGVELGDLHLTRDNIKGLIMARHHVRRHEDSGVHGGVSAVRAPAQPRRTEARAGRASRRGWPPPQGQPGRPRQPPPPQVRHQGGAPSPPAAAAPPPREPPRLRHRGLHCPSRVARLDQQLGHVPRRGLWGTDAAAFRPSRFADESARVEFKGGDFQYPPFGSGWRSCPGMQLGMFAVELGLAELLHCFDWSLPAGTEPLQLDMDDVFGLTAPKAERLCAVPSPRLSCPLL >KN540435.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540435.1:1452:12595:-1 gene:KN540435.1_FG002 transcript:KN540435.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKVVVEGFKSYREEFSTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLCSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKGASCKWTLIHDLLAANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKFTEVLKVVAQIELDLRDIKDRILNEKLAKDEAARDSQSVRMESERSKSELAETSKVHQTELREEEEISKSIMDRQKQLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQGGLLQEEIQKLKDKINNLNSYFEFYESESNKLESALAKKHSDYNDLRKQRDKLQEERKSFWMEEANVTAEKDRLKENLVNAKEKLGNATPGDIIRGLNCVSRITMEHGITGVVGPILELIDCDEKLFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLKCNKANHRRAFEQVFGRTVICKDLETATKVARDNGLNCITLDGDQVARKGHMTGGFHDYRCSKLKFVKTIKNNMKAIEDKEEHLKNVERNLSDILSPCLHDSDKKMTDLVTKQQQMDAESDHAKSELEHFKVGIASTMKQIGSLEKALGKKIETRKEELEANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTAMLKANIDAINNFTIKMEELKRQRDNLKTLEANLDQTVRDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGPLPTDAFETYRQKNKKQLQKMLYDCNEQLQQFRHVKKKALDCVNFTEQREQLERRRAELDAGDQKIRELVSILDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKIETRKEELEANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTAMLKANIDAINNFTIKMEELKRQRDNLKTLEANLDQTVRDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGPLPTDAFETYRQKNKKQLQKMLYDCNEQLQQFRHVKKKALDCVNFTEQREQLERRRAELDAGDQKIRELVSILDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDAGNEDIDNDEDGPREPDPEDRMDKYIGVKFLREVLEFQVSFTGQSETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDTQYRTAVGSILCISSLSISLVPVTKESLNITSIIFHLFSLSYVSDMIRRLADMADTQFIATTFRPEIVKVADKIYGVTYKNRASYINVVPKEQALDFIGHDQTQNAI >KN540435.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540435.1:35482:36746:-1 gene:KN540435.1_FG003 transcript:KN540435.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDLKNLIGEASCRICLETFSTAVNALTEPIDIYSEWIDECERVNNPEEDNANHYEDDNASRYRYDEEE >KN540435.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540435.1:21115:27109:1 gene:KN540435.1_FG004 transcript:KN540435.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKSSSMTGPSVESPDGYTSNGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPSCPQFYLYSSADRVIPAECVERFIDMQKSLGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSIARYAYLIQPIEKRMENVKEYIKSIKPDLEVHVEPIVDPFGPSIVDEALEAIIVSSKETLPGGLAVNRKRAERGLTQLEIEVVELVPEKSTGNKISSTAFRKMEAERELHKQQQETQHEQAVELEWRI >KN540435.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540435.1:13711:18293:1 gene:KN540435.1_FG005 transcript:KN540435.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGPESIPNREEDEELKKTLPGDEERASAREGGAVAEGKPSTILLLPILESKKNTTANQPNKSRAHAYTSQAAQTLHNLRIPTESREREIQGEEEEEEEEASRMFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKAASELSSHQRKVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTVEPFEMIDVKRIQEIIDSMEAAEEAPAAEAESSSMQEEDKGTDAAPMDI >KN540435.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540435.1:42046:45819:1 gene:KN540435.1_FG006 transcript:KN540435.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPHFIGAKSVTASQLRMRHKVGSIRASAASCLQDDTTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTNQARMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFRRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >AMDW01040192.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040192.1:674:1198:-1 gene:AMDW01040192.1_FG001 transcript:AMDW01040192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLHKTRVSIYINKRSQVILKLKSKHIGGALSKKNKSVVFGVYNELPTWVEPGKHFTEERCCFGLSTAQGLVEFECENSTSKQRWVDDVKNLLRQVAAEEQIENKLGSVKLS >KN540156.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540156.1:20059:24519:-1 gene:KN540156.1_FG001 transcript:KN540156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMLSFFPSGLHVMLVDDDTNNTRTATKTLSTLHYPVVSTHTTACAGLRTLSGDNMLDIQTVLCDVSKVVSSGFDFRRVVETEHQIPVIYLLSTTEPEQMVVGEDAEFLNHLLLKATYIVRKPLDRATMAQLWRVVAWRRCCLEERFPDGNDDDVVVIEEPQVRFKLVRSRGSRKRQLTINVDSGSSDGADANPRKKIEHMNDAKGPVGQHVASHLQLPGQEYCTKQQKDLDERRLISSDSLFLKAIFPTLNVSPSNPLILTGGARPSCIPTTTIAGSRTAAPFQVPVFQQQPAGTTVISFSNTAVQAPIGNAFISFNNAASPAATGNTVISFNNIAAPATMQVPAMRQRLSGGVQPDAPQQRLYMGPFSYQRPPPPPTMRNPINIVPAAFIPRVGMTVNIGKAPMIELPFGVPVDDFLVRETAYGGAGPSIGAPGDDAAVAYAYTGALNNNTAVGSLMAPPIDEPTFTLTDPIIGTKGEGVVDLEEDIMFSLESLLGLDDDMIPMEDAGGEAAEGSLNIGEGGMEIGWDLDLDDILINNTNEFAFLDDLAWIE >KN540156.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540156.1:58193:60124:1 gene:KN540156.1_FG002 transcript:KN540156.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYADYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVIPSLFMI >KN540156.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540156.1:48290:48739:-1 gene:KN540156.1_FG003 transcript:KN540156.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAAKKTCLICGAAGAGDDVTAATADGHPAKPYAAVNPTNSDTANADQIEGLRTTIRDLEEKLAAANAMIEDLKGSSSADATVVALREELVDLREIFQAEREEQLERNSGLLAGGKVWPERMVWPRLQSEVDAVKERFAARRG >KN540156.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540156.1:47121:47699:-1 gene:KN540156.1_FG004 transcript:KN540156.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEIRAKHQKKDMANERPLILLDFMFLKAILPTLNVSPRNPLTLTGGAGSSNVVAAAFAGGSSSPAPLQVPVFQQESTGKGKHSNQLQQQFCCTGGRCPDLLQRLCRVDSQAGVGGDGTSSVWWYPVAGCSPAEAVLWALLNQGPPPPSMRNHINLLPTALPPQVGMAMDKGKAPLIELPYGIPMDDFLVG >KN541677.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541677.1:15204:16097:-1 gene:KN541677.1_FG001 transcript:KN541677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPALGPLAPAIFFQTLQSAAVCGRVSQWRLADRSVVFFLWVWFCVQGCDASILLDNAGSEKTAGPNLSVGGYEVIDAIKTQLEQACPGVVSCADIVALAARDAVSYQYKASLWQVETGRRDGPVSLASNTGALPSPFAGFNALLQSFANRGLNLTDLVALSGAHTIGKASCSSVTPRLYQGNTTSLDPLLDSAYAKALMSSCPNPSPSSSTIDLDVATPLKFDSGYYSNLQKKQGALASDAALTQNAAAAQMVADLTNPIKFYAAFSMSMKKMGRIDVLTGSKGNIRKQCRSAS >KN543450.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543450.1:395:4660:-1 gene:KN543450.1_FG001 transcript:KN543450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCFFALYWLPLMLAAAVRGADQEDGGCLASKKCGDLNISSPFWIIQGQADKPCGSLDFQVYCNNSTGVATLRSSTDSGFDIINISYVDRTMLVFDVHRFARLNSSTDCSIPVFNTFAKLPVTFRISPANLNLVFYNCTKAPPAEQQQKLGLVETRCGNNTFARLGGRYDGEGDYDKYYLEGCSSSSTVFSPVLEPPDGKANASRYEELVRGGFLVTWDLPVTSSGHILPQQLFMVTNEQLTDGRCDIESFVNASSDLGLTQFKISPLNRELVFLYNCSQSRLQLPISWAPVSCAKNDSSNSYAWLAGKYKPDDDFRQLPGSCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTAGPDDCKTCTESGGQCRVNVTNDRLDCQCSNGVSPGMIC >KN542456.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542456.1:1444:2958:1 gene:KN542456.1_FG001 transcript:KN542456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSFAGVRKDGFRLGSSALPRLLLEDVSAEVFEAAAVRRRGRRRRCVCVSGMPREMVEPFLREYLGVDAVIAPEVRSFGGYYVGLMESDGEVLRRLDMDEVIGGGEEEKTCGDGDGDGRVVVGIGGRGRSFSRIFQKYCKCDTATMDISQATWGSLPSLAHVTLAVR >KN542456.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542456.1:5092:5385:-1 gene:KN542456.1_FG002 transcript:KN542456.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLKVAVCQVATFVRGLMRDIFYKEEPLADWEIELRLTVDCMNSRLDQISLREFDLETKEDDFAAQQASLAELEEALGQHKEKAKEAKQHLAEEK >AMDW01007556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007556.1:36:137:-1 gene:AMDW01007556.1_FG001 transcript:AMDW01007556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESTPLELPLAKYGLDGEKASGELVNFSDSSGDPQ >KN538731.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538731.1:188710:190028:1 gene:KN538731.1_FG029 transcript:KN538731.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYALFGEVVMDGIVRLEPMGGFDGPTTAYYLQCKDCGSLGSVSLIPGKGKALTPDSKNMVTLIHCDGYIPIAFSPAPYWIATKVNGDQHELHSPRMGSRATATMTSWFSPVPSSPSRG >AMDW01030365.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030365.1:94:354:-1 gene:AMDW01030365.1_FG001 transcript:AMDW01030365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDVPLHVSNAIGGVVKSAFHEIDTKITSYNEAFWLLHAGGRGIVDGVEEKLGLGEEKLAATREVMRQYGNTRSSTIFLAMEEMRR >KN538731.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538731.1:227499:241520:-1 gene:KN538731.1_FG030 transcript:KN538731.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGELLASAVLKEVCGKLCSVVWKEIASQLKFTQDLEGLHSMLTVIQAVLADAERRSVREESVCLWLKKLKAVAYDIEDILLDFESAIPPCNPEVQDDIPRQFTMACKMKKMRERVQQIKHEMELGNFNFKQDTSSHEQDVIKERRTVASLDEDIVGRVAEKERIMDLLQTEDDPFIIPIHALGGLGKTTLVRMVYNDSQTEKAFDVRAWIYVSTKFDLEAIGKCIISQLDGKSYRVHADLQSVHNHVKDILTGKRFLIVLDDIWGKDQDMLAKIKSLLNGGKTGSKVIITTRSKKIAKIMNADLQIELEHLPDSDCWSLFKKIALEPDTVGTCFEDIGKVIVGKCKGVSLAVKSLASILRGKELKVWKSVRDSSIWVEDATSSDENQVLPSLKLSYYYMPFHLRLCFAYCSVFPKGYQIEKNSLIQQWIALGFVQSTISGELEDCAESYFNELLDMSFFHPSSPPSMVNYLILPLFDSLFDLTKYSSFYSYKEFWVCSVALAAAVLQLRCCSTYSGCSSQPTAVIAARHYKSPREFIMHDMVHALATFVSRDEVFILNVPMKSNYHVEMDYCRYALVESTIDPSTLQKTVPVRARALHFNVFNLMKLPIHTFRFCKFLRVLDLSGCTLVEFPRSISKLRQLKYLCAKGMQIQKLSKPISGLQNLQTLMLIDYHSLLKLPSYFSDFLKLHYLDLHGCSSLVELTGGIGNLTGVRHMDLSGCSSLVVLPSTIGRLKNLSYLNLSNCSKLQRLPESFGELTSLEDLNFSFCYELGSLPDEFSRKNQQLRFLNLSSCTNIKSLPEFCSENNMLEILDLSGCHNLEVLPVSVGHLRALKRLDLSKCFHLRQLPPLDQHHVLQFLNLSGCSQIEGTLKFLAHSTLHNLEYLNLSGVGEGLQTESAEGNITLMASSSSNLMHLEALQTNSAEGDITQMPSSSRNLIHFEAQLNKLIEGMARLKYLSIDGFTLFSEQRIASVKGLLTLPDFDVNERYDPMEGRLCSNIIILDQILDLTHSCLYIRNLERLSSPEDAKKARLAEKHQLHTLSLMWTVHYEEDNAAAAEHPAVAVLEALRPPQKIKEFRMEGYMAATFPRWLMNIGSALPHVVNLTLNHLPGCTVLPPLGQLQKLKVLHISCLRSIQKVGINVYGSTARPFPMLREVLLNDMEMLEEWMTEGVMFPSLEHLEMTNCPLLKFKPYIPMSSKYSIENCRYIFSVEGVTMGLTTWTGHYEEDNAAAAEHLAVAVLQALWPPRKIEEFCMKGYMAATFPGWLMNIGSALPHVVNLTLDHLPGCTVLPPLGQLQKLKVLHISSIRSIRKVGTDVYGNFARPFPMLREVKLNKMETLEEWMTEDVMFPSLKHLEVKDCPLLKFKPYIPMSSRYTIGNCRYIFSDEGVTMGPPCTSSSFTSVMNVTTSDSVSDIDWSGLQHLVNLEIMIIHDSNCLRSLPDGIRGLRHLKKLEITKCRSFVMLPSCIGDLANLEELIVYKCGRLATLPESMQLLVHLQKLVITSDADGVSYPFGQLPDWLGDLASLWELKLDNTSVGGRPFETWDISRCTREVENRFCLGEDMTIINRVPFVSIYEKDLFFVHSTRKHSNIVEITEPHSVGGSISSIVLLQRISRVTSSNLSIFSLENVSSPEEAAKADIAKISNLRALRLHWSVNSDCAVEGPKVQDEAVLANLQPPRDLVVLDIDGYRGSVFRGWLSDASTLPCIRDITLSSLSRCRHLPLLGLLPNLELLQIAGMPELTKVAGQPFKKLRELVLARFENLEEWSTEISSDDGQVMDIPMFPNLEYLEIKSCHKLRFSPSFPARCFGTLSSLQELTISKCSTLTTLPESIAGLHSLKKLHVFRCHNFTTLPECFGHLSSLQEARIDSSAKLASLPESLRCTTCLVDLIFWCDDELERQYRSGIDSHKFAHIKNVKINGPVTFSSMLRFADCSITIFLTAIDISHLCIKHWSFRESRENYTEAGTSEHAKPEPKMLVLPEKAAVMISYTDTIGDPFKDTSVPLMYLNSSDIMLLQRVTSSQLIELCIGGLESVIDLKEVENLELWTKKELSSLSLHWSYAYVDRGMHNKVVLEKLQPHDGLEILCIENCADANFPQWTFLLPNLLKLEMVATQFEHLHLDQLHNLRVMYFSTVQFKHLHLDWLQSLTELKLSKIKFEHLRLDQLENLKELHLSQLESLESNRPACIECTQPLTKLQRIVMSEIENQELEIMSKQGQGSDENLFPGLQHLEMELCENLRFEPFIPRSTWYIMSGRTKGSMFPSFEQVMGLPTPGSTSRMEIKNTENLSLQMRELQHLELLNITELTIDNCVDRCPLPECILGWKSIRS >KN538731.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538731.1:201254:202492:1 gene:KN538731.1_FG032 transcript:KN538731.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OS-9 homolog [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/Swiss-Prot;Acc:Q8GWH3] MKSIIPQNATNVIIESERRVKPKDPDELLEILKDQCFYRHEGWWSYEFCYYGKIRQVHVEGEKVIQEYVLGEYDADATDAYYENQTSDSADEDDNLIDTSKRYHVHLYTNGTVCDLTDMPRETEVRFVCSEPTVVISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLAEAEATVDDDSLPKEAQISIIPDPDGLHNYAAYAT >KN538731.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538731.1:207083:215764:-1 gene:KN538731.1_FG033 transcript:KN538731.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITAGGVPFSVQRLGTETFVAVSVGKAFHVYNCAKLNLVLSGYSCTAFFLVVFMNCYVIKGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSKHEEKINILYPFGEYILSADIKGNVFIWSFRGSEPNIGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLGLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYSSGKHVRNVRLPTVSSTEKSEEEPIHISEDSKESKVKPYVIMDHQIPNMITLSLLPKSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDSSTEDTSHKKMADLSSHFSRLLQSCGDTKNYSAFTDYLKGLSPSSLDMELRVLQLIDDEPQNLELRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKVFQSARCMVGVGLLCGCCTILFCLTIPSVMDSGIQGKATRRLRAVNNDMHQQYFRIMETTIL >KN538731.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538731.1:243947:257708:-1 gene:KN538731.1_FG035 transcript:KN538731.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGEMIASAVLKELLRKLSSPIWQTIMSQVNLKHDLEAIKSVLSSLQAKLNDAERQSQKNESIRDLLKKLKAVAYDIEDMLLSYELSFDDGFPKHESRTSVSDKVKLRFKLPGEIKKMRKRLEELKKEMDLTSFVVDGASDEQDSITSWPSGAGPYDTEDTVGRIMEKGRIMDLLLSDEEHFIIPIYGLGGLGKTTLAQMVFYDSTTKAAFEMLAWVNVPRKFDLNAIRSSIIQQCGDAVESIISEKRSLIVLDDLWEEDNFKLENLETMLKGCRKGSKVIVTTRSKKVADQMNRDLQIELGLLPNEDCWTLFRKRALISTTVHPYVEEIGKKIVEKCQGLPLAVKSLGYLLGRLPQTEWEEILLSDIWAEDDGRFSDNRVLPSLKLSYYNMPSYLRLCFAYCSVFPKGSHILRSSLIQQWIALGFIQPPGSVVAEHYAEDCLRELVGMSFLQSVNVSTAFFFVTIYTEIKESATDKLVAADAQGLMPVILALHPYTFFVLSSMYARYTRPQSVLKMHDLVHELASIVAADEVHIFHASDCSSFNTESYCRYMFLLNFSELSQAILPGTARALHLKDCRSFPNTLSQTKFLRVLDFSACTIDKLPDSISQLRLLKYLNVSDLNMSSCPELQQLPTELFKPMKKLIFLNLSGCTSLKVLPEFGEGGTGCLLLEVLDLSGCNNLPALPESSTNLSELRCLNLSGCSQLQNFLKLIPRWKFSKLEYLNLSGVGSKSDSEAPGTSTGEVGSSQDPIKELELGMLQEDIITQGMFCLKYLSVGGFTLYSEQGIARMADLLTLPNFDIRLQDDGRCSNIFILQQILDITHCELNIKCLENVVSSDEVKQLELDRKPQFHSLSFEWSLSHSGSSVQREKASAVLDNLRPHRYLQSLSIKGYVCTAFPDWVNDINARLPELVKLVLSDIKGCDYIPAVSRLPNLQELEISNMPLLRDARIGPCKKLRRLTLVALPDEATVLLFYDATIETDVQMVESSHGFDEEMTETGQEFNALPGFLPENQVKRKPSEMVSGGPFKKSKILEFKGWPEALNVISASASSPISPVQQEYLLSPKLSGGRPEVANAAFLELDYLKIEKCHNLKLHPSLPKSKQYFIKDSSLSLPLENEDSSSFPSQCLCSEGCSYSGKGTSIPLHGHAMQQSTSRLKSKMHIERCSTVQLTQWAGLISTHMDELVITDCSFYYLVIHSQALRKLEIIGNRMKNLSGLSLMRNAERLIIWTPSVYYERQAQSADTRREVFKNMPISRIPYINLGTVAFFSVSPIEEGISCSSNISLLYKHHDAQCRRVVIENLENVKRPDEVQELDSYQQLHSISLVWSISDFTEDSSMAQDKGVLQNLQPHQLLETLQILGYRGDEFCCWMMNINSFLPNLVTIKLCNIAKCQHLPSLGQLANLEVLHISNMPSVREVDHHVYGDKILFRKLIELRLSRMDNLEDWPTATLTAHDDQFSQGDGNFPNLQVLEIVNCPMMRFVPAFPGSQECTLVKSSSILASFKRFITNTNLALMTLKINDCGASTYIGKFLQGSVNLEHLTIDSFIDLNTLPEPMRECRSLKKLFITNCWNLSALPEWLGELMSLRMLEVQATKLKSLPQSIQCLIALEWLILTKCNYKLRARCTSGEDKDKIKHINIVETTQVPLMNLNSSDMTLLQQVTSSQFIELHIGGLEQEIDLKEADTLELQTKMELSSLSLNWSYASAERGMQNKAVFEKLQPHDGLEILCIKNYAGIDFPRWMSSLRNLLKLEMDGTEFEHLHLDQLHNLRVMYLSNAKFGKLHLDRLQNLIELYLFRVRFENLLLDQLHSLREMCLSCVEFGRLHFDQLQNLRQLNLTSMKFEYVLLNQLHSLEELHLSQISSLQSNQSACIECTEPLWKLQRIVMSKIKDQELKISMQGRESDENLFPSLQHFETELCENLRFRPSIPRSTHYIISDRADLKPLTPRVHFFPSFKKVMGLSAPGSTSKMEIKNIESLSFQTPQLKHLKLLDITELTIYNCVDSCPLPECILCWKSLRKIQILRCKNIYSLPEWFGEMASLSELVMETYTMRTLNPCIQRLTNLQTLTLSECTKKLKERCSKSGDDWMKIKHIPYIQITEGDGC >KN538731.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538731.1:272169:273616:-1 gene:KN538731.1_FG036 transcript:KN538731.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLLVLFAVVAACVGAAAAYQPGSAEGHTIAGRIKIDAASASAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFALYPAKSAKPYVYNLSAPF >KN538731.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538731.1:277729:281500:1 gene:KN538731.1_FG037 transcript:KN538731.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional purple acid phosphatase 26 [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/Swiss-Prot;Acc:Q949Y3] MGWRFALLLLHVLLCLVNGVSCGRTSSYVRTEYPSTDIPLESEWFAVPNGYNAPQQVHITQGDYNGKAVTVSWVTVAEPGTSEVFYGKNEHQYDQRAEGTVTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLFVGDLSYADRYQHNDGVRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRCHTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKYELKHVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHVPADNVVFHNQYWASNTRRRRLKKKHFHLDQIEDLISMF >KN538731.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538731.1:205022:206257:1 gene:KN538731.1_FG038 transcript:KN538731.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGILSEVTIELLVRFAVYCRALSYGEVVHKALGRPASIVAQMCVIINNAGVLIVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRRLLILVVLVIFLAPLCALEKIDSLSLSSAASVALAVVFVVVSCIIALVKVVEGKISMPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKKMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALIALRLDKEGKSLGKGERLLSIVMLGLAMVVSIIGVIGNVYSLRSKSA >KN538731.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538731.1:170355:171065:1 gene:KN538731.1_FG039 transcript:KN538731.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLAKTGLAPALAYEYGRLFNQNELERGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKDSAADAGGGDSSSSSSSSYARLIVRKAGRCIARCARRLARPRGGGGGRGSSVTVVPRICSGDDAVRVGAVQAATRRRRSVKKMKASLMFHDCYEEEEEDDDHHEEEARCGDGAEGGDEDAEEERCSDGGGAGADVEIKWDSMFQDLKPT >KN538731.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538731.1:216773:223119:-1 gene:KN538731.1_FG041 transcript:KN538731.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNGDPDVPDNDGRSPLHWGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQIQRDDEPLLKLELDNPALLSGNWSQLCITCKVDIWASDSKGYSTDWMVRDPASPASFIPWLSYSAFNHTGALSFVIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGVRKNCSEFLLNGYNEDIECLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQDILQMRRFCSVL >KN538731.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538731.1:259919:264562:-1 gene:KN538731.1_FG042 transcript:KN538731.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPELATQVLVPAAAVVGIAFAVVQWVLVSKPLLPYFGAHVYGVQSNTTTRKSGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTVGIALVSWLGLPYSFTIFNFGAQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLSPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWF >KN538731.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538731.1:172414:184409:-1 gene:KN538731.1_FG043 transcript:KN538731.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCEGKDFSFPAEEERVLRLWSPETLAPFAAAVAASGAEAMEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTVDINFMESVWWVFSQLWEKDLVYKGFKNLEFICVENGIVLVMPYSTGCKTALSNFEAALDYRNVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDAKNTLVEIVQSQDQYIRDALGNPLIPKMAVPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNREHFDALKVYLLSRSISRLKNEFQAGNGKITVDLIEGFPPIDLQLGKHVFLSAGDYYRATRS >KN544036.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544036.1:2357:3842:1 gene:KN544036.1_FG001 transcript:KN544036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSSSSPATGSYSAEAAQALCCACVGQSTVAVEEAWGRYDAQLDVRCETKTRDNVFVTVVASVQYRALADRAYDAFYRLTNAHAQIQSYVFDVIRASVPNMNLDEVFGQKKEVARAVEEELARAMTMYGYEIVQTLIVDIVPDEVVRRAMNDINAAARLRVAAAERAEADKIQQVKRAEGEAEAKYLAGVGVARQRQAIVEGLKRFVPNEKDVMDMVLVTQYFDTIRDIGATSRSSTVFIPHGPSAVRDMAAQVRDGLLQATAAAGGGGAATLKAL >AMDW01033673.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033673.1:10:465:1 gene:AMDW01033673.1_FG001 transcript:AMDW01033673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MREAALARSLSKTLVPAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQ >AMDW01033850.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033850.1:9:512:-1 gene:AMDW01033850.1_FG001 transcript:AMDW01033850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LKIDGYSRIKGLPTGEFLKSCAFTVGGYRWRIHCYPNGHKSDCADFISLFLHLDDITKQVKAQYIFRLFDEWDDNPPPSLTSQEIHVFGSSGWGQGVFINREELEKSEHLKKDSFTVRCDIIVTTGFRAEEETPEALTPRKANFVSVPPSDLQRHLGDLLHNEKGADV >KN540034.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540034.1:8687:9325:1 gene:KN540034.1_FG001 transcript:KN540034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRLGGMDAGVTFRARVFWLVNSVVFVLHLDTLVATTENIPWHWRWNGKPCFCLGDPVPTRRLAVSPDGRLCVVQVGRNLRTYNPVINVFARHDGGSGDGSTAQKIRWKVEEAHDVELSHLIPLENVKRVCLRGVCEKSGLIFLAIGPDMYAKKPDLALYALDMEKKEARLVPAPPGRCCVRRSSWSFFGYELDRVDYLASLAGGDSTAR >KN540034.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540034.1:38313:38843:-1 gene:KN540034.1_FG002 transcript:KN540034.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQWNAHFGHPVPKIVSRKHIKKKPKVVQPLTCEVCKIQCDTPEVLRIHKTGKKHKKNLERLQDSITPKPVKPPSTPNTVALAANMAPDPVTTSVTTSVMPAAQTKKKKSAAATPEELEVKRRRVLDAGAAQGEVKICTVCNVVVNSQKVYEFHIIGQKHKAMVQKQQAQPPIA >KN540034.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540034.1:22949:31203:-1 gene:KN540034.1_FG003 transcript:KN540034.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNHPDGEEEEAPAAAGEEEAPVEVDEEGEMEEEEEEEQGEGEGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKCVKLVRVDVDHVVKVPRLWVAVDTHIAIFGTIHLYEFSYEDQEQDCVQKKQQYGRRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSSRRATNCSSKTVAGLDASGSVVRNQLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLQARYQVADRYYLEYYKEQEDQGGNQTIRMQPQQGRFFGREEMSNGVEYDAAYAATVAAVAYAIAAKEEEKQATQETPVKKKLTSEKKPVANDEPSTTPTLKLPPNRQGILKRPRQTEGSRITRRFSGKEIVPDDEDDGLEANVSVRRPVRTAQKIPEGGISGQNVVGKVLDSVPSIRKAPSFAKPLPEKKGSMKFEQEQAIPTVPPNVRPTALFPREKKESKKFDQDQAIPRVPPDVRPTASFSREKKESKKFEQDKANQMPSLASAPTSSYSSEAEAMADTWEKEKMAKIKKQYNMTMDTIVEWEAEKKAKAKRQMELKEGDNSERKREKALEEYNDEITRINKVAAASRLTAEEKRRSAERKVREKAERIRVTGKLPVDVAVSDEIYAKLNQTDAEFL >KN540034.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540034.1:13555:17838:-1 gene:KN540034.1_FG004 transcript:KN540034.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVIGCNTLSYTQNGNSGGSNTHYSGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDIPPGLTDSSVNFRVYDHTGMVDYSPCDYAFLTDRTNYSFRRADLIKMDKNRNVPVWLDWAIRENGSMSCAEAKGKPGYACVSVHSECVDSTNGPGYNCKCTAGYEGNAYAPDGCTNINECDRPSDYPCHGICQDTDGSYDCKCHQGYQNSGDPKEQPCSPKFPLAAQIALGITLGISFLIVGLLFILMMRQKRRMNEYFRKNGGSVLQKVENIKIFTKDELKKITKNNSEVLGQGGFGKVYKGILEDNTLVAVKASIEVNDARKEDFTNEVIIQSQMIHTNIIKLLGCCLEVDVPMLVYEFAANGNLQDILHGDNNRRVPLPLDLRMDIAVEAAEGLRYMHSSANRTIRHGDVKPANILLNDKFKPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELITRKPTIYDANCSLLIDFQKACEQENSGRAMFDKDITIEEEIFVLEEIGRLAMECLKEKVEERPDMKEIAEQLVILRRSMKSRQGNYNISPQQFEE >KN540034.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540034.1:57462:58133:-1 gene:KN540034.1_FG005 transcript:KN540034.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVSSSAGAMRSLVTKLTILLGTEYQKHKRMQKEVALLKDELSTMNALLETLEDIDELDPLTREWRNQVREAAYDIEDCVNNFIHSPTKNEAEVGFIQEIIKRFKSLRARSKIAKQIDELKAQVVEISNRHDRYKLDDYISMSSYVAIDPRVSALYTDATSLVGIEGHVEELIKWLMDGNKKLKVVSIVGIGGIGKTTLANQVYCKLDGQFDCKAIVSVS >KN544668.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544668.1:146:739:-1 gene:KN544668.1_FG001 transcript:KN544668.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKAKKKESKETQEVKIVPMVAKVDSSVLQEDDSGDKILDIWGEDTKGDRKAKKRSTAFVIPAVEVEAPGCSFNPPFEAHQ >KN539803.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539803.1:41710:43262:-1 gene:KN539803.1_FG001 transcript:KN539803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFYLTYHLSVRPHVSSASVSFFSFLREPAQMGAATVICAATTAAGDHVHGDNCKSNPFKVVLVGTDRSTAFAFVYSSETRDWGDHADETPVGNCISLGCRCIQIGDFLYWMLFGYDNNILEFNLVNHSLSVVYVPTHIHEDHDGLYPITLQEGTELGLIVMSRSCMQIWQWMIDFDGLPGWLPLEPIYLDNLLHLSAGECVNPTKVLGFSQDYNELFVASSTRIFMVNLESLRFKELCKMDEFLESPDSRPIYAVYPFASFYDAGGSSSSA >KN539803.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539803.1:13569:18879:-1 gene:KN539803.1_FG002 transcript:KN539803.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVEWWQESQLRILVIASTIIQLLLFLTANRRKHITSPRFRFIVWLAYLGSDATAIYALATLFNRHKNQDSTAQGSNSILEVVWAPVLLIHLGGQDSITAYNIEDNELWRRNVVTMTSQITVSIYVFCKSWPGGDKKLLQAAIVLFVSGVRKCIEKPWALRSASINNLVSSDMHVPRTGKGDKEGDSISLQSYVEQAKKLVLGIERGEGNTAESLMEEAMDFFLSESDALFPHTSAKISEPYKVFVDLPQPYSTWLVALKSWWKLEPFFLHTELGKSLADTFYRLYTKSKMLNKQHYGRLGWYLQLGSAYLPFASIGLFHNSHREAYNSSDVKVTYMLLCCTAVIEYLSAHGWTTLIDCCIPWSDNVSQCRLIGSYAGITIKPSDSSEEITGLVVQHVKSGWIRYITDGATYREFNNLRGQLALRRNNCDQALGWSLRVPFDESVLLWHLATEFCCARTGHTYEEGIIEISNYMIYLLLHNPEMLMAGTRRNIFTTAIQEIKDILGREKPLEYQGLAENIIAKLESEGASRRPSFISDAWAIAEVLLDLGNKKMKHVIKGVWPLAERLQRADLPEEGGGNDGATTAAPLPPDDTLEERPHEAEVPNGEGNNIDAVAQLPSDEILAEMPLNTEVPNREGNNINAAAHLSSDEILAERTLNTEVPNGEGNIGDVPSTSQDSIAIDIEEDNAS >KN539803.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539803.1:50750:52043:-1 gene:KN539803.1_FG003 transcript:KN539803.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSTRQPFYCKSLACHHQQNPASTIVAIDKNLDTSTPDTYRAPPTPLPYDVGLVLKDNPDLEKTGIKRKIHEHKESLMMDDNESLQKCVSEDKPDEEDACPICLEVFRYSPEYDEENPRSMTKCEHHFHLCCILEWMERSETCPVCDQGKLVVDLKLKNTNFDV >KN539803.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539803.1:66789:67955:-1 gene:KN539803.1_FG004 transcript:KN539803.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLPDDALIEILLHLPKHPTCLLRASLVCKHWHYLIRDNKFIKRFRALHQTPPVLGIFTNSTIIPRFLPIGNPPECVTAGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPATSEVDSRPSILVGNVIYWPLKSKHILAFELSTSRLYHIECPSETHSVYRRNVHIMKAEDGGLGLAAMTGFNLQLWALEIDSGGVTGWVLRKTIELGAVLPLEVPSIPLTDSPLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGADIDMLLLQFVFCSLFNPEHTC >KN539803.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539803.1:6194:12575:-1 gene:KN539803.1_FG005 transcript:KN539803.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQHPHQHLDSDGVEEAEEVQVWLPLVAKSYNCFQYIFTVAYDAGSCGSKLGILRSRLEGLKRSSIYLEQGQSYCLMDLQKNKHNEFIEKPKWIEDNISNIKAFTKEDIKGITSNYSKRLGNGKLGKVYKGILDDNHAVVVKKYIHMDSEEEFAKEVIVHSQINHKNIVRLIGYCTEKNDLMMVMEYMSNGDLDYHLHVKNSLDSLDLRLNIAIDCADALGYMHSMCSPVLHGDVKPSNILLDDSFNAKISDFGISRLLSADKTHTENMITCYMDPLYYQEGRLTSKSDVYSFGIVLMELITKKRATCLTQALAEGQEMTELLDPMIANESNMKVLLEIEKLVQECLAEDIDRRPDICDVAAYLRMLRKMSQQAPQENFGWHLFAETQNDFKKQSHQGTNIISSIKMVFPRMMGILNVNMAKSENKGTPLYVAVKTHNMFERGKWRCANELNSLSELIHKNIINLLGFCYEMDAVILVYELIERGHLCNILHGNGTKRFPLPLDLRLDIAIGLAEGLSYMHSRSKPILHGNIRTVTVLLDDKFVPKISGFGSSKIGEDGKCRIVGSEMGYMDETFVNTRVLTRKSDVYSFGVVLLELITRKRIYYNGKDNNTAINFAKVYEKESSGRAMFDNEISADKNIPTLEDIGILAMKCFNPDIDKRPEMKEVCEQLLMLKRSSKKGKGKI >KN539803.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539803.1:58942:62885:-1 gene:KN539803.1_FG006 transcript:KN539803.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGAKARAGMLQIAGSNIETPALLLSTRKGLPAFVSRDLLASLPLPDSLLLHVCPTHFMEGPPSKTISNIGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNKISVERTLHWLDACIALDEDSGANTLGVVVGGSSIEQRKLCATEVSKRNVSGFWIGGLGLGDSPEERCSILDAAVGCLPPEKPRVVSRLGLPEEVLEGVASGIDLFDSTYIYQLTMGGFALIFPIDMVGKEMQNGSLNNSDGDFTKINLRATTYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDTIKTGQFDRFRQQFVQDRRAYLAAAVI >KN539803.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539803.1:1349:3388:-1 gene:KN539803.1_FG007 transcript:KN539803.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAIEWWEEWQLRILALSSMGIQVFLFFPAMMRKRAIPSWFRSIVWLAYLGSDATVIYAMASLFNRHKNQDYTNSFKVQGSYSLEVFWAPILLIHLGGQDVITAYNIEDNELWRRQVLTTVSQVTVSIYVFYKSWWLDIIHSDLRMMQAAMQMFIFGVLKCIEKPWALRSASINMLVSSNSLITKIEKSNEEEDSIDISLESYVEEARKFVLNPSDVDGNRCQFKPYMLFVDLSLPYSLRLSILKTLWIRDDVHLLLQEELAHTFHRLYTKLRTLVPDHHVVWSTDWKNIPKSPRSIRSVLESISRILRILGLFFLFEASGIFLLSHKEVYKSNDIKVTYVLLCCTTMIEFLSLFGWVYTNIFRNNPPWSYKVSQCRLIGNYVGSSIKPCDSSGSIIVLVLQHVKSGWKDYITNVASYRMFNDNRGQWSLQRNNCDNEDLAWSLRAPFDESVLLWHLATDLCLLSEGYTNEGATRSIEISNYMMYLLLNNPDMLMAGTKRSLFTTAIHELKGIIGDETLEDIDLAHKIIAKMESSEGRPSFIHNACVLSKALLCLDNTKMWEVIEGVWVEMLCFSASRCRGYLHAKSLGNGGELLTFVWLLLLQMGMEPLAEKLQRAEIPKRGGNGAASDASLSSDESLALQRKKTEVPSGDEGNIADVPSTSNDSIVIDIGDNAS >KN539803.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539803.1:27741:29597:-1 gene:KN539803.1_FG008 transcript:KN539803.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLEKFTGEFLMVTVTHMDSEQEIYSYVAVKKYIHMDSEQEFAKEVIVHNQINHKNVVKLIGYCIEKNALMMVMDYMSNGDLDYHLHVKNSLDSLDARLNIAIECADALGYLHSMCSPVLHGDVKPSNILLGDNFNAKISDFGISRLLSIDKTHTENLIGSIGYMDPLCYREGRLTPKSDVYSLGVVLLELITKKRAVSLSQARAEGKGVTELLDPKIANESNMKVLVEIGKLVQECLTEDIHRRPDMCDVAGHLRMLRKFCLRQPAPLENFGWHLFPETQNEDKEQSQQGTNNVSSSLMSFPKMAGIFNRNMYKSRKKGTPLYISGKRMFTAREIKVITNNYSTIIGGGAFGNVYLGILEKDRKVAVKTYLKGIKYEEDQYGKELNLPELIHKNIIQLLGFCCELDAVILVHEFANKGSLYNILHGTSNFPVPLELRLDIAIGSAEGLAYMHSRSKPILHGDVKTTHILLDDNIVPKISGFGSSQIGEDNKCKWAVAADINYIDRMYIQTGLFTRKSDVYSFGAVLLELITRKRILDSKKCSLVVEYVNCYEKENSGRIMFDNEITAEENMATLEAIGILAMKCLSDNIDERPEMREVAEQLVMLKMAWKQLKGNI >KN539803.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539803.1:72327:73169:-1 gene:KN539803.1_FG009 transcript:KN539803.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDPLSGERHDAPVPSAFDQRRFRGAALLCDAGECHRPTPFRVVFTFIDQRRRPCACVYSSLSGEWGEVVCGKTVIPHDLDLGLGLRHLDESISGEKICAIDMKPPVLVGNVLYWLLVENCILEFNMDAKSLAVNVISGPDLMYFLAPGWSLQIMLADGGSKLGFGAVKFLYLELWVRESDSDSVDSWVMRRIIEPYMFLHPKVRQLEAHRLNQFMASSGLVGFTEDGSVVFLQTLIGVFMLQLDAMEFKLVLPMERLHWVYPYSGFYLTGTSVRDSSK >KN539803.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539803.1:32536:34494:-1 gene:KN539803.1_FG010 transcript:KN539803.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESKHKEYIERAQWIEENISNIKPFTEEDIKRITSDFNTNLGNGGFGKVYKGVLDDNRFVAVKKYIKMDSEEMFAQEVRVHSQINHKNVVRLIGYCIEKNAPMMVMEYVSNRDLDYHLHDKNSLDSLDIRLDIAIECADALGYLHSMCSPVLHGDVKPSNILLDDNFNAKITDFGISRLLSTDKTHTVNCIGSIGYMDPLYYQEGRLTPKSDVYSIGVVLRELITKKKVASLAQARAEGKGVTELLDPKIANESNMMVLVEIGKLVQECLTEDIHRRPDMCDVAGHLRMLRKFCLRQPAPLENFGWHLFPETQNEDKEQSQQGTNNVSSSLMSFPKMAGIFNRNMYKSRKKGTPLYISGKRMFTAREIKVITNNYSTIIGRGFSNVVYLGILENYRKVAVRTHIKGIEHGGDRNGKELNLPELIHKNIIQLLGFCCELDAVILVHEFANKGSLYTTLHGTSNFPVPLELRLDIAIGSAEGLAYIHSGSKPILHGDVNPANILLDDNIVPKISGFGSSQIGEDNKCKWAVAADINYIDPMYIKTGLFTRKSDVYSFGVVLLELITRKRSLDSKKCSLVVEYVNFYEKENSGRIMFDNEITAEDNMATLEAIGILAMKCVSDNIDERPEMREVAEQLVMLKMTWKQHKGNI >KN542719.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542719.1:4239:4637:1 gene:KN542719.1_FG001 transcript:KN542719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDIFQDNWSPALTINKLLLCFVSVLFDPLLDHPTNRCIAKQYKHEYEVYEEKARAWTQKHSSTPIVSHYPPYAVIGSTPPAVPHFPATAARRKAAASSASGSVSSSRIPLLMKDESIWRRTMRFFQGWSS >KN542719.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542719.1:9185:9544:1 gene:KN542719.1_FG002 transcript:KN542719.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLAGANARHVVESSTSTRRFCADSLSAAPPAKDMVTAGALTREAWPLKHVSRMEYADARDVPFMAAATALLSAEYTLVGRRVITVPESMIVGRLEYADDDTDSGFPATVIPDSLMK >AMDW01044824.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044824.1:329:502:-1 gene:AMDW01044824.1_FG001 transcript:AMDW01044824.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELRERSRHPLFMMWADVKRNYFTVPWAVVAEFVAFVTFVSTIVQMYSSFKQKGG >AMDW01039795.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039795.1:102:1076:-1 gene:AMDW01039795.1_FG001 transcript:AMDW01039795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ENNPAICFADVDKTKEVDPAESQEKIASLQLTFDIVCDISRSTKNSLITKQELFHNILANNLEIEVTGEIEEQLHILEDLSPDWISKKVIPGGDILYRPKNQQCDVTTDVVGLDQLISQLTIMKSSGLLLNFCVYFQTLAETNADFSTCSFTDTRHLSNPLDLLSADMVWNSHHHIIGWNHAICEQPWKVAQQHKCCGSVVVPTRVQCCKFD >KN541315.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541315.1:2891:3346:-1 gene:KN541315.1_FG001 transcript:KN541315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSATAQFDVLGQNIRQYQVQSPLLLQQQVLSPYNEFVRQQYSIAASAFLQSAAFQLRNNQVLQQLRLVAQQSHYQDINVVQAIAQQLHLQQFGDLYIDWNLAQPQALLAFSLPSTYGIYPRYYSAPRSITTLGGVLY >KN541315.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541315.1:29010:29582:-1 gene:KN541315.1_FG002 transcript:KN541315.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRSPRVMAKAQAEVRQAFEGKNTLTEDDLAQLSYLKMVIKESLRLHCPVPLLAPRKCRETCTIMGYDVPKGTSVFVNVWAICRDSKYWEDAEEFKPERFENNNIEYKGSNFEFLPFGSGHRICPGINLGLANMEFALANLLYHFDWKLPNGMLHKDLDMREAPGLIAAKHTSLNVCPVTHIAPSCS >KN539899.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539899.1:58140:60965:1 gene:KN539899.1_FG001 transcript:KN539899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPFLLLKDDEDMFSVSQVILRLRDVPSTVLKAMPCSCNVFVVSRHRLTIKFANQARTSKSSSCVRTQSISHKSFSRIQKNWLLDKQSLHDHPEDGTPKSYGDMSSDAGSHTCSSRSTSTNAGKSSGSHGRSLFGSLGRKTPGRDVNRESDAIGRLKEIPYVALSSIDEDLQSQPVDEVAKLRKELQDTLVMYDKACEDLVHAKKKIKVLSSECPEEAKKVQDALQREELLKQKVADEKTRHLEAVTEVEMAKTLFAQEAFSKHKAEIVADMVTAEKTKVMDALLSTGKGCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSQLHHPNLLLLLGFCPEIGCLVYEYMENGSLEDQLINNKGQQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIIILQLLTGKRPHGLILGAEEAIRKGSISDVLDSSQIDWPIAEAETLAKLAVRCTALKCRDRPSLESEVLPEIEGILSRVTASPTLRSPNAAVPSHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTKQRLQYLSIIPNHSLRVAIQQWKSQSS >KN539899.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539899.1:1074:1535:-1 gene:KN539899.1_FG002 transcript:KN539899.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDPDWMRQSVMYAEAALEHYNAALDVDGRGGSVKYELVRAIISGVIITCRAGYGHVNFVARAAASGGSTLRQEERLFFAEVRNDGEGWIPTCLRSLDDEADRVGGLAAGDDPPVKIPEMTSPSRRNFCFSCNGEIKHPKDGASYHAGHSL >KN539899.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539899.1:19950:25352:-1 gene:KN539899.1_FG003 transcript:KN539899.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRECKFLGEAEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >KN539899.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539899.1:15186:17663:-1 gene:KN539899.1_FG004 transcript:KN539899.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSASLLLLFTLIHLLLCISAQDFLKPGSSLSVQDVLHSPDGTFTCGFYKISPNASTFSIWFSNLTENPVVWSANPLHPVYTWGSKVELKFDGGMFLKDYAGQIVWANNVSSSDTQYAQAQLLDTGNLVVKGESGNTLWQSFDSPTDTLLPTQSITAATKLVSTNRLLVPGHYSFRFDDQYLLSLFDDEKNISFIYWPNPSMTIWAKLRSPFNSSTNGVLDSWGHFLGSDNATFIAADWGPGTVRRLTLDYDGNLRLYSLDKVDRTWSVTWMAFPQLCKVRGLCGQNGICVYTPVPACACAPGYEIIDPSDRSKGCSPKVNLSCDGQKVKFVALRNTDFLGYDLSVYRFVPLGFCKNICLNDCRCKGFAYWEGTGDCYPKSVLLGGVTLSNFGSTGTMYLKLPEGVNVSRSSFPHSQPLGPKYGPNCNTTNNISIADFLDTLNSGQSISKFLYFYGFLSAIFLAEVLFVILGWFILRREAKQLRGVWPDEAGYEMIANHFRRYTYRELVLATRKFKDELGRGASGVVYKGVLKDNRVVAVKKLVDVNEGEEEFQHELSVISRIYHTNLVRVWGFCSDGPHRILVSEFVENGSLDKILFGSEGSQNLLGWKQRFNIALGVAKGLAYLHHECSEWVIHCDMKPENILLGENMEPKIADFGLAKLLNRDGSNIDISRIRGTRGYLAPEWVYSLPITAKVDVYSFGVVLLELLKGVRVSELEKNDDEDVKMALGRVIRLCSEQLKSDGDDEFWIADFIDTRLNGQFNSAQARMVMELAVSCLEEDRVRRPTMECVVQKLVSVDEVSSTPTGGSEEPHSTRTSSLISY >KN539899.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539899.1:61991:64837:-1 gene:KN539899.1_FG005 transcript:KN539899.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRTVCEGPSIIVNVSESMKSRRTSREGFKVPRAEEQFVKAPSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENGLSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISRWMKEDSLLFVHYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >KN539899.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539899.1:7196:9607:-1 gene:KN539899.1_FG006 transcript:KN539899.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSASLLLLLIHRLLCISAHDFLSPGASLSEDNVLYSPDGDFACGLYKISPNSCTFSIWFTNSADKTVVWSANPLHPVYTQGSKMELKSDGSMVLTDNSGQIVWTNNVSSSNGEQVQAQLLNTGNLIVKGKGDTILWQSFDSPTDTLLPTQNITVRIKLTSTNRLLVPGRYSFHFNDQFQLSLFYEENDIPFIYWPNPTWTISGRERMLYNIIPTGTLNSSGHFLESENLTFMAADWGLGIMRRLTLDYDGNLRLYSLNNSSGTWSVTWMAFPQLCNVRGVCGINGICVYTPVPACACPPGYDFIDPSDQSKGCSPRVNITCDVQQKVMFVSLPNTKFLDYDLSPLRYVSLGACENICLKDCNCMGFVYWQGIGKCYPKSVLLSGVSLPHIGSTGTMYLKLPMEEVLEKLQLSERSIPQSRPFGPKYGPDCNANKNLDEHKSGQNESKYLYFYGFLSAIFLAEVTFIVFGWFILRREDKLARGISEVGYEMVTNHFRRYAYRELMIATRKFQDEIGRGASGIVYKGILKDMRAVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDPHRMLISEYVENGSLDKILFGDKGSQALLGWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDENMEPKIADFGLAKLLNRGGSKLNVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNEDEEVEMVLGRIIRTLAESLKSDGGGQSWIVEFIDTRLNGRFNDLQARAMMKLAVSCLEEDRGRRPTMESVVEVLVSVDEASSTI >KN539899.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539899.1:31385:39477:1 gene:KN539899.1_FG007 transcript:KN539899.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MAEGEGEEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDAGVVAAATGGAAQEKRSGGIGMQLEVGNGKEELGGAALMSDVAPRKPMKQVDARDDVRNVELRGEGMELDSGEPSLTAEINAENMARLAGMSAGEIAEAQAEILNRMDPALVEMLKRRGKEKSGSRKDGGKGKGKGGGISGPVKISKAMPGEWLSAGEHSGHSWKAWSKRVERIRSCRFTLEGDILGFQSCQEQQHVFWYPLHVNLAFPLTGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLASILNRALQNLHKMDLIDNIKESNGDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDALEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILVGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNNGVFHQAMWHCPPMFQKLSESNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGIQVETWSWSHAVPMVDLALSWLCLNDIPYACSLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDTSLCSISCFQRLLQLSCSLDRVIQNATTNCSEHLKESKTGIAGRILEQGICSFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSTGPEGLVTVNKSVNPIVQEGNNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKGNLSTSYAIDVSKAGLFFLLGLEAISAAPCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGQHLDRLCQKYDRAHSVKKEGSASVEEEKVIRTEVLRFQEKIHASYTTFVESLIEQFAAVSYGDILFGRQVAIYLHRSVEPTIWLAAWNALSNAYVLELLPPLDKCIGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTLARHHLSGFVFQCSGSGKVRNKLVKSLIRCYGHKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMKDACEMNSSLLAEVQRLKTSIDR >KN541589.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541589.1:5534:13791:1 gene:KN541589.1_FG001 transcript:KN541589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRLLRCLISAPHHNDSDGPTSSSSLDLLWTPKTPMDPMSSSPAASRRAAAIARHLAGIPAAKFASLLEPFSCLGYVPPESNEQPPAFALGDLRRLLDGHDLGVRDWMFRVMEQSTLFCSRHGGPGPAGRVFASPDFNKDKEGQREATMRRIGYLARRGVFRGWLTDTEGDAEAELRRIALLDCIGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKMEYREIFLKWLSLDQSVPVIKCLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGESREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >KN539049.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539049.1:105123:110079:-1 gene:KN539049.1_FG001 transcript:KN539049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEGAAASALLAYRGTRRVGSQGGSGCFNIQVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAISPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSCVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDENKGGTLGKFLAYNTGLQHWHVQSYSLTFEPRLLFILSKLTNIGKAEDDYLCGYTNCSWALADREAGDLEKDRI >KN543505.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543505.1:2:1879:1 gene:KN543505.1_FG001 transcript:KN543505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DCCHAWEGVVCDGEGTVTEVSLPSRGLHGRISPLSLAGLTGVTRLNLSHNALSGDLPPELMYTASLLVLDVSFNSLDGVLPPLPMLITGLKHPLQLQVLNVSTNNLHGEIPESIGQLKKLEVIRLSNNNMSGNLPSSLGNCTRLTTIDLKMNSFSGDLGSVDFSSLHNLRALDLLHNDFSGVIPESIYSCNNLTALRLSSNQIHGEISSKIGDLKYLSFLSITENSFSDIAKTLHAFKSSRNLTTLFIGENFWGEVIPQDEIIESLESIRHLSIYRCSLIGNIPLWLSKLKNLEVLDLSNNQLTGPMPSWINSFNNLFYLDVSNNSFTGQIPATLIEIPMLKSDDYKAHRTILFDLPVYVTTLSRQYRAVTSFPALLNLSANSFTSVIPPKIGELKALTHLDFSSNQLQGEIPPSICNLTNLQVLDLSRNYLTGPIPEALNKLNFLSKFNISDNDLEGPIPTGGQMNTFSSSSFAGNPKLCGSMLAPCGSVEVAHTIPTISEDQQCSSKTISAIAFGAIPSSLANLHFLSEFNISYNDLEGPVPIIGQFSTFPSSRFAGGNQSYAAL >KN539049.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539049.1:69961:83108:1 gene:KN539049.1_FG002 transcript:KN539049.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRACRGVGHKLANQTRRRHTGFSTGRELSAVADAAAASALSGMLSRGRCFPAASRIRPLVRAFCDAPPLLQDAAAGAPSSQDHTEKVDDVKARPDELDIAIVGGGMVGLAVACALSNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQQQRHAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHITENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPEESLRHKSMSPEDFVKSVNNALDFGYGPHPHSGNLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVSERMAFPLSLKHSHDYVSKRIALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIADGVSVGADFGDISMLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNDDDPQIQHVLGEVQHYNRIFGGTPRNLTQSNIHFYIIKPTLIGASTLALKGSTSTLIRRLQDLPFFIRRLFRELLDPQRTLPLVFRARMVMMVALSAIYVLSPVDILPETRHIPGEKKLFVVVGVALADAKMLAKSPSLPFLTATETAAIGLSPSCDLLSSPNARFSKKKYGGRLTIQPNIEFGKTQNSRTQRKWRTFSADQAQATVVDAGDSKTWEEAKQILTSLDYSIEDADKMLKKAFGWIHSPYWSEERKKEVPNAEVVSGVLNYIRTLGLSDDDLCKLLKKFPEVLGCDLDSEVKLNVGKLDSDWGINGKTLRSLLLRNPKVLGYNVDCRGDCMAQCTRCWCFKVLSNDIQFDMSVSADERGLGFPNLLGCFNMCRIKINSIEFTVIEAHRREGSSLIIHAAFDHQSIGEEAVRVHRSAHCLAGGVRQLTGGGVIVVVLGRQRRRVAVEDGDVGEELVLAGEGGAAGADPAVAAAVLLVGAEVGDDGEPLDVAAAAGEALVAVAPPNPNGHPEPEKWHWQWHNTYPEMMRTTMLIKERSLLLVGDEMCVELTAELIKAVIYTPIDKVCGLHGGGDLVDGMGLVLFLPGPWCSALVRSVYIRYVCSTVHIRYWYLFSFGDL >KN539049.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539049.1:9411:13272:1 gene:KN539049.1_FG003 transcript:KN539049.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMRHARLLFDGMPRRDAVSWNTLIAGYSGLGSCRLALDAFRDARASGDGVDRFTFAAALASCARVGDWRDGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERDEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHSCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLVRCGRQIHAHVILSGLQGDEFIASVLINLYSKARCVNDSLRCFDMTVKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKGTDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKQTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNESASLAALIACSHQGLGDEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKIADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGISNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPGRLIALLNQGNMKSSYIMQ >KN539049.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539049.1:157553:157795:1 gene:KN539049.1_FG004 transcript:KN539049.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLKVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDSIHQWVTLLLTLRSPAPKPRL >KN539049.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539049.1:43:7370:1 gene:KN539049.1_FG005 transcript:KN539049.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSADLSASSESAVLLHGDLDIWITEAKCLPNMDIMSERMRRFFTGYGACGSSGELVVLLRGINRSNFCVPSAEVLYDLWSGSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVSTVPSAHSELLLDLTIFLSWLYVVQLSTLGVSGKEMYLILDQNRPKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVSRLEFHVKDNDVFGAQLIGVASLPVDRILSGAPAEGWFPIDGHCSSNPMRPPPELRLSVQYRPIDDNPLYRGGAGAVPNAYFPLRRGGGVTLYQDAHVADGGLPAIQIAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRALPGETPSTLGELLKKRAREGVRIVILLWDDKTSHDKFLLKTDGVMHTHDEETKKFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVLVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTAFNKDFHNPTFPVNSYGPRQPWHDLHCKIEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADEANAHVCEEKDPENWHVQVFRSIDSGSVKGFPKIVQEAESQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFIGSSFLWSSHKSAVTFGNDYKGILHSYYDWNALVRSGADNLIPVELALKIASKIKANEQFAIIADALQMQGLVEAHPQDYLNFYCLGKREVAAGDSMSQTSLCNDNSTLRSAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYKWSADHGQGPPRGQVYGYRMSLWAEHLGAVEECFGRPETGECVRRVREMAEENWSAYVSLEMEETKGHLMCYPLKVDKDGRVRSLPGHDCFPDVGGKVLGTQTSLPNALTT >KN543505.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543505.1:6703:7170:1 gene:KN543505.1_FG002 transcript:KN543505.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSSRSNKTSRFRINFFDPAFVLLLTFISPVNSCTEQERHSLLRFLAGLSKDSGLAASWQISTDCCTWEGIICGEDGAVTEISLASRGLQGCISSSLSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELQELNSSSPERPLQ >KN539049.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539049.1:148775:153554:-1 gene:KN539049.1_FG006 transcript:KN539049.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGPKPVKHTNGQVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEEDVDDLENEFNWRDKTDSQYVAESMLHGHMSYGRGGDLDGVPQHFQPIPNVPLLTNGEMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQQSQLAPVDFFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGAENEKAGIVNQQKLEKKFGQSSIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNIASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >KN539049.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539049.1:114971:115369:-1 gene:KN539049.1_FG007 transcript:KN539049.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRRRGAAAADEEEPTRPQGEAPMVIYCKRCDREFVREPDEFGVTCRWCRRTVRPPWVRRKPSSPTTKAAPPPPRRKPEMFPCPGQCPRCGAQFASMVCAGKWHLRCKTCSKYTMVDVQGPDMATCSR >KN539049.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539049.1:58013:59966:-1 gene:KN539049.1_FG008 transcript:KN539049.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVALGCAVVIGVVHVAWTAAFSREWVELFTREAAVVRLAAAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVTLAFGARVGFGGLCGFFAMDDDILPPLGRTESHHLRFRLSPPTAVLSC >KN539049.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539049.1:17823:22835:1 gene:KN539049.1_FG009 transcript:KN539049.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEADFGNITDATEGQAAAKFRPKARAKPPSLGCHGSETDGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASSAHKVSQNEENNDDVSHVATHKENMVVSDTQAPPTCCSARTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVLSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSVDVVSQDTEEDSHREGLSDDSCQKYIDEEAITTSGTGPPQDLDDTVDLDSHAEMVNPHPDGSPLIIEELSAGTTVKFQPYVRRKKGKGKSVSFVPPNVSHAHAPTDTNFETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDARETGTSMKLRNRRKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKASSGGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKASFVVPHLLVLFIEHFCAVDIQFAFCFYRAKKFHQDHRPLIIGKTTWGLFGSNGNVQGTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDVFDWY >KN539049.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539049.1:89945:93771:-1 gene:KN539049.1_FG010 transcript:KN539049.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATVVPLAYQGNTSASVADWLNKGDNAWQLVAATLVGLQSVPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGEKLLPIWGKARPALDQGLLVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMAVLNTNICTAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLVCITPAAGILFVVRAYVNRQYLSLARSTTKGWAALVMGVLAGSIPWYTMMILHKRSKILQRVDDTLGVFHTHGVAGLLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGAGGAQFGKQIAGGLFVVAWNVAVTSLICLAINLLVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGSDAAVAPVVV >KN539049.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539049.1:112429:114495:1 gene:KN539049.1_FG011 transcript:KN539049.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVLLLRQIKDKAHKDGQKKTEQTLSSVATEIQTIVQDAKGKFEKERQNYLKVLSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHMQTLKDLFSKFEDAKEKLLIQYELQRKKEKATLSELEKTFSEKIANAEESLKKMKQDDKSIVNLRKSIGSFLDPDDDFGADDD >KN539049.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539049.1:122668:123108:-1 gene:KN539049.1_FG012 transcript:KN539049.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRDEAEKAYELAENRFGANDIDGALHAAREARRLFPQLPSVASAVAAYEVHHAAASSADDGSKWYAILAASAAIHPRRPRPATMAPPPSSRTKTSSSSTTGSASSCTRTRTPPPPPRAPSSCSGRRGTTSRFSTRRAPPRLLR >KN539049.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539049.1:135829:141665:-1 gene:KN539049.1_FG013 transcript:KN539049.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLLTDLYQFTMAYAYWKAGKHLDRAVKYLLIFTVTFNISSFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVAVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQQCCSRKVIWDTNTWDSFSCIRELFHDGHPFSLIFKCPSVRGSQGLDDIIDRTLASSDGSNKCEDFVSLVQNWLARIKGAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAAGIRLDSGDLAYLSVETRKFFRAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTSSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KN539049.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539049.1:89115:89501:-1 gene:KN539049.1_FG014 transcript:KN539049.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWTTAVSLLLAAAVAMAAAIAAGEGGAANYLVFVDPPPSGVICTAYQLSILAAALGSEEKAKGAIIYNYKNVVSGFSARLTPSELEAVKSGS >KN539049.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539049.1:23994:24839:-1 gene:KN539049.1_FG015 transcript:KN539049.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNSDGSGGRRKIFSGNAFSTSWDWKRKGVVYTSIGPDFASETTEVDVVAISLGDDDDESTISMKKLTVGGENNAFPSPSPDGKWVVFRSGRSGDKNLYIIDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHTGDGGRTNHPWFSPDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVDIDGSNIRRLTHNSFEDGTPSWTPYFLEPRDVGETLQASGRCAFQDCHWLNIQDAAQPEELNYGKSC >KN539049.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539049.1:101500:103663:1 gene:KN539049.1_FG016 transcript:KN539049.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNFTSGMNLTSASYQFSLDRNTGNLTLKWTGGGTVTYFNKGYNTTFTANKTLSSPTLAMQTNGIVSLTDGSLTSPVVVAYSSNYGESGDMLRFVRLDTDGNFRAYSAARGSNAPTEQWSAVADQCQVFGYCGNMGVCGYNGTSPVCRCPSENFQLSNPADPRGGCRRKIELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCFPGIPNPPLGGGGSPSGRASGVRGWVVAVVVLGAVSGLVLCEWALWWVFCRHSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGVGAGGHRNFDVSEETGRKKYSVWAYEEYEKGNIAAIVDTKLPGEDIDMVQVERALQ >KN539049.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539049.1:37678:41835:1 gene:KN539049.1_FG017 transcript:KN539049.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKLKICKDSSVRKALVREANLFGASKVIVGIAKKKRGISSLHSVAKYCSKKLPAKCAVLAVDSGKIVFRRESNVHSGKVSAELPGCGDNEMYCEVPFLARQCKEEPLPLHEPPRDGGGGAGEEEEEHDVGTKETGPVNAVSGEQQPSGVDPAELSPDQVQGDVDPSDKGEESTADQKDEISELPGEGASVLYCVLPERNGHSAASTSSRQSDDSTEPPTEGNGELYCILPPRNDHSGRSSGDSSRSTASRKHDDSASLSAEGDGELYCRLPRTGHSGRSSGGSKRSFGAKGLIRRSSSFSSDIQKDVSVCTTTTEQTSSMVSTEAEDSPKNAARDVDTPSSSPMSLRRMIEGRPDRCRLRRRIFNHQRSSSFEWAKISMVQWAMRLPSRYTSVSDNKSFKSDASPRLNCDSECESTSAVDTESMFSFSLYDISWPPNEVESLQEKYSSTCRLFTYEELKLATSNFSPDMLIGKGGTSQVYKAQLFDGTLSAVKILKPSVDAIQEFVTEVEIATSLQHDNIVSLRGFSSDNYSLVLVYDYMLQGSLDKALHGKHDSKDSLSWEKRNKIAIGIAKALEYLHHGSVTQSVIHGDVKSSNILLSEDFQAQLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVILEIISGRRPIRTGCSKGQESLVGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSQMLKLLEGDDETIHWARTQVTASFDGSDEEAVVAPDSNMQSHLNLALLGVEDDTLSHCSTEQTMDTSADGYWSRSSSFD >AMDW01039549.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039549.1:103:966:-1 gene:AMDW01039549.1_FG001 transcript:AMDW01039549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTKPVYLVAVRDGDQAAAYSVLKIDAAAVAGNDEPRRVRAVAVLTTGTEPGMSFVTARSRHGSWIVGVGGGLRAGTIIFDPGTSRTFQGPRLGYPKHKPVLISHGSEVYAISGTPRVKPSMDCEPWFESLSFKDGVPSKECGRWVSWHHLPPPPFFPCFLDPYEFRNPPEISVSSYAVIGSYILISPQPELVIGTYAFHVVNKIWEKIHEKNLPFVGQAVPLGGSLFAACPISNTASTSASVFHMSIKVSSSIPSLSIQEFKVMASEDKITFPLFCPMGMGSFCCI >KN538864.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538864.1:141504:143754:1 gene:KN538864.1_FG001 transcript:KN538864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEVNNVGEEKEEEKKLRKWRMPQEQIDLILSWSPEPARPPRYDVDIGRLQISDALKERLRRILPAMNWSWSSQAEGMKKIMKKLRKWRMPQAGVDRPYILSRCPPRDRVTERRSGGERGGGGGVGQGGARCPWLRRVRDRRRRRQPLHLRLCTRRSWRRRRGRLWTATVDAMTMMMEKMNGKQPKRRGKFENLQDMDREFELIGHGFGQLGLHHNTITNVQIFKGQNLSTQ >KN538864.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538864.1:169672:171355:-1 gene:KN538864.1_FG002 transcript:KN538864.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTKVLKKIKVVFAKGGNAKKAAAADLIKSFDESKFEEKKADLQPKVVEIYEAAPAPLKVLIKDRAKVSGIKKNSAAVTKFVDDLAKIEFPGAKQLSEGIAKVGPALLSGPVFATFEKVSTLLPADEEEIKPKEAPAAEEAPAAAAEEKKEEAAVATVVNMYH >KN538864.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538864.1:122809:122976:-1 gene:KN538864.1_FG003 transcript:KN538864.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLMSDKTRDISVVGGTGDFFMSRGVATLRTDAVEGLVYFRLQMDIKLYECYI >KN538864.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538864.1:144883:145092:1 gene:KN538864.1_FG004 transcript:KN538864.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGGFDLLKGWQARYVEALRGKGKAVRVVEYPDAIHGFHAFPELADSGKLVEEMKQFVQEHSSNRMA >KN538864.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538864.1:149946:152874:1 gene:KN538864.1_FG005 transcript:KN538864.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTAGKWIRGTGQAMDRLGSTIQGGLRVDEQRDVNSIHIGAGTNIQDNSLVHVSKANISGKVLPTIIGNSVTIGHSAVLHACIVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEMAFIAQSATNYINLAQVHAAENAKTFDEIELEKMLRKKFAHKDEEYDSMLGVVREIPPELILPDSILPNKAQKAVAH >KN538864.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538864.1:180089:181336:-1 gene:KN538864.1_FG006 transcript:KN538864.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMDKSSLVSRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFYCLLMEFCSGGNLHSLRQKQPNKCFSEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGAGAGLVHGCVLPRILPRRSGKKKKNKRNDQEVTSATGDSNGKNRPPPPTSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNGGGTPKKSAAGGKATSPRDDPSYVEFEYF >KN538864.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538864.1:118022:120221:1 gene:KN538864.1_FG007 transcript:KN538864.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSFMTGSVVGKRFYREATVRRADDGNGWSVMLDYRTLKSPAKRPLKLQSRTLAMAIAAEWEYQEADGIRPFTMPLMKLACTALERVPLTRKKIIDNLMKKFHQDLVFCRSPDDNELTVGVYHWVNTEFGFKPVVYTSFFGEKQDEGLANAVETVLKNTTDFELASIDAMAAAAHSLVIPLAIFRGKLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLLLSWQL >KN538864.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538864.1:208028:209313:-1 gene:KN538864.1_FG008 transcript:KN538864.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYGEPPHPGASHDEHFSDDHPPPQSYTYYTQEYQQFLPAAEQSDQEQEYCLPEQQNFQDYHVAAAEQSDQDYFYTEMINQEQDDAYQQQDYAYQQQQQHLFHGDFLATSQQFLDQDHEVMLTGLGGGLVVSDNGELAAAAAPATEPPVHDVFLEPLVPEPPENVHVDGAGESAMASASSAGGAPLLEQPFATPQQFLDQEQAPAGLNDDGAMISNNNNNGDGEHDAAAPAAPPPARYYNGPVPAVDSVFLDKIRKYLMADAKGLCRIDAHTNGEHAAAAPAPAVDDPLAAQHGSAPPPVPPDTAELERVVGQLLREAEDIINVAAAGSNTRIIMRV >KN538864.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538864.1:201887:203017:-1 gene:KN538864.1_FG009 transcript:KN538864.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYIVSPPAGSAVATSHRATHITFTGHGQNRKRVPDGYVLVLDDDAAAPPPESEQSNQEEQEYAACTDQIQQQCFVPEQQMSNQDYFPAAAAAEQSNQQFFVPAEEQSSHQLFLPAEEQSSHQFFLPVEEQSNCQQFLPALEQMTQSNQEFAYGEQSQCYIVPEQQQLSNQEYAYSEQSQCYILPEQQELNNQEAEYAFACYDEQQQQQQQSNQEAEYAFACYDEQQQQYLHGDLTSWQEPLVTSSSSSSQQFLGQEQLLPDGLLLDGFGEISQQQGDQEYAYCEESQCYIMPEQQQQSNQEAEFAFACYDEQQQQQHYFHGDLTSWQEPLETTTSSSLQQFLGQEQLLPDGLLDGFDEVEMQNIMAGLVANQSP >KN538864.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538864.1:147433:147642:-1 gene:KN538864.1_FG010 transcript:KN538864.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGGFDLLKGWQARYVEALRGKGKAVRMVEYPGAIHGFCLFPELADSGEFVEEMKLFVQEHRTKRVQ >KN538864.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538864.1:126562:127158:-1 gene:KN538864.1_FG011 transcript:KN538864.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTIVVATIFLLSLTSASVAVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTSNSTSAAATKPTALSTAVSKSGYFFGRVVVFNDPVTEGRALPPSLEETAVRAQGLYLYNSKEAFNAWLAFSIVFNSTGRRGTLNLMGADIIAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >KN538864.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538864.1:107859:116958:-1 gene:KN538864.1_FG012 transcript:KN538864.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDLLAPFADLPFPPGDDFPDFPTLGDDAFALEDFDLDDLDFDFDVDLFPPDAPPPNEESADSSSPSRSGSDGGGGKDGKDDEAKRRARLVRNRESAHQSRQRKKQYVEELEGKVKVMQATIADLTARISCVTTENAALKQQLGGAAGAGAAAPPPPMPMYPAVYPLPMPWIHPAYAMRGSQVPLVPIPRLKTQQPASTPEPPAKKARKTKKVAGVSLLGLLFLMMVCGCLVPAVNRMYGAAYTGEGAAIVPSHHGRILAVEGPQNSVSNGVDPKVPQNGSETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSRLSNYGEKGSGNQGKEETSLAIPGYVAPLEAGEVMDSAKGMNELMALAPGDGSIYREDDGMLPQWFSEAMSGPMLNSGMCTEVFQFDLSPTTADANGIVPVYSGSVTNTSQNYTENLPSGPVQKVKNRRISYSEAIPLRGSTSNDTDHFKAPPKNHSQSHAGCKPVSSVVVSVLADPREASDRDGEGRISSNSLSRIFVVVLIDSVNVFAGWNTLLSVRLLEEIAALLHPYSLKSSKGAPFPPRPILVFLIAIFGFYVCYISFNQITLENRSEENSGEVQAEIHCRKPHLPHEELRYVHFPKPESYIRGECSCNLVRSFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYLNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVRNWDDVSNKLNGTQYAHFLDGADYVR >KN538864.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538864.1:154726:158762:-1 gene:KN538864.1_FG013 transcript:KN538864.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTHNDVLWSGPLRPANFIRNKFPTYKKSLNGIVIKLTDDQEMPSLKEAVAKETADLLDRSQRLSVRELAMKFEKGLNTATLLSNEVKWRQAALLERDILLKNLKNVLESLRSRVAGKHKDEIEESLSMVDILTVQLSKREDELLQQKAEVAKIATSLKLASEDARRIVEEERSNARIEIDNARAAVQKVEQLVKEQEIDPQINGKQDEDELKEKAQEARRVKMLHCPSKAMDIENEIEVLREQLAEKSSNCVHLLKELHLHQSYEKNDVSSYELEGLESLGSMLRIVSQSDGFVDLSRSTIQWFRVQPEGNKKEIISGAIKQAYAPEPHDVGRYLQAEINHCGEISVVKTAGPVDPAAGLVDYVETLLRNPETEYNVVVLQVNGIKQPTDSIHVLSVGKLRMRLAKGKTVIAKEFYSSTMQLCGMRGGGDAAPQSMYWQPRGDLSLVLGFETARERNSAIMLARRFAIDCNIILAGPGDKTHW >KN538864.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538864.1:213814:214318:1 gene:KN538864.1_FG014 transcript:KN538864.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MELSASFLMGDSVGDNIAHHVAQRWTMTTSSTLPPLSDIAGMGVAPVVNIRRSDRWWRAFLPEGADRNHPAAHVTGDAGPEPELQEAFPVAMLIVGGLYPLQNGDWRYAGMLRQKVVRVVEFLELADDIRKPVEEISSPAPSSGAKQRGA >KN538864.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538864.1:194515:201284:1 gene:KN538864.1_FG015 transcript:KN538864.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDASFATASLIKCNEHRMCMLVLAGKSGQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRISKISELFVYQNFSRVAVDNVSAGDICAIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKVSKKNR >KN538864.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538864.1:132937:133350:1 gene:KN538864.1_FG016 transcript:KN538864.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVQPYFGGEERTPSELALEGVAPVVNLRRSDFSWKAFLPVGADRDHPAAHVTDENAELAEAFPPAMVVIGGFDPLQDWQRRYVDVLRRKGKAVEVAEFPDAFHGFYGFPELADAGKVLQDMKVFVQSNRAARATA >AMDW01034723.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034723.1:39:458:-1 gene:AMDW01034723.1_FG001 transcript:AMDW01034723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEDFSFMGVHQHAWGEVGIEIFSPRSKHIAMVNKISPWDEQEKMALRSNGALVKSLSFKEWEGGEQTKKSSVNHKNRPSRINVVVDNRRSSDIFMAESSPVVSSSPKCELDAAAVKVQKVYKSYRTRRNLADCAVVVEEL >AMDW01034194.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034194.1:63:194:1 gene:AMDW01034194.1_FG001 transcript:AMDW01034194.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PNLNGLFGRQSGTAPGFSYPSGDKIVPVIWEENTLYDYLLTPKK >AMDW01023659.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023659.1:188:268:1 gene:AMDW01023659.1_FG001 transcript:AMDW01023659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HFNYIFYVDFEASTAEVRVQNALNDLK >AMDW01038236.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038236.1:134:646:-1 gene:AMDW01038236.1_FG001 transcript:AMDW01038236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKRDPYRFRFPLEMRFVYPNIDHLIFNRFDYPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVET >AMDW01025994.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025994.1:66:257:1 gene:AMDW01025994.1_FG001 transcript:AMDW01025994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIHARLHAQALTLAVLGGAALAHHYSSKTTNSSSSSLDYDFYSQLPAATTDDGQENERWSW >KN541628.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541628.1:2208:7105:1 gene:KN541628.1_FG001 transcript:KN541628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHALWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGYPDKSLKNHPKDYVNALLVPKGGQIPLDIKNLSSKGIFHVVTVDSIHDGKTGIIFDPHSLIQALTSLISDRRFVEPDLLTENVESVC >KN541628.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541628.1:8191:9935:1 gene:KN541628.1_FG002 transcript:KN541628.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRWLCLILAAAAAAAASGAPAPEDDPGMPMAARHRCWMARVGRTYADAAEKARRFEKVTPHDKDALRAAVERQPVAADMDSSDPEFRGFKGGRVYRGSAGCGKKRNHAVAVVGYGTASDGTPYWLLKNSWGTDWGENGYMRIAVDADCGVSSRPAYPFV >AMDW01032155.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032155.1:359:451:1 gene:AMDW01032155.1_FG001 transcript:AMDW01032155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFYQFSDQLRLQTASFSGLSLGDSI >AMDW01040296.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040296.1:778:1371:1 gene:AMDW01040296.1_FG001 transcript:AMDW01040296.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAAIVLVSVLLLCSAHPSAGARRLMELYKPPPSEQLTYHNGTVLRGDIPVSVVWYGPFTPAQKAVVSDFLLSLTVASPAPTPSVSQWWNTINQMYLSKAAAQGKNGGGGAKITTQVRLAGQLTDDQCSLGKSLKLSQLPALAARAKPKKGGIALVLTAQDVSVEGFCMSRCGTHASNAKARTAYVWVGNSATQCPG >KN539919.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539919.1:55880:57750:1 gene:KN539919.1_FG001 transcript:KN539919.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAIEEEGLLMEDDDADGGGGFAGLPKRWRYALGFVGAFFALFFFFALILWGASHNQKPVVSINSITFHNFVIQAGTDASLVPTELSTVNATVRMTFRNTGSFFGVHVTAEPLTLYYYQLLMASGNVKYFYQSRKSSRHVAVAVVGDKVPLYGGGSGLSSTPVRGAPPAPVPLQLTVRFRSRAFVLGKLVKPKFLTNVQCSVRLDVAKLGKPVSLNKACSLV >KN539919.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539919.1:15821:21207:1 gene:KN539919.1_FG002 transcript:KN539919.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCPHLNILGMSVRERIAGEAEDITYKCRFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEDDILFLQSVMPMCEDAFFDYLRKTDCSDVEVYSIPEGSVVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTLEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKCHDGFQVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPGTSNKPRVELPSLEEIRGRCMQQLEKLRPDHIRRLNPTPYKVIDSETKAAKHWYNIRTPYSELKLEQNKNVVAPCVGCWAGFLVVFMVQGKLKIPE >KN539919.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539919.1:36882:39742:-1 gene:KN539919.1_FG003 transcript:KN539919.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWQEAPAPGVRVLLFAVAAAAMLLLCSPAAVVSARKVGETCAADRNCDAGLHCETCVADGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGARSRTGTTIATAWNQQDTITDQLNNGVRGLMLDMYDFRDDIWLCHSFGGACQNFTAFVPAVEVLGEIERFLTRNPTEVVTVFVEDYVESPMGLTRVLNASGLTKYVFPAWRMPKSGGDWPRLSDMVRDNHRLLFFTSKSAKEAAEGIPYEWRYVVENQYGTTGMIKGRCPNRAESAAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDLSADRWANFIAVDFYKGNGTCTTARHGGTPKGIFNTTSGATALLRPPTATLRWQQLMLVPSTLAALLLSL >KN539919.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539919.1:34084:36322:1 gene:KN539919.1_FG004 transcript:KN539919.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >KN539919.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539919.1:64973:68684:1 gene:KN539919.1_FG005 transcript:KN539919.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAWRSLSLVAFMAAVAAAAADGDRRPYVVRMDVSAMPAPFATHDGWYRSVLSSASARDAAAAPAAEHLYTYSHAMNGFSAVLTARQVEEIRGMDGHVAVFPETYARLHTTRTPAFLGLSAGAGAWPASRSVYPGRVPAGAAALYYGRGNRTKERCESGSLSRKDIRGKYVFCNAGGEDVTMQMFEVQSKGGRGVIVASDMKQTMDLSQYGTPVVLVTLSDGAAIQRNPNLLCPSLHLDHLLCTSAQCSLFSMKTLKIHGHLVAIVLPKSLQPCCFWESYHLLSRVPLSIQSLHEPAFSRLSSSLAVQDGRSTDEQIPNTGSDQIASFSNEGLQPQTSNPPC >KN539919.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539919.1:23008:29897:1 gene:KN539919.1_FG006 transcript:KN539919.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNSFSLQLAGAKSLSPLLVGACYSGQRQLAAGLRLCGCLTNPGYSLTKNVCPILAPRSSLGQASVKAKAKIFPISKNKAASKPCFMWYSYDYRFYGVVCSINVKVLLLCEEYNCGKEKCASIQAYKYAINLQLLFIKAIMEGKRTPKPYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPGQVKSGEIPPVEAGDSSGNNTPKETPKGQPKDEPFKWRNQAAA >KN539919.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539919.1:45703:49838:1 gene:KN539919.1_FG007 transcript:KN539919.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASICSDENGHSKCCRYINAVIAVSSAMYANTTGILGVPAEISDACIGNISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTVLQMLQSPNFSDVTRSCATLLSDDVSCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFVSQGNISTVDTASCFFSVQGLSALQVNISVPSPAGLIAPNIAPSPLAMQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAVILMIVLILLIRKKSRELEKIEGTNPLDAWSSCLKKGQEGSSTIFDRFTYRQMKKATRNFGTVLGGGEKGTIFKGKLSDGSVVAIRHIESSPKQASGKRLLPWKNRIQIAIDVANALLAVSGLVQCSNGDSTTISSTLVNVKIPATPGYVDPCYVVNQVVTPKSDVYSYGVLLLELVTGKPVAQGGGDGDGDGDSSSSKNLVEWSRELIGTDYRLHELVDPAVADAFDLDELQVMADVIHWCTHRDGAARPSMKQIL >KN542062.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542062.1:6067:7434:-1 gene:KN542062.1_FG001 transcript:KN542062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFALQTRGYFGFGHRTAYLAIAYFDRFCLRRRVDGGDAVGGAASVDRVRVRGGEDGGVPVAGAVGVRRRRRPRVLLGLPPPDGAAGSVLDYRPSTVAAAAILAASYGAPLTKEALESKMSNLSPSCLIDKEHVHACYSMMVGDMNNNRRSSKRPLQCSDSNEITTTSTYDSVLVDDVTDTAAFAATAMNKRLRPEPPRIR >KN542062.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542062.1:13596:16008:-1 gene:KN542062.1_FG002 transcript:KN542062.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MVRPTDSVLLAFSGGPASRVALQFIHEMRCKAIESWDVSNSQALPVFGVGVAFVDESVLCSKPRDEIEMAIEDIRVALQFIHEMRCKAIESWDVSNSQALPAFGVGVAFVDESVLCSKPRDEIEMVIEDIRSIVSSFSTGVKAMHVARLEDVFSTESEDGERRLREAVDMIGDDTGREDFLRCLRMLSLQKIAMENGYAKIMLGSCASAIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLRDCLAQELTLLCELDSLKTQQHLDRPSNGINSLVASFIKRLREENPSREHTIVRTAQKLKPFCFNKFSADGYHDFLPSRLRPKFQKVDSDESTFSEILCLMCGSPFSESELQNLESTKHKAQKKIDLYTAHCCQSCYFQILPAGENLNEHFFSLLPKLWTGKMDTISDSHSLLRDQIEEYLLEENDDEN >KN542467.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542467.1:5112:7958:1 gene:KN542467.1_FG001 transcript:KN542467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGAALAAVDGVLLRCPGRVRSFSAYVGKLTARRAHDWILVLARRGVESLDLASPIHNHPAVHSSVFSCDRHRLAYLNLFACDIPPLPPGFAGFPNLRSLTLGHVWLRAGGEYQLEEIIENSPLLEMLVLSGIFIDGDDIINWVIRAPNLQHLTICSPNDYGWKLLDLPCLRSAVIDLWDYLGGRDFAEFLGKLLHVRKLHLFVSYQPSNGAKILETLPCTFDSLKSLKLYMDFCELPPILTIFCLLRNAPNLEKLKIMITDNEQKVEANGVFQNAEWTGGMCANLQIVQITRISWLPNEMSFIELILSKASLLRTISVIHGEKCLMSNEDALSELLKYKRASPQTQILFKGKADGY >KN542467.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542467.1:8999:11143:-1 gene:KN542467.1_FG002 transcript:KN542467.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSQAAESSDNALFRTLIKICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPLAKAPISWSKVETRFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALIDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDE >KN539488.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539488.1:5080:6621:-1 gene:KN539488.1_FG001 transcript:KN539488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGVREFRQFERMTPERRAEYLVGMAGVLTLVTSIVLLLSGSTYGYSPKVCTGRGVFFGPTIALGLLLMAAFILGVKYWRPRLDTGYSLIRERAVA >KN539488.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539488.1:43462:43810:1 gene:KN539488.1_FG002 transcript:KN539488.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGKESQDALVKLIARKCVTLHVYGQDQFERFVCDIYCGRVFIQEQMLVNGHAWHFKTYDKRRQFAIVRNYALFKHYFQLE >KN539488.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539488.1:28338:35180:1 gene:KN539488.1_FG003 transcript:KN539488.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILKGFIGDCFNEEDDGGGNGRGRFPNSYPLASRPYYQPRGLADLGVLFPPPSPPPPRPRQLPQLRPASPLGYHHGVPWPTVEITLLIHDFLDMEFTSTVLQINCHEKVSEGLRHHSTSSRKAQHNRHRCIDVEKDNSSCKPRYCDTSGLKDNQGIPVLSPLPSLPLAPRGYAALELDLLNFAGHSKVPDRLAQHVTSSRRAQVKWYRKMLVAYRGIKSPPKTSADAAVLVTTALRGIKRSNLEGVLAFYGFQFPTIPKEASEKYPSSIPKGVLFVLKTLPVDAKFIGDGDGFTAYVDTMNPIELRKEFNASGQVPNPRKQKRRQKRSNDLKICLENTRKE >KN539488.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539488.1:15835:16185:-1 gene:KN539488.1_FG004 transcript:KN539488.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVPLDPTCWEGGEKRLRARCFSVGARGGGNAISGLGVDVGQAGSGSVGARGGDDGVAWLAGDVGARRGREEKEKNRPWEKRDGRQGLHVSEVETHILGNTKLQWYVDPTDFSV >AMDW01039392.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039392.1:53:968:1 gene:AMDW01039392.1_FG001 transcript:AMDW01039392.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKAPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIPVELHEKADSRRTP >KN544485.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544485.1:2009:2593:-1 gene:KN544485.1_FG001 transcript:KN544485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNMAAAVVCATTSLASMELVAGGNATCEPYVDTPGHPKETHAKCSMVGLDVKGGADHTRVTCQTMMGMPDGVLVPNASTKVFSPWLIAEMNLIPLLPTVCSMKCSKDKKKLLMGNAKRNSWPPSWLGGVIRRWELQPLPWPGSKLYWEGLPLMPPWPPPARVSFLAWEPFDIGALVIGTVILTQEMAEIKP >AMDW01013546.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013546.1:71:247:-1 gene:AMDW01013546.1_FG001 transcript:AMDW01013546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWF >AMDW01010986.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010986.1:13:222:-1 gene:AMDW01010986.1_FG001 transcript:AMDW01010986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRSRGAKERIVFDLRADRWEDMPPRMLAGWKGPAAASPPDDGETIYVVDEERGALTTYDWGTARWRM >KN539009.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539009.1:66037:72892:1 gene:KN539009.1_FG001 transcript:KN539009.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRALSHGNLRAVIVLPLLFMLVYGHMAVGLSFLVSARRPSDSLADTAWHFPIYKYESSRELINQPFLSVKSSIFEDPVGLIALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGCDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVQVAAVACGGFFTMALTPDGQLWSWGDLIQAGLFFYDIFLVFFTPVMVSVAKSFYAPIKLLFPTRDVARPFSMLELGDIVILPPWDLEIGDAFIIHYTYGCDYDMKGKLIYGKVGECRFDKRSYDSKPPRNLPLPQNASFRLHDLRQTTLIEHEELY >KN539009.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539009.1:160141:160624:1 gene:KN539009.1_FG002 transcript:KN539009.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDWVALDVDGATTRVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHRTLADYGVRHDSVVFLSLRLATDAYQSIYSRKEMWLMQPETTTTKKEMHQQQLLHVHVAAADEEKVIKRKPVSRRALRKILSRLRVDA >KN539009.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539009.1:38773:39630:-1 gene:KN539009.1_FG003 transcript:KN539009.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPSPTPASGTGTSVPPPGRATTVSSQLLDMGAQAVQVLKPVRQMKQHACSFALYAHDLSRQVEVHHFVSRLNQDVLQCAVYDSDKPSARLIVCECELARVGVEYIVSDAIFESLPPEEQKLWHSHAYEVKAGLWTDVGMPEPLQSSEMARMAKTYGKLWCTWQPGRVRAELVRGRDERYKIDSSAQGLKGARVEMDEPEWINPNADYWRLHGKGFAVDVTATEMKRHAPFP >KN539009.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539009.1:6082:6842:-1 gene:KN539009.1_FG004 transcript:KN539009.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLFLLVLSSFSTTSAAFTKPRQVYVVYLGEHAGAKVEEEILAGHHGLLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATALSGVAKTINYMWPASDRLYLCARTHA >KN539009.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539009.1:114081:114518:1 gene:KN539009.1_FG005 transcript:KN539009.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTRPLAAAAAAALLPPATGATASLTPPGSSWPQSPSFSWISGESRSSGCNSPGPGGGQFVFVFSLTGGGRRRKTLEKNQRESMLAKNSSSSSSCPSICWGFLAEILWDSAREEEEEEEGRSGWRSRRDLCWEFGASAALV >KN539009.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539009.1:163701:164679:-1 gene:KN539009.1_FG006 transcript:KN539009.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSGGDKYRSHLAGDGEKNTVWRHGAPPTFDTVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKARLEDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSVEKDAARQLGERLGEVATLSASGADSQAQSCPFLASGKREV >KN539009.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539009.1:3896:5249:-1 gene:KN539009.1_FG007 transcript:KN539009.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLFLLVLSSFSTTSAAFTKPRQVYVVYLGEHAGAKVEEEILAGHHGLLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATALSARTEVVSAFPSNGRWSPHTTRSWEFLGLEEGVRGPDCTGRLPPGDKAGGEDVIVGVLDSGIWPESRSFGDEGLGPVPARWKGVCQGGDSFPPSSCNRSAN >AMDW01025173.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025173.1:64:276:-1 gene:AMDW01025173.1_FG001 transcript:AMDW01025173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSGLAVGCLVAATAALLVAGASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQC >KN539009.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539009.1:123194:124246:-1 gene:KN539009.1_FG008 transcript:KN539009.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGREQMKGREDVAAADCCPGSPVSPSPAAAQRSAAGAAASPSGRSRRSAQKRVVTVPLADVTGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSRNDPDTVIVTYSFEHNHSATVPRAQNRQAAPQKPKAQACSPPEPVVEVEPEETHQYGVTAGPVTGGGGAAAIEVRDEFRWLYDVVSVPASSTSPSDIDAADEMQLYDQPMFFGGAVVGTAALLPDEFGDVGGLGGEGLGEEEALFEGLGELPECAMVFRRRAGDGLAMGAGGVKIEPPAESTAMT >KN539009.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539009.1:81070:81980:1 gene:KN539009.1_FG009 transcript:KN539009.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAPSILLSLLLVLHSTATARSPAMAPAAADELAPSALGPAADEHISDVYIVFVSRDDYVDSVDYDVRLLASVIGSASEAKTAMIYHYSGFGFAASLAPEQAERLSRKEGIAIFEDRMYHVEKEEEGRLPRFFEENV >KN539009.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539009.1:111089:113300:1 gene:KN539009.1_FG010 transcript:KN539009.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCSSTPTPCSGSVRRWAITRFAGAGRRRDWHRRRRTSGRGVLTVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFFDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQSGNAELIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMEKVRRRVSQEDSGKGSSWSDVWGAPTRYRKNEDEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKD >KN539009.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539009.1:30310:34077:-1 gene:KN539009.1_FG011 transcript:KN539009.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFCNVLKEHGKLSFVWTGPKPFVIIRDPDLAREILSNKSGNFAKQTTAGIAKFVVGGVVTYEGEKWAKHRRILNPAFHQEKIKRMLPVFLACCTKMITRWVNSMSSEGISELDVWDEFQNLTGDVISRTAFGSSYQEGWRIFQLQEEQAKRVLKAFQRIFIPGYWYLPIENNRRIREIDQEIRTILRGIIVKRDKAVRNGEGSNDDLLGLLVESNMRQSNEKEDVGMSIEDMIEECKLFYAAGSETTSMLLTWTLILLSMHPEWQERAREEVLHHFGRTTPDHDGLSRLKIVTMILHEVLRLYPPVVFLQRTTHKEIELGGIKYPEGVNFTLPVLSIHHDPSIWGQDAIKFNPERFANGVSKATKFQTAFFSFAWGPRICLGQSFAMLEAKMALATILQSFSFELSPSYTHAPHTVLTLQPQYGAPIKLKKL >KN539009.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539009.1:9298:10948:1 gene:KN539009.1_FG012 transcript:KN539009.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVAELSLPPTLLHCGGSMFMHARVVVMGQDVFLIGRQADALTGAARECAPTLFPQKKFTVGVMGDRIYVAGGSMRTAAVEEYDPEAERGTWSARRRGGWMAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRSSALRVPLREVATLLNLSRSLLNRVAAARAARGDDIAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >KN539928.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539928.1:48954:49183:1 gene:KN539928.1_FG001 transcript:KN539928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEQLILGTANAGNVKIEEGYKHEK >KN539009.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539009.1:48300:49586:1 gene:KN539009.1_FG013 transcript:KN539009.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAVFVCLLSVAAAAASMDPAEREALFLVMEAVSSDRDWRSESPDPCGAPWPGLECKPAAGDAAAALLRVTRLDFGVEPNPSCKDTAAFPPLVFSSLPHLQSLFFVGCFKNPAANTTLVLPPAANLSSSSLQQLSIRANPSLSGVMPPQLATLRSLQVLTISQNGLIRGEIPQGIGELTPLVHLDLSYNSLTGPVPSEISELKSLVGLDLSYNSLSGAIPSRIGELQQLQKLDLSSNNLTGGIPASIANLSSLTFLALSSNGLSGHFPPGLSGLRNLQYLIMDNNPMNVPLPSELGGLPRLQELRLAGSGYSGQIPAAFGQLASLTTLSLEDNNLTGEIPPVLTRLTRMYHLNLSNNGLGGAVPFDGAFLRRLGQNLDLSGNAGLCLDDRMVVRGVGVGVGAPATPAAAVMARWRPEKLRGQQQR >KN539009.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539009.1:76632:80463:1 gene:KN539009.1_FG014 transcript:KN539009.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPGGAPTRVRMGTVNVSAAYNTRNHDIESSVVARGDLWRLEASRSSSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGIGRSKWNGQMGSSGVVVTLETPLNNIGRPSLSVQLNGGIHIYLKEMVIKCVGSSRFLLKKLEKEKLQDQHLILFGSWEKEKAMRCFAAVQEAKTNVATQEAEDVHPEAMFLWSRTDHTGCLLIVNCLLAFANCDG >KN539009.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539009.1:100866:104634:1 gene:KN539009.1_FG015 transcript:KN539009.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRTTPGTLAELDEERAGSVTSDVPSSLASDRLIVVANTLPVRCERRPDGRGWSFCWDEDSLLLHLRDGLPDDMEVLYVGSLRADVPSAEQDDVAQALLDRFRCVPAFLPKDWEAYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMTQLQTQIRLPDLEWRVAELRKQFDGKTVMLGVDDMDIFKGINLKVLAFEQMLRTHPKWQRKAVLVQIANPRGGGGKDLEEIQAEIDESCRRINAQFSRPGYVPVVIINRALSSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGFPDLDGSGDDGPRRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALSENEKQLRHEKHYRYVSSHDVAYWSKSYIHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVTDYKNSKSRVILLDYDGTLVPQTTINRTPNESVVKIMNALCDDKKNVVFIVSGRGRDSLEKWFSPCQDLGIAAEHGYFMRWTRDEQWQLNNQCSEFGWMQMAKPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVCVKSGQQIVEVKPQGVSKGFVAEKILSTLTENKRQADFVLCIGDDRSDEDMFEGIADIMRRSIVDPQTSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADASEETDSQEDAEERTSIPDPE >AMDW01023251.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023251.1:157:273:1 gene:AMDW01023251.1_FG001 transcript:AMDW01023251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IEFALKYPFYSTLERLVHRKNIVLFDAKGSQMLKTECK >KN539009.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539009.1:155613:156326:-1 gene:KN539009.1_FG016 transcript:KN539009.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHKARLEDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSAEEEDARQLGERLGEAATVSASGAAPRSQDCPFLASAKREE >KN539009.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539009.1:82539:94058:-1 gene:KN539009.1_FG017 transcript:KN539009.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAYWDKALTKIFLDLCIAEKIKLNYNKKGLTNIGWQNLYRNFREQTGKNFDSKQLQNKFSTMKRQYKLWKSLKNMSGGGWDNNSGTIRCDDDWWEDRIEENRDAGQFRGKPLEHEDELTTLFGCMDTEEGTMLCVGGIGERTPSGGSDDNFTPMPNDNVGRSSEGRVAQRAGKEQVVDSPPPKKNKNMEYYVGRISESMLERSRNESSVIRGEQDEMKELLHLVEEDGVAQGSELYFIATDLFRMSTSETSSSTSSSAAHLDDGWSTWWDMGATVGVLAAVASTSSSGCGAQDAPLPFKESNHIMALKVLFKSQLKQSQVKYQLRGEVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKVIYSIIEFTGFVILLADLPLSALNIENNRFSGYVPGTFESIPELRIDGNQFQPGCTVFVLIVGLVLKSCTYIPKSTSNNAKSPPANAEKARPDVRIRSFRFVMYGQGFADHLADVDRWFRTLARRGVRQLDVDMLYTVPAPLLPGSILEFSSLEILRVFNCNFLDLSLPVLRLPVLRTLDLSNVSMSQGFLQAMMSNCPSLGCTKLKNITGLDKICVRSRSLVRLFGDFSYLKELVVEDAPNLEELVGIGLPLAAAKVKIVFAPKLRVLGYLGKSVRPLVIDDTVFDGGIVQFRTLMSSVKTLAIQPDSRSICRPVDVEEWDTITSVQCIEHSMNKLVFEDFGGEDCQWRFLTFLLGMARALKDIDFHCSESKDWASNQIELLGYTNRASADVRFHFYRFSSWPAARSTVLSTRWRHLFPYTLLDFRAYAPGRDVVAAVNTILAAHPAARVRSFRTGLLYSPPEDDPSVEAWLRDLAGRGVKELSLSFRERWQKIPASLFACTSLKRLHASSCTFPDATQAPAPLAALTEIDLFGVNISEESLGALLSRCTALEHLRMRSIGRCYRIHVRSESLKTLCGCGDFDELFIEHAPNLEQVYGNSMYMRSPHLKVAHAPKLEFLGYLGMSFDTIEIGQSVFTEDDFDIRTLMPSLKTLAIELSYTSEGYINWFMQLLKLFPCLETLYIRSDTWSKVRAAAPGSWDVLRSVPCIDNHLERVVFEVYRGHEWQREMAKFLHGRARFLKAMEFHCQGDKGCSELLGEEWVREQQELLCLDSRASLDARFLFFKGALVNNHHDVSHHEWYKRKYYHYLYNV >KN539928.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539928.1:66350:67181:-1 gene:KN539928.1_FG002 transcript:KN539928.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALUMINUM SENSITIVE 3 [Source:Projected from Arabidopsis thaliana (AT2G37330) UniProtKB/Swiss-Prot;Acc:Q9ZUT3] MASMAALLQRLLVNQVDPGAPGFWREFLVGMLKPVAATAVVAMAVALSFTQRLGLEGEMLYAMARAFLQLSVIGFVLQFIFTQKSAAWILLAYLFMVTVAGYTAGQRARHVPRGKHIAAVSILAGTSVTMALLVALRVFPFTPQYIIPVAGMMVGNAMTVTGVTMKKLREDVGMQRGVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTGAFQLNDAVFAAD >KN539009.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539009.1:43581:47030:1 gene:KN539009.1_FG018 transcript:KN539009.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VTE6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78620) UniProtKB/Swiss-Prot;Acc:Q9SYM0] MACSLLPPLAPVSPPPRLLLPSSRSALLPPGPRVAPRVPVSPPRALPGTAVGAAEALRGALADVFLASPPTWGSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGSAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIKGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLPSLL >KN539928.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539928.1:28373:29710:1 gene:KN539928.1_FG003 transcript:KN539928.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHQPSARILNILDRLLLLSRGRTVYAGSPSGLKPFFSVFGYPIPDNENLVEFALDTIRELEHQPDGAAMLVKFSSRWQSSLGALLDTKDDKDCSQLRTMPLELAIAESVSRGKLVAGSGSGTLSSTSVPTYANPWYVEVWVLMKRAFTNTRRMPELFVMRLGTIMVTGVILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVVANTAVAFPPLVVLSLAFAATTFFAVGLSGGGASFAFFALTVLASFWAGSGFVTFLSAAVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDAARCFSRGVEMFDGTPIGAMSKAVKLKVLDAIGATLGAPLTAETCVVTGADVLAQQAVTDIGRWKCLLVTVAFGFFFRFLFYIVLHFGSKNKRR >KN539009.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539009.1:19624:21360:1 gene:KN539009.1_FG019 transcript:KN539009.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLVTVVMAAVAVISSALVMVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDSFIPPYAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLISILGDQDTASDRLSKCIFSVGMGSNDYLNNYFMPAFYSTGSQYTPEQFADSLIADYRRYVQVLYNYGARKVVMIGVGQVGCSPNELARYSADGATCVARIDSAIQIFNRRLVGLVDEMNTLPGAHFTFINAYNIFSDILANAASYGFTETTAGCCGVGRNNGQVTCLPYEAPCSNRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDAYPMDIATLASV >KN539928.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539928.1:50279:53416:1 gene:KN539928.1_FG004 transcript:KN539928.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVKFILFLLGEEADSFAKRAEMYYKRRPEVISSVEEVYRAYRALAERYDHISGELHKANHTIATAFPDQVQYSMLEEDDDNLPKAFTAVDPRKIHKSTVEGLMKKKKGEKSGLKDGGKNSGDKINKENAQEKISRLQKEILVLQTEKEFIKSSYESGMAKYWDLEKQINDMQEEVCYFQEEFNESAVLEDDEARALMTATALKSCQETIIKLQEQQKLSFSEAIVESERVKSSRDKLKNIMKVHGKSLPDLGKFLEKTDSEKFANENVTNDGSNTVDGMYSINQEKIELQATVDKIKEYFQKDSEVSVVEMADKIDELVNKVVDLELMVSSQTAQLNRLCLENTELEKSLHELEEEKPALNSCPGESYSKFKQAEEDLIKVQNLESSFHAEGTIVQSNFTETITRFRDVSDMLLSPLLEHHQDDSAPMPSDETTPSIDMETSSEHDTTNSEKGPQSDESAVKHEVDELPEHSKELEPADLCDDNHSSSDYPETKAENCYRGDGSEDLWCCALEDKSSFAAASVNEEEAGNADNDSSGDHNNRGEDHAPEIASDDGSSKQQYTVESHEKPILERLHHISSNAPGDHNAKQEENEQDLSISDGSISEGNSEQKINKAGNSCITADTAPISRKVDEVDDQEGNMIKLQQLLMNGLQDKEKVLLTEYTSILRNYKNAKRKLTEVETKNQVRLNEMSAMISGLRSANAMKDEKIRSLHELLNAVIDKDVSGNGHQMNPTTSFSSISRTFRGHRRTPSFSRGHQRKQSVSSISKIILESPNEGDALHDKVTDQESLILEDIKLIDVVKTENASPLEEKFRQDIDALLEENLEFWMKFSTSFQQIQGFQTKYEQLQPEIGKLTNKDKLKTNNGRADDPSAKGDSNAIEKRLRELKIELQVWLEQNTMLKGELQYRFASLCSIQEEIEATMEMGADPEEGAHFTSYQAAKFQGEVMNMKQENNKVADELQSGLDHIKGLQAEIEKVIEKIVKRTSLSEAKGSSTWKNAPSRTRVPLRLFLFPAKKKKPSLLACVNPALQKQHSDLVFFTK >KN539009.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539009.1:54983:56926:-1 gene:KN539009.1_FG020 transcript:KN539009.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVVQPVPISTGPIACASTARPAAAAHDDDGHLFDDLVLGYGGDDKTGADADDPARKLEWLRSQVIGADAEFASPFGTRRVTYADHTASGRCLRFVEDFVQRNVLPYYGNTHTVDSYVGLHTSKLASEAAKYVKRSLGAGAEDVLLFCGTGCTAAIKRLQEVTGMAVPPTLRSVALDVLPPSERWVVFVGPYEHHSNLLTWRESLAEVVEIGLRPDDGHLDLDALEAALAAPERTGRPMLGSFSACSNVTGIRTDTRAVARLLHGYGAYACFDFACSAPYVGIDMRSGEEDGYDAVYLSPHKFLGGPGSPGVLAMAKLLYRLRRTAPSTSGGGTVVYVSAYGDTVYCEDTEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAREDHMLALALRRMQASPNLRLLLGGDRPSGGRCLPVLSFVVYSPRDGSEQDERPQLHCRFVTKLLNDLFGVQARGGCSCAGPYGHRLLGITPARAKAIKSAVEMGYHGVRPGWTRVSLAYYTSTREAEFVLDAIDFVASFGHRFLPLYAFDWETGDWEYNHSFGRVLANNNAISNAAAAASSGRVKAEDEYRSYMAFARSLADSLGGCLDNTPARHVPKGIDPQLLYFPM >KN539928.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539928.1:58804:59106:1 gene:KN539928.1_FG005 transcript:KN539928.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKDEQQDRGLFSNLMHGVAGGGGHGYPYPPQQGYYPPPPTAYPPPPAGYGGGYGYPPAGYPGSSAPFQHVRFALVRLDSAN >KN539009.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539009.1:132455:137200:-1 gene:KN539009.1_FG021 transcript:KN539009.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLLSEGRSGHKSRGSQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCTSCIAHNLGKSRSVMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSVISKVVDRAPRRVVFILVSPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVDPLALMSQLATIITDILAGSYTFTRERLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSSTSLNHGVLAGSFPDRDMAIHSALEHNGNVASTSYRERRPVDHTSNSHLLSTSAPRANEQSRNSKIENELIWHAVLESIQSDTLRKMMAKEGRLSSLSQGTAPTVQLIFSSRVNKSKAENYRGQILQAFESVLRSAIILEIRYESKNDVRASHAPAVFSHGENESSNTTLRRSFTKHSPLSSGGENLRRRLKKDRASSSKTRWMQSDPHILTEGEIIEVGPSHMHWHDETNNDVHNVNQRRKDNVWEAESLASPNSKANQGRNGNKQRRQNSIVKGKVSLAHVIGKAEACSQRGGWSRQKALSIAEKLEQENLRLEPRSRSILCWRTSRTRRKYFPYDYSLAYAIFVEGQVSKVTSCIKAYLVRKMHFHKITEIETLEVVDFTSKLQHP >KN539928.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539928.1:23794:25910:1 gene:KN539928.1_FG006 transcript:KN539928.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGSTRLYVGRLSSRTRSRDLEYHFSRYGRIREVELKRDYAFIEFSDPRDAEEARYNLDGRDVDGSRILVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGVREVIRALHHHAVDVVAVGAIAVAGAGAVVTDMLLLTSPCFFYILYISRSRSRSLSGSPRGRRELERSRSLSYSRSPRRSISPAANEKKRSPTPDGSRSPRSPQDQVSPPPKDNADRNGSEHGDSPRGRENSRSPSDGYRSPAAANGRSPSPRNNGSPNPMDNGSRSPRDGNGDGSRGGSRSPRPSESPEA >KN539009.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539009.1:21973:26556:-1 gene:KN539009.1_FG022 transcript:KN539009.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTGMGSNLVRKEAANSSVNKQNIKASEPTIYPLNPGCELVKNVAYPPKDDIFGDLPLLESSKVMFHSGVDELPTVIEDSFVTDQSGPDAISENVSLKLIPPSDMSVQTSSTLKDLVKKEGTPAKKSICISHNDTKKNLPSSAEFDGLNHSGINMVKTCLSDTQLKSTDVPALSSYSNKGLKSGSSNTAHTQQDCFSMNTNYCQEIRKPGTSIATSSVTVRTRTEAIESDRDMAVNSKKSTSISCALVPMECHISSEGSVLSSAISQGSASAATSADGMSSYKSIPSQDSIPTSGLNGTFASNLFRESRKSVDTCTSLSKEDQCSWYSKLHPVCTPASIGSAFMKLPGLERIEISSCNIKTDENMSTNGWPANIVRCEKQQAVIGVPNIMQGQRKTDFSDSQVQEKVLNGYLQQDVYHPCQPTVRLMGKTVSVCERSKEHRVPTMGKGWSDSTIVEDHPPSTSCHFPQKRLFPCQDSMTPSVHVKGSPDILQRIPSVTLPEARATIGNVQNRRLQPINTVSSSVRDCIWNSGSQSVRQAEMKRATTINVNSRARHINLHQPPQVISTSQNQHCQLSTPPSILRGKDCSFLGPAVTQSSSFPQWTLNTGIQEKYQKPTFSYDDPTSAPIYQSCQVPGAKLSSTSMISFLDYGTDNAEFSRSLPQACPSLATSLPINFVSTVSPTCTVKPTNTGCRKGVVFTNQRKRPAYINNVAHEPAKKLMANKQDLVASVFGDMKNRSLGWSLDDAIGPQILDFGSKVAGHGSEMSTNESNCVRASSGPVPVLETRSIKPPSLSLAIYTLVQRLIEDIEKIQNKALHRSQQRIMGVLGALARHMDALVGPGIMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKVLQMMSLDARKSVERFIESHGPDALDKIIRAAEEEAKRA >AMDW01043678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01043678.1:4:168:-1 gene:AMDW01043678.1_FG001 transcript:AMDW01043678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVALREMVEELDVGVVVNNAGVVKPGAMFLHEAEVEPLMRMIRVNMLALTKVTAA >KN539928.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539928.1:68694:69474:-1 gene:KN539928.1_FG007 transcript:KN539928.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFPPKRKCSWDQSRLVIGFGTQRLAPMGFLQNTFSVCVGIGCGIYIAQNYDVPNMKELMRGWMGKAKEVEESYKKPGGSKS >KN539928.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539928.1:55385:56536:1 gene:KN539928.1_FG008 transcript:KN539928.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKNDESDKGLFSNMMHGVAGGHGYPPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPPGGYPQHGGYPPAGYPGSSGHQGGHGSSGGGHMGAMLAGGAAAAAAAYGAHKISSHGHGGHMGYGGHGGFGGYGHGYGGHHGGKFKHGKHGHHGKFKHGKHGHGMFGGGKFKKWK >KN539928.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539928.1:40366:43594:1 gene:KN539928.1_FG009 transcript:KN539928.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLPSKSSNGVGGGGGPFKSEPNSPPSATARPRLSFDRSPRSVDSKPVVERRVPKIGTPPDKQPRKEAELQARLESAQEDLKKAKDQLAFTVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREIECVRGQHAADLETLVTTTEELERFRRELAMANEAKKAALGHADDAMKIAEVNAEKVEILSSEVVRLKGLLDSTAESEESKNRETEELVKNLESEVSVLKGKLEEARIIEERLAETEKLIEELKSEVADAKKAESEARQLFEEWKHKAGSLEMELEAVTLSDKFKGESLASTTEELGKIQSALQDRESEIEVLKGKTTALEIEVARLLADVNESNEQFDASQQEVFGLQTTIDVLRNKLEAAEEAASKALNNEKAANVKIEGLTEENVKLISELNETRDREEKEKRAVEDLTAALSEESDKAKEAHERYLSKEDDHEHALTQIGDLKMALKSTKESYEVMLDEANYDITCLRKNVDKLEAEVNKYREECESKETDIVRLNKQSEEEIGALQLELDKAVESLQDAEHQLQVVNEEKEKLQERLMYTESACAEANKALHEAKTEKESLEEKLIYTEAAVAEANKSVQEATYENSQLKERLLDKENALQSLTQENDEFRLREADAMKKIDELSALLAEAMIKKHPEEEEKLVVVDEAHSSVHEEVTDSVVENGDAESENDKNPKLELDVLNRSSNGDMNHEEEKGETKVEQEEVKTECTTQESNKIVEKQPHPDRKQETVSSKDELEPKEDTNTEHPNGTVSEDTSKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >KN539928.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539928.1:18875:20257:-1 gene:KN539928.1_FG010 transcript:KN539928.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVLRRIAQSGSVVVMTIHQPSARILNILDRLLLLSRGRTVYAGSPSGLKPFFSVFGYPIPDNENPAEFALDTIRELEHQPDGAAPLADFNVKWQSMHAALPAADSKDSKRCTMPLELAITESVSRGKLVAGSGSGTLSSTSVPTYANPWYVEVWVLMKRAFTNTRRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVFANAVVAFPPLVFLSLAFAVTTFFAVGLAGGGGSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFRDATRCFSRGVEMFDGTPIGAMSNTVKLKVLDAISKTLGTNMTANTCVTTGADVLAQQAVTDISKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRR >KN539928.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539928.1:62857:65241:1 gene:KN539928.1_FG011 transcript:KN539928.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGLVSNLMHGVAGGGGHGYPYPPPQQGYYPPPPTAYPPAGYAAPYQGYGGNHGGGGHMAPMLGAGAAVAAAAYGAHKLSSHGGHGGYGYGYGIRRSFGPTEIEEWTELKIVIENIELSRNQEKLWKKLLRSDEEGTLMVLTERMEEMVARLKPTRTGVG >KN539928.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539928.1:45292:47197:-1 gene:KN539928.1_FG012 transcript:KN539928.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAQSEREWDGSAGGGADAVLGLAGAGASLSLCYHEAFGPHDELILLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYAMKFVGNSNSVFLIPPGESAAPTLRPNGADGDGNVASATDAVASIIKVASGNIELVRTAPRLDKLRKLLNERPYVLDEDLGSDVQHKGLYTWQDLCELVQASDGELTEQLSSISAVEIDGFWRMVDDSSVNTILDMILHNSVLHDWSLNSMPENDVLDVMESDGFMRKIVTHCLNKFGTKVDKEARGCWSLDERRVCLQFARRALGAGKMKLENFMDKWERSIPSGMRADLQMLEGEVLCEKLGAETWVHAFSVADLPLAPADRFAALFQERPKWEWKDLQPYIRDLRVPGVSSEGLLIKYTRKTQPSADAEPIFTAR >KN539545.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539545.1:49404:53578:-1 gene:KN539545.1_FG001 transcript:KN539545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFGATSTVGLMAAPTGIVSDKKPSSLSSVSSVSVSSRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELKKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDNLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >KN539545.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539545.1:75971:78078:1 gene:KN539545.1_FG002 transcript:KN539545.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHCTAGIDIRISNERVILLDTQPVFSPSVLIDMMKPDGSSAIPILSGDPLSADLAHELMGIQGINDLSMWDLMLTVDLLKHNIPDPSLLTSSTTQDKENKNDNQSGIEDYIADLCFVHARLREQDFSPSKLMVLRRVLEKHFKSSSFSIGSSGATPQVSDSSVPSSMKIEDLSSNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQSTTFTLDTIHLVVDITVSADLIKAIEKPEAMLKDSETTVTNSVQTTKVLAELEDMKAST >KN538751.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538751.1:230883:233996:-1 gene:KN538751.1_FG001 transcript:KN538751.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGKSPLELRPIELEGKSNGNSSAWPVANPVLTCATPTEGGYPSNFVADPFLYVQIFPQLGQGNFIHAFVTSILFVTIHKQKRSFIEVCISQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLIDKPLIDSSLVQYDGLWWLFASDFTRHGIEKNAELEIWYSNSPLGPWSEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKVEKLTKEEYKEVPVNLGIEEAKKGRNAWNGMRYHHIDAQQLASGGWIAVMDGDRVPSGFVKGAINCYIPPSFWVPLTRRSELSRILPVHRFNLKIRRYSTSIGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCIAVHFLLGGNGAEEAYTHQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDVEKGFKVWREHPERMVGFYPRMIDGDPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSQEAKEGRDYVHKNFNCEDLLMNFLYANASSSRTVEYVHPAWAIDTSKLSSVAISRDTQQHYDIRTKCLAKFASIYGPLPQKWLFGMREDGWDK >KN539545.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539545.1:20305:21121:-1 gene:KN539545.1_FG003 transcript:KN539545.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDISKTMSSDGEIPSTAERAASLLRELRQLIEDGCAAAKPLAEMVEYCAKHAAGEEAQGEEEEVYQVLENSISSADKCAFLTSMKKKANCQGTMLS >KN539545.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539545.1:57360:58341:1 gene:KN539545.1_FG004 transcript:KN539545.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLRKLFDSFCTKEMKVLMLGLDAAGKTTILYRLHIGEVLSSIPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYFSNADALIYVVDSMDRERMGVAKEEFQAIIRDPLMFNSVILILANKQDLKGAMSSSEVCQRLGAYEELKNRRWHCQGASALTGDGLHGGLDWLASTLRDVQTWGTSVRF >KN539545.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539545.1:67920:68561:1 gene:KN539545.1_FG005 transcript:KN539545.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGAVDQATEVFDGMARRDVYTYTSMILGLAMHGRGEDALSLFAGMQRAGVTPNEVTLLGVLTACCHAGLVEEGLQQLDAMPEPRIEHYGCVVDMLGRAGRLDEAEELIAAMPVHPDALIWGSLLAACRAHGDVERAERVMRRRSADTDADAGDYVLMSNTYASNGRHGEAVKVRRQMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >KN538751.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538751.1:304878:306101:-1 gene:KN538751.1_FG002 transcript:KN538751.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQFGPWNLLPDDILELLVGRNLCEIDRLHARRVCHSWRAAFARIEPPPPPPPLPLLLLPEADDDEHGLAFSCVLSGWDTHPFFLPRAARRRARCFGSCDGVWLFLAMEDGLQGNRARDHVLVNLHSFQFLDLPNVIRLDHTFPQLMKDIEIAIVAVTLSRPPTQQGCVAAGIIELPPFPIGVRPFAFWRMGDRVILPFYEDVFVDQAVEDVIYHNGYFLFLTQDEHIRVCEEPVFHDTNVDVDSILLRFEPRVDDGDAVLARYLVLCRGKVLMVVRLGCPHRRSPTSAFRVFERVDYLVVNAGVVEALEHTWSEIDELGGRMLFLGRGCSRSYEEADGYPGMEGVYFLDDRSFRDPIFHDPNMVFDHTYHCCDNGRWSKSPFNVDRRFPERGRSKISPPVWILP >KN539545.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539545.1:15042:16470:-1 gene:KN539545.1_FG006 transcript:KN539545.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGVRQSAIMQSPSKVSEASGSAPPATSVIEGWAELPEGLLHSIVALLGSFLDLLAFTGTCHSWRAVFSSYPSKSNFRAMLPPLLVRPNVRVKAPSSSNGHRKLRSCEVIDLANRNTPLRCQIPQETLQRMHFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFSENCDEFYYCGILTAPITSPNSHLIISTQSSLFDWPVGSDSWSELKLPINRVDQIVEFNGQLIAVIEYSLYTLQLAPNLRLEKIKTLWWDNMNECPYIRPWFVVCGDMLLIVDHYISFSFGAPVLYRPYRLDMSTKPAKWVEVKKLKNWALFIGGDARSPPFSFKNPERWGGRSNCLYYAHYSQPLSLHGLGDDADAVWDPNTDENLVFKRNWYRQLQALWVYPSMFYSEGDGQ >KN538751.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538751.1:208924:216241:1 gene:KN538751.1_FG003 transcript:KN538751.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPPSTHSTAPFATPEVAPRGGDRISALSDDVLLLVLRRLDTRAALATAMLSKRWALLLRWLDTLDFMVGEILPPRYRRCIQLHQAAGFAAYPIDVKVLVARIKRYERLAMRNMAASINSLLDADGSDECAGQARRRARVLRVEFFATHYTDLMNRLITKALDAWEVEDLEVFAKPAYWSEWSLPPIVHRFPHHGLCIEPHKSRLRSLKLGGCIIPPLQGFHALTKMTLQDLRNSVAKASYEDVFKSCPQFQVLHLKSCRWADRGILVIDFPKSGIKQLIVEFCSAIALHSLGMLESIAIRETWVRRLLIADVPSSWDVSWPRLLLEAAPCLESLHIHIIPWDDDSFDEIIWQPSTLQHEKLKELVVIGFEGTERQIYFVNFVMEASTALQLVALFRYGRVEEMGRWDWKIVRQQHHWNDEEKAQILNQFADRDSCSITPVQDSRSERVTMVESILQIGCMIGRLRVEFFATHYTDLMNRLITKALDAWGVEDLEVFAKSAYWSIPPDVHRFPHHGLCNQKSRLRSLKLGGCIIPPLQGFQALTELTLQDLQNSMPKSSYEAVISSCLQLQVLHLKSCRWVGQGILVIDAPMSGIKQLTVEFCSVIALHSLGMLESIAIRETRVGYKHHSSFSHLMHMNLNLRHGYCNRLRDLCIGWDLNIERFLGFTKNITNLVLRFTGYGRWFVPSCPSLLLANLTRLLIADVPSSWDVSWPRLLLEAAPCLESLHIHITPWDDEHCDEIIWEPSTLHHEKLKELVVVGFEGTERQVYFVNFVMEVSTALQLIALFRYGRVEEMGRWDWKIVRQQHHWSDEERSQILNQFAHRDSCSTTSVQVVLE >KN539545.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539545.1:78854:80349:1 gene:KN539545.1_FG007 transcript:KN539545.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLTHPPGLAIVIMGVSGCGKSTVAKLLAETLGCSFIEADDYHSQANKAKMSKGIPLTDSDRIPWLEALRDAVRERLDHGEDVAVSCSALQQKYREILREGDCSFRSGSGSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDEAEGITVVDATVRPDTIVDDTIAQFREQLASTVC >KN538751.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538751.1:165880:167079:1 gene:KN538751.1_FG004 transcript:KN538751.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIDNFLDAAPDEDDPDRRRRITRLRLEFIVNHHSDSINRLIATAIDAWGVKDLEILAGTPAHQRRPLDRLHIFPHQGVPSSNNPGLAASANLHASSRLQVLHLKSCMFDGVLRVNAPCSSIEQLVFDHCGGGLIILHALPKLEEMVVVQTCVWFQRGSMPCLKRLNLIFRYKHDHHSTSMPWDMNLMQIAKYTPEITELFLEFTGRGAATMDAPPSWPLPSLPNLRKLVVVVPSSWDVSWPRLLLEVAPSLEILHVHVAACEDEPRGEISWRRCESRHRKLKELGMSGFEGTGRQVYFVNFVMEVSLALKSVSLCKYAGVYWDYDIVREDRRWSSEDRADVLKQIGERVSCTDIPVQLVRE >KN538751.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538751.1:277738:278550:-1 gene:KN538751.1_FG005 transcript:KN538751.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAATLSCKPTEQGCIVAGFLEYFPFPGHAEQHVAFWRIGDDMVLPPFWEGMNREADWFRPPMEDLIFYSGAFLFLDRGEHILACEEPPLFQEYGVELVPVGMFFQPRVHDKNETVLARYLVESRKNLLMVVKLTSGRQHLPTSAFRVFQKKKLNNGEEDEPLYNGMFQFQYYWSELDKLEGRMLFVGRGCSRSYEAGDRYPGMEEGVYFLDDRSFRKPIMAFDRDADELPYRCSDNGKWSKSPTPQVDRCFPSRGPSIDSPPVWILP >KN539545.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539545.1:92229:92538:1 gene:KN539545.1_FG008 transcript:KN539545.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKST >KN538751.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538751.1:156283:157647:-1 gene:KN538751.1_FG006 transcript:KN538751.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >KN539545.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539545.1:94220:96131:-1 gene:KN539545.1_FG009 transcript:KN539545.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHAALLLLTLAAPPVTALLAYLSPRRTDCFLFAGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVLHLEAHHAGRARYVLSCDSGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGSLPKAYSFDPSERFKAYGFTDAGDLVHVLLLGDIASLKCRVRAMKKAEIDNPVAIQTIKGYLLVASQDKILVYNTSTQYYGRVGAPRPLFATSIKDIKSVFAGSGGVMPATPAGKPLVAADREKLVILGLGDGYIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSILNHSTSDRAFADSSTRAGERGYVDGTTRASDRSYVDATTRATDRAYAEATRGVDLRGGALRGAPRRYVSPTRYTGAAGIPYRPVSTEPVLRTTPELKYRGPGMEPPGFPKKRDTLFSSNQTVVDDHVD >KN538751.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538751.1:281443:284695:-1 gene:KN538751.1_FG007 transcript:KN538751.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVVSAVFAEAWPPEQVEDLIYFKGREGHENFLLLTDGENIYVCQPMFHGDDPPMVPVFSNLVRFKPRGSSGQRVLARYLVESRGDILMVVRFRSDLQSSEFRVFQHKDGEFGENLWSLMSELDGRMLFVGRGCSRSYEARDYRYPGMEGVYFLDDRSFHDQIVGFDGDAPKEPYRCSDNGRCSRPPSQVERCFPERGQSRSNGCRAHAFSVLQGARGARYFGSYDGGWLFLAVGGQAQRQALLNLKINGFQTLDLPNLARVNSVNPNRDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVALPVFWALEKDNPLMWLEEVEDLLCHNGAFYFLTRVEDVLECEEPPVFYRDSVSLVPVNMFFLPRVHDEDETVLARYLVGSGKKLLMVVRLASGRGQRRTTSAFRVFQKEKFNTVEEDEPSQNRSAHFEYYWSELDELDGRMLFVGRGCSRSYEAGDGRYPGMGEGVYFLDEPSIHQMIIGDAPKPPYLCSDNGKWSKAPTDPQGQVERCFPERGPSIHSPPVWILP >KN538751.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538751.1:267079:267933:-1 gene:KN538751.1_FG008 transcript:KN538751.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPPVLGVVKRDHQISIVAATLSCKPTEEGCTVAGIIDFAHSPGRAVRQIALWRMGDQVVLPPYWEQVPNQPMIEAVPVDLIHHDGAFHFLIRLEHIIVCEEPPEFQEDAVHLVPVYMYFQPRGGDNGDETVLARYLVESRKNVLMVVRYSSGRQHLPTSAFRVFQMKKFNNGEEDEPLNNGGFQYYWSELDKLEGRMLFVGRGCSRSYEAGDSYPAGMEGVYFLDDRSFAEAAMAFGEGANKLPYRCSDNGKWSGAPADSRIDHCFPSRGPSIYSPPVWLLP >KN539545.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539545.1:63678:67000:1 gene:KN539545.1_FG010 transcript:KN539545.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MENTCKRISNTPNSMPVLQGWADLPDDLLQCVLALLSSPSDLAAFIATCPNWHAAFRSAKSTLRTTLFRPLAIRSCASSSDDPVVWELFDPAKPTICIHRVTPPDFLEGMDYECCSFGHAIFSGNAPSLKDTTFAIVDVFTGTSVSPPPCPFSTFVNSCALTAPLDYHNSHFLVEAKHSLFAWRVGSSHCLEEVQVVWSVEMSEPDLCEPSLVVCDDMLILLAASIGEAFRLDLSSQPAMWVKMEEEELKEWAFFFDEKREAFRPRPPLSCKNPQRWGGSRRAFATQATISMMLYALEYQQLYTVKLEPQLSLEEVQVVWSVEMSEPDLCEPSLVVCDDMLILLAASIGEAFRLDLSSQPAMWVKMEEEELKEWAFFFDEKREAFRPRPPLSCKNPQRWGGVGYDSYSWFFQREKAFSGFQLFQLAENMHVQRHMLLYSWIHEDDFDGPEAFQDQMDDEVFWRQTVVAGTLNV >KN538751.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538751.1:243611:250426:1 gene:KN538751.1_FG009 transcript:KN538751.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSLLPFAAVACVGVLMAGWSFLIGMAAFSSLLLGWFVLGVYCFKGLKNRCSGDTVIAEKTISTELFTGDRITFSVGDLSRELSAEDKANLVESIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEVDGVTKEAADETPAEQKEEKGVPEFWLNAMKNHEILSEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTKGGRVPAGEGQQGERPAECKQQ >KN539545.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539545.1:24420:24917:-1 gene:KN539545.1_FG011 transcript:KN539545.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEEKKMIKVISSDGEAFEMTEAAASMSRILLHMIEDGCTGDGGAGITLPNVAGGALAKVIEYCTKHATAAAEGSSSSRKAKEELKKFDVEFMEVSIDMLYDLIMAANFMGVEGLLSLAAQRTAELIKGKSPEQIREMFGIKNDHTPEEEEQIRKEYEWAF >KN538751.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538751.1:199864:206946:-1 gene:KN538751.1_FG010 transcript:KN538751.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTAFPSVQEKKPRAPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKKLGIKGKVLMGLGIKGKVLMGLGIKGKVLMGLGIKGKVLMGLGIKGKVLMGLGIKGKVLMGLGIKGKVLMGLGIKGKVLMGLGIKGKIAWQWLPFTSSARTDNLQLYHWVRVVNGAPPTGDYHFAKYNKKADVLKYTDEEYEKYLTDPAWSREETDKLFELCERFDLRFIVIVDRFPTDRSMEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMETRAASKHTEEAGALANFDNGDGVSPLSNTHPSSTATLPAAAVNNSIPASLRMVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFAVARFMVDNWTLCLRERYGSTPFLLGSFPASTFTKDLLPLVEAVVGFCLYSASALRRFSSYSSLEDEMNQVEAAAGVTEVIALSP >KN539545.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539545.1:1683:13633:1 gene:KN539545.1_FG012 transcript:KN539545.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYFYIVNLGAIPFKFSATTCLYRYSLSVHKTLAYLHYCLANGQQSGQYIQSKSQEDDLHFTRSKTLDFHTDRLQMQAAKRTHYLESSDIIVTGKRERGKGEEEEVRLPRFLEGEMAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQPVSGPLVPGRSNGVVAPVVRPVFMPPVHRKQDAHRAEPPPVAAQGRRRRSSESVDSAPQNEGFSDDDDSCSVSQESAHNFHGQRGGRTAAQEGRRAQVVTFGVTEDSRYESKEFDDVSEQYVAVTKKEKRGRTCSRCGKRKWESKESCIVCDARFCSYCVLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNSEEMASLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRVVSTNLTFNGKLQPNASNGNTQVYMNGKEITKIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPVTRFACALFSLPVPPANSDEPKDEAPYSARTVPDYLDQKRIQKLLILGSPGAGTSTIFKQAKLLYDTRFTQEELDSIKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSEDENTQHDGNKSNGSDSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWRDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDIIYAEGVTQGNGLAFIEFTLDDRSPMSEMYTDNHEPHSQTLNKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDQLGAPSSGGNSPLVNKMIQSRDLFEATISKKIFKVTSDRSREFDLFEEKIGRVPLSTCEWFSDFCPLRTHHNNQSLAHQAFYYVAMKFKELYAACTDRKLFSNIVEDEKWLACKIIIMRACDLCCLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEATYSLLISLSAKHGKGEQALGLYDEMKVKSIVPSNYTCASLLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRTFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDDMRVRNVKPSQFSYSALLRCHVAKEDVGAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFLYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFETASTSFPVGGSVYNAVVDALCKCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQGKLYSAISIYDRIISSGIPRSMQTFNIMISVYGQGGKLDKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNHLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMYLDHGYVDDGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDVLDAINMSGASFLRNLKVGSKLEQVRSDTHAS >KN538751.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538751.1:169981:171339:-1 gene:KN538751.1_FG011 transcript:KN538751.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDILPPRYHRCIQLHESASGVLNGADELRTIVASIRRHERLAMRNMVASINNFLDADDDLAHGGDEAPRRRISRLRVEFIATHYHDCNNRLVAKAVDTWGVEDLEVLGRTTYWRHHSQDAHIFPRHGLCNEPHRSRLRSLKLVDCVIPPLQGFQALSKLVLQDLRDSTPAAAYEAVFSSCLQLQEVHLKSCPCKRGSSVFVDAPRSMIRQLVLECCGVPGFELHALPMLESIVVMQTWVRYKLGSFPRLVRLNLKRDGLRHKLNFCLPANLDLKPHLGFTPDITDLVIRFTGYERWFRPSCPSLLLPKLTRILIADVPSSWDISWPRLLLEAAPCLERLHIHITPWEGETCNDILWQPSELQHKRLKELVIIGFEGTERQIYFVNFVIEVSMVLELVSLLRYGRVQEIGYWDWNIVRQQHRWCDEERAKILDQLADRISCSATPVQVFLE >KN538751.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538751.1:223501:227259:1 gene:KN538751.1_FG012 transcript:KN538751.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRRIAVDASMSIYQFLSFARYSKREDATKELTEAVEEGDKDAIEKFSKRTVKVTKQHNEECKRLLRLMGVPVVEAPCEAEAECAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIESILENINKDRYQIPEDWPYQEARRLFKEPNVTLDIPELKWNAPDEEAIEKIKSAKNKSSQGRLESFFKPVVSTSAPLKRKDTSEKPTKAVANKKTKGAGGKKK >KN539545.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539545.1:71931:72288:-1 gene:KN539545.1_FG013 transcript:KN539545.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYIAAGLLGSFAISYVCDHFIAEKKIFGGTTPHTVSDKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLES >KN539545.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539545.1:80676:83101:-1 gene:KN539545.1_FG014 transcript:KN539545.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCAVVGFLGVLSAALGFAAEGTRVKFLWKQGILVAKCVGVLDSVILALQRATFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSAKSPPNWGPQQNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP >KN538751.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538751.1:236005:236787:-1 gene:KN538751.1_FG013 transcript:KN538751.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLILCILLYANTENPKDPFENTVGRAIPGVYDDTSARSFEPDAFCGEPDLGDLS >KN539545.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539545.1:35004:38702:-1 gene:KN539545.1_FG015 transcript:KN539545.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAKEKAAVPKNGGNGGGGKNGGGNGGGNGGAGAQPGEETTREIQVVREAYRREPAAPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDTKYIVKGATCSIHEMSMYQRLTKHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGASIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRV >KN538751.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538751.1:301896:303119:-1 gene:KN538751.1_FG014 transcript:KN538751.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRRGPRGWARLPDEVLSELYRRIPCEIDRHYARRVCHSWRAALARLEPPAPHPQVPWLLLPETNEHGLTFSCVLSECRAHRFFLPSGARRARYFGSYDGAWLFLAVDGRGAQAQDHLLVNLNNFEYLDLPNAILLHNWYEPDKLDLKKVAIVAAALSRPPTERGCVVAGIIEPFLSAHRVAFWPMGDRVISPQPAWPLPLEEVEDILHYNFNRSGREYEAFLVLTTEENVLVCEPRFHGSSVQVLSNLVRFIPRGSDGQPVLARYLVESRGEVLMVVRLGSAIQYDPSAEEFRVFERRDFNDGKFNCIWNSMSELEGRMLFVGRGCSRSYEAADGPAMEGVYFLDDRSFRDPIFHDPYEQPIFRRANRCSDNGKWLEAPFIRLDRCLPERGPSKCSPPVWILP >KN538751.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538751.1:251265:257517:-1 gene:KN538751.1_FG015 transcript:KN538751.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILLVYYIKHDDSILVDLAYALTREGVSAFRFDFAGNGESEGQFQYGNYRREADDLRSVVSYFTEQKYNIIGLVGHSKGGNAVLLYASMYHDIPVIVNISGRFALERGIDGRLGKNFMQRIKKDGYIDVRNRKGEFEYQVTEESLKDRLSTDTLLSSRSISKCCRVLTIHGSKDEIVPVEDALMFAANIPNHELHIIAEANHRYTGHEKELKALVLDFIKSQPHLSSSLRPKLKCGDVGNFLAWGSIWYWFYSVRCKFLRMRDCIRSLDSAGISLSGEKCLRTEIEKESKNKVLSSLIKEHYRLALMNTKWHLDAHILTTFVVLDLYRSILKDAGTSADNKFSSIALTNFIAGAAAGCTTLVLIYPLDIAHTRLAADIGRTDTRQFRGICHFVQTIYNKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVMVPLDSPLWQRWVTAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYKVEGIKSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >KN538751.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538751.1:259393:265790:1 gene:KN538751.1_FG016 transcript:KN538751.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MGPSRSFQNLLLLLLLPLALALCCAAVSGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPKPLSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPTIELRSSDSTYLSLIENEFGSFGDDKNYLHYLVEVARRYLGNDIMLYTTDGGAIGNLKNGTIPQDDVFAAVDFDTGSNPWPIFQLQKEYNLPGKSAPLSSMHQLGSMGTCIMQNTKWYQAALRRVIHECTGIPLLQLPSEIERASYGLVEVQKVASLFDVIHNISDPLKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSSSILSIPKVFNSSFVPLVHDRAQVFVSCSHGDVRKPRYVGIIERWSSKTLQIPSLSCSSNASLYILVENMGRVNYGPYIFDQKGILSSVEIDGIILHHWKMYLVSLNAVGNLSKLQLIMQMTDAEASKVSIYGDSENKLQDVSLYLNEGISEEPAFYEGHFHIDSESEKKDTFISFRGWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVIVIFELHSPNPELTIKLVKDPDFTCGQ >KN538751.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538751.1:217335:221715:1 gene:KN538751.1_FG017 transcript:KN538751.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWSRSFRNPKPFFPSKTLSLSSRIEIAKPQAKPPEEGAIASTRHHVPGGGGGGVVDFEVLVGAAEAGNRRATSASSFPPDASLPGDANGRGLLLARQLSRGGGGEQRLWARGYAAKEVAFGVGARAALLQGVNDLADAVKVTMGPKGRNVIIERSHRAPKVTKDGVTVAKSIEFEDSAKNVGANLAKQVAEATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAISSITTHLKSKAWIINFSEEINQVATISANGEKEIGDLISKAMEKVGKDGVITITDGKTLDNELEAVQGMKLSRGYISPYFVTDQKTQKCEMENPLILIHDKKISTMNSLLPVLEMSIKNRRPLLIIAEDVEGEALSMLVLNKHRAGLKLKCKLLTFFKLELWIVDILVVSEDQGLDLGKVELQMLGTAKKVTVSLDDTIILDGGGDKQQIEERCQQLRESMDKSTAVFDKEKAQERLSKLSGGVAVLKIGGASEVEVGEKKDRVTDALHAARAAVEEGIVPGGGVALLYATKELDKIITANEDEKIGVQIIKNALKAPLMTIAANAGIDGGVVIGKLIEQDNLNLGYDAARGEYVDMIKAGIIDPVKVIRTALQDASSVSLLMTTTEAAVAEPPATKARMASRMPQMSGMDF >KN538751.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538751.1:185828:188108:-1 gene:KN538751.1_FG018 transcript:KN538751.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFKKIIKETKNGGRVQWTGERRVAEEAGEILGDRPVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMAVEISGESVGEVIVVQDMHERKAEMARRSKAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGRTPGADQS >KN538751.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538751.1:228909:230552:1 gene:KN538751.1_FG019 transcript:KN538751.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAACMKELSSSTPRRSVHRLAVEFIDTNSSNHINRLITTAVDAWGVEHLDVAAALLTSSSSAQLDHPAYRFPDGRISDDPHGSRLRTLELTRCRPPDLRGFDALTTLVLRGLPRTTRAAVYERAVASCPSLRALHLVSCRCRYSKVVIDAPLPDLRELVVDGGGDMSIRFLHGLPSLETLVAVQSSVELYRPDADAAPRLARATIAFRVGQMEGDPGLQPLQERFKRDAALSALGYLCRAINVTDLALRLTGPQMWVVPEGPFLEMPSLRRLLVADVPPRWDATWIRAVVEAAAPSLERLHVHFSQHYRQDDDDPAADRRRLEIMWVVPEGPFLEMPSLRRLLVADVPPRWDATWIRAVVEAAAPSLERLHVHFSQHYRQDDDDPAADRRRLEIVWENEPSRTQHCDNLEELVVIGFQSKKARQVQFVRYVMEEASMALRRVVLIKHGHVEDRGPGEWEMVSQKCTWSDEEKLAVKKQIMEGVCCSVEQVELVLE >KN538751.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538751.1:289349:290602:-1 gene:KN538751.1_FG020 transcript:KN538751.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQSPRPWPHLSDDLLYEIVRRIPCEVDRLHMSRACRSWRVALTKTEPPAPAPPPALPWLLLPEVDGEHGLTFSCALSGWRRTHPFFLPHAARRARYFGSYDGAWLFLAVDGHGPRGEDHVLVNLNNFEYIDLPNAIFHFDWIDPENVDIVAATLSGAPTEQGCIVAGIINSFLFHHQIAFWHMGDRLFSEAEQTVWLSPLEQVEDLLYLDEDFLFLTEEEHIRVCPELTIFHKSPERILWRFQPRWRPRRDEEEEQILARYLVESRGSLLMVVRLASGRRQNLPTSEFRVFQKEKFSNGEEEEEEEEEEDAFQFYEYYWSELEKLEGRMLFVGRGCSRSYEAADGHAGMEGVYFLDDRSFVEAAIGDAPKLPYRCSDNGKWSKSPSQSQGQVERCFPERGQPRSKYSPPVWILP >KN538751.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538751.1:190533:193221:-1 gene:KN538751.1_FG021 transcript:KN538751.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKSLVSLKAAPEGTTKGRRWTRLWRSSSSASASTTGGAGGGSATGDASESASSEADAFSSVVAAVVRAPPRDFRVIRQEWAAVRVQAAFRAFLEQWCDSQGSVSEVRSKIHMRHDAVAKRERAIAYALSHQPRSSKQSARPSSPARSLRNHESNRCNHDWSYIEGWMATKPWESRLMEQSHAELKCSKNSGELNLAGAKLSNTSSVKMRGNRVAAKPPSVLSASSSDFPCDVSSASTSSATPARSDGGHGEGPSYMSLTKSAKARQSCNSPFQIQRQRSGGMPSYKRVALSPLDVQSNAGSEFSVTSRKLNSLSLKGRSMTRSLDKENDSLF >KN538710.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538710.1:397064:398272:-1 gene:KN538710.1_FG001 transcript:KN538710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITRRGDDRVVSKFHGFHVAGAGMGIGAHAPMRALTDGRYENKSYVNTCNGVILLAMKKKTPSRSFILWNPAIADDEKKLTIPEGLQDNGEYYVAGLGYGRRSKTYKLLLCRLKCLSSKRPGGCRIFYHCAELVVYTLGAGDQPRTVLSGLDTKIKRQSLYLDGTIYLLDAEDSIVFAFDVDDETVTAIDLPGERSITKHASSKLMEMSGRVCVVTKDGRHTFSVWLLAAEDDHRWQRRCAIGESNIYYRSITAAWDHGDALLLLVNGSPYLYDITNERMTKTEMPIDVKPEEAAYTLCWGYKPTLVSPGSIVGDGDGDEEEGRRRRRVRDRTADIVAAVRPVREHDVRRGRKATLDVTCFMEMLVRIMRELPGGMQDVIDMPLLNASLDVRYRFSDDED >KN543448.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543448.1:7299:7517:-1 gene:KN543448.1_FG001 transcript:KN543448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPLRRPVHYLCSGAGRRCCYYCATSERSTAPARDQLLRTIRQKRPTWGFPWRCIITVPAAATVSEELKF >KN538710.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538710.1:359056:360657:-1 gene:KN538710.1_FG002 transcript:KN538710.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEEAAAAVEKLNSLDVMGRTIRVEFSKSFRKPAPPPPPGTILERHKLYVSNLPWKARAPNMKEFFSKFNPLSAKVVFDSPSGKSAGYGFVSFGTKEEAEAALTELDGKELMGRPVRLRWRQSVDDSDDSVKADGEIEDVNVDGEAEGVTDNGTKDHGEDKQE >KN538710.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538710.1:304724:306175:1 gene:KN538710.1_FG003 transcript:KN538710.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKAEQLVTAVYKVHVHCKQCANTIVTQFTQFPGVREVKLDGGKVTVKGIGFDAEKLRKKVEKGCRRRVELVPPPKDIVIEVKSKKEELKIITVRVPLHCAECAARVKEVLLEHKSIYAAKTDLGKNLCVVEGVIEEKKLFEYIYHRTRKYGFIEKVEKKEIIVEEKESG >KN538710.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538710.1:436424:437423:1 gene:KN538710.1_FG004 transcript:KN538710.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSHELETDVPAPELWKIYGTLRAAELLPELLPHILAKVELVTGDGGVGTIVRLTFPPGIPGLQSYKEKFIKVDNKNYVKEAEAVEGDILKLGFLSYMIRFEIIRKGPNTSVIRSTIEYEIGDEHPELQAMVSTASLAATAEKFVEYIKTQKVAQANT >KN538710.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538710.1:298712:301963:-1 gene:KN538710.1_FG005 transcript:KN538710.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTASSPMKPSDMSGAMYRTDPVVQGMQQQQPGSGGGATAVGGGELVKKKRGRPRKYGPDGNIGLGLKPAAAAGTEAGGPSGGAGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKGKEEHLKREPTSAPTPNHAAGFGAATAASPPSDGSSSDHSDDPGSPMGPNGSTFNNSGHPMHSSYAPVSWSLSGNQGRYDPDLKMMTD >KN538710.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538710.1:440011:440897:1 gene:KN538710.1_FG006 transcript:KN538710.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVCHELETELPAAEVWEVYGGFLVGQLLPQLVPEVFSKVELVEGDGGVGSVLHVVFAPGANRGEFMKEKFIKIDNENYIKEAEVIEGGFLDQGFKKYVVRIEIIGKTDNSSVLRSTIEFEAEDASKASSVSTGGLAAIAEVVTKYMREQRSSAEPEQVPRQTSDEETF >KN538710.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538710.1:430241:430624:-1 gene:KN538710.1_FG007 transcript:KN538710.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSSNVASFQEQMSALAHANYQPGYLRDVVPSFPGQDMAPQLNSTNNSTPSTAPVLRSSAEPADQCCNDAALVPESYPREVAPSVDHWKVQDFPSLEPLELPNLSTLESDLDPFWKEILESSFRS >KN538710.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538710.1:405147:407698:1 gene:KN538710.1_FG008 transcript:KN538710.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGHAEAKSNGKAEAKGTPPTPKSAKIARKPAVPKAPPRPSADKSQSPGSADRKKSASRITTPPEKQGKATKPAQESVAAKPSPQEPVAVKPSSQEQEQQALLAAVQEELVKAKEELVEKEKERGKVLDELERAKTAADEANAKLQEALAAQSKAAEESAAEESGDAEAEEASASMEDELRTKLASMQSQQEADMAALRSTVEQLEQARYELADAIDAKNAALTQADDAVRASDENAEKIKLLNAEVAHLKGLLDSEVGSSSKGAVERIRKLEEENSGLKLELEKANVAEQRAVELEGLVEQLEVEIADVKKARARSEELLGKWKTKALELEVRLEEADQSNILKGESLESAMKELDAKMTLLQEKESEIEALQDKVRSLEDEVAKQKEDFHTADKEADELRLEIEDLRLKLEAAEEDLNNDKIASSEMETLVEQKNMLAKELEASKAEVEKIKKAMEGQASALHEMSAQLRVAQEKYLDKQEEIDRARAQVEELNASLQNTKESYEVMLDEANYEKVCLKKSVERMEAETKSASEEWQSKELSFVNSIKKSEEEIINARAQMDKTLEAVKGKESENAELQEKLKHLEAQLMEANKTCEEAKAETFQWKEKLLDKENELQNIKQENDDLQAKELVASEKIKELSVLANAKDGATNGSHKEEGNVKGDSEDDEPVMVVAKMWENSKVTDDVSSKEKGNDGESEVDLESNTGDSIVDGNGLHSTTASNGNASPPKQQPQKKKPLLKKFGGLLKKKTQP >KN540114.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540114.1:44684:49290:-1 gene:KN540114.1_FG001 transcript:KN540114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWPPLVVGLALLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCEQQLVGAWIKRHARLSAIELEISNQIYSDVSKNNLNGEIPYQLPPNVVQLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEKESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSSHYYTDESGRRNSSVVNMKSLEHSPSMGCKTPPAVPRKSMSDNEFENKLNHSRRSTDPISLMNHSSSDLLAATGNFSSSRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYEDASENLGPGYSAPECSRPSAYVMKSDVYSFGVIMLELLTGQKPYDSSKPRTEQCLVKYVAPQLHDSDALGSLADPALRGLYPPKALSRFADCIALCVQADPEFRPSMSEVVQSLLRCVQRTISNRGMAGYLSNSQRSDISDW >KN538710.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538710.1:353622:354772:-1 gene:KN538710.1_FG009 transcript:KN538710.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPFDVAGTSADDSSGPVFSPLVIAIIGVLASAFLLVSYYTFISKYCGTSRSHESWNVSPPSGLDETLINKITVCKYRRGDGFVHTTDCSVCLGEFSDGESLRLLPRCSHAFHQQCIDTWLKSHSNCPLCRANITFIAVGVASPEPERCAPGETGGDNTHEVVVVMDGLENLCEEQQEAESRASTADDDHDAKDVAEGMEEANGAAEIREEGSPPKRGASSSDLHRDNRMRIADVLQESMEDELTAARESGLLAGGAGTSRRCHGENSKGRGGRSRRALQLQDAMETLPGKRLPSGGRSCFSSKSGRGKDSDHPM >KN538710.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538710.1:325656:325973:1 gene:KN538710.1_FG010 transcript:KN538710.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAVFLAGWRHYRYRVPEGSPLTPLVRVTSHGARAAGWFGKDLNSSRLDRWTSSTGFSPASASPTSSSTWSSPPDTRTRPSWPVARSSTTDIECAAAAAAAN >KN538710.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538710.1:389815:390222:-1 gene:KN538710.1_FG011 transcript:KN538710.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSALHGDAELGLGNGDGEANAAAEMVEAGQDVAELRRALFAGGVGKAAAALYLALFRPPAGLFLRSNPLFYSYYVVLVAVVLFGVAEAWVGLWASRDGRRRAVGVAMLWLSVIPALFLVGTGGSAILKLK >KN538710.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538710.1:401030:401407:1 gene:KN538710.1_FG012 transcript:KN538710.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPICKGDVRCPRGHLVFLIVITVVVLAAYTATSLVMDEDGKLHAMVHAINEQTIEPVGKLQHIQTECDLWTGAAVRVGRKKLEAVTLEVEHGIIAPSPPAQTVMTTTRLCPSTRCAYAPLGME >KN538710.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538710.1:408499:415854:-1 gene:KN538710.1_FG013 transcript:KN538710.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFATGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGSLFGQLRGVALVVFAASAGASSCFFLSKLIGKPLVFSLWPDKLMFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPASYVTVRAGIALGELTSLSDLYDTQSIALLFLIGIVSRKRRSIGPNIHQFVLEGLAKWAKTIPVYSSDFEMLLYIGESDGKFWLLKWCSSSALTIEAMKGYCPVEIRSSITWRHRNFRKVVLLTQNLLPSSGHGECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECDAKVRVISDNPSAVLLLSHILWKTLDRSISHDTCPLTIYVASSISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMIKGALSALTAPVLSARGGLPFPGWLLSFGASAVLLFAPVDVIKSLKLQDVLVSTDSGVVVSPKGSNVLFATKAREPNLFTRPTQVIIVSSDSTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSLLKEDNTPTYLINAKSSGKYIDGKEFVRLIEVLLSNNLPDRKSEDIREMSDIHMVSLRWNWSGGPLDRGGLRFSFISSSINKRSAGRLSRSLLQRSRSTETKHIAK >KN538710.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538710.1:420194:426195:-1 gene:KN538710.1_FG014 transcript:KN538710.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGLKKNYRCDRSLQQFYTGGPFAVGSSPGGGEGEVEGGEAEAEAFLACACGGEVRLVSAADASAIGEPIEGENEAVTALALSPDSRLLFTAGHSRLIRVWDLASRTCTRSWKGHDGPIRAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVTTVMFHKDPKRLLLFSGSEDATVRVWNLESKKCVAVLKEHFSAVTSLALSEDGQTLLSAGRDKIVNVWDVRKYNSKKTIPAFEMIEDVSFIGPGSSLLSCLGEVANIKHKTDGYFLTVGERGVVRIWCLESAHCIFEQQSSDVTINTENEESRRGFSSAVMLPDDQGLLCATADQQFLFYCPTRTDGGDFQLNLYKRLVGYNDEILDLKFVGEDEQYLAVATNLEQVRVYDAASMSCSYVLSGHTEIVVCIDTCISSSGKTLVVTGSKDSTVRLWDMEWRSCIGIGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWDDTLDDAGSEVPLKAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTVKIWAVADGSCLKTFEGHTSSVLRASFLSHGTQFVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGKKTEMLATGGTDAVLNLWHDCTMEDKQEDFRKKEEELLRGQELENAVSDYDYAKAIQLAFELRRPHRLLELFTQLCRESDLEDPIERALIGLPKEGLRVLLEYIREWNTKPKLCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDIDEGTTRDDANGSSVENREIAQAEPDALVAEENLQKSVKKRKSSKSSKKGGKKVKIASTGGSKDVPVEA >KN538710.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538710.1:370875:372052:-1 gene:KN538710.1_FG015 transcript:KN538710.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDRMRQGGGGECDGAENQRWPPWLKPLLATSFFGQCKLHADSHKSECNMYCLDCMNGALCSQCLAYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGDYRGRKRHAGGGIKKTKKLHKGAAAVPSDSDDSSTTTSGGSDKSSVVQSFTPSTPPATANSYRTGKRRKGVPHRSPFGSLMVEF >KN538710.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538710.1:328099:331365:1 gene:KN538710.1_FG016 transcript:KN538710.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKDTILVKRLFFHGFRFVREKGTVSQEVKGWILFEDSRIMLDSGSYDDVDYGDLFSIPNPPAPHLLNFPLEFFPSNGFNSSADDSYRSPAGMFGSTPSPTSTTTELENSEDLSESADDAVLAYINQFLLEDEEDESCPGTVTSVEDSALLAVEKPFVDILAASQEACQENSWIDSCCDFTGNGGLLDMLTNTHATCQPVPCEFEKEKGECAVHKGRKNPHDDCLLFEEESRRSKQLAVSEEETVREMFDKVLLCNGECELRAPLPAEARNCGVYVKGSGNKRGRKKGKSGASAEDDAVDLTTLLIHCAQAAAIDDHRNSNELLKQIRQRSSAYGDAGQRLAHCFANALEARLAGTGSNIYRSLAAKRTSVYDILNAFKLYVTACPFKKISNFFSIEAILNASKGVTRLHIVDYGIQYGFQWPIFFQRISKRPGGPPSVRITGVDLPQPGFRPAQLIEATGRRLHDYARMFNVPFEYHAIAAKWDTIRVEDLKIDKDKDELLVVNCLFRMRNMMDEMVTDDSPRMQVLKTIRNMNPNLFIHGVVNGTYNAPFFVTRFKEALFYYSSLFDMLETTASRVDENRLLIERDLFGREALNVVACEGTERVERPETYKQWQVRNIRAGFKQLPLNQETVKKARYKVKKSYHRDFLVDEDNKWMLQGWKGRIIFALSAWEPN >AMDW01013703.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013703.1:51:227:1 gene:AMDW01013703.1_FG001 transcript:AMDW01013703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLARFRSVCRSWERRISSPAFVESHHALAAPKLAFAPTAPPHRWNLFEDHGVRCRECPR >KN538710.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538710.1:394080:394481:-1 gene:KN538710.1_FG017 transcript:KN538710.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSAFHGDVELGLGNGDANAVTGMVEAEEDVARLRRALFAGGVGKAAAALYLALFRSPAGLFLRSNPLFYSYYVVLATVVIFRVAEAWVGLWASHDRRRRAVGMTMLWLSVLPLLFLAGIGGSAILKLK >KN540114.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540114.1:11611:32840:-1 gene:KN540114.1_FG002 transcript:KN540114.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPPRPCPAGVQQRQLDVDSAGNAKTSPEQKLELLDLQEEDDELIFLDADDEEGRQLREKLESLEREFCLLDEQRDDAMFQIHVLEETVRFREELVRRLTAVTPLVVAQLDEVVDEHHAVVTLGDGCERKMELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNTLPVSVAAVACGGFFTMALTSDGQLWSWGDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIDYIACGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEIQPLPLMLSFPRTSFGGQTAHLPNSKSSGYKHFVSISSGLPCFLAIRRQSPGYSSVHTASFSSQHNQLSTDRSGTVMAERELIDKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSAAGETDSISDTVSYTDIYRIAKDVVEGPSRNLLEAVAHRIASATLLKFPQISAVRVKVGKPHVALPILFAFLKSSCRRGVFFFTEASSWPETRMPPVNSDGAGPSSGEDGSAAAVKKRNRPKYHRFTQQELQACKPILIPQTVILVLVFVGLIFIPIGLACIAASNKVVELVDRYDTKCVPRNMLRNKVAFIQNSSIDKTCTRVFKVPKDMKKPIYIYYQLDKFYQNHRRYMKSLNDMQLRNPKKVADTQYCSPEATANGRPIVPCGLIAWSLFNDTYSFTRGHGKETLRVNKDGISWKSERNRRFGKNVYPKNFQNGTLIGGGQLNPSKPLSEQEDLIVWMRIAALPTFRKLYGRIDMDLQAGDRVEVTMQNNYNSYSFNGKKSLVLSTAGWLGGKNAFLGRAYAIVGLACFLLALLLALLYFVFPMSVSATAELNPQFFIPFMKCSWILMLEYMIQTWLLKEFFNTVSAVQSANLGVPGFRWDRQWVVVNSKGRAYTQRVEPKLALVEVEMPPEAFAEEWRPTVDSYLGILAFSLYYLIIYYHFFVRAPGMEPLKIPLSAEQAIIDDVSVWEWSGAAYDEGAEAAEWFSSYFGNPSRLVRFKEASEIRPTNPDYAQGYKIMFTDCFPFLMASQGSLDALNEVLKEPVPMNRFRPNILVDGCHPYSEDLWKTIKINKLTFQGVKLCNRCKVPTINQENGILGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSAKGKERIIKNKQYHLALKTVAHTIDIQ >KN538710.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538710.1:348015:351013:1 gene:KN538710.1_FG018 transcript:KN538710.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPGQLLKMVAGLQALRLTVLHLNVTALGSLALYSISVKNCVSLAAAVDAAPYLPWPNAFDQRFHGGKAIASLGPLGVFLLCALYLWYSTTVVEEGCGMATVDDIAAAVHHVLCIIDAEAASQMLLAGEASG >KN540114.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540114.1:50046:54751:-1 gene:KN540114.1_FG003 transcript:KN540114.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYSWGIVSDNYGRRQAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRTADAVQVLETMARLNHVALPSGHLMSGHRMELHELTDSSETSQLLSAKKTNPAAHSSKTEIGGRNAILKLLSPNLVRSSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTTQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVSVSYFPLETSGRKLSDHIAA >KN540114.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540114.1:61265:63532:1 gene:KN540114.1_FG004 transcript:KN540114.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSAAVQWWDEWQLRILVLCSLGIQWFLLLAAPMRKYTIPHWFRTFIWLAYISSDALAIYALATLFNRHANTTTAAKRHCVNGSVLEVLWAPVLLIHLGGQQEMSAYTIEDNELWRRHTVTLVSQVTVAIYAFYKSWPANGGDRRLLVSAVLLFVIGVLSFTEKPWALRRASINRLAAVSSMVQGRKEVSKWRYCFTELEKDKRDILNDRCCQKKEETPPPGVGTDVVKRSQQHILTDRDKEEVEGDLLGTLSPRAEKGSKRWLRRAFALIYTRANVVLTPAYLAYHILLAPFLHITAIVLFATSSKRHHNTIDVKITYVLLCLTAALDILAESIRQLLFKLMSMADVAALCETVPQYNNLIRSALQRTQPAGVLLKCAAHVGYTQGFFVCQRKNLYHMLAGLIFSDLVEANAKGLDFTSYRSFAPGRRNWVLNENLRKVCGPEVQGSLRGSFDRGVILWHIATDLCMRRMMAENTIDEIDRKFLECTEAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQQPHSLKKLGRDILAKKIIDKAKAEVNAAIDIERVSEQEREIRVDEPPPPKYPLVHDACRLAEELMDKMGRRTRCQVMYRVWVGMLFYSASMCRGYLHAKSLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEPEPEQEPEPKSGPYSPGQEIVQGEPTATVATTADEGEDLSFLLPHSPRS >KN540114.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540114.1:8331:10810:-1 gene:KN540114.1_FG005 transcript:KN540114.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGGSGEAAPEPAAAATVKSIVVYPIKSCRGISVPQAAITSTGASLLCLLPFLEIWEFSSIYLMDELGWAGLRWDRQWLVMNSAGRGFTQRVEPKLALIEVEMPQEAFTEEWQPTPDSHMVIRAPGLDPLKIPLGAKRATVDDVSVWEWSGSAYDEGDEAAKWFSSYFGKPTRLVRFNEASEIRETNPDYAQGYKVLFADAFPFLLASQGSVDALNSILKEPVPMNRFRPNIIVDGCHPYSEDLWKTIKIGKLTFLGVKLCDRCKVPTINQDNGIPGEEPTEALQALRSDEVLRPSHKNKRRVYFGQNLVCKESLSAKDEGRIIKMKFQHEQGGHNGNCSEVSNRSIYVRMDEILRCR >KN540114.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540114.1:57863:58135:-1 gene:KN540114.1_FG006 transcript:KN540114.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSEPWKLMKPAVERMASGLNSEEVEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLQSSINKHLASSPQPINIC >KN540114.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540114.1:950:8054:1 gene:KN540114.1_FG007 transcript:KN540114.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERESTEIEIFGMQGIPPDVLAAHYGEEEDPSSKVAKVEVPSLRPPVMPNPAGMVYPPRPAYGVAPPMYNPALNPLMARPPIWPAPPPQPWFTQPVVSVPQMASGLAPQQPLFPIQNMPAPMTSAPANLLQTSFPMAHVGVPSPVTPQVSQPLFPVSTSAGNGAVSSPYVASVAPGSIPTSSPSVAPAGVGYAATNQGTGGPAAVPPPASNNKAPATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQEFQVGIYIVLPARARVLRPDELLLDHYYYYHSSSSDPYYSTPILPPYGDAFSPPNPPPPPPPMSPSCLLPPIIPAPTFTYSSPPPPPLYYPPPPDISPSPPPSVTPLPPVVYPSPPEVTPSPPEIAPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEIVPSPPEIAPSPPTVTPMPPIIYPSPPEVTPSPPEITPYPSPPEVTPSPPEITPYPSPPEVVPSPPEITPYPSPPEVTPSPPEITPYPSPPEIVPSPPSYEPSPPSYGPSPPEYAPEPPVYAPYPPGIFPSPPEYSPEPPSYVPNPPHYWQRAKSNGATCDFGGTAMLITKDPRTSESFRLQRYFVLMLLRVFIAVDH >KN540114.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540114.1:33493:37062:-1 gene:KN540114.1_FG008 transcript:KN540114.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGVRELDELGLWESWSSGAGAGREVEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNVYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRIYAWEKVGIEQEYIGRMLLKYPWILSTCVLENYGQMLMFFQRRKISSTVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPIVTSSPQLLLRKPNEVMQIILFFKDMGLDKKTVAKILCRSPEIFASSVENTLKKKINFLIDFGVPKHYLPRIIRKYPELLLLDINRTMLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPSVLPYEEIHFCSAQALRRKIACTDRPLYVDVFLFQQGVFRVVPNVWNTDKQGGSEIDGG >KN540114.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540114.1:39923:43982:1 gene:KN540114.1_FG009 transcript:KN540114.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNAVTSKGKAVFELKHRLVQAINKIADRDTYQIGLDELEKAADTLPPDMVGPFLSCVVDTDAEQKSAVRKECIKVIGTLARSHGSLVAPHMTKMVTSIVKRLKDADSVVRDACVDTCGTLALCARDYGDGGAALVALVRPLFESLGEQNRYVQAGAASCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIELIRSIIQAEGASTEQSLTSALTSIMDALKSSDWTTRKAASIALSSIALSSGYLVASFRTSCLRSLERSKFDKVKPVRDAITQAIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDGRSINDGGSRDTSFRRVDPASSVSAISGNSITSSKKRSPLSINKIALNNAANQQRSKLSDWHVEISVPKQNMIPLVDFEEKVSGNGSMLKGSNRSPYEIVDNDSKYEYDPMDDKQDCSSMSEVASRSCETKHVTSAQECVEDCDSARDIEQFPRAQKSKSIDSTVTDITSHGTHTCCLSAMKELSHIRKQLQEMERKQANIFDMLQEFMSNSVENMSVLSMKVHNLENAVDKTVYTITQSESRYHLPGSKFIKNQSATSSPRVSTSTPRSSVDANYKPPPIPHLKQEKKWMQDQPSKGLNMGVKEGEFLKSHTHDKTRKPGVVRSENTLGRYVPSSARTRASGVKGNFPVSLTNSCEQPELQNALRASKEFDGNDDMESAYVEALNSGDCDYLIDVMDRTGPVLEKLSRETTSEVLRVIAGQFLNKKMFDLALPWLQQVADLSMIYKPSQLFVSVRAQKEFLALLEEAATSGSTEPAIRIAIAQLAFKLTKVCEVAPCREESFE >KN545327.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545327.1:83:1399:1 gene:KN545327.1_FG001 transcript:KN545327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQQEGQLFQSWYPDSFVEILGKDNVGEQQGAMFRYLKNMVLRYFGPESLKEGIIRDVERAVSSSLCTWSTLPAVELKEAVSTMVFDLAASKLLGLEPSRSKILRKSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQVLEERKQSTGLQRGGEAQQHGDFLDYVIQEITKEKPVMTEKMALDLMFVLLFASFHTTSLALTLAVKLLADHPLVLEELTVEHETILKDREAGSELDRITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIRFNGEPPTIC >KN541111.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541111.1:28034:29114:1 gene:KN541111.1_FG001 transcript:KN541111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTMGGGHHHKQNVQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLIIASERKRAP >AMDW01032411.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032411.1:30:449:-1 gene:AMDW01032411.1_FG001 transcript:AMDW01032411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACRALREPLTARLRQLCPPPSCIISDMVQWWTGDIARELGIPRLTFDGFCTFASLA >KN541477.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541477.1:873:5145:1 gene:KN541477.1_FG001 transcript:KN541477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MADGPNHQTQPWPGPSSRQPLDLHSTTRSPGEQQCDFAGPFSPGKRGRKNPNQPPILAMASRGGGARRTRPNVLVTGTPGTGKTTTCSLLADAVDLRHINIGDLVREKSLHDGWDEELECHIINEDLESIGLPQPSNPVCTVPQVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSRS >KN541477.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541477.1:16429:26747:1 gene:KN541477.1_FG002 transcript:KN541477.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 1 [Source:Projected from Arabidopsis thaliana (AT2G31320) UniProtKB/Swiss-Prot;Acc:Q9ZP54] MAAPPKAWKAEYAKSGRSSCKSCRSPIGKDQLRLGKMVQATQFDGFMPMWNHASCILRIDTLRWDDQEKIRNYVGSAPATASSAAAISDKCTIEVAKSARTSCRRKMFDDPEVLLVMHLANNVRVSSKLEGQGWYHASCFLEMSPAATVENFSGWEILSHEDKRAVLDLVKKDAPSSGQTSSKGSKRKNNQNDIHDCKAPKIIRSISEGTAQDKGKAVVSHDSNANSSDLQEKLKEQSDTLWKLKDELKKHVSTAELRNMLEANGQDTSGPERHLLDRCADGMLFGALGTCPVCSSFLYYHGGQYHCSGYVSEWSKCTYSTTEPVRSKKKWKIPDEMDNGYLTKWFKSQKAKKPERVLPPMSPEKSLCQSTQQNRSFLSEGLDKLRVSIVGQSKDVVDEWKQKLKDAGANFNATVTKDSSCLVLCSELESENAEVKKARRLKIPILREGYLGECIRKNRVLPFDLYKVEAALESSKGGTMTVKVKGRSAVHESSGLQDTGHILEDGKSIYNTTLNMSDLTREDNGSDCYVFRKWGRVGNEKIGGTKLEEMSKIDAIQEFRRLFLEKTGNPWEAWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDIDKMKSSLPPQLLELMNMLFNIETYRAAMLEFKINMSEMPLGKLSKENIQKGFEALTEIQNLLGNTNNQELAVRESLIVAASNRFFTLIPSIHPHVIQDEDDLMVKIYFLLSIVTLFRDPIDCNFGAMVQVKMLEALQDIEIASKLVGFDSDNDESLDDKYKKLRCAITPLPHDCEDYKLVEKYLLNTHAPTHKAYSTIQTIVSVFFCIGSRLTNYVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKNPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGKTVPLESEFAKWRDDVVVPCGKPVPASIKTSELMYNEYIVYNTSQVKMQYLLKVRFHHKR >AMDW01036439.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036439.1:21:343:1 gene:AMDW01036439.1_FG001 transcript:AMDW01036439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVLRASTLIIATFQLVCALFRLISEALMCQLMALREVMGPTSALGPQAAVS >AMDW01040605.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040605.1:77:1715:-1 gene:AMDW01040605.1_FG001 transcript:AMDW01040605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAPLLRRMLLLCAVAASCSYYLLVLHAQASVPPRYDGFAYGDAATAAWKDTILVEAFLDPLCPDSRDAWAPLRLAVDRYAPRVSLIVHPFPLPYHTNSFLACRALYIANKLNSSSTYPLLELFFKNQ >KN538709.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538709.1:364331:369421:1 gene:KN538709.1_FG001 transcript:KN538709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAQSLVSNVGTLVGQEFNQLRGVGVEVARLRNELATIHALLRMQSEADEAAVDHFAREWMKQLREVAYDAEDCVHLYHFRIRCRSCVWTNCKRLLTTLLARRQLAGDIRALRALASSINEQHCSYGFSLDSLRRSTADAAAASGAMAVNSSIVVVDADDDHDEFVDNNGQATELANKVSALREGVDDDKKRRVFSIVGFGGLGKTMLAREVCRRLETVFHFQAKVAVSQTFSGKDLQGLLKRVLRQITQPIVDRETVDDAEIGQQAAAASSQPKHIDQDVNIDTMDVDELATELKKRLDNKRYLILIDDVWSIAAWDAIRSKLPVTDSNCGSRIIVTTRIHTVAKACSAASDYIHHMKKLDDTESKQLFISKAFGSKSPCPDDLKYAMESILKKCSGLPLAIVSIASLLANYKPPEGKEMWETIKNSIGSQMENNPTLEGMRQILTLSYNHLPHHLKACMMYLSIFPEDYMIAKDRRLKRWISEGLIVEKRGLCQMDLAEGYFNELVSRNMVDMVISKATFNLHQGIREDQFRVHDMMLEILVSKSLEYNFVSLVGGQYEGMSYTDRTIRRLSIHGGVEAGKDSSSSSKKMAAHHSTGGDSIKGMMMQHVRSLSIFDPEAHNILSRLGEFTLLRVLDLEDCTGLTNKHMSCICRMYLLRFLSLRGTDVKVMPSRIGDLEHLQMLDVRQTQLKDLPKSVTKLEKLEHLLFFDQGDSGWMLPQGINKMKALRQLKKAAVVFDAKVAEEIGELGQLQELAIFVDTGKKMNNGVVKKLASSLSKMYSLRWLDIGNLDAGKWPFAPIMEFLHDIEPPPQLLRYLRICGHMDRLPDWVESLHDLVELQLRWTHVDGFQLFNVLCKLPNLKRLFLGSYFIHGNMVVHSSQHFRELKELILGYILVVVLFTNLRKDPCQMWRG >KN538709.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538709.1:335576:336049:-1 gene:KN538709.1_FG002 transcript:KN538709.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKENECQKYKPLPKVDDVAPNAGAGDAPKAGVVLPNGEDDGVPNAGVDGWPKAPADVAPNAGVDGWPKAPVDVEPNAGVDGWPKAPVDVVPKGEPPVCAPNVVVGLPNGFGANGLEVEVLACPNPVWAPKGLLEVWPKGLVDDCPNAARNKHMRSH >KN538709.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538709.1:306927:308657:-1 gene:KN538709.1_FG003 transcript:KN538709.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLAVQVSRRPVSGEDFAFATSDTDAAFLVLAHLPGYDKEEVEVVVGDGGREVGVVVGARKDDAFAVEAAVVGRRLRVAHRQVVEGFCRVFDVPPGVEVGRITVGFEEDDELLVVIMPKFRPAPAVSGGEEGRRLDVESADSECGSSDVEDFDVESGPEERQDDDVAVETEVELDDEDEDEISNLELDISA >KN538709.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538709.1:433654:437057:-1 gene:KN538709.1_FG004 transcript:KN538709.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRKMRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTHVKLPGPSLHEPNVYDFGTPYNVIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDVIFSFEERVFDLIVDDMHNREQRMLKNALIINMDVKDNHEEAGVGAKLALDLCQKPSLLDQHMLDGLGWALYVSNTKLESSLEGADEDWEEIIDDLIITFEKQHKRKLTYNMAFY >KN538709.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538709.1:297986:302682:-1 gene:KN538709.1_FG005 transcript:KN538709.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVASFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKSNIVASGGLGGEVFIWDLDSSLAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPITAKGHKDSVYALAMSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVNGWKAEAHEPLKLFQKGSSFLAGNLSFSRARASLEGSAPTHF >KN538709.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538709.1:438942:445176:1 gene:KN538709.1_FG006 transcript:KN538709.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAAATSSSTGGRGGARAGGALPGRSMVRSLVVLMLSCGYCRGFRIRMHNTTRGMNARDYYDVLGVNKDASASDIKKAYYLLAKKFHPDTNKEDADAEKKFQEVQHAYEVLKDDDKRETYDQLGAEAYERQASGGGPDDFSGSHPFGDIFGDMFDNPFAMRGGRDVKVPIELSFMEAVQGCRKTIAYEADVFCDTCNGSGVPPGTVPQTCKACRGAGVIFMQRGIMSIETTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKIREDPVFRREGNHVHVDTIISIAQAVLGGTVTVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKARNSPAYGNQYIHFNVRVPTYDTFSN >KN538709.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538709.1:412039:418739:1 gene:KN538709.1_FG007 transcript:KN538709.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTQAPGLSTPSMLGNPDGGAPAVATDQSAPCATGGEALVGEGRLRKGKMVAEDQSPPSVSGGAPADLPPGGDKLAENGGKEEEDVAQEVRAPEARIPRLPPEEALLPPPRQGFTVRIDLKIDFVMPMKSFPKDDASKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRRLSGSIPETSVPNEHGFDFQDGVGLGRLDVFQDAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESHMIPTGCIPEACTSVGAAKYGRPIGLDEKIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGSISDSTMIVTTVHDKQLVNDIPVEKLLVHDVPVDIICTPTQVIFTNTTIVKPQDFWGTSTCRDLLGKVISREIGPNPNSTGAEETHRE >KN538709.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538709.1:387674:388364:1 gene:KN538709.1_FG008 transcript:KN538709.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALKGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGGVVAEAGEVSKWKLALFGAALGAGGMVLLGLVLVAVLSIPRRKSEVAEMARRAYEEEALRVSMFSETHPFRSTGGLVLASR >KN538709.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538709.1:327047:332642:1 gene:KN538709.1_FG009 transcript:KN538709.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGTPSSSPFGSSTPAFGASPAPAFGATSSTFGSGQTVCNQVCDLYMTSSVEEFRGLNFVKDKESKKANICISCKFSHSRSSLFGQKPSFGGFGSSPSQSSAFGGPFKQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGTTTPAFGSTSTSVFGASSGPAFGSTGFGSSTTPGFGSSGTTAFGAGSTPGFGASSSGMPTNAFNFGCSPSFGQTKPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSISSVFNSISSNTAPASSSPFAPTISNPFLPQTNSSQFVNSASSPSLFSTINQNPFSTSGTNSQSVGLVGSSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPGVTGGLLGIGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGTLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVPRHLSQRRIKLLPRKYNPISDDKVPFFADDEESPATPKADAFFIPRENPRNLVIRRIDQWPSSSTMDRQQIPKNSADIDEHKGSLAEREFNKIAISPTRSTSIENGIHRDDRASNEPDTETRHGNGTSVERFVPKLVHADYYTEPSLEELAARERAEPGYCSRVRDFAVGRRGYGCIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPLVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAINGEWKFRVKHFSSYGFGEAEIDSC >KN538709.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538709.1:309721:310808:1 gene:KN538709.1_FG010 transcript:KN538709.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCWLPWLIILLPCLTCGGSSGADVDPTAGFAAVELTGDMFKLHKPYDLPPEQRYEFRDGVRRMWVLCSDRPFSPGSTTKPRSEILLNKTYTSGVWQFEAYGYVPSGTTGVSILQMKLLFGCQSDFSKDNAHFIM >KN538709.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538709.1:345056:346551:-1 gene:KN538709.1_FG011 transcript:KN538709.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAIADGGNNPTAGFAKVELTGGDFQVQRPYDVPESRRFRYRDGVRTFWVYDSDKPFNTATHTNPRTEVRLRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNEEGAEHATILMLHVYDGVLRFYDGPAIESNIYDRWFRLNVVHDVKASTVAVYIDGKQKFSTNVIPSESYYFKFGVYMQHRDWSNCMESQWTNVTVYTKSY >KN538709.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538709.1:380409:380756:1 gene:KN538709.1_FG012 transcript:KN538709.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAKYKKTTSSWIMLRIGQVGVSSGRWQLQVCCVRPEVSDAERRFLLPLLEVRSDRPCPKEYILIVVDRAALVVAINADKPDETVVARAHGTALLLYLLPMLKARRVPDRASLQ >KN538709.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538709.1:391751:392393:-1 gene:KN538709.1_FG013 transcript:KN538709.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSVAVVLVVVSAQALAAVADGARVHAGAAAFSPAVPLGGRLDGGGLVECWNAVVELRSCTDEIVLFFLNGETTRLGPGCCRAYIRSVHPITAFDFRVSPRNEIRSYVQTFLRIFSAHVYC >KN538709.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538709.1:393803:408427:-1 gene:KN538709.1_FG014 transcript:KN538709.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGDVAAAAEVAAARWGGKGCLKRPGGGGGSGPPKKVKFIRLEAEEAAAAAVAEDAGMEGAATEEKVVGVVVGKGCMKRSGGGSEGFGAAKTVTFALEEEEEEMEARGRGRRGRRPRVMWSPVAPKTRGRQKAGEMVTDSEAGSGGGGKHHRGARVGGDAAAEEGEPAADAPRRRSRRNAVNSSDADGAEGDGVKVGEAVDNKRKQKQVAGDVGEEITVSVQDGVSGRSTRSSRLLTAITVVQSPVVEKKRGRKRKGDVNEQICLEVQEHCPEVQDDGRKLRSGSMSVTTVSPPVVECRRSRRKVEDPIVEKAAKVNVSTRSTRSSSVVAAIASPIVLENKRQKKERVHLDVEPPTVPVVQANVAPSTRSLRNRVVQVKDNVMMETEVCKKLENKRQSCRPSTQYQTSAFVEEEDEQMVCDPSMGPKLRRSSRRQSVANELLLVNSISESNNVSRAQTDAKGLKTAQPLMHNAAKTSMKNVVVRKVENAGLTNRNTGRGRDKIAKSVGKVSLSESSGEALPTEMQLEVIEPLRRSRRKSVVSSLLEEGTKCMYGSVRGDVLVKQPTEKRPVRRSTRKSVVSAMLDKESNGLTTEMMPEAHIRRSKRKSFLPNMLNDEKMDDCEMVRNEELKHSKDSDPGKKLAIKEPARRSTRKSVVPAMFDRETKSLAAEMNPEVHVRRSTRKSVVPNMPNNENKYHDELARTVVGVAAAKQLEAKEPVTSNEGGFKLGKRRRTSMEMSCSSGNNTKVSDRQKSRKQQKVQTPASEGTCEKESRTDALQEIISFEESNADADDMVVRESTQDGDEGCHEYCKDSSASTQEVNWDNATVEETRREQWVNSEVHGSDSSDAAHEYVDFRTESIIHLSGISSEELGQSSSITQLVSCAGISSENKVLLDDAKANLDAAVAQTLNANSNEEVLGDLDNPAAIAEELSSATALPLLDAEDHTDKNEIITLPEQLLGALSKLSSVDQLPPCTSDADALVIVNDSHACNVANWTAVKGTEDIQNVDIALSDDGFEASKSMTVAEEVLSSAVATVLELGTLAEHDFERTCMKNGDASLSLFDSGSPGNEIDNGSFKALEFELNYLPTVNDERGKQAEEGGFTDVASQQFHDTTVAAVEYDLSCQNDIHDVKTCVNSHKCPEVHSDAASEKSNQGDDLCKNLSTVKGESPLASNFHVEDAAEHGSMLQIEINAEWGSSDGMDSSFGLKSLFAEEGNQQHYLIDDGNVAAEVDSGNKLSDERHSSLGLKSLFAEEGNQQHNLIDVQHIAAKVDSGSKSPDGRHSSFGLKSLFAEEGNQQCNLVDGENIAVKVDSGSNSSDGRHSSFGLNSLFAEESNQQCNLIHDENIAVKVDTGNKSIGFKTSTFYTRVDCGLEDAAAQLIGEGDNALDADQGAAYDKIMLSPLNDIGACSSYGRNPSIGQISLYAQERGGSNVTNGAFIAAETDGKKDLDNIIVGLHMESDGIHIEMDVGLVSDNPENKLALEPVQQGEGEEGVHSENGVDESNIDDQTPVPEPVEDHGAHVDSILVTKSGEGNGNEESSKASCEEQVECGQLGLFKDANCTETTNCQGIGYEGEVCNRVHSTDISTLCEKSDDNGSSKDAKGNSDALLSSSVIVPANDNDVHVSSNISQLESTDCLDEPTLFFNMGVHQGPNEKCNKRMEDQVPCGVSTIDISVPVTANGLESGLTLLPANETSNLQDDQLNSELESTQVGQSGISCAENSTNILELGTVNVVDKGSPCDHSLPKDCPMDHYQRHEGLNDIPADKSLEASDMYLGNSVFRIEGILEKPAINLATPDCKLQGTLLEFSLKNNAEAPNSKHSPFGLQSLFSEENMDGSRPQDNAGFPCAENKVDESNSSHGKCRVEKPVSAEPARCEGSHDNLGIVKEIGTCVSSCQQVNEQEEFSEASHNKRWVAPIQLDLADDVNQTEREITSSELVCEKEEKMEVMSSDIDTPVRESHGSELSTHASPVSRPQICDPRSSQIFDDAHPSSNPSQLELPDVFHQDHEVLCSERNDQILQGIPSSPFSEAVLIKFPENETMLLEAAETSELLDEKLNPQPGCDELAGHNLSSVKDTEDSSDTEFMRYSIFRFPADGQIDSCQEMELPNDQSATKAREESAFSEGESVVGTCETNEQRCQVDCKEENNKHKADQLTPCIPTFDMSGAASTKGSEGGITLLPDAKLSVFTDVQLNFKLDGEHNLSGGKDTGNIFDNRSVDDSYHEQELLNDLSAPKSLEEPCNACMDSCNGQTIPEDTPGPKSPEDYQDDSVSGSVGDMFEPSSTERAEQETTLVSPAEMLVFKFGQHNNPKLVSVGGHNHNSDEDSADMFCTEPVASNNQHEQELPNDVSAPVSLKESTICQEDISVHTESCPGKSLPVLEDISAPKSPEERAIQQDDSVPRSAVLCQTSGRRRIDEISTKLLSFKISSTVKPSHIAMNAPSTKQVDNLSESAIALLRNRENTLAIKTDHPVKPNPDRSVAKNSSRRPLQPIGRRPEGH >KN538709.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538709.1:336988:341010:1 gene:KN538709.1_FG015 transcript:KN538709.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDTTIRKFAGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPAFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNTSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTAGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIHCDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAINGEWKFRVKHFSSYGFGEAEIVSC >KN538709.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538709.1:311156:312637:-1 gene:KN538709.1_FG016 transcript:KN538709.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLEDEFLALLDDPRVPKATTFDQVQHDVDRCVLPAAVDAGAGVGESAPPYPPETVDRLRSMADAMVTAGYMTECTQMFLVARRNASDASLRALGYEKASIDDVVKMTWEALEAEIATWTKAFRHTINVGLSTEHDLCARVFAGRHAAVGRGMFADLARCVMLHMLNFTEAVTMTKRAAEKLFKVLDMYEATRDASPVIDAFLTADDGNNSTALTDLKHELNSVRSRLGDFAAAIFRELESSIRADAGKQPVPGGAVHPLTRYVMNYLKYACEYNSTLEQVFREHGAHAATCGDGENPFAAQLMEVMELLHGNLEGKSRLYKDPSLSNIFLMNNGRYMLQKIRGSPETNAMLGEAWARKQSTNLRQYHKNYQRETWSRVLGLLRDDGVLTVKGSVQKPVLKDRFKQFNAAMDEIQKTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQTFSAGRQSEKYVKLSADDVEAIIDELFDGNATSMTRRRT >KN538709.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538709.1:348769:357664:1 gene:KN538709.1_FG017 transcript:KN538709.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTSSCACLRGILQECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPVLHVYPAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENAEFISSSVADWKALQEALVLLKFLGTSEQQKLIELKPFTNVIILNHFYFLVATSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMMKSAFIELQDEAACALNCLDKCRDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIIDAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLDDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKKSSQRLPNFTATCMRSLEVMIQLEGSGNWPLDPIAMEKTKSAFLLKMGESLEDRGMFVAASEDEVNVLTSGYSFLLKIFHERGLLSQKRDGDGKAPNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRFLRLLSSFDWTFSPMIIDINNDFNLKDEKEINENFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTRHSPSKPVLKRMASYAKSSAELLTNLIIQGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHQVLFPAETPNGKLVICGKPSKDFHPYMPLNKGVVKSLHDAREKILVNFDPTTYFLRDLKSAFPKTFKLWYDSIGGDAIGLTWENSKKRGRDEADETMLDPASILKEVGNVGKGLSKTVVSMALIGHAGKGSTSGNVLIRSTEIRVGHLPDFTSMSVYASLACKAYDTGPTRCEDKVVASWSLSPSIVVTVAVLEGRQKQISRQVHHPRRSGDAAVASRLTTERIMRRPCS >KN538709.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538709.1:315148:317260:-1 gene:KN538709.1_FG018 transcript:KN538709.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MESKILAIRDFLEDPDQSEDELVSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSGGDGGSSVLTDGDSPDKIQGRSYQNAQVSDFKYSPSPQRHNGLSSERSSNNNGIESMIEKRRTSPAPTYHNKQQNNSIGYSTTSSSAPVRTVREQKDNLMDLEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNAFIRKGGGGLPGKHR >AMDW01027797.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027797.1:75:335:-1 gene:AMDW01027797.1_FG001 transcript:AMDW01027797.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNPSRLCVEGASNGGLLVAACINQ >KN542786.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542786.1:8087:8401:-1 gene:KN542786.1_FG001 transcript:KN542786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLQGTLDVGFITTANKLYTKTISAGDVFVFPRGLLHFQKNNGDTPAAVISAFNSQLPGTQSLAMTLFAASPEVPDGVLTKAFQVGTKEVEKIKSRLAPKKR >KN541896.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541896.1:122:3681:1 gene:KN541896.1_FG001 transcript:KN541896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PAAALDEALSFRLKLNSVIGEALPDYLKDMICTMLKDHCQSIQVHNSQSLQDFVISLLKLLHDESVGSPIQSDENAENNQTYNATAMESDHAEVHNSTDHIDVEDDHVLDANAPNQFASRAVQNEQQTSPCCNHDVDSYVPLENDLPDNVPLAGNFDDAGDFNCASGYLPSMNTANASTSVVDDGIAESFGDGQPLVTPDVGYAKNFKNSSNERFSASAIATAAAAVKHVAIKFKSRLPQFNGSENVDRAVDMFKPSYKNLFPQDNVCDSVANKSFDMDKETDGNVTPSSSQAGISFHSVEDTPEELIQIKHNREGTASTPNSGIIKKRVFEDLENSPDVIIVGESKFHDRCNNMTAQSDLIYNASILPTSTAHHASSSGGKIPPHGPRRVLAPAKYTSDPFVQLHRRFPISDVENRYYIAVCRLADSSKWHSYDAVNIDNVKANFYTFGHSLKKSGHVSPSVMAVFCRVMFQNNHPSKSKKNYFFPSIGEQLVVDPSFADDEKVQKSFEGATKARRLDLCDMHWFLFIVDVKDRMFVFLDSEHEEHSEFYENLKTFVVDNFQNFWNKFVGSSLDFSVFKIVFPPVPRQDYESDSGVFVMKFMEIWSPRILLPNEFSKQNINNIRVKYVNQIFFHAKNKMLHTEIEDAVLNWFNPEKFARQ >KN541896.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541896.1:12026:14640:1 gene:KN541896.1_FG002 transcript:KN541896.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSMAELEVAVGGSGGFAEGFHLGRGDRVCKSGSRSQGLHFGSFDLVPGECSSGLIEDDPHASSSTLAGGAPMADPKLAGLVKPKHCSEDSQPKFGRTLEDLINHSWAKKQAAWIWVPKGVRVLESTLGFPARGDEIRRFGDRARKIHKISPKFVDSRSFAEMVEGSAMDRQWRGQPRFTNKRRQEEREVENREVREMRREEEDLRIKLRREEENLCMKLHRDLEKKKGVMLKSGKEQRQQTQNRWEGQRGESHNRTDPVRRSSEHREGKDYREEEQVSKNCYKCGKEGHHQAYCINPHLCYACKKTGHISLHCPELTKGVAERKVFHCLHIEVQEELKENRPVAGLLTIESGLCSVPKVVAEMKYLYEKFSKWDWKVKQVEQKKYVVEFPTKEARRELTRLKGFDFQLSNARANVRDTERTIDAFAELQEVWVKALGVPPLARSEKVMMKIAHLIGDLMEVDVISLNRKAMRVKVLCRDPVKIGGTSEIFLNKVGYRITWNPEVSKSSYPNVPDDSKPNNSKEDRWRREDADSQDSHEELSKDKPEEDKQKTEQSQREGSSYKKHKSNEDDSELNDLGEEGEKVDIPEYIPDFSDDLEDRESQREELREFRTEGSTEVGKNSKDNEFSQEKLPCEKEQPAAEVNLALMVLSSTEEVDGRNATDIDEGLTDKMAENDLEVTLLDQMEEDTKVLEEITGEAKDQDQKEEGFIVSASKKKKKQQRVVIAKRQSSRIIRDGFPVATKAQRRTSVKNDISGINSFAIFNTVEDDTLASIAKDAGTNLGNSEEEVIENIGTIRAKEEAQSILCLAKEKKAREDLVEKLPCTNEIEGCVSPQKLAAGRGPAKKGGGTKHKTKS >KN541896.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541896.1:3935:11383:-1 gene:KN541896.1_FG003 transcript:KN541896.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSVIIIAALIYTYTSLYTNAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGTSEVSKEQKISKISKGTTAAPESAKRKITTVAGQDKALIESYNKEIVDKHNTSNESDLVQKEMEKYQMQNSNHYGYPLYDELALWQQDNMSYTGLMEQIINSQPSSSDMQNSMILTTSRTSSEDIQWDFTTTAGDNYYQPSEFEYSMQSAVNTTRGDNTSADIIMQGDQNGDSDDSENEQNPVDPWSLDIFSMAANDKEQTTMESLNNEPEQNIEKSTNEVAESDENEELTEEDINNFLDQEQHEAMQGNNTRDQSNYHTTSKKRNGEVTRVTFKCNRFGKENSKKKKQQTEDTVVSKRNSNQVILTDSLSEGTNSRFKQDVGPQYSITSFLTKYAIVMDTIQNLEQQDDHESRTKRPTRLWSHYYIELQAVKLYNAKIFKKFQVQLKKTTRLQLNEIDKFKTYEVFIALNQTIEVYRRRKYLVMIDLEKEDFSCICAMFQKDGLLCSHILKVMLHINLSSIPDKYIIQRWRKKEVTSKMKSGNHIIPVSDCSTLRFNILSRRSAEFASKGAKTTESYEFMMQQFDMLDEAWKNRSPEETRQQQSSTENQNNANSASEVDHVEVENTAVNELQILDPEIAKSKGRPQQRYKTIREQIEEKHVNHCSHCGRTDHTFPSCPLKHIEFNLAKKKKRKTKNQVEQEKIEDNMLKKSKTPSKKKTEEALDQQEETKQRRKAKSKSSISTKT >AMDW01141265.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141265.1:164:343:-1 gene:AMDW01141265.1_FG001 transcript:AMDW01141265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDRLVGEMQRQMERLVWENRELEEKLGMALKESKAMEEILDEMEEEHDDAFARITLLETQ >AMDW01036268.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036268.1:229:610:1 gene:AMDW01036268.1_FG001 transcript:AMDW01036268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMT >AMDW01033266.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033266.1:1:430:1 gene:AMDW01033266.1_FG001 transcript:AMDW01033266.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPVQQQEQPPPPPHQQHGYIPLPEDYRSLFITFSRGYPIRQDDIINFFNSLYGPCVESVMVEKAAAGQLPVYGRVVLRCPSMIPVVLDGQQTAKYMIKGRHLWARIYVPSSKPN >AMDW01036256.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036256.1:25:379:1 gene:AMDW01036256.1_FG001 transcript:AMDW01036256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITINRPNRRNAFRPLTVKELMRAFEDARDDSSIGVIILTGKGTQSFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVIRITLTFAHTD >AMDW01040301.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040301.1:207:797:1 gene:AMDW01040301.1_FG001 transcript:AMDW01040301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVN >KN540657.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540657.1:12968:18539:-1 gene:KN540657.1_FG001 transcript:KN540657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPGYVEGRLVGENTSLINMGQEAGIRRHLENPEFLPSSYDIAWVAMVPLPSSDHLQAPCFPQCVEWILKNQHSNGSWGVNEFDSSASKDILLSTLACIIALEKWNVGSEQIRRGLHFIAKNFSIVIDDQIAAPIGFNLTFPAMVNLAIKMGLEFPAREISIDQILHLREMELKRLAGEESLGKEAYFAYIAEGLEESMVDWSEVMKFQGKNGSLFNSPAATAAALVHRYDDKALGYLYSVVNKFGGEVPTVYPLNIFSQLSMVDTLVNIGLSRHFSGDIKRILDKTYILWSQRDEEVMLDLPTCAMAFRLLRMNGYDVSSDDLSHVAEASTFHNSVEGYLDDTKSLLELYKASKVSLSENEPILEKMGCWSGSLLKEKLCSDAIRGTPILREVEYALKFPFYATLEPLDHKWNIENFDARAYQKIKTKNMPCRVNEDLLALAAEDFSFCQSTYQNEIQHLERWDQYHKVEFYSENVKAVFFALYSTVNQLGAMASAVQNRDVTKYNVESWLDYLRSLATDAEWQRSKYVPTMEEYMKNSIVTFALGPTILIALYFMGQNLWEDIVKNAEYDELFRLMNTCGRLQNDIQSFERECKDGKLNSVSLLVLDSKDVMSVEEAKEAINESISSCRRELLRLVVREDGAIPKSCREMFWNLYKTSHVFYSQADGFSSPKEMMGAMNGVIFEPLKTRGN >KN540657.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540657.1:42910:44520:1 gene:KN540657.1_FG002 transcript:KN540657.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSAATLTLVSLLTLPILLALLTRKSSSKKRRPPGPWNLPLVGGLLHLLRSHPQVALRELAGKYGPVMFLRMGQIDTVVVSSPAAAQEVLRDKDVVFASRPSLLVSEIFCYDNLDVGFAPYGAYWRMLRKLCTVELLSTKVVRQLAPVRNDETLTLVRNIEAASGHGDGGGKKPVTLARLLTACTNTITAKAAFGQACGVELQEQFLTALDVGLKFSGGFCFGDLFPSLRFIDAMTGLRSRLWRARGQLDSVFDKIIAQCEEHQGDSLVNVLLRIRDQGDLEFPFGTTNIKAIILDMFTGGTETTSSAAEWVMSELMRNPEVMAKVQAEVRRVFDNKSPQDHEGLIDNLRYMKMVIKETMRLNPVLPLLMPHLCRETCDIGGYEVVEGTRVVINSWAMARSPEYWDDAEEFKPERFEDGTADYKGSRFEYLPFGTGRRRCPGDTFGMVLLELIVARLLYYFDWSLPAGMQPDDVDMDFVVTATTRRKNHLQLVASPYKLAPIQI >AMDW01015746.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015746.1:79:141:-1 gene:AMDW01015746.1_FG001 transcript:AMDW01015746.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFSAFGFVQKIATFEKASGYQ >KN538878.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538878.1:130417:131485:1 gene:KN538878.1_FG001 transcript:KN538878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSTAWLTPPRDGGLACRPLTHRTSSSSGSPSCCLIFDPAVSSHYEVFMIPSLQCKKHRLGVDLKQEDRAVEWPSSPYPVSVFSSSTGRWEERRFLREGEPADDFFSDAWISGRCSLTAERAVYRRGVLYVMFFNTDFVVRFSLMDNKHQVIKPPMKPTTLYGYDYYSYIGRSRKGVYLASFTRDLYLQVWILDESCSPMKWQLKHEKDLRDALHGCIK >KN538878.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538878.1:167298:167591:-1 gene:KN538878.1_FG002 transcript:KN538878.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIHSPNILSRTRDGDGQAGCDVRIRILCLLGASLFIQARKKRNCYITQMRCFLLLMDRHQADDDRNRRWSGPATLIATFNHQRGVEQCSSRDGPL >KN538878.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538878.1:111713:115792:-1 gene:KN538878.1_FG003 transcript:KN538878.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKPDDVEATQAQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGRRMKSVLVFFFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >KN538878.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538878.1:136728:137785:1 gene:KN538878.1_FG004 transcript:KN538878.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIYAAYLVFDPAASPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSMTGLWQERSFTRQGQAAGTIADMRSDWHSDQRNGVYWRGALYVHCQTNFVVRISLNDGKYQVIKPPEYSDCYLDFYLGRSEKGVYLALSRDKCLKVWILDETCSKMKWELKHYKDIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQKKVECEQAALEKFEWISDDENVLDNEDRVTGGYYGYINIIGFHPYKEIIFLAESLRRGLAYHLSSSKVEDIGNLYPTNFDYELANERFITASFPYTPCFT >KN543393.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543393.1:3507:3782:1 gene:KN543393.1_FG001 transcript:KN543393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYMLLLFLILRGTLHAASTTTAGDGLGSGSFSRMFSFGDSATDTGNAATINPNSSSNMLPYGETFFGHPTGRYSDGRITVDFLGKRTS >KN538878.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538878.1:153356:157251:1 gene:KN538878.1_FG005 transcript:KN538878.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKYGDVRRIRREITFLTDELSSMNALLLKLADMEELDPQLKEWRNKVRELAYDVEDCIDAFAHQHHHRLGRGGADPGGLIRRAARSMKKLRASYRAADQIHELRARIMEVSDRRLRYKLDEAASAAPPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVIAIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYDKLEVEQLIPILRKHLADKRYLIIIDDIWRIQAWDLVKSALPDNSCQSRIITTTRISTVAESCCSTLKDRIYYIEPLNEVESRELFFKRIFATEHGCPPHLEEVSNEILKKCGGLPLAILSIASSLANKPDIKEQWEMVKKSIGFALEGTPTLEGMNKILLFSYYDLPTHLKACLLYLSIFPEDYVIASDKLVWRWMSEGLIVGEMGQNLEQAGQIYFNELINRSMIEPVGVRYDGKVLACRVHDMVLDMIISLSAQENFVTILHGHEDKFAGEKIRRLSLRCNRPDVEVTQVTSKKFAQARSISLFGYKEMLDLQGFQALRVLDLGQTVLFKQVKNIGKCYQLKYLDLSDTDIVELPEEIGNVQSLETLDLRNCRRLTLPSTISGLRKLVRLLVDYTATLPEEISGLVALQVLSCASYNSVKFMRALGQLTELRSLAFKCWNPDWYFDAGMYKEVSVASLRELGKHKLQYLDISDDDAILDALMCSSSESDCPFPHLQKLVLSNHNIQRIPRWIGSLVNLSHLEIAVKTTRQNDLGTLGNLPCLLYLKICRIPLPQGDEPVESQQLIVPNRGFRCLKELCFQCWCPLGLEFAPGAMPWVQTFRLWFMPCWKSCDHGVSIGLGVEHLLELKLVDVETGNGCGKREVKSFEAAIAAVVANHPRRPALVLRRSGERTAVRKENWTAVETNMNKSLFDSSTVRQSLQKESRDSSTVKQRLQKQSRFQT >KN538878.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538878.1:108356:109438:1 gene:KN538878.1_FG006 transcript:KN538878.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLREIILSQKTSSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEIQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPNNFKNNLIPKYPELFSVRDVKGLDHLCLESWDSSLAVTAREEKLNFEGFQMDYRGIPKDGNIVGPFAFRLKYPAGFRPNRNYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMNRRLTSDKLEIFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSNLVEKCPLLKFHDRFASLIGRTCSDSNDLLKA >KN538878.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538878.1:193310:194713:1 gene:KN538878.1_FG007 transcript:KN538878.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGNDCLDSDDEEDEEEDEEEDEGEEGEGEGDEEEEEEGGEEGEGDEDDEEEGDEEEDEEEGEEEAEDEEDEAGADEEDGSKVANGSKGSSGSAQPNKRKRDNEDDANGDN >KN538878.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538878.1:204098:204796:-1 gene:KN538878.1_FG008 transcript:KN538878.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNGNASAAAAADSAVQEPPHKIAKVAPLLKVKKLSENAVLPSRGSALAAGYDLSSAAEVVVPARGKAMVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDTDFAVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV >KN538878.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538878.1:143793:146044:1 gene:KN538878.1_FG009 transcript:KN538878.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALLDDVLAEVLRRLAPRCLAACRCVCKPWRDLVDGRRLLLLRAEELLPRPLAGIFLNFFGLFNSEFFTRRPSTAIAAAISGDLDFLPASNTYGSREYQIQDHCNGLLLIEDAEYVANPATRWWARLPPCPPPPPPREGMDYSHVPYLVFDPAMSPQHYEVLLIPSFRWKPGPNDYLYDKLRGEVDPVLEASTWPPASYAIPVFSSRTGLWQERSFAREGGEEAASTVAEMRSSWSSGQRMNAVYWRRALYVHFQTNFVTRISLNDNKYQVIKPPEFSDNKYSDFYLGKSKKGVYLAFCIDQCLKVWILDETCSKMKWELKHDKDMRHILLGCNNRQGIGSWILQDINYRKDSYTYEDDNMEELDQKKVECEPNKEAALEKFEWISDDENVLDNEDRVTGAYHEYIDIIGFHPYKEIIFLSESLKRGLAYHLSSSKVEDIGYSSIFILSAALLCTCMGTCFYLSTIAEILQLRESPTKLKLRNFRNSRILQGLRINKFDSQEISWKILQSEKRL >KN538878.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538878.1:118646:122750:-1 gene:KN538878.1_FG010 transcript:KN538878.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSFGVHRAESSHQHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPSPPNDEKTISPAAVDSKFTVQSSPDNGQKGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPSE >KN538878.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538878.1:106495:106626:-1 gene:KN538878.1_FG011 transcript:KN538878.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKVTTAMKFSADGASRAQACQVTPDQLRTILGGVGDRDRG >KN538878.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538878.1:163282:164028:1 gene:KN538878.1_FG012 transcript:KN538878.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARHVEPATPQARKRAVAVMHEILSLTMERRLTSDKLEVFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSKLIEKCPLLKFHDQFASLIGRTFSDSNDVLPA >KN538878.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538878.1:195824:201930:-1 gene:KN538878.1_FG013 transcript:KN538878.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQDKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEEERNAPVSRKSKSFNKSRRR >KN538878.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538878.1:126654:127046:1 gene:KN538878.1_FG014 transcript:KN538878.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTEEEAAEKLIVELIEHQKDNMVKGEQITALQATENQLLAEKAQLGHKLQESCRWLRVYWRDQFGSQLDRYAWRCLSES >KN539859.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539859.1:53426:56039:1 gene:KN539859.1_FG001 transcript:KN539859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAVEESKEEESNKSNVLTIGSIRSTLMKHEDTIIFGLLERSQFCYNPDTYDPNASRIVGFNGSLVEFMVKKIEKVHAMLGRYKSPDEHPFFPENLLEVVEPSVEYENNKLTVTVCVSKIMSSAKTVLFDTEPYKIGTCVHTTQVLHPAAANININKRIWDVYFGDLLPRLVKEGSDGTLQEDSLWQDSDKLMELLTFAKVEDDVRARVMSKAVTFGQVVSEDPETEIKLKIEPELAVELYDKWIMPLTKEVQVQYLFKRLD >KN539859.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539859.1:20043:22470:-1 gene:KN539859.1_FG002 transcript:KN539859.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKGAAVASPAYEATATSSSSASAASAYSLTINGGGNGGASMIQIGQGTYSSVFRARNVETGRMVALKKVRFDSGEPESVRFMAREILILRRLHRHPNVVSLDGLITSRSSPNLYLVFDYSDHDLAGLSSDPSLSFSLPEIKCYMRQLLLGLEHCHARGVMHRDIKCANLLQPYESRLRETFGGMMGDDAFALLSKLLSVEPSARGTATEALASEYFRTEPYACEPSSLPKYAPNKEMNAKLREDSRRRVNVGRNHGGGEATKRLNGGADEAEKQEAMKQWAQVADAFTSSESYNNRFKEPTATATAGAGAATKEVKSSKVSSPSDHFHHCRNPSSPAISLTIFPPLAEAQGRRREVAQGGILRAVAVAAAAHRGAPPEPRAADPASRPPLVVPQR >KN539859.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539859.1:59583:61760:1 gene:KN539859.1_FG003 transcript:KN539859.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVVKEVIIVSTPESSKSTSGDFPVNSLENVDVSSVPSDLKRKEKSVPHYLRASTGSCHDSCKFGAHHSPEPKKYWPVRRRRHQDRANAGCGKQGQDEIQTQKGRSRNKDLELKICLVKDGNVHDKPEFIEVKKPPIEMASDNSETSPCVQDQLSSEASEHVEAGTLPCDDEKCLIPDDNVACFVDGESSEGAVSIELEMPLAIQDSDASDDHIADAVLPPEIVYKAGEQLLVDDMCDDGSGNECAGSEKRSTQIVMASEKREKSGHGTKSKSLYNVSVKPKAKETSTATRSNASSQKIVRTSNRKASGTAIESSNGSKVVRATKLNRDKKFRSTVASDVPKVKEIKVTSPAAVMDRSSKPTRQSKLKSLVAKDAPSPSVNSEKQTDRKMTVMNVAKNARVWQKKEEEKISPVKLSRSINLSSKSLLSIKMRAVKKEKPASLVKSNKKVYGAENAVADVKEKNLKSASPKVRKVEVSKKESRSQKGSSKPEVVILRHQDVRDKKKNEQGLLNNVIEETASKLVETRKSKVKALVGAFETVISLQESKVAPVTAAALS >KN539859.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539859.1:69609:74020:-1 gene:KN539859.1_FG004 transcript:KN539859.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISSSGIGIGGGNGGGGGGVGSGLLDLMKLKAAARHFSYRTVFHTVLILAFLLPFVFILTAFVTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRVMRDLYRMLDEINSEEVPVDLKVPDSFDEFIWDMKNNDYDLRSFAFRLKATMESMDRELRTSRLSEQLNKHYAAIAIPKGLHCLSLRLTDEYSSNALARKQLPPPELIPRLSDNSYLHFVLASDNILAASVVVSSTIRSSLKPGRIVFHVITDKKTYPAMHSWFALNTLSPAIVEVKGVHQFDWLTRENVPVLEAIETQHTVRSRFHGNHLARNSRGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPNLNKVVFLDDDVVVQRDLSSLWDIDLGGKVNGAVETCRGGDTWVMSKKFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLSAWRKTSIKDKYHHWVRENLNSNFTLWRLGTLPPGLIAFRGHVHPIDPSWHLLGLGYQEKTDIPSVQKAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIRNCHIMEPQL >KN539859.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539859.1:14947:18286:1 gene:KN539859.1_FG005 transcript:KN539859.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNMLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGITCRDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDDD >KN539859.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539859.1:65067:68485:1 gene:KN539859.1_FG006 transcript:KN539859.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGEESMRLWFLIPLLLCLPTLIQSEDYSDVTIVVRGAETIASTSDEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTLNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFVDTGAVVTFGLNALQGRQQMGRGAWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAMTHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYRQVSLYFALPQSFFTTLYTFCTKSRYMFSALLWHRLMGKGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDSSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >KN539859.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539859.1:7286:7474:1 gene:KN539859.1_FG007 transcript:KN539859.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDPGDDLREAFRVFDKDQKRASSPPLSPAMSWTMHRERFTDKEVSKMIREADSGRQINYK >KN539859.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539859.1:26721:29303:-1 gene:KN539859.1_FG008 transcript:KN539859.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVESKTYLPGYFTMADSSVNSNGNWLSYHEESKPSGHVSDSFTITTANASPDYDKEMLKRTMLVHEATFRKQVYELHRLYKTQKDLMAQFQREECNGYPRSADMLQARSYSSQATSRDVKRVWQVMPPTSGNDIKQSSINFAKGSEYGRNGAPLMNNNNGRSTKKMLDLQLPADAYADDDDDDDDDVEILEEKPAKILPRINGSVVGGIVKLNVGNSEGSSHMEKSWIAGLHPQHVSTVNVLNKAVEESSSMKMPDFLGVGTSTSQSQRYSSGRVNLNHLSLEDTMKEKRIGEASGSNFFGANEEVKRNSSFNNKTDYQNVSMGWFKQEPNGINFSAVHYLPRCNPFNQLIDAPTSSNAAVKSPWQSSNTSYTANGYYGSVYTPFAQNGFFNGFSVDSINTPMATNHYHNQRSSKFPGEPQYQKHSPLHGVNLNDTPQDVTAIQEQGSENSPVDISWLRKDPVDLMKSQVQPSCANGQSQISLGSTVYSEGSTRVLGFPINAAAERNTEPLIKREADMEMHKKDDANARNLIDLNAAPSMDEPDIDVHQSEGGTVPQQPDDPSEDSLARTAAESLVALCKDVFQAGSPLADILHWFADLAIASKEDAVVCSSESDSDDEFEALTLQLEETKVYELYSTPKTPVEHKSNEDHGSVAASLLQTKPRRGRARKRPQKKDFQKDILPNLASLSKHEVSEDLHTLGRSTPSKRGGRNGSQSRGRRRARSVAIAVEEVEVSPPPAPAPPPPPPADLDADALGITGWGRTTRRCRRPRCPPANNASLRLA >KN539859.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539859.1:46088:47623:-1 gene:KN539859.1_FG009 transcript:KN539859.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g29230 [Source:Projected from Arabidopsis thaliana (AT3G29230) UniProtKB/Swiss-Prot;Acc:Q9LS72] MLRAYALGGAPRDALAAFSAMPRRDSFTYSFLIKALSAAGVVPVRAVHSHVVKLGSVEDTFVGNALIDAYSKNGGFSDARKVFDEMPERDVVSWNTAMAAMVREGELAGAMKLFDEMPEKDTVSWNTILDGYTKAGEVEAAFELFQRMPERNVVSWSTMVSGYCKKGDLEMARVIFDKMPSKNLVTWTIMVSACAQKGLVDEAGKLFAQMKEASVELDVAAVVSILAACAESGSLSLGKRIHRYVRKRNLGRSTHVCNALIDMFCKCGCVNRADYVFDTETVQKDSVSWNSIIGGFAMHGHGDKALELFAQMKQQGFNPDAVTMINVLSACTHMGFVDEGRRYFFNMERDYGIVPQIEHYGCMIDLLGRGGLIKEAVDLIKSMPWEPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARMQMKGTGSQKTAGSSWVELNETFHEFTVGDRKHQDSEQISEMVDRLSSHVKHVGCVPAGHELLVQ >KN543465.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543465.1:317:2395:1 gene:KN543465.1_FG001 transcript:KN543465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTIACLEQEKSSLLQFLAGLSHDNGIAMSWRNGIDCCAWEGITCSEDGAVIEVYLVSKGLEGQISPSLGELRSLLYLNLSYNLLSGGLPEELMSSGSIIVLDVSFNRLDGDLQELNSSVSDWPLQVLNISSNRFTGEFPSTTWEKMRSLVAINASNNSFTGQIPSSFCTGLPSFAVLDVSYNQFSGSIPPGIGKCTALKMLKAGHNNISGALPDDLFHATSLECLSFPNNDLQGTIDGALMIKLSNLVFLDLAWNRFSGTIPDSIGKLKRLQEFHMNNNNISGELPSSLGDCTNVVTINLENNKLAGELSKVNFSNLHNLQALGLSSNYFTGTIPDSIYSCSNLTWLRLSRNKLQGQLTGKLESLKSLTFVSLSYNNFTNITGSLHILKSLRNLTALLIGSNFIHEAMPEDETIDGFENLHCLAINNCALTGKIPSWLSKLKKLELLLLHNNQLSGPIPTWINSLNFLKYIDLSNNSLIGEIPTALMEMPMLKSDKIEDHPDGPRVSPFTIYVGVSLCFQYRATSAFPKMLNLGNNKLSGLVPVEIGQLKALLSLNLSFNNLYGEIPQSISDIKNLMGLDLSSNHLTGAIPSALVSLHFLSEFNVSYNDLQGPVPIGGQFSTFPSSSFAGNPKLCSPMLVQHCNLAEAAPTSPISTKQYIDKVVFAIGFSVFFGVGVLYDQTIISRYFG >KN543465.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543465.1:4734:7135:-1 gene:KN543465.1_FG002 transcript:KN543465.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DSSLTMSWRNNTDCCTWDGIICSMDGAVTELLLSSRGLEGQISPSLGELTSLSRLDLSYNSLSGGIPLELMSSSSIIVLDVCFNRLGGEVQELNSSVSDWPLQVLNISSNRFTGDFPSTTWEKMRNLVVINASNNSFTGYIPSSFCISSPSFTVLDLSYNRFSGNVPPGIGNCSALKMFKAGYNNISGTLPDELFNAISLEYLSFPNNGLQGRIDGTHLIKLKNLAIVDLRWNQLTGKIPDSIGQLKRLEELHLGSNMMSGELPGKLGSCTNQTVIDLKHNNFYGDLGKVDFSTLHNLRTLDLYLNNFTGTIPVLKSCRTMTTLLIGRNFRGEIMPQDENIDGFGNLQVLDIGGCLLSGNMPQWISRLKNLEMLILSVNRLTGPIPGEISPIIGQLEVLVLDFSFNNLSGKIPQSICNLTNLQVLHLSNNRLTDAIPPGLSNLHFLSAFNVSNNDLEGPIPTGGQFDTFPDSSFRGNPKICSPIVARRCNSTEEALTSPISTKQYIDKTVFAIAFGVSFGVGVQGLLSGSTVSNPSKMNTPRENLTGQLMICQRDSVNAVYAPKSRKLEGTEVDWK >KN538718.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538718.1:317074:317340:-1 gene:KN538718.1_FG001 transcript:KN538718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIFSVHRGRVPVLVGEGKEMERTVIHMEELHHPYFFVLLELSAMEFGHEQEGVLRIPCSIEQFQAIVEHIRSSMLKVKMACLLSRC >KN538718.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538718.1:366330:367283:1 gene:KN538718.1_FG002 transcript:KN538718.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSGRVSVPCLSMEQRDESAADKPALFSISDKKAIDGDIPGLTNSNAWFTPQGWILLRQSTATFLQNPQDPQDKIHLPHMPEGLSTRCSCQLSGKPSLPGCIVLLVEPVATNIWYCRIGDDEEWTRYEYDIGTQPLDPPMDGKDHEKVPICEIAACRGKFYFNCFFESIGVLEFTPAPVFSTIEIVDPIPGGLGVIGAADVFLVESEDELYMICLRLDHDFAIYDMTVHRMDFLSLQWRRAYEIGGRAFFLAPLYFGASCSADEYGLEKDSVYVSYALDKCFEVSKVEDDATEVHELIDAPDSRRGMWILPVENK >KN538718.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538718.1:285580:287778:-1 gene:KN538718.1_FG003 transcript:KN538718.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MAADYRSALLFYLKMWAHPSAPLPDSHTFPYVVKSCAALGAIALGRLVHRTARTLGLDGDMFVGSALIKMYANGGLLWDARQVFDGMAERDCVLWNVMMDGYVKAGSVSSAVELFGDMRASGCEPNFATLACFLSVSATESDLFFGVQLHTLAVKYGLESEVAVANTLVSMYAKCKCLDDGWKLFGLMPRDDLVTWNGMISGCVQNGLVDQALLLFCDMQKSGIRPDSVTLVSLLPALTDLNGFNQGKELHGYIVRNCVHMDVFLVSALVDIYFKCRAVRMAQSVYDSSKAIDVVIGSTMISGYVLNGMSQEAVKMFRYLLEQGIRPNAVAIASVLPACASMAAMKLGQELHSYALKNAYEGRCYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALNLFREMCMEGVKYSNVTISSVLSACASLPAIYYGKEIHGVIIKGPIRADLFAESALIDMYGKCGNLEWAHRVFESMPEKNEVSWNSIIASYGAYGLVKESVSLLRHMQEEGFKADHVTFLALISACAHAGQVQEGLRLFRCMTEEYQIAPRMEHFACMVDLYSRAGKLDKAMQLIVDMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMKDTKVQKIPGYSWVDVNNTSHLFVATDKSHPDSEDIYMSLKSLLLELREEGYIPMPDLCCPTHLDNSTQVQQQ >KN538718.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538718.1:262771:263845:-1 gene:KN538718.1_FG004 transcript:KN538718.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSKLFYPSMAEALEAEAKAKKKKKNGTNKIGSIGRLPTPSIHYQPHVSATSNPYNFTNVFALFGENKNKILFSDIEGHSSTYNTELHSFMIMPDLNSPKEPNCLAAHITRTAAHARYDFDIRPDVDYDFFAYNPHCEHTDSLYLMDMDQGKPSSFELLAYYPVGEWQWCSLPLPPFFDDPEHKACNNISYAVIDASSSPSDLCALDLSTAAMDSCGVLPMVHHVGLDADLPEGWSLKNRTLVNLGMGRFCIAMFFHTADDGPQVIVFTGVDVVPCGDNRQGGQALHRIKHKSKCLVTDRIEHVL >KN538718.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538718.1:346533:346964:1 gene:KN538718.1_FG005 transcript:KN538718.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSGSSTLATLLAITSCPARASQPVGPGGEVMHQHEVVWPASGRHPDGAGMESEGVGELERGAEDGVWVGRGAADPARELPHGAGGGLLAAADEAEVAVEPAGLVTEPQFPDLEVEQLGDEGQERDRGYRRCDCALDLRG >KN538718.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538718.1:369021:371540:1 gene:KN538718.1_FG006 transcript:KN538718.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKTSRVPVPCLALKHGADSDKPALFSISEKKAIDAYIPGMTNSNAWPTQQGWILIRDDAATFLQNPQDPRRKDSAAAPTGSLAQQMCLRALRQADDPRLHRAPRRARRHHHTRLDPPMNGKDYEKVQICSIAACQGKFFFNARYHSISVLEFTPEPAFSSIAITDPMDFVGAACIFLVESESELYMVCQLLEYDFKTVYDVTVYKMDFSKHQWCIAEDIGGRVIGGAYVYLVESEDELYMVCLRLDHDFTIYDMTVHRMDFLSHQWRRVYEIGGRAFFLAPFYFGASCSADEYGLEKDSVYASYALDKCFEVSKVEDDATEVHELIDAPDSKRGMWILPVEKK >KN538718.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538718.1:258960:259100:-1 gene:KN538718.1_FG007 transcript:KN538718.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSLAVAMVAVVLLLGATTQAARLLDELVPGIPVPTIPGVPA >KN538718.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538718.1:347925:348191:1 gene:KN538718.1_FG008 transcript:KN538718.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGLVTGLILVMLAAVLTDASIGLLVRFSRAVGATSYDEAMGDAFGAFDRGLLQLCVVVNNVGVMVVYMIIIGMVSPFHLSMHCLA >KN538718.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538718.1:327702:328640:1 gene:KN538718.1_FG009 transcript:KN538718.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPVPCLALQQQQQHGPESVKTTLFNIFEGQDIACDIDALTNNSSKFWATPQGWILARDNTSLSTFLFSPQNPDEKVQMPHLPDDLPRTCTCLLSDKPTLPGCIVLLVEPNANVIWHCGVDGTKWARHEYDIGTQLFDPVSDLNEKVPICPIAACRGKFYFNSESLADIGVLEFSPTPVFSSLELGGELEAADRAKVFLVGSEEELYMVSLVYGFGCDMIDGETQVHKMDFSEQRWCRADDLGGRAFLLAPGYFGASCSADDCGLEADCVYMFYPGDKACLKISNLKDGGVEFMEVPAARRALWVLPTYP >KN538718.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538718.1:338347:340913:1 gene:KN538718.1_FG010 transcript:KN538718.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNAWPTLQGWILIRDNTTTVLQNPQDPDGKIQLPHLPEVLHSRCACVLSSKPTIPGCVVLLVEPEDTVIWYCHVGGENEEWARHEYDIGTQSLLPLVDGKGHEKAPITSIAACQGKFYFNGRFNSIRVLEFTPEPTLSFIAITEPLDFWGAASVFLVELKNSINEICLRAIDDEDAMCDEAEARKLKFCLDTQKANGTCGIPGLNV >KN538718.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538718.1:373020:376665:1 gene:KN538718.1_FG011 transcript:KN538718.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSGRVSVPCLSMEQRDESADKPALFSISDKKAIIDEGLSTRCSCQLSGKPSLPSCIVLLVEPVATNIWYCRIGDDEEWSRHDYDIGTQPLDPPMDGKDHKKVPICEIAACRGKFYFNCFFESIGVLEFTPTPVFSTIEIVDPIPGGLGVIGAADVFLVESEDELYMICLRLDHDFAIYDMTVHRMDFLSLQWRRAYEIGGRAFFLAPLYFGASCSADEYGLEKDSVYVSYALDKCFEVSKVEDDATETSRVPVPCLALKHGADSDKPALFSISEKKAIDAYIPGMTNSNAWPTQRGWILIRDDATTFLQNPQDPDEKIQLPHLPEVLHSRCACVLSDKPTIPGCIVLLVEPEDTIIWYSHVGEDEEWVRHEYDIGTQRLDPPMNGKDYEKVQICSIAACQGKFYFNARFHNISVLEFTPEPAFSSIAITDPMDFVGAACIFLVESESELYMVCQLLEYDFKTVYDVTVYKMDFSKHQWCIAEDIGGRTFLIAPCYFGASRSADECGLEKDCVYAIFARDKYFEVSKVEDGETEEYDLIEAPNSEIGMWILPITG >KN538718.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538718.1:385711:386235:-1 gene:KN538718.1_FG012 transcript:KN538718.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding GSRRRTCSPRRRVALREARLDGARKEIAFLYCAFFAFHAASILLLFLSASASTSAACRRSWIPCLVSLLSSLAMLWALRYKADTEAVLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGGGGERPKRWAARDLAVFLLFGAACGVLVLTRYLLCN >KN538718.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538718.1:282753:283432:1 gene:KN538718.1_FG013 transcript:KN538718.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVLGRIQAICRLSGHRQLQLFRGEGLRRLSPSSYQSFSSSACGQVDTTIKDQYRFDRFSDPQVAHEYRQFIEFLDRMLDAIRNPQSLARIQREKLPKDLKILDDDI >KN538718.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538718.1:266376:269012:1 gene:KN538718.1_FG014 transcript:KN538718.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPPLWPTLRYEKMSEHSESATEKIMSSIMDTIAENLPKQKSGKFDVGAASDKMKEKLFGRQKTIHRVLGGGKPADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIISFALVLGMVAQFVWSNFSNALSGSPSKVPRVELPEELFVNIAVAVGTQVNKFISFLQDVSCERNLKHFVLAIVGLWAAAVIGGWCNFLTVIYIGFVSAHTLPVLYEKYEDQVDDFLYNILGLLRDQYQKLDQGVLSKIPKGNMKFKKSE >KN538718.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538718.1:298745:310700:-1 gene:KN538718.1_FG015 transcript:KN538718.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSEAAMAAAMASLGAAAVVHCNTEAHLQASIVRAAKSRRLPFVSSVPFFSPASTPSLSDFAGHDYGLVTERGDSLSKLVGVAVAADTSSRQAPLPVSEYMRPAPRSVSASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLITVNDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVVDSSQGNSIYQIDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVASGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHELLRSETVRLEARISVVAAGDWSLSSFLATATTCFDIHDPCVAWITSQASLSSMILLNCRHNLISNHPSFSYTPYLTGWAPSSVAPGWNRGERYLIRFQKLQVPDRPMAKRTVQINPTPQPRHSWCRLPVGPTSTGCWVPPKSRASPPTEASGGIKTAKKTISNSHPSKQPRLLRFTTLLVVSGSGSGFRRAAARSRAAKEGETMREILHIQGGQCGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTCKFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEELQSRFVRRLWWSVAEGLKSVLSALLELNHLLGKMVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWFAVPTIWLPVVCWLLVKSIRMGHTIQEVGLMTLFGIFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFGTLPPSKTTGKNN >KN538718.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538718.1:318840:319811:1 gene:KN538718.1_FG016 transcript:KN538718.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPFPCLALYEDADTLKPTFFDIFEETEIECGIDSLKNNTKKLWSTPQRWILVRDDAAAATFLQNPRDSGDKIHLPHLPEDLPSKSTCVLSGEPTIPGCVALLVEPFATVIWYLHVGEEDGEWTRHEYDIGTQPLDPPIDGEDHEKVPICSIAACRGKFYFNGGLSDIGVLEFSPSSSAAASPAFSSLELAGEFEVVHRARVFLVESGEDLYMVMLVYHSFRCDKTDYETRVYRMDFSEQPPRWRAAGDLAGGAFLLSPWYFGATCPAAELGLHEDCVYAFVPGDDEVPTCLKMSSVKDGWDDFVDVPAAHRALWILPTNP >KN538718.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538718.1:333169:334116:1 gene:KN538718.1_FG017 transcript:KN538718.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSRVPCLALYVKHGAGSDKPALFSISEKKAINNNIPGLTNTNSWVTPQGWILVRDTVSTATFLQNPHDSTDKIQLPHLSQDVHSSSTCLLSCKPTIHGCVVLLVEPVGTIIWYCHIGDDEKWVEHEYDIGTQPLDPPLDGKDHEKVPICSIAACQGKFYFNGDFESIGVLEFSPSPTFSSITITDPIIGGLGVMGMANVYLVESLDELYMVCQMYDSDMETIYDVTVYRMDFLKQQWCVAEDIGGRAFLIASCYFGASCSADEYGLEKDCVYAIFARDKYFEVSKVEDGETEEHELIEAPDSKGGTWILPVE >KN538718.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538718.1:330809:331765:1 gene:KN538718.1_FG018 transcript:KN538718.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MENASRVVGVPVPCLSMEQREKSADKPALFSISDKKAIIDGDIPGLTNANAWFTPQGWILLRLSTATFLQNPQDPQDKIHLPHLPDGLSTRCSCQLSGKPSLPGCIVLVVEPVAAVIWHCRIGDDEWTRHEYDIGTLPFDPPIDGKDHDDVVICQIAACQGKFYFNSFFDTIGVLEFTPTPVFSSIEIVDTIPGGLGVTGAAHVYLVESEDELYMVCLRIDCEFTIYDMTVHKMDFLSRQWRRADEIGGRAFFLAPLYFGASCSADEYGLEKDSVYVSYAVDKCFEVSKVEDDKTEVHKLIDAPDSKRGMWILPVEKK >KN538718.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538718.1:359719:364902:1 gene:KN538718.1_FG019 transcript:KN538718.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVPLPCIALKHGADSDKPALFSISEKTAIDAEIPGMTNSNAWPTPRGWILIRDDTTTFLQNPQDPDGKIQLPHLPEVVHRRCACVLSGKPTIPGCVLLLVEPEDTIIWYCHIGEDEEWARHEYDIGTQPLLPLVDGKGHEKVPITSIAACQGKFYFNGRFNNISVLEFTPEPTFSFIAITEPLDFWGAASVFLVESEGELYMVSQLFDYNLEYICDVTVHKMDFSKHQWCTAEDIGGRQGSVSVEDELPEVEPSVSAFGVERGSRLVLAKAHGRIKALLPINGRSTGSKKKKKKNGTNKIGSIGRLPTPSIHYQPYTWSASNPYSSLCALALFGERSKNKILCTDMAGHTSIYNPELRSFMRMPDLNSPKRYNSCVAVSIPGASAHAMSNFDIDTDHSLYIMDIDPTYWCSSEVLAYDPVEECWCWGPLPQPPFFSISTKICVSTTTATYSFDMVTRDWNKVGDWVLPFKAEYVPELGHCLGMSDGGPFDMCMLDSLSTAAGSPPPVVRHFGMEFELPENWSQVYSDLVNLGSLRFCIVNGYTIENERYECDFNPVTVFTGVEPSLPGCVVLLIEPIATVIWYCRIGDDEWTRYEYDIGTQPLDPPFEGKDHEKVPICQIAACRGKFYFNSNFEKIQVLEFITPTPTFSSIEIADSIAGGLGVIGGAYVYLVESEDELYMVCLRLDHDFTIYDMTVHRMDFLSHQWRRVYEIGGLHSF >KN538718.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538718.1:290135:296968:1 gene:KN538718.1_FG020 transcript:KN538718.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQGLGNQFLSNIREVDSILQVVRCFEDDDIVHVSGKVDPKSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDQQVKVKIPTVITTQEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANITESDLAEPDNNPHVKEVAKLATDLESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVTAGSLGAAREKGVLRLEGKDYIVQEGDVMLFRNSSSCYKYSAFDIYDIELMGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLAERFGAARRHLVALDCGSGIGRVTKNFLLRHFNEDFTPDEGRYDVIWIQWCIGQLPDDDFISFFNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSITRSDAYFKELFKKCGLYIHSIKDQSDLPKELFAVKMYALVTEKPKIQKNGKRRRPKNSPRMIRS >KN538718.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538718.1:278128:279085:1 gene:KN538718.1_FG021 transcript:KN538718.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTDDESDLIHSIKPWGQSRHLSERIALLVALAALLLLSSCTDVSFTSNLLEEEENEEAQEEAPKDEAEIQVVVLSVGVDAFLHHVDRCIGMMVVF >KN538718.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538718.1:384640:385287:1 gene:KN538718.1_FG022 transcript:KN538718.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCVVLLVEPIGTIIWYCHVGDDEKWVEHEYDIGTQPLDPPLDGKDHEKVPICWIAACQGKFYFNGGFESIGVLEFSPSPTFSSITIIDPIIRGLGVMGLYMVESLDELYMVCQMYDSDMKTICDVTVYRMDFSKQQWCIAEDIGGRAFLVASCYFGASRSADECGLEKDCVYSSFARDKYFEVCKVEDGETEEYDLIEAPDSQGGMWILPIEK >KN538718.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538718.1:313105:315710:1 gene:KN538718.1_FG023 transcript:KN538718.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSHSNASSGMGVAPDIRDTFLELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPTEVDLEVLRERAH >KN538718.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538718.1:354592:355539:1 gene:KN538718.1_FG024 transcript:KN538718.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSRVPCLALYVKHGADSDKPALFSISEKKAINNNIPGLTNTNSWVTPQGWILVRDTVSTATFLQNPHDSTDKIQLPHLSQDVHSSSTCLLSCKPTIHGCVVLLVEPVGTIIWYCHIGDDEKWVEHEYDIGTQPLDPPLDGKDHEKVPICSIAACQGKFYFNGDFESIGVLEFSPSPTFSSITITNPIIGGLGVMGMANVYLVESLDELYMVCQMYDSDMETIYDVTVYRMDFLKQQWCVAEDIGGRAFLIASCYFGASCSADEYGLEKDCVYAIFARDKYFEVSKVEDGETEEHELIEAPDSKGGTWILPVE >KN538718.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538718.1:280017:281568:1 gene:KN538718.1_FG025 transcript:KN538718.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribose-5-phosphate isomerase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44520) UniProtKB/Swiss-Prot;Acc:Q9FI13] MDAIAGASVSSSSARLRPCPRLRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIP >KN541219.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541219.1:4974:5979:-1 gene:KN541219.1_FG001 transcript:KN541219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILPSKPSLIDSTPTMGLSKKTPPKKPISDFRRTITKTRIYRLSLKGVVTCRESGPDSCILHCSFECQSKNLKIAFLYPVVVNFDRQLSKEAEIENYHLDALIKLNSCDHILLPYLAQ >KN541219.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541219.1:26572:29028:-1 gene:KN541219.1_FG002 transcript:KN541219.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTINQLEGKIPLQIGASMKNLAYLYLEGNLLSGQIPRSLAELPSIQELSLGRNGLSGEIPPALGNLTGLSFLSLSENSLSGGIPSSLCNLTSLSSLYLNKNTLSGTIPSCLGNLSSLLELALSDNTLSGAIPSSLGRLSRLSSLHLSSNNLSGLIPDPIWNISSLTVFGVQYNMLSGMLPANAFSTLPHLQEVYMDNNQFHGHIPASVANASNISMLTFGVNSFSGVVPEEIGRLRNLGTLVLAETLLEAEGPNDWKFMTALTNCSNLQHVEMGACKFGGVLPDSVSNLSSSLVYLSIGANKISGSLPRDIGNLINLESLVLFNNSLTGSLPSSFSKLKNLHRLILFNNKLSGYLPLTIGNLTQITNLELYGNAFSGTIPSTLGNMTRLFELNLAHNNFIGPIPTEIFSIPTLSETLDVSHNKLEGSIPKEIGELKNIVEFHADSNKLSGEIPSTISGCQLLQHLSLQNNFLNGNIPIALTQLAGLDTLDLSGNNLSGQIPMSLGDMPLLHSLNLSFNSFHGEVPTNGVFANASEIYIQGNANICGGIPELRLPQCSLKSTKKKKHQILLLALTVCLVSTLAIFSLLYMLLTCHKRRKKEVPATTSMQGHPMITYKQLVKATDGFSPAKLLGSGSFGSVYKGELDRQHGESTSSVAVKVLKLETPKAVKSFTAECEALRNMRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWLHPETNCDQAEQRHLNLHQRVNILLDVACALDYLHCLGPESVVHCDIKSSNVLLDADMVAHVGDFGLARILVEESSLVQQSTSSMGFRGTIGYAAPG >KN541219.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541219.1:15781:19123:-1 gene:KN541219.1_FG003 transcript:KN541219.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMMLLLFCSYALALVSAGSSRSSNATADELALLSFKSMLSSPSLGLMASWNSSSHFCSWTGVSCSHRQPERVIALQMNSFGLSGRISPFLGNLSFLKTLDLGNNQLVGQIPSELGHLSKLQMLNLSTNLLRGSIPVEMRGCTKLMTLHLGNNQLQGEIPAEIGSSLKNLINLYLTRNLLSGEIPQSLAELPLLELLSLSHNKLSGEVPSALSNLTNLLNIRFSNNMLSGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPDNAFETLPHLEKLYMDHNHLHGKIPVSLGNSSNMSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAEEQKDWEFITALANCSQLQVLVLESNQLSGEIPSTLGQCQNLQDLTLQNNMLNGNIPEQLSQLKSLQTLDFSRNNLSGSGSFGSVYKGELVAQIGESPYYVAVKVLKLQTSEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLVGNT >KN541219.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541219.1:7588:10724:-1 gene:KN541219.1_FG004 transcript:KN541219.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNLSGAISPFLVNLSFLRELDLAGNQIAGEIPPEIGRLGRLETVNLASNALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGAKMVNLYMLDLRQNGFSGEIPLSLAELPSMEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPPSIWNISSSLWGLNIQQNNLVGVIPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVSMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASRFGGVLPDSLSNLSTSLQTLSLQYNTISGHIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDLSHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLTRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQSDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPADQTEIMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNVVSTNGDIYSYGILVLETLTGKRPTDDRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNVTLE >AMDW01033301.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033301.1:6:497:-1 gene:AMDW01033301.1_FG001 transcript:AMDW01033301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFWHFINRDKSRDVSLSYVWESVRECTYFGSPIWNHILGYWNASKVKPNNVLILKYEDMKRNPTENVEKIAEFIGQPFSNAEKEAGIVDSIVELCSFEKMKALGASMAGSQKVISSEFPNDSFFRKGAIGDWVNHVTPEMAESLDKLLSEKFDGSGFTFM >AMDW01013705.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013705.1:64:234:-1 gene:AMDW01013705.1_FG001 transcript:AMDW01013705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFGRERLNGHYGVGSFVIANTLSATPYLALISVAPGAIGYYLTGLQSSIDHFAYFAV >KN545414.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545414.1:278:457:1 gene:KN545414.1_FG001 transcript:KN545414.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGGPSYAVELGRLDGLRSTASSVNGRLPPPTFNLDQLTALFAANGLSQADMIALSGQPH >AMDW01036226.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036226.1:81:591:1 gene:AMDW01036226.1_FG001 transcript:AMDW01036226.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SYLEESINPSRKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVENATVSLYQYSDRNKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFTNTVSAFETLPQRAT >AMDW01058401.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058401.1:39:317:1 gene:AMDW01058401.1_FG001 transcript:AMDW01058401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALGTLEAELGEGDYFGGEAFGYLDVVLVPFVAWFHAYERLAGFAVAEICPRLVAWGERCKGRDSVAKTLTDPEKVYEFALYLKGKFGAK >KN539876.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539876.1:65133:67098:-1 gene:KN539876.1_FG001 transcript:KN539876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFDALADARAAGLPASSSAFAALVAAHSSAGRHADAVQAFSRMDEFQSRPTAFVYNTILKALVDSGVILLALALYNRMVAAGCAPNRATYNVLMDGLCKQGMAGDALKMFDEMLDRGIMPNVKIYTVLLSSLCNAGKIDEAVQLLGSMKDKGCLPDEVTYNAFLSGLCKVGRVNEAFQRLVMLQDGGFALGLKGYSCLIDGLFQARRFDEGFGYYKTMLERNISPDVVLYTIMIRGCAEAGRIEDALSFLDVMKKKGFVPDTFCYNTVLKVLCDHGDLERAHTLRSEMLQNNLVLDSTTQTIMICGLCKRGLVDEAMQIFDEMGEHGCDPTVMTYNALIDGFYREGRLEEARMLFHKMEMGNNPSLFLRLTLGANQVRDSESLRKLVHDMCQSGQVLKAYKLLRSIIDSGVVPDVVTYNTLINGLCKARNLDGAVRLFKELQLKGISPDEITYGTLIDGLLRAHRENDAMMLFQNILQSGSSPSLSIYNSMMRSLCRMKKLSQAINLWLDYLPKKYNFPVESEVLANARKEIEDGSLDDGVRELIKIDQEYGSISSNPYTIWLIGLCQATAYLGGFVSVTEGRMHKLLHGECIL >KN539876.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539876.1:10491:11079:1 gene:KN539876.1_FG002 transcript:KN539876.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKNTTALFLAALVILASLLSSCDADQAKSAAISQEPTAPILASAAAQSDRRQNDD >KN539876.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539876.1:38989:41021:1 gene:KN539876.1_FG003 transcript:KN539876.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGRIKISGSYLVIFMFINMLIWCLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >KN539876.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539876.1:32112:34974:1 gene:KN539876.1_FG004 transcript:KN539876.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGHLLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFVLGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIVYAIILNDIISMDGFLLLMPCYQNGLEPHSF >KN539876.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539876.1:71368:72070:1 gene:KN539876.1_FG005 transcript:KN539876.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHNVDFRTEMFLPEGTAIEAPWEGRTPRANVTHQGVLPNSHHEYVAVAIEPQPPVHLIGQLIQEVATHHHKSASHSGGENPEHDQLENWRNSPYTREGWLMILGIPLNLKTRGIIERITNLCGEFVDWHYRDRVFGRVLVKARYKSSNDVPSRIVFGDAMAYGGNGQTWTFHVYVLNGEPTDLFPVDEDLLPI >KN539876.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539876.1:21786:22102:1 gene:KN539876.1_FG006 transcript:KN539876.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTTSNDPKTTKDELAPAAEHGGGGKDAVTKTVQTVEVKESVGQEPVLKPTKVVHQIPADQAKDTPKQD >KN539876.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539876.1:56469:57546:1 gene:KN539876.1_FG007 transcript:KN539876.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLLLLLLLGAGHMTQPTAAALNQDGVLLLSFKFSLLADPLASLAGWGYSDDTPCAWNGVVCMAFPSSSASEAARVVSVVLPNAQLVGPIARELGLIEHLRHLDLSGNALNGTVPVELLRAPELRVLSLAGNGITGALPEEVGQLRSLRALNLAGNALSGPIPANLTLLPNLTAVSLANNFFSGALPVGGFPALQVLDVSSNLLNGTLPPDFGGAALRYVNLSSNRLAGAIPPEMASRLPPNVTIDLSYNNLTGAIPTLAPFTVQRPTAFAGNAELCGRPLDSLCASAADPPINGTARSPPAIAAIPKNPTEALPGDDTGAAA >KN538758.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538758.1:111274:121398:-1 gene:KN538758.1_FG001 transcript:KN538758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKSLCNLLAGISHVSSLELSGLHGLVMPLQGEGNDPYNQAPAGQHYHHPDNNLAQWLELGHVMPLQGEGNDPYNQAPAGQHYHHPDNNLAQYYQPYYQALPPILQYQQPVHYYDQPLHPLFPPYNYQHPNWAAQHRQPMPLLQAMLDDNHGGLPVFSNLTTMVLRECNIHVNDSMKMLWRFLQNTPALEKLTLQNCKFSNGADVRKHGPKLKISSSLKFVEIIYKDVNHHDGGEEEYEDEDEDKDEHPEEVNKLQKNHRYSRLYLNFKGPEDVVEFAEVFNGHVFVNEKGAQFKALVEYAPSQQVPKSNTKKDARQGTIMKDPEYLEFLESISKPAEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQQYVLRENAKEKPTYILVPKRDEHAQREKSTAGTSGDATSGGISGSAHVAENKKEKIVLLKGRARVDSNTSDVTSQQQSGTPMKNAVQSSSRQDPRLEGSGRIIKTILSNKEGRHVVTSQHDQEGHIITAEKRPPRIPNPRSIVKDQVVENAEKNHLDDKHSHLHGSGPISEKTERHPRNRDRPDRGVWAPRRYDKSASGGTHSSSSEFSPMQQHSGENFCQQADGHGERKIDPRGHGGIRGGPVENGHRHANRRGPPRGLKEMEISASTSDGKPSKRASANYGAHEILIKTDIASCGVLDFAA >KN538758.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538758.1:76869:80600:-1 gene:KN538758.1_FG002 transcript:KN538758.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRRPFFLLDPPKDLNPEDKVFQVREYLNRVNLYRERVWTCKVSGKSNLTYEEALVSEHHAAEKAQQLPRELIAPVLHMIQYSTLSLTDLVNKIYSILQEDFFEGLELNGRKDGSVSACKILKVIIGSGNTKMYENGQGLPKLRRGLENGTTDDVRKKLKKGEPIDDLLLRTTADDPSLSKRRPLSTDFRVPVDSVGDLLMVWDFCMSYGRILCLSPFSLSDLENAICHKESNLVLLVELHAALFHLLIKDGSGYFTFLQNKRRKLKVTLVTWAEYLCDFLEMTSKEEFSSSLSTVRRGHYGLVHTAVKLKILRELVDEAITTSAVRQSIDEKIDQQQAIAASKRELARNKKEEQKLAMEGVTEKEMSQTDAAENVNGNVNGQVVEKEGKGKKNIYANKMGEGKRHLGTEMEKQSVQSNSLGKDRYYNRYWFFRHEGRLFVESADSKEWGYYSTKEELDALIGSLNVKGIRERALKRQLDKFYNTISNALEKRTKDVTQKMLLEEAALRRSSRVQAQPRDNPSMLFLKYVNKWKEN >KN538758.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538758.1:20169:21826:1 gene:KN538758.1_FG003 transcript:KN538758.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLIRLIGIYKENVVIPYEKTNILLVGDGIGATVITASRSVGIDGIGTYDTATVAVTGDGFRAKDITFENTAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRQCRITGTVDFIFGNSAAVFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFWNCTLDGSKEFLALFRAKPESYRLYLGRPWKEYAITVYAGCYLGKVVRPEGWLPWRGEFALRTLYYGEFDSRGPGANRTARVEWSSQAPEQLVGVYSVENFIQGHEWIAY >KN538758.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538758.1:160182:160493:1 gene:KN538758.1_FG004 transcript:KN538758.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPPQRVGSEGAEPEVAVAELEVFQDRELVHEAAGGQPRVGEMQPRDASGGAGDGDGEEIGGEGVPEAGGAAAEADLERSGGGGRRRRRGSTPRRSRLEGS >KN538758.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538758.1:17967:19831:-1 gene:KN538758.1_FG005 transcript:KN538758.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDDEEEEDADDDDLLDDNEDDDDDYSFEDDFESDDELDLYVGDGGAGGGISLAGTWWDKEALALAEEVSNSFDGDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAFTIAYRAKMDDAESAGRIPQNISLEVSSPGVERVIRIPDDLERFKERAMYVRYTITSDGGAGMTPQEGDGVFRLISYDMDLCECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFESLKLVRVHSDC >KN538758.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538758.1:169965:172964:1 gene:KN538758.1_FG006 transcript:KN538758.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVENHVDNHDDDWELAAGAALADVTLVLVGKVGYGKSATANSILGDEAFESRCSYAGVTQTCQKKSTMVQDGCLIRTINVIDTPGLFDMDIKAENVRREIVKCMDMAKDGIHAMLMVFSATSRFSCEDENTIESLKSFFGDNILDHMILVFTRGDELGGETSWKNMLSDSAPTYLQDILKLFENRVVLFENKTSSTQDRQAQRKKLLDAVDFVVSSNHGKPFSNQLFTQIQEVHHRQKDVNSEVYSSMQETDSYISLITKMVEEKLNSTILRLEQQLLKEQEARLDIQNEMTKAILKSEEDIRRLRLSLEKAEQESDNAREENKRFRESEKARQEQEKQTEAEIQKLKEKMEKDREEREKQREEEIRRLRDDLEKARQEQEKEREERQKQSGCIIL >KN538758.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538758.1:152472:155265:-1 gene:KN538758.1_FG007 transcript:KN538758.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKPSAAAGDDRLSALPDALLHAVMSFLPARQMAQTCVLSKRWVHLWRSAPSLNLDIREFRNLSKDDDDDDDETWGKMKDFTANLLMFHHAPTLDTFTVCTGAVVQAAVGNRRRNDVDYHAVAMSVDGSAAESSTVPVCWTSRLRPPVLGVGRRPTWAQVRDSCPMLRCLELHRCHIEFSHIESSTLNKLVIEGCIGCSLSLAISAPRLASLCLDLSYGAYKNGVSLNGLNSLVEASVTLNAFQTSPEGEAMLLCGLFNVTNLELEAIHAKVILHEKFDKFSSFNNLRTLSLDDCFQDMGDLKEKFKALGRLLEKCPNLEKLTLQHCWVLNFDLYQL >KN538758.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538758.1:131369:139930:-1 gene:KN538758.1_FG008 transcript:KN538758.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETSMKKARVGVAADSASGEDRLSALPDALIHAVLSFLKARQVVQTSVLSKRWRHLWRATPCLDIDQREIFGPGWETIRKDSERFEDFADTLLAQRHGSFLDTFQLRVHDPYELPENVGPVRWVRRGIRHSPRVLCIHSGKYFAGLAMPLELGSSLRRLTRLHLVGVLLDSSFEEQISNVCVVLEDLELEYCQLGFDRIASLSLVNLTINGCRISKPGSPSPLGQLTIEAPNLASLLLELSFANFQIPDQMRYLVKASIHLNNYSSHKTDRSKQCTLLSNLLDVTNLELVGFNMMVMPNEGPFEFPTFKNLRTLLLEKCDLGDNFGILWHLLKKSPNLEKLTMRCCKASKSSDIGKRKTTSSKSKDLLKIRCRKLKRTEILYEDTDDVCQLSAIISWAIELSPVRFRPKLSSSLLKNLEEKWDCATVAVRKGGACASPPASRRRLRTSAAALRREGPISGEIPYHIPSCFLSRLAYSASMATARKRICPVTISTGDRLSALPDALLHIILSSLKGRQMVQTSVLSKRWRHLWRSVPCLDIDQREFAAAGDEDWAITQSDLEKFEDFADNVLAYRCGSPAELDTFRLRICDRYHSLRSSDTDRWIRRGLKCSPREFHLHFDYRYGSYSLEMHRLGSNSGCLTKLHLTNVSLYQCFMEHITTVCTLLEVLELNRCSLYLQEITHPKLKNLVLHGPAVYDEDELPVGANAKCYKELIIRAPCLTYLNLVLPVDMRQISLKEMPSLVRASIRFSVVFPCKCNFLNVLFNVTSLELSGFREMVRLEFNQVKFHAFKNLRTLLLDRCRPSYNNELLHHLLQNSPNLEKLTVHCCKFSKGSLEWRNSSQHKNLVNCRKLKSTEIIYKDIDDVRELVDLLLDVSGHLPKNTITLTKI >KN538758.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538758.1:73230:75889:1 gene:KN538758.1_FG009 transcript:KN538758.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPFENLATLMQSPATLRATKAVLDRFEKLLLLLLPSSVANIDHLLKHLGSPKKKKAPPDAAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAESFVREFELLVKTVLEKRSTDAAAGQRMFSAQLADFDRAWCAYLYCFVVWKLKDARSLEDDLVRAACKLELSMLQTCKLSSDGQSHNGLSHDMKAIQEQVADDQKLLREKVQQLSGDAGIERMNSALSDTRSKFFEAKENGNPLAASVANVSTPLSVGSSGSSFTAQSLPGAASSSSSSSLMKQPTDNEQMVNEMLHEDDVSFGGNSDNVSSNEKEFQAKVKATMEKAFWDLVTDSMKGDKPDYSQLINLVKEVRDSLHELASKELKEEILENIDLEILSQVLQSGSQDTRYLGQILQYSLDMVRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQTEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPADASASLPVTKQWISATKSIVEQEWSSHLESLQALPADHASFYDQRVVPVLRAGHGAPAAQASPSSSAASSSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLLRLRAVQDQFQKVIVIATSILVLHQVLVSKVAPPELQNAISEIYDALVKLLDGNPDASTEEIVEAMASGLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRAVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEVLVKMAAISEKVHGQWYKALAL >KN538758.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538758.1:24319:26074:-1 gene:KN538758.1_FG010 transcript:KN538758.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGFGPGGSPKSFRYPRADFDLESGIARKGLRKPKNHDAPGLLTSTLMKIRYFYEAHPVAVAFILLSFGLSVLILLSVYETRFRMMRGSSGEVGEYPLPELRNLVMVAGHSIYTSESCGKIDREDSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEKALLLFSGGETRKDAGPRSEAQSYWTIADSKGWFGNDESVRRRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEERFAQLHRSALGFPEGRFFFLGTPATLTAREAAVKGEATVRSQFQEDPYGCLGSLHIKRLKRDPFHRAIPYPNGCPELKGLFSYCGLVPYPGQLPWTQ >KN538758.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538758.1:99337:105390:-1 gene:KN538758.1_FG011 transcript:KN538758.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTNSLFDGKGEFTTFLCFYIFKCFDTLLILMQKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESSNSGPMLSVDTIEKMMEDPAVQKMVYPNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKERWINMHEHEHEGLSRQTMRSTIPFPLSKTGCLLLEFASRRFCFDGKA >KN538758.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538758.1:126713:128078:-1 gene:KN538758.1_FG012 transcript:KN538758.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAIRKRPRESGGGGLTVDRLSALPDCLLHVIMSFMKARQVVQTCVLSKRWEHLWRTVQCLDVDHREFQSTGEAAQGNDAGWQNFEDFADNLMLRYQIAHLDTFRLHVNDAYRWGQHASRWIRRSIKYNTKVPGIPRPGLSSSSWSLKRLHLSNICLDDLFAKHISSMCCSLEDLNLKGCKFAFDEITSHSLKSLVIDSCDSELCPSKLIVTAPAIASLCLIVKLWFFPGGLFVNEMPFLSKASILVSATYDGKNFQHNQSKFLGSLSNVTTLELSGFQTMHYIFQVIDEEPVELPEFKNLKILSLDKCDLSDNFQLLKHFLQNSPNLEKLTLRLCKLTQDSKKRKRKAKAKKTPLVDIRCENLKLTEIIYDADDVLQLVGLLLNDSANLPKNNIKLSKVGH >KN538758.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538758.1:195293:198854:-1 gene:KN538758.1_FG013 transcript:KN538758.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding DMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESDEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRNTDLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKRVFLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >KN538758.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538758.1:142344:143980:-1 gene:KN538758.1_FG014 transcript:KN538758.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPTKKRARASGAGDRLSALPDELLHRILSFLPATEVVQTTVLSRRWKDLWCSTPFIHIDGREFGEFNQEMDQEDAVERWGRFEDFTTNFLLFHSNAVSLHEFRIYAPYEWSYDDTCRDDRDVERWIRRGIKYCPQVLDIESLGSSTLRLPHLESSVCRRLRRLRLNYVELDSRFGELLCSSCSVLEDLELIDCATDFQDITSSTLKKLVLRSSSHDPMVINTPGLVYLHLEYYDGGISVCKTASIGKATISLDCCCRISEKDQRGLLGSLFSVTSLELHGFKTMAFLKKKSDEFPIFPMMRTLLLVRCFHVKYHFDDKLDLDDDLDALGSFLQNAPCLEKLTLSHCRFHTSSGSESDIARKSITLRHHDQKAFQCQKLKLIELVYSDDHDHGLIELVWRLGRVLPDASIKLTKQVEEY >KN538758.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538758.1:147412:150008:-1 gene:KN538758.1_FG015 transcript:KN538758.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKRARSTGGGGGSAAVDRLSSLPDALLHAVMSFLPARQMVQTCVLSKRWAHLWRSVPSLNLDSREFLLPMYDRWQKMEDFTTNLLMFHHAPALDAFSIRADVAVGKHGRHVDRWIRCGINYCPRVLDIAVATVGSRYRLPDLASGSCRLGRLHLSYVALDSGFARQVRDSCPVLRCLELHRCFANFSHIESSTLNRLVIEDSMGGSDSFAISAPRLASLRLVAFLYHTYKNGVSLNGANSLVEASVTVKSGRISPEGEAMLLCGLFSVSNLELKGIQELIKQSWRLAFTTLVEISEFHAILHEKFDKSPSFDNLRTLSLDSCFQAKDFSETLGDADVDAALDSWRLEDKVTFVQEPDELSMLYNLRSLLL >KN538758.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538758.1:92419:93078:-1 gene:KN538758.1_FG016 transcript:KN538758.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYAVPPIIHRDIKSSNILLDSCWTAKVSDFGLSLLNTLDGGNAAAGDGGNAGDGDDEERCVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKAIQKYEGSGSPKNVVDMAVPHIEGDRVHRVLDARLPLPTPWEMEAVAYVGYLAADCH >KN538758.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538758.1:35678:36506:-1 gene:KN538758.1_FG017 transcript:KN538758.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPCREGGGDATAAKAVGETKDAGEGDEPKEEEEDGRDREEEAEEEAAKRGWSEIRLAIEELSAVDVERRGGKPPPPQPPTLTFLALSHLLLQVLDKIGPTMAVLRLDVQRNIEFFIVTIS >KN538758.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538758.1:107544:109675:-1 gene:KN538758.1_FG018 transcript:KN538758.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRKKPASSSSSRAPPPPPARPLAAFADDGDDDVEADILRQSYKKRSQQKVEEQQKKAMEEDPSVFAYDEVYDDMKQKAALPKMQDRVVRESKYIAALKEKAEQRKREQDIIYERKLQKERSKEDHLYGDKDKFVTSAYRKKLEEERKWLEEEKRRQLQEEKEDVTKKKDLSDFYFGLSKNVAFGAQTHDDTKHAKPEKLDEKVQDAKTSKVGAEVSDHSPKRKRDSSAGAETANESRSVEKTAATQSRDSAAARSTEKNADVSLDAPQTQTPENTQPAPQTQNPQTTQPAQITDEHYKRNADALAAARERALARKKAKAQQI >KN538758.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538758.1:173619:178198:-1 gene:KN538758.1_FG019 transcript:KN538758.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLIFGFVELSVEFNDDTAATGFILLSVQAHLPQLKPPPCSMAATGGGCEQARGIKASIFFAALYLVALGSGCLKPNMIAHGADQFAAAAGGAADNAKRLSTYFNSAYFSFCAGELVALTALVFVAAYTKRKQVCPSSSSDPVNAGVCEPAHLAGGSFRHANKFRFLDKACIRAAEQGPNTKPESPWRLCTAAEVRQAKTLLAVAPIFACTIVFNTVLAQLQTFSVQQGAAMDTALGGSFRIPPASLQAIPYAMLLALVPAYELLLVPLMRRATGTRSGITPLQRIGVGLCTVPLSMVAAATVERRRRDLSASAAGAPTMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQARGAGMQSFLTALTYCSYAFGFYLSSDRLDLFYWMLAVLSVINFFCYLLCARWYNSGGADDGCDASASAQVAAEGDGNGKEII >KN538758.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538758.1:66419:70718:1 gene:KN538758.1_FG020 transcript:KN538758.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQFSTWCPVGEKIMQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNVVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQKAKRQRAEYLKQRVSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSAENIDHLLKRLGSPKGKAPASRSRVAAKKPAKGSETSKLSRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMESAENFVKEFELLVKTVLHRPGGASTQSTDAAGQKKFRTQLAAFDKAWCAYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMNSALSDTRSKFFESKENGNPLATSVANVSTPLSINSSGQVPNPTSKPTVEGSSFTAQSLPGAASSSSSTSPMKPPMDNEQMVNEMLHEDDVSFAGNSDNVSSAEKDFQAKVKATMEKAFWDLVTDSMRGDKPDYSQLINLVKEVRNSLHELASNELKEEILENIDLEVLSQVLQSGSQDTRYLGQILQYSLDMVRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQTEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWLSETKSIVEQEWSSHLESFQALPADHAQRVVPVLRAGHGAPAPQASLSAASSSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLLRLRAVQDQFQKVIVIATSMLVLHQVLMSKIAPPELQNTISELYDALVKLLDNNADASTKEIVEAMTRSLASVGSLPEEQIQATTELATKMLLKSLQAGDIVFGKVSRAVYFAFRGVVLGGGAKGKKLAEAPLRRLGAAKLADRVVKAGEVLIKMAVISEKVHGPWYKALAL >KN538845.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538845.1:177360:179792:1 gene:KN538845.1_FG039 transcript:KN538845.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MELAHHNQRAMPPSYILFVPFLFSLLITTTPSAATDTVTAGRPLAGGDKLVSGNGKFALGFFQMAGGDGSTAPKWYLGVWFNTVSKFTPAWVANRENPLADGGASWQLAISGDGNLVISNRANNNSMTAAWSSQANTTTSNTMAVLLNSGNLVLSDASNSSIIFWESFSHMTDTFLPGAKMGWNKATGFTHGLVSSKNSGDLSPGVYSATPSSDFANPGLFLAWNSSVVYWSTGPWNGDHFSNTPELTARALFTFDFVSNDHEEYFTYRLRNDTMVTRYVLDASGQAKNMIWSSVSEDWVTFYAKPGAQCDVYAVCGAFALCREDMLPFCNCMKGFSIRSPQDWELGDQTGGCARNVPLNCGVKDRFYAMSDVRFPANAKNMEAGTADECKQACLNDCSCTAYSYNGSCNVWSDGLFNVARQYNYNQSSSGGILYLRLAAEDDVSESSKHTRVLIIGVVVVASVLTLSLFTVVIMFVRRNKRNCSSVRHGRIICGTVAFRYKDLQHATKNFSERLGGGSFGSVFKGVLTDSTVIAVKRLDGARQGEKEFRAEVRSIGIIQHINLVRLIGFCCEGSNRLLVYEYMPNGSLDSNLFGSEVASLDWSTRYKIALGVARGLAYMHGNCLDCIIHCDIKPQNILLDASFVPKIADFGMSKLMGRDFSQVLTTVRGTIGYLAPEWISGMAISSKVDVYSYGMVLLEIVFGRRNFRGECTSNATYFPVQVVGKLLQGNVQCLLDQNIQSDINSEEVERACRVACWCIQDDESDRPTMAQVVHILEGVLEVDMPPMPKLLQAISGNMDSTKTDMWSVT >KN538845.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538845.1:222078:222530:-1 gene:KN538845.1_FG040 transcript:KN538845.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLAFTARRGDPELVAPAGPTPRGLRRLSDIDDQGSFRFYRSIIYFYRSGGGDPARVIRGALAAALVHYYPIAGRIRELPGGKLVVDCTGEGVSFVEADADVSLEDFGDSLCPPIPCAGELLTLPESNSAVVTDRPLLYVQVHTSS >KN538845.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538845.1:183002:184005:1 gene:KN538845.1_FG042 transcript:KN538845.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQAAKRLLEGNLARAPERHRDVALRGLREWVGKQERFDPGVMSELVELIKRPIDRYNGDGGGGEGEGGRREGGGGDLKLGTTTTVKNATGHMIDSRSKVGETLKTQATLVLVDWSVNPVPHGNTPLRRELDLHDYEAEYEFYRDLESRPEAIPFLRQRDSGFRLPPVSFYR >KN538845.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538845.1:196750:197178:-1 gene:KN538845.1_FG043 transcript:KN538845.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSHAVQCTLYSANRGRAQTPDRRAVASRSNIDRPSPHTTRERTTPKLRPEARAEGRTKNKQTPVEARLINGVNRLQCVKTRKGGCNERRVTVTAGPEKAVRWINLFAVNVLYITPVRTVGALRLDYGITEMLVFQSSNK >KN538845.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538845.1:190569:193476:-1 gene:KN538845.1_FG045 transcript:KN538845.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKGVESKRKPPSVVARLMGLDDDLPAKEPALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPTKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQYMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIAGEVLEDIVTDSVEHLSICCSEHGISMPVANL >KN538845.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538845.1:199592:218907:-1 gene:KN538845.1_FG048 transcript:KN538845.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MAESSSLAFTARRGDPELVAPAGPTPRGLRRLSDIDDQGSFRFYRSIIYFYRSGGGDPARVIRGALAAALVHYYPIAGRIRELPGGKLVVDCTGEGVTRLRCGGFVFGTQICHNLVDAAGIPQFLRAVASCNPSAVSGSCSSGACRGVRCSAANKPSPSTAPGTEVRQSGAKCKLLDSLNTLGCSASPEYKRPMDQNGEVQETIINAIDSETCVFKYFRIGSNFKKWKVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMDHLCNVIFSVPDKRTTILQCPHAEQLIGPDISLWRECIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGNNAELSAILNKLLDPAAIVTGFKVEADILVNECSSISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEICYAKEHEAVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINILVFCSTLLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKSMGTEIIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHETSIANSTVNSLAEKPDYLRNGLKLQSGAFGLLRKEVESVVTTICKKKLLDLYNKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRLDSKLYVGQTDDLVGRLSAHRSKEGMQDATVLYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >KN538845.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538845.1:171074:175056:-1 gene:KN538845.1_FG049 transcript:KN538845.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQGPVVLYQDGVHEAARRRRSLRARYAYGLIFFATNLLAWFVRDYGAKLLRGLHHVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLHEVRNSWHSGCWILKFLVYAVSIIIPFIVPNIFIQLYGEIARMGAGIFLILQLISMSHFISWCNKRWMPESGSNQCGLFGLFLSTISFIASFAGIAVLYVLYAPNSSCAFNIFTITWTATLVAVMMAVSLHSKVNEGLLSSGIMGLYIVFLCWSALHSEPQTGKCHTRLKFANDGDWATIVSFIIAICAIVMATFSTGIDTRSFQFRNDEDQLEDDVPYSYEIFHIVFAMGAMYFAMLFINWELNHPTRKWSIDVGWVSTWVKIINEWFAASIYVWRLISPVILRKQAANNEELVPRTLIIQCSR >AMDW01040200.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040200.1:564:1025:-1 gene:AMDW01040200.1_FG001 transcript:AMDW01040200.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMNFGRAPIGVLVKSMDFGNVPICLLIESGLALIIPSY >AMDW01115763.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01115763.1:274:1695:-1 gene:AMDW01115763.1_FG001 transcript:AMDW01115763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVAEDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRAYAEAHGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACTRTPSQLFAAKQAYHERFKRSLEEDVAAHITGDYRKLLVPLVTVYRYDGPEVNTSLAHSEAKILHEKIHDKAYGDDEIIRILTTRSKAQLLATFNSYNDQLGHPITK >AMDW01039745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039745.1:154:564:1 gene:AMDW01039745.1_FG001 transcript:AMDW01039745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIDVVDLERKISIVGELKNSVLRCISDQNGNHVIQKCIECVPEDHIPFVIEDILQKIYPLCTHQYGCRVIQRVLEHCHNPATQSAVMDEIVERAFDLTEDKFGNYVVQVG >KN542205.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542205.1:9984:10736:-1 gene:KN542205.1_FG001 transcript:KN542205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSQSSSNDSLAGFPAVVRLCRKSMASEVVRKLGGSGPVYTTRQTIHGFIATAEAILPLRPGAPHTEVIVERDTLQAVSTKLKNGWRYTLDDLGSVYTICKDICNGQYAPVGPGVVAEEAVLREVHLLSEWVEARTDESTVALDDVCGNIV >KN540132.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540132.1:49725:50567:-1 gene:KN540132.1_FG001 transcript:KN540132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAEKPDGVEIREVWEDNLEAEFLVIREIVDEFPYVAMDTEFPGVVCRPLGTFKSNADFNYATLKANVDMLKLIQLGLTFSNEHGGLPSLGPERRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFTRHSADGADARRFAELLMSSGVVLNSEVRWVTFHSGYDFGYLLKLLTGTYLPDTITGFFDLIRIYFPVVYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGTEGGETSSAAH >KN540132.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540132.1:158:1748:1 gene:KN540132.1_FG002 transcript:KN540132.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AETVKDGYQWRKYGQKVTRDNPYPRAYFRCAFAPSCPVKKKVQRCAEDRSMLVATYEGEHNHALSTQTTEFVASGCTTSQHAGGSSSSPLPCSISINSSGRTITLDLTNQAGSGSIASCGVEAAAVSGELVTVLSPELRRHLVEEVVQVLKNDAEFVEAVTNAVAARVVDQIPHIPVHFLPCSISINSSGPTITLDLTKNEGAVQVVEAAHPPPPPDLKEVCREVASPEFRTALVEQMASALTSDPKFTGALAAAILQKLPEF >KN540132.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540132.1:32425:32994:-1 gene:KN540132.1_FG003 transcript:KN540132.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTELCASVEDDVSEYTSNANVFLPPPSLCDDELSHNLLHFLPFSSLPPPSLCEDELRHNLLPFYHRWPSPLSPPSANALKDRGRATSAPTATSGDGRRERVDGAEGSAVGRSQRRRTGEREGERCGQMERGKGATKEECKEDRSSRHMGRIGNTTPVALWVGTYVVGCSIFVLHPQILKGLTKNISIGR >KN540132.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540132.1:15940:18793:1 gene:KN540132.1_FG004 transcript:KN540132.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLRRERIAERMKALQELVPNANKLMQTDKASMLDEIIDYVKFLQLQVKASTYTKLLIHVLSMSRLGGAGAVAPLVADMSSEGRGGGAANGGAPAAAGSDSLTVTEQQLVV >AMDW01040403.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040403.1:62:1316:1 gene:AMDW01040403.1_FG001 transcript:AMDW01040403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWSTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRHQGQQYAMLQSTDDSVVAEMGHHNGSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAFGYNNILIRIAGITIFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEED >KN540132.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540132.1:54423:57835:1 gene:KN540132.1_FG005 transcript:KN540132.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQWFQVVYANVVITLVHPIAAIGKYTFNGFLARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVESGDSADQALLREQAAISRSSGQMDNVISHAQATLGTLMSQRSTFGGITTKISNVSSRLPTINHILAAIRRKKSMDTIILSLVASVCAFLILVYWLSK >KN540132.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540132.1:4644:6450:-1 gene:KN540132.1_FG006 transcript:KN540132.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDEALSVFGRLRELPALPACNAILDGLVKAHMLARVWELFDEMLGRGMVPSVVTYNTLINACRHQGDVAKAWEVWDQMVARRIDPNVVTYTTMICALCEEDCIGDAEGLFLEMKEAGMRPNLYTYNALMSSHFKRDNIKHALVFYYDLLKCGLVPNVVIFTTLIDGLCQANRITEAKNTFLDMPRSEVAPTVPVYNSLIHGAFRSGYAQEALAFFQEIIRKGLRPDEFTCSIVVRGLCDGGQMQVATRFLEVMQQSGIALNAAAYNVLIDEYCKSGNLDEALVTCTRMSEVGVEPNVVTYSSLIDGHSKNGEMEIAMAIYTEMVAKGVEPNVVTYTALIHGHAKNGDMDAAFWLQKEMEEKGIYSNAITVSVLVDGLCRENRVQDAVRFIMEYSGQKKSEKNPSIANSVTYMTLIYGLYIDGQYNEACHFFSCMRDSGMVPDRFTYTLVIRGLCMLGYVLNAMMLYADMVKLDMRCVRSWEMGGVAERERLVGQTVDCPSC >KN540132.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540132.1:38704:39084:-1 gene:KN540132.1_FG007 transcript:KN540132.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAAGIVATMSAPQERGGGGGARQEEADEAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSSENLKALTASSTSKSLPF >KN545231.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545231.1:3:1357:1 gene:KN545231.1_FG001 transcript:KN545231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CNCKGNSHVVVVIDGNKTTAAAPTNRRDPEVCPLISKVHGRVRSIDPGAYDPMVVSLGPYHAGRDDLLKMQREKPMCLREICSLTGRTELYFLQQVISAHLRQQALAYYLHGIHDLKPAAAAGGRNERDNIILLNFRFNRTLLHDAAFLLVTMKALDNVNEAAAAHGERRTHGRWTDVAIVHDLLLLENQIPFAVVEKLYYEYHVAGVGLTKRIFDGGVRHHRLLDVEYRGGALEIPVLHVYDNTCSMLRNLMAMEQATAGVGNYVTAYCVFLSRLMCTAEDVALLTKKGILVHHLGSDEVVAGLFADLCKNVVFDDDDVGCNYLREACVAADERYQSRVQNWITWLKHKHFGNPWLAMW >KN539465.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539465.1:54334:58912:-1 gene:KN539465.1_FG001 transcript:KN539465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAGTRRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVAGPGDVEGCVSYNDEPYCKSLNRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQEKEERTIDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENQNLQTNTKNDYKPSAQDVHEYLVDAYENRVAYKEKKQLLDPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATAAHFFLSMLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDNGVTEVVALVAMIIGYRVLAYMSLRRTKISAS >KN539465.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539465.1:31487:36226:1 gene:KN539465.1_FG002 transcript:KN539465.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWPALPGLASPPLTTLTPTPTPPKASPKAAPLPPPAEAVIPPISLGNSGAPDANPDHEAPVRNPPARRALVMPVGDGLDKRAPGSEPSPVYTPNARSNGGGDHHQNGRYGSHPHSRGSGYGGGGNRRGNGGGGGGGRRGQEHHGGFDGQRRGGGRRDGHGPGHQQRGHQPSYIRAPLAVVTAAPPPPPPFVNPATPQTPPYGAPIGFPEIAPHVYYFTSPPEGVQALPFVPHPASPPAMLIPQFDPLRAELLKQIEYYFSDDNLCKDIFLRRHMDDQGWVPLPLIAGFNQVKKLTNNAQFILETVLQSTVVEVQGDKLRKRERWEIWLLPKQGYSAGNSSGSLSPVTSNIDSLASQFQSVGLEGAGYHASQGMPGEALLTRSATSVSLGYQAPPLGGLYSNGSGPLFGQKSARSLLRSDTF >KN539465.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539465.1:7268:8374:-1 gene:KN539465.1_FG003 transcript:KN539465.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAILFATSLVITVLAASSFAPAHGWNKGTATFYGGADASGTMGGACGYGNLYTAGYGTNTAALSSVLFNDGWSCGQCYLIMCDAAATPQWCRAGAAVTITATNLCPPNWALPSNSGGWCNPPRPHFDMAEPAWLQIGIYKAGIIPVLYQQVKCWRQGGIRFTMGGFNFFELVLVSNVAGSGSVRSVSVKGGSTGWITLNRNWGANWQCNSGLVGQALSFAVTSTGGQTLYIYNVVPSWWSFGMTFTSNQQFSY >KN539465.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539465.1:94569:95624:-1 gene:KN539465.1_FG004 transcript:KN539465.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVMVKNNGKKPVSLTSAMLSHIKFDKRRGTAVEGLRGCPYCSHPPPAAGFALLTPAEAMKREDAGWFGGGGGEEPRQGVWTVEDNLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQNSGLGFRVVRMGYEDMYLCSPGEMHKKFGKDYFLCTGTASMLVPVVVNPGEEWRAAQVIEHDNL >KN539465.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539465.1:2654:3375:1 gene:KN539465.1_FG005 transcript:KN539465.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYSTGYGTNTAALSSALFNDGAACGECYQITCDQSNSKWCKAGTSVTVTATNLCPADYSKPSNDGGWCNPPRQHFDMAQPAWEQIGVYRGGIVPVNFQRVSCTRKGGVRFTINGNSYFELVLITNVGGPGSIKSVQIKGTKTGWVTMSRNWGANWQANNYLNNQAISFSVT >KN539465.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539465.1:98906:103749:-1 gene:KN539465.1_FG006 transcript:KN539465.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding GLERGRGFWSGCKWRQAIGGGVIGLTRLLLGTKLSLDAQPKAVDAATEPAGAKKGPAASQPLSVAIPPERSITPVLQDFMDPNMFYLPAYYYGELCWFAKLPGYDGSVSEWDDYPRYVNPDGVEITPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSANPDKPTTKADPAKTTTNGAPNGTVHSNSGTVPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTTTTNHMSSSTFSNGSSARTQNKGSTPQQMGMNNRRPTTTTGSAAPTYPNRMYPSTRSYSQYGNSYKTGLSYSTNGYGSNGYGSNGYDSRLYGRWGLSMDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPSSDSKNATDVPDRTQFNRDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSKCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSLSLKWHIIKDVPNNILKHIILENNENKPVTNSRDTQEVNLDQGIQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDSRAPNSVTGEKQQDAATAKPKLPNGVNGELKVPAENGTAPVVTYAAKVAQTAATEKPALANGTVKAS >KN539465.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539465.1:89735:93913:1 gene:KN539465.1_FG007 transcript:KN539465.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 38 [Source:Projected from Arabidopsis thaliana (AT3G53110) UniProtKB/Swiss-Prot;Acc:Q93ZG7] MGRHSRSGPLNETRAYRDAMCVHRVPAQVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAENNGGFMMIDFLIRSGIPKISYGLYTQYKDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLVE >KN539465.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539465.1:69647:70532:-1 gene:KN539465.1_FG008 transcript:KN539465.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATSPRNYTDFVPPHQLVEEAGKKVLQINLSAAGKSMIPSHLFAYDSQQNFNLGNYSFILEQTAAPAEEKKDKEEEDDDGGDGDDGAGAGTALVTGRRKTPWRVVLAVVLALVAAAGFYAKYRLMMDPSADQPSPADGGHGLIGFSDH >KN539465.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539465.1:26727:28072:1 gene:KN539465.1_FG009 transcript:KN539465.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILLQLLAVVALCIAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNDGASCGQCYLIICDYSKAADWCKLGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYSAGIVPILYQQAKCWRYGGVRFTINGFNYFELVLVTNMAGSGSIASMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAVPAGWSFGQTFSTYHQFDY >KN539465.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539465.1:10360:11355:-1 gene:KN539465.1_FG010 transcript:KN539465.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKKKTPSIPLLVVVVAAASLIAPTMAGWSSGTATFYGGSDASGTMGGACGYGNLYWSGYGTNTAALSSALFNDGASCGQCYQIACDHQADPRWCLQGRTVTITGTNLCPPNYALSSNDGGWCNPPRTHFDMAEPAWLQIGIYKAGIVPVLYQRVPCVKQGGVRFTMGGFNYFELVLISNVAGSGSIQSVWVKGPNTDRMPLSRNWGANWQSHAGLVGQTLTFGVTSTGGQTLVFQNIVPAWWKFGQSFSSNLQFSY >KN539465.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539465.1:39681:45524:-1 gene:KN539465.1_FG011 transcript:KN539465.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAAYEAEKSPYVTANRDAVNLADFYAMKDKMDRWTRMIRPLTAALQRYERWAMRCYVKRVDAFLLPPDGVHRSIQKLRLQTFGRKWNQFIQRWVTAAIARWEVEDFELNVEGRCIAYDFKILDGCQNLQLKRLVLFNCPPLGSFNSLTFQRLTRLSLCKTSYFGLASRILTHCVHLLDFSIRYCPGYWAGGLRINVPTSRLKNLLVDNCKFGKIYLHSLPCLETFACRGLLPSKIYYGEVPRLRHVGLDYLKTEGDSKVDPSVSNRTYPLSKFLKRIPPIESLVLQFKGPEVWIEPIAVPDPLLHLKKLFIANVPINWDIFWIVTLLDAAPVLESCHVHIDNRSENMASWLDVQAQERQYHCLKELVVVGFNAVGWQIGFVRHVMRASPRMRRVHLLDGHVVEDNERVLDGLEASRAALFLSVALVTGTLQSSIPYPAHASVQSVTKTGGLFTSEILSSGWAGFIAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEILRTWGTRVVGLTLLIIGGMGIREATEVQESSLALEGVDCNITSSEPLQTPAAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRFAGAAFLGMFLMGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAICMGIALLVGQFFGFSLY >KN539465.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539465.1:65733:68929:1 gene:KN539465.1_FG012 transcript:KN539465.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGSLPLLPLSNSLPIPTARVNLEADGDGGGYGAGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLGLADCINDRLGWKNDENLSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQDACLKQCCFIETDLRSAHLQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >KN539465.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539465.1:71696:75796:1 gene:KN539465.1_FG013 transcript:KN539465.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSSRRVALGTWTARAETVAVNSTETGVLFLVADSCALISYEQYILDFELGLKTAAVDNYSRRLVEFCSLQALQVVTSVDLGDKIHDGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDKKEPLGANEAVMGDETSLFYSDIMPLLVNEEPTVGEDAYVWFGSVFPLACDVINARFTFEALTATTANRLHYPAYDKFLKEMDKSSDFLQKVSTPTGTELAEDEFILHIEGTAGTQRVVRHIGTTSWPGRLTLTNKALYFEASGKISYEPAFKVELTGSEIGKQVKTTSTGPFGAPLFDKGIEFELSEPLVLEFPEMTGSTRRNMWLTLIREVIFLHRFISMYSIDSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYDELPKGDFVLEQLANNLKETSTITPLSASHVFKGLSKSHRVALSAEIAKEHDKDSNSHEQPLASLENTIGQVRDEAREVTVANAAIEGMKEEGITDSLLVLVGLVSPMSKLFPVIQKITSWERPLVTISVLAMMVLTIYREWVGFALATSLILAAGFMVWARRRKIGALCSEVIIDTSSDKTTMESLVEAQQSLKRVHEYIKTANVVILRVSSIALARSPKHTETVIWMLTGVALALAVIPFKYVLIGVTAGGFMSNTRIARAMSNPQGSRRWREWWESIPAVPVRAVDGGGL >KN539465.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539465.1:80570:86215:1 gene:KN539465.1_FG014 transcript:KN539465.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKGPGEKEEEEEAGDKAAAEEAGDGFQDVYAIRVNNYNVPFDHVWLERTEDGSRPIHPLEKLPMEQFIDRNVPESEPVKPADLEDTPFTLVEDKNGLADLAKKLKSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRIYIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQVQKRSNEICLQLYEKELLTDTSYLHIYGSVALLQEHDLDAKQLAVIYALHQWRDYIAREVDESTGYVLPNKALIEIAKKMPTDTAELKRMVKSKYPFVDENLDQVVGIIWNATESSYAFESRAEQLKKERLEQLADRVQTISSPEMKTSMNLSGQIRSMDKEILSDNIHQQVAQATFQELKRPIALGAVGNSTSGGQRDFFGGFSNKSEKMEKAKSYPAFYYPQLPQYSPEVGYGFQSINRTMAGTAQSPTGNKERDLQNPRRRQSFPPSGMNIGPALSMFKLREEHWACTAAVKWIRVFAPPE >KN539465.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539465.1:38064:38698:-1 gene:KN539465.1_FG015 transcript:KN539465.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDIIAELRVLPAKILPKKKPKQFQKVEVKVRMDCEGCERKVRKAVEEMKGVSSVEVDAKQNKVAVTGYVELEEVVERLRRRAGKKAEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNALADPDAAPLARATEEEEKLASAFSDENPNSCALM >KN539465.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539465.1:17429:18460:1 gene:KN539465.1_FG016 transcript:KN539465.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVLQLLAILALCIAPARSGWLQGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNNGASCGQCYLIICNYDQAPNGCRMGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYSAGIIPILYQQVKCWRYGGVRFTITGLNYFELVLVTNMAGSGSIASMSVKGSSTGWIQMSRNWGANWQCLAGLAGQALSFTVTSTGGQTIVFDSVVPAGWSFGQTFSTYKQFDY >KN539465.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539465.1:49066:51729:1 gene:KN539465.1_FG017 transcript:KN539465.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASWENLGDVATVVQLTGLDAVRLISMIVKAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELKKYPETREPLEQLEDALRRAYLLVHSCQDRSYLYLLAMGWNIVYQFRKAQNEIDNYLRLVPLITLVDNARVRERMEYIERDQCEYSFDDEDKEVQDALLNPDPSTNPTVVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDMGQCEVIQHLLGVTKTVASSIPEKCTTPKVSEKADSNHTKVSEDSAKTYHDDSPKKQKDACTAPRFENILFPLWNILKDRFNCEEQTHV >KN539465.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539465.1:22112:23074:1 gene:KN539465.1_FG018 transcript:KN539465.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFLQLLAVVALCFAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNDGASCGQCYLIICDYSKAPDWCKLGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYSAGIVPILYQQVKCWRYGGVRFTINGFNYFELVLVTNMAGSGSIASMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAVPAGWSFGQTFSTYHQFDY >KN541255.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541255.1:8265:29649:-1 gene:KN541255.1_FG001 transcript:KN541255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAIGITTGVIFVTYSSLIASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEALTEEAISKYGVEMEDSVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLLNSWIDILGKEELPEVAKDSSVTSGRSRVLSRDASRGSRRRQRSCSTMAGCDVAADAVRKELSISGDGGLESVDGKDNKQRVRDPTLLVDRAGSATGRRGGGGLTLIGKADPGDGLGNDDVRHLRLEAESDHESTESEEEFNMCQICNTEELNAILGEDDVAPLYWLRRTCSPWLSDSSLDWYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPYNVAEITGRRGMLVRASDGKGVVYQTRNKKEVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADRRAKNQLKVANTRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALMMMYRGILEQDGLPVLPSGCSEDQASLQGFITKAKAALVLFDLFTSILDIVIQNARSEGQLDSGIVDIKAKSVKMKESPKTVHVDSLSGASTVLFTFTIDRGFTWESANAILEERQKDGAGSSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKASSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEGLQWVQDIDD >AMDW01035649.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035649.1:219:569:1 gene:AMDW01035649.1_FG001 transcript:AMDW01035649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDNVDSSAVIPPEYADPQILTPMLGQRFKTERDAYNFYNVYAVSKGFGIRLDKDRMNTKKQRTMRQICCSHQGRNPKTKKPSV >KN538944.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538944.1:56029:58599:-1 gene:KN538944.1_FG001 transcript:KN538944.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQVGLLAIWVSPFFCKISFNFLHPSIDVFKNMLHELAVGRDNPDGADQGFLASYFPDLLDRPMFHPPVNGTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSELPVVLIQALFYIGVIAVTRLARPSLSKMCYNRRMEKSTIVLLTTLRVVAAWSILAAYTIPFFLIPRTVHPLLGWPLYLLGAFSFSSIVINVFLLHPLAVLTTWLGIIGALFMMAFPWYLNGVVRALAVFAYAFCCAPLIWGSLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY >KN538944.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538944.1:125075:144253:-1 gene:KN538944.1_FG002 transcript:KN538944.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAAAAAGVDRLREMVSEEQGELLHTVVDVLLGTMGGADGLDEVEDKTGTGAPPSIMFNSRAAVVAAELLPYLPCGEEPSPRTRMAVGIHATLRACTRNRAMCSSSGLLPVLLDSAEKLLIGMGRAGSWDGTPLLHCIQLLGGHSLSVKDLHSWLGLVKKALGTSWATPLMLALEKAMGSEEARGPAATFEFDGESSGLLGPGDSRWPFSNGYGFATWIYIESFSDTLNNQGVEAYFHGQFLVVESGGGRGKKASLHFTYAFKPQRWYFVGLEHTNKHGLLGKGDSELRLYVDGSLHESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFREPIGPERMGRLASRGGDVLPSFGNGAGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPMALLPLTISNVQMDSLEPMLGELSIATASLSAPIFRIISLAIQHPGNNEELCRTCAPEILSHVLHYQLQAFPKMEGGEGEAVTDEELVDAIVSLCQSQRNNHELKVQLFSTLLLDLKMWSSCTYGLQKKLLSSLADMIFTEAACMRDANALQMLLDSCRRCYWAIREPNSIDNFALTGTKRSLGEINALIDELLVVVELLLGSASSTAASDDVRCLIGFVVDCPQPNQVARVLHLIYRLIVQPNISRANMFAQSFISCGGVDALLVLLQREAKAGNNSILDNSDALLSENDFLRNDDSDTKAASGEAKSQDDQIQSVELEQHESILHEEHTELGSTSTNDVPCEILGSSIGRKLSSSENQLLKNLGGINFSITADNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFASRAANPNLPGGLLTTVHEEGNTMSEDRVSLLLFALQKAFQAAPRRLMTVNVYMALISAAINVSSVDENLNLYDCGHRFEHIQLLLVLLRSLPYASRSFQSRAIQDLLFLACSHPENRTTMTSISEWPEWILEVLIYNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPILKRRLLGGLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKTQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSRSLDTAVPSASMVSSAASRSNSLCRSGNEPMDAGTSRRSSLSTDAGGLPLDVLTSMADSNGQISAAVMERLTSAAAAEPYESVKHAFVSYGSCIADLAESWKYRSRLWYGVGIPSKSDTFGGGGSGWEFWKSVLEKDSNGTWVDLPLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDAFTGNISIKDVISEGLGHQAGSTMPLDSNNRSSTRKPRSALLWSVLGPILNMPINESKRQRVLVASSILYSEVWHAIGRDRSPLRKQYIELILPPFIAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALPVEAALSMISPGWAAAFASPPVALALAMMAAGASGTEAIAPPRTLNRRDTSVPERKAAPKLQSFTSFQKPIETAPNKHGSTPKDKAAVKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSAGDIERAKRWNTSEAMSAAWMECLQSVDSKSVSGRDFSALSYKYVAILVSGFALARNLQRVEMERQTQADVLNRHRVSTGVRAWRHLLHCLTEMDRLYGPFGEPLCAPDRIFWKLDFTESSSRMRRFMKRNHKGSDHLGAAADYEERKLSNVAQSNECNPEGAEPLVTDTLPSTAPIITAEAMSVDDRNEDNEQLESDTTQSSVDDRLQQADQQSVKGSIDSRGSGISADRNLVRSTVIAPGYVPSDADERIIVELPSSIVRPLKVVRGTFQVTSKRINFIIDESASESNMDDHASTSGQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMDMEARKNAYRAIVHSKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYRSEILNLDDPCTYRDLSKVLYYLFRVEPYTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVNLPPWAEDPVDFVHKHRKALESEHVSAHLHEWIDLIFGFKQRGKEAVMANNVFFYITYEGTVDIDKITDPVQRQAMQDQIAYFGQTPSQLLTIPHMKRKPLAEVLHLQTIFRNPSELKSYLLPNPDRCNVPASTMFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTGGALMRIFKGSASSGEDYDFPRAIAFAASGIRSSAVVAVTCDKEIITGGHADGSVKLISPDGAKTIETATGHLAPVTCLALSHDSNYLVTGSRDTTVILWRIHQAGSLHKKNAPEPPPTTPTTPRSPSVSNLTEIRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSNMSGVLLHSLRTGRLIRKLNVAEAHAVCLSSQGVILVWNESKKRLSTFTVNGLPIATTVLSPFCGRVSCIEISTDGHFALMGTCSSSNYKCEDSTETGDHEHEPNGKDGISKQAETRQSVHVPSVCFVDLHKLKVFHTMELGKGQDVTAIALNKENTNLLLSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >KN538944.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538944.1:34379:34987:1 gene:KN538944.1_FG003 transcript:KN538944.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPVDYLVVPRVVACVLALPVLTLMSFALGLASSAFLADSIFGVSTSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFVADFALSCLFFQGAGDSLKYAMG >KN538944.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538944.1:176198:177631:-1 gene:KN538944.1_FG004 transcript:KN538944.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPESVAVVAVPFPAQGHLNQLLHLSLRLASLGLEVHYAAPAPHVRQARARVHGWDDKALLSVQFHDLGISTHASPPPDPTAGFSFPSHLMPLWEAYTEEARAPLSALLDKLSASRRRVVVLYDLLSSFAAEEAARLPDGEAFGFHCTAVSCMVGKLDAGHRLLRENGLDYPPVHASVTKEFVDYVYNRAAVEQASASAAGILANSCRALEGDFIDAAAEALAAGGKKLFAVGPFNPVLDAGASKQDQRRHECLGWLDEQPAASVLYVSFGSMSSLREEQVVELAAALRGSKQRFIWVLRDADRGDVFADSGEIESGRHAKLLAEFSRQTEGTGLVITGWAPQLEILAHASTAAFMSHCGWNSTMESMSHGKPVLAWPMHSDQPWDAELVCKYLKAGMLVRPWERHGEVVPATTIQEVIEKMMASEEGLAVRQRAEALGEAVRSSTAVGGSSRQELERFVAHITRFDRMAGIPSR >KN538944.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538944.1:7379:8483:1 gene:KN538944.1_FG005 transcript:KN538944.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGTFVFAVLVALPILSLPVSGYEQNYTAGRRSTMSLGRGYGWSSGGATWYGGPQGDGREGGACGYQSAVGQRPFSSMIAAGGPSLFKNGKGCGSCYQIKCTGNRECSGRPVTVVITDSCPGGVCLNEAAHFDMSGTAFGAMASRGMGDRLRSAGILKIQYKRFAMNVAFKVDAGSNPYYLAVLVQYANGDGDLAAVHIMEARGGGGWKAMQQSWGATWRLNSNTGKPLNPPFSIRLTSGSGKVLVANNVIPSGWQAGSTYRSTVNYAA >KN538944.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538944.1:89963:90478:-1 gene:KN538944.1_FG006 transcript:KN538944.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLTAAVAALLVVVFLALFVGSCEARRLRALGRVSSLKPSSSPPTPYKDAASMKKLHGSDPTNQKKDLSSTSMDHHMASGDDAKAKDGVAMASPGAVQSTTIVVRVSNRLSHQERRVDTAFHLDYAGPRTHPPSHN >KN538944.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538944.1:148520:152370:-1 gene:KN538944.1_FG007 transcript:KN538944.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRQREARKRFREANPGLFPANPTPPADGTKKKKNNKKSMFKKTSKAGGGGAGRSKHPLRVPGMRPGERCFICKAADHVAKVCPEKSLWEKNKICLLCRQRGHSLKNCPDKNDENLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKNCPENKHGIYPKGGCCKICGEVTHLAKHCPNRGRQDMISSGDDAFNTEEHHLEDNAVLRGGDDLDDDFIEEEETKHTKAKKAKQSSSKSTTGTGDGDKNTNTKAKANSASGMAVGDECKLKFQELKSKRSFRFITFKIDERTQQVVVDRLGQPGDTYDDFTASMPASECRYAVFDFDFVTDENCQKSKIFFISW >KN538944.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538944.1:2006:3242:1 gene:KN538944.1_FG008 transcript:KN538944.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQLILSAFVVIAAVTMLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQGAVFQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCTGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVKLTFVVDAGSNPNYFAVLVKYENGDGDLSGVELMQTGAGAAWTQMQQSWGAVWKLNAGSALQAPFSIRLTSSSGKTLVASNVIPSGWKPGMSYISTVNF >KN538944.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538944.1:97318:99066:1 gene:KN538944.1_FG009 transcript:KN538944.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDAAAAAGQEQTFLNWIMAAPGDMEPQAPGLSQQQLLANAAGFGFPLQHHPGGVSSPAALASDLSSSGGRSLTSSSGSNSKATSAFGLLSPEAALQPPPATTAPFHNGADMKPPLLGLPSPTLLLNQHQPTPASTLFMPFPSFSDHQQQPLLQPPPKRHHSVPDNLFLLHNQPQPPPPAPAQCLPFPTLHSTAPFQLQPSMQHPRNAMKSTAAAAAQQQHLLDELAAAAKATEVGNSIGAREILARLNQQLPPIGKPFLRSASYLKDALLLALADGHHAATRLTSPLDVALKLTAYKSFSDLSPVLQFANFTATQALLDEIASTTASCIRVIDFDLGVGGQWASFLQELAHRYGSGGVSLPMLKLTAFVSAASHHPLELHLTQDNLSQFATDLGIPFEFNAINLDAFDPMELIAPTADEVVAVSLPVGCSARTPLPAMLQLVKQLAPKIVVAIDYGSDRSDLPFSQHFLNCLQSCLCLLESLDAAGTDADAVSKIERFLIQPRVEDAVLGRRRADKAIAWRTVLTSAGFAPQPLSNLAEAQADCLLKRVQVRGFHVEKRGAGLALYWQRGELVSVSAWRC >KN538944.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538944.1:66893:69591:1 gene:KN538944.1_FG010 transcript:KN538944.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVHPSELKIPYEYKRKRSCCMQLTNKTNQYVAFKVKTTNPRKYSVRHACGILPPRSSCDITVTMQAPVEMLSDYHCKDKFLVQSVAVGYGATMRNFVPELFTKAPGRVIEEFKLRVVYVAANPPSPVPEEEEEEEENASPQSEVMSHGVKMTSVFDAVTVSTLTDRSADKVSSAEGVSVESMLVAEREYPVEENQKLQQQMELLRAARSSQQGFSAMFVLLVFMSSGCIGHFMKQIKV >KN538944.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538944.1:84454:84927:-1 gene:KN538944.1_FG011 transcript:KN538944.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVCLSAGVATGDALATVVDEEAQLHRDVDDDAEHVGLDGRAEADGGLEVGEAADEAAAWLGGHLADLAADGAQHVGAGPELERVHGALVVPQALAVVKKRRLMAKRSTRTLNAMELILKGIYGYLLRPV >KN538944.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538944.1:154864:156984:-1 gene:KN538944.1_FG012 transcript:KN538944.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) UniProtKB/Swiss-Prot;Acc:Q2PGG3] MGSRGGSGGDGAESHGYAADIHSIREAQARIAPYVHKTPVLSSTSIDAIVGKQLFFKCECFQKAGAFKIRGASNSIFALDDDEASKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPRNAPACKVDNVKRYGGHIIWSDVSIESRESVAKRVQEETGAILVHPFNNKNTISGQGTVSLELLEEVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAALSDEFKQSSVWHESSNIGIIVSGGNVDLGVLWESLYKR >KN538944.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538944.1:183694:184830:-1 gene:KN538944.1_FG013 transcript:KN538944.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWEAYTAGAPAPLAALLGKLSASYRRVVVVYDRINDFAAQEAARLRNGEAFVMYCLAVSMLARRIAPQEHQRILRENGLTNITVEDCATEEFVDYIRRTRATKEMSPARGILTNTCRALEGEFVDAVAGNLAADGKKVFAVGPLNPLLHANAWKQGDQRQRHECMDWLDKQPPASVLYVSFGTTSSLRAEQIEELASALRGSNQRFIWVLRDADRGDIFADSGEIISRHAKLLREFTQHTEGSTGLVITGWAPQLEILAHGATAAFMSPCGWNSTMESLSHGKPILAWPMHCDQPWDAELLCKYLKAGVLVRPWEKHNEVTPAKDIQEAIEEAMLSDGGVAMRQRARELGDAIRASVAAAGSSRKDLDDFVAYITR >KN538944.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538944.1:170350:172029:1 gene:KN538944.1_FG014 transcript:KN538944.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSATSVHDFTVKGVQDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKYLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >KN538944.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538944.1:27667:28596:1 gene:KN538944.1_FG015 transcript:KN538944.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCYRRFGNPRALAGHMRSHSVAASRSQISSTSSASTSVAVGDDDGGGDAKRPIQGYVLREKPKRRVRLAESDFSDRESETEYYSSPPHGKRANTGSGDVEQVSSVSDAATSEEDVALSLMMLSRDTWPATPPPYRLRGAGYDDGSDGGAPPPAPAAAAAQKRTRFQCPACKKVFRSYQALGGHRASHMRGGRGGCCAPPPNPPPSPATPLQPLPECDGGEEEGAKPHPHECPHCFRVFASGQALGGHKRSQLCSAAAAAASGDDLPATIKSNGFIDLNLPAPFDDVELSAVSDPFLSSKPGS >KN538944.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538944.1:11329:12762:-1 gene:KN538944.1_FG016 transcript:KN538944.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAVEDAIGATYTNNHNHPKVMPAKCSMNCSTPNLTVAAMVSCTSTSLASICQDITCTTDIDALVCPKETHTKCSTLSLDVKGGIDDQAEVTFQAMTTASKVVPASVQSMDNFSSRMNANRKLATLTPARCSVKWHGPHKHFDVNPWPPPTLNASDSSKWFGILIGKHFPLGEPLKHLHIMLVPLVWDPGDSKVHLHKILFNDWLQCQYFHLGYNCWNIELVINVGVPEELCVWVSYIAVAKKEACVDQNKGVSYSEEMGFWCELYSHCYISARLIGKGNYILEPSKDQPTCLGPQNFEKGSFLFTTIDDVDRYNLDIGTVVRLFAGNFKELVKHGRGFDIGISTMQEQIDGQGIHMVWFSGVKCSFKLRLNTCWVICHLEPISMIFVLAPLKCGSFLEAWSPENAPLLDESWAELKQSCVQIEQHKYAGLASEPTTFGFHIKISRELVCVHADLFQTALVIQPQRNSCTNIKDS >KN538944.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538944.1:43463:46987:-1 gene:KN538944.1_FG017 transcript:KN538944.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQLPIDSILDDTIKIIAKRIPLLLPAGPRFRLDQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYYAPLRSGDKFIVKARLASTKGIRMIFEHFIEKLPNRELILEAKATAVCLNKDYRPTRISPEFLSKLQFFTSEGSSS >KN538944.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538944.1:62280:64433:-1 gene:KN538944.1_FG018 transcript:KN538944.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MKISANFLLNNCARTYTNKKTLKKCKRELVEVVDGLVGVMMTSSNHEKPDIESGYDGSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVANHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIEGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKTEAFFMLRGPSEVVILPVMLNFFAALLIMPS >KN538944.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538944.1:77394:79005:1 gene:KN538944.1_FG019 transcript:KN538944.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGHCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVYALKEFTHVRLRFKP >KN538944.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538944.1:74224:74616:1 gene:KN538944.1_FG020 transcript:KN538944.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGRCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPVDLSLILNYCGKRVPTGFKC >KN538944.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538944.1:120114:124173:1 gene:KN538944.1_FG021 transcript:KN538944.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSYLLEVLPRVLRIALGIIKAIICITNPIPIISSPVHFLAGPLLQVYSWSSCCCLEDFGQEPKIMASLADSFLADLDELSDNEAYPEEENAEAVGTEEIGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVENALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGDGLGEGYGMLGQAGSGKLRVSTAPSKLSAKITKKFKEKSYGSSGATSGLTSSLAFTPVQLRGFYVAILVRLISEFICACIGIELSNPQAHGNLLGSGTQSTYFSETGTFSKIKRT >KN538944.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538944.1:37501:39269:-1 gene:KN538944.1_FG022 transcript:KN538944.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLCSSHCLPARAGSIASPGSGRRVVPLGRRRASLGKVTAYAYPTTRRVVDAAKSSLLQDVPVAASNPSLQLLQDYAPAKKSAKQQNGSRTKDGFYEVEMTVQDDELDEYGVVNNAIYASYIHSGRDVFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKDDRFVVRMKVVKIKGVRIIVEHLIETLPDRKLVVDAKATAVCLDNKYRPTRVFPELSTKLHQFFLS >KN538944.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538944.1:16008:17287:1 gene:KN538944.1_FG023 transcript:KN538944.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELASDVYALPCGDDGTTALSTPVVVSVLASLLERHIARNERDQAAAADGEAARRARAFDSGTVLDMSLHAFLERFSRYAHVSPQFRLNVSVSVFQSYCRHLEREVSYGGGYQVERCLKKALVCSGEAQAQQRQAASAAAQ >KN538944.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538944.1:161011:166210:1 gene:KN538944.1_FG024 transcript:KN538944.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable copper-transporting ATPase HMA5 [Source:Projected from Arabidopsis thaliana (AT1G63440) UniProtKB/Swiss-Prot;Acc:Q9SH30] MTCAACAGSVEKAVKRLQGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIQDVGFEAKLIDEEVKEKNILVCRLHIKGMTCTSCASTVESILQVVPGVQRASVALATEEAEIRYDRRIVTASQLTHAVEETGFEAILITTGDDQSRIDLKVDGTLNERSIMIVKSSVQALPGVEDIKVDPELHKITISYKPDQTGPRDLIEVIESAASGDLTVSIYPEADGRQQHRHGEIKRYRQSFLWSLVFTIPVFLTSMVFMYIPGLKDGLEKKVINMMSIGELLRWILSTPVQFVIGRRFYIGAYKALSHGSSNMDVLIALGTNTAYFYSVYSILRAASSHNYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATMLIYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPMVIILSLLTWLAWFLAGRLHGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAAAEVNSEHPLGKAVVEHAKKFHSEESHVWTEARDFISVTGHGVKAKISDRAVMVGNKSFMLTSGIDIPVEALEILTEEEEKAQTAIIVAMDQEVVGIISVSDPIKPNACEVISYLKSMKVESIMVTGDNWGTANAISKEVGIENTVAEAKPEQKAEKVKELQSAGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKLGR >KN538944.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538944.1:47924:50200:-1 gene:KN538944.1_FG025 transcript:KN538944.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLFYDKKNVKIPAKCRNSTCEKVVVPATKPYITFQGAGRDVTVVEWHDRASDRGPDGQQLRTYNTASVTVLSNYFTAKNISFKGCIFGFLEQPKQMASCGYGGERLQPDRRGRPTFFFPVNCMHNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNGRSLYKDCELHSTAQRTAFFGMYRNWGPGADAVHGVPWARELDYFAARPFLGKSFVNGFHWLTPDV >KN538944.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538944.1:112611:114384:-1 gene:KN538944.1_FG026 transcript:KN538944.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKTRRMKPLGIQLYECVRGSPISFRSCQALVLILTFLSYASYHATRKTTSIVKSVLDPKTENLGMLHWPSHLYLQDLRDAQGNMTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHNFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGVMIAVVGLAVFLFLPVGPEMIGIEEDLHEKDAEKDDMSAPLLEERSASKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGVYLSDTAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSFSIYSNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVMAEISAKMESRRPDAAASDLPVSSMEQS >KN538944.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538944.1:22216:23754:1 gene:KN538944.1_FG027 transcript:KN538944.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGVGDGDGLGADDDDSLGDEAVRRARGGADDPWNAGGPSSSGAATHVYALRTNPNRVTRSRQVCKNCGKEFTSWEHFLEHGKCSSGEDDDDEDDADRSLQPWSPSPDADGEEDPAPAAGWLKGKRSRRCKGTGVDLSPTPSACAAGEEEDLANCLVMLSSSKVDQAGVTEAEQPSSSSASKEHKRLITFMEPTTYVLDTVMALPPPAPAPQYVSTVPRGMFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLESNAAEVAEPSHHAEVADRSEDNPGKATSDARRNVHASMDGDANAGTSDAAAELSMAIVPIEPPVAALAAAPLKKKDKMHECSVCHRLFTSGQALGGHKRCHWLTSSSADHTASVPPLADDLVPLSFRPMLDAPEPALDLSIAANPPLLASAATVRPKVGGSSFHLDAPPPVYIPSSPAIPSQRNKATATTGSQNANDAVGLSTAAAEDEADSTTVKRARLSDLKDVSMAGETTPWLQVGIGSSSRGGGDDNDKE >AMDW01032955.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032955.1:291:467:1 gene:AMDW01032955.1_FG001 transcript:AMDW01032955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILE >KN541719.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541719.1:4629:8782:-1 gene:KN541719.1_FG001 transcript:KN541719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKARVVQTLLFVSGIKTLLQTLFGTRLPTIIGGSYAFVVPILSIIRDPSLAQIADGHTRFVQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPMVGRCVEIGLPMLILFVALSQYLKHVNVRHVPVLERFSLLICVALVWVYAHILTASGAYKHTALLTQFSCRTDRANLISSALWISIPFPLQWGAPTFSANHAFGMMAAVVVSLVETTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAIYCVMFGIVAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYTTSAQQGPAHTKAGWFNDYINSVFSSPPTVALIVAVLLDNTLDVREAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >AMDW01040512.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040512.1:186:1460:1 gene:AMDW01040512.1_FG001 transcript:AMDW01040512.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WGTDEQAVIGVLAHRDATQRKQIRLTYEENYNENLIQRLQSELSGDLERAMYHWVLDPVERQAVMVNAATKCIHEDYAVIVEIACTNSSSELLALLLALVSTYRYDGDEVNDALAKSEAKILHETVTNGETDHGELIRIVGTRSRAQLNATFSWFRDERGTSIAKALQHGADPTGYSHALRTAVRCISDANKYFVKVLRNAMHKSGTDEDSLTRVIVLHAEKDLKGIKDAFQKRASVALEKAIGNDTSGDYKSFLMALLGSAI >KN541716.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541716.1:4398:7823:1 gene:KN541716.1_FG001 transcript:KN541716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPPPAYANRPPQPPSTPPAAMINSSGGSGSYSGGEILPPPSPGAALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGVLPTGKEIAVKQLKVGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFESKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTSQTYMDDSLVDWARPLLMQALENGNYEELVDPRLGKDFNPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDTSSQYSAPTSEYGQIPSASSSEGQQTQEVESRTTKRGGYSGYSSGYSGAS >KN541716.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541716.1:15129:15985:1 gene:KN541716.1_FG002 transcript:KN541716.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEENFVDDDADVKSMSSSSSLSSLFDLDLTAFGDRWVVSGGKEEVDFAGGGEAVNVNVASAEEDGGGGVRFDKGFVLGLDELPNNRIRFSLNPFEMIASKFLDNRIYSDLCFK >KN541296.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541296.1:8869:12284:1 gene:KN541296.1_FG001 transcript:KN541296.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTKWTTKPINKTTRSIENIVERYEHSQAAQKGVHGRCILQKRKSKDFQVPKETIDKGPINDDMRPIDEKDVSTLNMEQISQIEILLEDELRWTRARKVVADRIAKLQKKVQKKPATAETESNSTEMPPDHEKKQVAGGSQQSAEEEEEEVEVVLSHRLSLATGDRDDGGTAEQRPRTTPRAVDLNVPCQVSGQLQ >KN542071.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542071.1:1256:1717:-1 gene:KN542071.1_FG001 transcript:KN542071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLFGDASIVEEGSDAGGVVETGNMVVTKSCGIACTSGVGHKCVLVVGAMMETGGGAVDEISRSLGIDGCAIVEDIDAMVVIKVPPAVAFIDIVVSAVGGLGGSSPPADGQAAEPAKAPPWGFICIDADGRRATHYNVIRAENTIRVRRVS >KN541296.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541296.1:21516:21728:1 gene:KN541296.1_FG002 transcript:KN541296.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVLFVVLSPGLLIELPGTHRWVDFGSLRVTGKSAVVHTIVFFVLFAIVIVACKLHIYTGA >KN541296.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541296.1:18242:18451:-1 gene:KN541296.1_FG003 transcript:KN541296.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVATVLFVLLTPGLLCTVPGRGRVAEFGSFHTSGLAIIVHAVLYFALLTIFLIAIGVHIYAG >KN538738.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538738.1:280238:284041:1 gene:KN538738.1_FG001 transcript:KN538738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHKIGEFFSHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIKICITEKAADTMLPCRLKASTIHAETGKDPTKFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVSGLHTMLWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGTISFDPEFVDIVIIEFGFDLSSEN >KN538738.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538738.1:322534:327596:-1 gene:KN538738.1_FG002 transcript:KN538738.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNNISLGGRGGNNPGQFKLYSGGLAWKRQGGGKTIEVEKSDITSVTWMAIPRSYQLGVSTKEGLFYRFFGFREQDISSLTNFMEKNMRITPEEKQLSVGGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQVLWQAILNKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVQRDLTLSDEVLAEKYKDRLENSYQGLIHEVFSKVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGEAQGRAGGVTAVLQSTDDDAVDPHLERIRNQTGDDESDEEDEDFVADKDDSGSPTDDSGEEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYVEQSQVDKKRYAEESAAYRGAAAMDVDSGPASD >KN538738.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538738.1:214378:216347:1 gene:KN538738.1_FG003 transcript:KN538738.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFFEPFTDFDYLTVLAAGGFPLMDDGDFFAGHHPDQPPSPAEVVVAVGGGGGGRRRSFQADDDDGAMGVVSRSSKRTRKAPSSSNSPSHSGGGGEGNEPAAETERGGGRRVWVRERSTEWWDRMRDPAACPEADFRRAFRMPRAFKNTNFI >KN538738.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538738.1:297352:300544:1 gene:KN538738.1_FG004 transcript:KN538738.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLNPEAFLISNSYSYSSSPFMASYTPELSAAAIDADLFSGELDFDCSLPAPAFAGAGEEYPENENTMLRYESEEKMRGRVNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRKRLRMAMGHGRVVGRTAMEFSMLVFARAIAGQG >KN538738.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538738.1:309212:313924:1 gene:KN538738.1_FG005 transcript:KN538738.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAAASPPELASFLAIGLDQRTAENALANRKVTANLTAVIAEAGVSGCDKSVGNLLYTIKTPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSTVTDILKENMEAIVEQRYHINVGSLCGQVRKWHPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQQIVRWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLQRRGVSSTAINSFIRGMGITRRQGEMFYSKRCFHLSISAEPFLVVNIVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIVEIRAEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPK >KN538738.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538738.1:178932:190698:-1 gene:KN538738.1_FG006 transcript:KN538738.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLPHLALLALLAVASGNPSLGGFDRGDAEAEALRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSAANITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNSSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREYVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSWPLRVDLCITSLQPKGIQACNLGIWAGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >KN538738.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538738.1:305728:306848:1 gene:KN538738.1_FG007 transcript:KN538738.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDNSKIKRARATNWPTVMSKFVLDWYLQKKKEMPPKTKFKKMHHHYCTSVLNARFETTFTVDQVHRHFRRFKEVWNIVARYMNVSGSRFDKKHKMLILPPSALASLPIAERAILVKPIPFFDHIQALFGEWSVDAAPVTDPIEAADINDDDMEILDPLNMMANCADARDPDGADLDKFVLEDEDDCHEVAASSDAVPCEVMSDTSAPSAQPSGSFAESTMAALKPGLKKCKVVSRTKTTPNPKPQAPVPHDGRKADMVKSTLAGIRDTPAKPIRAAPTSSDPNAPLWNMLKEIPLTPADRLSVGICLCKPEFEVHRSFFMNMGREYLEAWAHKFLSGGETGSL >KN538738.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538738.1:225338:225658:-1 gene:KN538738.1_FG008 transcript:KN538738.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRGFVADDDAFARSVDARFEALNTNGDGVLSCAKLRRALESFLLLYGAGFGSAQLAPVPAEVSALYDSVFEQFDADHSSAVDRAEFRDRMRCILGS >KN538738.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538738.1:168674:172972:1 gene:KN538738.1_FG009 transcript:KN538738.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDGILQIDDVTTPIVSNVFDGSGELIAGVASVGAVITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATISGLL >KN538738.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538738.1:314912:319926:-1 gene:KN538738.1_FG010 transcript:KN538738.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQAGMGLTRVVVLIGAGMAGSVVLRNGRLSEILGELQEILDKGEKGKDGEGGGGADMTDALTRQVRNLAMEVKQLASSRGSITVLNGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFADLMYVTKRNMANAVSSMTKHLEQVQTSLAAAKRHLTQRIERLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKQLVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQNGGKLPERLEGSKMAGKRFGSQNLIQGLQLAIETGNFDKETFNALKNNSDSR >KN538738.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538738.1:250306:251720:-1 gene:KN538738.1_FG011 transcript:KN538738.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLELEPFSTPLFEIPDDADFEYTSMLAADDFPLMDGDFLADQPPAPAEVVAGGGDASGKRSFHANDGEAIIILQVCAAITAVLLTRVVRWPDSHASAASRFQALSGIPGVVGAVRTEHVPIVAPRENAGEYYDRGLTDRNNKATYSVAMQAVVDADGAFTDVCIGHPGSLSDAAILAKSALYARARWQCLRRAEVKLPELANMLAACCVLHNLCERSGHGLDADLLDLLDDELVDDGVVAGGGNTVRSPAAEQVRDRIAHGLLDQYVGNASLSG >AMDW01029948.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029948.1:8:310:-1 gene:AMDW01029948.1_FG001 transcript:AMDW01029948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQ >AMDW01140134.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01140134.1:205:459:-1 gene:AMDW01140134.1_FG001 transcript:AMDW01140134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EINEREKREEELREEREFTTWVAATSTDRYARVNLPPMTPEEEAEVEAAVRHHKCDDDFSVLRPEGHEEIKRRIGNDGILRQFD >KN542940.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542940.1:6873:7473:1 gene:KN542940.1_FG001 transcript:KN542940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHERFVVRFPEAMPLDKAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGMTATVISSSPGKRREALERLGADAFVVAKNADEMNAATGTMDGIINTVSANIPMAPLLGLLKPNGKMILVGMPEKPMEIPPFALVASNKTLAGRELHRSAAWRTRRR >KN542542.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542542.1:8457:9915:1 gene:KN542542.1_FG001 transcript:KN542542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLKRLVVEKYGGPNQPEQRLPTIYRVPGEMKRRDEEGNSYSYLPVAVQIGLLRYTRQQSREEDYRVLELYKWRCVRSLIGRHHLLQEPTRTPELLRRCLSAINGFLPRILASYNFDAEALDVGQRHVVLGTMLLDGCFILRRLLKFARIASEEQSGAKASSSSSRCGTGSASSGGQDDDEDRAVLFGRCWAWSFVTCDLLLLENQIPFCVVQTLFHQLRTDADDTSDVLVAGALRLFSSLRPRKLYSSPISCRDVHVHHLLHLFYLSVGFPPPDAAAAPDDDTSEHLVPPSELPQWIPCARELEEAGVTFRPRKDATSFLDVRFAGHGGVLEIPELQLWPRWRAALVRNYFSNPWVATSLAAAVILLALTMMQSFFAAYAYFKPPKQ >KN542716.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542716.1:36:6056:-1 gene:KN542716.1_FG001 transcript:KN542716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVAGGGDASRRRAAAIVSECGTDHMLKECTDELINWVDMGVAPDGRSGVELKRPKLSDAAIVAPDAADGAIVVDKVYRHYKPMLQPPSSGSGTPVTAAATSPFKCRLSVTLRRPTNLMEVLMDMLRQLQSEGCVESMGDDVQTWDLDTLKEKIKNSLRGQRLLLFLTNADYLDIWFPIEEVLASTDCDHGSAVVLSSKDREVANKLMPPLMNTSTISDNMNNGSASAAAAAAVEVEVEEDKDLESNNSKSKSKSKLPLMKTVSYSHVDFHYKKANQLMLQLTSSYNTSDAVKQVLTRCDTDDFCAKVFLHALHNNPNRTADELKILTENLAPDRCSNDPLEKRVRLAAFCYYGLPDRYKNCLWYAAAFIRGSYDIRRASLTRRWIAEGLIIRSGQPTEQEEAERCVDTLLSLNLLIPKERERGVIEGKVKTCSVNTPVIDIVNGSRSISASTVDDFLDTNQLPLDLDLHFSVRNGIMIRQLDAMDGSTMEPRPPAPKKQLESVMEFLRKLPSSSRLRLLRVLDLEGCGVIITNRHLNNICQIRKLKYLSLRGTNIVWLPKKLHQLELLETLDIRQTRVCVFESTLPKSLKHLLAGRVDCLGDDAVTVKSKESFSTVRMPSGIPAGDMSKLEILSHVWVSDSAKELDNLGEKLKQLRKLGVVLCGGSKANLKDLFAQINKLHTTLRSLSIRMKPVSSWGSTEAVLMTPPLLLESLRICGVRDWLPRRMKELNNLSKLTLRDTLLNEDNLAVLGALKGLRCLRLRYHSFDSGGLTFSSDTFPNLVGLVIEDDMLVTITFAPGTAPKLAKIIWSFQRMESLTGIKNLQSLRRIELNLLAGNGATDDYPQLKQEIKEHPAMSPSPLSSSFFSLGRCSIPESGRASMESKDQIIISSLGAAPMLTKSMLVMSQPSTSRDLRWWNSSVLK >KN540475.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540475.1:34729:35447:1 gene:KN540475.1_FG001 transcript:KN540475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELPEFDICVASIPYGISSPRADREAAVRLPPVQGGDALLVQAQFARRLMGAPGHGERNILATNARLVADVRLLMDQTRPKEFAAGVGLDEWLAFTRVCTGQHKLQQQHQPPPKKKKNKRKTLGVIFKQDEMVMELLRLAQGHAGGGNNEDDCDGVASGFRKEEVVAFKERIAGALRSAALTGKTASQLPNGELLRLIASPLHSPGDTLPVEV >KN540475.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540475.1:5684:10827:-1 gene:KN540475.1_FG002 transcript:KN540475.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIALHAVLPPAAAALRRRPTRLRASSTEAPASGEKGQEDADKKRGMRRRTRRRARKVQEVGLEALYDDGFGEATESTVLEWSLSCSTNLWAKYLRSAACIYRSMIVHHMKAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMALVSIQNNTSPQDTLQSFSDSLSSMLPLLSEFGHIVQMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGLNIELAEQAAQWPVWPASSDMTGEHRGVQSSKNGSEAAISIIKIGHVQPGEKQEEKSTNKHGHYS >KN540475.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540475.1:29571:29825:1 gene:KN540475.1_FG003 transcript:KN540475.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE >KN540475.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540475.1:21316:23628:-1 gene:KN540475.1_FG004 transcript:KN540475.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIALWRQRRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKSKDLDYYYRSLGELVEHDSGSPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVEMVEDVVRAEHATSPSKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLEALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTGLYRHSRRYHRVFDYIPPSATELKEVDKAGR >KN540475.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540475.1:18977:20861:-1 gene:KN540475.1_FG005 transcript:KN540475.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSFDP >KN540475.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540475.1:30654:33583:-1 gene:KN540475.1_FG006 transcript:KN540475.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSVENNLSPPETLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYTNSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLILRKQNLQKYQRNLLMQALPNYFGQEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFDEDHKLFHLALSPVMLSTLKNGKIVRGLAGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAEVPTFEP >AMDW01035174.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035174.1:44:256:1 gene:AMDW01035174.1_FG001 transcript:AMDW01035174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALANTRADGVGELYREGTASLLNSMVNSKFPFTTQQVKDAFSAALSSGGDHAAAAQAQLFKKANEGHVMR >KN543337.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543337.1:832:4471:-1 gene:KN543337.1_FG001 transcript:KN543337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGSGGADSFGAALVEPWLAAHADPSRSILDRSLYFAVDRNHLIDAFSVDGGSMSCTVVILIIGEKKQHKIFKMEIRCVLLGVSCGANIADYVARKAVEAGKLLDPIKVVAQVLMYPFFMGTSPTQSELKLANSYFYDKSTCLLAWKLFLPEGEFSLDHPAANPLVPGKGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPLAQACAEDIAIWVKKYISLRGHELSY >KN542201.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542201.1:48:6671:1 gene:KN542201.1_FG001 transcript:KN542201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase DEAH10 [Source:Projected from Arabidopsis thaliana (AT1G26370) UniProtKB/Swiss-Prot;Acc:F4IE66] MPSFPSSGASPQKQQHNARRRQLIRQQRKSLPIASVEKRLIEEVRKNDTLIVVGETGSGKTTQLPQFLYDAGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSIYANKNGKILPDIQDQSQYFTLKACQGTKIDPLKLIIMSASLDAKCFSDYFGSAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLDRLIQERARQLPPQRSKIWTTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQESEFDKLVDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSWTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALIVASEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKSFESSEGDHITLVNVYRAAKECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHACDVHSQIQGHVQQMGLNVSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFQKKPDCAIFNELVRTSQNYVKNLTRIDPVWLAELAPQYYATED >KN542201.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542201.1:7539:10386:1 gene:KN542201.1_FG002 transcript:KN542201.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >AMDW01029108.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029108.1:258:398:-1 gene:AMDW01029108.1_FG001 transcript:AMDW01029108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQ >AMDW01038965.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038965.1:131:352:-1 gene:AMDW01038965.1_FG001 transcript:AMDW01038965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RCDSSDGWYSSRRSGAVEDLGAPAWGCGSVKRPASYLQINTDEDEEENRRTTGEFVGERNPTTEERDLLRESG >AMDW01030732.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030732.1:12:348:1 gene:AMDW01030732.1_FG001 transcript:AMDW01030732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPETATKEASKNTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEELNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLH >KN541319.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541319.1:17300:18686:1 gene:KN541319.1_FG001 transcript:KN541319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDGSGCDFWYWEEGYMNYLKKNSLLAGDEVADAGLKKAGKLDEAVVLREDDEAKQTLIALMSIGRELVVVLKSMSVVGWLGVVVLVSILVVLMMK >KN540189.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540189.1:16317:19843:1 gene:KN540189.1_FG001 transcript:KN540189.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKFIPLLAVFIISCSLPLAISDDTDTDREAQLCFKSQISDPNGSLSSWSNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLSGSIPPCIGNLSSVASLDLSRNAFRGKFPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLKVLGLSNNSLQGEIPQSLTQCTHLQQVILYNNKLEGSIPTGFGTLPELKTLDLSSNALRGDIPPLLGSSPSFVYVNLGGNQLTGGIPEFLANSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRNNLVGSIPPVTAIAAPIQYLSLEQNKLTGGIPASLGNLSSLVHVSLKANNLVGSIPESLSKIPTLERLVLTYNNLSGHVPQAIFNISSLKYLSMANNSLIGQLPPDIGNRLPNLEALILSTTQLNGPIPASLRNMSKLEMVYLAAAGLTGIVPSFGSLPNLQDLDLGYNQLEAGDWSFLSSLANCTQLKKLALDANFLQGTLPSSVGNLPSQLNWLWLRQNRLSGTIPSEIGNLKSLSVLYLDENMFSGSIPPTIGNLSNLLVLSLAQNNLSGLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDLSHNSFGGSLPSEVFNISSLSQSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGNCVLLEYLHMEGNLLTGSIPRSFMNLKSIKELDLSCNSLSGKVPEFLTLLSSLQKLNLSFNDFEGPIPSNGVFGNASRAILAGNYRLCANDPGYSLPLCPESGSQSKHKSTILKIVIPIAVSVVILLLCLMAVLIKRRKQKPSLQQSSVNMRKISYEDIANATDGFSPTNLVGLGSFGAVYKGMLPFETNPVAIKVFDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMGANSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNNGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSMASPKDRLGMAQVSTELQSIKQAFLELSSGGKVV >KN540189.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540189.1:36163:45269:-1 gene:KN540189.1_FG002 transcript:KN540189.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRVTALDLSSCKLDGLIPPCIANLSSIERLDLSNNSFHGRIPAELGRLEQLRHLNLSVNSLDGHIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLGELKILNLATNNLVGNIPWLLGSGSSLTYVDLGANGLSGGIPEFLANSSSLQFLSLTQNKLTGALPRALFNTSSLTAIYLDRNSLVGSIPPVTAVAAPIQYLSLAENNLTGKISASIGNLSSLVGVSLAANNLMGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYKLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSRNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGGLINLGSLSISKNRLTSNIPSTLGKCVLLESLHMEENLLVGSIPHSLMNLRSIKELDLSSNNLSGNIPDFFASMNYLKDLNLSFNDFDGPVPSTGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLIFLLTVCLKRREEKPILTDISMDTKIISYKDIVKATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYDHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDGLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLAFAQDQRQYGVVFITHSAHQSLSTHLADRNVRYMPVSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMVCWTDITHWMWALFMESWGSWRNDSLNLSPIPFTDPVTNLPLWYMREESPLLLYGFSKEIVECPDQYHTVGCFLNVQLLFTMLAEFLIIRYFRNSGSTAAALFAGIPQFYWAERLHWLGVAPEPLRRQHLIPDTDNASSINNAADMLIGAIKSALSPEIKAQATRIANKLSSEDGIGEALRILKERVLPQIES >AMDW01022175.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022175.1:25:285:-1 gene:AMDW01022175.1_FG001 transcript:AMDW01022175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVRPWAHKPGARLITQCVTPDRVTAFHDAMVDIN >KN540189.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540189.1:25001:32853:-1 gene:KN540189.1_FG003 transcript:KN540189.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLAQTNALFRKSLVIQRRAGKTNCCIVLFPLLLFSAIGGLQIAINVEMLRGLAAAASVDCGGCGGGVAVAANATGGLDCPTPYKAEADDELDFNSFEVSSFLQKTCTPNQTLSFTYQSGNTTETKHVQCTQGLMLWRDSFWLINDELYRGYYQGNNKKKTNEIAAAYDFLSSDQGNFNVFISYNSTKKFDAYEQDISLTFNQGAWQAPRLVQVSRLINMASNAYLHLRASGLKISFDFVKDMPRAARPMRPIDISSLIGQLPYVWTMELLFPVILTNIVYEKQKKLRIMMKMHGLGDFSYWTISYCYFFLLSLLYVMSFTLFGSVLGLRFFRLNDYSVQFVYYLAYMNLQISFAFLMASCFSSVRTATVTGYFYIIGSGLLGEFLFRSYVEDVFLSRSWITLLELFPAFSLYRIIYEFAQSALLGNYMNSSGMKWVDLNDPKNGMRSVLTIMVLEWFLFLLLAFYLDHFGSFQNGIRKAAVLFHSHVDKNRFQATQQTIQLQEFKASADNEKTDVIKEKVYHGKDGNAKKIAVRGLSLSMPRGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIQFEMNKIYAGIGVCPQHDLLWETLTGREHLLFYGRLKNLQGAPLSQAIEKSLKSVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFRAMEHAKRRMNVLAWGLADTTLEDVFIRVARESDSTSSSVA >KN540189.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540189.1:21940:23370:-1 gene:KN540189.1_FG004 transcript:KN540189.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVPGGGGPFAEAGGGYGSGGGGPGGIHEPPISFVYNNPYIPTGKSTWNVSLARPQDIVRT >KN538808.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538808.1:66171:66566:1 gene:KN538808.1_FG001 transcript:KN538808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSNDTVNVASEVSSILSKLNDHLAGADEAKEPAAGTSIITLAGENNGATMEVAGDVEDLVVVEAGGDEDDDDDEESVVSAYTNSNYQALNNSVLVAGSCAVKDPGVHVVIVEHVDEIRDYDDDVRDE >KN538808.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538808.1:92565:93601:-1 gene:KN538808.1_FG002 transcript:KN538808.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYAGVLLILQLQIAVAACCCWGLEVGYYGATCPDADAIVRQVMERRFYNDNTIAPAIIRMLFHDCFVTLMSNRSLLQVDQEAATHAATAGYVAYYAANPDVFLQRFSEVMAKLGTVGVLEGDAGEVRTVCTKYNTS >KN538808.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538808.1:38682:39858:1 gene:KN538808.1_FG003 transcript:KN538808.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAADGDDDETTCMRALELIFTFVVPMTLKATIKLGLLDALTGGGRALTADELAAAAQLPAEAASSVDRMLRLLASLDVVKCASTDSTGGEAAVRRYTPAPVCRWFAGERSLAPLAMFLLDDDYLSTWNQLPAAAMVQQSTVVIGKLLERFQGFDGVSVLVDVGGGTGATLEMITSRYNNITGVNFDLPHVIAQAPSLPGVKHIAGNMFESVPNGDAIFLKVR >KN538808.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538808.1:72148:73205:1 gene:KN538808.1_FG004 transcript:KN538808.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVKNMVIAILVPLPSLLFFFSFVRPTTTTTPSPVSSWCAAHPLLLANLLFLFNVDLLFWLIGNLLSNHWLIDLYWTVIPVMLLHYYRAHPAAVADTARSAVAVALTWVWSARLTHNYLRREGWQWGKREDWRFAEMRGQYGRAWWWMSFFAVYLSQQVFLIGICLPMYAIHSTTQPWGVWDVVATMACVAGIVVAHFADTQLHRFVTTNEKLKKVGEPTVATMEDGLWRYSRHPNYFGEQLWWWGLYLFAWNVGQPWMVVGPLVNSLCLGYVTVLVERRMVKQDHRAEAYKLYRKRTSVWIPWFRKSVPQPYNHKDN >KN538808.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538808.1:80255:83799:-1 gene:KN538808.1_FG005 transcript:KN538808.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQVGALLLRHRSTPSSTLRHHLPLPVPDQGEGGDGATKPFIAFVLGGPGSGKGTQCVRIASDFGFAHLSAGDLLRSEISTGSEKGELILNIIKEGKIVPSEITVELIRKAMESSDAKRVLIDGFPRCEENRIAFERITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYTSRGKVHKINATGTEEEIFGAVHKLFSSLRF >KN538808.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538808.1:98680:99946:1 gene:KN538808.1_FG006 transcript:KN538808.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFKMEAAIKTSNKWQITDTPLLRWQKKLKRASEECDDTLRKCKQRALEERDRSAGKSLRYQTLQDGSQYHFKICPMSFEERGLEAMLFFVYEDSKVPKNSFRLGLMLRLSESTDVMGITVKCLQLVTPHFNSTPEIVIREFTQLPTQDFSWLPPYNDYGSMEYWNNVQTTLAQWFRPDPLCCSKGYVPACSSSYTKTSSLSSIFPEPVSKVFLQCHISLSEYNKLQGSSSTRLYGTSSLDNFPPLKLGILFMPHDSVEDLKPANAVESYAVEVIDGEKQEKGHVNVHPHQLDEILLPKATEYLYHNAEATTYQMYWKSKHGSAHLCVIVWRRQGLHELAEPRGSKA >KN538808.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538808.1:10121:12562:-1 gene:KN538808.1_FG007 transcript:KN538808.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYIFLGLLLFSLQAPPCPAATDTLKAGQVLSAGDKLVSRNGKFALGFFNPSANISKSSDNISSSWYIGIWFNKIPVFTVVWVANRERSIAEPELKLTQLKISQDGNLAIVNSANESIIWSTRIVNRTVASMNTSVVLHDSGNLVIESTSNAVLWQSFDYPTDVALPNAKIGWNKVTGLNRVGVSKKSLIDMGPGSYSVQLYTNGTRRVTLEHRNPSIEYWYWSPDESGMKIPALKQLLYMNPQTRGLVTPAYVNNSEEEYYSYNSSDESSSTFLLLDINGQIKFNVWSQDKQSWQSLYTQPVDPCRSYDTCGPFTICNGNSQPFCDCMANFTRKSPRDWDLGDRTGGCSRNTPLDCTRNTSSTDIFHPLIHVTLPRNPQTIQEATTQSECAQACLSSCSCTAYSYQNTSTCSIWHDELFSVNQDDGIEIHSQDVLYLRLAAKDLQSLRNNKRKPNVAVVIAASVIGFVLLMVGMFLLIWRNRFEWCGAPLHDGEDSSGIKAFRYNDLVHATKNFSEKLGAGGFGSVFKGMLIDLTTIAVKRLDGDRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGRKRLLVYEHMLNGSLDAHLFQSNAGTLSWSIRYHIALGVARGLAYLHQSCHECIIHCDIKPQNILLDASFAPKIADFGMAAFVGRDFSRILTTFRGTIGYLAPEWISGVAVTPKVDVYSFGMVLLEIISGRRNSPNEYTSDNYHISYFPVQAINKLHEGDVRNLVDPQLCGDFSLEEVERVCKVACWCIQDDEHDRPTMSEVVRVLEGIQELEMPPMPRLLAALTKSSDVGSI >KN538808.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538808.1:153163:154769:-1 gene:KN538808.1_FG008 transcript:KN538808.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVAAQPATGDKRPVLLLRRINDRLAAELRSRFRVLNFYESGVDHVDLAECARRGVAVAGAGTVFSTDVADHAVGLLVDVLRRISASDRYVRRGLWAARGDYPLGSKLSGKHVGIIGLGSIGSLIAKRLQAFGCTISYNSRRPKDSVSYNYFPDITDLAAASDVLIIACALNNETQHIVGSGVLEALGKDGVVVNIARGGIVDEAELIRALKEGRIAGAGLDVFEKEPDVPAELLSMDNVVLTAHEAVFTTESNWDLADLMIANLEAFFSGGPLLTPVLPK >KN538808.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538808.1:129351:130226:1 gene:KN538808.1_FG009 transcript:KN538808.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFFLDFRLVTVSLACLTISRTAFSLLERPSAPGVASRRLLVFFFLDKEVGEGAGEVAERLAEADGLGDDALLLLARLGVGGGSRRRRVGEAVDAHEARDEAGRARRLGLGGRRGRPGWREGVEGRLDLDADLDVAAEQVGVEEVEAVARRLEARLARRSKGRRRRRRAGDDGDVGGGGIGWTADAAGAGGEDEVAHDGHAARVGVGRWPPVPERRRRRRGGAGPGEPMVSGASLHGNKLQV >KN538808.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538808.1:76193:76966:-1 gene:KN538808.1_FG010 transcript:KN538808.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPSRRGSYISLFWCLFNLGGVLGGLLPFSLNYHRAADAASVNDATYIAFMAFMLLGAGLSLLLLPASRIVRDDGSRATRMSYSSVSTEGWEILKLFANWRMLLVLPAAWASNFFYTYQFNNVNGRLFTLRTKGLNNVFYWGAQMLGSAAIGYFLDFGFGFASSSRRRRGLCGVAAVAVLGTAIWGGGLANQLRYADGNWGERLIDFKDGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRFPT >KN538808.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538808.1:44354:51913:1 gene:KN538808.1_FG011 transcript:KN538808.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEDNKAPSPPPPMESDVAGEKRKREDDASSSAVPAAANNSGGAQHPMWKTSLCSFFRRRAASSADGCSHGDSCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVAAEEVEEEVVTVDDKALDKCLVGLPRGWANDRLKTFLQDKATTTTSFITLSCFTTVYSNYFSTFGIVWILPSVLVADGYSYDGINMKGISYATAKKKKGMTVGFVTFENIEQLKNAIEVLTENQSGGKEIKIADANRRSHQKLHTEKPVSDNGVATENRTSVDVPPGETSAPEAAISSKKSVRDAVTPLAHMSYNDQLEHKKNSVAQILKRLTRNARKACPTGIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKTVGFMLGNFREGVTAVEEPVDCPNVSEISCKYALMFQDFLQSSSLPVWNRVDNCGFWRQFTVREGRCPTQAVAQNAETQISEVMLIVQVCSTGVDDALMKDEFDKLTVALQQGAATCSPPLPLTTIVVQDHKGISNAAPSDCPLIPLLVPKVDQSEGTVDKTRIHDHIGNLRFSISPTAFFQVNTLAAERLYTLAGDWANLNSGTLLFDVVGIEMNESAVSDAQRNALINGVSNCRFVCGKAEDVMGSLLSEYLGSPQQDIPVSEGALSGTVKDEEVIDGSKNSGENLDSSMQKNDNGESQQLKDAPADSSSAVDEIKGNSNDRVGNGLEGSHDEYNEVAGEDIHGEASLINESVDLKVSDCLEDRKTSDDGSSISDNDVTAATACQFEDIVAIVDPPRVGLHPTDQAYTLNLIGDQGIEDSSTNSTSSVNPKRGQGVPVFI >KN538808.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538808.1:3793:6285:-1 gene:KN538808.1_FG012 transcript:KN538808.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPQSYAFLGLVLLLFSLHPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGSSKSSDTSTNSPGWYLGIWFNKISVFTTVWVANRERPITIPELNLTQLKISSDGNLVIFNHATEAIIWSTRIIIDSHRTQETSSTNTSVVLLNTGNLVIESPSNVVLWESFDYPTDVVLPGAKFGWNKITGLNRQCISKKSLIDPGLGSYSVELDTNGTKGVILMLRNPPKVYWYGLTSPTLIPELRSLLAMDPRTRGLIIPTYVDNSQEEYYMYTLSNESSSSFLSLDMSGQIMLNVWSEANQSWQIIYAQPADPCNPFATCGPFTICNGNSNPVCECMESFTRKSSQDWDLGDRTGGCSRNTPLDCTISGNRTSSADMFHPIAHVKLPYDSESIQDATTQSKCAQACLSSCSCTAYSYQNNICSVWHGDLFSVNQNDGIENHFDDVLYLRLAAKDLQSLSKNKRKPIVGVVTTISIISLVLLIMLMVLVMVWRNRFKWCGVPLHRSQGGSGIIAFRYSDLDHATKNFSEKLGEGGFGSVFKGVLRDLTVIAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQGDKRLLVYEHMLNGSLDTHLFQSNATILTWSTRYQIAIGVARGLSYLHQSCHECIIHCDIKPQNILLDESFTPKIADFGMAVFVGRDFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSYGMVLLEIISGMRSLPNVHSSNSHHAAYFPVQAISKLHEGDVQSLVDPRLSGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVLVLEGLQEFDMPPMPRLLAAITRSSNVAEM >KN538808.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538808.1:87538:88873:-1 gene:KN538808.1_FG013 transcript:KN538808.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLDLGAIFQSGCPLLPPRPAVRRAPTRRRAVATKISCIGWDPEGVLGPPQGGHIARLEFRRRLERDSDAREAFERQVREEHERRRQEREARVIPDTDAGLVEFFLDTEAREIEVEIGRLRPRLNQPFFDYIQREIAQIKFSITRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVSAKERLTKILQSSDKKSTLLEMVERNELNMSILTLLDENIASAKTNNQEEAVAFMENVRSSILKYITV >KN538808.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538808.1:155736:160838:1 gene:KN538808.1_FG014 transcript:KN538808.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVLLLCRLFPGTFTDVAHRFRLLDFYASALPIRAFLAAVAAHADPPRVVLVFGGGPIPVGADLLDAVPSLRCIITVSAGTNHIDLRECARRGVQVANAAGIYSTDVADYAVGLLLDVLRHVSAGDRFVRRGLCPEQRGGDFLPLGSKIGGKRAWAPYKFYPSVRELAAESDVLVLSCALTEETRRMVDREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVVGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >KN538808.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538808.1:115032:124355:1 gene:KN538808.1_FG015 transcript:KN538808.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGVAAGTRWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAEVLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISKTRKDVQQFHIVFAITGQEKMSTSNLFELNCLCQNVISKVSGKRVGIIGLGNIGSLIAKRLGAFGCVISYNSRNPKHSVPYTYYADVRALAADSDVLVVSCALNSETRHIVGGEVLDALGEGGVLVNVGRGANVDEAALVRALREGRIAGAGLDVFEGEPKVSPELREMENVVLTPHVAVWTAESRSDLRDHTVANLDAFFSGDPLLTPVMLP >KN538808.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538808.1:58058:60883:1 gene:KN538808.1_FG016 transcript:KN538808.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLHLQGTSTATTVPLQGDPASDHHPHLSIDIPPAAASMSPAPTQAAAADITPTPTTSILSTKASTPAGSCSSRSTSVAPKPQRSPSFMLRQTVKSLLPVGSFKSSVKFFNARISRTSSLPVSDVSQEQADKTSTTHAVDKAGHMYRSQSLPMNMKKLNNGKSFKRMNSLGGVYRVVPSTPSVPVTSSNVIPDIVPSEPGDEDGEDIAEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKHCAMKWFTMKGTRTCEVCKEDVQNLPVTLVRVQSMQQPELQTNPANASRYDRLRMWQGAPILVIVSILAYFCFLEQLLVARDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYATIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEIIRWRAARAAAAPPAQTRHRRRRHGRRQQQPPPAQPAASSAAVADVENPPV >KN542903.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542903.1:114:452:-1 gene:KN542903.1_FG001 transcript:KN542903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFALSLSLCQTCVLATLLCSDPCLKLKTIEEKIGRGCRGERKEGRKKATMVDLVNGVLNWVATPAMVASLLLFYPPYYLFKSVHSFLSYLFPDDLARKVVLITGASSGMGE >KN542903.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542903.1:5526:6476:-1 gene:KN542903.1_FG002 transcript:KN542903.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYVRCIDMPRPWSSGSYLLLTGDDAGVTPELHPYRLLSVSLHLTGTGRERRRIHVEMEALSPDAGSWGPTTAIPVEIAGGGEYGSPRALLIRTPAVVDGVAYFLGGHPSLVFDLQYQRRQLPYDYFILCVDVSGETEIGSATSPATITRLPTELRLLSSCTGEADVSPGQLLLVPSSGGGDRKSLALLVGRRTQVEIWAMNFGGGGGGGASARSASRLLSVSCTRVVDLTTTGVHRSPWSPPPPVPESDEVFVWSGEASGAVVLRLGGTLCLLDLRTMVVRALGEDFSEFRDGPNGVFLPYEQGVSSWVPSISP >KN539967.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539967.1:21878:22396:-1 gene:KN539967.1_FG001 transcript:KN539967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAGKVFVCNDRGHLTIFDAATLAVLGDAAPPPPPPVTLHRDAFKCSSFVPSGDDLLCVIRYFRRKNTEQAGELLEDCRALEVHRLEIAGEKSRWVQMRSIGDRMLFVGLYQGFSLRAADFAGLEGNCVYFFKMDRASRSFIYRFSMEDGQIEELPGPSMHACTWFVPSLS >KN539967.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539967.1:44833:45942:1 gene:KN539967.1_FG002 transcript:KN539967.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLSSFAMSIIGKIILFVSEHGLMGIKSDRSVHEELGKLQNSLQAISGVLLDAERKQSTSSALKEWLRKLKDVMYDIDDILDDASTETLKRRVSKGVVTQTNCVHISRLKLRRKLLKRKKKWNSRIREVHEKLNEIASSKKDFGLTDWIVGGQCSEEPERESYSFVYQPDIIGRDDARDEIVSKILRAAEHHDIFVLPLLGLGGIGKTELANMVYHDQQIRERFSKMMWACVSNKFNLKNILQDIIESASGESCKHLNLEHLQNKLRGILQNGNYFLVLDDLWTRDVNEWRELRNLLSSGARGSVIIVTTRENVVASMVGTSDPYKVGALPFHECMQIFTRVAFRQGEENKYPWLLKIGESIVRGC >KN539967.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539967.1:31071:31755:-1 gene:KN539967.1_FG003 transcript:KN539967.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRTWRREHMVQEERRKTSGAAKTVIDPGHAASNVELARSGAAEAISLYHSEESTLASCSRRCSSPKLGTPVWTGGGGLATWQYLGSGSVVVVVAVAAADQQSGLSELPQCVGQLNRTFVPQEKAFPWPQP >KN539967.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539967.1:24151:24669:-1 gene:KN539967.1_FG004 transcript:KN539967.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAGKVFVCNDRGHLTIFDAATLAVLGDAAPPPPPPVTLHRDAFKCSSFVPSGDDLLCVIRYFRRKNTEQAGELLEDCRALEVHRLEIAGEKSRWVQMRSIGDRMLFVGLYQGFSLRAADFAGLEGNCVYFFKMDRAIRSFIYRFRMEDGQIEELPGPSMHACTWFVPSLS >KN539898.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539898.1:47945:49890:-1 gene:KN539898.1_FG001 transcript:KN539898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFCFCGDTTGRGSDRRRGHRWLTAYMGMWDNSGEPDTVRDRILLELEQEFLEVYRTKIDKVNQSRVQLRQAIAEAEQSLLTSVRPWVKPPMHVRLVCFYLHQAKEEKEI >KN539038.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539038.1:71114:77759:-1 gene:KN539038.1_FG001 transcript:KN539038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARDDDDDDEVEEEDEEEAYDLEDEEDDEEDDEDNYEAEARGGGKASRSSSSRGGGGGGGGGGRKRSREDNFIDDSAIEDDEEDDDDDDGGGRPKKKGGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADLPDEDVVRGSRHRSMPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGIYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDMSSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNMISSKDVVRVVEGACKGMDTSDPRLGALRSPASILQSPGRLPPRGPHMNCINLYRPNFLAPFACNIDFSFKAVGGRFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYPLGGETPMHPSRTPLHPYQTPMRDPGGITGKKEILLLGEAVQLTSQELHQLGHMKHPHLDQGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPTELEVIRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >KN539082.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539082.1:79009:80622:1 gene:KN539082.1_FG001 transcript:KN539082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPGPVVVVLLLSLLLLVAGVHGRLQHEEEEEEEEVQDQEGGSSSFTLPVWAPHVPESGEERREHFRALMAKDMRRMMRQVPELMSKTDMFELPMRSALNIAQVGMYVVVVRIGTPALPYSLALETANEVTWINCRLRRRKGKHPGRPHVPPAATTMSIQVDDNGGSGGGGGKSKVTKVIMNWYRPAKSSSWRRFRCSQRACMDLPYNTCESPDQNTSCTYYQVMKDSTITSGIYGQEKATVAVSDGTMKKLPGLVIGCSTFEHGGAVNSHDGILSLGNSPSSFGIAAARRFGGRLSFCLLATTSGRNASSYLTFGANPAVQAPGTMETPLLYRDVAYGAHVTGILVGGQPLDIPPEVWDEGPLGNDNPEAGIVLDTGTSITHLVSAVYDPVTAALDSHLAHLPKAEIKGFEYCYNWTFAGDGVDPAHNVTIPSFSIEMAGDARLAADAKSIVVPEVVPGVVCLGFNRISQGPSIIGNVLMQEHIWEIDHMSTVLRFRKDKCINHQQLNRHHKKASSSSSSSSSSSSSSPPHPAA >KN539898.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539898.1:35708:37021:1 gene:KN539898.1_FG002 transcript:KN539898.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWKPALLSDYDSSKSSPLHFASSDGDCSIIQEMLTHAPPSTAFMLDNEGLSPLHVAALMGHAAIVHLLLQFCPSSADIRDNYGRTFLHATAMKGHSSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGECKVVSKLLSSGKMQANIMNNVGHAPTDLIKNCKGFYSMVRLVLKLYASGAQFQPQRQDYIDKWNVQDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSMHFLWLSLNSMVLGFFAALAAVMSKEKGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLISAPKERQRHTKRQISRQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >KN539898.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539898.1:51714:53034:1 gene:KN539898.1_FG003 transcript:KN539898.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWKPTLATKVDSSKSTPLHFASSDGDCSIIQAILTHLPRSATNIQDNKGLSPLHIAALMGHTETVRMLLQFSPASADICDNQGQSFLHAAAMKGHSSIISYAVKNSMLKHLLNAQDKEGNTPLHLAVVVEECKVVSKLLSSGEVSLVVKLHESGAQFQPQRQDLIEKWNAQDIMKWRDNTSKNLAVVSTLIATIAFAAAFNVPGSYGDDGKANLAGDPMYNAFLILDTISMVTSVVATILLVYGRASRSHRSWMSFVISMYFLWLSINCMVFGFFTAIAAVSKKGIKIAMSQLIYHGMYILTMQLTFLAMPGSFISTMKFLVSGRMERQQHAKRHIKRQYPFIAFYTFNVIFFFIINNIAMLTFDATRALSF >KN539898.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539898.1:64727:66025:1 gene:KN539898.1_FG004 transcript:KN539898.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLEWKPALATHVDRNKSSPLHFASSDGDCSIVQAILACSPPSAPHMQDNEGFSPIHAAALMGHTATVRLLLRFSPASADIRDNRGQSFVHTAATKGHSSIISYAVVSSMLEHLLNAQDREGNTPLHLAVDAGKCKVVSKLLSSETVQPHIMNNEGHTPSDIGQNCKGFYSMVSLVVKMYASGAQFHPQRQDHIEKWNAQDIMKWRETTSKHLAIVSTLVATVAFSAAFNIPGSYGDDGKANLAGNPIYDTFLILDTFSLVTSVMAIMLLVFGRTFSSHLSWLGFMISSHFLWLSVNSMVFGFFAAISAVMSKKKGLNITMAYLIYIGMWILTMLLTSLATAGSFTAILKFLFGGRMERQRRAKRRISRQYPYVIFYTFNMVLFFVISNIALAAFHTFKNISY >KN539898.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539898.1:22159:24587:1 gene:KN539898.1_FG005 transcript:KN539898.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAAGCERHQIPEHLVMCSELYIAAFEGNTVKVIGLLARSGASAEAPAENGRRSATAPTGCSTDEVTGDRSTLLHIAAWKGHCDLIAQLCRWGNGSLITSVNSSGYTPLHCAAGAGHAGAVEAIIRALAAGANVEEGRLQEILRGRNEAGDTPLHLAARHGHGEAAEALVRVDPGLAAELNGAGVSSLYLAVMSGSVRAVRAILWCRNASAVGPKSQNALHAAVLQSSGDYFVDNLAFYICTGQRQEEHTGLPERRGREYPQPLEEPPALGLLPSPTLLLVVIVGDHSQRCHGAAIPIDLLSPHRNTATSLFVHIANLGQCQRLGMKRMPLPTASSVATMV >KN539082.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539082.1:56179:59253:1 gene:KN539082.1_FG002 transcript:KN539082.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYPETFGFSAYPQQQQPPPPPDAASCIYTAALPLIADPPDILGNMAQPSLLSEYDLGGEGDLFKAPEPIIEEPVLSLDPVAAAISMMSASENVMDETIEVADISDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEFPRETQVQLLAMEKEKPSVPECCSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAYSEGDIQNLGASTPRPGNSGNAQLASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEEGDLLKPRK >KN539038.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539038.1:139642:140160:1 gene:KN539038.1_FG002 transcript:KN539038.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFDSIRGSLSPHIDQAKVYYKLKRNKSKFLHAPPQATTTTPHDRRVRALSAELWGSELAPPAVEGDADAAEAADERDAEEGYIGGNLHVSVRLPVVSEVLGDYWRKNGRVLSGVSLERGLALVGPEEGRMAEAKWKRQLEVETQTQGRRHDLAKEVCAMLIDAVRGLGP >KN539898.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539898.1:58401:58718:-1 gene:KN539898.1_FG006 transcript:KN539898.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRGEVQRGVPSGVLAAHYVPYPVLLNTCPGQQRDGPDGEGVPRPRRAVQRRVPRRARRGEEAAVVKAQLGDQVVVPLPGCNVEKRAKLCGNLANAAGSLVIS >KN539038.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539038.1:14876:23282:-1 gene:KN539038.1_FG003 transcript:KN539038.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVVTPEEVGISNWPYDQHVPKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLAIFNDPLFFFLLSVDKGYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGFFVLDLFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDFCAASNISKESCNEFTDCGISIINRTKGLSNLDLTGCFDTKSGNFSYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVASQFSRFLRNPLVLGTIRYESPYWKSLAANRIQVAWRYRKRRLKRAEMLRLQ >KN542019.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542019.1:1720:2499:1 gene:KN542019.1_FG001 transcript:KN542019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFARLHRVFDAVVEERLSERDAGEARKGDFLDVHLDVAARDNDSAGLDRDTLRCVWRWVNVFMSATFGTEEANRLFRLVTDGLGFMLHYIQRLRSNLIHSSNGSQIDDDVEARGLSFWP >KN539082.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539082.1:14693:18116:-1 gene:KN539082.1_FG003 transcript:KN539082.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPLQDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMREPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADTDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPSKYKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >KN539898.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539898.1:12829:17813:1 gene:KN539898.1_FG007 transcript:KN539898.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPAGGERDIPDDLFMCSELYIAAFEGHTDQVIGLLEGSRASAAVAGNGWSSPAAQPTAKHHGNCNIHEVTAERWTLLHIAAAQGHDDLIDELCRRDSGLLSAASSSGDTPLHCAARAGHALAVRAISLLAGASVEEDRVRDVVRSKNAAGDTALHLAARHGHGKAVEELMEIYVFFLRTHSPEMVSLLLNWKPGLVIDLDSNRSSPLHFASSDGDCSIIKAILAHAPPGAAHMQDNQGLSPLHAAALMGHAAAVRLLMQFSPASADVRDKHGMSFLHVAAMKGHASIISHAAKNRMLEHHLNAQDRDGNTPLHLAVAAGEYNVVSKLLSSSKVQTHIMNNAGCTPSDLVKDCKGFYSMVRLVVKMYVSGVQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSYGDDGKAILTGDRMYDAFLVLDTFAVVSSVTATILLVYGRASQSNRSWVGFMISMHFLWMSLNSMVLGFFTAMAAVTNKKVGTKTAMSQMIYFGMYFLRTDPDGDPRIQVMMEQVVGNDGWGAKAVSRSWQRSAERLTLCVDVDLLLAGLSKIGLTTAKLVLTKDLTLVDGLAEIMPMAAEDWKERR >KN539898.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539898.1:37834:37989:-1 gene:KN539898.1_FG008 transcript:KN539898.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLSTSIAAASTREEAEATGSSDLTSLLWIWVSGVDSGWDNDEEVQEDG >KN539898.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539898.1:42109:42912:1 gene:KN539898.1_FG009 transcript:KN539898.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGCDHEIPEHLFMCSRLYIAAFRGYTDEVFSLLAGSSGAAVEPANSRPSPAAQEHANHHGGCSIREVTAERSTLLHIAAGEGHGELIAELCSHDSSLLSSSSSSGNTPLHCAARAGHAGAVRDIVRLARDNVEEDRLRAMLRGMNATGDTALHLAARHGHGEAVEELMEVAPETASELNGAGVSPLYLAVMSKSVRAVRAILSCRDASAAGPSSQNALHAAVLQSSG >KN539038.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539038.1:105902:113712:1 gene:KN539038.1_FG004 transcript:KN539038.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPKQRAIEAEQREEAMEMSGLELWKHEKPASMVGLGWVGLLRGCVAVARVRVVLTRGDVIRYLCPEPYPETNAEFLAKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDVRNQPLLIHCKRGKIRTANGLSAEDPDAPLDAGATLVVPLPCACFNSTDNNLPAVYLSYVVRVGDTVQSIAATHATTVTDISNVNAMGSPIVAPGDILAIPLPACASMFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTASCSSMQCPNSNLMLGNVTAQSTSGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLRATPIAVNQGSYLAPSPAPGAGEAGGDIPGFPGSSNVSPANGPSGSVSQAASGALIGAWWNPNVKVLSIAVVVSRSDPILNCVKRKSQLGVQETCPE >KN539082.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539082.1:132819:137456:1 gene:KN539082.1_FG004 transcript:KN539082.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPDIYPLTGLQIGDMQSYVSRAFLYFAPLSKKVFILVDNQPWRSSKQSRSARLWQFMVTKYRMSPFANSRALPCSGRNTSSAAAAAAAAAAAADGECSMAARRWFEVVDLRLALHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCTKLWFLGRAPEAEALRGYLTTLYSLSQDNVVVNDDKDNNNINTSTSNMRRLIHQQIRRSSSSESDKKKEEEDADDEDDQAPSSSSSYTDTLILLRSRDSALPMKLRQIIMSDIRLLTLLESGLPSWVIFLQSYPLLCLLYRPWMRPLARTLYLLVSLATVIIGFYDLYKNVPLLKAAAARVCGPLFGWIETWDMVTRIQYLGTILFLRNHLRKCLQGMVALLRMARAVLRPLSAPLSAMAGPLLAACGEVCELLGDLAEALWAPLDAVLDCLNPLVQALLLPLRFAASLASCAGSLLSNTYNFGKDIWETVSSMFELNHMAEAQHSAFDVSLLKSLWNDLFSQIFRAIRSILNGILLFFASCNRHRLSIYNHVQARLRHMLHVSRLAPYSCPCKTKRRLEGHDKDEDDVVECDICK >KN539038.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539038.1:126881:135127:-1 gene:KN539038.1_FG005 transcript:KN539038.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYFREINWDDFEALMQAPLLGFNGPLDPCFLVPFVGSGKEFGENYDPSCVVVEDESSHLNSNLGKDSDELESSIVRSKQDSHGSSDFVGGNMDPVINNGANDEHGEQDMQEVVLQEEQPMEIEQDHGRSDAIALPPDTEESDVSLNWLLGARDRFVLTSERPNKKRKLLGADAGLERLVQLPPLEGEAGTTCDVCCLGECGTSSNRMLHCSSCKVSVHQKCYGVHVVPDQSWLCAWCKSTRSARRQTRSDAGRTVLMPCVLCPKEKGALKPVKRDSGQIADGGNLKFVHLFCSLWTPEVVVEDLNSMEPVTNVGDIQENRTKLVCSLCKVMHGACIRCSHGACRACFHPICARESKHQMEIWGKTGNTNVEMRAFCLKHSTVQETSSIQNDRICAEEDTSQIELDDASLPTQKIQQLRLTRNNKDKFTSSTIASSCSSSLKQTTELATSPSTARSVESQETQITDMAVDRPIGDRCLVSNSGDVSTALRKLIDQGMVNVGDIESELGVSSESLEAALVPETSTFSPGLKLKIIKLLQNTIRVPSVQEKCSKEGSLALQGTVFTGESKSLTDTQICSELEEGISSFDHCCPQGDNTNKDWADSVENGFHNCGEDCISGKCFLNSSTKLEQLADIAVADQVSKAKSSGILEHSPHDEIEGELLHLQSRLLDDVGGAKQRYEDLVLKIVQSLSHDLDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAAPCILPTSRNAPVRKVAECDVLSAKQELFVCLQSVPVAVPAKQEVHSPKQESIPKFNTGSSRVSQLISVQQANDSSPNSKVSADANIGSFDLAKFSKKNALPCDICMRSETVLNRIFVCSSCKAAVHLDCYRSVTNPTGPWKCELCQEMPSDVVAGSQSDCDGSKPCLAQCDLCHGTSGAFRKTIKGRCIHAFCAEWLLESTFTRGQYNAVDGMESLPKDKDTCTICHRNVGSCLKCSTVDCQITFHPTCARDAGFYMDTKTIGITLEHKAYCGKHGIEQRKADLLQLHGPEEVKNMKQMRVDLEVLRLICERVVKREKLKKDLVVCGHDTLAARRNSIAYSTRTSYCGSGPGASSESATTSVNNSYSGLMQRTDDVAVDSIISRKPTVRFSLNNSDADRNTADSSTSSISYKQKLDDRESLADKNLLKKPATAMQISEEGETKSSDKKNQRPPKSIVYTRRSALSKKRQLSQNVEGPGG >KN539082.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539082.1:6777:12812:1 gene:KN539082.1_FG005 transcript:KN539082.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSIAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFITHLVMAYAVTFWTCYVLLREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVIICLGRSCVSGYADWPNVVYNANKLADLVEKKKKLRNWLDYYQLKYERNPSKKPTTKTGFLGCFGSEVDAIEYYKAEIEKIGKEEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIDIPTIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIITGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFNLKAYLANAYLHPVFKGGEEEDNMSISGDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >AMDW01014275.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014275.1:11:251:-1 gene:AMDW01014275.1_FG001 transcript:AMDW01014275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDEHNAAADAGVHSFRLGLNRFADLTNEEYRDTYLGLRNKPRRERKVSDRYLAADNEALPESVDWRTKGAVAEIKDQGGC >KN539038.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539038.1:10748:11152:1 gene:KN539038.1_FG006 transcript:KN539038.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQCVSLQSSDPSTSTAATQNAAARSREGGGGDGVGRRLHHHGNVDLGKKSSGVARRRLALLQQENGVDCSDSKGPGEEHGGAGDAHRR >KN539038.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539038.1:157341:161941:1 gene:KN539038.1_FG007 transcript:KN539038.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRALSDAKPYVAMVLLQVGFAGMYIVSVASLKRGMNHFVLVVYRNLVATVLMAPFALLLERGVRPKMTVRIFLKIMGLAILEPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLLAVLLRMEKVRLRSLHSQAKIAGTVFTVAGAVLMIMYHGPVATVFAMGTRPSPGSIISSLDPRHISRLAECTTVGLRLHPLSSTPFSVLTIGYRLCRGDPTITWEYRLLLGSALPLSIGRAHRRQAASPSPELHALLLPDGHPPPSLS >KN539082.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539082.1:145676:147362:-1 gene:KN539082.1_FG006 transcript:KN539082.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDPDPTLFLPPALQLQVPWAHRLERADLVLQDDPPKRNKEILLLLMALTWLKISLCSGLFLMILLRVAIIPPFTMEGWVMFLDFPLDFKTPAIIDKAVSLFGKVVKVQLDDPVRGRLMVKTLYSSTLEVPRKIVFKSAAAFGGVGRSWTVSVFLCHGDLPNIMPADEDLLPVNHVPEPDSLPEHPPVQHNEIQGDNMHLDVHDEAEQSHSHSEVSHYSGSHHMVVHKVRANEFEMILQPRFLINPFFWKFLSSLQSILTAWEENISTVPAQNSFALVAQSPVWAAAMGPPQNLQIVPFTLPIVQVIQFALLAAVLLLVADIEASTSSSVIFSAQEDEAQVVEMVEPITCIPPTSSEIELPPDPFSQSSSASLPSLKKTRKSKVKTVALVDTDRRRSSRLNKLNGGCMSPNPNMGIGKPRGKSVKRLKLLAEQSGIISSFHPLPPDFFEADDNSDSESPPTECSIQMLQTMGIQLCGLKENEVSAEVLTSSAVLRKGKATLNSS >KN539038.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539038.1:114717:115152:1 gene:KN539038.1_FG008 transcript:KN539038.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLGAANPASPEADLAPTRPDREQVTTAAEELAATVAGGKGGRRVHDDNRGWPWPGRRRKVVIVKAERDRQQGQRTWQWQRHEDRCRGEAEAETVAVRLAREWISAGRRRREVGAGNVREAPAVNPAIA >KN539082.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539082.1:123619:130871:-1 gene:KN539082.1_FG007 transcript:KN539082.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDASYFSLAPDLLDLVQMILRALKELPDPIISSRRAIAKYISDNFSGLPSHHDALLTVHLRRLRSQGLLLMSGHSYLLSTSATAARGRGRGRPPKKASSSAPPQKRGPGRPRKNTALFPVPVLEAKPGRGRPRKNPLPVASSTSSAAAATALSLRVKRGPGRPRKNAAATPLRLGVKRGPGRPRKNATATPLSLGVKRGPGRPRKNAAAAAASPVAPPPASPLKRGVGRPRKNATPLVKPGPGRPSGFKRGPGRPRKNATSPVLSVPPTAAAVLGVKRGRGRPRKDKPLQSWSVLSGGAAMTKRGPGRPRKKRPLEAGGVVAAQVDTADGGEAGAVQNGGEVRCLLSDGASSMENRGPGSPRKEVLLENEPTVSTLVGKRGRGRPKKEKPSAARLAETGDAKSMGIKRGRGRPRKDSSFQAVFAEAAGLEVKPGQTVKCEPEDERFLHLSQAALGESKKGSDNAVMYVKTDDQKLVIGTLSADKFPQIQFDLVFDKEFELSHTSKTASVFFSGYKMKRRKKRSFQLPGQMDSSEDDSSEDDEDESDEEETPKKPETGKRKVAEIVLKTPSSDKKAKIATPSGQKTGDKKGVHVATPHPAKQASKTPVNDKSKEKSPKSGGGSISCKSCSKTFNSEMALQSHSKAKHPAK >KN539038.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539038.1:49165:51734:-1 gene:KN539038.1_FG009 transcript:KN539038.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELPAPVSDMERPMMAEMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDARETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKAHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVNFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVTWVEHMEVEEKSPINVLYRDLVLSGAAFGAHRWLAALQRACERYASLVALGVPHHIAGGMHTRRALDITVVAFFSRHDTDIVRDRRGWAWHAVTPEGKRSMMKLSQRMVSSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENTRSQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRVISPN >KN539038.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539038.1:3214:5524:1 gene:KN539038.1_FG010 transcript:KN539038.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGESADKSNKQSGSGSEAPSNGDAVSDKKNSTAKADTEVAAKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSAAVNSTAVKTDAKDVVVATSTDSAGSVSDVKADLSNRSDAPPASGSGHANHTSDVTVSPAKGNAKDGGADTNKASGNVASTSNQTASPAMVAKKEGESPSKNQISVASTNSKNQNQTSAGVASGGSSGTTSKQKETTSQGSVGSSKDHPAQAINSKTGNYSELLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWVRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLRWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDSDFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >KN539082.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539082.1:100416:114057:-1 gene:KN539082.1_FG008 transcript:KN539082.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRIMMRKMKIMRAWKSSLKPASSFEDEILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKIDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITLDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSIFYADLDVIDSERLRSQKDNHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITGTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGFVEALQRCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAKDKLSPETRSSNVLDC >KN539038.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539038.1:137214:137336:-1 gene:KN539038.1_FG011 transcript:KN539038.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVGKYRGSSGDADALSAATAAMDVAFKATTSNYIHRT >KN539038.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539038.1:69740:70351:1 gene:KN539038.1_FG012 transcript:KN539038.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAARFMDKVEAAAAAEEEEGDVGGEEVEALPEPPDDAGPVAWPMPEFCPLTIDGLVKESFMEALRKDAAEQALRDAEAVEAARSPESRPSSSKRQRAATASPQQQQQPSSSSSSRSPYRNILQVFQQCKQDVA >KN539082.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539082.1:37446:37906:-1 gene:KN539082.1_FG009 transcript:KN539082.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGEHDQMTKQETKEKMSDGASFTKHRRVRWSDLSHNVARPWMLAIGNEENQNSGSTPDDDVVRTKRETVMASSCGEHGEVSASNVFLDKGVIFFPESVGGRDHWEKRDAGRTG >KN539082.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539082.1:18930:21520:1 gene:KN539082.1_FG010 transcript:KN539082.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELVTTQSLTNKWGITEEGWTSSSAAAAAGREERDSPTRCDVIWGGDDDGRGRREEKDLSWWAGLLLAGLERPAHAFDGPYKSPLGNSKDEVELGFSISFFSPKPKSLPHPLNSGDPLGGGGGFPNHPTPPELQDGVRRPGARIARRPMSLLIVTFSLVCCQNALIGSLVQNSAISNKFFSWCLKVSCQHEGVQQLVLGLYIIRGDNISVVGEVDEELDARLDLSNLRAHPLKPASILGVGWRGSRLVVLVFVVVAGDEDAAVEALGAGAADPGEVGPDHEGEVAALVEVDAGGAFAGVPVVEVLLVAPASEALAFQPFLPM >KN539082.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539082.1:70645:74445:-1 gene:KN539082.1_FG011 transcript:KN539082.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like serine/threonine-protein kinase BAM3 [Source:Projected from Arabidopsis thaliana (AT4G20270) UniProtKB/Swiss-Prot;Acc:O65440] MALRPQLVLSHRRRLVIPLLVLLLAAAAAAAASDEQLLSLRGQAAVLVSIKDAFSPPLPTPLRTTWSVANHASLCSSWHAVRCAPDNRTVVSLDLSAHNLSGELSSAIAHLQGLRFLSLAANSLAGDLPPTIAALRHLRYLNLSNNQFNGTLHYYLSTMTSLQVLDVYDNDLSGPLPLPLPDTNSNLRHLDLGGNFFSGSIPTSFGRLQAIQFLSVAGNSLSGRIPPELGNLTALRQLYLGYYNQFDGGIPASLGRLARLVHLDLASCGLQGEIPPSLGGLANLDTLYLQTNQLNGTIPPALANLTALRFLDVSNNALTGEIPPELAALTHLRLLNMFINRFRGGIPEFIADLRSLQVLKLWQNNFTGSIPGALGRVAPLRELDLSTNRLTGEVPRWLCALLKLDILILLDNFLFGPVPEGLGACRTLTRVRLARNYLTGPLPRGFLYLPALTTLELQGNYLTGQLHDEDAGGAGSPLSLLNLSGNRLNGSLPASIGNFSSLQTLLLSGNHFTGEIPREVGQLRRLLKLDLSGNNLSGEVPGEVGECASLTYLDLSANQLWGAMPARVVQIRMLNYLNVSWNKLNGSIPAEMGSMKSLTDADLSHNDFSGHVQTLGRIRHRHIVRLLAMCWSAEAKLLVYEYMAGGSLGDALHGHHRHHHEYVYDDDEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLQLHQDEEEEEANTATTVVDLVQWVRARCGSGKDDGVWRVLDRRLGGDVPAAEATHMLFVAMLCVQEHSVERPTMREVVQMLEQAKQQLSRCHPPPRRSTTHA >KN539082.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539082.1:90298:91440:1 gene:KN539082.1_FG012 transcript:KN539082.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSMSTSTRLPLVAALLSAAACVAAAQPATAEAAPETPGVGIKSRWSEINSSDLIALDTELLRSMEMEVDMEMELYKETEEEETDHDHQRKTLLAASGGRSSVDAAARARMISSSGSVRSMSEMVSLPRLRAAMRERLAHEDRWVPIARRTARWFAGRESRHDEAAPVVDSPPASHSHSLV >KN539082.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539082.1:115522:117730:1 gene:KN539082.1_FG013 transcript:KN539082.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLWATKKVGPLAAYYKLPLNRVLVAFDGTDLPCGVLRLQPKGGYGRHNGSVDFVIMYFNKIHMTNSLKPWLYSAYVKTLKIDSAIEEGVEILKLVVTKGLSESARSSNWIRRISI >KN539082.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539082.1:120170:120520:-1 gene:KN539082.1_FG014 transcript:KN539082.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIDRGGLQEGLVDLHGKVVDAEVAVEADLEDEGVEDGVVHGGDVEMEHLEMEALEKGDDPDGRGAPRERAKKHVGAGDPSLHVGHGGVAAGGELCYQLQLLLIFVLGGDGDGG >KN539082.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539082.1:60263:67899:-1 gene:KN539082.1_FG015 transcript:KN539082.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQHLSAKIEVVASVLWDPRSGGKDNGESTRSKTRAFDATTQATTEEDELTGAKEQGHDEISRSEVHGSRSKPLPRSDVTMVADSCTRRMGHLHELDHSARSGEREEERIEVFPQHFLPSQQSMETPADGLSTSKTNLDSPPSSRRRSWTPKRVMGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSWEEGSRSGQTTDDVRSLHAEGRNAALATLTNLGRKTLPTAYAYSYHHNSSASAAAGWLAAIPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAIKLVVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKAEIGLWFEPRELVSYTSNEEKWIYGVN >KN539082.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539082.1:29005:31481:1 gene:KN539082.1_FG016 transcript:KN539082.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEEMCRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSSN >KN539082.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539082.1:75932:78022:-1 gene:KN539082.1_FG017 transcript:KN539082.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAYDLATIKFRGVEADINFSLEDYEDDLKQQCGPLFNPLMLMLVVVARAGLPPRREDASWKSTQRRAHRRRPLLCALWQAVLEIRILPQKHLSGLTFWYTPSSQRAGLAFSSAP >KN539082.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539082.1:35671:36405:-1 gene:KN539082.1_FG018 transcript:KN539082.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGPGVVVVALVVVVYLILLIRPAASFRVNVGVGIGGGIGGGIGIGNQNQNQYQNHKPYYDDSNNNNNNYDEGEGDDQEEGPEAAAPVGPGQSFTGGRGTYKYMAHEFLEAHNKVRAQYGLQPLKWSNKLARYARRWSAARRFDCVMMHSPESPYGENVFWGTGWGWRATDAVKSWAGESSLYDWRGQSCNPGQMCGHFTQIVWNDTKLVGCGRSECVAGGVFITCSYDPPGNWKGEVPLT >AMDW01036763.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036763.1:109:577:-1 gene:AMDW01036763.1_FG001 transcript:AMDW01036763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLESCPSEEIFLFRLLNSRNFRNNDDSSLSKLVEEALALRYSTTVTLMKSLQEAFAVQQARSESLSLALNGQNSSEDVIVALENHNDYLKEVVDNLRQAVSIINGKHEKYLDEIEAFKNNQSRELHE >KN542065.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542065.1:5569:5895:1 gene:KN542065.1_FG001 transcript:KN542065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISEAGLSVSAAHISGVEEMAWIGGGVASVAAAAAERAKENGGGECIGGNVFSSGQAEGIGEAAGRQCTGGADRRGGCRCSRGADRWLPRMRLASRTDAEGGGEED >KN542065.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542065.1:8528:10138:-1 gene:KN542065.1_FG002 transcript:KN542065.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALPALVSVVVFFVSVRDAASKSFVITNNCEYTVWPGILSSAGSAGMDSTGYVLAPRQSRTMSVPTGWSGRLWGRTLCSTDDAGKFTCVTGDCGSGRQDCSGGGAAPPATLAEFTMDGSGGMDFYDVSLVDGYNLPMLVAPQGAAAGGNCEPTGCLVDLNGACPADLRVAPASASGGVACRSACEAFGSAHYAYDDATSTFTCPGGDTAYAITFCPSTTSVKSAGQSSAGLPLMNDTMVYLAGDQANAAAPLHHLVVLLVAAFSLALATMH >KN543660.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543660.1:17:458:-1 gene:KN543660.1_FG001 transcript:KN543660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSSTHRRRSQAQISKIAPRSDVWKHFTRFIDGDGQAKARCKYCPVVLRADTKNHGTSTLGAHWKRHEQRKETPGAAESAQHPAPPPPPSSGPEAVASGDLARMIALHGYDRSLVEDDYFRSFLRRLNPNFEVPSRLAIEDTTG >KN540134.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540134.1:11240:14075:-1 gene:KN540134.1_FG001 transcript:KN540134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVLIAVLIMVGVLEFANADKYKPTESILVNCGSDKEGQDIDGRKWLSDKDSKWLIDGEKSSIMANADFQDPSLPSPVPYMTARVFTKETMYNFSVGEERHWVRLHFYPASYHDLPAENFFFSVSTSTGITLLKNFSVYITAKALSQAYIIREFTLPPSTTGSLSLIFTPTAMNNASYAFVNGIEIISMPNIFSQAAASVDIAGNEVSTTDSSLQTIYRLNVGGSYVAPTNDSGLSRDWYDDTPYIYGAAVGVTFQANDTVQIKYPKNDPDAEYAAPASIYLTSRSMGPDPKVNKNYKLTWVFEVDGNFTYIVRLHFCELLLSKPNQRVFDILINNKTAQSGADVIGWGGQFVPVYKDYATIMPGGAGDKVLWVQLMPNVGSGSEFFDSLLNGLEIFKMSDSSGNLAGPNPDPSKLLEEAESSAQGKFKSKPSNLKATVIGGAAGGAAAFGIVAAICIVVYQSKKRKVLNNSASHSSGWLPVYGGNSHTSTSKSSGGRSAALINPNITAMCRHFSFGEIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDEGEMILVYDYMAHGTLREHLYKGGKPALSWKQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTAMNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLHDIIDPLLNGKIAPDCLKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKTEGADSTSDSTTTSVADSMAANAAALSLISEDMDEEDIANSVLSYTGQL >KN540134.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540134.1:53544:54652:-1 gene:KN540134.1_FG002 transcript:KN540134.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAKYTTMQPQVSVVKSALRRLRSTTFLHTKTFTLDFGILWFKAFRLLAEWSSLGIVFDISLSVSAILIFRHSREHSEGINAFVHVFIAAFVITKPELEIDPLL >KN540134.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540134.1:41063:41431:-1 gene:KN540134.1_FG003 transcript:KN540134.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARDGLAGGERRWGWLERRQGWLEEVHVHLLVAVARPGVSKGSERHGRRWRRFWTSAAGVLRSTAIQFLARGGSRDYENPYPVRGWSGDGPRRRGDELPRRSAATMVAADLRSRGPKIDC >KN539756.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539756.1:34414:38199:1 gene:KN539756.1_FG001 transcript:KN539756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQAAYEHHKHDTLDSPKASKFTGVEMTEEQAVAEQQRMFAEARARMNNGAAKPKEPEPEAQQQTQHPLQPQLHPQPQQPLQPQLQLHPQPQQQPSQLHPQQLLHPQSQQTPQPQPQPQYKEKLVYFRWHLLGLCVPLDSFRFPCFMYNVNPV >KN539756.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539756.1:68585:77917:-1 gene:KN539756.1_FG002 transcript:KN539756.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYSWVGNSLIAIYSQLTTVAAAVAPRVGLDFPTIEVRYEHLSIDALAHVGSRGLPTFLNTTLNSLESLANLLHVVPNKKRPLNILHDVHGVIKPRRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGYGMDEFVAQRSAAYISQHDLHIPEMTVRETLAFSARCQGVGTRYDMLTELARREKAANIKPDPDLDVYMKAISVGGQETNIITDYVLKILGLDICADTIVGNEMLRGISGGQRKRVTTGEMIVGPARAMFMDEISTGLDSSTTFQIVKSLGQITSILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARTHQPYRYIPVQEFARAFQSFHVGQTLSDELSHPFDKSTSHPASLTTSTYGASKLELLRTCIARELLLMKRNMFVYRFRAFQLLVITIIVMTLFLRTNMHHETRTDGIVYLGALFFAMVAHMFNGFSELAMATIKLPVFFKQRDYLFFPSWAYTIPTWILKIPISCFEVAITVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVLSGFILSHHDVKKWWIWGYWISPLQYAMNAIAVNEFLGHKWNRLVQGTNTTLGIEVLKSRGMFTEAKCIGKAQQILSEEALKEKHANITGETINDPRNSASSGQTTNTRRNAAPGEASENRRGMVLPFAPLAVAFNNIRYSVDMPPEMKAQGVDQDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAYSAWLRLPSDVDSETRKMFIEQVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCDLIEYFEGVEGVSKIKPGYNPATWMLEVTTLAQEDVLGISFTDVYKNSDLYQHEVLTKSLDSYGIVAHCRRNQSLIKGISRPPQGSKDLFFPTQFSQSFSTQCMACLWKQNLSYWRNPPYTVVRFFFSLIVALMFGTIFWRLGSKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSAVYGVIVYAMIDFEWEAKKFFWYLYFMYFTLLYFTFYGMLAVGLTPSYNIASIVSSFFYGIWNLFSGFVIPRPSMPVWWRWYSWACPVSWTLYGLVASQFGDLKEPLRDTGVPIDVFLREYFGFKHDFLGVVAVAVAGFATLFAVSFALSIKMLNFQRR >KN539756.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539756.1:53000:53441:-1 gene:KN539756.1_FG003 transcript:KN539756.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVRSKEKLISAISPSGYNKGIDSNPTNKKLPFAPIKFTPPSIFKLYVLTVVKPLLDGEIDMLSVPQALFAPLVTAEEPKVRPAAEPASNPSEQAVHVSKKHKDNKVRGPEVKEERVAQ >KN539756.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539756.1:41747:42556:-1 gene:KN539756.1_FG004 transcript:KN539756.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGEFFSVGECDGQKTIDGEQIKEFPGKVILFCEVAPPEGGETPFVPSFRVTERVMEEFPEMVEELDEKGLRYTFTALSKNDTKSMRGRGWEDAFATTDKAEAEKR >KN538863.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538863.1:158457:171213:1 gene:KN538863.1_FG001 transcript:KN538863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATRANGSSCSLACLMAAIFFLLVVSSRGAHGGSIAIYWGQNGNEGTLADTCATGNYAFVNLAFLCSFGSGQAPQLNLAGHCDAYSGACANLTADIARCQSMGVKVLLSIGGGAGGYSLASKQDASHLARIVDYCIRKRLQWNTCFARRVCREGEYYEEMMKYLRKNLALYPYHLADYICRVSRISPFRYYCDILFEAMKNGLFSIALRISLYLQLIISDGNNLVITLKHGEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEGTDEMPQQKHSDLNSSDGKMLTSSATLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLRSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRLLRLHRLTESDESHLENGSYQWVPLSLEFGIPLFSPKLSPSEHERLKLAGRQRCRTEVVGFDGTFIRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTDDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >KN538863.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538863.1:201300:213766:-1 gene:KN538863.1_FG002 transcript:KN538863.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRMIPIAKSLRVLVVRIRLGTSSLELTYALMQECIWLLLMGVALMDLKAIQNLSCLVLTLWSIFWDLRGPKSHIEMQEAFKTFSETETKLGTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFQESVTVVTNKFVNGATAGNPTTISTPSLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSTDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTMKSWEADPDRSGGSKKDDPEVYRSAQSRIRAYISELKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >KN538863.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538863.1:136850:137594:1 gene:KN538863.1_FG003 transcript:KN538863.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKMVIPRSLVYNFMADEMVGSSDEDQCSGETDMLDIGQEQSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALLEWWNTHYRWPYPTEEDKLRLAARTGLDPKQINNWFINQRKRHWKPSDGMRFALMEGVAGGSSGTTLYFDTGTIGP >KN538863.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538863.1:172531:173821:-1 gene:KN538863.1_FG004 transcript:KN538863.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLDTRTLKEEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAVSREAYFVTVEGLGGDTAGLDNAVKRSNFSRGDDGQKSLDAVVKSAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAVAGAIAGVAVALTGDTGNADHMVHFAITGAALSSAASLLSGIY >KN538863.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538863.1:196695:197462:1 gene:KN538863.1_FG005 transcript:KN538863.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGYMMTSDVMASVDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMAADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >KN538863.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538863.1:130870:131598:1 gene:KN538863.1_FG006 transcript:KN538863.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQIAGHPRYPTLLSAYIECRKVGAPPEVASLLEEIGRERRAGGGGGGQIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGATSPPATTATHSGNQHTHYICMHTWQ >KN538863.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538863.1:182845:185422:-1 gene:KN538863.1_FG007 transcript:KN538863.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEVCCSCAMRQCRICHEEEEEGCTAMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTAPPKKAQPAHVAVTIRESLEVPRPSYEPEDTPLIGEQDYAECAGAAGRSATWCRSVAVTFTAVLLLRHLVAVVTVGAAHQYAFSLLTIYLLRASGILLPFYVVMRLISTVQKGQRQYQLQLLQEQRRNASRMHRLHGQEEQNQHSILVR >KN538863.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538863.1:146252:147630:-1 gene:KN538863.1_FG008 transcript:KN538863.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIECDADRVEVERREVGEGRGDPPEKSGGEVDPVKHEAVKEQWSTTREKMSHQPLHWVLELLQLGVGVNSTCSECPTSRDRMASSHHRESTDLRMALSSGRISRAFPPGSSRSVARHR >KN538863.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538863.1:108693:108977:-1 gene:KN538863.1_FG009 transcript:KN538863.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCSGHLLVLVGVACCPGTEFGKYTTLWRTSETQHHKACSKWTHGCSWQIHHFSGKHITG >KN538863.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538863.1:198885:199982:-1 gene:KN538863.1_FG010 transcript:KN538863.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >KN538863.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538863.1:155069:155443:-1 gene:KN538863.1_FG011 transcript:KN538863.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTNVGLSTPAAAASSIVRPVAGAGRVVFPRVGRGGFAAVRASGPATPPDISDKMSESIDKAKEACAEDTASGECAAAWDEVEELSAAASHARDKLKETSDPLEAYCKDNPETDECRTYDN >AMDW01032424.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032424.1:180:332:-1 gene:AMDW01032424.1_FG001 transcript:AMDW01032424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >AMDW01037796.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037796.1:89:667:-1 gene:AMDW01037796.1_FG001 transcript:AMDW01037796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGSDDPSYTFVEFLRKDQEMLAKAVSVRAGIPLDLNSVRESAAMEIQESWERNIRRDVLVNGNLYLLGGKGHVLGLNLASMRLFLFRLPDGVQQLHRMGNIELLNAGDSGLYLIHLKGFQIHVWFHASDDSDIGGGDWEMVDNICLRESFGQVAEPNWESGDALVALHRVEDNAEVFLRVDRVIFHIHIMNRT >KN547631.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547631.1:14:200:-1 gene:KN547631.1_FG001 transcript:KN547631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVELKEKFKRM >AMDW01035872.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035872.1:384:578:-1 gene:AMDW01035872.1_FG001 transcript:AMDW01035872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSACGGGGVDKEKEEFKQIYGVLKEELLRDPAFEFTDSSRQWIDRVMLFSPFSFFILCC >KN545552.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545552.1:696:1537:-1 gene:KN545552.1_FG001 transcript:KN545552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADASSPSIESLNDDVLEEILVRLPSIASLARAACACARLRAIASSWAFLRRFRALHPSLLGHFATDADDESVIPTFHPARAQFDGCSDAAVRGGDFFLTRVDANAGWRVQDCRHGRLLFANESDLLVYDPLSRRGVSIRRPSWYPSSHFTHCLLAGYGGDGCPGSFRVVSVEHNGERAARGAAAGRIYWKHRDTTKLQVFDAGPMRFSYVHLPEGVHPRSKYAVGEAEDGGCCLVVLADAPHGTVFK >KN539702.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539702.1:54423:55113:-1 gene:KN539702.1_FG001 transcript:KN539702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEHQQYHEQMADKRGCNSVKRPLCEYMLEKGYSTSSFTFFCSVHMGSSSGASSKN >KN539702.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539702.1:29476:33277:1 gene:KN539702.1_FG002 transcript:KN539702.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPVDASTGVMNTLLPKLSKLLEEYTNIKGAARNQITFLRDELSSMKPVLEMLADADDGLDPLKREWRHNVRELAFDIEDYTDSFMSRVSHESDELPTGFKGFFRKLKKLKACPEIADAIDELIKKCAMEGSKRHKRYNSVNVASNSSTFGVDPRMLMFYDEVDKLVGVSRAKKHIIDWFTKEKDEKNLKVLTFADFGSLRKTNNLAIKIYSQLEDQFQCTFFLSLSRNLCREKILRMIHTHLGITYNTSDDEEKLIDRIRDYLKDKRYFIVIDDVRDAEAWKAIKLALFNNTCGSRIVITTRNAAVASCCSHDGGYVYRMEPLSLKNSKMLFFKRAFGSEDLPNPQLEKVSDGILQKCGGLPLAIMIMSSLLADQHEEDEWKRVLTAIGSVLAKDPDDDIMKSILSLSYYDLPHHLRACLLYLSIFPEDYEINKQRLINRWIAEGFILEEQGLTSYEVGERYFNYLINRCLIQPVGSKHGQAKACRVHGIILDFLACKASEENFVTPFDGDAEQGLVSENKIRVRRLRVNNHNKKEVARLTGPVLSHVRSLTLFGDFGRIPMSAFTALRVMDQEDNWDLGGNWGLGSNHHMADIEMMLHLRYLRLNSPLLDFELTARTGGLENLETLDLLGVSVVELPSAITRLRRLARLYISHLARFPKGTIAKLQSLEELSEFGFVSFHQQWECLKEFSQLTKLRMLKVKWDFDWSFVQDEEGLQSYMHALISSCNVHNLYIGNIRIWPGPYPLSLESCCPTTTCSLQKLHITYCFICKVPNWMSSLGNLKELKLYIYCLRPEDVKILGAIPTLIFLKLKTFYGTDGRIFIPGYKGFRCLKYFGLVMISCGTTPEFEEGSMPNLEHLKLRFCVHEMECINGATDFGIRHLSTLNKVEVHIYGCSVSHKDYDPEADREDSNAKNAAFLIKAAVKALPNRVTCSFELAKTYGNIGTFHGLIKILNRHNGISVERLLQEMLKSRVKQMIQNNMARARGLF >KN539702.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539702.1:61977:62930:1 gene:KN539702.1_FG003 transcript:KN539702.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCTALVSSLLLLLPFESTGHSCFVYHCCRQKLLFFSLVFLSRIECQIAQIACLLQQIVVNVWLIPLNQMTNISYENSGFGLFLEAWERKGTSKRLAFHFIKKQLLCLGKLDCMPC >KN539702.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539702.1:87750:87917:1 gene:KN539702.1_FG004 transcript:KN539702.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASKKVAYVLLLLLALAAAALSVVVLHKVRERRAFAVLLRERDRQLISTRILLQ >KN539702.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539702.1:19870:29221:1 gene:KN539702.1_FG005 transcript:KN539702.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSILCILQVEMTRPTLLLAPNTCTLQLETDPDILLQKAEAALRKYGMNVVVANELANYKDVVVMVTSNGRTTVRRPSKEDDVEEQLIDLLSSMLLLQIFLFFIIAFLSAHLGARLTTISSFPAHIFFKFHGTMDLLVGVSTGVTGPLLSKLSMLLDQEYGKLKGVHKHIKSLRDELTIMNATLQMIANSEELNPQMKDWRDKVRELAYDMEDCIDEFITFVDHEHDGLMASKGFFHKLKKVKARYKIASQIEELKTCAVEVSKRQMRYNIVQSTPSSSTSSIDPRLPALYEEVDRLVDIDGPKKHIIECLTKFTEGSSTQLKVVSIVGCGGLGKTTLAKQVYQTIKSQSSCSAFVSVSRNPNMKKILRNIAEGVGIIGYTTDDDIEQVIDKFRKHLQCKRYLVVIDDVWDTEAWKVIRLALFDNRSGSRIVVTTRNASVASNCSSDGGYVYHMEPLCFADSKRLFCKRAFGLEELCYPHLKEVLYGILEKCGGLPLAITTVSSLLVDQRTKDEWERVLTAIGSTLANNPDVGNMTKILSFSYFDLPHHLITCFSYLSVFPEDCEIEKKHLINRWIAEGFVHEENGQSAYEAGERYFNDLINRSLIQPVDIKYGQARVCRVHDILLDFITCKAAEENFITSVDSVDHGPVSDYKVRRLCVDNRNIQENILLRGLILSHVRSLTIFGNFLQIPLSTFSSTTGIAELPPNIVRLQRLARLYVCHRTIFPDGIIGQMQSLEELDKFGVFSYKHGKPLKEFGQLTKLRSLTIRCDLHWSTDSEGSQADDLDSCMESLISSCTVRNLRILKLYNSPLSCPMSLDSWCSAAPTTNRLQKFHITFCYISKVPNWMSSLRNLKELKLYIYSLRPEDFEILGEMPSLIFLNLKTFYGTNGRIYVCGDNKGFSSLKCFSLDIIYSGTAVEFEAGSMPKLEHLKLEFPLIEMESPMSASELGIRHLSNLTKVDLVFLSCFHYDSNYDPAADKKDSIIKSVATLIKAVAEALPNNPTIKFELSGEMCLLASHYAGVTESSLTHSLLVISEFNGSTARCSDAPPQAQERWRNSWNGGVSGGDKPETHKRKLIASFYYDMHM >KN539702.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539702.1:65616:78670:-1 gene:KN539702.1_FG006 transcript:KN539702.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIAECGVNIDQKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHITEQNVISEAKGSFVFAASPHGDSVESSGKPGSSSCRDANTADNLMLLDGDTSNTGGEKLVKRGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMGNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGGGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGRDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLTKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDSILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKGTACDPRPQVDLIAQYEQKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKKRIIANTNSTYKSPKQMSKPHNHILPVKLHNLKENCSSFCITLSNWLGLLVDITILPFYINKVPITGGNRSEASDWYWNKLMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRRKSLPVFFILYLMLVFKTTNRPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYGMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSVYAHPSNSGPG >AMDW01037024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037024.1:120:311:-1 gene:AMDW01037024.1_FG001 transcript:AMDW01037024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIDAYIEIIKDMQSEQPRGNGIALLESEAHCQIWKTNGSNKGTPSKRYRESRANVAKRYLEHDM >KN539702.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539702.1:16:5252:-1 gene:KN539702.1_FG007 transcript:KN539702.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSAHAVPAPFSVVNNDIKPLNMLDGSKEEIPWHDSVTVESSLPKVSKSETTLVVDKAIPDKKEHKRITRKVTPNVPDKASLSTESKNARKLLATIYDKVLVVDNVESARSVVKLLTTKYKGFIHACDTEVANIDVKEETPVGHGEVICFSICSGNSDGEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENCGIKVAGFHADTMHLARLWDSSRRADGGYSLEGLTNDHRIMNAVLKDIHKTGKVSMKTIFGRKNARKNGSEGKTISIEPVKKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRAYLSEIEKTAVVERKLAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIKNSFTICRRNKPGETWPQSKTFKVPNDEGIATEGKKTPKSRTIKLFTIVEDLKLFTTEGKKTTKTGWLKVRGDVLWSLA >KN539702.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539702.1:36990:41446:-1 gene:KN539702.1_FG008 transcript:KN539702.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLAPNMNPNKSFEVLPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRIATSRK >KN539702.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539702.1:45324:47468:-1 gene:KN539702.1_FG009 transcript:KN539702.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPPLTEPKFESQLALPLQQHGDDQDDAALQEHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQGAYINRSSNPSSLPAPIDSGVVTFEVEYAQWVEEQGRQAAELRAALQAAAAEGPELRAAVEAALAHYDRAGCEPEKLGVIPGA >KN540743.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540743.1:12170:19219:1 gene:KN540743.1_FG001 transcript:KN540743.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHGESVQTTVAIAVAVVAVAAGGAFLLLRSRKPKGCLDPENFKKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGHFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFRYQVGQVRAFGMIAGGSGITPMFQVARAILENPNDITKVHLVYANVTHDDILLKEELDNMAKTYPDRFKIYYVLNQPPEVWNGGVGFVSQDMIKAHLPAPAEDIQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNLANSPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVRAFIY >KN541190.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541190.1:480:2150:1 gene:KN541190.1_FG001 transcript:KN541190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFVDSSKLKRHHLIHTGQKDFICPHPGCGKAFSLDFNLRSHLKTHALENYHVCPFPACGKRFTSDSKLKSHVKGHEKTGTPITAQYVPSSDKPQSSSKPATPATTKPTTPAATKTTTPASTKPTTPAPTSFAERPYVCPYDGCGKAYIHSYKLNLHLKTQHPEHGQEENGRIAAHASEHAVNDRANQYNYAEIVDLAPNPKRSKTNSGHKTPSSNKAYNVKISSVSPADISGVKNQWPGKYEDDSEETEEDQGNNIEDGWRYGNQNADDEETEYED >KN540743.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540743.1:34532:37336:1 gene:KN540743.1_FG002 transcript:KN540743.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSTRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIAWMHISEHYTAPDKLLFHLQPFGFSKVIWFGDLNYRIALSYADTKKFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGKNKGKGTTLLAHMP >KN540743.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540743.1:27626:30634:-1 gene:KN540743.1_FG003 transcript:KN540743.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHQLNRGAAASLPRHLLEAHVVALVRRCCPGLLALRAAHARLIRLRLPRLTAAFALSKLLASSSSCGAAPSYARNLFDQIPEPTAFCYNSLIRALSSAAGAAPAADTVLVYRRMLRAGSPLPNSFTLAFALKACSVVPALGEGRQLHSQAFRRGLEPSPYVQTGLLNLYAKCEEVALARTVFDGMVGDKNLAAWSAMIGGYSRVGMVNEALGLFREMQAADVNPDEVTMVSVISACAKAGALDLGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKGVFDAMAERDTKAWSAMIVGLAIHGLVEDALKLFSRMLELKVRPNNVTFIGVLSACAHSGLVNEGRRYWSTMQELGIKPSMENYGCMVDLFCRSSLLDEAYSFVTGMAIPPNSVIWRTLLVASKNSNRFDIVQSASKKLLELEPCNPENYVLLSNLYASNSQWDRVSYMRKKMKENNVNVVAGCSSIEINGYLHKFVVSDDSHPEIKEIRLLLREIADRVAWFMAARSNW >KN540743.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540743.1:20824:26926:1 gene:KN540743.1_FG004 transcript:KN540743.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAGAASSALGFRDLCALAIVSRRLRRLSLHPSLWSALLSRDFPTQSSQPSSASSSSSQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLTQLEESLQAEGEKMKAAAQELDNLERVSLSINLLIFVVYARAGLRPLWRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDVNMELKVCKQQIATYKNIYNKEKEKLNEYEEALKRAIYHPLQSSHTSSIANEPQAKRKKLKFAQQRQSSPDVFVSTCSLSCFRSEAVGLVGGGDCWCFEERENPLLNLELSLWMGGSLVDSSAEGVSSSLCLCHSTSEKAGFEHSSCASSGDGNCEAGIDFGQDDLVVNEIGMAIAEVMHVCSDHDDDEGTDSGEDFDENEGPLSLESDSTDDVVDIDNELVISPTFSSCNASESSINKSDSGNSSINGTPPLVSAMKGSRAKLGIATSLSVSWAPDVYDPPVTSGSHTVKGHQRISRKSHYKYKPAKSRTVPVLKTLEPIKRSSSCCKEQPLSILSRQFVAAKYKGMFSLWSHNQLAS >KN541190.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541190.1:19036:21732:-1 gene:KN541190.1_FG002 transcript:KN541190.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNKVIDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAKAYGLWYNLMVVSLWKETCSCVINTNEQRGDQSTLVNINAHVNRKETDITYTSEEMHIHQHDCSRR >KN542421.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542421.1:7422:9080:-1 gene:KN542421.1_FG001 transcript:KN542421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEKEEKLKKRRQAYKRKKDLNALANVEEPLHATHSGQAEQEIASQDKDSIPNQEKNAPPRMKYRNMGPDQKKAKREQVNANRALRRNTPSKYSIEMESPTYIALDTSPQVLIRLHRKNVAHEERQALVYCRNESFTIRWDTRTSVSLGEDPSICTQTSNSIDPPKEPSITNTAPSSMLDAGTTSFGSPSPLLDPNIRRRKRDRERYAQMTPQEREEKLKKRREAYKRNKDLNALANVEEPLHATHSGQAEQEIASQDKGSIPKQEKKALARMKYRNMGPDQKKAKIEQVIANRALRRNTPSKYSIAMESPTYIALDTSPQVPTRLHRKHIAHDERQALVSRRNESFTIRWDTRTSVSLGEDPSICTQTANSIDPPKQQSITNTVLTMFFQ >KN541190.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541190.1:12812:18494:1 gene:KN541190.1_FG003 transcript:KN541190.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYISAAGRNAGRIRLANLSVINITRNRKCYLQLWDVMKLENDTHFLSHSSGDGMKCTKADHFLSHANRGSLNLDYQEQPSKSNFATDLGSHAYSKEARTLGRVYHGQQPYFSPSSCSWEKSSALKVVPNSPDASGHIVGEMRTKTNILSARSNYLSYPTDVPHIRYRDEVKVDLSQDDNSKQYRRPDQFTAFSNFNGQSSEHLETTKGANYMDEITPISRQWCFDDRGPSLPRGLDYGDEIPSLSSKKCNGNAASSYSRIWDYSAETSSVRQCYGDKIPSLSHHWHYRDKIPLHSGQWCHDAEARPLSNYQQGASYGNGRLRDNISRGGRNEQVNIISTRRTMTKPRVANKVVSSTDHYKINKDNLWRNSEDIRDQVRGPRANKLNNSSTSSIKNNAIPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGKVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRHAQKGRNLETTNQDLFSDDFRSISVMATGAKDLESLWVRNSG >KN540743.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540743.1:40897:41755:-1 gene:KN540743.1_FG005 transcript:KN540743.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGICGLAAPPVTACALLGVPVPMAPSASPMAGLGPSTEPQMPVSYHICIF >KN541190.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541190.1:3588:10392:-1 gene:KN541190.1_FG004 transcript:KN541190.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGETRCILPCKSAQKEYTRKCLNFVGKASPPPESTVLHVDHLSRNVNEDHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKNRADAEKALLYLDGGQIDGNVVKVRFALPPQQQRAAASPKALHPSPKRDVVDHNKVGPSAEKATKQQPRKRLLQGGCGVLPEDHSHFAIAVDLPFTDQFAPLPDRFLHAGTKKGAQKSQS >KN542421.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542421.1:14301:14708:1 gene:KN542421.1_FG002 transcript:KN542421.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALACLLAVALSLSGSAAGDMRAGVKTTAGGKVVVRHGVDAAAAARQLMVAMKKSTRLEDVVAPELIGADLVELHHRRRRILGEDANIVDSVLVGDKQGCLGKCPARGFPFNTPPRGCNPKYGCNTGHNPPVRQK >KN541190.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541190.1:26340:31781:-1 gene:KN541190.1_FG005 transcript:KN541190.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAFRLGQRVHAAGDPARVGTAQASMLACVYVLVLEFDSCSLLESMYGTPRVKISNNHVLLVGWHEMYVFSTSQKRVSVELVGKNKVEEKLKNLNDLTSASVSYMGVSSTGPGDELKNLVPNLRQLDLTGNLLSQWQDIFSLCEALASLEILDLTNNTMENDFTESSSVKNIRVLVLNYCGVTWELVEKIKVSFSCLSELHLMTNRIKAMMTPVGNIVQGFDTLRLLNLEDNHIDSWDEIVKLSYLRSLEQLHLNKNMLKHVTYPSNPPPLGSLGDAAVLPFEKLRVLLLGSNQIDEVLSVDSLNLFPSLTLWFSTPILISTFLKDVRLSDNPVADPAKGGVPRFVLIARLGKVKILNGSEISPRERREAEIRYVRLVMGKAESYDPEEIKRLHPRFAELKAFHGIEDEKPSSRTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDVKLRLYLEEEGCPLPQFFEEDTVSLMELGIGTGSTIIVDEES >KN540488.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540488.1:36036:38024:1 gene:KN540488.1_FG001 transcript:KN540488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMLFGLLAVLAGCSDAVDQFVYSSFTGSNLTLDGGARVTPSGLLELTNGMVRLKGHAFHPTPLHLHESPNGTVQSFSISFVFAILCDYPDSCGHGLAFFIAPSKNFSSAFWTQYLGLFNNKNNGDPNNHIFAIELDTVQNDDLQDISNNHIGININSLYSMKSRDAGFYDDKSGDFKNLTLIRQKAMQVWVNYDREITQINVTMAPLNVEKPVKPLLSTTYNLSTVLTDSAYIGFSSSTGTVSGKHYVLGWSFGMNSPAPSIDIAKLPRLGQKARSKVLQTILPIVAVVFLLSAGTTIFLCMRRNLRYAELREDWEVEYGPRRFCYKDLFDATEGFKNKHLLGTGGFGSVYKGVLPISRLDIAVKRVSHDSTQGMKEFIAEIVSIGCLQHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEGKPTLDWTQRFQIIKGVASGLLYLHEESEKVIIHRDIKVSNVLLDNDTNARIGDFGLARLYDHGTDPETTRVVGTIGYLAPELARGGKATPLTDVFAFGMFVLEVTCGQKPVMQNTEDDQLVLIDWVLEHWHKGSLADTVDIKLQGEYNIDEACLALNIGLLCSHPLISVRPNMRQVVQYLNKDIPLPESMPTHLSFCVMALIQNKGFSPCTITDPSSATSFGTISSTSLSEGR >KN540488.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540488.1:13842:21413:1 gene:KN540488.1_FG002 transcript:KN540488.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGGEFLLMPHLQDCKFLQPLDENKSLILTTNDLAFMKGSQPRSFEDNDKLEKLHRLIDLCVGGMTGQELLTIQINHVLQVANIPHMEHPSFLLPLIALALNLAIAMSEDQFIYSGFFGRNLTLDGAATVTDDGVLELTNRTVHIKGHAFYPTPWQFRKTPNGTVQSFSINFVFGMIPVYSNEKCTDGMTFVISPTSDMSSAQDSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFHDIDDNHVGIDINNLTSVQSRPAGFYSDNKSIFNNLSLCSYKPMQVWVDYDEETTRIKVTMAPIEVGKPLRPLLSEIHNLSLVLEEPSYIGFSASTGPINTLYCVLGLSLGINRPAPAIDISKLPKLPRVSPRPRSKLLEIILPIATATFILIVGTTIVLLVRRRMRYAELHEDWEAEFGPHRFSYKDLFHATDGFKNRNLLGLGGFGKVYKGVLPTSKLHVAVKRVSHDSKQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYCEDSKPTLDWAQRFQIIKGVASGLFYLHDRWEKIVIHRDVKASNVLLDGEMNSRLGDFGLAKLYDHGADPQTTHVVGTMGYLAPELARTGKATPLTDVYAFGIFILEVTCGQRPIDNYADDNSQMLIDCVVEHWHKGSLTNMLDKRLLGDYDADEFSCFNTFTSYARVLLLDLFFFFLFISNLSALATGEDQFVYSGFNGANLTLDGVASVTPDGLLKLTNGTLRLQGHAFHPTPFSFKKKPNGTVNSFAVSYIFAIYCLRPVICGHGIAFVVSASKNFSTAMASQYLGLINDHNNGDPTNHFFAIELDTNQNDEFNDVNNNHVGIDINSLTSVNSSSAGYYTDNNGNFNNITLTSYKMMQVWLEYNGDSRQINVTLAPIKMAEPVKPLLSTYYNLSTVLTDMAYVGFSSSTWSFVTRHYVLGWSFGINKPAPAIDISKLPKLPYEGEKPQSKVLEITLPIATATFVLAMITLIILLIRRRLRYTEVREDWEVEFGPHRFSYKDLFCATEGFKNKNLLGIGGFGRVYKGLLPTSKLEIAVKRISHDSNQGMKEFVAEIVSIGHLQHRNLVQLHGYCRRKSELILVYDYMPNGSLDKHLYGQENSSTLTWAQRFQIIKGIASGLLYLHEEWEKVILHRDIKPSNILLDDNMNGRLGDFGLARLYDHGADPQTTHVVGTIGYLAPELA >KN540488.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540488.1:39611:41611:1 gene:KN540488.1_FG003 transcript:KN540488.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCLILCQLIFLGLDLASFTIAEEQFIYSGFSQANLSLDGTATITPEGLLQLTNGTFNLKGHALYPAPLQFRRHPTGDVQSFSLTFIFSILSAIPDKGADGMAFFISTNKSFSNALPAQYLGILNDQNNGNTSNHIFAVELDTIQNSEFQDISDNHVGININSLHSVQSRDAGFYDDKNGVFKNLTLVSRDVMQVWVEYDAGSTQIDVTLAPIKVAKPTRPLVSAIYNLSTVLPGTAYIGFSSATGVINSRYYVLGWSFSMGGTASGIDIRKLPKLPHVGPRPRSKVLKIIMPATIAASIFVAGALLVLLVRRKLAYTELREDWETEFGPNRPVKQNAQGDRFMLVDWVLEHWQKGSMVETIDKRLQGNCNINEACLVLKLGLLCSQPFARSRPSMNHVMLYLNGDMPLPEFTPTDTSLNMLALMENRGLDPSGVSYPQLMTSIGEMSSLSGR >KN540488.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540488.1:48151:50124:1 gene:KN540488.1_FG004 transcript:KN540488.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALSFLLHILLFHGFNLVLVVSASDDQFVFSGFSGANLTLDGTATVTAGGLLELTNGTTQLKGHAFFPAPLSFRGSLNGTVQSFSASFVFAILTTYPNLSCHGIAFVVAPSNNLSTALAAQYMGLTNIDNNGNASNHIFAAEIDTMQNVEFQDINNNHVGVDINGLHSVESHYAGYYDKNGSFHNMNLISGDVMQAWVDYDGDIAQINITIGPIDTSKPGRPLISTTYNLSDVLMEPSFIGFSSATGPINSRHYILGWSFGMNKPAPNIDIAKLPKLPRLAPKPQSKVLVILLPIAIAAFILSVGIAMVFLVRRRQRYAELREDWEDEFGPHRFAYKDLLHATDGFSDKHILGAGGFGRVYKGILPKSKLEVAVKRVSHESRQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDRYLHYEGNKPVLDWVQKFQIIKDVASGLLYLHEKWDKVVIHRDIKASNVLLDKEMNARLGDFGLARLYDHGTDAHTTHMVGTMGYLAPELIHTGKASTLTDVFAFGTFLLEVICGQRPIKEDAHGNQILLVDWVLEHWHNESLLDTVDPRLQGDYNVEEACLVLKLGLLCSHPSTNARPCMQQVVDYLEGDTPVPELASTHQNFNELASMRKKGFDPYIMSYNPSST >KN540488.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540488.1:10920:12950:1 gene:KN540488.1_FG005 transcript:KN540488.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYTLPIILFLTISISLLAISASGDHDQFIYTGFTGSNLTLDGAAKITATGLLGLTNDSFRIKGHASHPDPLRFRKSPNGTVQSFSVSFVFGILSSFGDIRGHGFAFFIAPSNDFSTAFPIQFLGLLNDINNGSSTNQLFAIELDTIRNDEFGDIDNNHVGIDINSLNSVRSSYAGFYNDNNGALTNVSLIGDKPMQVWVEYDGNATQIDVTLAPLGIGRPKRPLLSVVYNLSNVLPDQAYLGFSSSTGLSTGHHYVLGWSFGLNIPAPIIDPTKLPKLPNLSPKPQSKLLEIVLPIASAIFVLAIGVAIVLLVRRHLRYKEVHEDWEVEYGPHRFAYKDLFDATKGFKNKNLVGTGGFGRVYKGVLPNSRLEVAIKRVSYESKQGIKEFIAEVVSIGHLQHRNVVKLLGYCRRKGELLLVYDYMANGSLDKYLYQQEGKPTLNWGQRFQIIKDIASGLLYLHEEWDKVVIHRDVKASNVLLDKQLNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELVHRGKATTLTDVFSFGIFILEVTCGQKPIKEDSQGRQLILVDWVLQNWTQGSLLDTVDIKIQGNYDIGEACLVLKLGLMCSHPFPNVRPNVRQVMQYLDGDVPLPELKPEHFSFDMLALIQKQNEGYDPSAMSLYPSPMMTSFGSTSSFSLEGR >KN540488.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540488.1:44730:46808:1 gene:KN540488.1_FG006 transcript:KN540488.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHVKLICLLLLAGASLAACTAGDGNDDDHQFVYSGFTGSNLTLDGAAVITRTGLLELTNGTLRQKAHAIHPAPFRRGGGSSSSSTATATATRSFSASFVFAILCPDADACGHGIVFFVAPANHSFSGAFPSQYIGLFNGSSDGDAGNHLVGVELDTDQNNEFRDIDGNHIGVDINSLTSINSTSAGYYDDNDGGNSGDHGFHNLTLASHGEAMQVWVDYNGTAKQITVAMAPLKMAKPSKPLLSSTYDLSTVFVADEPYMVGFSSATGSFNSKHYVLGWSFAMDGPAPAIDIDKLPKLPRFAPKHKPKMVEIIPPLATATFIVALGIVSVLLIRRRMRYTELREDWEVEFGPHRFSYKDLFRATDGFKSMNLVGVGGFGRVYKGVLQSSKLEIAVKRVSHNSKQGMKEFIEEVVSVGRLQHRNLVQLLGYCRRKGELLLVYEYMANGSLDKHLYSEGDKRVLDWDQRLRIIKGIASGLLYLHEEWEKVIVHRDIKTSNVLLDSEMNSRLGDFSLARLYDRGADPLTTHVVGTIGYLAPELGRSSKATPLTDIFAFGIFILEVTCGRRPIMQVPEGEQHVLVDWVLEHWHKGSITEIVDTKLHGNYNVDEVCLVLKLGLLCSHPLSNARPNIRQVMKYLTGDMAMPELVPTHHSFHTLALMQNQGFDSYVMSYPSSTASMSAMSNNPSAQR >KN540488.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540488.1:3234:5252:1 gene:KN540488.1_FG007 transcript:KN540488.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVNLRCFLPILLFIIILLVIIILPSFATAIGDGQFGYYGFANSNLTIDGAATVLPGGLLELTNGMPNIMGHAFHPTPFRFRKSPDTAVQSFSVSLVFGIVSPYRGASTDGMAFFVAPTSNLSEARAAQFLGLLNRENNGNLSNRVFAVEIDTAQNLELMDIDDNHIGIDICDLHSVRSSSAGYYDDSTGAFNNLSLISGEAMQVWIEYDAGTMRIDVSLAPFKMPKPMKPLLSMSYNLSQVLTDVAYVGLSAATGPLASSHCILGWTFSMNGPAPPFFTAQLPDLPRITQKGYFQRKVLSVIVPVATAAFVFIVGLAVYLFMRRRMKYAELREDWEIEFGPHRFSFKDLYFATQGFKNRHLLGRGGFGSVYKGLLSKSKLQIAVKRVSHESRQGIREFVAEIVSIGRLRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDKYLYSRSNCITLDWIQRFRIIKGVASGLFYLHGEWEKVVIHRDVKASNVLLDAEMNARLGDFGLVRLYDHGTDMQTTHLVGTIGYLAPELVRRGKASPLTDVFAFGIFVLEVTCGRRPIEHKMNSDKLLLVDWVIDCWNEGSLLEAMDPKLQNEYDADEACLALKLGLLCSHQSPAARPSMWHVMQYLNHDLPFPELAPMDMVQNRQVDSPIAYCQSVVSDGTISGLSEGR >KN540488.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540488.1:24215:26290:1 gene:KN540488.1_FG008 transcript:KN540488.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEVLHPCIFLDLFLQVRQTSRTKFCFLFLLVSFGINCASFTNTSDDQLLYLGFTGANLITDDTTIVTSNGLLELTNGTVNRKGHAFYPSPLHFRKSHNNNVYSFSVSFVFAIRSSYPRMSLHGLAFVVSPSINFSNALASQYLGFLNSQNKGKASNYILAIEFDTVLNIEFEDIDNNHVGIDINDLHSVKSHSAGYYDDRNSIFHNMSLISGDPMQAWVDYNGEDKKINVTMAPIKMAKPTKPLISISYDLSTVLKEPSYIGFSASTGAADSRHYILGWSFGMNKSAPMININKLPKLPYQGSNPQSKLLAITLPIASATFVILLCSVFIITVQRRLRYAELKEDWEAEFGPHRFSYKDLFHATHGFDNKNLLGVGGFGKVYKGVLPSSKLEVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNIVQLLGYCRRKGELLLVYDYMTNGSLDTYLYNNELKPTLSWDQRFRIIKGIASGLFYLHDKWEKVVIHRDIKASNVLLDTEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELVCTGKASPLTDVFAFGAFLLEVTCGQRPVNHSSQDSPGVLVDWVLEHWQKGLLTNTVDARLQGDYNIDEACFVLKLGLLCSHPFTNMRSNMQQVMQYLDGDVPLPELTHMDMSFSIISMMQDEGFNPYTLSYPPSGTSVGTISNISGGR >KN540488.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540488.1:32172:34454:1 gene:KN540488.1_FG009 transcript:KN540488.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSEIVRCLTEQTKEQLGSLHVSLAVSLSDKDRKGIEDYAEDSCSLASYMIRVKPGLLPVQPSPKHLCTFWHLNSLVLHKLHMENQPVLFSAVFILYVSFLGPFCASSGEESFVYSGFASTGAANLTLDGSAMVTTTGLLQLTDSMPNIQGHAFYPTPLRFKKQSNGIVQSFSVAFVFGIISPYSDASTDGMAFVVAPNKGFPDAKAAQFLGLLNISSDNSTSNHMFAVEIDTAQNTELDDIDGYHVGIDINSLHSKKSQHIGFYNDQHGGLLKNLTLTGSNCKPVQVWVDYDGETTQINVTLAPIKVTKPTRPLLSVPFNLSTVLTDQAYIGFSAATGPLTSHYYVLGWSFAMNAPAPPIEISRLPRLPCPGDNRLQKILRILLPIVAVALILVVVMILVRRQQRYAELREDWEVEFGPHRFSYKDLFNATEGFKSKHILGVGGFGKVYKGVLRTSKLEVAVKKVSHGSNQGMKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLIVYDYMPNGSLDKYLYGKASPLTDVFAFGTFLLEVTCGRWPISNSAHHGRKMLVDWVLQHWHRGSLPETVDPKLHGIYNVDEACLVLTLGLMCSHPIPGARPIMRQVMQYLDGDAPLPEFTPATLNSSLLAIMHNEGVDPYVAQYLWSGNSLGTMTPDILSGR >KN542691.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542691.1:4994:11534:1 gene:KN542691.1_FG001 transcript:KN542691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHSHRERKDHRPPPAKLDELADAAQEMEEMRNCYDSLLSAAAATMNSVYEFAEAMEEMGTCLLEKTALNYDDDDSGRVLMMLGKAQFELQKFVDNYRTNIINTITNPSESLLKELQVVEEMKELCDHKRQEYEAMRAAYREKGRSRHSKTETLSSEQLQAYFLDYQEDAALFIFRLKSLKQGQFRSILTQAARHHSAQLSFFRRGLKYLEALEPHVKAVAEKQHIEYPLNGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDKDFPASRSSMDLDQSNQACSPEPLKEHKQEHVEQIQADFAAPRVKLEIGTQSAPISADNVFDPSTRFRKMNTSNRTNYSYKLPTPDDDKNSTSAHTNRSPHSDQPESKSHVAENLWHSSPLVKGFKPNSMFSGPVKMPSSTEGISAPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPLFSATDLRAPMSYPRAMSTKSYGPGWQPSVAPKFTPRITSLPTTSPRISELHELPRPPANVGAARPGLVGYSGPLVSRRQVPNVPTRASPPSQTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRRSTAETALEKTRMMETL >AMDW01040139.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040139.1:19:1036:-1 gene:AMDW01040139.1_FG001 transcript:AMDW01040139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDLVEIGMKEEDITTMLFGKKVMELTEDAFDGSKEERKIFEELFCRTGTSGASTRHPRRDGKSSSLRDASRELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASNLVTQWTPPSVERVYTRRAMARRNQRAKLCSVLDLERVDITSVRQRRDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALRAVNSTVTFVSCIE >KN540949.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540949.1:8841:14053:1 gene:KN540949.1_FG001 transcript:KN540949.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDGQAWLGTNGYGSRREEDGVCHDDSATPVRANTVDELHSLQRKPQVVEDRHKLQLQSISASLASMTCGIGPKLVNGDPARKKEMAGKAVTHHQHHITVPTITVSDSDLKFTHVLYNLSPSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTDDLWWGKGSPNIEMDEQTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLKRREMVILGTQYAGEMKKGLFSVMHYLMPKKQILSLHSGCNMGRGGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSQEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMNKHGATGWLVNTGWIGGSYGVGERISLAYTRKIIDAIHSGELLAASYKKTDVFGLDIPTKVEGVPSELLDPINTWEDKDSYKLTLLKLADLFKRNFKVFANYKKGGVSDLADEIAAAGPNF >KN540949.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540949.1:31601:35369:1 gene:KN540949.1_FG002 transcript:KN540949.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQHPHYHGWGGSFSYGPPTQPPAPAPPYVEHQKAVTIRNDVNLKKETLRVEPDVECPGRFLITFTFDATVAGRSMTVYFFAKEELNCNLTATKEDLLKPVTVTFKEGLGQKFRQPSGTGIDFSLFEDAELFKEGEMDVYPLAVKAETTFSIGQFSEGEEQKSQTPNSQITQAVFERKENGDYHVRVVKQILWVNGTRYELQEIYGIGNSVEGDTEGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTNRCPICRQPVERLLEIKVNNKGEEQQQQQIPQPPPPPSTAPPHQQQESQA >KN540398.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540398.1:10145:11406:1 gene:KN540398.1_FG001 transcript:KN540398.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVAILCALVAVQAAALLLAGSAAAASELKVGYYHKKCKGVENVIKWHVIKALKQDRRTGAALVRLLFHDCFVRPPQQITPGYRDLLNYKCSQAANPDVVNNVRDEELSVVARFMPGFVSRVRKISDFLDNTYYHNNLAKIVTFHSDWQLLTDATSLSKVHEYADNATLWDSDFSDSLLKLSQLPMPEGSKGEIRNKCSSINHLY >KN540949.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540949.1:17380:18189:-1 gene:KN540949.1_FG003 transcript:KN540949.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGASAVDEKMVRTVGEGGIDLSFCNNGNSGSPDDEGIAEEKRIEMELPVARGDRRPEFGRESRGSRGVRRGGANHGDGVIRSGLGECRQLQTSEAFCGSDPGEAQSASRPAPPPVAHDPWQGGRRRNFIRSVPAASDPQFHGNYMGYSQT >KN540398.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540398.1:50911:53301:1 gene:KN540398.1_FG002 transcript:KN540398.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQMCSAARGVRRHGSPVIIAWAIVFFSVVASSSLSEAQLQVGYYNSTCPRAEDLVRNVVRAAILRDPGNGPGLVRLFFHDCFVRGLFIEIALGKYTCECSGVCMRLDECTRFLKTLFRGFELFIGIATDKAF >KN540398.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540398.1:39063:43227:1 gene:KN540398.1_FG003 transcript:KN540398.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVAVICSMVAVQLWVTLLAGEAAPSPAKPGELKVGYYDDKCSGVEDIVKSHVIKAIILNRGNGAALVRLIFHDCFVRGCDGSVLLNASDENPRPETAAPVVNLNSDWQLLTQDEARGHVHEYADNGTRWDLDFAESLLKLSKLPMPAGSKGEIRNKCSSINHR >KN540398.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540398.1:24327:25461:1 gene:KN540398.1_FG004 transcript:KN540398.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFVVVCMLAVAFRLVAAVVVPSAAPGGLTVGYYNGKCNNVNVESIVYNTVKDFLDADRSKGAALVRLLFHDCFVRNNKNNLVLFNSDWALVGSNATLQHVNEYAANGTLWNVDFAQALVKLSKLAMPAGSVGQIRKTCRAIN >AMDW01039294.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039294.1:166:381:-1 gene:AMDW01039294.1_FG001 transcript:AMDW01039294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAEVDTDGGAGGCDRGTAAGRKRMDMAAEMGRCCGAMAMVAEADGDVDDGGYGGAKGTEMYLRAMEMK >KN540959.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540959.1:10027:11668:-1 gene:KN540959.1_FG001 transcript:KN540959.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDRELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >AMDW01104952.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01104952.1:322:517:-1 gene:AMDW01104952.1_FG001 transcript:AMDW01104952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ENQTIEWAMRLRVAHHIAEALDYCSSNERPLYHDLNAYRVLFDEDCLMW >KN540959.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540959.1:35910:36739:1 gene:KN540959.1_FG002 transcript:KN540959.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDCIGRLLTELKARHPNITTSIAPFEDAVVQRYYQPLWRRYAGVIDYVNFQFYGYGDNTDVPTYVMFYDEQAANYPGSKVLASFKTGDVAGLLSPEQGIAGAEELQRQGKLPGLFIWSADSSKVSSYGFEYETRGQEIIANH >KN540959.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540959.1:31008:31867:1 gene:KN540959.1_FG003 transcript:KN540959.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPVNPGLSFHFILAFAIDYFMATQSSKPAPANGVFAPYWDTANLSPAAVAAAKAAHPNLSVILALGGDTVQNTGVNATFAPASSVDAWCIGRLLTELKARHPNIATSIAPFEHPVVQRYYQPLWRRYAGVIDYVNFQFYGYGANTDVATYVMFYDEQAANYPGSKLLASFKTGNVTGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSLVSSYKFEYETKAQEIVANH >KN540959.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540959.1:24400:27137:1 gene:KN540959.1_FG004 transcript:KN540959.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYMVGRSIGELDMAEVVVYVFGVGFVHGEEEEEEEGETQFKRAPCVLVSLSAITPNGKSIKSTSFAVGGHSWHVEYYPNGYDADHADYVSVFLVLEDVGGAGEPVNVQLRFRFVDASSDPGRSWTPPPPSELADMRGEKVRDFDGQGNGWGSVAFKKKEKLEREGLIVQDALAISNNHHRVILIDDMKPKVFDALALLISMLVFIDLWASCLAARCSRWQCFEFIINSSHCLMF >KN544689.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544689.1:640:762:-1 gene:KN544689.1_FG001 transcript:KN544689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLENEKQAEIRSYKNLMVQEKMTSNKQVASVVARPWKN >KN540931.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540931.1:25105:25548:-1 gene:KN540931.1_FG001 transcript:KN540931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDESLINHDVLSHVHQCCPDFLELKVFALYVDEEMASIICNSLPRLKKLEIPNSDMSCAAIIKFLDCLEELEYLDISGYETSAISSAVLQKASRLNIFIWNSKFELGEFTDCSNCGEHCINPQEPCKCVMEHRVMDWLAGPSQPS >KN540931.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540931.1:36939:37613:-1 gene:KN540931.1_FG002 transcript:KN540931.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPVRPWADLQHDLLVMIMSRVGLPDLLSGGATRACSAWRASARDPLVWRRVDLRDWAVLTSARRRLAAGDGEAAAAGRGRVPLQAALCSVLEIVVRRAAGRMEALLLPEFADEEHLLFLAQSVGI >AMDW01015013.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015013.1:1:226:1 gene:AMDW01015013.1_FG001 transcript:AMDW01015013.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTS >KN544056.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544056.1:236:3871:1 gene:KN544056.1_FG001 transcript:KN544056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPFAVNCGGYRSMTDSENNFYQTDEATLGPASYNVTNTSLPIWGVSNVGKFMDASDRNYTIFSSSQFQNTLASELFQSARMSPSSLRYYGIGLENGNYNVTLQFAELGFTESQSWKGTGKRVFDIYVQGERKEQNFDIRKAVGGKSNTAIKKDYAIHVTKNIVEIHLFWAGKGTCCIPYEGYYGPSISALSVIPMDLMSDFTPGAHNAAQKKSRSKKGVIVGVVTGVTVLGLVALAAIFMWMQKRRKLSLEQQELYSIVGRPNVFSYGELRSGTENFSSSNLLGEGGYGAVYKGNLIDGRIVAVKQLSQSSHQGKRQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGSRRLNLDWATRFEIFLGIARGLAYLHEESRIRVVHRDIKASNVLLDTNLNPKISDFGLAKLYDDKTTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDALEEDKVYIFEWVWRLYESDRALDIVDPDLTEFNSEE >KN541812.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541812.1:5224:6918:1 gene:KN541812.1_FG001 transcript:KN541812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMAMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGTEPFDTAAAADQRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLEEKRNLVESSPTKVFNIDPNTQQSVTTES >KN541812.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541812.1:10202:11396:1 gene:KN541812.1_FG002 transcript:KN541812.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGTKIHVVVVEDAEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDADLGELGAHLVGG >KN543648.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543648.1:3222:4759:-1 gene:KN543648.1_FG001 transcript:KN543648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRRRIFSGGGGPRQHGTADVALCDKSDKAALLAVKSSLGNPPALSVWNSSTPCCSWDGVSCDAITGRVTELTVFALNISAPVPAAIANLTKLQTLNLAYNQLYGPIPSFLGPRALPDLTFLRLDGNRLSGSIPPTATVFNLLLEGNLLTGTLPSTFGAAAFGEGRYTHRFGAKHFAGNKCLCDRPLPPCSS >AMDW01039757.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039757.1:100:1061:1 gene:AMDW01039757.1_FG001 transcript:AMDW01039757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGLSVQQLEALVKMKPTKEEEEKLLNYDGDINMLDPAENFVKVLLTIPMAFPRMEVMLYKENFDDEVAHIKMSFAMIEGACTELKSSKLFLRLLEAVLKTGNRMNVGTLRGGASAFKLDALLKLADIRGTDGKTTLLHFVVKEMARSKGLKALEKLNEAPSSCHDIPTEREEYSSMGTEFVSELSNELGNVKKVASIDLDTLRNSISNLSCGLAQLRNLVEKDLASDDKNNNFLQCMKSFLNHAENTMQGLKADEAQVLLNVRELTEYYHGEVSKDESNLLQIFIIVKDFLGLLDK >KN539505.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539505.1:12513:16118:-1 gene:KN539505.1_FG001 transcript:KN539505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAMLFAVLLLCVANTLAFSFMAVRIFRADGGGASKLTASSVRRSTKCILVLACVVEVAVLFASLRLAADRHALSGEVDHMRDQIETLQDDLKQYEQPFSALSDYLGLSVLDLGSAVGRLRDKEEHLVKEYRDLKLEIEQIKSDIQSLRHEKEGRGYHKETLGGTSNQQKQGKNEKTKQPAIDGIMKSLRAKATKLQQVKIRQLAIDGIMKSLRAKATKLQQVKISFPWEKLKKAKNIFSMDFKLQP >KN544884.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544884.1:1201:2256:-1 gene:KN544884.1_FG001 transcript:KN544884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVERIRAVGPSVPVDVSALFYDMAISIVSCASFGKKQRNADEYLLAIKTGISLASGFKIPDLFPTWRTVLAAVTGMRRALENVHRIVDSTLEEVIEERRGVARECKGRLGMEDNEENLVDVLIKLHEQGGHLSRNSIKSVIFDMFTAGTGTLASSLNWGMSELMRNPRVMAKLQGEIREAFHGKATVGEGDIQVGNLPYLRLFIKETLRLHPPVPLLVPRESIDMCEVNGYTIPARSRIVVNAWAIGRDPKYWDDPEEFKPERFEGNKADFAGTSYEYLPFGAGRRICPGITYALPVLEIALVQLLYHFNWSLPKGVTEVDMEEEPGLGARRMTPLLLCATPFVVPVL >KN539505.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539505.1:79208:83913:-1 gene:KN539505.1_FG002 transcript:KN539505.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLLKRACLLLLVSSWLSSLSDAKRKTPPSPPPPSSFGLPVRAVCLGGWLVTEGWILPSLFDAIPNKDLLVALGSNSVTADYYGESTSWGDDDPSVFVVTKVLELQGEYQICNGYGTAKATPILKNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTSDANIAETVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVIMSNRLGPHDPTEFLQFANGFPRAVIDVHYYTVFNDLFNNLTVQQNIDFIKSNFSSELKNVTTQNGPLTFVGEWVAEWRVPNATKEEYQRYAKVQMDVYGQATFGWSYWTLKNVNNHWNLEWMINNGYISLKT >KN539505.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539505.1:34701:43341:-1 gene:KN539505.1_FG003 transcript:KN539505.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAFTGNLKVGVGVGVAAGEKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQPLPDAGDGAASASSDPSDDWEDSLAFDPTEAREDNLASLWEDTGDADDIFAADAMVDEVLVARVRAVVESTPEDQIPFALAFKVVDFNEPLLAAILVSTAKSCSVHCDCLIWPRRRRRSPATTSKQPICVYIVLSEVLGRLASQIAVVLQGKDKPTYAPHVENRDMDRDHKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALLRAQKKEQDRAAASTKDDENAKNAKSEITA >KN539505.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539505.1:16709:16963:-1 gene:KN539505.1_FG004 transcript:KN539505.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPVSALLRLPIHCAAFADHYAPPQSVVGDETLEWTGAAPTRVYPVQEDKGKAARGVAGTSRCSAPSTSAPVESSNSLIGM >KN539505.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539505.1:96586:100968:-1 gene:KN539505.1_FG005 transcript:KN539505.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKVGEKPALRKPVFVKVDQLKPGTCGHTLTVKVVSANPVPARGRAGGGGPAVGSRPARIAECLVGDETGVIVFTARNEQVDMLMPGNTAILRNARIDMFKGSMRLAVDKWGRVEVTEPASFAVKEDNNLSLVEYELVNVPE >AMDW01040028.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040028.1:49:942:1 gene:AMDW01040028.1_FG001 transcript:AMDW01040028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKDHEINTDVRIRDVNLNSCLDAMSPPVSGANYETSNAIDDNNRSSIIAQCFGANSADDNACKEENFVNNQSSVSKAESFNQNNDMMYQPNLTMDPISPAQINVDCFTSCSMTSEIKNNSNRREDNAKEQLVNPRNITSNDAGFDVEAYSNIFNGAITESSLAQLNNAINMKADYSSCYSLSDLNTLTGGPATDEIDIHSMRNSFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNGSTRPCGSLPTAGTSGSIDDFMSLQTNFGSLTSLVRSVEDGPMSRIIQDQ >AMDW01020803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020803.1:12:272:-1 gene:AMDW01020803.1_FG001 transcript:AMDW01020803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGDDGGGGGTG >KN541648.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541648.1:3675:6077:-1 gene:KN541648.1_FG001 transcript:KN541648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEAESDKLPLYLEPLRSLAPKFPTILGYDVETQSTDPLLVYATPSIPCSSSEQPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPLCKQKKPTLPRRAKRPQQAEKSNDANIKRRSIRRNLDNEFNLCSSSSDNPKESVEGILMMFDSLRRRVLQLDEKEDASRRADLKAGTLMMQNNLRINNHKMIGRVPGVEIGDIFFFRIEMCIVGLHAPAMGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKIKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKSILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVSGVKYLRPLRKTKLLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLLAKHTPMVYECSSNCQCSHNCRNRITQKGIKLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETKMDIDVEEDKYTFHASCPGDKALSWNLGAELLEEKSTVVITKNFKKLPIIIRANNEGNVSRFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMEHIPPMTELTYDYGTRGAPPGFEGKPFKACKLKSCLCGSKHCRGY >KN541648.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541648.1:12862:25205:1 gene:KN541648.1_FG002 transcript:KN541648.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRESSFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTIALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMFHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKSFTLWETKKRTNQLMVDKIMMRRKDWRGKLVSFALMRTVSVTPDKEINHAGSGGLWAELVSNRGFEAGVNTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLQDAIDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYVALGNEDCAPFKLIYRENYPKFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNR >KN541648.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541648.1:7483:9183:-1 gene:KN541648.1_FG003 transcript:KN541648.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALNNPRLQAMLEKTREEKRKAMANEFVAKLTDVCWDKCITGSIGSSFSNSEASCLSNCAKRFLELKMLTMQRVSSPR >AMDW01040678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040678.1:93:1669:-1 gene:AMDW01040678.1_FG001 transcript:AMDW01040678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGISGPTYLNPVNWNCEHNRRSVAACLVQAVYVLERDRQLGRQSVEALGPLWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKEASANNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSTIWLAGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSLAEDSFNILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVN >AMDW01036464.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036464.1:111:507:-1 gene:AMDW01036464.1_FG001 transcript:AMDW01036464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKMLKAFGSIWYRELAHRVDEALGFMSAAGLTVDHPLMTSTDFWTSHECLLLPYEQSLTRQDSTTGHFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVAFKPNLIFTE >AMDW01040148.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040148.1:203:1207:-1 gene:AMDW01040148.1_FG001 transcript:AMDW01040148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IEAIRRLQIDGRIPPNDGKPYTQEQLIEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVVSKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEVHLNDPFSIAKLQEAARINSREAYKEYSRRIYELNKACTLRGMLKFREIPNQISLDEVEPAKEIVKRFCTGAMSYGSISLEAHTSLAEAMNTLGGKSNTGYITIKNGSKGQLTTQTLTFFHGT >AMDW01039567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039567.1:327:959:-1 gene:AMDW01039567.1_FG001 transcript:AMDW01039567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLEVFEEDILLVMKEEKITRDEALHLLLEELMDAQHRVEEKLDHLVEMFGVMGDMSNKIPKEFNASNRSVTTTVEAATSSLLQAPHSPTPSSCSKMCPDDNIMLVRARSSHISEEPATMDAFEIGDGENKSCYSYLVTMDLPEVTHAKCSTVGSEVKCGIDQAGVTFQTMSNASKEVPVCIQFVSNVVPRPFADIKLNMVLDTTIQI >KN542045.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542045.1:6535:12015:-1 gene:KN542045.1_FG001 transcript:KN542045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKETFSRDECDKMVKLIQSRVTDAALPEAPEYGTPKEIPTRNTAVGNDFTGAWRSLSRHRNGTEPVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGFESDMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHMYDYGGKSKYSLPSSKVTTKEEYLSNFWENLEESRRSRLGSSGSSPDVSKVRQYGSTSRLFENDTSIFSSGTDKKVDKPEQNNKGSDKVAGAEPANGHSSPIADKNHVFVDPVDPANDIGNVVKECNAASKVHIEEISQGNQMSSTSVTKDADHDGDVKAPVAEPEIHMESDINSASELRTKDTGPHIHASLNGSTKKTSANGLRDQSNANSGVESSGNDNPSCTNSSSGVPPANNEVNDPKADAADGDSVENGTRLNSEEPAQASY >AMDW01071357.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071357.1:93:413:-1 gene:AMDW01071357.1_FG001 transcript:AMDW01071357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEWRALFPSVRVINGDPRHSDHRPVIIDLEGKNTARRRRNEQGEFRFEAAWLEEDKFKEVVKETWEMASGLQGLSVHESLAGVAAGLKSWSTNVLGDLEKRVKK >KN542856.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542856.1:8198:11865:-1 gene:KN542856.1_FG001 transcript:KN542856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYNEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLVVGKPEYKSIIENTLVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFRTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENELRSLQACSISR >AMDW01022408.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022408.1:34:306:1 gene:AMDW01022408.1_FG001 transcript:AMDW01022408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECKAWLDERAASSVVYVSFGSLATPSAAQMAELAHGLRDSGRSFLWVVRSSETGKLPDGFAGETAAKKNTGLIVPWCPQLEVLAHGAV >KN543488.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543488.1:62:5230:-1 gene:KN543488.1_FG001 transcript:KN543488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSISICITTSTSSSPNPNSDERWWGALRSEDGDLFMRWKAVSKQLRKRHREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNNNSMAVFEVSKQMKAMEISSQNGDKENISSATPLKRLSIEPSFCADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQDTVPELAALVESCWDE >KN546299.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546299.1:646:786:1 gene:KN546299.1_FG001 transcript:KN546299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVAVTEATGRQAASFVLGCVATLTVMLLFQYQAPPDYGRAARSP >AMDW01040032.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040032.1:7:1141:-1 gene:AMDW01040032.1_FG001 transcript:AMDW01040032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKKRMEKDVNEVTKTARLAKSKVEKLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIEQKLLELQQ >AMDW01037948.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037948.1:86:741:1 gene:AMDW01037948.1_FG001 transcript:AMDW01037948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIAD >KN539020.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539020.1:64432:84188:1 gene:KN539020.1_FG001 transcript:KN539020.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRDALLCVLQVDTLSIYNVNGEVASIPLPYAVSSIWPLPSGLLLQKSTDGGHMVLSSTSLLKSRDLIRPNKEFGLNYNVSSQVNTLETVSKADGAIFSSHLILKHPLEEPQATYFEERGRLDMMKDFDEKTIWTSDIVPLMASYHKGKFQHSVWQIDGTTYQEEINDNAVPPIPCDISMHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRIQVDENNGESFGDIKPHMSWNIPALAAAPVVVTRPRAWAGVLPFTDILILTPDNDLLLYSGKQCFCRYTLPTELGKGIFSNYELNSGITEFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKNPSSSLVSDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEVEKICAKYGQISPTKSSESPCTAWDFLINSKHHAKYGKLSRTSLPVSYNTSSMSFHSFPQDGNSADVSFYIRFISETLDTLHALYENLKLNILRKQDLASLASLLCRVASSLGENSYVDYYCRDFPHNLVEFHSLSSATALRAPPCLFRWLENCLRHGCDLSTSDDIPALMCKEKSSAALDKCRESPPDDWPAPAYVLVGRDDLAMAKMGSGRRENGFWNNDNLTSISVPYMLHLQPVTVPTTALDVPPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQVAFCPLVPLLKKITGFTYFVFKYSLLSLNRSVPLCTSVTTQHFVLLLITSILKIVGENGLVMSLFVWLEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALEITEAFVEGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKLLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPAFVKFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSEAQELLYAYAVHFLNEIKHVSIQTASILPKGLLPHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVNYGLQMAVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVVYTQTGFLSNELHNLKRLILLQLKSIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQLVYISFYTIVETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDSFVNYLNKGKWPDAQNEAVLLACYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLLLPTTHLVGLMEIEKLHMTHGHEGLTLH >KN539020.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539020.1:148112:149043:1 gene:KN539020.1_FG002 transcript:KN539020.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGGGGGGSPRRVVVAVDESEESMHALSWCLSNVVKVETRVEKGDPRDVICGAVEKAGADMVVMGSHGYGFLQRTLLGSVSNHCVQHCKCPVVVVKRPGTNAKAS >KN539020.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539020.1:12811:16999:1 gene:KN539020.1_FG003 transcript:KN539020.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGLRPGFSLVEKAVALYWDRGDRGSAVDFVRDVLRRGGVGVGVGDGGEYGGASDGERGGPVGYLAWRMMMDGDYRDAVKLIIEFKESGLQPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGSISKLDSETMHSIENYQSELLSDGVLLSKWAIEEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKKSLSWLLRGYVKGGFYLEASETLMKMLDMGLYPEYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >KN539020.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539020.1:88034:89491:-1 gene:KN539020.1_FG004 transcript:KN539020.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVQSEAPWEKKSLVKNDSLNVFRLEEYNATIEFYWSPFLVESNSDDPNMHSIVDRIIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPEMKILRGGSFSKKPVKYDEMERVAAYRKVLKTWSRWVEKHVDPKRSTVFFMSVSPVHMQSEGWGKPDAIKCFSETQPAINYTKKLEVGTDWDLFSTAHHVTKAMKRVPVHFINITALSEIRKDAHTSVNTLRQGKLLTKEQKANPRKFADCIHWCLPGLPDTWNEFIYGHIVSSPQRRPVEPIENQPQR >KN539020.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539020.1:134858:136078:1 gene:KN539020.1_FG005 transcript:KN539020.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILGKARQFQSMVALIQEMGKEGALCMDAFKIAIKSFAAAGEIKNAVGVFEMMRTHGFDDGVESFNCLLVALAQEGLGREANQVFDRMRDRYAPDLRSYTALMLAWCNARNLVEAGRVWNEMLENGLKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPAPNVWTYTMLIRDHCKRGKMDMAMRCFEEMQDVGCQPDVATYTCLLVGYGNAKRMDKVTALLEEMTQKGCPPDGRTYNALIKLLTNRNMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYMMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEACKYIEEMIQKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDASNVFHQWAERMKKRVKRNVPNQTESRTF >KN539020.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539020.1:4339:6446:-1 gene:KN539020.1_FG006 transcript:KN539020.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATHNTSMTCEGDYETEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLKKEPQQAAASPDSSEPSSSGTTK >KN539020.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539020.1:149979:150939:-1 gene:KN539020.1_FG007 transcript:KN539020.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >KN539020.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539020.1:121740:127545:1 gene:KN539020.1_FG008 transcript:KN539020.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIREELPWESLPKRIQAALVSKDDWHRRDVCVMSDDQLPKYIDGRIVDYCIRKRLPWTSCFARKICKEGEYYEELMRYLRRNLALYPYHLADQICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADSLRITGVGRNEFIDIMNKCRSKKIMWKLSKSIAKELLPALPADLAIEPWWGVRFVNFTLEEFKRLSEAEASAIDKISKDEDNSYVLFDPKVINGLYKRGMVYFDVAVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDADSILRDSNGSTIPSNILTDDDEGSLTSINSERSGHALLSSDSDGPRRISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGTSPDEAIEPNSQEFCLQENFSKTLSEESIDEGISNVVKSNGGSLETVDTADTDRHNELSQADHPMVDSDVADVSTSSPSSIVSESKESTDKNDSDTSKTPLPDGSTDSSSLSKTKRSYRINILRCESLASLSATTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGHRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGSLLLHYLNSMTKHSAVIVQPLSLNDLDETGNLVTVDVPLPLKNADGGRWSPFHDTVAPTTGGSPRENDRLKVARRQKCFTEVLSFDGSILRSYALAPVYEAATRSVTEDQPTTPVAKPEPDDADTKDVVLPGVNLIFDGAEIHPFDIGACLQARQPLSLIAEASAASLAMK >KN539020.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539020.1:24696:27394:1 gene:KN539020.1_FG009 transcript:KN539020.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNITQILLSAQSADGNLRAVAEGNLKQFQEQNLPNFLLSLSVELSDNEKPPESRRLAGIILKNSLDAKDSAKKELLIQQWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKVASIEIPRREWQELIAKLLGNMTQQGAPAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVVCDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEAVALQAIEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEGNITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDPNSQVRDTTAWTLGRVFELLHSPASANPIITSANLTRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESISSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNISETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMFLFLRVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGPSSKDLFKSNLFHVEFLRECHDLDDEVRDTASWAQGMINQALVS >KN539020.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539020.1:533:3399:1 gene:KN539020.1_FG010 transcript:KN539020.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding VRGEKRVQRLAAPPAPPAELLRGVLVPSPPPMHQRKNCTDAGMLPGPLLPPPPPPPPELVVYPSVPSLPPSATPPSSSSSIASSIAIVVLVVITTAIVTVAIVVIRRSYRRGRRLSCSSFSPRRSLSPRALSSSSSAMSQMWRAAVAAVGSSPRASAASARSWPEMAAPSSAPGDPGRAPPVALSSSAQGAVQGTAGLMASSATSAAAMAPPPSAPSLPEVERVILELLSLPPSPLQPAMSGSTATCFICNKLLLPTDLLLVLPVCSHMFHQLCLVAWLRSRVTPLLCCPECHAPITTRCRTDKRSLVPTFCSGEYDIESQILAVPAPPGEELRHEEQVQLQLQLPVLPLADEEVAAADDDAGGSRGWLRSSLATLSGSWAVFPTTSRSTAMELPVSSSRRTAAGSTDSWSGSWDPEALGPVSEPQPRERPSVLDYARWVFRNSGKLSTIGARLRQMVVQKLRQNWCTFASSAISCSHWKSITFCFCRLSYRLPLQKFLEYISK >KN539020.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539020.1:139179:141567:-1 gene:KN539020.1_FG011 transcript:KN539020.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMSGVLQLSPPVDAPALSRGCVQRWCDEKGSTLCEICLQNFESDYTIPPKKVQVVETAVTVRAFSCRDEEMLPEELSQEDQEQYAGSEAQTGNGDCSSWCRSLTITFTIMLLVWHLIAVVTIEAADHCAFSLVTMFLLRAAGILLPFYAIMRMVRMIQQGQRQFRLQLLQDQRRRNASNLHSMSGQEQHQQLVINVH >KN539020.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539020.1:156479:162022:1 gene:KN539020.1_FG012 transcript:KN539020.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLRHVCLTVVLFSIGISSCRGQGGGGAGGGGAVVPGTQDAIQIVAQAALCFDNRQVINGCLQSMGINVTTTTGGGSAPTRPSGQLGILGNVGRTRNAAGKAAVEMFEKACHCFDDHNVYSECKEELRLGVEGAFHVGKESVDEYCGGPCLMETKMALQCVEEVAHDGFRFYSGASLPAVKAALDTGCSYTPDRGTFEIRERKQCGDEYYHYSHHEQTTEQQYGGYYGSEEGEYPTTTSTLPASDYCYGAGAGSQGLRYSLLQMLMLFSASMVLLLLVI >KN539020.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539020.1:28220:31041:-1 gene:KN539020.1_FG013 transcript:KN539020.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSRVAVAVLLLLCLQLQLGISGGGGGHGARRASSLLNHSQVDPCQEVIIIFAAAAAAEQARRQQGRMAVDVVDAVEPVVRSVRPWATGLSGQLQRAFVTGVPALRRAELEAACEDFSNVIGSLPEYTMYKGTLSSGVEIAVVSTTKTSPKDWSKKCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFEHLHARDEGHLDWPTRLRVAVGVAYCLEHMHQLTPPEIVRTLDASTVYLTDDFAAKISDVGFCEEEMAAAAAAPAMADRESVVHGYGMLLLEMMAGRLAASEGGLVQGFL >AMDW01038559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038559.1:8:188:-1 gene:AMDW01038559.1_FG001 transcript:AMDW01038559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVAGPMGVMSKQQQEAMAEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCS >AMDW01037923.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037923.1:42:206:1 gene:AMDW01037923.1_FG001 transcript:AMDW01037923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGVEQKKKDVSNKRKDLQQDISQEKHNSGAELEGKKKRNSSSSKRGGTKELKWK >KN543996.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543996.1:1102:1625:-1 gene:KN543996.1_FG001 transcript:KN543996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLLGAYAADAYLGRYWTFVAGSAIYFLVSPSNFTPFFNFLN >AMDW01011651.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011651.1:61:171:-1 gene:AMDW01011651.1_FG001 transcript:AMDW01011651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEK >KN542254.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542254.1:34:639:1 gene:KN542254.1_FG001 transcript:KN542254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFWYCHPKGDVWFKHEYQSSMISTGEDRENVIATVKHLTAVGGRFHAYLNKDKAILTLEFLPKPTFTTTPVKDAPDPSYWCTFSTCFLLESGGELFMLSFKHPIECVDKVMQIEVHKLNLSERIWMKVSTIDNKAFLVDRTGFGASLNAEDVGLKRNCIYFVRPKDKGLYVYNMERGTTTIHNPGEDLPDNIALEIVMPPS >KN543050.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543050.1:1474:4887:1 gene:KN543050.1_FG001 transcript:KN543050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAASQWVVESFPNFLPMMRNLHSISQHHVSALQLVESIALSPDD >KN542254.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542254.1:17191:17601:1 gene:KN542254.1_FG002 transcript:KN542254.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVALALLPGVDHPSPAQHHDVGTTNIRYYSPYYDKNFEVDDTLKVPGAKICCSTGRHLKMRVDKSSVFDIDLVSGVLVEVLPQKPYALFNFVVSDRDERLFGIEAMFTIEVASAIRTNSDEWEDWNLAENSPD >KN541419.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541419.1:11984:13669:-1 gene:KN541419.1_FG001 transcript:KN541419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVMALLLACTLDRAWTPAGAARTMTVMVQRGEVSAVVAVNGGGGGSSPEGAQPALSQLGQFGG >KN543050.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543050.1:5350:9683:-1 gene:KN543050.1_FG002 transcript:KN543050.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQILAWYGDIPMGSILRHPNQQDSRTTRTKAKATEKVQTNGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCG >KN542190.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542190.1:532:1122:1 gene:KN542190.1_FG001 transcript:KN542190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARLFWGHIDELNSILGQCWPHRILKCDIIEQMISNLIDHLEKKSESFSDPILRYLFLLNNSYFIQYQYLAITGYSLPSDSKIGIKYCDYRNCYLNVSWDTVLSCLHIKMTTLWFSKPSQLARFKSEFQRTCRHQKLWKVPNPELRRSLRKAIIDKVITGPTGYRTYLEAHPEQEKCGSNQQDMEDMVNELFEG >KN542190.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542190.1:18126:18461:-1 gene:KN542190.1_FG002 transcript:KN542190.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINEKLKQLTIEMRRLGFAPSTDYVLHDVEDQDKDDILSVHSEKLAVALGLISTSRGTPLRVIKNLRICGDCHEAMKFISSFEGREIYVRDTNRFHYFKDGKCSCKDYW >KN542190.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542190.1:14140:14517:1 gene:KN542190.1_FG003 transcript:KN542190.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLPTVMELDSTERANFSQLSQKHSYLERIRNSSVVSTGGSSSRSAKSSYYSSGMSSCASGDSGVSRYRYVPCSTDAPSFPGVGLEDVQATRNIANQMVRDGFVVNLIREFAQQAPGPALERW >KN542190.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542190.1:9574:11169:1 gene:KN542190.1_FG004 transcript:KN542190.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLATVSEYLESNQPPTDSRRYGRYLEKIRSLPVVSAGGSSSSSSPARSSEYSGSMSSGESGGGGGGSHHRRYAPYSNVSSSFLTVGVEVHSPRDIAEQMVSEGFVVNLIGEFSRHREALERWFSEMDVGWVLLRSALDREGVERLDDLVRRWTRGFTVMAHAISATHHHLHDESSTAEGPVAVADDDAMGFPIPTAQLVSDHELHLARFAEATVSKMLAFADALAAGNTWRRPMEKLSRLMDMYISISSVSGTLMPSLEQEARRLATSAEMESLFGKTEAAFSTTGSNLAKAIWRMAKDAEALTPVLIGMDSWVSFPQNEEIHESTRLIVDYARLFWGFRDELDRPDDVLYNSGNCDTEDQPQDFINLIVQMINNFGQQLEKKSESFSDNCLRYMFLLNNSYFIQDQFLAPTGYSVAPKISLKYEQYRENYIRESWERVLYCLHDKMPLWFPKHSSELARCKSELQKTCRHQKLWKVPNPKLRKSLREDIIDKVINGYKRYMENHPDQEKCSSDQQDMEDMVNELFEG >KN542379.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542379.1:6389:8117:1 gene:KN542379.1_FG001 transcript:KN542379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLAMKLILTLTLGVAVLALPAGTATATCDTLTATGNINGASLWQVPTGRLDGRVSSAAEAVANLPSSFADFAKLKEQFGSKGLTVQDLAILSGAHAIGNSHCVSFAKRLYNFTGKGDADPTLDRALVASRRGLFHSDQALLQDREAAATVRAMARSSRQAFFRRFGVSMVRMGNVGVLTGTAGEIRKNCALIN >KN542379.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542379.1:16177:16383:1 gene:KN542379.1_FG002 transcript:KN542379.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGNSDELASSKKVKKSNSKEERKHKKGKHERTGASDEALTPHSDAKGSKGKKRKHKDGEGEKDHGK >KN542379.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542379.1:11863:14635:-1 gene:KN542379.1_FG003 transcript:KN542379.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHLFIPTVVLGSSDKIRSTLPIEVLKPYNLKVIFRVAGLNSSSWMRKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVAKKLRDHVQHPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLRDGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEES >KN541756.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541756.1:1890:5605:-1 gene:KN541756.1_FG001 transcript:KN541756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFIDYSDWEEVKTINNYALFMGYMSMAVRGMGYLVVLWTTVILLGGFVSALEKKDFWSLTIITLIETVGVTTVFPSKNFKKIVLSYIGGFVSTTFTFYIPKNNGKDLSRSRHDVRRPIEEKGVLSYYRFSSRFCQERLALDVAKAYSFPDPEAYESNTQRWRYYTVQNSLRRYVRETRIGCENDPSFAKGRNLVKYAVDAMDDQIAAESSNWSRSRFIHGASILDVLLSNPRLEEQHRLIKKHLISSPSSGELLRKLMQLLDSAVGYPKMYGVWIMTHLASDLRPDEQLPAASQLIHLIASLLEAPGEDERNTFYFMQEVIVEVLRLLEKLVAADDGWCHAVAEKEGLLANIMLPLRSDLQHTKYHGHDRDWMPTRGLIGASIQVMRRLVNAPGATGDKLRREISGSRDAMASMERILRCDQCNDCLLMQGVLEIYTKLGGGPSIIATRRKHLIKKLVLIFTDQRQTEGSMLLAGEMLATLSSQGVENIKIILQAKPDVVADLTRILEEKNTKCRIIAAQILEQLCIHHTDYDDEYVQNLKKDLKVTMPKVLAGTIPRKYVSGKSFLWYEQNREILVAVLSLSVTMSLNVLNAHELANLVVEITRETDGFSILGNLNLMVDHLGGWLNTVNNVKTWKLVTEILILTVKHGSRDAILNARNLMESLSVQLKLENNPSRRQLTLSVSN >KN542048.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542048.1:2825:8488:1 gene:KN542048.1_FG001 transcript:KN542048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRVCGVAVDVLKLGSANRINERCLELQKSKKSSKTKVEGDNRKVCQSKTSCGCPMIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARGMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKCLDQLTVDLQVACDAYAVILAGGTLQPIEETRLRLFPNLSPCNIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERCVYDAWAASGMISKISKKKHVFREPKNSVDVETTLNKYKEAIQSCSKCSQDTGVTGALLLAVVGGKICEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHVNDYAAMLLVDSRYAHTSSNRSSSSPTDKLPKWIKTRLTCAQNYGEVHRLLHQFFKLNRQTH >KN541756.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541756.1:16752:19004:-1 gene:KN541756.1_FG002 transcript:KN541756.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHFSYRVFDVFMKEKLSSIWDGYRGLLQTTVRTSVSLMNVSSYLECNTARGSCIFNIACILLHYLKLGVQVVVIAVLMCPLVVLYMFGIYISTALSLWRLARHRDYYSVAGEGDTNMTPALDVLYSLAIFQGVIFTYRFSYSSAAEAIVNDVCRYYHMDARESVWDYLRDTMIGCEKDPSFARGRNMVTYAVEQVESSSSPDGYLSGLRILSTLVGEIASSYACTTTHVEQHRMIKHMLISRHHVVMLKLIQTLEPRGPHDAEARERAAVIVAYIAGGVHLERLPRALRCLTSLLDDEYRLAEPYDRDLVLEKLQLRPPPCPDEEVEFVEMYRRVYERPAHPREARADEDNCRIMSDTRGLVSTIMAPISSGSDHGGRPRRRLHDDHRYELSVMAKGSMTLICQLVSVPLKESRVKLRRQITSCKPAISNIESILRCDGCDAELRRLAAQLLTVLSLDKSSGGMSSENIQGLIRILTDIFVHDSKRSSVRKCAGEELVKLSLESESNASIILKLKLNDSIVVDRLSEMVVDVEDNTFRTSAADILKHLCINHTTDDEHWDKLTNSMTIAIPKVLRELLGCEWRRPERQTVATQPTNSGNFSPPDADIEALPDGNPSEVNNGGNNASSCSNQQNGKQYTPEEIKVQEALASLCMTVCEKLITTDSVLAARFDEIAAGICLDTGMMTANMTFAALAKEAGEVVEKRKA >AMDW01020642.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020642.1:150:257:1 gene:AMDW01020642.1_FG001 transcript:AMDW01020642.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANELVSLRMLDKHFGDDEAVMSADELVKLYRGLFA >KN539139.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539139.1:132270:132752:1 gene:KN539139.1_FG001 transcript:KN539139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVQDLSLEFSLAGKLRPLLGPALFSCAALVRLDLEQCDMPAAPPGFPGFPNLERLDLVYATLPFAGAGTQLEHLIVAAEKLAVLNLSPVITTNGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMGGDHLIRSPLRNPRLPRCFPEYFYCQ >KN539139.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539139.1:14066:33295:-1 gene:KN539139.1_FG002 transcript:KN539139.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLEEFPIDFPGRKKMIQDNATNEEDKTYMVATSKADYVLRIALLMVNSKSKRNSSPLLPQDSSTPVSMQQQHRVAGHNNGLAMMQPHHKQASDGMVMTKDGKITSVSDAGHMLDQGSLINTRNGSIDRISNLPNELLYAIMSTLPALELVYTGMLSTRWRHLWTSSAYLNIDVNQFGRHRGQKFCNFVNRMLRQRGSSLLDALRLHSADTREAGSWITYAIRRSSKVVEFSEDIDCEPFKLDYGVVDFTSICLKFLVLTNVCIDANVFYPINSSCPALENLELRDCSLEVPEISSGSLLHLDIDNCCLFEDLLISSSSLMSLCIKNPQHRAPMIITLPCLEVAIVILDEFFHSTDDLADIDEGEEQDGEEINHGIVSGLTKARSIELIAPLREEVCEYCLQEPPTAPPLVKEFTADYLKKITIYFWSGDERCGLGVRELIRMNTLSRRSFNYLQFCILEFRKNVYDKFLPEEKAAFNFHDSLHYRLYKKQLQKKIVTIAPNHYSEYTGSSKVHWSIIVPFDNCVEVSFAISDQKRSMQAISRGEGGSYSGNKRKPQPPRPNKKSVRAATEEFLAKAALELSGHNDSKVKGPIRLLSDEDED >KN539139.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539139.1:89199:97945:-1 gene:KN539139.1_FG003 transcript:KN539139.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPEEPKPEAAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRERILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQVWRESVIVFKFCPVTA >KN539139.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539139.1:85796:86199:1 gene:KN539139.1_FG004 transcript:KN539139.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKLNLQSLHTEFIKAKEATISRGDSQARSLAEICAKLDAISKTRQDSSSDDPVQVRVSREEGGSSKGRSYTNSELLMNQFIEVLLDIGTSSGVQKSFDELVMRTKH >KN539139.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539139.1:66799:71116:1 gene:KN539139.1_FG005 transcript:KN539139.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVASSCHMLTELDISYCHEVSYKSLEMIGQNCRNLVVLKRNIFNWLDSSEHVGIVPDDYLRDCPQDGDREAMAISKFMQNLKHLVIRFSKLSVVGLNAISGGCKELEVLDLYGCANLTLRGIQQATSNMKNLKELEKPNFYIPRSSFHMGLSSIHISYAAETTNRSHCENDWHPCIETLEKNHGAKGSLQYLLVNNIHLKVTEGKKLLEIQVPRKLGHEVKFPKRMAIAHKGGSTGGGSTGGATGGASNVNSGPADTRPRKNAAVMPAPATTTSILALAFSFAIAVSAFSF >KN539139.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539139.1:100387:100824:1 gene:KN539139.1_FG006 transcript:KN539139.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIWTLNLFCDDAVNGLMHVQKKMDIDIICYLNLVGLIVDIGYSECDFIYYSKKDGRSVASMVAIESDWQVDEMCKQFESEKVANLYIIQRQGVSQSSNLHGPNTELVTNLGDAIAEVDDLCVLENDAMSDSSNPSSVDEDFL >AMDW01040004.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040004.1:82:784:-1 gene:AMDW01040004.1_FG001 transcript:AMDW01040004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KMKPEDVGLRADQEFFTARDDDEGIPLIKNTTLYECDNFTMIIFFLPRNAIIPLHDHPGMTVFSKLLIGSLHIRSYDWVDPEPALSCSSSSGDQLRLAKRVVNGVFTAPCDTSVLYPTTGGNMHRFRAIAPCAILDILGPPYSTEDGRDCTYYRAIPYSRHS >AMDW01015361.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015361.1:48:152:-1 gene:AMDW01015361.1_FG001 transcript:AMDW01015361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIEAYYDDGSGTYLLSFDEDFFDATLTKSGGK >KN546903.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546903.1:53:688:-1 gene:KN546903.1_FG001 transcript:KN546903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SHSYMTYTDRQLLGEEISNFTVRDLQLLQNQVEMSLHSIRNKKGSLVQKENSELRQKFNIAHERNIELHKKLNCGESTSSEQVTRSSKDPGESSTP >KN542033.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542033.1:5205:7976:1 gene:KN542033.1_FG001 transcript:KN542033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVIGSLILKLGDALGNESCQLGSSLLVYEASALKGLFGEIRMIKEELESMQAFFCTAERFKDTDETTVAFVKQIRGLAFDIEDVIDEFTYKLGEDREGMFLLKAFRRIRQIKTWYRLANSLQEIKVSLKSAAERRCRYDLKGVRRERKLMRLGSLNQRSTESVHFKREADLVGIAENKQLLMDWLKDEEQQHMIITVWGMGGVGKTTLVAHVYSAIKTDFDTCAWITVSNSYEADDLLKQIVAEFRKNDHKKEFPKDVDVTDYRSLVETIRLYLEKKRYVLVLDDVWSVNVWFDIKDAFSGGKHGRIIFTSRIYEVALLAPESQKINLQPLQNHYAWDLFCKEAFWKSENRSCPVELHPWAQRFVDKCKGLPIAIVCIGRLLSFKSANLLEWENVYRNLEMQFTNNYILDMNIILKVSLEDLPHNMKNCFLYCSMFPENYVMQRKWLVRLWIAEGFIEESEHKTLEEVAEDYLTELINRCLLVEVKRNESGYIDDFQMHDIFRVLALSKAREENFCFVLDYTKTHLIGKARRLSIQRGDISQIAENVPHLRSLLVFHNSLSFNSLRLFARSVKLLSVLNLQDSSIESLPNDVFDLFNLRFLGLRRTNIAYISRSIGRLQNLVVLDAWKSKIMNLPEEIIRLSKLTHLIVTVKPVITSMNFVPSVGIPAPTGLWSLGCLQTLLLMEASSEMVFYLGALVNLRSFRISKVQGRHCAKLFVAITNMFHLVRLGIHANDNQEVLQLEALKPSPLLQKLILQGALDKESLPQFFMSISKLKSLAILRLVWSKLDEEDFYYLEELQQLVKLQLYDAYNGKRLSFQATSFPKLRILKIWGAPHLSLIKIERGAMSSMVDLKLLLCPELKLLPRGIEHVTTLEEMTLDSTAEELVGRVRKKNEARISHVKRVYVGFIRNGELAAERIQ >KN542304.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542304.1:13695:17069:-1 gene:KN542304.1_FG001 transcript:KN542304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGHFYYSGTEEASHLVNYTRGPLELMDVSVAEGKARVYAAVSSDCSTNQTNHALFEQSIKLPSSGPFLLSAKSNAFVGVGHHVIPLFADSFMDKAVSARCESYLNSAAKAANGSCSGLGCCETTEMPPGVSTTLVRFQHMDNTNWVTYPCSYAMLVEKSWYNFSTQDLSGYLLLSNKYHRGVPLVLDFAIRNGSCPEGSSRRPDSHACRSDNSYCVNTENGPGYVCKCSNGYEGNPYIPDGCQDINECALPNLYPCSSNSVCKNKLGGYDCPCKAGMKGNGKGGICSEKFPLAAKVAIVAVGGLFVLALLFVLALLRREKRKMQDFFQKNGGPILEKISDFGLSRLIAIDKQHTENVMGDTSYMDPVYLRDGLLTDKSDVYSFGVVLLELVSRMKATNNSGDGTLVAKFLNAHENRSATELFDKDFTEPQDMDLLGIVVDIAVKCLNFDVNQRPTMTDIAEHFLMLRRARLG >KN542033.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542033.1:12730:14081:-1 gene:KN542033.1_FG002 transcript:KN542033.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNVLLGTFHVGDFLPWLAWVGAFDGTDAKITTAFNKIDKILDEIIVAATGRPVTAGAPPAPFVNDLFGAGTDSTIIVLEWAMAELLRNKATMTKLQHELRRCTGGGHDKTSSLAVTEEDLPAMRYLKAVIKETMRLHPPGPLLVPRESMRRATVGGYAVPRKTMVVVDAWAIGRDPASWDRPEEFVPERFAVGEVDFRGRHFQLIPFGSGRRMCPGIDFAMAVVELALANLVAGFDWEMLPDGGGRLDMEEAPGITARKRVPLRAVASRPCAGAREISCVMTTSSC >KN540087.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540087.1:51867:55962:1 gene:KN540087.1_FG001 transcript:KN540087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDICCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTVIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLALCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAMPQQKCTYNNSSPEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYTEKDQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGGQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQARICSTLFCYTSVLLADVQNYTGSAAILCSC >KN539071.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539071.1:81033:81230:1 gene:KN539071.1_FG001 transcript:KN539071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIAGPKYFQDTCSAVLNPGAPSCDSGECATNCPRQYKGGVGNCIGTQCKCVYTCASPAPASN >KN539071.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539071.1:53471:57454:-1 gene:KN539071.1_FG002 transcript:KN539071.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPVAQFSTSFWWDFEDLTMVGGPQDALDNRSDDNDFDKNDNSDPVVYQLVRVEGDGTLIPATEDEVLQFETFLHDEKVDDDLPSIDDVTHVEEYFTNDCIVKKPEFEEGPSKLDTADVQTQKLDAGLEEDRLCTLNDSIVLPSNCSAVHDQQLDKLNTEQGGNIIAQQDNASTETTKSTVLNDLSSDKEKADACSKPVNEASAGQSVSGVTSSVPDFSILKGEVCLDDLTMRELQEAFRATFGRQTTVKDKLWLKRRIAMGLINSCDVPSSGCVVRDYKVIAMGAKQEIPVVEAIPKMELEANLVRDQVMNPGHERDLPSSLSYHSEEQQRSSKRLKRVPTDNDEPQVTIFAEQGTTKRVRKPTKRYIEELSDIDTHESTGRLSSPGKRHVYDEVLLRPRIAPLHEVDSLSTAYPTREDTLGGCSVHVPYVSRMRRGRPRSNFIPFLDPEPSVECTEAPAADVVNLEKEGERKNHQKNTGKKGVHVETSGEKDVQGLQDKDFCDSDDNPKIKRGGKRKHHRAWTLCEVVKLVDGVARYGAGKWSEIRRLAFSSYSYRTSVDLKVQLPFQLLGQMAESDQGQPDTTFH >KN540087.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540087.1:3962:4354:1 gene:KN540087.1_FG002 transcript:KN540087.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSVVEAFTLSPLPYPVILILLMVMLLLGVSWFFTYEDFMEEAAEQLSWALLLVPVALVLLIRWISSVDTFDGYFSFYPTERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWKP >KN539071.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539071.1:12251:13980:1 gene:KN539071.1_FG003 transcript:KN539071.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEGTKEPSCFFGQRMRQPFDQQEAVGLAPAAVVDVRAPPGTTPTPTPARKRMVAASPPGATSAPAPERRGMAAASLPGATPTPTPATERKGTTAASPHGTLSTTPARKGLAVASPPGKPPLTPGRKRNFVAGEEGEKRSAGTFSPYAREAATTAIGKKKWFPANE >KN540087.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540087.1:29550:32019:-1 gene:KN540087.1_FG003 transcript:KN540087.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGGPRLDAASGEELLRMDRAASVSLGRRAPEPPGTLFVTTRRVIWLSETEKGQGYAVDFLAITLHAVSRDLEAYPSPCIYTQIDAEDGSDEEAGGSDFEANGDLQLAKVSEMRIILSDPGQLLDPLQSFSVDALFDVFCHCAELNPDPNAVRNEENGWFGGENMVEGGWIHGDEDMIDGNDLEAHTFYTNLIGQNGVHDLGRSVRELQIDDQRFEDAEEEDEIQENGH >KN539071.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539071.1:143431:146602:-1 gene:KN539071.1_FG004 transcript:KN539071.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPPAGVIPVHHPSLARLWLARQAGDAAFRQMSRLTSALYCVAPGVLDDPDFLERSILVDGVAPSTSPRDLRESFSVLAVEAAVLVRDSSTGYRVGLVVFADAAESASAINLTPRPGFYVACIPAASRHGDPRGYILDALDDEAERRRRTSAEPGLLRSLVPPRYLLDDEDADLHLRCVFVVGVAGTSGAYGLCRAARDHLAGAEYACAVVAWRGGDAGVLVYDDADATELVARRAPRLLVRTGLRMLDSSSLLLPDEADRDETSPLLRLLLPPYVITQAAAQQEEYRNRVILLRGLDTERWQCDAAQIAYWLEHRVELRHRVEAVVIHRAMGVVVVVLGSPEDVVLLLQEPPETWLRAPGMRWGDEDEMRWGLSPYYLLMTWVHVSRSLTPPPPALDDDGPLSIPKTWDWADPVAYSRVMDWIERGLNSPGYEDMRRNHEDRGIVYSLIAACAMANPDTVWSSSFPDRSLLLTGIPIGMSYLDLLSRVSTFGALHDLAHVSWRGIAVAVFRSWHGAARLARKPAEDWISLGFTDCRRLPYADCTHDIIADFIVDELSRFGSDNLLDFSGCRRQIIDSSCIAAGIHSSMYMR >KN540087.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540087.1:22609:28477:-1 gene:KN540087.1_FG004 transcript:KN540087.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKLGVEESHELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVERGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVGGFVALLEAARMANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHAYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGTHQTTISRDFTYIDDIVKGCVGALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKIVKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGLKKFVRWYLEYYMPGFAGKQKQHGSSNSKSSRGRSGNTSSAR >KN539071.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539071.1:2446:9194:-1 gene:KN539071.1_FG005 transcript:KN539071.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRLLLAVAAILLAVAAADDEGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKQLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLRLNICNVGQIVRGLRSNNLEGSLSPEMCQLTGLWYLDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQANRLTGSIPPELGNMSTLHYLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTLDLSCNMVTGPIPSAIGSLEHLLRLNFSDNNLVGYIPAEFGNLRSIMEIFLFLCFSDLSSNHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHRPQVPKDASLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYASLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >KN540087.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540087.1:58824:59363:1 gene:KN540087.1_FG005 transcript:KN540087.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKDHHQAAELHTHSVLQKMLNSTVELIALWASSSSPSLLAFCVSHLIIALLLLAGSGAGAAPEISGRADGDRGLEADAEVQGREMNPGGHKGPVAGVNGRAEDWLVRAGDGDNVETLASENGSSAAREEEPAATDASQEKHGDNVELEDDELMLRAEEFIRRMNRVWMAENLRVLC >KN540087.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540087.1:10789:16552:1 gene:KN540087.1_FG006 transcript:KN540087.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVACLPWVCFILLSLYVFQLFADARRRLPPGPWPPKPLIGDLLALGKGDQQHRSLARLADRYGPVMSLRLGTVLTVVVSTPDAMREIFHKNKDNLAGRPTADAFNAMGHSANSLLGLEHPGVSTTSVLIEWAIADLLQNPESTRKIKEEITNVIGTNAQIQESDITRLPYLQAVVKETLRLRAVAPLVPRRAEATIEVQGFTIPKGTNVILNLWAINRDARAWNDPDKFMPERFIGNDINYLGQNFQFVPFGVGRRICLGLPLAQKVMYLVLGTLVHQFEWTLPEELKETGIDMTEKCGMVLCLANPLKVIAKKM >KN540087.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540087.1:35631:40826:-1 gene:KN540087.1_FG007 transcript:KN540087.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNNQVSDARRAGGGRTTASEVDEKYAHVATPLHNHRGGSGGAKKTPRRAKSEGGGGADPAANISVNDLTDHVTLNFARSGGAGGQNVNKVNTKVDMRFNVKEARWLGERIKERILQTEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKIEKIAAAAERKRLQKKKVLSQKKEFRRNRTSWD >KN539071.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539071.1:148537:153417:1 gene:KN539071.1_FG006 transcript:KN539071.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGNLRDLHGDIVERATLARDLLAPITSQLISEGGDMHSLHRRCVLAQGLDFIFQKLDDLQADMVAFGAIEAVALCVILDNPVIVFRDEDSVAIAVHRQEEAKHGLYFAVPPLHLALPICFIKPEIIEVALYPSAPSSEGTIGPTYDAEASAARAMVELNIGLCTISMPLWMRPRHVIVSNENLNLSPPTTTTTRHGDGGKGPSPKTIIDTEFVLSTKSTLYGPRLGTDGHLWMDGGTITRYTGGTEIDRASSPFGPALPRDFFEVDALDLAPRLLGKLLRRDEVVLRISEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLATIQQRRGQQTDKPLLLTGPGKVGQALGLSTDWSNHPLYTPGGLEILDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLRPR >KN539071.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539071.1:129143:135007:-1 gene:KN539071.1_FG007 transcript:KN539071.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLENLRDMCGDIIERATLARDLMVPIASQLISEDRDRYNDLHRRCVLAQDFTSFLQKLNKVSALDQVRTDMVTFGAIEAIALCERPSNALIVFRDEDSVAIAVHRQEEVENGLYFAVPPLHLALPSYFIESKIIEVALYPSDPSSEGTTRPAYDAEASAARAMVELNIGVCRISMPLWMRPRHVIVPNQNLNYPSPATTATTSTHHGHGDKRSPWNTIFHTNFTLSTVSELYGPRLGADGEKEYYEKQLATLKIFEEVEALCMPGEFESDAEVLELEDKEQKQSESAMKISNYANIILLVFKVYATIKTGSMAIAASTLDSLLDFLAGGILYFTHLTMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENKAGEKMTPEQLIWCETLNCLIELVKNFAALKFSCLCAAQDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQCAPSDMLQKLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMRLGEAHSIGESLQDRIEKLPEVERAFVHVDFESTHKPEHRVRSRLPSTEP >KN539071.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539071.1:87211:87563:1 gene:KN539071.1_FG008 transcript:KN539071.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVQLLLVAIALMSLSSVMVARSAEISALTPNCSSVILNPGKACSAAKCNADCSRMYKGTGTCFGPVGCDCEYCPSASAPTATGSKN >KN539071.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539071.1:49189:52500:1 gene:KN539071.1_FG009 transcript:KN539071.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa DNA-binding subunit A [Source:Projected from Arabidopsis thaliana (AT2G06510) UniProtKB/Swiss-Prot;Acc:Q9SKI4] MAMARLTPNGVAAALAGDTNLKPVLQIVELRGVQIGSKIIVILNLEVLVSECEIIGNPTALSETGSPIPNPTRVDQFNGAPQYGLMAGNSSNTTTKPSDSIPLFQNSMAGNSSNFATRPSDKVPVFQPTVQPSYRPAPNYKNHGAIMKNEAPARIIPISALNPYQGRWAIKARVTAKGDIRRYHNAKGDGKVFSFDLLDSDGGEIRVTCFNALLDRFYEVVEVGKVYVVSRGNLRPAQKNYNHLNNEWEILLENGSTVDLCPDEDSSIPTQRFDFRPINEIEDAQNNAILDIIGVVTSVNPCTTIQRKNGMETQKRTMNLKDMSGRSVEVTMWGDFCNREGSQLQGMVERGIFPVLAVKAGKVSDFSGKSVGTISSTQLFINPDSAEAQCLRQWFDSGGRDASTQSISRDITPGASRNEIRKTVAQIKDEGLGMGDKPDWITVKATVIFFKNESFFYTACPNMIGDRQCNKKVTKSTTGNWTCDKCDREFEECDYRYLLQFQIQDHSGTAWVTAFQEAGQELLGCSAIDLNALKEREDPRFADTMLNCLFQEYLFRLKVKEESYGDERKVKNTAVKVEKVDPSGESKFLLDLISKSSAPH >KN539071.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539071.1:21724:31651:1 gene:KN539071.1_FG010 transcript:KN539071.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSREESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQSFALPPFRILMEERLEWLTDGVSLLTSLSPEETCEDDVVCEGTELTTEKQYFDGHAVDLEKSSESSVGVCSKELSDTDSSSECRDSQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSEDTTADQSGIELHELDSVPVILKESDTTKNCGYSLQDDVNDEQLDVFVNDTILPLMRSRLCEGSESSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLLIYRLSLSRHHGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYIVGVQNKTSDVHYRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKHYNDAPDFATLLIINGVGKESPKRKSYVDDLQSPATNS >KN539071.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539071.1:75809:76416:1 gene:KN539071.1_FG011 transcript:KN539071.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQLLALLFALAVVLAAEAAPSDSGGVNTDECIGRKILKVPCQRCFSECIRSYSDGIGTCLDENTCSCAFGCGFNPPKASPPPPSELI >KN539071.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539071.1:83104:85365:1 gene:KN539071.1_FG012 transcript:KN539071.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMTPDPWISLLEEEEEAACVSVNKRPKVEEDTVTSDGEGEAACANKCLKVEATVTSDDGAVVRQRHEAAVAARGYGDRMRRRLRPGRPMQRCPLVPQPFWRVRQITEMPDRYRFLDRFTDEQRAAMPESLHATLVRIEEGFKKSWVESELRRIEMYKNII >KN539071.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539071.1:113645:120968:1 gene:KN539071.1_FG013 transcript:KN539071.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPVKDAGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYKRRDIVLDNESNELLSPVEGLGMTLEDSKEEKKNRKGILNWFKLRKREGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLVGESASVDLFSIGHGEFATDSLHGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNNEKIQMEMKKVNDEIKGKKHQIASLERQIPHSISNNQGMADKLELTPSYAELLEQLNEKSFDLEVKAADNRVIQDQLNEKTTECMELQEEVAHLKEQLYQALQAKDSLSNSIMMQKNAGINHETDNHADQELSVPREVPGETSPKEPQSVEIDELKQKVCELIEVKAQLETRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRANSGLRGTRRDSISRRHEPAPRRDNNAGYEREKALEAVLMEKEQKEAELQRRIEESKQKEAFLESELANMWVLVAKLKKSQGHDLEDFDTKYIGS >KN539071.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539071.1:122784:127936:1 gene:KN539071.1_FG014 transcript:KN539071.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGYYDDRGAPSAYRYGGGYARQAADGAGTSFHLFIFLATASLLGAASLYSRGGGGGGAWYDQRRDGSSPWGVALALALVLLLISYQSCFQDWWFPLVNRRRPLSYSDDFVSFHYIIVDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRATSSNLPHLTVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAYWLLNESFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEYVLFSAVKLITCQI >KN539071.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539071.1:68728:72533:1 gene:KN539071.1_FG015 transcript:KN539071.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGAAAEATAGRSRGGCRRSEQQQQLLRAAEAPREEARGDSFRRTVDGPMRSTLTFDNNHSVVPSHNIDYGQPMACIPYPYNDSGSGVWASYSSRSVPYLHESRHRPAMKRARGTGGRFLNTKQLQLQHQSHTTSTKTTTDSQNSSGSVHLRLGGGAIGDQTPFPFKAMDSQANIKRAAASASTFTVTSAAQKDDAFFDRHGHHLSSFSGHFSQASAQGGVGSMHNGSQQRVPAMR >KN539071.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539071.1:33371:35094:-1 gene:KN539071.1_FG016 transcript:KN539071.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQDTPLHACFGSRQAVCCLSCVQVGKQADGWMGGGRERDSGVFSSAFSSFRCGVGVKWEMPPVGKHITGKEKLQESPGRKEGTMHDASWPSWHGSEQTTTLMMRSQTQKILVVSAPFLVLLRHLPKKLKNSGRSVFPTAVPWPATAHSLRSAAAAATAPRAKRSPFTPCARDSRRHTAPVAFLATTVHVYRCFRLGTDEYYCTETWLLADETTGFRL >KN539071.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539071.1:78779:78985:1 gene:KN539071.1_FG017 transcript:KN539071.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVTAQLETCTEVINREYPTCDSGLCVANCQRQYRGGIGQCVGNKCKCDYSCAFLPPPPPPATARN >KN539071.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539071.1:44268:45591:1 gene:KN539071.1_FG018 transcript:KN539071.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGRPSGGAGGGRYPFTASQWQELEHQALIYKYMASGTPIPSDLILPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPAPSSSATSAALTPSSENHLKTRPRTPELAPKQTTISLFPPGSRRAPNQPPMQHPNSPKPIPTTLTEIPPNPPAFAIPTTRRLHHTRNERRERLTWPPSLAGWPADRGRCRRRQQQQQQQQHCFLLGADLRLEKPAGHDHAAAAQKPLRHFFDEWPHEKSSKGSWMGLEGETQLSMSIPMAANDLPITTTSRYHNDD >KN542372.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542372.1:2972:5377:1 gene:KN542372.1_FG001 transcript:KN542372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVIDSRLCTQHAEGPAAVLAIGTANPANIVYQDGFAGYYFGLTKSEHLTELKDKMKRICHRSGIEKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTIEIPKLVESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRNARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGADPLVDGERPLFEMVLASQTTIPGTEHALGMQTTSNGIDFHLSIQVPTLIKDNIRQCLLDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDELRRRREKEGDEHQQPEWGVMLAFGPGITIEAMVLRNPLS >AMDW01040082.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040082.1:363:1172:-1 gene:AMDW01040082.1_FG001 transcript:AMDW01040082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HIHRYERARPREAYLESRYTNEYPRHRHSRHEESIHRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMCNCGQCYVEQDAAPASSQVVPLRHQLAKPFHERSSEPDDHSASAYEAAEYKERKS >KN542372.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542372.1:10308:16240:1 gene:KN542372.1_FG002 transcript:KN542372.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSQDVESKAKVHPLVQGDDEIKGEQPNTDAPLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLTPTQNGIRPMPVNNLPMGYPVLQQPGIPAPVQPHVNSMSCGPPGCHVVNGIPAPDLAALENYSGNPFLPSDSDIFESPDDDIVEYFADAINGPSQSDEEK >KN546098.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546098.1:46:1055:-1 gene:KN546098.1_FG001 transcript:KN546098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSWGRTMSLAFQCVGILYGDIGTSPLYVYSSTFEHGIGHPDDVVGVLSLIVYSFMLFTVIKIVFVALHANDHGDGGTFALYSLISRHAKVSLIPNHQAEDELISGYSSSGKPSATLRRAHWLKQLLEASKAAKISLFLLTILAIAMVISDAVLTPPISVLSAVGGLREK >KN540188.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540188.1:20173:21740:1 gene:KN540188.1_FG001 transcript:KN540188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMAEASSKPMSPPTSHNALPRVLAFYHYWRKIYGHRFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFYPDNLNRLIPHVGKSVAALAAKWGAMAEAGGSGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFAMQGQLMAFASEAFRKNSPAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVFDVCGAGELPSKEHLPKLKTVGHHHLLDSKFTTKLKGHSRHFTS >KN540188.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540188.1:46420:58738:-1 gene:KN540188.1_FG002 transcript:KN540188.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTTKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNVFHLTLISRSQSMSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVRKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRPNRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSSLASPSPKRESNAKSLSPSASSEGKKVFLAVILVPVAVNELKPIEWNIGMLCFESDTEPYALARDKKVMDFAAASGIDVFSPVSHTLFDPAEIIEKFLFSFGKWKNGGRPPMTYQSFVAIAGEPPEPIMEEYSELPPVGDTGEYELLPVPRVEELGYGDISQEDLSPFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSTKRHTNPPVSLTGQLLWRDFFYTVAFGTPNFDQMKGNKICKQIPWTENEELFLAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYHRIYSPISFGKKYDPNGNYIRHFIPVLKDMPKEYIYEPWTAPLSIQKKANCIIGKDYPKPVVDHAIASKECKKMMGEAYASNRLDDDKPDKGKSSNSSRRKLSAGSQVTPNSSKTKQLKRSS >KN540188.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540188.1:41002:42506:-1 gene:KN540188.1_FG003 transcript:KN540188.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAVRPLRPPSDGIVCWVKGEIAEVFDSYAWKVAEVLRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVFEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEASVSGRESLSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRNLVSSEVHSR >AMDW01034307.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034307.1:133:337:-1 gene:AMDW01034307.1_FG001 transcript:AMDW01034307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLLLRIVASAPASPPATALFVLGDSTASCAATTLPLNLSLTSSSGNCLFPSAHRLLPDLL >KN540785.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540785.1:261:1360:-1 gene:KN540785.1_FG001 transcript:KN540785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCNNQHYWYHVEHSSSTEKVVDLIREDLRSGWVEDDLRSAAAYRRFNDRRGQWTLRWEQCYGEMGWSVAKLPFDEAVLIWHITTDICLHCTEDIDISSSPAASADEVAAVTEISNYMMYLLAFQPDMLMPGTRQILFTVGRHEIMHAMRHQGRHQQQLSERDLARCLAGDDDDDEYSTPATEEQAGAGSRLLERKGGGGGGRHLAHARRLAGAMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKSLGVGGGEFLTVVWLLLHRMGMEVLADKLHRPELAGDEPDAVGTAFLSTDDAAIADTIKTRNLDLEPGHWSVKPTWSQICTRVPESLLQVNWVPRGDK >KN540785.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540785.1:31488:32199:1 gene:KN540785.1_FG002 transcript:KN540785.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSSTPAAVREMQRDLESQANALSKIQKGTLETPTSPPLPSHISKNHQVRKQYTIQELELVNDEANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESVS >KN540785.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540785.1:14615:18535:-1 gene:KN540785.1_FG003 transcript:KN540785.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFAVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKILLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRNPTFGIEKAPNDSALTLANYNHLQHHFNQSSFYLHTRGMTLLSRYSICSGCGVFTESVHYLPGWRKTSDSTISGLGAQNLFLYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVVYEKIAEGEMFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILTNNLVQKLKLRESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTSRGSSNNTPVFGGDRYSRQLLGEATRSSASENENSGMTDKEENHDEVHTNCETKKRTEEHCIQINSEDSSSTYSQQTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYRHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDGDHLFLLEM >KN540785.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540785.1:8927:9913:1 gene:KN540785.1_FG004 transcript:KN540785.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVELHDDFAAAGEQRALPDPLELPGGVALRTAEFPEAFRDSTAPVYGQLVETGRQYRGAAGFLANSFYELEPAAVEDSKKAAEKGTFPPAYPVGPFVRSSSDEPGESACLEWLDLQPAGSVVFVSFGSAGVLSVEQTRELAAGLELSGHRFLWVVRMPSLNSDSFSFGSNDGHDVDDPLAWLPDRFLERTSAAAASQSRRGRRAPGDGGLRVALRMELDAGERGRRRTDDRVAAARGAKDERRRPGRVRGGRAREDDVVGGAVVRRGEIAAAVKEVMEGEKGHGVRRRARELKQAAGRVWSPEGSSRRALEEVAGKWKEAAAAHK >AMDW01040147.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040147.1:69:1175:-1 gene:AMDW01040147.1_FG001 transcript:AMDW01040147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEQKKVVVHFRSTGNAPQLKQSKFKIGGNEKFLKIIDFLRRQIHQDTV >KN540326.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540326.1:3754:4254:-1 gene:KN540326.1_FG001 transcript:KN540326.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGKEEAVAAAWHGSVRAAVEGPTPDQAWALLGDFCSLHRWVPSVQTCRRVEGAEGQPGCVRYCAGPVNKAAEAEAEAGWSKERLVELDPVARRYSYEVVETNKGFGRYAATLRVEADPAGCAVAWSFEADPVRGWTLEGFVGFLDELARGVARRLEEEIMPRN >KN540326.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540326.1:48858:51716:-1 gene:KN540326.1_FG002 transcript:KN540326.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAKEIPQGFLIHIPEVDHSTSDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTIGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCSSKDGLDEHLSLNGPMENDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSFCSPETPRRSPRLARQNNAHDSAENTLKERSEERQPSAASMIDQAESRSEQACLCHDKTDSGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIKSSPASCEVPACLRKNELSLTWKHAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEILKWDCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETAYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKKDEMQKLAHQVAKHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKLEMDNMTKEIELSKESLLNITFH >KN540326.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540326.1:42507:48467:1 gene:KN540326.1_FG003 transcript:KN540326.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQDRRLNMTILLNFCRSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMGLFSGKDQYQPSQYVQSKSNATYTRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLNEMNAIISRYALIFCSLSVISIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >KN540326.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540326.1:6186:23655:-1 gene:KN540326.1_FG004 transcript:KN540326.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQHVQEEAAGAEAVHAHAARHDGAVVMEILSRSLQSMPASPDVSAYFSGASSRRPSAADEVDDEEALRWYAHREVDVRTLELAQRQAFVERVFHVAEEDNERFLKKLRARIDRAGIQMPTVEVRFRNVNVQAECHVGTRALPTLANVSRDVGESLLGLVGLNFAKRKALHILKDVSGIVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLETSGEVTYNGYGLDEFVPQKTAAYISQHDVHAGEMTVKETLDFSAKCQGVGQRYGESIALQSTWQNTGNIRTYVTRLPYIYTSARAELLKELAKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRILGLDMCADVIVGDELRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIIRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQSEKPYRYVSVPEFVAKFKKFHMGKSLKKQISVPFNKGKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGILVALIASTVFLRTQLNTRDEDDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFALPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQMAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSSNEMHSPRWMDKFVPDGKRLGVAVLENSGVITNKEWYWIATGALLGFTILFNVLFSLSLMYLNLILFLELAVGKPQSILPEETDSQENIQEGKNKAHIKQRITVETPEPVSPNSIITLDKVIQQLRGYSANTSDRSHSYINAAGRTAPGRGMVLPFEPLYMSFNEINYYVDMPLTSGEVTYNGYGLDEFVPQKTAAYISQHDVHAGEMTVKETLDFSAKCQGVGQRYGESIALQSTWQNTGNIRTYVTRLPYIYTSARAELLKELAKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRILGLDMCADVIVGDELRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIIRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQSEKPYRYVSVPEFVAKFKKFHMGKSLKKQISVPFNKGKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGILVALIASTVFLRTQLNTRDEDDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFALPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQMAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSSNEMHSPRWMDKFVPDGKRLGVAVLENSGVITNKEWYWIATGALLGFTILFNVLFSLSLMYLNLILFLELAVGKPQSILPEETDSQENIQEGKNKAHIKQRITVETPEPVSPNSIITLDKVIQQLRGYSANTSDRSHSYINAAGRTAPGRGMVLPFDPLYMSFNEINYYVDMPLEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAFLRLPKEVNDQEKKIFVDEVMELVELTGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGTNSHKVVEYFEAIPGVPKIEENRNPATWMLDVSSAASEVRLEIDFAEYYRSSTMHQRTKALVKELSNPPPGSDDLYFPSQYSQSTFNQFKLCLWKQWWTYWRSPDYNLVRIFFALFTALMLGTIFWRVGHKMESSKDLLVIIGSMYAAVLFVGFENSVTVQPVVAVERTVFYRERAAGMYSAIPYALAQVVVEIPYVFVETVIYTLIVYPMMSFQWTPAKFFWFFYVSFFTFLYFTYYGMMNVSVSPNLQVASILGAAFYTLFNLFSGFFIPRPKIPKWWVWYYWLCPVAWTVYGLIVSQYGDVEDFITVPGQSDQQVRPFIKDYFGYDPDFMGVVAAVLAGFTVFFAFTYAYSIRTLNFQQR >KN538888.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538888.1:109947:113142:-1 gene:KN538888.1_FG001 transcript:KN538888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHETLTNLYEILMKDGTPTNDYGEGTSAQVNSSGESKGKMPAREEGGGEEFEYQNGINNGMAPEMKTITHPSHPEHKLRMVTTTAEAPFKCNACKEPGDGPRYHCLTCEDFNMHKFCAHAPSTLYHHLFGRTFELLANPPQGRPEKPHHAANGGGRGESGGRWCDICGDRVFGLVYHCSGANLDLHPCCASLQTLLVQNRETLDQPEGSLATPQKLVKKGLAKITIDGITFKIRASKNCSLCSRQEEDHCCGRLRRHEQWCYYSSDVVDGGGEAVCLHVSCIKQMAQRRWEAGGQIMLASEEMMKEGGPLHGIPSERARNIVGAVVRVIIAVIFGDRTAVEGDVSSWVALSLPWLTNLFTVQS >KN540326.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540326.1:54849:55931:-1 gene:KN540326.1_FG005 transcript:KN540326.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding KVLAEHGAAKVSAYVTHGIFPNKSWEKFQPDNGEGPGHGLSHFWITDSCPLTVNAVKDRRPFEILSLAGPIASALQI >KN538888.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538888.1:150629:155761:1 gene:KN538888.1_FG002 transcript:KN538888.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAITAFVHNVLGPVVNMLGNRLCMVMSVCQDSASMGHDIIMLASAIDDQLVPGACGEELRELTRDMEDCIERFLHRVACADGRSGAPRARRVARCLRTAHIRYRFATQMKALKKRLSETRERWLTHAILISQSSRSPDDDHTTPTPTCLPAQAQPEHVELNPVGIEPAQRELLTMLEGEPAELRGAAIWWENIKSIFEESDTNTSSRIIVTTALRSQANYLCSDGKGFIYQMQSLQDQDCKRIALGMAPPLELQMGSEELLKKCDGHPLSLVCVANYLRSKAGQPTGATGQHCRELCRYLGSHIHEDRYFERLKGLIVDNYTSLSNHSISTCLMYLGIFPADVPLERKVIIRRWLAEGYAIESSGIEGSDKGIAQENFETLVDRNLLHPISNVCKNADVKACKSRGTVHEFMLRKSIVERFIMSFPDWRIKVRHLCIDHRKPRNRRRTTDMNLSCVRSLTVFGTAGDTIFEFNRYKILRVLDLEECNDVNNKHFEHICKLWNLRYLSLGAKITVIPKAVAKLKLLETLCLRKTSVEELPVQVIGLPFLLHLIGKFRISDHGYSKSKLIKISEKSKLETLSGFIAEFNSHEFLEILGHMKNLKKVKIWCCQSSAAGDHDSILARKLSEAIQEYITGPFYIGDHHDRKLSICLQGFSGSFLNSVNAPCDSELRSLKLHADELRSLPHFVKCNLGLHITELCLSSVTVTRDVLSDLGELEQLLYLKLIADRIDEFEIPNGAFPNLLRLCFMVRSPSFPTFEAGALPKLVSLQLLCRDLEGLAGVEIGGLVKLKEVTLHSEVMAYTKRSWEIRAGQHPNRPRILLPRRG >KN538888.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538888.1:134641:148810:-1 gene:KN538888.1_FG003 transcript:KN538888.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVSASQGVMSSLPTVLVSLLESEHGRRLPKELRTMIGLLRGDMVALNDLVLEPSEVERPKSTAESWMKEVRELSYDVDDFVAEISTNTPPAPSGLPGKITRFPQEQIRRRRIINGISGFRARAKEAIIEHTNYRLGNCSIRALYYSPGEHEESPAKGDQGASSTLVGIGSSVETIGEWLTVGAENPSTTVILIVGPAGVGKTTLAKKLYIDFGDQFDHRAFVRTSKKPDRRTTLTSMMSQLQQYDPLHETCDEKILIDKIKMHLRGKRCLIVLDNIWTASVWNVVRQALPEDCRCRIVVTTEVDDVAQACSVYKAEHNASHENRKYIFKMEPFKDDLLRDIIVSRVFGDKDKCPEALNEPSNEIARRCGGLPLAAMAAACLLASWPDKRDEWNYVQNSLIPNLRIQPISKGMTQLLNNSFNNLPHHLKACMLYLSIYKEDQVINLDCLLKQWIAEDFISENDSGSSEKVARSHFYELVRRGMIVPEHINYNDEVVSCTVHGMVLNFVRHKAMEENFVTAIDHSQTGIKLADKVRRLSLHMGYSEYATPPAGIRMSQLRSLVFSGLFKFVPSIWKFHLLRVLSLEGKQGEVFDLTRICELFLLRYLQIEGNICVDLPSNLQGLKYLKTLHIGALVTFLRSDIVHLPRSLLHLRLPPSLQQFVDPGNVDSIDELTNLQDLQLACPQTATPDRIEHNMKLLVSILRKLESLTSLTVIIAHFSEDANIRKNSNIAIFLDALDSVSSPPSDLQRLQLFPRACVLPRLPKWIAKLKTLCILKVSVMELRKEDIEILSQLSCLEVFSLYVRTTPAEPIIFGISGFTDLKYFKFKCSTVSSLSFVEGAMPKLQRLKMEFNGSTLERCNTAIVCFKHCSGVKEVILKIWGAGTGVFDRMTVASDVTNAIMKNTGIPSVIVRFLDRALEDLTIDHTDHSKLSLVDTMIPWPSPCNGCGELGAGRRYKCEQCNSKVYYDMCCATAPRTLVHPLFPGNAFEFRRQPIASECGRACDARGDLMPGVGDWASRSHETLTGMYEILMGSPQRTPTEVSREYTSDHVGSSEQDDDTLVRQDEITETVQQKETPEQMVALGSIAAAAFHSSQLISFELSNP >KN540439.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540439.1:3408:9937:1 gene:KN540439.1_FG001 transcript:KN540439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRVAGSLEEVQQRLQVETTDDTEGVNSTPWSQPKRFIQKPARFVSPVVVGNPQPTTRISASIQLREFMLKNVDRLKSVKLLEIDSSVAYASDVVESFSNGNLTDGLFIDASSSMLFKDDMRIKLDTFGKRIFIPTNLSYLLNIDLIKHNGVTQPFSADALNSRLEEFIRQINTSKAQLRINVMDSNNYPLIGTLVSDHHGALSKRIVKRLSDALHKVVPKRFCRFGGFGKNKMNCARMAICSNDCAFYVMKYMEAYDGSREPIETLNIPGACVAPHMVPTEGMSFATYDEAYNFYQRYAYHASFDIKKSRSRKAIREVCCNREGRHVSKVADCDREQYRSSKKTGCKAYVKVRHNYVDGVVTSVVFDVVDLQHNHPLTPSPSTIKILLWRSWLGGMFCTHLHLAFVHMQLEKIPAAYILKRYTKKAKSDMPFDRRDRDSTGPDGVEENYRSNMMIIEAFGVVKAACKSKVAYDRAMAVLKGLRSQVEDIPRDTPVAEGTNTQDSRAGRVETSEISRVPPPKSHTKGST >KN540439.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540439.1:47233:47793:1 gene:KN540439.1_FG002 transcript:KN540439.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRAFYIPEKKATERSLKNRKRKQNKRNLIPIGHVPTTPAVTNHPGGHRSSTTVQHSIPNLASSAQEGKQPPAADSPPRRLRLRRLAASASASTSTFSIPPSFILHPEHPLSIKVDVATASHQLPTVARCPHRCRVHRNDDDEEEEKGQRAKVEAGDHLIFLELGAGDGGGGCRRRWRDRTIGIK >KN540439.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540439.1:29979:42814:-1 gene:KN540439.1_FG003 transcript:KN540439.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIAAASSSSRGPKAATGGRFETSTDAAALAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVAHPTHYDTPLKGFKANKIPITEIHHYAVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRGAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYSFLTL >KN540439.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540439.1:10924:11352:-1 gene:KN540439.1_FG004 transcript:KN540439.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKQETDSAKPHVNSPTQNPTADSTPLQVEHESVLPEEFRVSGPPPPSPPPYPMSPSMEDDGMIYTEDLGYMCTPCPSPPSDIDDLNPPEDPNNKIILHPTFVNDVDIDIIQEDIYKFRYDQTPPRDAQSPATRFKRHKRD >KN541658.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541658.1:21776:24581:1 gene:KN541658.1_FG001 transcript:KN541658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKDISGLLSLQDISHMNIGAEASLYKAKEFTSRNLQSAIDYLEPGLARYVRQSLEHPYHVSLMQYKARHHLSYLQTLPTRCTAMEELALADFQLNKLLHQMEMQEIKRWWMNLGLAQEIPVARDQVQKWYVWIMTAFQGASFSRYRIELTKIASFVYIMDDIFDLVSTQEERSCFTQAIKMWDFAAADSLPSCMRSCYRAIYTVTNDIADMVEREHGVNPINHLKKAWAVLFDGLMTEAKWLTDSHVPSSEDYLRNGVITSGVPLMFLHLLFMLGHDAAELIDNIPPVISCPAKIFRLWDDIGNAKEGLDGSYKELYLRENPGLAASEAEEHMRGMIASEWEKLNRESFFSGRAFPAGFTQAALNAARMVGVMHGHDGEQRLPVLEDYLRMLLF >KN538917.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538917.1:154042:156141:1 gene:KN538917.1_FG001 transcript:KN538917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDGEGGSEGGVGDPMCVDDNAIVAVETGVGVDQGIGSQHDDAGGDRVMEGQGAVTNSGDEMGMRAYGDEAETEEAATVHGSKEGTEELLRKVVYSEEAAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGERLTDANFNDPHTRTNCKAMVRFRVNNHGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSSSVETSKQDSLPCYISMARTLAIGNADLQNLVRHLKSRANEDAKVYTHRIYKLFETYFLDGCGATKFKELHCEDNNRYQFEMTMQGRGSRVCTVHFDMMTMQLNCSCSKFETMGLLCPHALKALSIKNVCSIPETYILKRWTKGAKKCVFNPKQYESSYQECMDDEAAYCSHVMRYAYDLVTKSQGNEELRKSLWETLESGEKELEKYLENVTQYALSYAT >KN538917.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538917.1:101782:104114:-1 gene:KN538917.1_FG002 transcript:KN538917.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIVHYHARWDPLLAGFLNSMGEDRLSALKSRDKEQEAELAKPEPTTEVLFLCSYEGCGKTFVDAGALRKHAHVHGERQYVCHYAGCDKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKVLAFSLDFNLKAHMKTHSADNYHVCKYPECARRFTQESKLRAHIKQQHEKGGLQNPGGSATNRSGLADHSHNSHTPKPSAAPPAPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQAASSKMSKRRSKPALTAKMPLPKVPKRRGYTEPYQPPVSVVEEHQWPRNVLYEDDSEETEEEGDNVGDGARYRAASSDDDEETEDEE >KN538917.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538917.1:18064:21269:1 gene:KN538917.1_FG003 transcript:KN538917.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCFLRSCYHVQVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELSHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAAEQCPPEGRLPDAGPRVPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDIKEQRDQDLLVLPTDAALFEDPSFKEYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEKELSDSMKQKIRAEYEGFGGSPDKPLQSNYFLNIMLLIGGLAFLTSLLGS >KN538917.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538917.1:191195:193535:-1 gene:KN538917.1_FG004 transcript:KN538917.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding RGYSDWGRDREKERGRPGSNGRRYEENDDEDGAPGFRRERRGSGGNDDARSSAAEVYRDATPLMRKELGDLEMNGTESRAVNPSGEVKEADAPQMVQSEENEEGEMEEDGMVLNSEPEVVELRMDTNDDVNNASVGVDMETELQRSPNGNVPGEKAEDDDKVSVESALDSIALDDEVANTENNLHGDERNLLKYCEYAKAPTKRRSSRPQRNAASVQIEPAVSETTDQISIGEASQIVPGEVANEISVTNLKSENREDQIYRENTDFSTSCNGTLEPILLEENNESAATGNIKEEKNDVQLHVVKEPEEEVNVSAFAPSHKDSLMQETDLSPSTASHKDSLLEGNLPLLTDSHNSLIEETGPPLTHSHEDSMVEETNLSSLTTSHKGNLKQETDLSQTISSHENNLKLQFKESCGIDMLPQDVDLIELSGQRKSVGGELFSNVGAEAASKMEDENLEQPNPFKICDQNLIGSSDVSVIHNNPGLAQCSTEGSCTESQKNQHQDFVTTSGDIAGSTNNMCQLPLDNKGVQVIDIEDDTPIEVGGFDSSKAKSDMICSSMDNMMGPVVHSGDLPGIQDGYNLAISDYLGGDIPCYPSMQSDLHGGIGANDSEGITVMDDPIYGSLTDIGNCD >KN538917.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538917.1:2087:6818:-1 gene:KN538917.1_FG005 transcript:KN538917.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPRLDAEEGGAAMETGSRGGDGGDGGAGGGGVVRRGGWGGARLDVEEGDATMGDWDCDPEESRDGDDDDELILAAALIAQHQYDIDNAPRRRGGSVRSSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLQHQGNRLAEEGKYHEALGKWEAALTLIPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWYEGWVTLGRAQLNFGEPDSAILSFDKALAIKPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGENVDKHKEDGENEKDDSRMEDVEKCKEDEEKERDDSQLENVEKCEEDEEKENDAAP >KN538917.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538917.1:185770:187580:1 gene:KN538917.1_FG006 transcript:KN538917.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KN538917.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538917.1:162921:165741:1 gene:KN538917.1_FG007 transcript:KN538917.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWRPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRWSPEIAVWESRAVDMESLCVVAGKHVWSVRVDLHILDNGGELNVPDAMVLSLRNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKLSSDGQQINKEPPSVVEDNKQEDVEPMLSECNPEAQSESRLTAGGSDETQEAISPKSLKDAVKPKHKRKKKQHSKS >KN538917.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538917.1:86931:94190:-1 gene:KN538917.1_FG008 transcript:KN538917.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAHAATAAAALLVVFLAPLAAASDSDHKYQSEEKVMLWVNKVGPYNNPQETYNYYSLPFCHPSDNPVHKWGGLGEVLGGNELIDSQIDIKFGRDVDKGTICSIELDPDKAKQLSDAIESSYWFEFFIGFVGEADRNSDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVTFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSSLVGIGTQLSALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMIMTASLFPFMCFGIGLVLNTIAIFYRSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGKLFYTGSMLKPKNLMVSTDTGSNFGPGAVGVLDSGGAALDVVSWNTMVSGYGKGGDLGAAREVFAGMPERNLVSWSAMVDACVHAGEFGEALWVFDRMMGEKFRPDAVVLVSVLKACAHLGAIERGRWVHRYFETRSFGGRRGNLMLETALVDMYCKCGCMEEAWQIFDGVQRGDLVSWNAMIGGLAMNGYGERALELFRRMLQKGFMPNESTFIAVLCACTHTGRVDEGKRVFKSMQDYGIKPQREHYGCLADLLGRAGCVEEAEALLLDMPMEPHASQWGALMSSCQMHNDINVGERVGKRLIELEPYDGGRYVVLFNLYAVNGRWEEARTIRQMMEERGAKKETGLSFIEWNGLVHEFISGDTRHPLTRKIYALLEDIERRLQLIGYVKDTSQVIMDMDDEEDKGIAVSYHSERLALAFGILNIPQGVPVRIVKNLRVCRDCHVHSKLVSKLYQREIIYAHAMTIGENRFYIIEYDWNHGHHQRMAKVLAKVFMVDISVTKVVIESQLLLEFLYSLYLVIRFANASWVDLFETAREQDQ >KN538917.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538917.1:34230:35700:1 gene:KN538917.1_FG009 transcript:KN538917.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSPLKLMLPLALLLSSLAPIPATAVPSIEEQAAALIAWKASLQTQQTLQSWDSKAWPCSSWRGIGCGVRQGQMAITKISLRGIRLRGSLEALNFSALTTLTSIDLSNNRLTGRIPSSVVSLKELRALILHKNQIRGSLLQPALTTLTKLRVLVLSNNLISSSVPKEIGKLERLVSLNLSNNQLGGHIPSEIQWLSKLVILDLSNNNLVGRLDNLCIPGKYNNKSSLSNLKVLALPKNHLTSRIPQEFGDLVSLEYLDLSQNNLIDSIPTKINNLMGLKSLDLSDNNLSGHIPEQIGNLVNLENLYLSDNILTGSIPNILGNLAKLSTLSLSINQLSGHISEELGKLVNLEYLDLSVNMLTGFIPNSLGNLANLSTLYLFKNQLSGHIPQELGYLGNLKNLSLHMNKLTGSIPSSLGNLTKLSFLFLYSNKLSGHISQELGKLVNLENLDLSDNILTGSIPNSLAINFLGIFLKN >KN538917.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538917.1:132686:136351:1 gene:KN538917.1_FG010 transcript:KN538917.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAAAAGGGAAKRKRSFSEDDAYLILHRYQPATILTMLQEMGKQVGAGKGIDWRALVRRTSTGITSAREYQMLWRHLAYGHEFVESVEPAALPLDDGSDLECEIEIVPAPGNEALAEATSFAKTIITGSSREQTSGQRVNSEAAALNTPNEKIVRVPSDKQLVPGHRLTNGTGPVSSSKQPSHTGSSPDPLDPNGHPKKKKKPKPWSREEDMELGAGIQKHGEGNWMEILHKYKFDSSRTHLQLQQRWALICKRQGSTKPATPKIPNVMSEELKAAQKAFSLAIGTTRSSTLRPGGVPHHNPMVTTTTPEVKSVTAPSPLPVPVHVVPVPVPVQPVQVQLPPPQLHQAPAQSAPPPPKVSNASNKSRNNSKKQAAQSIPVNGPLSIQEAALAAGGRIAEPSVATNFLKAAQSTKAVHIRSRGTGSSKSSTGSKTPTVAGEPGTQLGSDQHLELQSTGGPSQVLTAHATEQVHNVSEVAGVNPLGQSAGVHLSETKKALNTTPVSGSSDKMEIDDNSNYFAVTMEDLFPEDVKQEDVQDPKIEEAIDPKDADMLEFDRFVAQGCLNKDNVDKSKAAKIAPEAQSVIGSQKKQLKTLPTVGKSNPVFAGPPATAKKTKAPVPQGGMSSGIVGVLNRSLGGKAPAPATTGTQNTVQKQQSMSSKGNLLVPKNVASGTVTPANNRANTAVSGASKVNPPASQKPA >KN538917.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538917.1:157186:159793:-1 gene:KN538917.1_FG011 transcript:KN538917.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAIPMAYQTTPSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLVAQSELTATAIRYHNGSAEAPMLKPLYPVATMVYFQCMFASITVIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLVWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPILCSLFLSIPDSKGAFYGGPGGSQFGKQIAGALFVTAWNIVITSIICVIISLILPLRIADQELLIGDDAVHGEEAYAIWAEGELNDMTHHNESTHSGVSVGVTQNV >KN538917.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538917.1:173927:179749:1 gene:KN538917.1_FG012 transcript:KN538917.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVRHFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKHDNEGKEFVERIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLKREAKSVWEQKFFWVALLCSSLRLTFRTGKDYAAAVREYERCIEADAADAVAVNNKALCLMYSRDLGDAIKVLEAALEGHPTAALNETVVVNLCSMYELAFVNHADVKRSLTDWIARVAPDDFDPSCTRM >KN538917.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538917.1:180554:182605:-1 gene:KN538917.1_FG013 transcript:KN538917.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLQAPRPSGEPLLVLQLDEAAPVLAGLSTPIVVAKVNADKYKKLGSKYGVDGFPTLMLFDHGTPTEYTGSRKADLLVENLKKLVAPDVSVLESDSAIKSFVEDAGMGFPLFLGFGVDESLIVEYGAKYKNRAWFSVAKDFSEDMMVFYDFDKVPALVSVNPKYREQSIFYGPFDDGAFLEDFIRNSLLPLIVPMNRETVKMLNDDGRKVVLTILQDDESDENSPRLIKVLRSAASANRDLVFGYVGVNQWEEFTETFDVKSSELPTMIVWDKKEEYEIVEGSERLEEGDYGSQISRFLEGYRAGRTTKKKVGGRSPTLLGVNAVYILVFLVAVLVLLMYFSGQGEENQRPRQRAHED >KN538917.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538917.1:111833:117224:1 gene:KN538917.1_FG014 transcript:KN538917.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIPGDRSPAELSFRAMGLVVEQELKAFPAVAGKVVQGKHKTAPVEASDKGSVFGRASTSGIGKAVEVLDTLSSSMTNLSPVGGFVTGAKTKGSQQRILAFEVANTIVKGMSLMQSLSKESVRYLKGTVLRSEGVKRLVSSDMSELMRIAAADKRQELALFSREVIRFGNRCKDTQWHNLDRYFSKLESEITPQPNLKEIAEAEMQQLLTLVRHTADLYHELHALDRFEQDYRRKLEEEKKSVAFERGDTVQIIRQELKSQRRHVKSLKKKSLWNKMLEDVMDKLVDIVHFLHVEIQESFGTYDGALQLNQPSESRQTLGSAGLSLHYANIISQIDNIVSRSTVPPQSTRDALYQGLPPTIKSALRKKLHNCPQPQEVPITEIRSSMERTLQWIIPIANNTARRKNERKKNRWIDSPRIAAVRYCTFTPCVGLHASKFMRLLDGGPLQRSASL >KN538917.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538917.1:48297:49208:1 gene:KN538917.1_FG015 transcript:KN538917.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLLKLVLPLAILLFPPLLPPAIAMLSIEEQAGALIAWKATMQTQQPLQSWDSKALPCSNWRGIGCGAGQGQMVITKISLQGMRLRGSLEALNFSALTILTSIDLSHNRLTGMIPQSIMSLKELRALILQKNQIRGSLLPALTTLTKLRVLVLSNNLLSSSIPKEIGQLERLESLKLSNNQLGGKIPSTIGCLKKLIRLDLSNNNLIGSLDNLFVTNKPGRYNNKSFLSNLKVLSLSGNHLTGLIPQEFGDLVSLEYLDLSQNSLVNPIPTKINNLTKLKSLDLSDNNLSGHIPEQIGNW >KN538917.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538917.1:147379:151672:1 gene:KN538917.1_FG016 transcript:KN538917.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNRETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFMKNLPRELTEAAQAKYYKKDNSAPTFSDQTVDEIMKIVQEAKTPPPSSTPVAGFGWTEEEEQEDGKNPDDDEGDEEEEEEGEEGDSEDEYTKQVKQAHASCDLQKS >KN538917.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538917.1:60114:68933:1 gene:KN538917.1_FG017 transcript:KN538917.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPLNLVLPLAILLSSLLAPPAIAMPSIEDQAGALIACAWKATLQTQEPLQSWDRKAWPCHSWRGIGCSARQGKLAITKIALRGMRLRGSLEVLNFSALTTLTSVDLSHNKLTGRIPRSIASLKELRSLLLQNNQIRGSLLQPALTSLTKLRVLVLSNNFLSSSIPKEIGNLERLVSLNLSSNQLGGHIPSEIRLLGKLVRLDLSNNNLIGLLDNLFIPNKLVECGNLSSLSNLKLLALSRNHLTGPIPHEIGNLVNLEYLNLSRNNLADSIPTNINKLTELKSLDLSDNNLSGHIPEQIGNLVKLRSIKLNSNRLLGTIPNNLGNLTKITTLYLYNNTLSGCIPQEVGNLAILEDLRLGKNKLSSSIPNSIGNLAKLSVLILRGNQLSGHIPNNLGNLTKLSLLDLCHNQLSGHIPQELGYLLNLNGLWLCDNMLIGSIPNNLENLTKLTILSLFKNQLSGHIPQELGYLVNLKKLSLYSNNLIGLIPSSLGNLSKLSRLFLWQNQLSGHISQELGKLVNLEKLDLSLNMLTGSIPNWLGNLTKLTDLSLFENHFSGHISHKLGKLVKLENLDLSKNMLTGSIPNSIGNLTKLTNLSLFENQLSGHIPEELGNLVNLNNLPLDDNNLTGSIPNSLGNLTKLTAIYLVSNQLSGFIPQELGNLLNLEVLEISLNNLSGELPSGLCAASRLKHFTADYNNLVGPLPTSLINCKTLVRVRLEQNQLEGDISELGLYPNLVYIDMSSNKLFGQLSRRWGECTKLTYLHASNNRITGVIPSSLGKLSWLGSLDISSNKIEGHIPPEIGSMVSLFNLSLANNLLHGNIPKELGSLQNLEYLDLSSINLSGPIQGSIEKCLKLRLLRLGHNHLRGSIPVKLGTLINLQELLDLSDNSFAGIIPSQLSELAYTTRVTEKCDVYSFGVLVFEVFMSHHPSDFLSSLSMANECTLLKDLLDARLPLPEAETASEIFRVIMAAVQCLDPNPSRRPTMLHVTRMFSTAEVPGNRDLLHADIIIPAYYQAKYIVGTAKILQAKPGGGEKWLASLRTRELPEVIEALCTLPGVGPKVAACVALFSLDQNHAIPVDTHVWKVATQYLMPELAGKSLTPKLSVAVADAFVAKFGNYAGWAQNVLFIGQLSAQKLMLAWPLYKAIMNQRSVNDANENKFLKQFSLVFHTFMMLWWSVPYHEALKCRVGCDFVFYPVPATYHGFEKCPNLILADRFSGL >KN538716.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538716.1:105443:109271:-1 gene:KN538716.1_FG027 transcript:KN538716.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 5 [Source:Projected from Arabidopsis thaliana (AT3G17760) UniProtKB/Swiss-Prot;Acc:Q9LSH2] MVVSVAATDSDTAQPVQYSTFFASRYVRDPLPRFRMPEQSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGLSLEVDRYDQENWWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMQNCMENTAILREGIEATGRFEILSKEAGVPLVAFSLKDSGRYTVFDISENLRRFGWIVPAYTMPANAEHIAVLRVVIREDFSRSLAERLVSDIVKILHELDAHSAQVLKISSAIAKQQSGDDGVVTKKSVLETEREIFAYWRDQVKKKQTGIC >KN538716.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538716.1:174676:176875:1 gene:KN538716.1_FG028 transcript:KN538716.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDACNAGRPRAAEASSSPGRGGGVVVGAPAAASSSQQQQQQLFAVPASLSRTASSASPSSDLVELATARRMRHQAQVELSRAHALRDHAIRQVDATQLQITCYSCRHKFRARAAAMISSDVASYVSSVVTEGGDAEVDNDGNFHRRRLNADDGMPRSHSRTMAMDLN >KN538716.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538716.1:55072:57489:-1 gene:KN538716.1_FG029 transcript:KN538716.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRSRLTSLLAGLPVLSGEGGLALRTTALDKLDGEAYVNIRKGKVIPGYELSLTLAWEAEATTESGVVKVSGAAEVPYLADENADEDPELRVTVRGDDGPVARRAKDAFLTKGKPLVLEKIREYVAAMAKGGPAKDELDSKKTPTKAAAVEAGGAAAAPAPAAEKKEEAPAVKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISREVGGQFSLFDGSISGVNEELQEGKLIVQKWRFGSWPDGVHSTWGAVFMVGDSAPGTWHYATVFLGGSIFTCHLSMDGVGTGAAPVGGVCECRLSLLRAHSGEVSFKLSLHDLSVLPNCLSKE >KN538716.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538716.1:114897:119428:1 gene:KN538716.1_FG030 transcript:KN538716.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRNFSLPLVSFVQSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQNSITSFLSTEHKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKVMNDMFVILSDVWLDSSEFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFIVILNTQLFHHESTFYGQLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >KN538716.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538716.1:132933:135360:-1 gene:KN538716.1_FG031 transcript:KN538716.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALSGAPLPLLLLLLRAMDMETAQERETRAWRWPLDTMACGLGDTGRFLFEWVPQLRCFGLGVVGVGAAGDPIPHGHGHTPHGRELELELFFPKCMESPASEAVVTGLPAHQDTMVMYDEFDELLQSFRDGNEEQQLVGFDSSCFLNEKNSDVTCFLDYDGDDLPLALSSVSPMEPLDNTVGQPQAEHEQPPSSASSHCNVGPHASDTGTSADNNCSSKRPATPEASD >KN538716.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538716.1:13684:15130:-1 gene:KN538716.1_FG032 transcript:KN538716.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLAFAILGLWHALNTVRAYKLKGASGFRAAAWFPFPSPVPGLKHLELYLLLSFSVLAIVDQLVDFPLLSFTIRPDALEHATMYLHLAVYASVALAADGVAASSSHHGGAQAQLGEVVAALAASVFGQELFLLRFHSADHAGLGGHYHWLIGRVGNAYQLLAEDIVQKRQPLIRPSGENWKW >KN538716.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538716.1:127333:130380:1 gene:KN538716.1_FG033 transcript:KN538716.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHTVTVSMDVEAGQENKDKKGISQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISNQPVVAARPGRLRRFIESSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSAAILVGLFLLQKYGTSKVSFMFSPIMAAWTFTTPVIGVYSIWRYYPGIFKAMSPHYIVRFFMTNQTRGWQLLGGTVLCITGTYSAEAMFADLGHFSKRSIQIAFMSSIYPSLVLTYAGQTAYLINNADDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVALDYFPRVKVVHTSKGKEGEVYSPETNYTLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVVLVALYFVPFLLLEAAYVSAVCTKILRGGWVPFAVSVALAAVMFGWYYGRQRKTEYEAANKVTLERLGELLSGPGVRRVPGLCFFYSNRQDGGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVAKVDGKDRVQAVRRLGPAGVYGCTIQYGYADAIDFEEDDIAGQVVGALRERVVDGEEEGWRVEAARAAGVVHVRGKMRFHVGEDTRLFDRVLLGFYELLHGACRSALPALGIPLQQRVEIGMLYKA >KN538716.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538716.1:70802:71116:-1 gene:KN538716.1_FG034 transcript:KN538716.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDSEGGGHSDDTVSVLFAGPPPPSPVTACASWVGDAATGGAASLLVRTRRRLVLSLIVIRMGVGPGSDGAAASWGSSDGRRERQTGQELRISSHCTMHSEW >KN538716.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538716.1:6090:7241:1 gene:KN538716.1_FG035 transcript:KN538716.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MELYNVQRFNQQTPLPTTPKSEDESLKEDIPATPPLNSERLPHTLHRSLTGGRTTGYGQPDSLGHQHNLGNGHHQQHHHCHTGHQCYGSVGLASTPKLSSISGAKTETSSMDASMRSSSSPEEVDRSRELSASVSNASDQEREWVEEDEPGVYITIRALPGCIRELRRVRFSREKFSEMHARLWWEENRARIHDQYL >KN538716.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538716.1:166661:166891:-1 gene:KN538716.1_FG036 transcript:KN538716.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAVATRASTATGGSTTASPEKGISGDSGVVGSGVLTLGTVGLPRPSPHSWIMQWQQWRLLDWPIWRQWPRIGWI >KN538716.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538716.1:101026:102514:1 gene:KN538716.1_FG037 transcript:KN538716.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MDINQLWLLWATLAVSLLYYISNRRRRVGGRRRCPPGPMPLPLVGNLLNLRGHLPPALARLARTYGPVMMLKMGLTTTVVISSGDAAGEAFTKHDRHLAARTVLDVTRSLGFADRSMIWLPSSDTVWRTLRGVTAASIFSPRGLAALRGVRESKVRDLVGYFRGRAGEVVDVRHAVYGGMLSLVSSAFFSVDVVDLSAESENEFRQSMTFLMEVVSKTNVSDLFPFLRPLDLQGWRRLTERYLGRVTCFLDDVIDRRFAADASANRHGDFLDSLLDLVSTGKIVRENVTTILLDVFIAGSDTITATVEWAMAELLRNPSEMAKVRAEMDGALGGKKTVDEPDIARLPYLQAVVKEAMRLHPAAPLLLPHRAVEDGVEIGGGGGGGGVCAGLPMAERVVPFMLASLLRAFEWRLPDGVSAEELDMSHRFTIANFRAVPLKAVPVVVS >AMDW01031664.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031664.1:108:398:-1 gene:AMDW01031664.1_FG001 transcript:AMDW01031664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EDEDQCKFVQWVDPEWDSRVKKTLAGMWDMVDRGVKREAIIQADMFKAFALKDRLEKEKNDELAHKNALLDMREAQLKELLYKSASEANELCSPSSQ >AMDW01062778.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062778.1:364:786:-1 gene:AMDW01062778.1_FG001 transcript:AMDW01062778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGKAATTSAVVDIGQILRSFTNDMICRTVSGKCPRDDRQKRIFQELANETSLLLGGFDIEEYFPVLARVGLVGKMMCAKAERLKKRWDELLEELIDEHENDHSWNLTCDQNDDDFMDILLSVRQEYGFTIEHVKAILQ >AMDW01040384.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040384.1:54:1460:1 gene:AMDW01040384.1_FG001 transcript:AMDW01040384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HFASIDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYAKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGHQQDLCSWNSMIMAFAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLKESYSLIVSMPVEPDAVIWGALLGACSFHGNVELAELAMDKLIHLEPQNTANLVILSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELHGKMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEKLKG >AMDW01039675.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039675.1:52:1025:1 gene:AMDW01039675.1_FG001 transcript:AMDW01039675.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RPRIIYDANEVKQWLEARKKNYPTSVNINKKLSESRPDGEKKDEEAQMRRQELKEVLAKQKELGFELPELPPGYLSEHEDQGNGRRSNWKTQRRDCRFGNRADNKRSRYDRNDFQSKRPKVRNQTHCDDGAVLKSREPTLLQKLLSSDVKRDRHRLLHTFKFMVFNNFFSDYPDKPLEFPSVKVNQIELESNIAEEDLDDLMNSETAKDSNLDLKEN >AMDW01012262.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012262.1:45:191:-1 gene:AMDW01012262.1_FG001 transcript:AMDW01012262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METEKYTSMIRHRHSAEVEAFTEQLRLKDEKLEAFRWRAASMDVEASRL >KN542397.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542397.1:12396:16006:1 gene:KN542397.1_FG001 transcript:KN542397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MTWNKAPAADAEGGGDTGHARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQMIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIIAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >KN541879.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541879.1:2631:3532:-1 gene:KN541879.1_FG001 transcript:KN541879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGTRKPVPTQGGGSSSGASTGTNQGGDDPIATGTSHPVPAASFTGGLAQSMSHYIYTTSIGQAGGCHKGKVKGERE >KN542805.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542805.1:2244:2684:1 gene:KN542805.1_FG001 transcript:KN542805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSTARGRIAACVLRPAHAMPPLACPAARQLTVAKATPPAAATLPQARNVAASRQARRRRARVGGGGFGGFASGDRVKSGRRTWALAFGHLGLIILFRASVPLRSFGGLFSRLPGVAPPPVHLLPQKNAAIITPWFLPKVQLSS >KN543456.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543456.1:5599:7702:1 gene:KN543456.1_FG001 transcript:KN543456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVERMRGWARDMDVASRRAEEEGHFLAEIFSSIYSTTSRCELKVYWDDNYSNEAVVQAQSDASGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLSLKSFSESNKTGRQASCKYGGLSKPLTVRKYFILKNTDAFMNKIMKGHSAEAIQSEFSEGQSIVTDFSIESNEMTTSDSLSILLRKVLLDKKPEEVPLIMKGHSTEAIQNEFSEGQSIATDFSPESNEM >AMDW01036665.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036665.1:233:647:-1 gene:AMDW01036665.1_FG001 transcript:AMDW01036665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >AMDW01039801.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039801.1:69:871:-1 gene:AMDW01039801.1_FG001 transcript:AMDW01039801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFLPEDANEKKGRKNRSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLAARQLMYRLLHRDPANRLGSYEGANEIKGHPFFRGINWPLIRAT >AMDW01040714.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040714.1:13:655:-1 gene:AMDW01040714.1_FG001 transcript:AMDW01040714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLNELQIKIDAHHGSKEIQEYQQNGKNKDMVPKQGKMVLSPISVRRRGRPPSLRKQSKLDQVVRRLRMKKQQESTSGVQSRRRRKTRTKNVMSKDKQLINIQNSRQMEVNFDHCYGGAYEAGSAEFAANEFQGLQSNHPAPPSHISSYMDLLQ >KN543519.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543519.1:3077:6874:-1 gene:KN543519.1_FG001 transcript:KN543519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKVQQKVS >AMDW01022633.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022633.1:8:253:-1 gene:AMDW01022633.1_FG001 transcript:AMDW01022633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEK >KN538733.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538733.1:280014:280526:-1 gene:KN538733.1_FG049 transcript:KN538733.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPHRSSLYYVNVTGLSVGRAWVKVPAGSFAFDADTGAGTVVDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNSVVNVIANLQQQNIRVVFDVANSRVGFAKESCN >KN538733.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538733.1:332047:332424:-1 gene:KN538733.1_FG050 transcript:KN538733.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWPLLAAAIPAVVAGQAFRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLM >KN538986.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538986.1:62930:63580:-1 gene:KN538986.1_FG001 transcript:KN538986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGGNQGGKVLKKGKKKHAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKRQQKREEQQRLDDEGAAIAEAVALHVLIDEDSEEPCHLMLNNLRICNHWEDFVGFGFAPDSQGVDAYPSGKPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDCEAPLYQEALAQSDIHPGPIAIVSPLQKRREDPFTIQGEAAAAAASSATESESGQWNQQ >KN538733.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538733.1:253129:268869:-1 gene:KN538733.1_FG051 transcript:KN538733.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKNLNLHGLLNVVNYVIFMKNVRVERMEMDCFLNVALRGARSIVLDPANPSVKSQAKSMAAAAVEGNMRRMNWKQKKEFYKKNPAAVIAPTKSVSKVKLSNSVPKANFSTSPALSPEQPGPSITSVPTGSDVNNEVITPFDLNKDENSKVEKSTPNRVSQGTSRRASVVSASTDDNTNELRSLLISVLSENPKGMNLKGLEKAVADVFPNASKKIDSIIKNIAIFQAPGRYLLKPGLEAESSKRSIDDNTEEAGPSLKMDDPDIFERIDIGGSPVSAARDEKVNNDSDGKAGSSSESGSGSDSDSESSDSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKGGAHRKAADELKLSSSPRDLTALDGDDELIDIGTNLDYKSASPHIDLNNFNDNNNESAYTAVPTDSFDASHLEKPPEIPGSKNMVNTSIDPSRIDSKYAANEMSYEDLFGDPLAPSSENLPKEETSQSTKHHGSRRKSVSKDGSNHGQDRINEKGAKPKLKRCSANENSSIMSGSAKRAKADYLGTTSSLSEQRQTLPLDKHVNEKLSKETGNVSWDAHSDLHAHDSSPAVKVRHLASGNMQKINQSPNVLNQAMHSERTQDKVEKSISKKKADKIQKPWNSLDGNLGRVSHAEDPYANFDDSDDSATRKKARYGGTQVEDKMLRRSKDANIDVNSMVSAKSAKGNVGYDGVMPFPDSNESNGQPSNLQRNNAESSPHGKKVLQRELSDLELGEFREASLENETGRAKKQFERNSSSKSLDGKLANVDNSIPSMANRKGSLSSSQDQRKPSPREFGIGGNINQEGFPKKAPGYDFDNNRPQQRGNVPQSQQLSKPDNPVPEVILHPDQPGEKPGKRETRVQVGMLDQVGPKKIGKTTPKLPQNGSRNAIGSRTQKSISPAENEERSRNNSLIENDASRKRRDSSSDEDNLFFSKYDKEEPELKGPIKDFSQYKDYVQEYNEKYEVYSYLNIQIEKTRSEFLKVQEDLNLAKERDKDLYYNIMERIRDILSWFDCGPYILQRHKLMKKVFSLLHEELQVDWQCDQVVPLVDAFASPVVKRASVATELLKKSIALYISAEPESNREVLNGPHTPTPARQKHLPGSLSLLSPSPLPLSLWNTDPAHLHGSTPFRPLEAERRKKLPEASPKPPTAIGGARAAGGGEEAARSGPLPLLDRRATEMEMGHAAAEKARWRFSDGGVTDLLQARSLHGTAGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADDVQEQLCLPPFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILQAVDHNIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYMAEALLQLGRLKEASEYVDALNTIVPPYSQSVKQVENIKEQLFAAELEKNKKDQVGNTNTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRGSLSLRFHRRDGQTDEHSGENGSAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLPFEFLERFRMHEFGEGSLHPLECAQS >KN538733.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538733.1:287954:290512:-1 gene:KN538733.1_FG052 transcript:KN538733.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGSNSQQQQPDPNFQDNPTQPWYPQSVVGSSSHPSTPSSSNVGPHQRASDNSQSSSRAQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETVQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLAELERQKDEIMRSYSPAALLDKLQKSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRAAYHKQALLHLAGKTSLR >KN538733.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538733.1:304560:308885:-1 gene:KN538733.1_FG053 transcript:KN538733.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVSRDWGPPPPSLGFRLPGHRGVVTCSSAADALITSRNGVGREEEEEGKDGGERWYNVASCQAPLVPDNWMRAMAGATPELDAVDASTNGIFGSSSLDVEISPPVLDWGKSSLYAASMATLTVVNLNNDSALRVYEPFSTDPQFYVYGYDDLVLQPRENASVTFIFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQLEPLAGMDVIIGGRLERNLSIFNPYDDSLYVEEVAVWMSSFQGTKQSSHIVCQLGPFDESLEFTSLSSNWYTTSSTEFGLPMIHIRPSEQWEVLPSQSSTVVELKLQPLSEGMVFGAICMKLRNCTSDKVDTVVIPMELEVRARTYYEHTNLVSVTFERVSSCAGVGSIISLSLRNEGTKLLRIVRVTEDNRDGLNNFEVKYLNGLILFPDTVTDIALIKYTSVPTDNSFDNCNIVVETNSSVGSSILIPCQDVISATLSYTASAVTESDGPFSEDELSANSRTGSLGSIVEVKGMQHMKVFPFALLNLPPTITRAYKADDTVLRRWRSHGTRTGISVLTDQKMLFPIVQVGSQFSKWITVHNPSLEHASMQLVLNSEEIIGQCKTINDACEHTFSSRSPEVDSTETRFGFSLSDAAITEASVGPLESALLGPIIFRPSNQCMWSSMVLIRSNISGMEWLPLQAHGGRQSIVLLEESEPVWKLEFNLVSDIQNKSALSKSEFTSPLCGQHLSKEIHVKNSGDLPLQVTNVKISGADCAVDGFSVDNCKGFSLAPSESIRMLVSFKADFSSAMVQRDLELAMTTGIFIIPMTANIPVCMLDQCRKSYLRSIHWKTLIFLFGTVSVFVLMFARGVPTSLSGGSQDCYIKIHDGKSSIDKPVKPSFLQRSSKTSRSTREHKRDKETHKYPADIHNSPKRTEDKNNADEQLNATSTMSLPPSNTVEDKVSIEAPETSGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSSTPKQSWSFSGTPSELKHSSKLENGSDIEARPPSARNNHEKSSWLQTAKEQPAPPPSVTSGNPSPSPSPSPAAPTNAWRSPLLSSPSPIAPRSRAPGSNLMKDKAVKRTEGTAATTTTTKKKDFTYDIWGDHFSGHLLGKAREVAPPCYKMFAASEGASNSFFAREPQALVTKPPSSSSSSSSSPPVTRGRGSLPSDVASGYGIN >KN538986.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538986.1:130450:132809:1 gene:KN538986.1_FG002 transcript:KN538986.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVDEWKPVIAMLFFDLISAVTTALLKKALAEGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNMSPVITFLIAALLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVVPSTKLTKKYPALYSSTAYMFLISSLQGGALTAAIQRRASVWVLTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFYLHENLYLGSVVGSILMILGLYILLWGKNRDTSAAASAKEAKEEEEDKEKQVKPLGPN >KN538733.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538733.1:244581:246695:-1 gene:KN538733.1_FG054 transcript:KN538733.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSTGARAAPGGDPAASSRSTAAAKEKLLTDHVNIFSSSLDRGLIKEFPSLVNESRLVIIIIEEYVQKLREEQLKKREERNFSPRFAVKEEVS >KN538986.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538986.1:50211:52056:1 gene:KN538986.1_FG003 transcript:KN538986.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPRLLLPSRCPPPASSPARHGARTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLSAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRAANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKAGFFSFFGG >KN538733.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538733.1:333254:337870:-1 gene:KN538733.1_FG055 transcript:KN538733.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAALMDIITSNCDNADYSSFQPLLPSDADTRDIAAAIEVIEQGGMHFDDHDDNNSDDGDSGLKGIGIKVLGGTTVLGFSREINSLEVGNSDDGIIGASNSRILMQETATDSPLVEKLSSAAAPGLWDDLQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHTVTTALKAPERTVKWHGAMVARALLEDQNLTLAPSVPDWCSSLLLTASRAAENGDMALAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENENAQSRMKESLAVALSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSETTNLTAVKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTQPQPERVKNQVDHHNASSATQILNQLATAVVKLAIVQSHYDPASGDKVPLSDFLSLEPFAAALKNLNKKNPPKFDAADSASATLKGIKALAELSSEDGACQKRIADLGVLSLLRRILLGDDYEKLAAIEAYDASRIREVQDKNVSASNDSSNDATSDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKEIISDDVWCKWLEECASGRVPCNDIKLKSYCRLTLLNVFCSEDPNKGSASDEYPDSKSEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISRKKCKDDCCTEEGGDSETGDAPSNTSKCTPPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSADFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTNGLVFYSCPHFGSRLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLASTDHINSCKPVNKNDPSYADTLAFLEKILKSRLKESES >KN538986.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538986.1:167056:167313:-1 gene:KN538986.1_FG004 transcript:KN538986.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEPVLDGLLAVEGLVLVQLHLGGGDPGLGALVRQLPLGVGPRRRHLLLLVGLGLAHLPLRLHRAHLVLHLLLHRLHLLDLPLA >KN538733.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538733.1:346281:347183:1 gene:KN538733.1_FG056 transcript:KN538733.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTTVAVLGACDLESGRQVHGHMVRNHGGGSGSNAILWNALMNMYSRVGCVDDAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAILRCGMQPDSVTFTTLLMACCHCGLVDEGLVLFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVEHAQIAFEKLVQLEPENPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAM >KN538986.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538986.1:11554:17967:-1 gene:KN538986.1_FG005 transcript:KN538986.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIASMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSTAAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLAALPVVGGDNVHQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDYSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFTSHHSGYNGSESSTIQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQSGHQEFGPSTDSQPNHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQTQTRADSDGCMNLPNSYLSTGSSVNFAQQQFIGSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIAGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQSVPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVEL >KN538733.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538733.1:302438:303300:1 gene:KN538733.1_FG057 transcript:KN538733.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEELHRLNVEQLQELEKSLESGLGSVLKTKSKKILDEIDGLERKRMQLIEENLRLKEQVSRMSRMEQPGPDSEIVYEEGQSSESVTNASYPRPPPDNDYSSDTSLRLGLSLFSSK >KN538733.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538733.1:274803:277200:-1 gene:KN538733.1_FG058 transcript:KN538733.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEKRERGGGGDSAADAAGEEAAEGAAAKKQKVEVEGDGEGETKGAEDEAKGEEEEGAKEEEAKPVKLGPKEFATGVEMFDYFFSLLHSWAPQLEINKVLIMYEHMVLEDLLKKGHAESDRKIGAGIEAFEIRNHPVFQSRCFFVRRVDGSSDDFSFRKCVDSILPLPEDMKIGNKSNGKKGGGHFKGGGGRGGGRGGGRGGGRGFRGRGRRGN >KN538986.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538986.1:99143:100172:1 gene:KN538986.1_FG006 transcript:KN538986.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTVEAYTRKMQGENLESCSLQELHGLEMQMEKSLSSIRLQKASKWSQCAIYVAAVQKKLMDKISQLQQQEKILSEENALLLDQGKVQHAPIGAPEREMNQNQHVQDIDVDTELVIGRR >KN538733.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538733.1:234603:237052:1 gene:KN538733.1_FG059 transcript:KN538733.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWKREIQVPKNSPRWAGADFRPWAAAVASAAFPALASLFLKRITVTDDDLDLVSRSLPASFRDLSLLLCDGFSSAGLASIASHCRGLRVLDVVDCEMNDDDDEVVDWVAAFPPGPTDLESLSFECYVRPVSFAALEALVARSPRLTRLGVNEHVSLGQLRRLMANTPRLTHLGTGAFRPGDGPEDVGLDVEQMASAFASAGRTNTLVSLSGFREFEPEYLPTIAAVSGNLTNLDFSYCPVTPDQFLPFIGQCHNLERLYVLDSVRDEGLQATARTCKKLQVLHVLPLNALEDADELVSEVGLTAIAEGCRGLLSTLYFCQSMTNAAVIAISQNCVDLKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGRLTDRAFEYIGKYAKSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFLWMSGCNLTLQGCKEVARRLPRLVVELINSQPENERTDGVDILYMYRSLEGPREDVPPFVKIL >KN538733.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538733.1:322581:327669:-1 gene:KN538733.1_FG060 transcript:KN538733.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26540) UniProtKB/Swiss-Prot;Acc:O48721] MALSSSSHLLPFSRPPATFPRARHAGGGRGRAGAPGREKHNVQSLELPLIKHVEGPDTDRLSAVLRDEKFDWITITSPEAAAVFLEGWKAAGNPKVRIAVVGAGTERVFDEVIQYNDGSLEVAFSPSKAMGKFLASELPRTTETTCKVLYPASAKAGHEIQNGLSDRGFEVTRLNTYTTVPVQDVDPLILKPALSAPVVAVASPSALRAWLNLASQVDNWGNAIACIGETTASAAKKFGLKSIYYPTTPGLDGWVESILEALRAHGQSKEVLSRPRGYFP >KN538986.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538986.1:133919:142645:1 gene:KN538986.1_FG007 transcript:KN538986.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERPVLLQYTLFVGLEFTTATFAATFGNLLPVVTFLISLVFRFEALNVKSRSGSAKISGTLVSLSGAMMLTFYKGSALTHTPSSSTSPASSSSHSQAEEHDTAHWVLGSVSLLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALSIQRSSISIWALKGKIEIATVVYCGVVASGFGYLMLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVIVGLYLVLWGKREEAAAVSKDAIASPEKPVQDVEQQQEKYASQTPKRDTGSTQQLEIDVAAEKPRRFITSDGGAGGEAKPEAAPPSEGGDRGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSGSGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLLKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFRQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSAIELPHFERALAKMQPSVSEQ >KN538733.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538733.1:340643:342775:1 gene:KN538733.1_FG061 transcript:KN538733.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMKIKREKDRQTLEGISMVIVSRSINNVESHGMPSYGLHRVDVSTLFRPLAADEDEEGRRGGAMEVEDAQLPRAAMIFHPCPSYGLPSWPSSVDFMPLGSGRGGEKNDVVAVDYSGSGVLYGAASRAVTILPPMNTPKAFPVSLTIGGNIYVMERYPASSQSPSSCFEVLVHDRHPNHPFATPHWHWRLLPPPPFAFTADDALDSIRNFFQDDDDFLTAYTAVGGGSCIWMTVQSTVAAAAGTYSFDTSTATWTKLGDWLLPFRGRAEYAPEHKLWFALSSDGNELCASDLAAAAAPPPRRNVWKVSPVSPSGSPVTPHLVPLGAGRFCIVRFMYKGWRSNFDFDEKFAVFTGVEVRRGCNKNSSTTLQMVNHRSRRYSLLDRFSREIYE >KN538733.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538733.1:277449:279231:1 gene:KN538733.1_FG062 transcript:KN538733.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPAPFRPLAPAAAAPRRTTAGEGGRSSLTGVIQFLSIGAVSAAAVACRTGCAISRRRFPFLGVRGLSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPITGGNTKYLEWIKNSTKAN >KN538986.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538986.1:1436:6885:1 gene:KN538986.1_FG008 transcript:KN538986.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALVDEIRLLSEPFKVFEFDFWKRPDSHREANIKIRTTRDGYVHAIISWWVLQLDSAGSIFYSTAPRWARQSSSEGLQRDMKDWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSLFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWFDLWRSRSSLKDVEGFDHSVVNETLGACGDLPGNQQGPCLPYYVWQCGYTKKLSEVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPAQITDTGNKEYSSLASL >KN538986.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538986.1:100958:101905:-1 gene:KN538986.1_FG009 transcript:KN538986.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFFLAAALAVATAAQVVTAGFTTDLYWQQQPAPGAVTPYKTSDWHDGSATFYGDPSGMGDDFGGACGYVSNDIVSLYSTKTAALSTPLFADGNGCGQCYELRCVKSPWCNPGSPSVVITGTNLCPPNWFLPNDDGGWCNPPRHHFDMAPPSFLKLAQRVAGIVPVQYRRVPCQRTGGVRFCLQGNHYWLLLYVMNVGGAGDVSSLSVKTSGGGGAWIQAAHNWGITYQVFAALDNSDGLTVKLTTYSTPQQTIIVSDAISPWWITGLCYQGSNNFY >KN538986.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538986.1:72852:74852:1 gene:KN538986.1_FG010 transcript:KN538986.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISAIYFMTVFSLAAKIPAEPKTAQEGEAGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERRPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >KN538986.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538986.1:88790:89375:-1 gene:KN538986.1_FG011 transcript:KN538986.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAWESRNQLQGGGGGHGGGGGERREYMFEKVVTPSDVGKLNRLVVPKHYAEKYFPLGPAARTSPAGTVLCFEDARGGDSTWRFRYSYWSSSQSYVITKGWSRYFRDKRLAAGDTVQLPPSRPVVDEEEAACGRRCLRLFGVDLQLRADASPALDLQL >KN538986.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538986.1:143056:143827:-1 gene:KN538986.1_FG012 transcript:KN538986.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTTTTTKRMSVAEVSPVEAVLFDIDGTMCVSDPFHHRAFSELLQALGYNSGVPITPEFGMAHMAGRSNHQIGSFLFPDWPQHRLDAFFADKEALFARYAAEGLREVAGLTDLCRLLGASPDHTLVFEDSVVGVQAGVAAGMPVIAVAEEAREAKVVAAGASLVIRDYKDHKLWAALDKLQTAAAAAQSNGQLGA >KN538986.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538986.1:171779:173301:1 gene:KN538986.1_FG013 transcript:KN538986.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKGKDLISQLPDDILVHILSMSRQRVESMARTLRRRCAGPDRDTVKRLCLAYRKDVPMECRYADEFIALAAASSLELFLNCPKNLRDDDAGPWSLHLPAATACLSMESCWYSVRPPHVHGPGASALKSLIFKDSFMVLHPGYLQDTAFPSLEELNISGCTLSGCTEITSATMPRLKHLRIADVSVMSLGTEAAIAVLADELATLRVSCHDGGKPDPPSSHEMLCVETPFRASFTEYSCFRLRAPKLRVFDWRCCYAKEVRVDAAGRHLSDVVIELFAGRLPRCYNEAKRFLQMEDCDKLMNHILQGIMPGRWKYVQRNFIERDELRLRCEITEDDM >KN538986.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538986.1:154521:164642:1 gene:KN538986.1_FG014 transcript:KN538986.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPMVGSMWAFLRAFKSGNPDAFIASFIRRLGIVGTALFVQELTAIDANVKQKKKNGNLMVSMEEWHRFGRTGVYRTFMFSSPTILAVTPEACKQVLMDDEGFVTGWPKATVTLIGPKSFVNMSYDDHRRIRKLTAAPINGFDALTTYLSFIDQTVVASLRRWSSPESGQVEFLTELRRMTFKIIVQIFMSGADDATMEALERSYTDLNYGMRAMAINLPGFAYYRALRARRKLVSVLQGVLDGRRAAAAKGFKRSGAMDMMDRLIEAEDERGRRLADDEIVDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKAEQEEIMRSIPATQNGLTLRDFKKMHFLSQVVDETLRCVNISFVSFRQATRDIFVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPKAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYNAFRLAGEQAGAVDGLLFFPDPPLPSSPARPPRSLARPPDLRLRFESNFESRTEMDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVEIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >KN538986.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538986.1:43816:48907:1 gene:KN538986.1_FG015 transcript:KN538986.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVLDRNKGYYTTPLGRSDGSGPYSYSSRGGSSGGRWETRSSGSSDRDGDLPDRDSSMQDRRNGNQYRRNWQNQEHDGLLGSGVLPRPPGYGGQVASKDRGNTYQPNRTSERYQPPRPKCLILPYCAASFEMMRKEQHKALQEKKNGPEIEKENSGHDIISLLQTPSERTATTAKSEKPDGSATSSAYQEDTTKTSSVLAASTARPLVPPGFSNAFVEKKLQPQSSNISLEPKVIDATSEGNILATAQFGGLVEGNQSASEITASKNKEKGIPDNIASVGKQHTLPSGGVTYSTEFASSILKGSGDWEGDAMDKYSIENEGKSKNIGSVRKDHSISILEQFFGSALSKGGTDLPPYVENQQMKNDDDVIVSSLPESSKFAHWFHDEDSKPAEDLSSNGLLSMIVKNEKPGQESIAHGPPLSDGAVQNLLPISPTHKLDVASTHPLFTPAAPAVGMLEQHNHADIEPAPIMMTCEDLEQAMLAQVATSSNSNQKNIVQEHQLVVDEPIATQKVAVDNHASQHLLSLLTKSTDNKGSSSFGLHIGSSDRSHSSDVTSNGGVSGIAPINKAETAPTSEKNLTLEALFGAAFMNELQSKDAPVSIRGSATSGPNYEFAETGKTSMASSHEGYYPGEQVLPFGTIKDGVAPKESGTGNRNLALSGPSQGSVSLDKKSLEIQLPEEDNLFTVNDSLDGQKPDILPSVRSSRVEGLLPEKAVDDLNYRLQSLVPGDSEHVQVLGPDVLGSHSHERRYQAESQNLYHLLQGRPPALAPRPMMDHIGNRNQQTPFDMTQAIQHDPHRSFSSNMNPMQQSLHAPRAPHVDPAAHHLMMQHISTPGNFPPEGLQRGVPPSQPVHHMPGYRPEMSNVNNFHMHPRQPNYGEFGLMMAGPSGPELRGNHPDAFERFLQMELTARSKQMHPAMAGHVPGGMYGPELDMNLRYR >AMDW01040594.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040594.1:976:1726:1 gene:AMDW01040594.1_FG001 transcript:AMDW01040594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKQAAYPFNIRRNVQDLITHTDDLVARRHDIARQIEAADRDGGMIPTHEARQWLDRVESARLSADTIRGRYEQRCRMFGRCSLNLWSNYRISKRAAERLAIVRSCEAVPSPITIDPSTLAAVNIPIEFVQIQSQESILEEALRCITEGPSAIIGICGPGGVGKTHLLKRINNNFVRDSTFRLVIFVTATRGCSVQTIQTQIMERINLSRDGDLVTRANRITRFLEAKSFLLLVDDLWGGELEMGSV >KN538986.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538986.1:18882:22080:-1 gene:KN538986.1_FG016 transcript:KN538986.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELEKIAGSFFISKGWKTFVHRTGLLSGQYIRFQVLTPSKINVLLFDKKKDSKLPMIPSSKKQIKTAPKRSTGITINDMPTSKHASMLISHTSNEETSSDSRTESMTDIPSSSDNSGETTRSFDDLCFCARNTAVTPDIKNYISIIGQFLQRSSKFYIVTMNNTFMKQDRVCKLSLLTNMLMCDVLTDTCRFTLPSFLMAFFQTTVFQELMLLGIKKTTPAIASAMPNLSPGLIFIIAACFRLEKFDKGCKYTRAKILGTLVCLVGAMAMSFLQSPVSSSPQLTTTSYYDWILGCFYLFLAVVVLSLYTVLQAATLVSFPAPLTMCSVTSMMGAVFTAILQFIVDGKIDMGSPRIDLTIISTIVLMGGGVVGGCVVFQTWCIGKRGPLLVSIFGPVQTVCSALLSALLFSQMLCLGSLAGMVLMFCGLYVVLWAKSKEGHSIIHLEGGDVEKALLS >KN538986.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538986.1:55724:56284:1 gene:KN538986.1_FG017 transcript:KN538986.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSCAILLEMAGEACCKVRSSVLRAAGRRGTRRTMPVTEKERRVEYIMDVLRFYHKFFCSRAEDELEFDPVEDSKLIPLPPPINACCNFVARTRARNSHWQKQRCLVFAELRFTPYVLDSFFVDTCTVLQQLPADAHLTTAANGNDCAFCPPGRVLHPAEFYCGKQQHKDELNLSIQKLKLLS >KN538986.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538986.1:70769:70978:-1 gene:KN538986.1_FG018 transcript:KN538986.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKACKVASAHLIKVYKGEKQMRVRPLPRRGQVKSRIARIVMSAITSALVRALSQLPVLDHKSMPPNV >KN538986.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538986.1:83581:85610:-1 gene:KN538986.1_FG019 transcript:KN538986.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSTSAAAAVTKASPSPAHCFLPCPPRTRAAHQRGLLLRAQVSTTDAAAVAAAPAKKEKISKKQDEGVVTNKYRPKEPYVGKCLLNTKITADDAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNANIIMLATGTGIAPFRSFLWKMFFEKYDDYKFNGLAWLFLGVPTSSSLLYKEEFDKMKAKAPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWADYKKQLKKGEQWNVEVY >KN538986.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538986.1:81374:82778:1 gene:KN538986.1_FG020 transcript:KN538986.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWLGGGLPVIAMLALNVVAAVLVSLVKVAMDGGLNPLVLVTLQQLTAAIFLGPIAYFKERKSRPKLTLEIFAYLFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAILTRLAKKYPHVYSCNAFMCMFSFLQVAVVGLSTQRNVSVWIVRTKFHILTILYAGVVGCGLSFVLLTWCIEKRGPVFVAAFIPVVQIIVSVIDFTVLHEQLFLGRYGYSLLQHIYAPM >KN538986.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538986.1:116914:120227:-1 gene:KN538986.1_FG021 transcript:KN538986.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGGYSGVPRLLLHLLFLLTHRRRLSSCLLRLAGADIDDSPPAVDAASDGSSRCCLPFLSKLLSEALHECCTVEKKRRKFDIKEMIDKIRLVQVAIEWSMYNTDKGIGVVTESSADQNSDIGESPGGGDDWKVYFYVSPYRRTLETLRGLGRAFEARRIAGVREEPRLREQDFGNFQDRDKMRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPDMNVVLVSHGLTLRVFLMRWYKWTVSQFEGLANLANGGALVMQTGAGGRYSLLVHHSVDELREFGLTDDMIEDQKWQMTARPGELNYNFITNGPSFFTHHHHDDCNSAIDDDTAGSATAPSRSPYL >KN540054.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540054.1:38311:43270:-1 gene:KN540054.1_FG001 transcript:KN540054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLQECAAFTILGDCVHLPREFEVCCSKQHHQPLGTQSVQKGHFYMTQNSPVVSSGSLESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVHLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRLEFDLDPIVLGLNCSNAARLSVAQEAATSNSVARFLFASAVFAIVQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYLMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLRCYPACNIACYPASVVDLLDIFKTDSDSGIIMASFQVRRAPKRAYTSVGYIKRPQMESS >KN538986.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538986.1:52939:55218:-1 gene:KN538986.1_FG022 transcript:KN538986.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQHTQEELQKRNLRDELEERERKHYSSKDKSYAEERDRRKSTSLLLEGSRREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >KN538986.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538986.1:22596:24569:1 gene:KN538986.1_FG023 transcript:KN538986.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGLKEFGSGMGDLFCRLGWLKKARRRDKGKFGRERRRRLLPLMHLRRSEGIVLTALALCAVWADDSRKQMLREASVIYLAMDNISRMESAPAVSADMEWCDEFGLGSEEWTTKVEIKIRNVPEHVCHPEKMERLVSSFCDAQTYSFDAMKKEYYICGFARSIESIPKYKYLKVKYGTENGVRIKFFMLNLEAIPYVDPEKDVVANEKDPELYEDPDVVREAFESQVRLQRIADGEESSSSADGSLCMSIDSDWSLKYGGR >KN538986.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538986.1:145569:147629:1 gene:KN538986.1_FG024 transcript:KN538986.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANKNHIESFPPPGKKITIVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEAKYDTEQGTMIKNLMNEGKLVSSDLIVKLLFKAMRESGNDKFLVDGFPRNEENRHAYENIIHIEPEFLLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFDVFQQQTLPVIQYYEKRGKLRKVDGNRQVDEVFEDVKAIFAQLNNQKIHGGQQASGLSRAQMNPLKRWFFDFFCGEQLTSISKFQVALGLKKKQETDSKNESDMISLRVCGLWST >KN538986.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538986.1:56957:57874:-1 gene:KN538986.1_FG025 transcript:KN538986.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSKFHSNKLKYTRGYLIEVMHSDTVVISNVTLVNSPAWNIHPVYSSNIVVQGVTILAPTHSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVVRRLTCVSPTSAVIALGSEMSGGISDVRAEDITAVNSESAVRIKTAVGRGAYVRDVFVRGMSLDTMKWVFWMTGNYKSHPDDGYDPNAIPVVDNISYQDVVATGVYKEAARLEGIQGAPFRGICIANVTATLSKSRKYPWTCTDIEGVSTGVTPAPCEPLQGAHDGACPFPTDTLPIDQLVMQQCAYSVPASI >KN538986.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538986.1:113102:116004:1 gene:KN538986.1_FG026 transcript:KN538986.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHHHHEHHHLLDMSSPPNATGAIISSFDHAAGLLSLHDVAAAADHHHHHLRGGGGGGGGLQLPSPWSQQQVSLSLYNNAAGAAAGSPPSSLVAHQQLAAAQPLFQVRGSKYLGPVKALLAEFCSLDVEAMAKQQRAPNPNPKIGKWDDVEGSGSWGNLSLMSSMDLLDLERRKARILSMVEEVDRRYRRYREQMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRKAMGESQRDSGAGAGGVAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDNFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNNNKLNPSAAGNKQQHRDDDKNYTATTAAASLVQQSSYHLHLRSSGNPNSSSLMIPAAAAASTSIDHHHDGSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQQPFAASMMQQQSFMVEAAAEGEEDDVLPYRNLMESQLLHDFAG >KN538986.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538986.1:35732:43178:-1 gene:KN538986.1_FG027 transcript:KN538986.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSEVNQERMDVETLGARQPPDKASSRAEHPNPNPSLRLRLSEIAGMSSAPQQLLDSSSPGPEVEDDGGRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQLVAFVTEYFRVLQFLNLPGGDLIINLGVLAAPQVVLPQQASHLMEQGCLIPMDISPVARNFNANDVFSSWDNALAQSFSPRHTHGAANNCSSSVESQSGTCPTSEAIEQEFMLPTLRAMPDFSQVYNFLGSIFDPETSGHLQRLREMDPIDVETWWIPVITCGLDNLDIVLLLMKNLSINLSNPNFEAHHMACKKLSKIKSSRNSVVCTLSFCLEARKEKKIGRIKKKKKKKKKKKKKKKKRLVAMVQGASGGGWSSKVEEGVIVGGLLVTQCILAGYVVFVDHVLSLGANPLSLIVLGAVASSLFFLPFAVVLERKKWPSKISRTLMAQFVFIALGGSMSIEQNTVREARAN >KN538758.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538758.1:195293:200003:-1 gene:KN538758.1_FG041 transcript:KN538758.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESDEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRNTDLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKRVFLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >KN538758.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538758.1:269030:269840:-1 gene:KN538758.1_FG042 transcript:KN538758.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAAAAAASTFLLIAALVVPTASASSSAAADDSAAGPYDPPTVPELMDRYDLSDDQKIISLTK >KN538758.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538758.1:212115:229358:1 gene:KN538758.1_FG044 transcript:KN538758.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAANPGGSGTCSDALFRELWHACAGPLVTVPKRGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSEFTSLDPELQDLEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRTSRSEFVVSVNKYLEAKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSKSPWADSDWKSLKVQWDEPSAIVRPDRVSPWELEPLDASNPQPPQPPLRNKRARPPASPSVVAELPPSFDVDSDQISQPSNGNKSDAPGTSSERSPLESQSRQVRSCTKVRVCHVISIFKMLKHTKNLIVFLVQLEEMFDIQGDLCPTLKIWQVVYTDDEDDMMLVGDDPWEKFQCFFITASCAEDATLFYLELWWYLNCKCQEVPKTGKTAWKRHSGNACRSKLFCVLDGWSSQLHEPVDETDTESLRHGKDAGTQHAWWRSKDGRGDDTDVNSFPALSDYIAPNAASSSVAENSRPKAKPFASVLRPSVDCAADGNENGNKHFTSHRENANCGLKSASENKIELLRGAHSWADSNLIEDVLASVNNDVGQASALLKAMASPCFPIREDGLPDQLSSEINKTHGLPSGNGTAENNLVNDSQLLPLPMNMSSVPIEPEVEELDDDYFNHRKDALKIMRAAMKHSQAASNAFLRGDHAAAKELSLRAQEERSAAEELNKKAAEEIFRLRNSNNSIWKLDMHGLHASEAVEVLERHLHRIEFQPPGNNAALTDEVARSEPTMSGPSIEPRPGKVVFVRPRQAILEVITGIGKHSKGQASLPVAVRGFLIEHGYRFDELRPGHKLLTSQPEVKNHKGIKGHSPSNLGFRRSGLQLKVTAIFGWIRGDTRTRELNPSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACAVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNQFSPILHFLDYFLCAFSWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQNIHTTQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVRSCHRRKERARKKLSECHIDISAKIPTKKDIRYCLIMLFVQGYKDWFFLPPLINQRPRRTKLQCRKLSQTRAFASCSLPLHLDCTGCFIRQAHHRLRKQPFDHLCSSSPRHRMAYTSRMNLKRKGK >KN538758.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538758.1:249838:255431:-1 gene:KN538758.1_FG045 transcript:KN538758.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHPSPRSHHQPPPPERDGSFNYDIESMDGGGAWRGRYESSEALLRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >KN538758.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538758.1:272357:274895:-1 gene:KN538758.1_FG047 transcript:KN538758.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSWRALVLVAAVLSFSGHVVAAAGHPDYADALAKSILFFQGQRSGRLPPDQAVKWRSNSGLSDGSAANVRNASYLDYLASLGANDGVDMFSWDNKLAGARVLLSRRALVNGDRRLDAFRRQAEDFICRILPGSPSSTTQYTPGGMMYKSGRANLQYVTSASFLLTTFAKYMAVSNHTFSCQSLPVTAKTLRALARKQVDYILGANPQGMSYMVGYGARFPQRIHHRGASMPSVAAHPAHIGCQEGFSGYFNAAGANPNVHTGAVVGGPDQHDAFPDERGDYDRSEPTTYANAALVGCLAYFAGSYKS >KN538758.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538758.1:285212:286168:-1 gene:KN538758.1_FG048 transcript:KN538758.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVSILGLICLCHSLNFQLLIKRRRRNYYQANDEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQANVCKFYIVSNLGFAEPGLFLLLAFLLSAALQNQEVGALNRKWNQRTICAVFMLCSPSLIWEACVVFIGPHIASNDGQKSKVAKYWYSASSVHDGDVACTYPLLSSIFLGTFYTVLTLYVIFVGGQILSLVINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFVSFLVLMIAAMLGIVILVYFPVAETFEVRNQEHIELQTSHSIAL >KN538758.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538758.1:289811:289921:-1 gene:KN538758.1_FG049 transcript:KN538758.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMLLDPPVWAVDVSEMEGPHCWAHGSLWEWDAC >KN538758.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538758.1:230354:231974:-1 gene:KN538758.1_FG050 transcript:KN538758.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGVTRVLLVDDSPVDRRVVQLLLSSSACAGSFHVIAVDSAKKAMEFLGLKEEGKQPDRAVWCLDDTKLGLMGLVNGMGLILGVPCAEPSEADPSDRHVVGKRAPEDQQHLFLCK >KN538758.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538758.1:149228:150008:-1 gene:KN538758.1_FG052 transcript:KN538758.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKRARSTGGGGGSAAVDRLSSLPDALLHAVMSFLPARQMVQTCVLSKRWAHLWRSVPSLNLDSREFLLPMYDRWQKMEDFTTNLLMFHHAPALDAFSIRADVAVGKHGRHVDRWIRCGINYCPRVLDIAVATVGSRYRLPDLASGSCRLGRLHLSYVALDSGFARQVRDSCPVLRCLELHRCFANFSHIESSTLNRLVIEDSMGGSDSFAISAPRLASLRLVAFLYHTYKNGVSLNGANSLVEASVTVKSGRISPE >KN538758.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538758.1:265938:268336:1 gene:KN538758.1_FG053 transcript:KN538758.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAESASLLLACYGGDPPLSSMEGTSSGEEVEDGTGPSPEAEEDDDPAPSGISVQQMMRIMELERRVEPGNLPFTEKDVRNLIQSCRKSDQEESVDLIKMCRRFQEKDPDFKYEFTKGASNRVENVAWSFASSVQSYEMFGDAVVFDTTHRLPALDMLLGIWVGLNNHGMPCFFGCALLREESLQSYAWALKVFLKFMNRKAPLTILTDENMYLKEAIEKELPGTKQALCIWLIAARFPSWFDAVLGERYNSWKNEFDRLYNMESTMEFDLGWSDMMNSYGLHGNGHIASLFASRTLWALPYLRGQFFAGLLASPETSKSISAFIQRFSSAQTRLAHFIEQVAVVAEYKDQAGEQQMMQHNPQSVTLKTATPMERHAAAVLTPYAFSKLQDELVVASQYASFHLEGNVFLVRHHTKTEDGGCNVTWSQREELISCSCNMFESAGILCRHALRVLSTLNYFQIPDHYLPPRWRRTWPSPSKVLNGAYFDESPEIERVKALQSMVSALVSEASKSTERMDIATQEVSALLSRMRQQPVVVHVSGDGAHK >KN538758.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538758.1:277743:282972:1 gene:KN538758.1_FG054 transcript:KN538758.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYSIETVFNVYMAGSEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSSDVIAVLRTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESRECYTYRTPLEETEKYWKGWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEIPGLIKKWQH >AMDW01135224.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01135224.1:35:709:-1 gene:AMDW01135224.1_FG001 transcript:AMDW01135224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSGHCDIVMVFDRYSISGRYGRNVGIANMSVDTKGQKLYYKLPIVLDIVTIDLSLNYFTGEIPEELTLLDGIKNLNLSWNQLSGRIPGNISVMQSLESLDLSKNKLSGEIPSNLSKITSLSRLDLSYNHLTGRIPSGGQLDTLYAENPSMYNGNTGLCGYPLRRNCSDNSSASKHGVEQRSERDSEPMFLYFGLGSGFVVGLWVVFCTILFKKAWRIAYFRLFDK >AMDW01036315.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036315.1:45:549:-1 gene:AMDW01036315.1_FG001 transcript:AMDW01036315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKPWVKTSLTPGSAVAREYLKHSHLQDYLNQQGFHLAAFGCATCVGNSGDLDESVSAAITENDIVAVAVLSPNRNFEGRVHPLTRANYLASPPLVVAYALAGT >KN539863.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539863.1:43297:44616:-1 gene:KN539863.1_FG001 transcript:KN539863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQVLKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKCGKLTEVVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRNIQHGEFRCPGWFSRKLQKLLYKIMDPNPSRRISIQKIKESTWFRKGPEENRILKERALNENTTKNVAPLLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLAWHGDE >KN539863.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539863.1:22572:24941:1 gene:KN539863.1_FG002 transcript:KN539863.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALQLHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGTLPTGWPCTQDWPNAAGDPAYWLDLRCSSDNLYSGFSWRLFSSFCVSMRWFWREVLRFGSSGDDDGLGRDGKRLAKEGENGGKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDGTRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKSNVVKRDTQPPATAKCATAGGTTNSWTNVHQPVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPATAREMNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHMPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHAKPSPIESPLSRSRTFEDNPIRHSTGKGPNGSILPEASNEQGGTWQMWGTPLVQESLGLRGPQTEWLLPNTNQFNHGVSHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNDLDFGSPNKSARLHPIGPPGHSWSK >KN539863.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539863.1:55282:55707:1 gene:KN539863.1_FG003 transcript:KN539863.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDFVDWIMWAIIYFFLLACIVVAVCFLALTIAMVLGLIRRNDDGNNKYDMLIERLLLRPKDDQDNEQQCVICLSENEDDVDGGGERGRWRTLPGCAHAFHKDCVVKWLRNRTTCPLCRSDVAAAAATDDIISAADDMV >KN539863.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539863.1:62342:63023:1 gene:KN539863.1_FG004 transcript:KN539863.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRGRRADAEKWKKQRGLLGGQQNDVSSEAGTKRKKKVIEPASYGRKKGVMQVTLLDLLLMGVSARSGAASSRQQPTPSLPYTMTEHYHGLREGRLGVGGVAVKSMTDTRRCRFAAEAERTRNTALAQSKPNTSWRMDTRRDGSGDSRWLGMAERTGKPRRHKAAPPMPRWPVKGRRSLPRWAKQSAGPW >KN539863.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539863.1:17327:19291:-1 gene:KN539863.1_FG005 transcript:KN539863.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPCFPATRGLPARGVVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSTRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPVRRLFMY >KN539863.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539863.1:57078:58298:1 gene:KN539863.1_FG006 transcript:KN539863.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLVITRLFEEIGALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSSDHRCTNNEDEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMEHPNSSFARFCRTKYLAAVSSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALRVAVAARRRCSGRGSVRMFYARRGSRYAAEYMESAAGDGGRGDGVAFTVRPGVKMGETMVACRVFLCHDQQAH >KN539863.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539863.1:9098:13285:1 gene:KN539863.1_FG007 transcript:KN539863.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding ILSAYFAVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDIMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSPYLMYISLFLWLSAVVSSFFYFQGRILTIAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKAWRKRPPFGILPILCRQRFLLSVLGHLVVPL >AMDW01040743.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040743.1:395:1843:-1 gene:AMDW01040743.1_FG001 transcript:AMDW01040743.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/Swiss-Prot;Acc:Q9SKT6] RSYLDGVTDAFNVTDEMLSAHSFSRQLMDQISLAKTYLVVAKEANNLQFAAELSSQIRRAQNILAHAAAHGGTVTEQDAEKAIRDMSVLFFQAQQFRYDSAITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKTTELQRKFTERSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMHPEKIVFHLVTDEVNYAPMRAWFALNDYRGATVEIQKVEDFTWLNASYVPVLKQLQDAATQNYYFSGSGNRGTPVKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVMWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEPLDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFTH >KN539863.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539863.1:31141:34677:-1 gene:KN539863.1_FG008 transcript:KN539863.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQDAYMEEHDGKRPHPGALHFMDRLRNFPCPLLNLQPTPMHHRNERSLDLVTLLGDDETKILTADNHGHTVLFDAASYSVVHFPKLNCSKGYDAMAVSINRAAPQQPDCLYVLNLRPHPTTSNHCFEVLSYGGFCERIPIWRSLPPPSFTTTTQTTITSYTVVGGDTIYVSSKLCGTHAFDTLPSYYTSTTESNHNRDYVYSQINKGHGGPFPGGFGGVGIVVEEVGIGVEAPDEEESGDGGGEEDEDHPQRAHSSSNAVESINEGGKSKGRGVGREEQLGLPFPSLSS >KN539863.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539863.1:70538:75946:-1 gene:KN539863.1_FG009 transcript:KN539863.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARRCGGRTSERSSVVGDNRNGYVETDPTGRYGRVKIGDLGLAAVLRGCTSARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYRLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPSQNHDDHNIIAHATAATASPPPLPLARSNSSEEQDEEEAPAPAAKTTDMAITGKLNKEHDTIFLKVQIGGGGNVRNIYFPFDVANDTAMEVATEMRNWKSDVQTTRDYCYYPPSSVSVSDDDDSSTSSLCAAASAISLHQQQQHCSASSSRLGPASASASEDGGGHAGRPRQREGGGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTAAAASSSTSSHHRRRSNTKIDHKHHYMF >KN539863.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539863.1:39465:40455:-1 gene:KN539863.1_FG010 transcript:KN539863.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRFVNLVVQDAGGLYSLRRIPANRLFYPSTRAAEEATAKSQESFMEEHGGRKHPGLHTMEMLEKLPRSTFAFEPAPVDRYHLRSLDFACLLGEENRMLTADNRGNTVVFDADSSSVLAFPNLISPKRYNAISLSIINNDGSNNNGLEPVPEDGLYVMTRNPDVHRIKDGCFEVLNYSSSSADFREMTPHWVSLPPPPFAGCMNAEITSYTVVHGTTIYISCKKPIHSTYAFDTVSREWRRLGSWTMPFNGRTEYVLELNLWFGLSARHPYSLCAFDLLSDDSSVAAKPPTVLRRQDVPFYFG >KN545093.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545093.1:152:1843:-1 gene:KN545093.1_FG001 transcript:KN545093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKGIENPNKKRNKHALSESRVGTPIIFGGQDCMSTKALIRDNSMLLDQIYDKLRTGQLACAPSMFDKVKTNLDAILAKMRAMGVNTDEYKIDLEALEEIKQGFHPSIVKNF >KN544889.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544889.1:1705:2232:-1 gene:KN544889.1_FG001 transcript:KN544889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAARVVEEEEDPDVEMMKKRDDEEEEVVGEAWDYKGRGAIRGSTGGWRSAAMILCVELNERLTTLGVAVNLVTYLTGTMHLGSAASANTVTNFLGTSFMLCLLGGFLADTYLGRYLTIAIFTAVQAALILAGHGRPDHLHSGARAPSATVQ >AMDW01040816.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040816.1:181:2316:-1 gene:AMDW01040816.1_FG001 transcript:AMDW01040816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECGSGNCDAWAARDPSGILSPYKFNRRAVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVVSSDENQMETLKSSLNFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMMNFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFCFVIDIENSFK >KN541903.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541903.1:2030:3692:1 gene:KN541903.1_FG001 transcript:KN541903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGLSSPIAAQILDFCDDGLGDDLFAAVATTSEQFAASSEDGSSSSTATPPLCSNSNDITAVADTAFSPLLSFDSTLSAFLEQEQNPDQDIKLLPSIDETFTAPAYYPAATEANIEQFSQIMVPEHTDAPMPPMQTNRTANALMPLASGYDDECFTAALAGGYMGLDGTLYDQTGVMIPNCNVETPQVGFFNHNSTSNNGMVMDLNNFGEYQRMMEGEGLTRTYSDTDSMHGAFNNAAEMQMGENTQHMVTGCNDSPLTLPSTEGSSLEDTPYKGVRLTAEQRKEKISRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEATRSSSQDFEQYGHVVGMKGEDMLDSSNILAHLSGMNPYGYKYNSTVKSWI >AMDW01021096.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021096.1:1:285:1 gene:AMDW01021096.1_FG001 transcript:AMDW01021096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YWKFGDEGNKYFRHATGQIYAVSKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPP >AMDW01021199.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021199.1:8:292:-1 gene:AMDW01021199.1_FG001 transcript:AMDW01021199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFYAPHRQVCLYLPSNARGRRMRRRHGVHVPEGYYGNALAYTIIHASAGELCGGTLGHTVELVCEAKLRITEEYVRSTVDLLASLRQRGRAL >KN541903.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541903.1:17134:19460:-1 gene:KN541903.1_FG002 transcript:KN541903.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KN541903.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541903.1:10936:16278:1 gene:KN541903.1_FG003 transcript:KN541903.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVAPVEAPRNRRATGDQGLVSQRVVEFPFPELKMELVYLERRNGFDEDSTSPEFSGRSAIDHQQLSIGTPEVESDLGQTIYKQPLPSDEKKSRSCQSCHKSPCSCKSEVFHSDLYPTLPAKMMILEFLIRSLRHPRRTHNVSDLDDMISNGASTGSVVLGPSEKMMLDSLHSLVDAKTRPKSPSFFHSGTKMRKARSKSHIITQSEILKLISPETWEISSPGASPLKKSTAELSMHEKMVSSDTPSMSSNQPVLSSCPSSLSAGLLQCIWKDGLPHFELSLDNPMAVYTANPTKAHDNDKPLDYVYLFHSGEQGRKDWLGNSSNVSRLVGKMKVSSSLVLNSDKSTSMETQFVLYGSPDDYLRQMQSSYGVTKGKGLAKRVADIMKPSNLNSSPKHVWKFGKSSSQQIDEMTEIPEGEQCSAKESVLKNLVADDLPTNQEIAAIVVRKQRRERRKSPVLGGWGLKFLEKARATHPGSTEDGDVQNKKNNAGSVSAIFPRGYHGGAASKNGSPASLIGRWRSGGRCDCGGWDIGCPIRVLQNDGCGTSPQAESQSQDRKSVELSVKGAKKGPMFRLVNITDDLHITYFDSSLSPLQCFSAGIAIIHSQAPHLYPKL >KN541903.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541903.1:5336:9980:-1 gene:KN541903.1_FG004 transcript:KN541903.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHLEWLLAPSKNRPKQPQFDLRLGGRHRRLLADHVEEEEEDPSEARGCNDLQWWRAHQERIAVATTSATFAATLLRLAAVAPPSVASPTGVAALAILKSGYKLSKNSAKVIEGFLGLQVHKGIRNGVDALGVVVKVAVIASEVAVWVGGRCWGGRRGRSVRFLRSTRPSSLLLVRYSKSEAQVVLFDHGLGIAAMDGDEKQMEEQEGSDLLCLAVPVPEATNY >KN541903.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541903.1:21211:21876:-1 gene:KN541903.1_FG005 transcript:KN541903.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTLPPSYLRWVVAELDYGDTAAWASLAREVLDDPVYVDRVEWEHAHCFLRGDTDYDGDGEDGPLHEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRKGGRRQTTTSSLFDIGADTDGPRGKRDERRERMRLRRETQVRGAKLDMLGVNAGVKDDGVLGTPRKARSRTTAKTDILGLGRRSRGGEVLGEKVLPGSGGNPFPGRQAFLDKVRKLKDDS >KN542917.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542917.1:6131:7174:-1 gene:KN542917.1_FG001 transcript:KN542917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRFVYLVLEEFAPRRSNYTLRNIDMERFFLPRPSPVPFVASGTDAAEYASLPCPAMTFYPPFSKLPGKQQMEFLLLGGNHNMVVAADQTCRTVLYDPGEHAVRTLPALPYQLELPTASVTVGDDLYILDHVEVGNVPCFHGLIYEDRLNEDWCCCALPPPPPLLSHKSDFQVDSYAVVGDTDIWISTHDSGIYCFNTVSHVWSTVATGWTLPFVGLAEYCQEHGLWFGLTHTRDRRSLVLSALDLDSSHLPVLLSLPLEFTPPDALKLVSSYLVNLGSAKFCIARFFQTDEDQRDGEELFAVLTAVEVERCDDDDAGANGGGLRMLKHRSEMYKLTSEMMYWVL >KN542917.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542917.1:8789:9274:-1 gene:KN542917.1_FG002 transcript:KN542917.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVFHPCPSPASAATGGAHDVMEYGSLPRHTMSFCPASLSRWFGGTERMKFVLLGGNHNMVVPADQSSRTVLYDLGKYAIRTLSAFIFPTYLRSSDSLDSITASISATTSTSFDTTRSLVVATMGSSTAATRNGAVVVSRRLPFDLIPTLWSATQTYFFS >AMDW01040285.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040285.1:912:1212:-1 gene:AMDW01040285.1_FG001 transcript:AMDW01040285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEHGAIQGLEDNVESEKRLVVYKQQEEMFNFEPEDDSDTDSDYIPGDESESDEGEEAESIKKQYKQLKNKIKAGQANILDDVAFEGYKTNPVMQDGAEEG >AMDW01031953.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031953.1:70:421:-1 gene:AMDW01031953.1_FG001 transcript:AMDW01031953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEDDGSFCIRNIVAGDYNLYAWVPGFIGDYKLDAKLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSASEFYVPDPNPNYVNRLYINHPD >KN541480.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541480.1:7101:8057:-1 gene:KN541480.1_FG001 transcript:KN541480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTYCITSRWWSGSMGQYNMLHFCTRYGTSYCPLLHCMMKMLGLEDWWETYHYSGNVDIPEKVKKLVFELLNRIFKKGEVNTLGVIRNNWGQQTMKRWPEWDLEKNAYQLGVEFQEGIIIWHIATELLLFRSKIAIDQNTEPTAEAIKALSNYMMFLLVNRPDMLPGLAQKRLYQRTCTYLEKEWRKVVDDTTYHHSTRNVCTRLKELFYLHDNPNSDSRRPQREKLVSKLLDVEQDQSRKASRVRFAIDVANKLFAEEEKKSGSTLQMLLEMWIDFLVYAANRCSRESHAKKLNSGGEFTTVLWLLTEHLYQVIV >KN540828.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540828.1:34197:35322:1 gene:KN540828.1_FG001 transcript:KN540828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHVRPKDYTDPPPAPLFDVGELRLWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGTLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANMVASGYSTGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHWIFWAGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >KN541480.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541480.1:23643:25160:-1 gene:KN541480.1_FG002 transcript:KN541480.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RAHSLFHICKRGIVDSVINVDTEIIENKSTKKIINNLTRTPKKMWKVMEMELSLMHDILYTKAAVIHTSIGYCIRVFTSVAIAASFLLFHFSGSKDLQNGVNVVVTYVLLGGALVMETTSLLSALGSSWALSFLCGTRWRWLQHVALCGGRWQRLRRVVIKELAKHDWAVQLALLPRCAEMVKDNVVKRLSKDEINTMGLIRHKWDKLQLDKDKYPKLAKRLKDLRAVDFHESVIIWHIATDMILFGRESSMSGGDNAQKKKEVERVRSIKAVSNYLMFLLVTQPDMLPGIPQNWLYQRTCENLDEKCRENHEQLISSGGKVDNLVFRLVKKLLLGDNNSTTATFVGLKQTNELAKILLKMDVPKEFDPSVPRLGYARGIAEIWLKWDSEEELKDLDPVKMLLRFWLKFLIYAANRCNRESHAKKLSSGGEFTTVVWLMVEHIYQTKEKKPKSET >KN541480.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541480.1:16904:19575:-1 gene:KN541480.1_FG003 transcript:KN541480.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYRRAHSLFHICKRGIVDSVINVDMDKTEAEITRKLINQIRNPQQPMVMWKVMEMELSLLYDILYTKAAVIHTWIGYLIRAMTPVAIVSSFLLFHFSDSKDGQNVVDITVTYILLGGALMMEMTSLLSALGSSWALAFLCAISWSSLRHAVLCAGRWHRLRRAVVTLRQVVMAMTGGFLGRSRKWSGTIGQFNMLYFRAAQIHATNRRFGTLAKKLGCEDWWDSTCYSHSIKIPNTVKERAVKMVSKRAINTLGLLRHRWGELALDKKKYPKLVGDLEEWEGVDFHESIIIWHIATDLILRGRNRSSNDSAKKKEVERVRSIRAMSNYLMFLLVTRPDMVPGLPQNWLYQRTCDNLDKICKENRVQLISSGGRAHNIVFMVLMKLIRGNNNNSTSYGLEQTNELAKILLGMKVSGKFDPSVPRLTYAHGIAKTVLDWKGEDQLKDADPVKHGYLENKKRRLSSIQADPSLVEVENQRGKVCQKKTAAATVTGCQGTTSASTASEANGDLQALKSDGPNLLVGPLWPLPLREIRRETHSWRPDGGGTGVPTAATCA >AMDW01027644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027644.1:104:370:1 gene:AMDW01027644.1_FG001 transcript:AMDW01027644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDV >KN538772.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538772.1:158327:164786:1 gene:KN538772.1_FG001 transcript:KN538772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAAVHQPSTEMFELFRGLCLLATGRTVYSGAASDALEFFESNGFPCPLRRNPSDHFLRMINKDFEESEEGSTIISPRAAEVIQKLMGSFKSCGTLRTEKEACAMINQGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIYHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFDDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRVLFLVIIKISEIVKPRMLHFLCASKATRNQQQVAELDVLLITLAAYGVLA >KN538772.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538772.1:230794:232000:1 gene:KN538772.1_FG002 transcript:KN538772.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDARLLEFMEVTSCYDVTLAAQQLASCGWHLDRAVDLFYSSIESGGRPSSSSARHDGEASTSSASASTSADEGDSGGEGSKVCCYYKLDRAKLPAVLFVDPVTGQLMEKLHHITDPTDFLMAAEKFIDSKSFISTTTRANRITAPLPPPYLIGVEQPFRVMRMPATTGGKEEVREDKDVSFEQLGLNMSTVYVLLD >KN538772.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538772.1:221655:224150:-1 gene:KN538772.1_FG003 transcript:KN538772.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLHALSLSLGLSRHPILLPRLLSVYTSHPSLLPSAASVAADSTLPLPYNVLISSCLRHGLPLQALAAYQEMGKNGVLPDVFTYPSVLRACAEARDLVLGRAVHMHAAGAGMDGNLFFQNALMSMYAKCGDLASARKVFDGMVQRDVVSWNSMISSYAAVGQWAEAMELFRRMRDEGTEVNSVTWNTIAGGYIQMRDHRAAVGLIREMVRGGAEVDYVTLVIGLNACSRVGWLRLGKEIHGLAVRMCCDQVESVSNVLITMYARCKDMECARMLFRMLECPGVVTWNTMLSSFALSDCAEEASSIFREMICRGVKPNYVTVVTYLALCARVANLQHGQELHGHIVKHGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFEQMIDSGIKPDHIIMVTVLSACSHSGLVLEGEELFDKMVISYGIKPQMEHYSCMIDLYARAGLLEKAEEMLDHTPFPPTSTMWAALVGACHDRGNIEIGERAARKLLEMRTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWTDLGNGFTPFLVGDRSNPLAPEIYVVLDELSEQMRNINNCSDLDILAENIE >KN538772.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538772.1:210670:211605:-1 gene:KN538772.1_FG004 transcript:KN538772.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLGDGPPKSSPPRWAHAQEQGTATVTPATRVVDAEQGTGRPGRQEEEVVAPREEKQTKDEAASRSGHGGGSVEQQQNQRRIVMPTSQQIDDG >KN538772.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538772.1:256925:260744:1 gene:KN538772.1_FG005 transcript:KN538772.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIPKLSKLLMEEYGLQNSVKEGIAFLTSELKSMQAEVEKISKMPLDQLDSQIKIWARDVRELSYDIEDNVDTFMLCVNDFEARKKHDFTWLIDKYCKSLSELKIRHKIANDIKHDIIPVKEVVERHDRYNADDVDSKLPTIIDPRILKLYDNVTKPVGVHKASGDLLKKLSMGNDESSQKLKMVSVVGFGGLGKTTLAKEVFGLLGVQFSYACFVSVGRKPDIKKVLKSILIEVNKQKHMSNLAKLSERHLIDEIREYLENRRYLVVLDDIWEISTWDIIKCAIVDSNCGSRVIATTRISQVAEEVGDIYYMEPLSDSNSKRLFNRRIFGADCIGTTNNQSIEAMEKVLKKCGGVPLSIITIASLLVDKPLEDWSNVYDSIGFRLEDNEAVQNTRKILSFSYYDMPSYLKNCLLHLRIFPENCWIEKESLIWKWIAEGFVHMEPGKWLFEVGERYFNELINKSMIQPMDLINYEGTLDGCRIHDMVLDLIRIISTEESSTTVLDRMHEEHNTSLLSRNVRRLALHISWNQDIDNNLHVDMARLRSFNAFECPTSMMPPLLEFHALRVLALEDCNITGGFFLKHLGNLQQLRYLGMRNTGKVELPQEIRNLKHLQTLDVRDSFLDALPVIVYELSKLLRLCMDSFTEVPAGLGNLKSLQELWVYVSDDSCPNFAAELSNLTDLKVLHINWYWEVDEVSLKDLVESLRNLHRLEDLSFSSRSFGEMSGWEGWEPPRQLRKFSIDDVSIFLPRLPSWVNSTFVPHLSDLSLQVQAMKAHDFEVLARIPELRFLSVDVMDGFSWTVPGGDGLFQNLRRCRTNIKLTFLQGAMPILMEVELCVAASKGCATSYDIGLGNLLLLMTVDVWIACEGATAGQVEEAEMVLRHSVDVHPNHPTIDVNKFHPHSHSELQLKWQIEGDGEEISARDQKQDSCSEKEAKRARLLDQS >KN540339.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540339.1:1060:2858:-1 gene:KN540339.1_FG001 transcript:KN540339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METKSVLDKVTQEVYTGLLPHNMVIADLGCSSGPNTLCFVSEVINIITKCQNKLGQSDLMDLQFFLNDLPGNDFNHLFRTLETFKKANETNHEGEIVPAYYICGVPGSYYTRLFPQQTIHLFHSSISLHWLSQTTPQSVVKLFQEQFYRDFSLFLTLRHEELVLGGQMVLTFCGRKNEDARSGSELNNLFGLLAQSLQSLVAEGLVEKENLESFNLPLYGPSVDEVDEIVKNVNLFEMDHIDLFECNWDPYDDSQGDIVHDSALSGMNVAKCIRAAVQPLIASYFGDDILNALFKEYAHRVAKHLEKEKGKFAFIVVSLKKKDAT >KN540339.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540339.1:52051:54046:-1 gene:KN540339.1_FG002 transcript:KN540339.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EKTLVATKPMIQKAIQELYSAVLPRTMLVADMGCSSGPNTLNFIFEVIKATSEYCQRIGHRPVDLQFFMNDLPGNDFNYLFKSLEQLDNLVAKDQNREAAILPKYYVVGLPRSYYTRVFPDKSVHLFHSSYSLHWRSQMFQESNNGEFLNEGNIYIAKTTPKSVIKLYQELFYDDFSKFLELRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPSIHEVKTVVISSKLFTINKIHVFESNWDPYDDSSDQGQATNINPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFARNVTKHLEKRKGKHSVIVLSLSKRKN >KN540339.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540339.1:38866:41051:-1 gene:KN540339.1_FG003 transcript:KN540339.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGEASDETKPVIEKAIIEVYKAILPKTMVIADLGCSAGPNTMFFMSNVINIIADHCSNDFNQLFRSLEKIKTSTTTYHKGDSLPSYYISGLPKSYYSRLFPRQSVHLFHSSYCLHWRSQVPEGLEAGGKSLSNKDNIYISSTTTPLVVKLFQEQFRKDFSLFLKLRHEELVNDGHMVLIFFGRKDEDVYNGSLSHILGCVAKSLESLVCKGLVNKEKLESFNLPVYGPSDDEVMEIVMESHMFDLVHMKLFEANWDPYDDSVDDVVHDIASSSQNITTGIRSVLESLIASHFGESILDVLFQEFRPLVAQHLEREKTKYAVIVMSLKKI >KN540339.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540339.1:28717:36782:1 gene:KN540339.1_FG004 transcript:KN540339.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEATIVTSSGMNAIVATLLAVVPPGGHVVATTDCYSEARAFIRDRLSKMGIRTTFVDLDNMEALKSVLDQGNVTMFYGDSLTNPHLKCVDNAAYMIIRGLKTMALRVEARNRTALRMARLLERHPKVERVNYPWLESSPWHGVARRQMARAGGVISFEVASDMRGAMRFVDALELPFIATSLGGCESLVQQPAIMSYWGKSDAEKAENGIKDNLVRFSFGIEKFEDLKDDILQALEKI >AMDW01018875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018875.1:3:269:1 gene:AMDW01018875.1_FG001 transcript:AMDW01018875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCRYAVSVTEAVAGVKVTVYPPELSFGSYGEEREFT >AMDW01027313.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027313.1:180:317:1 gene:AMDW01027313.1_FG001 transcript:AMDW01027313.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYK >AMDW01083102.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083102.1:503:661:1 gene:AMDW01083102.1_FG001 transcript:AMDW01083102.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLLLLVVVVVVVVVSATWAAPTTRRRNVITHVKGFQGRLPFHLET >AMDW01010204.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010204.1:77:223:-1 gene:AMDW01010204.1_FG001 transcript:AMDW01010204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEHDAARRFFRYEMNGNSMGFGVFFAMFRVVVVVPDAAAGDAPGCEL >KN539603.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539603.1:85970:88048:1 gene:KN539603.1_FG001 transcript:KN539603.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAGSYPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGIAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLPTEMLFFLEWHVLRYAYTLCCRYLIASHLTDYKQPMLFLNTIAVLVLVVAKLPNMHKVRIFGINADI >KN539603.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539603.1:227:15323:1 gene:KN539603.1_FG002 transcript:KN539603.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPGKYSPFPFWTSSLDEGCYSNLEDEWDAWASAQLASIGSHVHGKFSLMQCNGWFNRVKHSGFMVFCILRIIMFIVFAELMLTSIKSLDPTDCCSVSWWLCRLSMVRQNIVDELSSTLFDQVQEYKNKTLAHFGELENVFSYWGPLLCDGEGSYFVSAAFLEAGIAEYKYGRIDQSSYMILIVCHMHGLEMGALNYGRQLDEVDAKSQMVLVANTSGPASGEGQVTELTGTQDDATALKNARSSVPGESDEFCDILRMPRLVENDNDSGNDEKKDPSKKAVLTAMQQAAVLAECLHVSRRSRHDEMSGWEMAPFIESIDSQEDSYFVVRSLCDILRIRWESTRSRTKQRALLMMENMVEDVGNDFPVAAQRAKLVFGVQMPTIPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALVLNSLFPDGWFAYGTVAWKTLSCKHFFKDLSSFTSFHSASTWMVIGYLDAVKIDKDLEKAVDAFTRSVQIDPENGEAWNNIACLRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNIDLLEKVMAMLEEQPTHLSDTQEAESSRSTSDDANQETRKYNQLLDIIGDILQQIVRSGGSNSEIWGLYARWHKTKGNLIACSEAMLKQVRSLQISIGSRILACPLGKLDSSISSREHKFYDAWSFG >KN539603.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539603.1:43616:45041:1 gene:KN539603.1_FG003 transcript:KN539603.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLPEDVLGNILHRLAPRCLAISRCVCKPWRTIIDARCLLRVDLLPHLVAGIFINFHDLILSEFISRPSIGPTISGNFNYLPHNSIVRDHCNGLLLLDGYVDYPATQQYYVVNPATRQWVQLPPCPSSHPGMYSESSEYLVFDPRLSSQFENITVCEAIEEQKFEWDSDNDTVIDINNRSNLTGYVTFLGFHPYKEVVFLSHTLTRGLAYHLNTRKVQDMGNIRPKYYGTDMGIQPFIEGSFPYTPWLGEFPEDN >KN539603.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539603.1:27091:31878:-1 gene:KN539603.1_FG004 transcript:KN539603.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVVEEEAVAVGGEAEEVDGEVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPALKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHIHRYERARPREAYLESRYTNEYPRHRHSRHEESIHRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMCNCGQCYVEQDAAPASSQVVPLRHQLAKPFHERSSEPDDHSASAYEAAEYKERKSSLVRFV >KN539603.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539603.1:90180:94012:-1 gene:KN539603.1_FG005 transcript:KN539603.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding ELQCSDWSYRPLSEGQIQYAASDAYYLLDIFDLFHQKIRTEGKCLPTNELTSDGHCPQRDAECSSSEDAVCFDDYFTSIVMKYAEKILLTESDTKPRSSRRKEKQKLATNAKCKEKFEGSTEWQGLPPWDPSAGGDGCPKFLCDVMIEGLAKHLRCVGIDAATPSSRKPEPRELLNQTYKERRVLLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDKLMSRCTKCNGRFIQKPLTLDEAIEASKGFQIIPSCLFKRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >KN539603.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539603.1:19063:20723:-1 gene:KN539603.1_FG006 transcript:KN539603.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >KN539603.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539603.1:70825:74121:1 gene:KN539603.1_FG007 transcript:KN539603.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAKEVDKKVQLMKENCMIFYLSFSWLYYKYHETSNSYLAISKNISSLLYALELTGEAVQYTAVYQKTCNLFFRKSVKSAVTSEQSTAICVASFRRFRIANANLLIVPMLRPCCNVIPVSFIWDDITWACLVLLS >KN541054.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541054.1:6960:7734:1 gene:KN541054.1_FG001 transcript:KN541054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMWGGLHEFGDVASLPRRRMVVYREHGRAADHAGFLDTIDPLLNLFFFLLNHPHTNQFRDLPPLLHPLTDELGDLLNLISACSVDKAPRETYAGYYSAVPLIALYLDVPLLYPMRLGGSRSYVLDHAPSVESSSFCYSSEHKHENNGIPSVF >KN542289.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542289.1:3158:3667:-1 gene:KN542289.1_FG001 transcript:KN542289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADDGEKMILLISSDGERFELSEAAASQSKTLSHMIEDDCTDNGVPIPNVTAIVLAKVVEYFKKHAAVTPKPATEAIAADKAKREEELKSFDAEFVDVDRMMLFELILAANFLNVQDLLDLTCQHAAELIKDMSVEEVREVFNITNDFTPEEEAEVRKENAWAFDN >KN548596.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548596.1:117:557:1 gene:KN548596.1_FG001 transcript:KN548596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAGGSYRRATLDPDGIVRVYIRPRSSANASWTVADLFPANIRYEGCRPAFAPQSCDVVNSSAEFEITKLPNTTWTTSPYMIYERMAEEQCADICLRDCFCVAA >KN542289.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542289.1:16752:16955:-1 gene:KN542289.1_FG002 transcript:KN542289.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLFELIIAANYLNAKDLLDLTCQHAVDLIKDMTVEQVREVFHIANDFTPEEEAEIRKENAWAFDD >KN541844.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541844.1:8119:11900:-1 gene:KN541844.1_FG001 transcript:KN541844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLFHLLVLSVVVVGVGGGAATAGGGTYDDAICARSIFCGEHVEIKYPFYLSNTTDQVVVVDGNDTRYCGYPWLGIICDHDRAILRLGNYSYTVLEINHDNHTVTVADPDALDGGGCPRVKHNVTLPPVLTFSSPSNDSITFFFNCDATADVVLRPQPYIRPINCSGFVDFQLGRRDTAPSFVAAQPDVAAGETEWLGLCKQVVVVPVLKDWLMNTEYFQRLGDDGYGAVLKRGFQLSWDPTAGQCHECETSGTGGRCSYGTKNEFLGCLCSDGHVSNSTCGRHLASILFPSPFLVALHHHTFEKMHPLCALPLLIIILLSSVPPSVQESGAYFRYTNCTPASYQCGSLKLDIDYPFSANGVDRPDYCSYPGYRLICNPDNKLMIYMNSTAFQVTGIDYGNKFLAVIDQTQPQETCPDRYHNTTIDESRFMYTDRDQFLTVYVNCSAKSSSLPLIYDLVSCISGGSSYYRLHKNKDDSLESDILGSCSSTIVVPYNSTMAGSLAAENSSLVDVIRGGFTARWKVGLGWCSDCKASGGHCGFNGSFPDQYTCYCPYGQAIGSCSSSGTPMYLLTKLEGKSRLVLRLFFS >KN541844.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541844.1:14946:22032:1 gene:KN541844.1_FG002 transcript:KN541844.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYGLALLGSHASHLLLLLVLLLWFIASDGEGATAAGGTYAAAICASSIFCGDHVEIKYPFYLSNTTGASNCGYPGLSIICEGGGNRAILRLGNYNYAVLEINHGNHTVTLGRRDTAPSFVAAQPDVAGETEWLGLCKEVVMVPVLKDWLMNEKYYGKLGDDGYGAVLKRGFQLSWDPTAGMCHECEVSRGRCSFGTKNEFLGCLCSNGHVSNTDCVPPSVQESGAFFRYTNCTPASYQCGSLKFDVDYPFSANGIDRPDYCSYPGYRLFCTTEKMLVIYMNSTAFQVTDIDYGNKLLALTDQTQPQETCPDRYHNTTIDESKFMYTDRDQFLTVYINCSANFSSLPVIYDLLSCISGGSSYYRMHKNKDDSLGSDFLGSCSSSTVVPYKSTMAGSLAAGNSSLVDVIRGGFTARWKVGLVFLISIHVTALMVKLLDHVLHQDRPRENWESQSQQKFWHGLLSWMGKSKEEPNIESFLQKHEAQHPKRYSYSEVKTMTKSFSHKLGQGGFGTVYMGKMPNGKPIAVKLLRSCKDDGQEFMNEVASISRTSHVNVVTLLGYCIQGSKRALIYEYMPNGSLERFAFRPNSETEDSLSWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCKQKESIISIDGARGTIGYIAPEVFSKQFGDASSKSDVYSYGMMILEMVGARKNINASADVSSKYFPQWIYEHLEEYCVTASEMRLDTSVLVRKMIIIGLWCIQLLPNNRPSMTRVVEMLQSSADDLQIPPQSFLS >KN542139.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542139.1:2537:4791:-1 gene:KN542139.1_FG001 transcript:KN542139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMVRTVFLLNSWVIRALVVFSFAAHVTIIFLAGVRRRRAIGLPITILWAANQLGRSKHDNDEEALLVAHGLLDITKGAFVDSSVNEHQISVYAARRREIFPKSGWGMMYKVIDMELSLMYDILYTKAVMVHTWHGYAMRAASPFATSMAFILFWFDSKQGQRMTDVLITYVLLGGTVLLDIRWLLRVVASTWTYSFLNDRPHLWVHHAFLCSGKWRLLRRLIVSLDPSLILAKEPSSYRKWSGKIGQYNLLHECTRDKDKRTKDYLSYVVEKVASEDIWMEYEYHNSRGIHISKDFKKKLLDCIWDYMYLAYPVEDVEEKKEEEEKKKGTAEKKPDPPMMPAEHHNVENIRKLEEALDFLPEFQESILIMHIATNIVFMYTESEQNAASSKSKDNVEVIKALSDYMMFLVAVRPTMLPGLKLRSLYEATEDALAKIWSKGLYNALSVSIVLSDGIKLADHLLQWLHRNYWVKFPKSEYSYEAKFAQMFPKLRKILNGRSMYDHPDKWSQLLEHIFLEWVRLLINASVKCTRDSHAKQLSRGGELTTIVWILVEHAGVFRVDRQKR >KN542139.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542139.1:9241:10572:1 gene:KN542139.1_FG002 transcript:KN542139.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKQVKLKVRGASETEVKNVLRQEFKESIDYRNYSKNPESSSLKVEVRGTVDVGKLYERLKKMASSVKIESVIPDDVKEEIERYKKDLERMKRQKEDLELKLREKREEKKALQADKTAAEEEQKRLKRDKENLNLKVDTKRKENRRLEEENNKLQRKIKDLEQKHKGGTSIEYHGVEVHQKMNHMHQEVHMHEVVRKLKISDNDHGNANGRGHEQLLLQFGHGRN >KN539495.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539495.1:49129:52749:-1 gene:KN539495.1_FG001 transcript:KN539495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREKKRNQRRVLARRSAAPRSGEGKDFLPLEERPGKKRVREEQPEEPENTSTVLYIGHIPHGFYEEQMQGKANNKFPKCLGLSHLSFFQQFGTVKRLRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIAIVPPEKIHPKLWKGVRRGFIPIDRVAIERRRLNKDKTIEEHKKLVDKIVKRDEKRRKRIKAAGIDYECPPLCS >KN539495.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539495.1:89726:90601:-1 gene:KN539495.1_FG002 transcript:KN539495.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCCGLWSFANDAPPRPFDRGDVYQQVEVVQLSRRGGFRAVAVAPDGVPPGYLRRKGWKVHTSASTSYDLADAAHGTDWPLRRRMPDLESFDVGVGGSTPVVVGRWYCPFMFIKDGGEQRLKDQVKRCMFYKMTLEQSWEEIYSCDNTHRGSISSRLDEVEVSVTVRRSTALLGGAGAVQGGAPQVFDGVMWFLPTASPAAAAGGGGGLGLDMVVWEKMEWELEKGGWVAGNGDDVERIKRVERHDGLGGHWDKFGCYLLVERFVLTRMDGSVALTYEFRHTDKITTKWE >KN539495.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539495.1:26085:27993:1 gene:KN539495.1_FG003 transcript:KN539495.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPRPALLLLLVAVLLLSHIALCSSAAAAGGKPKGKGAGGRKALLADDGAEEEVVPPPVKKAKGAAAAAGKIKKKVGVDAKNQTKVAKGKKSEPAGAVKATKKSSSAAAAAKASADAAVVKAKVPKVDKAATAKSKGTDTAKPAKVAKAGSAKAVKPVKTAKSESGVAAKAKKPSNSTVDGGAKPAKSSKKAAQAVVDGEASGGKVNATASSEAAEVEEDVVFAEAAEGTDDLISEFKGLPARLQETLMPDLARLSHSSKLKLMEKEEWV >KN539495.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539495.1:61246:61762:-1 gene:KN539495.1_FG004 transcript:KN539495.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESVPAASETSADTTAYSVAKPKPAFYRRPHTNGLERHPDPHDAPERQV >KN539495.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539495.1:71929:73073:1 gene:KN539495.1_FG005 transcript:KN539495.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATFYEVSLEQRWEAAAHGHGDGYAGKLDSKRVLIGGSVEGRQEGGGRHGDDGLYLSNAQLRIFRLNPSKTIKRKKKKKKKKKLRFVMIFFYQLCETVEKMYLLWFVNHLALSFRLVKNHI >KN539495.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539495.1:12528:16612:-1 gene:KN539495.1_FG006 transcript:KN539495.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAKLSLEQSFRLQRRRRARHTSSAQAHPPSDSPPPRISGGASTGGGEQAEKAGMPNLLLPPRKLERPVSAASSSSLECSTCKKFYPNRGDYWDMTVAVGSTEYSESTTVTTEVFRTPLVSFLYERGWRQNFIWSGFPGLERERDMINIYAQMFTQPFSLRQARKVLFASKLGLAQSDFSENMLKQCNEYVKQENISDKYGPQFPNHQHLTLALVRADISRLPFVSGSIDAVHAAAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADILPPAVPVLRIGRPILAYLS >KN539495.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539495.1:99592:100797:-1 gene:KN539495.1_FG007 transcript:KN539495.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRPLSRYLDNPEAAAEPLPEGPGSGFLIVEDEAAVERATVCCGLCRDPKVHTLPFPQSRRLDVGEDDIVLFVPVVGEPLSAGRYYVVKAIGHHAGKVLACSREEDKTRILFFSFVDDAPPRPFHHGDIYQQVEVVAVAQASHWLRGFKAVAVAPDGIPPSLLRRKGWEVSKAMRTSYDGLNDDAHGIDWPLRRQMPDLDGFGIGAGGSPATVVGKWYCPFMFIRDGEQRLKDQVKRCRFYEMTLEQSWEEIYRCDNTHRGSISGKPPDEIKVNVTVRRSTALLGGTGAVVQEGGPQVVDGVMWFRPAAPPTNSGVAGGVGLDMVVWEKMKWELERGGWVAGNGDVESIERVERGEAAGQWDKFGCYLLLENFVLRRMDGSVALTCGFRHTSKITTKWV >KN539495.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539495.1:66098:69769:-1 gene:KN539495.1_FG008 transcript:KN539495.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAVDDTEPGPAPSRPVDRFGFIKPEQGTSPDGISKSKFIHERERESGYWLTVHYFTNLTADIFLGNHRDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSSRLEELEKEYQKKLEGSNASTSTKRSHPLKLKTMSRIGSRALSNFTNSEK >KN539495.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539495.1:34948:38423:-1 gene:KN539495.1_FG009 transcript:KN539495.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTAIALMGVTNSLLGKLSVLLGREYSKLRGVQAGITSLRDELIIMKAALEDLSQLEDCNSQVKLWIHQLRELSYDIEDCIDIFLHSLDHGSVSDGLINKIISWLRTLKVYRHTGKQITALKERAVEVNDRRKRLKLDVDILTSKAVAIDPRLPALFEEADRLVGIDGPRDELVEWLTKGNGFAQNRKVISIVGFGGLGKTTLACQVYQMIKSQFDCTAFVSVSRNPNINKILRDILSEVLYTSNLMSDYQKDHFWRIKENLNQPLEDHQLINMIKEYLKTNRYFIVIDDIWSKSAWQVIQCAFPYNSNASRIMTTTRIQDIAQSCCFTHEDYIYDIKPLGSDDSRKLFLKRIFGNEDNHPTELKEVTDEILRKCSGLPLAIINIASLLSTKPVTKHEWKKVWNSIGSMLKQNQDLEIVKRILFLSYYDLPHQLKLCLLHISVFPEDHVIKRERLIWRWIAEGLITEEQGLNLEEVGEKYFNELVNRNMVQPVDIDYTGRAKACRVHDIMLDLIICLSIEENFITIIDDQKSMLSTNKVRRLSLQTNHEKTNIWLGTNRFSQVRSFSVFGDLKQMPPFFDLQVLRVLDLEDCSSLKDGDIENIASLFQLRYLSLRNCHISRVPAQIGKLQLLQTLDLRGTRIKELPETITQLQQLVRLLLGRFGVKMPNGISNMRSLEELVVLDGSKNSVDVVVELGNLTNLKVFSIYWHPNGEIHDEGSYTKSIISSLCKIGEHNLRSLHITHGYSLLLDFLVDSWYPPPCHLEMFRMVSHFYFPRLPNWMSSLSELTFLDINVKQFGVEDMKILQNMPALLSLKLYLEESPQETLVISCCGFQSLKLFYFYPVNGELGLMFRKNKKDGLGLMFEEGATPKLQRLEFRYCAHDAMSAYGVDFDFGIKQLTSLKHLGVSIHCRGARNWEVEAAESAIRNAVYLLPNHPTLEMYRLSEHEIVKDEEEWDDDTGYDGDEHSGSTRWDQTNEYFQQQLS >KN539495.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539495.1:2537:7968:-1 gene:KN539495.1_FG010 transcript:KN539495.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSIFVVLSFLFFSNAAARSLVLSNEDDLALERELMMLNKPYVKSFKDSYGVIFDCVDIYRQPAFDHPLLKNHKLQYSYFASCGRDLQQKIIASKIFAKQKITRREEGSKFQATGEVLEVYPVNVQQGQSSSAQILLVDDSSNAVDPDREGDTQTRLVTYWTADDYQKTGCMNMLCPGFVLLSRTTTPGMVLTTGSIPLNMTKDVQTGNWQVVVGDEVVGYFPKEIINGMSGGTEVQMGGIVYAPPGQKSPPMGNGIQPVHGGNYRAARFTWVAAQGARIANWTVARDVADTNVYDATVTSSSGTGPEGVVFEYGGPGGQP >KN539495.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539495.1:80639:84326:-1 gene:KN539495.1_FG011 transcript:KN539495.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVSSSKTTTARDYSTAILECAKKKSPNRLMADDAEGGVAVDNSTVTLSEATMEELGIFRGDLVTLRGRRRREAVCYAQKDESCPDGRLRLSRGVRSNLHVRLGDLVTVKPCPTIRNAKRVQLRPFDDSVEGISGDLFEPYLKPYFMDALRPVKKGDRFLVRGHMHAVEFKVMDTEPNNEPVIVAGDTEIFCDEGDPTLGVRPPKGILLYGPPGTGKTLLARAIAAESGAHFVVVNGPEIMSGMPGESEANLRAVFAEADAAAPSIVFMDEIDSIAPSREKASGEVERRVVSQLLTLMDGLRPRAQLDIGVPDELGRLEILRIHTKNMPLSDDVDLERVGKDTHGFVGSDLASLCSEAAMQCIREKLDIIDIESDTIDVEILNSLTVTMDHLKFAMEVTKPSALRETGIVEVPKVSWDDIGGLGEVKRELQETVQYPVEHPEMFDLFGMSPSRGVLFYGPPGCGKTMMAKAIAKECKANFISIKGPELLTMWFGESEGNVRNLFDKARQSAPCILFFDELDSIAVKRGNSVGDAGGTPDRVLNQLLTEMDGINAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDASSRLEIFRSNLRKAPMSRHVDLPAMAASTDGFSGADIKEICQRACKLAVREVVQKSTLVGKALAMAGAELTVDHFKSAMKHSRKSVSELDVIKYEYFKHKFSGGIPDEEEAPATEPELPVGQLRLVAKPKTKTKAELEAEAEAAAKAKAEAEAKAKAKLKGKAVAVDDDDDDSTYSMDSMDEDLLY >KN539495.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539495.1:42902:46164:-1 gene:KN539495.1_FG012 transcript:KN539495.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATASALIGVMNPLLTKLSGLLEREYGKLKGVGREVALLRDELSSMNTALEAVSDSEEEPSSQVKEWMRQLRELSYDVEDCIDVFVHRLGHHDPSDGLFRRTKRRLKALRSRHCIAGQIAELKDRAVLVNDWRKRYELDAAASSSAAIAIDSRLPALFEEMDRLVGIEGPRDELVEFLTGGIDLAPQRRVVSIVGFGGLGKTTLANQVYQHIKSQFDCTAFVSVSRNPNVNKILANMLIGILETRKLSSVHQKQHSDTIEDLKHKTFEDCKLISMIRENLQNSRYFIVIDDIWDKAAWRDHLRFAFPENNSASRIITTTRINDVAIACHFSHEDFVYVMKPLSSENSEALFFRRIFSSKEKCPPELEEVADDILKKCDGLPLAIVSIASLLSCKPVTKQEWVWVLNSFGSTVMKDQGSHELAVVKRILFLSYSDLPHHLKSCLLYLSIFPEDHTITRDFLISRWIAEGFITEQRGESLEEVGEKYFNELINRNMVQSFEIDPFSRREAYRMHDIMLDLMISLSTEENFATILDELPNGIGNMEALQVLSVFDGTENSSAIIQELGNLTKLKDLDVYWNCDDTESGHEILPTWMSSLSELTCLRIHMKKVGEEDLQVLKCLPALLRLDLYPGYPKHTLKVSCSGFSCLKEFTYGPSYVDLALILRHQSTIRNGLGMGVMFEAGAMPKLQQLEFGFNAHDMVSAYGAGLDFGIQLLASLRHVLIFIDCRDASDCEKEAALAATTNSVSLRGSYHVEIRRILRNVENDEQS >AMDW01060579.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060579.1:81:553:1 gene:AMDW01060579.1_FG001 transcript:AMDW01060579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CLPITIDVGTNNESLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQ >AMDW01011418.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011418.1:1:171:1 gene:AMDW01011418.1_FG001 transcript:AMDW01011418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLHSGGKSWATSYCGHLKMKKLDAGWSEFAVDNRLLVGDACVFELIAMGAAGGLEFQ >KN541868.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541868.1:8864:10414:-1 gene:KN541868.1_FG001 transcript:KN541868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGSLGTLYKVLESSIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGAISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >KN541868.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541868.1:20904:22138:-1 gene:KN541868.1_FG002 transcript:KN541868.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEKAAERGGYGGERYEKVEFQKKVGEHYHSLRDSTWKVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >KN543970.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543970.1:186:2944:1 gene:KN543970.1_FG001 transcript:KN543970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKTTRAGGSESSGHHWAGYSCSAGEASSPRLPLRHRPPPRDFRRYIIFGCPYRHPKKPKAPAKDKVQEKVPQQTMIASWGMANYVEYNQVKNIFQEIGEIVGIYFSSTRHLAVVDFSTEQAAESALYHFMGYHLMGRPLKLAWFDPKDFAVLRDIPTRGERMPNYLMQTIRHALEEIFANVHMKKLVTPVNLDGTSTGKAYIRYDVASSYNGALHCDGVSEIGGRILRVLEWPDFSWSKKRRIGRAGCDKDDAGLAVPDQDDTPKWHTPSTGKRTLFDDGCGDEAGVTM >KN541868.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541868.1:17863:20148:1 gene:KN541868.1_FG003 transcript:KN541868.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6-2 [Source:Projected from Arabidopsis thaliana (AT3G55620) UniProtKB/Swiss-Prot;Acc:Q9M060] MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCVACNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAITNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >KN541615.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541615.1:13944:15997:1 gene:KN541615.1_FG001 transcript:KN541615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLLLAAALPLLFLSRADGGEVGVCYGRDGNNLIDPPSVVSLLKAKGITMVRIYDADPTVLNALANQNIKVMVAMSNRDLAAGAAKDFNSALSWVKNYVLPYYRSSQINGVAVGNEVFQQAPDLTSQLVSAMRNVQAALARLGLADAIKVSTPISFDSVKVSFPPSAGVFQDNIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSNGQISRDYAVFGPNASPVVDQASGITYHNLFDAQLDAVYFAIDHVSGGSVRVSMAQARRGRPSPRIPVKCTECGHPSGGRLPQLSTLDDVQVDVATKANAQAFNNGLISRALFGATGMPDVSVYIFALFNENLKGGASVEQNFGLFYPDGTEVYQVDFHNGGGGNVCPTKASWCVANSAVGSTRLQAALDWACSNGADCGAIQPGKTCFAPNTLVAHASYAFNDYYQRKSQASGTCDFSGAAFIVYKPSPSICDPNPSWCIAKPEVGDTRLQNALDYACGSCADCSAIQRGAQCFDPDTKVAHATYAFNDYYQTAGRASGSCDFNGAATIVTQQPKIGNCVLPPNNS >KN541615.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541615.1:23556:25471:-1 gene:KN541615.1_FG002 transcript:KN541615.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FAFVRFKRRTKAVEADHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKGVLSDGQEVAVKKLLGTGGHGLDQLHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIKNGSLDNLLFDINRGNALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRRNCNSDDHDLVNLLSDVWNCWTKGTVSQMIDQSLHGYSQSQALRCIHIALLCVQSDHNDRPQISSVIFMLTRENMELQPPAQPAFFFGGGSASSSPSFGQRSYVYDRCGFDNISVNGVTLTEPYPR >AMDW01039985.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039985.1:7:375:1 gene:AMDW01039985.1_FG001 transcript:AMDW01039985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLNHSKWLDLSMIFVLLFAYRFIFFLVLKAKEAAAPYIRVAYTRFTIKRLERRASFRKTLAMSSMSKRHNQPPHPMAVQEGLNSPMPY >KN543208.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543208.1:4951:5304:-1 gene:KN543208.1_FG001 transcript:KN543208.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSAKSLELITNVAISEVEVKEKGGKDWVALKESSSNTWTLKSESPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >KN540453.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540453.1:6196:9254:-1 gene:KN540453.1_FG001 transcript:KN540453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELVMGAMENLIPKLGKLLKEEYVMQSGVREKIQSVSRELESIHAALRKIGQVPWEQLDDEVRLWACDAREASYDMEDIIDSFLVRIDGHEASEAHWFKRFLEKMTNQFNKIKASHEIGVAIKEIDEKLQEVATRHARYTIDNIAIKPAGPATVDPRLLSMYKKSAELVGIEGPMDELMKMLDIDLPTKKRKIEIDVSVRKPKMVSIFGFGGLGKTTLAKAVYDKLKPSFDSGAFIPVGQNPNIRKVFRDILMDLDKQSYNDLNLKLLDERQLINKLQEFLQKNRCFVVIDDIWDKDSWRLIRCALQDSNHESRVVTTTRIYEVATQVGEVYKMQPLSHDESKKLLYTRIISGEGESLPSTSVEACDKILKKCGGVPLAIITIASLLANKPREYWSEVYNSIGLEHGYNDDVDNTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEKQAAKLGLFETGEGYFNELINRSMIQPVEHEHSGYIDGCRVHDMVLDLILLLSGEENFVTVVDGSKEHELSWNNARRLALQHFSFEENRNQLANMGVKQIRSLIMTECFDMNMQLSSFQVLRVLEIQKQGSSNIDGKINLQHVRNLLHLRFLSLEYIDSISLIEQVRNLRFLRVLHLKYSNIQELPESVGLLTKLLFLRVDANVRVPPGVIEKLTSLQELYLDIYRGDTFQFVKVLGKLRELRVLHVTNLKLDGQGETSALLESLCNLHKIQTLDIGHKMQPLDIGHDFDLDEGVTWDAGFTSPQCLRYLCMTPLRFQRMPEWINLSLLPNLSYLELGVSFLEEPDLETLGRLPKLRDLLLFIRCDRIVSIGKIAGAGDACFRELRFFSTPNLCVRFDQHAIMCSKKKAVMPNVKTLNFCVYVRILKDADILGFDKLFSFAHLGRSSLQQVHVNINCRGARAMEV >KN540453.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540453.1:40620:42837:-1 gene:KN540453.1_FG002 transcript:KN540453.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHRCFIVIDDIRDKRSWELIRCALQDSDCRSRVVATTRVFEVATHENFVTILDESGKQQKLPRSNARRLALHQKIFEEHDGDQLTNMRVEHLRSLLVSGCEEFFGLPLWIDSSLLNLTYLFLQVHILKEQEMETLGRLPELSYLKLYSHRTKIFLKDANNLASFDKLLSFENLERALLRRVQAQIYCEDAHVMDVEEAESALAQAVAIHPLHPSLRTTRQSEDGIRWT >KN540453.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540453.1:35866:36600:-1 gene:KN540453.1_FG003 transcript:KN540453.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGQRLSVVPTVTTLGMTSFMTLDAAIKATNRRVNALENVVKPRLENTMAYIRGELDEQEREEFFRLKKIQGYKQRELERQVDAAKRYAEEKVAGEVALKRGVSVAAAETMLLENGDRDDDIIF >KN539921.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539921.1:67668:70648:1 gene:KN539921.1_FG001 transcript:KN539921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNDGEVREKTDRINTVRETLRLSMSEVSKTYSKLFLVDTLGKVGISRHFSREITRILDMAYKLWLQKDEEMIMDMETCAMAFRILRMHGYDVSSDVLAHFSEESRFPDSVVQGSLNDTKAILELYKASKVECALQLPFYSSVLEPLEHKRNIEHFSTNGIQMRKSAFLPHHIAEDIIALAVAEFHSAQSLYRQELQYVDRWVKEVRLDQLKFLRILPLDVFFFLASSVLPRELSDARIAWIQNCLLTTAVDDLFDVAGSSEELQNLIALFEKWDAHNEIGFCSEDVETVFYAVYNTSNKIGERAAEVQNRSVISHIAQLWLDTARAMMKEAEWSREGHVPSMEEYMPVAEVSFALGPIVPTSLYLMGPELLPEEVVRGPEYGGLMRLTNVCCRLLNDMASYGRESGDGKIANSVLLLHLHSASSVDMAKEEIRRTVEASKRELLRLVITAGGGGGVPRPCKDLFWNMCKVANLTYLQANGYCSLEEMLGAASAVVHDPLNV >KN539921.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539921.1:59069:59446:-1 gene:KN539921.1_FG002 transcript:KN539921.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIWFAGGGMAAEHGDSVGRRILVGLHMDGVRKELLQWALNQAARSGETASSPCTIYRKSGSCLAPVFRNLQELIPSHIALEVLKGKYGPEADIWSICAPCSTSSSLACRHSGPSRRTPSSPPF >KN539921.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539921.1:25969:27468:-1 gene:KN539921.1_FG003 transcript:KN539921.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQVWVLWGALSVAVLFYLSTLRRRYAGGKPLPPGPTPLPLIGNLHLAGGTFHHKLRDLARVHGPVMTLKLGLTTNVVISSREAAIEAYTKYPRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIQGSHVFTPRGLAAVRPIRERKVGDLIAYLRAHAGEEVLFGQAMYTGLLNLVSFSYFSIDIVDMGSQMARDLREVVDDIISVVGKPNISDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDGKPRHDDFLDSLLELMATGKMERVNVVNMLFEAFVAGVDTMALTLEWVMAELLHNPAIMAKVRAELSDVLGDKEAVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAAEDGVEVGGYAVPRGSTVLFNAWAIMRDPAAWERPDEFVPERFLGRSPPLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWELPGGMTAEDVDVSEKFKSANVLAVPLKAVPVLIE >KN539921.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539921.1:3525:8349:-1 gene:KN539921.1_FG004 transcript:KN539921.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGSSQNPSFPQCVHWILENQQDDGSWTIDGSKSTANKDALSSTLACVLALNKWNVGREHIRRGLSFIGRNFSIAMDDQAVAPIGFGITFPAMLTLANGSGLEVPVRQNDIDSLNHLREMKIQREAGNHSRGRKAYMAYLAEGFGNLLEWDEIMMFQRKNGSLFNCPSSTAGALANYHDDKALQYLQSLVNKFDGVVPTLYPLNIYCQLSMVDALENMGISQYFASEIKSILDMTYSSWLGRDEEIMLDVTTCAMAFRLLRMNGYDVSSDELSHVAEASGFRDSLQGYLNDRKSVLELYKTSKHSISENDLILDSIGSWSGSLLKEMLCSNGIQGTPGREEIEFALKYPFYSTLERLVHRKNIVLFDAKGSQMLKTECMPVHDSQDFLALAVDDFCISQSNYQNELNYLESWVKDNRLDQLHFARQKITYCYLSGAATTFRPEMGYARTSWARTAWLTAVIDDLFDVGGLEQEQENLLALMEKWEEPGEDEYYSEDVKIVFQALYNTVNEIGAKASALQGHDVTKYLVDVWLHVVRCMKVEAEWQRSQHLPTFEEYMESGMVSLGQGATVMSALFLIGEKLPEGVVELEEYDEMFRLMGTCGRLLNDIRGIEREESDGKMTNGVSLLVHASGGSMSVDEAKTEVMKRIDASRRKLLSLVVGEQEGPIPRPCKQLFWKMCKILHLFYYQTDGFSSPKEMVSAVDAVIKEPLQLRSL >KN539921.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539921.1:17377:19193:-1 gene:KN539921.1_FG005 transcript:KN539921.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVECARDAVLRRRELAREERLRGIEYLHSTKANKAALVHQNICTDKILMDHLFVPHFSGAGEHKLVADDVVFSTLKDNAAMGYLAPEYTTTGRFTDRSDVYAFGVVVFQWSHHSKYQAKSLIINYGPLK >KN539921.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539921.1:62629:63540:-1 gene:KN539921.1_FG006 transcript:KN539921.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSEEAHGLRELVEDLVEAIAKPNVSDLFPFLRQLDLQGLRRRTEERMARAFGILDGIIDRRLANRTHGDRHGDFLDALLDLVSEGKMARDHVTIMLFEVFGAGSDTMSVSLEWAMAELLRNPRAMRKARAELEDAAAVVEESVAARLPYLQAVVKEAMRLHPVGPILLPHRAVEDGVEIGGYAVPRGAMVIFNAWAIMRDPAAWERPDEFVPERFMETTTAIDFRGKEYEYLPFGSGRRLCPGLPLAERVVPFVLGSLLRAFEWRLPDGVSAEDLDVSERFNTANVLAVPLKVVPVIVN >KN539921.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539921.1:10434:11273:-1 gene:KN539921.1_FG007 transcript:KN539921.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAKQPSSRAPPPGITGGRNVLRILSPAAAAAAAAVGGLEMKTPEAGGIAEILQATIL >KN539921.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539921.1:47861:49363:-1 gene:KN539921.1_FG008 transcript:KN539921.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQMWLLWGALSVAVLFYLSTLRRRYAGGKTLPPGPTPLPLIGNLHMVGGGTFHHKLRDLARVHGPVMTLKLGLATNVVVSSREAAIEAFTKYDRHLAARATPDTFRSCGFADRSMVFIPSSDPRWKALRGIHASHVFTPRVLAAVRPIRERKVSDLIAYLRAHAGEEVLVGHAMYTGILNMVSFSYFSIDIVDMGSQMARDLREVVDDIILVVGKPNISDFYPFLRALDLQGLRRWTTKRFERMFSILGDIVDRRLAHIRDNKERHDDFLDSLLELMAAGKIDRVNVLNMLFEAFVAGADTMANTLEWVMAELLKNPGVMAKARAELKDVVGSKETVEETDVARLPYLMAVLKEVMRLHPVGALLLPHFAMEDGVEIGGYAVPKGSTVIFNAWAIMRDPAAWERPEEFVPERFLQRTPQLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWQLPGGMSAKELDMTEKFHTANVLAVPLKAVPVLIK >AMDW01040914.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040914.1:2215:3727:1 gene:AMDW01040914.1_FG001 transcript:AMDW01040914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHNFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGVMIAVVGLAVFLFLPVGPEMIGIEEDLHEKDAEKDDMSAPLLEERSASKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGVYLSDTAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFLYRIYGSFSIYSNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVMAEISAKMESRRPDAAASDLPVSSMEQS >KN541231.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541231.1:6113:8873:-1 gene:KN541231.1_FG001 transcript:KN541231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVVNQHRFAGVGAAGGGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAAAHRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKAETETESSYSMENEQEAVIAMARSAAAIKAGYSGTSYSAVALFGVCISVDASKTSLTDPQKLTAWR >KN541231.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541231.1:29789:31936:-1 gene:KN541231.1_FG002 transcript:KN541231.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding NARIVLDDFEVILHSPDSIAPVGILHPLKFHLEHKPNSSGTIGDSAIAQENSSCLYEVDGAIAHSDVEKKQETLIPVTIGDACTNIDLCISKTGKKLFSIGCWIQDPCGTSEGLKTDLVAVLSRIENQLKEEGLGWMNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTVELPLVQVGLGNAYVEVLVTNEQVKKVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELELALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHTLRSSYITSLDCSNTGSYPTILYVFASDLPKGAYVEIKPILYVPSPTNDDGVSTRELEAGGSWPASSEAFSAWSAQYSDLDDSCCQVHTIGGKFCSAVVSVTNDIALKICSTTEQLCHSEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSVEHPVTADVMSRVFSEAFAELEEGGVGSCTPDGVPIFNIVPVSASGCSTSLSDIISCELLASKI >KN541231.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541231.1:24871:28618:-1 gene:KN541231.1_FG003 transcript:KN541231.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMMFMACCYNDPDMLIDPDTVYPIRPECREDAAKTRFKPRPGLTLSPRRWKLLHNEEGVLDIAGMIKRVQRGGTHPNIKGEVWEFLLGCYDPKSNTEQKNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIQDPNSADAEQQASDTPLTKEVIQWKLTLHQIGLDVNRTDRQLVYYESQENLARLWDILAVYSWVDKDIGYCQDTERLILYMLTLQRGNFVSSSTSIGVRSQLTILSSVMKAVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPGLFSMLESDSSTSKENTKDENALKQCGKFEQKNLQAAKKEEQIPLSVFIVASVIEARNKQILTDAKGLDDVVKILNDITGSLDAKKACRGALKIHERYLTTVKAS >KN541231.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541231.1:20713:23290:-1 gene:KN541231.1_FG004 transcript:KN541231.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDPSVKEPEMQIGNPTDVKHVAHIGWDNASVTAPSWVIAAALLLPSDRAISHVSNGSDHLVLVS >KN540534.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540534.1:7319:10276:1 gene:KN540534.1_FG001 transcript:KN540534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRAITYAVVDAFTDEPFKGNTAAVCLLEESWEEQLDEQWMQSVAAEFNTSITAFLVRADADAANPQFHIRWFTPVRESELCGHGTLAAAHYLISSGLVKCNAIDFLAKSGFLTAKKVVGLKQSSTSISPLQEACTKFLIELDFPLIPVVKCSPLEMPSIPETLNGASVSNVLKTVSDSAADLIVELNSSEEVVNVRPNIAELVQCAGRGVAVTGPAPVGSSYDFFSRFFCPKYGLNEDPVCGSVHCALAPYWGKQLGKQYMTAFMASPRSGTLYLQWDEAAQRVRIRGEAVTIMVGNILV >KN540534.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540534.1:42604:43063:1 gene:KN540534.1_FG002 transcript:KN540534.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAAYVLHALVGTAEGRAAAVAEGGVPVLVEMVEGGTPRHKEMATLCLLHVCEDSAAYRTMVAREGAIPPLVALSHSSDARPKLRAKVRRGVGRVAAAAEERQPVAGAAVGGGFKVAGRSL >KN540534.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540534.1:30381:34277:1 gene:KN540534.1_FG003 transcript:KN540534.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g43980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G43980) UniProtKB/Swiss-Prot;Acc:Q9LP03] MPPPPVPTISTLSALLASCASLSTAAALHAHLLKSSRLFRPVFLANCLAAAYCRLGAAPSAVAVLRHAPEPNIFSRNILLGAMLKSRDLLSARRLFDEMPDRDAVAYNSMMSGYIDGGRNNEALSLVWTMLEAGVRPSGFTFSIILSAVRVARHGVQVHATALRHCFAHQNSVVGNTLINMYRRVGLLEYAVQVFWSMNGHDIVSWNSVMSVYRDDGQRRQVFECFRMIRSHGLFFDECSLSTVLSACIDAEDSSKGDQLLTHCVKMGLLRNSLICSAVIGLLCASDRLADAVYLFKGMATWDSETCNAMISGYARSGLMEQALGLFTMALQNGILPTGFTFASVLRWSSCFGLVEQGTQIHALIFKLGLEDDLIIATALVDMYCKLASLKHAKKIFSRVSSKDLVLWNTMIIGLSHNGRGKEALQVFRQMLKCNIQPDRITLSGVLSACSFEGLVNEGIKMVSLFEDKYHIVPGVEHYTCVVDMLSHAGMLGEAVDFVESKLQKCIVAALSNVLEASLIKRDFRMAELIAEKMTKLKPRSSLPYVVLAQSYGARYMLLAVLNLYHKKSPITRRHQLVIINCSVIIRHEDLAIPTVELQIQDVAKPQVQYRASSSFQFPCAIA >KN547929.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547929.1:2:199:1 gene:KN547929.1_FG001 transcript:KN547929.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RDGKEYCASIGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRRLFIETTG >KN542145.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542145.1:1372:12026:1 gene:KN542145.1_FG001 transcript:KN542145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELRDGAAAADGDGAGAAATDGGGWRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGLQNYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKNAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDMSMNRRIRSSTAQDSDSE >KN542597.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542597.1:44:363:1 gene:KN542597.1_FG001 transcript:KN542597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDKEPIYLNCNYIPLKNQPHIAKVKRWYLEMKSKKKINEASSNEGVGQKQCYLDTRVSVSTRVRCGKTQL >KN542597.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542597.1:11855:13866:-1 gene:KN542597.1_FG002 transcript:KN542597.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FGRNSAEITPKENSTVALHQDRHALCDQTRFKQFDNVQFEDCVVNRAFSVIVMVDAKFHSEAHGETQRFILMDATPNYWKLNFRAIKRQYECFFTRNTIVESYNQPLHFPIYPKQLTEFSELSAYYNKMFVGPIQRVSNRLYREVTLMDMRCQLVVIGVYANHLTTHVLQWASAFANNHVVVGTMLQLDRTYYFRRFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFKKNIKHA >KN539759.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539759.1:49374:49823:-1 gene:KN539759.1_FG001 transcript:KN539759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVILSLLALAASSASAQFDACTYGQCQQQPFMQPIMNPCNEFVRQQCSPVSLPWEQSRRLQLSSCQVMRQQCCQQMRLMVQQYRCQAICTMVQSIMQQVQFDAGFVGEPQAQAQAQVALNLPSMCGVYPRYCSTPCNVATGHCGSW >AMDW01036960.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036960.1:180:659:-1 gene:AMDW01036960.1_FG001 transcript:AMDW01036960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLARYPSRMPPDSGGGSLGLITNKNYSSFGPDQFVSVEFDTYNNIWEQPNQTGDHMGIYINTVTYSTNTKNVSSFSPNETMMKASITFDSKTSMLVASLQYTGNYSNYAPVNVSAKLPDPTTLLPSEVAVGFSAGTGAAFELHQIHSWSFNSTIAAP >KN542597.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542597.1:1521:5205:-1 gene:KN542597.1_FG003 transcript:KN542597.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEKKKARARDGDGDRDAAERRRKGKAPCLGDWEAVAIRDTDGEECGHFSFDMDEIADIEMGMAARDDPMCEHETCLATGSNLTMVCPECGWCFCVGGLAHRAKPLGHIREHAYRRAHWVALRCDDPCEGYCFECEDSLAIESQMVADDGAGGGEEGYGCVVTGMPNLGNTCYLNALLQCLLVLGKLRARILGPGAPSGVLGDLLHDLFVDTNDSEEVFHDLSMPLPPKGTPAKSVASPPQNGRCVSQQKTHMELFPAINKTNTEKIHAISEGGDAQVPASESEHMVMVKTSEPLEVALLVNLSTWSMKKISFKQSNVEAPTRGENALIASGHDVERTVSAVLDSIKPEDSIEAKMDTLSAEGATEDKGKDRNRDVVYDKADDINSLASIEEILELHLKAEMIEKRCENCSNADQKASPISGKHGEQPVACTNVNGTVDGDQDEQDQGRGKQVNMGHSAHQVEENQYDWPDRNKGAIKTCLFSKLPPVLALHLKRNLWPLKLKVSGHVSFKETLDVKLFMHPSSEDKDNSSYRLVGVVEHLGLCMDAGHFVAYVRPSCPQQTNGSSLWFCASDADIREVSLEEVLKCEAYLLFYERIEG >KN539759.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539759.1:11234:14851:-1 gene:KN539759.1_FG002 transcript:KN539759.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMGLYSYFSVKEGKKKATNDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >KN539759.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539759.1:54573:54905:-1 gene:KN539759.1_FG003 transcript:KN539759.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRDTFRCFGLFLAMEAVDEEEEEEGSPSPAASVTVEYDFAARTRQQSGDEFVSMYKGCYTFAAGKSCGYRNLLGTPWASFMGDGGGDSVFFIDGVLHLRAELCVKEDA >KN539759.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539759.1:73255:74607:-1 gene:KN539759.1_FG004 transcript:KN539759.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKSELQHAHARVQELLQERRRYHYEIDSLVRQVSEDKMTQKSKDQEKVKAALRSLQEEIEDERHLRKHSESLHRKLKKELSEMKSAFVKAVKDLEKEKKATHLLENLCDEFAFGIRNYEEEVRLLKQKHIKQYEHKFDKSVVHISEAWLDERMQMQNADPKATLAERISITERLSSEIHSFLNTRRSSKPKDDKLYISNEKQDASLCRQSLESVHLHGATSAPRLAEDDDDNSVASDLHCFELSMHGHTIQNNNLIGTRQRVTSCMYSPMRRLEFSNGVPVEGSRISTMSPCSMKDKARPNGTREQLNASTPEISPCNDAKNAPRRAQDETVMTQVSQRLHDDLLKIKSEAPQHAYLGQKSNDHHSRAGQFRDQCTTSGNAYDLRSPARQLNNQHSSLDHEITEASPTHPLEGKSTTLKAKLLQARLEGQHARMRASGYSLTNTRRK >KN539759.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539759.1:6333:8351:1 gene:KN539759.1_FG005 transcript:KN539759.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYKLDRDLYAGCSLSDMYARCKKLDSARIAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGCDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYARCSDLPSTMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLHNVLSASAELGYFEMVKQVHAYAFKAGLVDDGMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGHAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIIDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYG >KN539759.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539759.1:56777:62034:-1 gene:KN539759.1_FG006 transcript:KN539759.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVEVERSYPKFESKKKWATNRNSCFPLRGIALGRPHCRLGASAVRYFRRARSRECESGKYTDLETCKVEAEECDTYEENNEEPVAMIEESPPDIGQDGEDGDSSDSSWSMECTQVLRVKSIYISSAILAAESPFFYKLFSNGMKESDQRHATLRITASDSFYLIIVCLSETEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKAFLANKYKDLTKLQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARSQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKCVTEALLYKADAPHRQRTLAADVLTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWSSFMADDSLFFIEGVLHLRAELTIKQP >KN539759.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539759.1:1888:3766:1 gene:KN539759.1_FG007 transcript:KN539759.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADARSLHAVRAALEDLEGHLHFLHMERTKLKPHRCELYASLGPQLQMGWTNIQLRQVAERDAAIARLQQSRILLATRLAEHRWKKHGVIEEALAFVDDALDKSRFVSPEDVRGTHTHSQSVENQCPKIHDSNFLVRFLSCTLAIAKNSLRFERIGGALGNTAMFALNDNHHLWTTDFVIAMFM >KN539759.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539759.1:24522:26556:-1 gene:KN539759.1_FG008 transcript:KN539759.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARGWTQKYAMG >KN539759.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539759.1:43954:44403:-1 gene:KN539759.1_FG009 transcript:KN539759.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVILSLLALAASSASAQFDACTYGQSQQQPFMQPIMNSCNEFMRLMAQQYRCQAICTMVQAIMQQVQLDASLFGVPQAQAQAQVALNLPSMCGVYPRYCNTPCIVATGRCGSW >KN541873.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541873.1:41:3973:-1 gene:KN541873.1_FG001 transcript:KN541873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor E2FC [Source:Projected from Arabidopsis thaliana (AT1G47870) UniProtKB/Swiss-Prot;Acc:Q9FV70] MANAADNEEKNERETVQSEVAKGETVQGPDKECTTGAVKGIKRPRKPKGFKKGDAGPSLFSPNNCRYDSSLGLLTKKFINLLEGAEDGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKTLKNMIRWKGFDMSKPKERERQISALKLLELNSITMTVTVPFYVPSKETMEEIESLYDEESRLDDEIMEAQEKLNALRVDEDRRKLLYVSKEDINAIPRFQGSTLIAVNAPRGTYIEVPDPNLDMDIYKDLDNQEKHYQIVFRSAMGPVDCFLISNHQETFNADQQMADNLDAAVTSGSSQAPQQMDYVQASEIGESNGVREHTSEPSKRDDPVPGIVKIVPSDDIAADYWLSSDADVSMTDTWGT >KN540006.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540006.1:40520:45438:-1 gene:KN540006.1_FG001 transcript:KN540006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMFLHEKSFAREVKILERVRHHLHVKLLGLAQGVDLHHHCISRVVHRDIKSSDILLKGDMEAYLGDFGLAKSVEAREALNLLPSREVSIHPRIRGDDETRRPPNKGDQYSIYRGSIAAKDYLFQNLFFLGSLERFLGLSQPFGRMASSSSSMKQEKNNTIIVLALAAPGSNFKPTQTRKRNRASSSKSKGASTAGPSGTTKLQESTTFVHGREELQIMEEATGTMNTMQQNQEEPAGLNQQQPAYLGLAHGGQQIPQEASGIAMPQESTVANGVEQIVEEATGVVQNQDTVNNIQQNQEEPVGLNQQQPDLGVANGPQIPEEVAAGPANPEDMNDVFEFALNNSILDL >KN541873.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541873.1:7845:13958:-1 gene:KN541873.1_FG002 transcript:KN541873.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPREAWEGCSVLLDINDGDRLAFFRLTPAASAPHPRPRVSSLPVPPKNPRPDFPLFRSCRTVKVGNRTCSLQPLVGRPFGSLFSVGPSGLVPCADAPSSRDDTTQDAADGPAQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGVSGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMASVGPYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLSSDMTTRGPCEAFEVITKVGNTHYVVEVVLKSWAIAGNILISLPFRIVQAPLSDLCSLRNSGDVSSGLNDSIQGEAQEPTAVPVENTQPSVPQPTDTAVPDEKTQSSKEQSIDIDIPEPLLDEHINQDGNSSLDSKGDEDGCSIGPKSLKAGKAPSPERMKYWSEHGFSSLIVAAPGHDVESFVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKMALGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNGDACNGSK >AMDW01007360.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007360.1:12:195:-1 gene:AMDW01007360.1_FG001 transcript:AMDW01007360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEDLDEDKNDVVEEEEDEDMDEGEDDENETNEEWEVRKNKHAFAIAENMPELRLLQIS >KN540006.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540006.1:13281:13805:-1 gene:KN540006.1_FG002 transcript:KN540006.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTSDDFFNAAKFDMPRNTMNKVGSNVTNLNVINFPGLNTLGISLARIDYAPMGVNPPHVHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDVFVFPKAMIHFQMNLDHNKPAVAQSALSSQNPGVITIASAIFGSTPPISDDVLVKAFQVEKKVIDWLKSQFSENNNY >KN540006.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540006.1:46067:47120:-1 gene:KN540006.1_FG003 transcript:KN540006.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPFLFLAALIGMASWQAIAAEPSPLQDFCVADLNSAVRVNGFTCKNPTNVSANDFFKAAMLDKPRDTTVNKVGSNITLINVMEIPGLNTLGISIVRVDYAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNKLFSKVLNKGDVFVFPKGLIHFQFNLDPHKPAVATSAISSQNPGIITIANTVFRSNPPISDDILAKAFQVDKKIIDLLQA >KN540006.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540006.1:58048:60588:-1 gene:KN540006.1_FG004 transcript:KN540006.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPDFVHQIGTVNNIQQNQEEPTWLNQQQPAYLGLAHGGPQIPLEAAGITMLQESTTANGIAQIMEEATDTDHNVQQNQEEPAGLNQQQPDLGLADGPQIPEEAAPGPADPNKDVNDVFEFALNNNILDL >KN540006.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540006.1:7956:8850:-1 gene:KN540006.1_FG005 transcript:KN540006.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLYLLAALLALASWQAIAFDPSPLQDFCVADMKSPVGVNGFPCKNPMEVNSDDFFNAAKFDMPRSTMNKVGSNVTNLNVLNFPGLNTLGISLARIDYAPLGVNPPHIHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDTFVFPKAMIHFQMNLDHNKPAVAQSSLNSQNPGVITIASAVFGSKPPISDDVLTKAFQVEKKVIDWLKSQFWESNY >KN540006.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540006.1:51889:54909:-1 gene:KN540006.1_FG006 transcript:KN540006.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGRQAREITTRSTEMASSSSMKQEKDNMIIVLALAAPGSNFKPTQTRKRNRASSSKSKGASIAGPSGTTKLQESTTFVHARELQIMEEATDFVHQTGTVNTTQQNKEDPAGLNQQQPAYLGLAHGGQEIPQEAAGIAIPQESTVANGVEQIMEEATGVVQNQDTVNNIQENQEEPVGLNQHQPDLGLANGPQIPEGVAADGRQIPEEVAAAGPANPEDMNDVFEFALNNSILDL >KN540006.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540006.1:3078:4005:-1 gene:KN540006.1_FG007 transcript:KN540006.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLCLLAALLALISWQAMASDPSPLQDFCVADMHSPVRVNGFACLNPVEVNADHFFKAAKLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPSHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >KN540006.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540006.1:24129:24957:-1 gene:KN540006.1_FG008 transcript:KN540006.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSFLLVAALLGLASWKAIASDPSPLQDFCVADLNSPVRVNGFVCKNPMNASADDFFKAAMLDKPRDTNNKVGSNVTLVNVLQLPGLNTLGISIARLDFAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNKLLSKVLNKGDVFVFPEGLIHFQFNPNPHKPAVAIAALSSQNPGVITIANAVFGSNPPISDDILMKAFQVDKKIIDLLQAQF >KN540006.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540006.1:65977:66886:1 gene:KN540006.1_FG009 transcript:KN540006.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKPLAPIYPRLVQNVADGLTFEKTKELRNMGLNSPPLMKLTRNGVYVNVVDRVREAFKTIEVLRLDCSHVGSSDCKKIGVKLRDLVPCVPLLFKDEQIILWRGNVNQEKSISSQCSSQPP >KN540006.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540006.1:36673:37501:-1 gene:KN540006.1_FG010 transcript:KN540006.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISFLLLAALIGMASWQPIAAEPSPLQDFCVADLNSAVRVNGFTCKNPTNVSADDFFKAAMLDKPRDTTVNKVGSNITLINVMEIPGLNTLGISIVRVDYAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNKLFSKALNKGDVFVFPKGLIHFQFNLDPHKPAVATSAISSQNPGIITIANTVFRSNPPISDDILAKAFQVDKKIIDLLQA >KN539718.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539718.1:52928:54960:-1 gene:KN539718.1_FG001 transcript:KN539718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRARIREIKLGKSDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENVNQAGDMSSFSHVMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >KN539718.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539718.1:27615:35068:1 gene:KN539718.1_FG002 transcript:KN539718.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTRHKDLALPEESVEGRGSGRRVEGPGHREVVAKMEMLGGDSDPTMLSTIRDKLTARKRPVPLDSPNVKTESGTCNVCCAPCSSCLHRNIALTDSNMDCGSSQTCFARSETKNSSFVRVDKGLRTKAKGGENDDEFSATSSPASYSENGENKVIARSSVAADSEVDKPAKRRRLVNHGSRSPRVECHDDSNSCVTGVSAASKLLLDKKKDKLSTSASSRDLTVNYKDNGINRLRNYCVEESTGKKRSDVHVMHRSSSDRSLPAESPFATKRLLRTQSSLSASHGLSPKRPTPAFGNAQNNLAHQPCEKASSNKTVERSLGGKSDPLVLGGERHSVMTSCGTSNRDKIKSGSLTKNLENGTSCSRNGSLEHADIQSNDAVNRNDNDKQERNQGCSMDTGSGRKLNTQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECCLKEDENQTRSNGGTSRNKVLDGKNQNSESTNNSKTLKVVVTDLDSQQITCGTPVNDPLAGSNQKLHLASSDLEARQVKCATPTAERLDVKNKNSGVMGNRKKLQIVTSGLEARQSSCRTPTSGSLDKKNQSLDKRSQSSEVLLKRKKLRVATDMESPLSNDGVRSPPKSCKRYAENTLSSTPRLLKADSPRNHDVFSRENSFKSSNKGSIKSPDNAPMRSQAVNSSVTLPRSYSLGNLANVKTSGPSPRGLLSKQPSFNNSSNEPKVKQLAEPVLSKLKPSKHSPRDPRESIRKVMKSGPLKHEASICKGSSSSKQKQSVNSSQNEQPRILKPVKPTNLLERGASFNLQKPNISSSPRPDSSIKSGDPRKDQDSPRPGPSILKSSKKPGIVEKKHSSILSKSDKQGSTGVVCSKDTCVVKASDPLIPMDKIKNDSTDGACESPLILVNNDNEMSTKPEVLSIPRASKTCGSDFQDIAPTSSSEDLPPEEVQYEQKVVESAGNISCKSAAVIQASEDLLPESPQGCLVAQNPDSPDTKSNDLNLKQQALVDQSSTVGSSLGALVIPEQTYIWQGTFEVSRPGGSPEMYNGFQAHLSTCASPKVLEIVKQLPQRIQLVEVPRHSSWPLQFKEVKPNEDNIALYFFAKDVESYERAYGKLLENMLAGDLSLTANICGIELLIFTSDKLPEKTQRWNGLLFFWGVLYARKASSSTELLVKGMNHSPLEQINGPVNQPVCSPKMPQSLGIDLNECPVDELYDPVVSVQTEMENRGASVKHETLLRSNHEAERLNLCEIHCPETAGTGKILLGTPTAVPYGVHVHTSSKRECLNIKPEYPSDIIGSEGTAGRDNMEEEESFTKNGVPCFTKQHTGATTRSVSDEILANTQARVSFQEVSPQHSVRPKLSDDPSDSVLKDFVLPDSSSIYKRQKTSEGKYSTRSFGDGQLTSKCLSKIPLPADQHTSLDDLQYIGRVPADPCSPTKPILDHVIHVLSSDDEDSPEPRNNLNKTSLKEEEGPSPLLSLSLSMASKKHNLTGSDTGDDGPLSLSLGLPGVVTSNQALEMKQFLPEKPGMNTSLLL >KN539718.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539718.1:56665:58432:-1 gene:KN539718.1_FG003 transcript:KN539718.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGFRFHPTDDELVGYYLKRKVDSLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACAGEVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFIGAYALCRVIKRHEAGLHGEPPAAKAKGMMSKR >KN539718.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539718.1:82499:85409:-1 gene:KN539718.1_FG004 transcript:KN539718.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] SIAENTADTTSQVVSANSRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKQMSCDDRTSVMQGPEVRSGDVPEPIMLEEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKAVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPTASPSLVARPQALLNEEFSQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLSAQFVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >KN539718.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539718.1:8363:15298:1 gene:KN539718.1_FG005 transcript:KN539718.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPADSDQVLVEANGVTRTLVLNRPKQLNALSSAMITGFLRCFTAYEEDEGVKLLIVKLDFYNSLPFLSSLVFSFVREKEEHFVLVEMLLQSSDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLNGVRLDAPEMLACGLATHFVPSDRLALLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKRTVEEIIASVPTTSEADLLTPSNTRAFRSFPIIQEIRITTASNGSSNLTMSFEVSNLQLSLPTKIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDKDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >KN544107.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544107.1:1576:1911:-1 gene:KN544107.1_FG001 transcript:KN544107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASTLDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >KN539718.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539718.1:72514:75753:-1 gene:KN539718.1_FG006 transcript:KN539718.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPRRPTAAATTRTPPSARSRCSGSSASPSCAPPPRASAPTSSSPRAARRPPTSSAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHCILLPYPLPLHFAISVSISTRDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHVASHVLMGITKATAVLPTILSPLGKACSGMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >KN539718.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539718.1:1623:6436:1 gene:KN539718.1_FG007 transcript:KN539718.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSRDGPGILARGPTASLTTSDSPPCSHPLPAASLPCGPHTGRLPNSLAGSWGIAFTPHILTVKAGEDVASKIMAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQQQQHQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >KN539718.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539718.1:41688:43896:-1 gene:KN539718.1_FG008 transcript:KN539718.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSKGLAALGLESKPISSLRRDVSAGTDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLAELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRAFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKDQEVGLPV >KN539718.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539718.1:50446:52625:1 gene:KN539718.1_FG009 transcript:KN539718.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSNNKNGSSILHQQVKKISRIPFSWGAHAWGIGREDPRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDKQASRASIHIGYRAVLDSKSSDETLAHYASWEPRHSMQCYSYPWQKYVKIGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAREVVKVLQELAVSIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKHTATRTTLPSFKTDGTSLLERRNTKADQPSERNERGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELERAANFREFTGHDYLTIDLTSNDKMRNPNGVPLDSHTISTAAE >AMDW01036432.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036432.1:300:611:-1 gene:AMDW01036432.1_FG001 transcript:AMDW01036432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDFADIPLEEDLPPAGPQPGPNEDNADDPDDGNIWQLGHPGNQGGGGVWDDLVQQQQAAEAEIEDAWGQDHPMGQVEENPGQLIILPNQEEQELNLIDQEQQV >KN539191.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539191.1:111272:121074:1 gene:KN539191.1_FG001 transcript:KN539191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAVILALHGPCFALPEAPGETKELYIVYLGERQHEDADLVTASHHTMLASVFGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVANVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGDGIIIGVIDSGIWPESPSFDDTGYAPPAAKWKGICQSGMSFTAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGVAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDILSLSILSPTGHAPAFHAVVKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVATRKANQFHKLKLYYNDMCNLTIANSTDVKGNIILCFNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDRLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDIAAPGVNILAAAPQVGIYKKLGLPYFFNSGTSMACPHVSGIVALLKSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPSDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDAVYKAFLQPPAGVDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRVVIEDFYSTVS >KN539191.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539191.1:87936:88759:-1 gene:KN539191.1_FG002 transcript:KN539191.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKFTNVGIMVVVVDHISALPDELLQYLLFIPSIARGHADMCALLALVPPMENTRTRISAPSPISLELADILGWTPALESLPSLSIVFVTLMIAMRTTAYTITMGIVVIKFHVETTAVDSTMTMMMIVCFSVV >KN539191.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539191.1:58500:61135:-1 gene:KN539191.1_FG003 transcript:KN539191.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADGADHISALPDELLQYLLSFLPSREAVQTCVLSQRWRHQWKYVPALRINDVDSFYSVQQLNKFVHHLLLHRKRTPLYVCELDSFRNGEVAEWYQYAVSCEVEMLQVDTAHSADYCRLPEMAITSNHLTTLEFSGVQLGHLSLDFSSCPKLEILVMRGCKILVQKILSQSVRSLSITQCNFELNTRTHISAPSLISLELADILGWTPVLERLPSLSTAFVRLDDRCEDYCLHSYYGDCGDQVSCGKYCTRFYDVHDDDCVLLGGLSNVTNLELITSPKVFIVRKDLLMRPMFSKLKTLLLNVSDADAGFGPLVYILRNSPVLEKLTLQLYEEPKAKIETDGSCNLEEQLVASKKLKVVEIKYSKIVVLCRVLQILNTCGVPCKKINIERTELWSFGSHFSFEKT >KN539191.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539191.1:10370:10762:1 gene:KN539191.1_FG004 transcript:KN539191.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQRRSGRNDKWLTVRRWKTEEMQSKWRVETSALRRDGSLTAAAHACDGSGGSIFACCSLCSSSDHEQQQQKDVTGGDGVEECCNFLYRRRGMNIEQPGDVDEKLKRLQFLALKRRNRQWRWRGGWGI >KN539191.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539191.1:48214:55440:1 gene:KN539191.1_FG005 transcript:KN539191.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIDAARWVVDKALSPLSGGLLETWAASSELGVNIDAIKMELLYAKGMLENAQGREIRSQALKELLQKLQQLAFDADDVLDELDYFRIHDELKGTYEAADVDDASCFRGILVNSRHTAKAVGKSLSCFHSDAYHGDPDNPRTEARQRVHMLSKCLPCYSCPPVHENTADDAVKSQKWWQKHSGACCCSPNPGRDRANEAPMHKFDRVGISTRMKHIAEQLQPICAKVSVILNMEMLGSKSNTQDSTMSQRITISESVEPKLYGRNKMKDEIIRDVTKGIYSEQDLSVLSLFGPGGIGKTTLVQYIYNNQEVQSHFQVKIWVCVSFSFNVSILLQQIMDQIPKVDGENGTAEDRIEQRLKSKRFLLILDDMWKCDGEDMWKRLLVPFRKSQAKGNAVVVTTRFPALAEMVNTMDHPIELERLEQEEFMQLFEACVFGEAKAPWQDHSELLDVGKKIIGKLKGFPLAAKTVGRLLRNNLTLDHWRRVLASKEWELQTGHNDIMPALKLSYVYLPFHLQKCFSYCGLFPHDYEFDSGELIHLWMGLNILCCNGQKIFEEIGLNYLDDLVDHGFMKKDEKDGHPIYVMHDLLQDLARMVSSYECYTIDCSHERFREIPPDVRHLSIVMGGAEEDVSINETFHGNVILIMKRFKVENLHTLMIFGCYYRSMANTFGDVFRKAKALRVLRLSTMYYPVEHMLHNFSALMHLRYLKLVSEYDKWCPLRCISRFYKLIILDLKDWKGNTNLPVDMSNLTRLRHFIVSHDEPHSKICEVGKLQTLQELRRFEVKRQKSGFEIKQLGHLKQLSESLSICNLEKIEMKEEADEVNLLSKNCLKKLTLEWDVQRSNKEPDKEEHILNVLRPHDNLQELCIRGHGGHSCPPWLGSKLSVKNLQSLHLDTVNWTVFPPLGELWLTGQPGQEYLRSIQGKSFQNLKTLELVGLTRLEKWVHNDTCLLFSLLETFIIRDCPELVELPVSQYASQKFKQDVKIDLFPKMQELRIADCPKLESLLLILLIPWTDTLHTVVMKNVGSSLDKLVYSTKSSSSKLSLDIKENHRLQCLDDVVAFHHLSKIHQLEVSKGPPLMSKHLNGLTSLKTLKISDSSITLQLLGGPDNEKHPLPLENLEIQNSGAMGKELTQFLLQLPKLSSFRMSSCHNVTSFGVMEQLAAVEPTPIPSSSTLSNETGQQLQMEEVDDGRGLLLFPQHLSISLRELEIIMRPGLSLIASVPPLNNSRPGGGLHNLHSLQTIFIGGCPKFLSAYSLSSSYCCPFPSTLHRLIIKDVKDMHTFAPLSNLTSLTYLFVENCRKDLRGEGLQTLFTQGRLSHLCVYRSPKFFESWDSSVVAKESQQYEELSPCCILQILRTDDIAGVFTEHICRLFSPSLHVLALCSNKEIVSFTKEQEKALELITSLQELCFFRNEKLQSLPADLRGLNNLRILEILRCPAIRSLPKNAFPNSLRKINVDRGCILLGGRGSVDASDGGALRRSPSQIRSIVPIHTIHTSSSPNKMTGSMASQAWVADRAKDLLVEKPKLGAKELQETLEKKYNISLRKQRVQP >KN539191.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539191.1:99430:100266:-1 gene:KN539191.1_FG006 transcript:KN539191.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGDFRFATPQGLREFSAWAGWYRAGLAIKRRCARGGDGSDPPPKNPFLGIPKEFPGVKPEFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANAEYGLERINAEVSEWIRGERGANAVAPEFVRGRDAVVWFRRFSDGVNCDCQRLEGVLGMIPGAKRMIMGHTIQTEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGTNVRVITTDPAEAWQYRKQGAEKAAIATAVKEKKGEVKEGLALLVRESHGLKEVQAKAA >KN539191.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539191.1:34395:37448:1 gene:KN539191.1_FG007 transcript:KN539191.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLILLAVKKIGTALGNEVINQATSFFKEFVTQLTELQGSMGRMRRELRLMHEFLTRTDIHHRNNRNYEIWVEEVRMLVHRIEDIVDDYMHLVAHKEEGWGTYLKRGFNRPSVLLSLKRIASSVKEAEANLVHLFQAKERWAWMVTSGECSGHIFETSRHLASMSHCLDEQDLVGVDENREILHEWLAGDELQREVIVLHGMGGLGKTALAANVYKNQREKFECHAWISISQTYSIKDILKCLIIELFRDDQTNAPSNIENMGIEGLQDELKMFLRDRRYLVILDDVWAPEAVNHLLLALVPNQKGSRVLVTTRNDEVAHLVLPEKRITLERLTKNESWELFCKTAFPRVKTYGCPSELTQLAAQIVNKCNGIPLAIVSVGRLLFVRDKNKKEFRRIHNQLEWELINNPSLQHVRNILYLSYIYLPTNLKSCFLYCSLYPEDYLFKRKKLMRLWIAEGFIEKRGICTMEEVAEEYINELVQWNMLQLVERNSLGRIKSFRMHDIIRELAVDLCRRECFGVAYSDEDKYGGSLEEKNGRRMVIHRLNNHTSQAISSANHLRTLIAFDNRMPSNNLLTLATKCTYMSVLELSGLPIDKVPRAIGGLYNLQHLGLRNSKVKSLPDSIERLTNLVTLDLQGSKIQELPRGIVKLKKLRHLFAERVNDKYWRKFRCRSGVPTPRGLEEMRELHTLQAVEVRGERSVWCLGALRQIRSIRIWGVKGSYCECLCESLRKMEFLSNLSITASDEEEILHLNDLNPLPPSLETLSLGGRLAQADLLLGAATADGQNHPLCSVLLYWSQQEEDPLKSLSRWSNMTKLVLTRAYVGVQLVFLQGWFPSLKELSLRDMPHLTQLNIHQGTMTSLQQLRLVNLRRMTEVPLGIEFLVTTLNYLAFREITADFFKVLRYCPRICGIKWWYSLLREDFEEGEGHLSESDVYLSESLSESDMCLSESFSESDMYFSESDMHPKEFDGEAGYLFQRMNRSEYYDTSQHRHGIKTVRHDDSSSRSGTDMTGRE >KN539191.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539191.1:71128:79935:1 gene:KN539191.1_FG008 transcript:KN539191.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNYTPLNSSLVNWLEVIAISARWFPEPEKDQRWQACKKKSQYEIDRDANVAKNKAFLKSLNICHTNGQQGTSKPPRKKAKNLRREQEFGGNEEQDHHNSEGEFASAENHDSNDDGTIGARRQVPAPRISAQSNYSTALGGKPSHHDPEFKMKVHKKTSKTNQQAEPLNQRHDHVFILGQITSLETTSDHSTVYLNTAIVILVLEGYLMDEQ >KN539600.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539600.1:39566:42630:-1 gene:KN539600.1_FG001 transcript:KN539600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGERGQVVVLECVAGSSKAEEWGGGGGVVQEGDVVEAVRVGRGGPGAAVLEAPFKGGRAALHKAMHAAFKRGDTSVEVRVRGGSELQACILPHSGGGGGGGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSSRVASALSRAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANAWIYSSQVSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSIAEEMPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPSGPVERIIRSPKLPPQSELPQVPWNLIESIEPRVDVDEDYVGDLSFRIDDLSVGTSWV >KN538832.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538832.1:62315:62598:1 gene:KN538832.1_FG001 transcript:KN538832.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEAMLVVCANDAGLVYDINTCEKIMCIHDCVAPPCGLAFVDGFLLAASRTDKDQPIFGSAIYFWAPSKVMDFV >KN539600.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539600.1:80880:82307:1 gene:KN539600.1_FG002 transcript:KN539600.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKAEVIGDGSGIDGEGMRSSNLAASRAPLSLRVGLLLGCDGDDEGSIRAPTMNFYIATCSPAPIEMASRMYHNAIYLLTGIERLLRKHLNTRKHLKVTNHRSCKLGKERGEKEIEDC >KN539600.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539600.1:18339:24259:1 gene:KN539600.1_FG003 transcript:KN539600.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAETLNIFPSQPMHVEPSPKGEISLVLSPAAVGTKMARSPDHHHHHQQQAAAAMEELATGSRRQDHHHLQHQPFAAEPAGINRDVKPVTAKKDHRRGVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSGGLIGEGGGGKGVLLGGIDGVGGGGGGGLSSEAAMFDVEYGRWQEEHHRLMYELRAALQQQLPEGELQVYVESCLAHHDEVAAIKDGAIRGDVFHLISGVWMSPAERCFLWLGGFRPSEVIKGMQMLVAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAVAINKLSTLEGFVRQAENLRQQTLHRLQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRHPPHDHQPPPHSAAPHT >KN538832.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538832.1:154076:155802:1 gene:KN538832.1_FG002 transcript:KN538832.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGREMRRRRRREEEEEEVAAEDRLHRRTGRAIDFADILSMSSSTIDLSRRFLNLIVGNRIPGVKSLWCFDLMHQQLFYPATPPPPHKVEEFWQKFRRPGTMMESMGLPFSCFTFRASALNVNGQSWMDCFPLAGGEVICTDQSGRAFLVDADACQVGTMPSLHKPKSMPFAVFVPNAKADNDYDRDGYGSSLFVMERVPKPELGFNSDQFEAFVYRKPTISNYTKAWHCHQLPPPPFVREPKHWHRCSSPEISSYAVLGGGSHICLSVNGIGTYCLETASHTWSQVGEWTLPFHGRIDFVPEFNLWFGLSAEARRLAAADLFAMDSQPQLVGPWKELNLSEEWRECKDPQLVNLGSGRFCIARFFRSHSGFGDEQIAVFTGVEVVPHVGDANGNANKGSDGKVQLQMIPHRSKCHISNATTIDVVF >KN539600.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539600.1:45245:46694:-1 gene:KN539600.1_FG004 transcript:KN539600.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLDDLFDEMNSLQQQYVKCNTFISSEQGKSELVGSKKVGDYDGARCCACAKPEIAATPHKTKDICGMDDAKSDVVDRSSVSHMDHEERRMSDLSDFCWSVVSSVDNHVNGDNQLSSLAAEQELYNLQKECKEKDAIIKELAATAHTSSTADAKRIAELQEILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLSPSCSELPVMSNNVLYDMSSTSPSSSDSESPVAPREYLDVHLKVDDGIAGDSEYKGSSRFSMENACFPTKKSSAGKLRSASPLKENRIDPNVETSLVGRQKQCISTNGDFKRTRRQSQQDSRNRATRRWM >KN538832.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538832.1:38756:40988:-1 gene:KN538832.1_FG003 transcript:KN538832.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMVDDDGSLSPTRPFDKCLDETVNAEAILTALNGVASSSKTDPKDDGWTSSDDDADAMEQDDDPEAEKARLSFKEHRRAHYDEFLKVKELMRSGSLIDDEADEDDRGAKGSQAKAVGKKPAGCDSTPPPQT >KN539600.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539600.1:69389:70469:-1 gene:KN539600.1_FG005 transcript:KN539600.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTADMLDLAVDYIKELQKQVKNCDLPNCPGIYRRCLDQVVTLQMRAGVLNDSRSSCTCSASKQKHFAG >KN538832.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538832.1:70097:70460:1 gene:KN538832.1_FG004 transcript:KN538832.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPSQFSKDVNSSIVSFNSSNLLAEQLLGLEEKRTLEAVEMIIGMNIQDQVKNQTMAKELTNMNMLLQGQVFDVMDVGADED >KN538832.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538832.1:140883:142457:1 gene:KN538832.1_FG005 transcript:KN538832.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSPSPSATTASPSPPTAQMAEPPPPQSTPPTPPFKVEIITSYPVAIAICLADRLLICHATNYMMFLSTIEKPLICSCCNL >KN539600.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539600.1:6954:8789:1 gene:KN539600.1_FG006 transcript:KN539600.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRIKLRRIKERQDRLALALQQAELDKSKGKPISDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKREERLVLSIDNGMSEVTHHGALEYRNGDTHSSSNEYDVDGFEEAPLSTSSRDDEQDLSPAAQLSEEHAPTRRERAKVKRPNQVVPKKAGTKEPPKRKRPRHSVTVIEHEVQRADDASEHSRNMIPDMNRLDQVEIQGMANQITSFNEEANTSEALQHRGNTQELAHLPADFNHYGNAQATIATPVSICMRGQAVPYESSDNSRPKTGNIFPQDSDSGFNNMPSSYQTIPPKQPLPLSIMEHHVVPLGIRTPADNSPYSDQIIGSGNSTSVPGDMQLIDYPFYGEQDKFAGSSFAGLPLDYISISSPIPDIDDLLLHDDDLMEYLGT >KN538832.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538832.1:30479:35635:1 gene:KN538832.1_FG006 transcript:KN538832.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKQKRLAERLLGVRRCRTRATRGWQRSGSPSSMIGGRCPGRASSPRRAHPPSTPASYEARMDASGGGNVGATDLFQWLLMFNLPYNWHLTIEMISHVISILQFVSYGLDEVLDKSFAPYVFHNLHPTFSKLVYMIIDMSVFWMAAGRGINQLLRRTLHNQSSGSSLLSSFRIKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >KN539600.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539600.1:83729:84150:1 gene:KN539600.1_FG007 transcript:KN539600.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLLLPLAVSSLLLPFAAAARVFSVADYGAAGDGARWNATGDCEGDECRPRLVGFIDSKDVTIHDITLNQPAYWW >KN539600.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539600.1:55332:55829:-1 gene:KN539600.1_FG008 transcript:KN539600.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMITCFFTETLALQAEIMKRLQREKFADMIKHMDGHEQIDRLVALYTSSAKRFHLPELPDRVKVALDAAGALLLVDGDKLEQARDRLVKARNTTGLSSRFVSESSTRGAKNTVAVELVTGLGVAAVAVGTAVGSGGAGDGKAEKAAAAAENIGLATATHRCSLFR >KN539600.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539600.1:27004:30870:1 gene:KN539600.1_FG009 transcript:KN539600.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIMGGNLLRADLEAAIARIAADPGDCGDAEAVDEETRRWREGLERNRDVADALNILPAKSLSCKKVERRSCISLEEFICDYFLRGSPVIISGSIDHWPARTKWKDIQYLKKIAGDRTVPVEVGKNYVCSEWKQELITFSQFLERMWSTGCPSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNIDLKEFPQMYIVTSLVMTKHVVATIPNVTMRCTFW >KN538832.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538832.1:158332:163030:-1 gene:KN538832.1_FG007 transcript:KN538832.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGWWRSRKTLVGLGLGQLVSLLVTATGFASSELSRRGINVPTSQSLLNYVLLGVVYGSILLYRRKPLQMKWYYYLVLGLVDVEANYLVVKAYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTNYRFRKYSGVAICVSGLVLVVFSDVHAGDRAGGTSPVKGDILVIAGATLYAISNVSEEFLVKVGDRVELMGMLGLFGAIISACQISIFERNEIKAIQWSVGAVVPFIGFAVAMFMFYSLVPILLKISGSTMLNLSLLTSDMWAILIRVFAYHEKVDWMYYVAFGAVAIGLVIYSGDSNSDDGRRGQVAEATDVEGKLPDEEAAVHPKCQGAASSGIRKFDDDNYVCAEENGPYHALSNEELS >KN539600.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539600.1:625:1995:1 gene:KN539600.1_FG010 transcript:KN539600.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding QQGIPLSAESGLQLEELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELARRIFPLCEDFVLVYHFVESRLHFKSGLVNHALAAALRAFLLKHINRAETASAQSSSRTPRRLTDPEFLIKVGDPSPLRNLPVIFELLSHKSRAR >KN538832.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538832.1:91142:98072:-1 gene:KN538832.1_FG008 transcript:KN538832.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGVEVSGGGGGNVVVVVPEQQEVAAKQAAAKGKSVESKGVRVVGGRIYDPENGKTCHQCRQKTMDFAASCHKIKKNNKQCTIQYCRKCLFNRYGQEAEKVANDGTWTCPKCKDICNCSFCMKKKGLPPTGILAHAAKASGCASVHHLLKKGKEAVAAAQRSAQKVRSTPVKKSPKRAIQPDAAADEPLAEGDENVCIDFNAAPVKKQKRSRKVGNGVALTKVESPDAPKEQVVLPKGTPVTSVAGAEWEPEDVGLALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSVIADFHITLLSIIQEGRGIKPITYSRDNDAWIVDTGKCIGESIFVPEGLPLDSLSQGVSGYKNLSPSYKLSVLNFLCDESLSTEKLRSCILSETKNPSREKAHSAKEKEEPKEETIKNTDEAVLLKTEGAAVAIEEDKNGISQQKDVKEVKNADTNEKKHGGFLRTNPFMVDKAVIYWKLDDYCNNTTMMLQGLNAGGESLHNSLLIILSRFLTWLKGFTGLSVLAHLQLGWLSQTSDKGNLVTIGEIDMILLCSNYS >KN539600.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539600.1:78328:79920:-1 gene:KN539600.1_FG011 transcript:KN539600.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALWKYSRSQAVGYHVKTRLVGLPPKMNIKSPQTCFASSGKQLCGRRPVRDNILKLKLDEPSRQKLYGILWDSRSIGQKVGAAGTGLFLGFAVPAKANAEGPEDNNTDSPQTIESSTSYAHGKKVCTDYSVTGETAFLEMEGACSDLWLMVHVLGQVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMYDKEAGRLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >KN539600.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539600.1:37095:39157:1 gene:KN539600.1_FG012 transcript:KN539600.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCLLGSSLLVFKGHSLAYDEEQMAGLSHICQDNRSRSENWSGIALGGGGGDDDDDDAAFSDYDNLNAFSSSNSELRFPSSTDHHRRHKVHPAFLHSAPSADRFLASAGRATMASTAELLKAPATCGSAFRPATIGRDHGIDVGALKFLASSGAPLSAATAAAQPRPAKHRGAQILSWLFPRAKKKAKPMSPSAIERENMSQLLKEWGLLSLDSLRRELADANAHRDAALQEAAEMRSSLGELTTKLAGLEAYCSELKKALRLATSSTSNAQPSSSSMSRRSTRSIGTSRELPGPVSHEAMVEGFLQIASEARLSVKQFCKALIQQVEEPDNGLIEKLNILLQPYQLMITDNKHCSKLVLYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQGSQESFASFVALRNLSWNENWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFTPALTIMRVEESRVFDQMYMEDILPDKQQLHNPCQVKIMVMPGFYVQDRVLKCRVLTT >KN538832.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538832.1:54294:58972:-1 gene:KN538832.1_FG009 transcript:KN538832.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MATPPPLRRVAALLLLLLVVAAASAPTARADLVVTRADRKVDLTSHIVRVLTSLKVENSGPEAVSQFLLAFPNVQAKNLAAIRAFGTEGKVKGPSMVLPIEVVQPSGVPPELTFFSASLSKPLEKGKTLHLDVLTVFTHSVQPFPEEITQAESQLVVYQDSAQYLSPYPVKVQTLSIRLPGGRVESYTKYPNTKLSDSELKYGPYEDLPPFSYSPMVVHYENNNPFAVAKEVIREIEISHWGNFQGYIIPSKIAKTQLEVEPRFPLFGGWQTTFTIGYGLPLQDFVFNSDGKRFLNITFGSPVEEILIEKLIVKVVLPEGSKDIDISVPFPTKQEQEVKYSHLDISGRPVVVLEKPDVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFMACIVYMRTDMSISKNSPSYLAKVQWDEVQSIIQQIQAIFDQCLAAHDKLETSLHGLSRSGDVKICKAARKAADAQFKELAKELKPLLTSLQSSSQSYQIWPKVEELVAKERELQDKLMTRHSTVVDSFEKKLRGQDVENRIAAQQQKVAALRQEVESLLEYISEI >KN538832.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538832.1:20278:24756:1 gene:KN538832.1_FG010 transcript:KN538832.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQIGIFPLVAVTNLMFLAYWGNLGFGPDLCPSGTCRDNVAGASTTNGKWHPHESFRPEIDDAPVFTPTEEEFKDPIRYITSIRPQAEKYGICRIVPPSSWRPPCSLKEKNFWECIEFNTRVQQVDKLQNREPTKKKSQPRAQKKRKRRKRLRFGMTHRRPSANASEDCADADEKFGFQSGSDFTLDEFQKYADEFKQQYFGIKGTDEIPLSEIKKKKQIWQPSVDEIEGEYWRIVVCPTDEVEVDYGADLDTSMFSSGFSKLSSDSNRRDPYGISCWNLNNLPRIPGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSMNYMHFGEPKVWYGVPGADAVKLEEAMRKNLPRLFEEQPDLLHELVTQLSPSVLKSEGVPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLKTANEAVRQLWMNLSDCKSEQGVYRWQNTCGKDGMLTSAIKTRVKMEEAARGGNMALQYKKMDGDYDSADRECFSCFYDLHLSAVSCQCSPNRFACLNHANLLCSCEMDRKTVLLRYTIEELDTLVAALEGDPTAVYQWGQNDLGLVCPSGSTQYKKMDLGENTEFPESATNVNHSSELPSSRIQTGNSTLASITTEKLFGVDIKSNLAQSSDGQVSQLAKPSSSQTDEVSKPTISKYTVELLDSGTMMIGKKWCNQQSIFPKGFRSRVTFHSVLDPTRTCCYISEVLDAGLLGPLFRVTVEGLPEVSFTHTSPMQCWDSVRDRVNEEIAKQKSVGKSGLPDLLSCNSLNGLEMFGFLSSPIIKEIEALDPCHQCLDYWLSRVSSVGTELPSESVMAAMVNDSTNPPIKLLGIEITRKESEQSSSFNNSCVRRSHLAGC >KN538832.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538832.1:142875:144165:-1 gene:KN538832.1_FG011 transcript:KN538832.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFYSLVPILLKISGSTMLNLSLLASDMWAILIRVFAYHEKVDWMYYVAFGAVVIGLVIYSGDSNSDDGRSGQVAEATDVEGKLPDEEEAAVHPKCQGAASSGIRKCDDDNYVCAEENGPYHALSNEELS >KN538832.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538832.1:60881:61282:1 gene:KN538832.1_FG012 transcript:KN538832.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLGAAQLEHGRGGGGKRTSRDALRYWGETWEWLRSSGKPSAWIKEHWEDFSVEGGAREVGGAATGRCTELLQYVLWCWDGRTTTASLEGMGEVRSHMQREELRRRLETAWRHLAPARECTNDGMYTSLAG >KN541602.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541602.1:13:2241:-1 gene:KN541602.1_FG001 transcript:KN541602.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCK >KN541602.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541602.1:20035:24589:-1 gene:KN541602.1_FG002 transcript:KN541602.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VLCLNIFFYIFLNIHELLIKCISEDMGFSIARPIATYLIYRCLIHWKSFEEDRTTVFDCIIQKISAAIESTITGGIPNHIWPQSQNLNARHPAVSTTIESQSSICAAASPTSATNLNMKESQILGGTSGSDSESESLLDIEGGPCEQSTNPLDVKRVRRMVSNRESARRSRKRKQAHLADLESQVDQLRGENASLFKQLTDANQQFTTSVTDNRILKSDVEAPWVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQACRVPDVLAGLDYAGDDPFTAGLSPPEQLQMPGGEVVDAWGWDNHPNGGMSK >KN541602.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541602.1:16656:18602:1 gene:KN541602.1_FG003 transcript:KN541602.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKKVEEEAAVKTCHDRRSFVKKAIAQRNLLASSHVAYAHSLRRVSLALFYCLAEDEHLYFLQDTAASAAAPCRHRPCSPERKVLVMNWLRPAAGVGGGGAPVHPMVEVEQRWEENDDAAETVTVDGFFGVDPGQLFHPSLYAPANAMPASPASPPPTAATTWDFVSWDPFSSLHHDHQQYASYGIGRSDDEDDEQMPELEEESDDDDDGDGEAKLQAEASPAAVERPREEEEEEEEKTAVDRVKNELRVVASAEVEQQSTPGFTVYVDRPPASMAEAMRDIQGHFVKIVDTANHVSVLLEVVPYQRKVRPAAPSDGDEEGGGGEVSPEPFELFKSHKESLDRLYEWEKRLYEEVKVETPISSKL >KN541602.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541602.1:5091:12203:-1 gene:KN541602.1_FG004 transcript:KN541602.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKVMPAQPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWHFKPEKLGVGDIITGGDLYASVNENTLMQHKVALPPGAMGKISYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRSPRPVMEKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTTEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYYRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVRCLGSPKRDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKIPTALEPHYEREDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLATQAVERAAGADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGKEVLIAKFQKLYDDLTAGFRNLEDEAR >KN540721.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540721.1:29:202:1 gene:KN540721.1_FG001 transcript:KN540721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAGKDEAWVKRTVDANPTGRLGDPGDIAAMVGFLCTDAAEWTNGQVIRVNGGYVS >KN540721.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540721.1:23994:24479:1 gene:KN540721.1_FG002 transcript:KN540721.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKNESEETVHTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFVKVTTMAAPVVEKKAFTPASSSKTPLEPAKPDEVPAAAVEDKQAAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSTHRYTEAHDCTFDYKKAGRDQIAKQNPVVIAEKINKI >KN540721.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540721.1:7087:11863:-1 gene:KN540721.1_FG003 transcript:KN540721.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCEEFFRLPAEDKAAFYSDAEENPNRLFSSSIYEVGDQRYWRDCLRLACGFPVADDTNTHWPDKPHHLRGVTEKFFVATRGLGIELLRLLCEGMGLRPDYFERDLTAGDVIINVNHYPPCPDPSLTLGLPPHCDRNLITLLLQGDVFGLQVSYNGDWINVDPVPDAFVVNFGHLLEVVNHGVDPALLAEAYRCCDAFYARPLAEKQRARRRPGENHGYASSFTGRFDCKLPWKETMSFNCSAAPGNARVVADYFVDALGEEYRHMGEVYQEYCDVMTRLALDVTEVLAAALGLGRGELRGFYADGDPRHYRSDTNTMDAFVAWIKQRNGHECLDKY >KN540721.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540721.1:4869:5099:1 gene:KN540721.1_FG004 transcript:KN540721.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARHCPGDMRGGLERVAGKLGVRRAVGEAHQAGSDSLLTSQMFMRMRERYFDDQDALTAVAGINFGYLNFTSCEYT >KN540721.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540721.1:29157:31873:-1 gene:KN540721.1_FG005 transcript:KN540721.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVVMAAAAMAAWWAAAAVEGLGINWGTQATHPLPPKAVVQLLKDNGIAKVKLFDTDFAAMSALAGSGVEVMVAIPNKDLATMASDYGNAKDWVKKNVKRFDFDGGVTIKYVAVGNEPFLKAYNGSFINITLPALQNIQNALNDAGIGDRIKATVPLNADVYESTVPSAGRFRPEIAGLMTDIVKFLAKNSAPFTVNIYPFLSLYLDEHFPINFAFFDGGSTPVNDGGIMYTNVFDANFDTLVAALKAVGHGDMPIIVGEVGWPTDGDKNARVDLAQRFYTGLLKRLAANVGTPARPNQYIEMYLFGLVDEDMKSVAPGSFERHWGVLRFYPLD >KN541525.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541525.1:5687:7306:-1 gene:KN541525.1_FG001 transcript:KN541525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTTTAHHPHQGPSTFLSMDTASHDDFDLFLPPPPGPFRRCLHAAAAAPPDINLPLDADPSPPPPALQSGALHDPNVDMLDVGLGGPQLYDSDSPAATTGVSPAPAAATTAVAHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEIIRAPNLAGVPEADLKRWTELTGRDANFSIDAEASDYESWRNLPSTDFELERPATTAAAKTSSHGYHKKLLNGSGLNLSTQPSNHSSGDGLDIPNICNKRRKDSSPTAMEEDCSNSNSDKVQDMEVSHTFEPSWMNDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLVDPTPEHCPPGEFIREIPLPTRIPEDATLEAYCDESGTGLEIIVPKYRVGPEEHEVHVSMRPPSSWCQS >KN541525.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541525.1:26254:26476:1 gene:KN541525.1_FG002 transcript:KN541525.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPGDDDGPAVGIDLGTTYSCVAVWRRGRVEVIPNDQGNLTTPSCVAFTDTWRLIGDAALNQAAMNPLNTVF >KN541525.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541525.1:23087:25681:1 gene:KN541525.1_FG003 transcript:KN541525.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHHLPCVILHSPFNDLSIPGSYLELRPKEISKMYQRARPIIVDPTLQISNKTEVVTTKEKRSLPSAFKIFVGSSWVILSRSFLEFCLLGWDNLPRTLLMYFANFLASSEGYFHTVICNSKYYQNTTVNNDLRFMAWDNPPRTLPINLTTEHFDAIASSGAPFAHSFANDNPVLDMIDTKLLRRAPERFTPGGWCLGSSV >KN541525.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541525.1:13754:17165:1 gene:KN541525.1_FG004 transcript:KN541525.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKGNETAPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLAELPQAFARLSAVYGGTYMLNKAECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPTNTADEDNCFVTNSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAAESEAA >AMDW01037632.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037632.1:75:299:1 gene:AMDW01037632.1_FG001 transcript:AMDW01037632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPPHGRKPAKEESGVQWSRVRAPPVSTPAHDLHASGCLGDLRPGDHFEIQWRKNKDFPYGMVQSFTLQQAKINY >KN544496.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544496.1:2748:3083:1 gene:KN544496.1_FG001 transcript:KN544496.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPESERPAESGARRLVTFFSFAVLMDYLVDGLPWTEGSNGGLVFTATLLLAFATFICGSALMLVGLAGRLFSGGRRVAVVSMCLVVGCVSLSALTSLLGLLICAFPYVQDG >KN545000.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545000.1:333:2032:1 gene:KN545000.1_FG001 transcript:KN545000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TIPFAWNLVTSGYIEQTIPGVIVSIVGLLVLGKVLEPLWGAKELLKFIFLVNLSTSACVFVTAIILYYITQQEIYLYTPLSGFYGVLSGFLVGIKQILPDQEITLFLLNIKAKWIPSLVAFISVSLSFFMKDSVSYIPIILFGIYLSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPEFLRY >KN543256.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543256.1:2686:3093:-1 gene:KN543256.1_FG001 transcript:KN543256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSTTHHKYRVGESPVDGRLCLASLERDGFQLWVRGSGEGSDHGWVLERHVRMQEVLDAVPWLPRDILIRHAHMWLSDIDAGRTGKVFIASFGYGRFSYHMDTGKLECLSTDDGMQYGHPIFPYFSAPPFDSSA >KN543256.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543256.1:6319:7479:-1 gene:KN543256.1_FG002 transcript:KN543256.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LCFDAVCLTVDDKHPRAWVASYRDGECSWRALPRDTGVTVAFDPYWFEGRCVHAAGDIYWHICHSGRLLKLDPATLSFSYLLAPSELGDSNKKFRIGETPEDGRLGMATVEDQEMQFWVRGEASGSDNGWFLRKRMNMRKVLDTIPGLPRDMMSRIISIWLSDIDAGRTGKLFIKTQGYGRYSFHMDTGKLERLATEDGKEYGHPIYAYYVAWPPAFLAPELEV >KN538934.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538934.1:43611:59681:1 gene:KN538934.1_FG001 transcript:KN538934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASPKLQNKGLEIKNTSSTLRPRPTRSGNALAKAEKAMNTTYAIHSGSNWHSASPPNCEYSLPLHPSAQPPLDRVAKVGSGFLISLQQLPAGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTQRCEIDLREESTIKFSAPSAYPIVTFGPFNTPSEVMTSLSHAIGTVSMPPKWSLGYQQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSSRFPDPKSMVDDLHSIGCKAIWMLDPGIKKEEGYFVYETGSENEVWIQKADGSPFIGEVWPGDCVFPDFTCKRTRTWWASLVKDFISNGVDGIWNDMNEPAVFKSTTKTMPVSNIHRGDDDIGGVQNHSYYHNVYGMLMARSTYEGMAKANTEKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGLGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYFSHMKGTPVAAPVFFADPQDPELRKIETSFLLGPLLVCASTVPDKGAHECSHKLPKGNWLPFDFGDSHPDLPVLFLQGGAILPIGRPIKHVGEASLEDDLSLIISLDENGKAEGVLFEDAEDGYGFTQGNYLLTYYVAELHSSVVSVKVLKTEGSWRRPKRNLNISILLGGGAMISSRGIDGEEVHLTMPSDSEVSSLVATSELEQKKRLEMIKPIPDMDEPAGQEGAELSKTPVDLKSGDWMLKVVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYNVMRRYLEQSGEEESVCLEGDIGGGLVLQRHISILKDNPKIFQIDSSIQARNVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEISPESGEVVLEGDMRPDGEWMLVDNCVGLSLVNRFDPSQVSKCLVHWGTGDVNMELWSEERPVSKETPLRICHQYEVRQTN >KN538934.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538934.1:149779:163212:1 gene:KN538934.1_FG002 transcript:KN538934.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFVIRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKAKVNTSIKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKMLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVADKGSSLGEKSMCDGTSSAESQKFKPSAVELPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPELEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNVPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNPANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATMVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >KN538934.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538934.1:19150:20242:1 gene:KN538934.1_FG003 transcript:KN538934.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATLSSVSSAPRQQQCLAQSFLPCFVVPVADKYGSVTVRMMLPPMGSSVVATRVPKKVLKFAGIEDVFTSSRGSTKTLSNFVKSRPPRRGAASPTRSKRDGSGSGEGSSFAGLPPLDALFEILLLCGLVVRQQPEGDLHLPPQRLSAVCRGRGHQRARVLVEPVVPRSIVRQPGLRRITSSPPTPR >KN538934.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538934.1:76518:79256:-1 gene:KN538934.1_FG004 transcript:KN538934.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIGASDDNGRPMRREASAVGGREASEAGVGNGKAIAACNRSPQEDDTCDGREANVPERWQAARSRGEYYRTPYVHPKRCALVGDEIYFTLRNGNTIIEYNWGKNRLSMFDPPTSDLYYIALTVMENGSLGFAGIEGSSLNVWSRKVNPQGAAEWVLCRIIELEKIIPVVDLSDEACVVGSAEGLGVIFVSTGVGLFTIELKSRRVKKVEEPGVYFSVLPYMSFYTPGSVLNLACLFSCIFFTASIYLLSHNVTAILLY >KN538934.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538934.1:95829:109859:1 gene:KN538934.1_FG005 transcript:KN538934.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTVVSTCCSTPTPLAIKQSDSTQAAHRARNRLSSYILYTTASSDRWRHFRFRETAATRRRRDDEDGGVGEGSDGVGADTGGGGVPGDEKERILMLGESNFGVIRFKMNINSGPKLMPYIILRDKHVQFPQMFCCIDHTLSANATVMFASHSWEHSFVIIHQLPSGTSFYGTGESSGPLERTGKLVITWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEIDLRQESTMKFSASCTYPVILFGPFNTPSEVTTSLSHAIGTVSMPPKWSLGYHQCRYSYDSSEKVLQVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVDDLHSVGCKAIWMLDPGIKNESGYFVFDSGLESDVWVQKEDKQPFVGEVWPGDCVFPDFTCERARSWWSGLVYGMLMAKSTYEGMKLANPTKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLDFELNSQLTCGWVRILLYANKAYEQIPGPRRLFFTVILLLLFMHYFEKNIVDLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTPVAAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESSQKLPKGIWLPFDFGDSHPDLPMMYLRGGAVLPIGLPLNHVGEAKLDDNLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKRPNRNLKINILLGGGAMVSTHGIDGEDLHLTMPTESEVSSLVATSELELKKRFEMVRPIPDIDKPLGKEVAELSEIPIDLNGEDWLVKVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCTEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKENPKIVKIDSSIRAKQGADHSGGFSGLACLRVRPSFILQYPTEVSVVFTASNGIKREILPDSGELTFEGVLRPNGEWMLVDKRTNLSLVNCFDLSQK >KN538934.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538934.1:14984:15991:-1 gene:KN538934.1_FG006 transcript:KN538934.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSKVRASHSTTSVISNSNNRTVERSSHYSMIPRHLQSPRLGRHVNNANNQEPANSTLPVEDSILMEECHDAMQSSADLKCPLCRGSVSGWIPAGEVRKYLNEKLRTCSHDSCKFVGTYEQLREHARTAHLLAKPAHVDLSRKRTWDRLEREQEVGDVISAIRSQNPGAIIVGDYVIETRDAMSPDENTGDESNDEWWRDSIESPDNRYNSPRLLPNEAPESSIIWADERHGLPRFQPQNNRVLPRFSFTNRSSSRSDWHRIRRPSRQSLARRGLLNRPYRNNSDYHGFRPQLFDQPNGSSHRSGINRSLDDPSFVPRRQRLRYTHRSHHFRD >KN538934.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538934.1:168154:168914:1 gene:KN538934.1_FG007 transcript:KN538934.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMLAPLLVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHCSVAPLSRL >KN538934.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538934.1:86377:91953:-1 gene:KN538934.1_FG008 transcript:KN538934.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGYATSDSYSARALKLLLPLVFLLGLHRPGGSGRGDGDEDPLDFIIDVDLVKYKEILNTLDLRKDFFFSYSYHIMTRTTELFQKRIYFKESNQDWIFEKLPAYQCLDRISISAKDIMFTLIARRSRHFAGTRKPINVLDVLLKVAFRALRLTEFYSVLDVLLKVAFRAPPTRYSLQREVIRSMVKKHGSQFPVLESGSVHGNEISLTCESEVSNLRYTHPWYLRYIMFQALKLKVAFIQVIQTMDLEWLSTSGNSSDESVFD >KN538934.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538934.1:21260:26723:1 gene:KN538934.1_FG009 transcript:KN538934.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSTAGPATPSSLLLPPPLSCVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRRGGSPSLTRRSSLEKPGPFSQTRSPETEKMNKRVERRKRKYMVMMLPSTCCCWPDLPLVMNNKIHGRMALQANIVDKDREDQGGCSYEYKSCSDAIKSATDSHIHKAYFTCITASSRLALPAAIFCAVVVAASLGGAAATGKTGRITVYWGQTSSEGGLREACGTGLYSTVIISFLTDFGGGNYKLNLAGHAWSAVGPGVKYCQSKGVLVLLSIGGGVGRYSLASQADAKAVADHLWNFYLGGTSTKSRPFGDAVLDGVDFDIELGSNAHYGDLARYLKAYSGRKPGGRKVWLTAAPQCAVPDRMLGEALRTGLFDRVHVQFYNNPVCNYRASNVAAFTSAWNKWAASLPGSSVYLGLPAASGAANNGYVAPATLKENVLPIVQKSKNYGGIMLWSRYWDKQTGYSKSVKSAV >KN538934.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538934.1:4508:5227:1 gene:KN538934.1_FG010 transcript:KN538934.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIEVVDVIHRISGVFFSSPPAAEACNGSRQQAHGTAAAPPHPEEKKPVKSNLKKAPPAAVAAQEEKNRVSLVVSRKVTWPDAQGKDLAHVLEFHPRLIMAYKFKVHD >KN538934.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538934.1:117286:119520:1 gene:KN538934.1_FG011 transcript:KN538934.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTFTARRSSPELVTPARPTPRETKLLSDLDDQWTLRYYETVVGFFRVCPKMAGGLPVGDNIAAKVIKAAVAEALVYYYPVAGRLRADLVPGVNNKLAVDCTAEGVAFVEATADVRLEELGEPLLPPYPCVEEFLGDAGDTRHILDKPLLFLQVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIAGFAGGEPIPSTMPVWGRESFFAARTPPSFTHVYPAYKPILDGSSAGDGDVMLTTPPETMVMKYFSFGPKEISALRSLIPAHLTRSTTAFELLTAVMWRCRTSALGYEPDRRVRLMFTLNLRGRWWNREEAAAVPPGYYGNAHLSPMVTATVGELARQPLADTVELMCRAKAGTTRERVESMVDLLATWRERPSFAMDRTYEVSDTKWVGGGGGALRCGVAEMVGGGTPFAGDLTSKLISYHMKCKNENGEDSIVVSMLLPEPAMERFTKEMSFWLKSY >KN538934.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538934.1:179453:185658:-1 gene:KN538934.1_FG012 transcript:KN538934.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFVMSKMIGDGSRFEDQDEYFLNYLKLDTIPTFCIACRWTMKAKNAFPSDRYGSIYTWSDQLERYRLLRYLGSEAFFKSSITEESTVKVMKMLENLMLEDESSEKYENFDIEFGYVVGQSSRNDIESGDKVLDPTQIVPKGTPTKRMRGFLEKQNNTSTAPRRNPGKKDEHGKRVRHGRKLPILPVKPRELTIASSPRKFVTLVRRLNPLQTAAMEKIGFGGLLEMPEININRCILDIPSEGPVISTLPPLTNEDYTLYSRYNDISLISLQEAILQESKQSEINEEYFIRRMMLFTIGYILCPTTKAYVSSRYLPLLRDVTKIHTINWASLTREHLCDSLKEFKGGLKNIEGNLPLMQCWYWEHVKAVGSEFCNINYNGRQIPLISFWNVANVSLRNKYDCIIGEGEGLRYEHDTHGFEDRENDFYINEQILEEDTNAFEDLENGYPILSDNEEIDAQQSFAYANDHMTYSTDNEKEKDDDRGLNQCEDDHKMKIKSPAKNADIELKRSIQFMENKLTNKLLSVEQICRKNTLAIEDIKNTLSEKRKSVPIQADAFRPKQEADVNKVEDNRTFDLGNERVPTITEEMHEDNTDETNLAKEIDGNGTEEAPFIVTADEANGTDDNNKTIAKRLRGQFGRTVKPSYQTQSDFIFYKQNKKNIRAQNSGSANLGLTLKDKITINYILQSEKTKVLANIQDIELSRAHLLPLVTPLDSSSKSKWLNTSVVEANTELINDKQHGQTRQYGSALIEKETQVQVFLPINRDKTHWYVIVINARCQEFQILDSMKMQPQWYNASEDIKNLINGVAKYIDYTVKERPVPTSWTDTNVAKWPLCPKSVPQQKDR >KN538934.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538934.1:28524:30001:-1 gene:KN538934.1_FG013 transcript:KN538934.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGKGDWRRLSPPSSFLPLVTTQFHSVLLVRTEKSVLATSVQQQGKFLSSSCMLGHGEQICGRSKDNITYQGRSSIHEFNKDYLSYFKLRDQLKEFGLKENDSLYYLKPGYFAPNGLVLLMDDNQCIQLLTDYEGKSSCSLYIVLGPARLVMNDEILVNGPSRREGSAAIRDIVATSNSDDSVEDETYFALNEIDDYSSFVEIVPDHEVENLLWMLVIIYLMVMVEMMSCTLGRSSTSGQNKMVKYLLMVEVMIMAITRSRGVDDNWFDEGTMRHPYIQEGMLFTDVGQFREAMKNLIIREGRKVERKNDPEKVLLSVWDKVVHGTCMEE >KN538840.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538840.1:150371:154446:-1 gene:KN538840.1_FG001 transcript:KN538840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFNADVEAHCDAGAPTGLNDAPDLINGLNDKIQDSLQYPIKEYPLELKPLFSAFALKNFSLTTLRSFLLYYLPLLEPHPHTDGDDEDDLLQDESENRPPVDLVTPFYNSVKQIIRETSVVTTRRILERIAVCHVSQRTAWKLLKDASKSSKRKSVRGMPFQEYSYCVARTTFRAHALGVAAAWVVQSIVEVYRCFFGKPSNDQAMFDEMDKVKLFGKKIYGITVKCGFSLVFASIGAGIGVLVHPVHGQWLGCTLGDFAGPIVAILVFEKFQLPL >KN538840.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538840.1:184038:193078:1 gene:KN538840.1_FG002 transcript:KN538840.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNLEKHLFATERHPLLSWSRRFNIIRGVALGLQHLHRNGSIHGSIKASNVFLEEGDLTPRLGDFGCSWLEPSSTRDDHHIRAVYGLPEVLGCPVPNCTSKATFRADVFYFGALIIEVVCGRRFFGHGVPGGFRFLVDWVWSLHGDGRILEAVDAELLLEEDGGDLNRTQAERLLLVGLVCSNEDRDKRLDMDAIVEILQSDNVPPPVAKGDASDDVKAPIPAAQNYIVGAFKPPCDISIAFSDARTRKQIAVKNDNGRTVMVPVFQSLETISGEVSVVPVPGKRIEHLGVKIELLGQIELYLERGKFYDFTSLVRELDIPGEIYEKKAYPFEFSTVEMPYESYNGTNVRLSFSEISTKVTISRNIMGMGSIVEFREFCVRNYSPAPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGSSAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQAS >KN538840.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538840.1:128700:130602:-1 gene:KN538840.1_FG003 transcript:KN538840.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division topological specificity factor homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69390) UniProtKB/Swiss-Prot;Acc:Q9C4Z7] MEEILLGLTLVLVVMPNLNFGKAYSAFTHAYHVSFPIAQFSSFPRGRSCNLMLTPKHLGIEHQSCSKSSIQTFALSRNDFSPIAQEVEGFLHNIVNMGFLDRLKLAWKIIFPAPSIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENIVEALSEFVEIESRDNIQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNKNY >KN538840.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538840.1:164882:165611:1 gene:KN538840.1_FG004 transcript:KN538840.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFFSITASFVPLKLLPFLYSMYSSSSSSSSASSSSSSFSTSSSYLALLVLVISTCLSLLFTNLRQMIRARSHRGKPHPSMEDQAVHQEEESIIVPQDEVADDAPEDLTGSLSESSDSPVSEECTEEGSVSDDGDDDDDESLIEISLVDDHYLGQEKKKCAWKEQDLLSEFLPDLLLDKRDFMDILSEIGEEDNLIEIDIARGSIKCSSFGIKA >KN538840.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538840.1:173604:176792:-1 gene:KN538840.1_FG005 transcript:KN538840.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSYFDIDDDDDDDAIVGQQGYAQKLIDCLNDNSVDRAIISILGMGGSGKTTLASSIWRRHDILNNFKCHAWVSVSQNYQIENLLSKILHQLDSKSMVHAIDDHNVMVRKIRSYLMDKKYLIVLDNMWDKDSWLLFDHAFPNNVFGSRVIITTRIEGTALLAQGNNSIRIGFLSPDDSWKLFCKKAFSKLTEAECPASLKTQADRILAKCQNLPLAIEAIGSLLSCRGMDEQEWASFYDQLNWQVTNNPELSWVSDVIHLSLNDLPNHLRNCFLYCGLFPEDSQIRRKWIIRMWIAEGFVEDRGTDTTPEEVAEEYLRELTQRSLIEVVERNVFGRARKFELHNMVPWIFTSVSSFRLLRVLCLRYSLLKDFPNAIASLFNLHYLDLSRTKVNKIPKSVARLKNLQTLHLRDTYVNKLPYEIALLTSLRHLIVSKGLYGASIPGNISVLKCLQTLRDVKASKNLVENLGHLTQLRTLSITKVSRSHAKDLWTSIRKMTKLTRLAVSTHGMNEVLSLEKFRAPRYLQKFYLYGRLAEGVTFPVSGHFQNLKILSMRWSGLTQDPLGSLSQMPSLVYLELCEAYGGEALVFQDGWFPKLRQLYLIRLQDLNSLEISDGAMTNLAYLELRALKNLKAVPKGLEFLRLLKHLRAEKMPGGFTDGITGDQAFLERVEVECW >KN538840.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538840.1:125493:127750:1 gene:KN538840.1_FG006 transcript:KN538840.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPDSCHEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERTPCHVPLKILSIEDGRPLKSFTHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAGVDDGTVPPIGSINMSDIMTGKCIAKIAANDPTLRIGPRKNGNSERSSIWSTIPEALEDVTALFYDEDRNEIYTGNRHGLVHVWSN >KN538840.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538840.1:211687:211914:-1 gene:KN538840.1_FG007 transcript:KN538840.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVQLAVFGSIDLAPWHPRHQCPKSSHLIELAGAQLPPDQMCPLSPHPSVHMDLVSANTTNIRSGNPRYQVP >KN538840.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538840.1:169397:172323:-1 gene:KN538840.1_FG008 transcript:KN538840.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLILVLLSKISTTLGKAAVNGVKSQLGKEITLLLQVENSMKENESEFEIMQAYISQVYLQSGNNQILKSWLKLVRKIAFEVEDIIDEYAFLLGKMDGTESFLKKTFNRSMNITTWHSISSQLQLVKDRLQQMTVMKERYGIKMSDGQKTIENLLSKILNQLDSKSTGHATDDPNVMVGKIRSYLMDKKYLIVLDNMWDKDSWLLFDRAFPNNTFGSKVIITTRIEGPALLAQGNNSIRIGFLSPDDSWKLFSRKAFSKLTEAECPASLKTQADRILAKCQNLPLAIEAIGSLLSCRGMDEQEWASFYDQLNWQVTNNPELSWVSDVIHLSLNDLPKHLMNCFLYCGLFPEDSPIRRVDRNVFGRARRFELHNMVKEIIRTTSRKQLFALTCEHPDVTSLGDAARRVSVHTGGQDFQPGAAWQQLRSFLLFDRCMTVPWICTAVSSFRLLRVLCLRYSLLQEFPNAIAGLFNLYYLDLSRTKVKRIPKSVARLKNLQTLHLRDTSVNKLPREITQLTSLRHLFVSKGLYGTSIPGNIGVLKCLQTLREVKASKDLVENLGHLTQLRTLSITNVSTGHAKDLWTSIRKMAKLTRLAEGVTFPVSGHFQNLKILSMRWSGLTQDPLGSLSQMPSLVYLELCEAYGGEALVFQDGWFPKLRQLYLIRLQNLNSLEISNGAMMNLAYLELRALKNLKAVPKGLEFLRLLKHLRAEKMPGGFTDGITGDQAFLERVEVECW >KN538840.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538840.1:138668:141916:1 gene:KN538840.1_FG009 transcript:KN538840.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEWHGCHRRRHHHHHHCSVLLVMVYLLIDVLPASPASGSTGDDARALMQFRSLITEDPYGALASWGGDGGGNHNQSASPAPPCGWSGVTCGVRGRRRGRVTALDLRGLGLAGAIASPSSLSALTYLRRLDLSQNHLCGGVPTSLLPSLEYLNLSRNALQGAVPSELGSLRRLRVLVLDVNNLTGGIPASLGNLTSLTVLSLTSNHLSGHIPSALGNLRALTGLYLNGNMLKGSIPSSVFNLSSLQHLVVQLNNLTGTLPPSAGDRLPLLKLLSVDSNQLHGAIPVSLCNSSNLEVVQMLENSFSGFIPDCLGVHLKNLWALILDFNQLEANSNSDWRFMDSLTNCSNLKVVGVSWNKMGGLLPGSIANLSTSMEFLSIGFNMVHGQIPQGIGNLININMLNMYRNNLTGTIPDSIGKLKKLSNLYLYGNNLSGKIPATIGNLTMLSRLSLEENMLTGSIPSSLGNCPLETLDLQNNRFTGPIPKEVLQISTLSTSANFQGNMLTGSLPSEVGDLKNLQTLDVSGNRLTGEIPASLGNCQILQYCIMKRNFFQGEIPSSIGQLKGLLGLDLSRNNLSGRIPDFLGDMKGIEGLDISFNNFQGEVPKRGIFLNASAFSVEGNIGLCGGIPELKLPPCSNYISTTNKRSHKLVMAISIAFAILGIALLLALFVFFCQTRNSRKGEHALLLISDQHVRVSYTELVTSTNGFASENLVGVGSFGSVYKGTMMSNDQEVVVAVKVLNLQQRGASQSFVAECETLRCARHRNLVKILTVCSSIDSSGLDFKAIVFDFLPNGNLEQWLHPREHGNRTRLGLIQRINIVIDVASALEYLHQYRPAPIVHCDLKPSNILLDNDMVAHVGDFGLARFVDHGQNSLPDISSGWATRRGTIGYAAPEYGLGNEVSIYGDTYSFGVLLLEIFTGKTPTDAGFAQDLSLHRLEFGVRRMFQQIAC >KN538840.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538840.1:114384:115259:-1 gene:KN538840.1_FG010 transcript:KN538840.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTSLLVTSVGLWKIDLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCHGRSACVVCAVLVALGIAENWKDAENIIRERRKIKMNVVHRKTLDDWSKYRASQKKDK >KN538840.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538840.1:178323:178739:-1 gene:KN538840.1_FG011 transcript:KN538840.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALILVLLSKIATTLRKAALNGIKSRLGKEIIILFQVENSMKEIESEFEIMQAYISQVQLQDRNNQIFVSWLKLVRKIAFEVEDIIDEYAFLLGKMGGTESFLKKTFCHSKNRTTWHNVSSQLQLVKIRLQHMTQL >KN538840.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538840.1:223830:224796:-1 gene:KN538840.1_FG012 transcript:KN538840.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQVRRIENEVSRQVTFSKRRPGLLKKAHEIAVLCDVDVAAIVFSAKGNLFHYASSHTTNLTGALDGATFLRHYYCRWGNTTSTIMGYLLASKTISFVGGMK >KN538840.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538840.1:146120:149100:1 gene:KN538840.1_FG013 transcript:KN538840.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAGVVRLAAASRALLLALSLLSRLLFRPYDTSASLHPPCLLSNSTTAAAAANSISSLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSVLAPLVPVLGYRAVLVVSGYVLNNVAFVAAAAYFYRLSVLILKSPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYVFTGANTIAMIMLALSGSARSNGALNAGYFCFQALLHAYDAAFQKKRPMLAVQVLVAGFLRSVFVFIPFFAFQAYGYLNICLHGNMEELRPWCKSKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLRQLFESNSIHELIVATVEGRSIEAYKSSDVDTVLKSGVSTNVTNKAQGNAVVKRRKSVATETDSNDQIIEVNNDVCPILVLPFILHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSSSKRWGNIICAYFIAYILLGSLLFSNFYPFT >KN539416.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539416.1:55484:55687:-1 gene:KN539416.1_FG001 transcript:KN539416.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSKEILEHDRKRQVELRLLLLRDALEEQGYMEGEVEERVEEARKEAEEEGCGAGRRPLPGKGYA >KN539416.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539416.1:95683:98817:1 gene:KN539416.1_FG002 transcript:KN539416.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSVGELLLVFIACSCCAHVVVCSSLPGNETDRLSLLEFKKAISADPQQSLNSWNERTHFCSWEGVLCRAKAPLRVTSLNLTDCGLAGNISPSIANLTFLKSLSLGKNSFFGEIPASLGHLHRLQTLVLSYNKLQGRIPDLANCSNLRSLWLDRNNLVGKIPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRGEVPSNLGNSLPNLQYLILSDNFFHGHFPSSLINSSKLNLIDMAENNFTGVIPSSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNRLQGQVPSSLSNISSQLQYLYLGQNQLSGGFPSGIAKFHNLIILGLDHNQFTGLGDLQMLQVLSISNNNIQGRVPKEIFNLPTITEIDLSFNKLFGQLPTEIGNAKQLASLELSSNKLFGDIPNTLSNCESLEDIRLDRNAFTGIIPTSLGNIRSLKVLNLSHNNLTGSIPVSLGNLQLLEQLDLSFNHLKGKVPTNGVFMNETAIQIDGNHGLCGGAMELHLPECSMTPNPTKSKQFMVLKIVIPTTSIILLAIAISIMLLRRRKHEGNSTSLPSFGRKFPKVPYNELAEATEGFSESNLIGKGRYGYVYRGNLFQGTNVVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPNGNDFKALVYEFMPMGDLYNLLYAPQCDSNLRHITLAQRIGIVADVADAMDYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARFNFCSTTASLGDTNSTSSAAIKGTIGYIAPECAGGGQVSIAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKFTEINIPDKMLDIVDPPLVQELGLCEEAPMADEESGARCLLSVLNIGLCCTRLAPNERISMKEVASKMHGIRGAYLR >KN539416.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539416.1:18086:26993:-1 gene:KN539416.1_FG003 transcript:KN539416.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLERVPKAEGVLERGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVDKASGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVAVVEGCHSLGVMHRDLKPENFLFASAAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPNISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDSSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLEPQLLASAVFAFLRLLAKNRLAPAPQCLECSDCGECKNAKNLAECRENLVSFCASVLRDHTQVCELIGRDLVRCLHELVLVPEFHELWKDSMLGRAAEICRIGMPGWCTVMAVSPEMETQLLFMMNNVKWGNQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNKVMQSGVIARWAVIGWLLTSCNKSYVQANAKLALFFDWLFFDEGKGNVMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLVENYDVRRKEAIAECVRNAFAVLVKKGVVPSLDILTCCEKLSPMLRQKLVAFLSSASPEETEKTCGQPIDEASKAMDLNNRVCSN >KN539416.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539416.1:58194:59798:1 gene:KN539416.1_FG004 transcript:KN539416.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRPRRPHVLCSLLLVIMVMPCAISAADLASDGRGRLYQLGASPGPEFAAALANVSRLVTDALAATALRRGTENGTREATSSGGGDGRTFPLDMARPGDADVVVAKDGTGHFCTVGEALKAAARRATNGGRRTVVYVKAGVYNENVEVWTTNLVLVGDGIGRTAYMDATVGAAGWLAWDGTAFAQSTAFYGEYRNSGPGSGTEGRVRWGGYHVITDPGVAAEFTAGEMVNAGEWLGSTGVPFTPGL >KN539416.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539416.1:77476:82349:1 gene:KN539416.1_FG005 transcript:KN539416.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSLYGNETDRLSLLEFKKAIRLDPQQALMSWNESTYFCSWEGVLCRMRSPHRVISLNLTNRGLVGQISPSLGNLTFLRHLHLPINSFTGEIPPSLGHLHHLQTLYLSNNSLQGMIPNLANCSNLTVLELYRNNLVGQFPEDLPHRLQRIQLSYNNLTGHIPASLSNISTLNQLLCVSNNFIGNIPDEFAMFPVLQTLYVGGNKIGGGFPEAIFNLSTLLRINIAFSDLSGKISPNLGNSLPNLELLQLDYNFFQGNIPYSLVNASNLYELDISSNHFTGAIPNSMGKLFKLSWLNLEFNELEARSKQDWGFMISLANCTELEILSIAYNHLEGRVPNSLGNLSVHLLHLFLGNNKLSGGFPSGIKNLPNLVYLGLEANQFTGVVPNWLGSLNGLQGIDFDKNKFTGFIPASFANLSQLGFLYLDSNKFSDQIPPSLGKLQMLQVLSIFDNNLHGSIPEEIFSIPSIMRIELSFNNLDGQLHADIGKAKQLTKIQLSSNKIFGEIPNTLGDCESLESIEFDSNLFSGNIPASVGLISGLKVINFSHNNLTGPIPSSLGNLSYLEQLDLSFNHLSGEIPEKGIFKNGTALRIEGNNELCGGPPDLHLRTCSIMASVSSKHKKSIILKVIIPVVSILSLIIAITTVFLSRRKLKKQSLLFPSFGIEFPKVSFNELARATESFSTSNLIGRGRFSSVYLGKLIQDNNVVAVKVFSLETRGAHKSFIAECNALRNVRHRNLVPILTACSSIDSNGNDFKALVYKFMPQGDLHKLLYSTIDDDETSNLNLIRLAKRISIVVDVSDALEYLHHSNQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFRIDPSTSLGDSNSISSLAIKGTIGYVAPECAVGGQVSTASEVYSFGVILLEIFIRRRPTDDMFQDGLTIAKYAEINFPDRILEMVDPQLQEELVLCQETPITAKEKGVHCLRSMLNIGLCCTKPTPSNCESLEKIMLDRNIFSGSIPTTLGNILSLKVLNLSQNNLSGSIPPSLGNLQFLEKLDLSFNHLKGEVQKQKRKSVDLPSFGRKFVRVSYNDLAKATEGFSASNLIGKGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFIAECNALRKLRHRNIVPILTACASASSNGNDFKALLYEFMPQGDLNKLLHSTGTEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPA >KN539416.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539416.1:13777:16332:-1 gene:KN539416.1_FG006 transcript:KN539416.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKSEWGATRQAAAPKPVTVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILTEQKIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVSDYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGQPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >KN539416.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539416.1:104024:107184:1 gene:KN539416.1_FG007 transcript:KN539416.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTATAHFLLVFLASISHSVICSTLGNETDRLSLLEFKNSITLNPHQSLISWNDSTHFCSWEGISCSSKNPPRVTAIDLRSQGLVGHISPSLGNLTFLRNLSLATNGFTGQIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSELTVLWLDHNDLAGGFPDGLPLGLQELQLSSNRLVGTIPPSLSNITALRKLSFAFNSITGSIPSELTALSGVEILYASSNRLLGGFPEAILNMSALVALSLSTNSFSGELPSGIGSLLPNLRQLAIGINFFHGDIPSSLANASNLVKIDISENNFTGVVPASIGKLANLTRLNLEMNQLHARSKQDWEFMDSVANCTQLQGISIARNQMEGEVPGSLGNFSVQLQYLFLGQNQLSGSFPSGIANLPNLIILGLDYNRFSGSVPQWLGGLKKLQKLSLSTNSFTGYLPSSLSNLSHLTEILLDTNQFIGNIPSSFGNLQFLTTITITDNNLHGGVPKEIFRIPTIAEVGFALNNLSGELPTEIGNAKQLIYLQLSSNNLSGDIPNTLSNCENLQHVELDQNNFSGGIPTSFGKLISLKFLNLSHNKLSGSIPVSLGDLQLLEQIDLSFNHLTGQVPTKGIFKNSTSMQIDGNLALCGGALELHLPECPITPSNTTKGKLPVLLKVVIPLASMVTLAIVILVLYLIWKGKQRTNSISLPSFGREFPKVSYKDLARATNGFSTSNLIGEGRYGSVYQGQLFQDINVVAIKVFSLETKGAQKSFIAECNALRNVRHRNLVPVLTACSSIDSSGNDFKALVYEFMPRGDLHKLLYSTPHDETSSDLCYISLAQRLSIVVNVSDALAYLHHNHQGTIIHCDIKPTNILLDDNMTAHVGDFGLARFKTDSRQSFGNSHLTSSFAINGTVGYVAPECAGGGQISTAADVYSFGVVLLEIFIRRRPTDDMFKDGLSIAKFTEMNIPDKMLQIVDPRLVQELSLCKEDSVINDENGAQCVLSVLNIGLCCTDSAPSKRISMQEAADKLHTIRDSYLRGY >KN539416.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539416.1:64047:67914:1 gene:KN539416.1_FG008 transcript:KN539416.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAAGCTLSLVSDTRAFYFPPIGDHLSCYKLLCFIPDSAVSMAVGLISPYQQGFFMLLNLARGKDINAVCGDEVNKNRSFLASCPVQIFCSSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVLCRKKTPLRVISLDLSKRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTFKGRVPDFTNSSNLKMLLLNGNHLVGQLNNNVPPHLQGLELSFNNLTGTIPSSLANITGLRLLSFMSNNIKGNIPNEFSKFVTMEFLAGLFRHLFRISQLAVLGLYSNKLEGHIPSLVNLQMLQLLLISSNNLHGSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLYLHHNNQGTIVHCDLKPSNILLDDDMIAHVGDFGLSSFKTNSSTPSLGDSSSTYSLAIKGTIGYIAPECSEGGQVSTASDVFSFGVVLMELFIRRRPTDDMFKDGLSIAKHVEMNFPDRILQIVDPQLQQELDLCQETPMAVKEKGIHCLRSVLNIGLCCTNPTPSERISMQEAAAKLHGINDSYL >KN539416.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539416.1:32576:38082:-1 gene:KN539416.1_FG009 transcript:KN539416.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEEAPIAAFAVSKGGVVLKNIFLNAPPSPLPVDEAARGRGGEDEEEEEEDPPVMFGRHPECHVLVDHPSVSRFHLEVRSRRRQRRITVTDLSSVHGTWISGRRIPPNTPVELTAGDVLRLGGSRREYQLHWLSLREAFDMEDLLPPLLEEDKEELSTCQEASKQLEPDQKESADTETHQETSQQVVSKQIDFHANVIPSAPPIPEFDDLFALEESSVPEFDDSREGRIEGNLIEENHVIDSVESSITQPMLATVADAGRSVKSGEKDTSNARRSKLKSVKTLRIETGRSKERITPLSYSYQKEENQNENPICSQNCGIECEACMVLFNNSYVREAEEKEKMTILDRIMMEENQEQTNHLQSKEFVHYVAPLNLDYETFSDNENCVLSVAKETEHNDFNSVNCISQDSVCENPQKISVLLHSVSPLVFKGDDFTDSKILQLCASVHKELSGPILENPFMQDISDENTNSNKDTGNEGLTLLNLDATLTSNENFAQSKIFVAPEDSQSEGTISENLFEISNMKGNEENEENSPWDKENITPFVSGDIIVERSQLRLKPTTISQELMDSISPLNLEHNDFSDDENSILSIGEQMNSNELIAKNLIPLTSVDANMQKSHAEFMPIAHLDFKDSILTDEETSVLSPEKYDTISPVRQGNLFPDKENVTPASRDLKPIIGRKVLGPRVDNSLSVECTSKRRIHRQEPNELSAKSKVCHAVDDDVFYSDKENLTPISSGGIKARRCLPKSLTVDADQDQEAFYSDKENLTPVSSAPRKTKNLSENRARMESAITKKRVVDRLPFQTLVSNSPLRHTSSLDSTQVNPRAVDVAMKLEGELNNVPHKGQESEKTKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFRMSSKATSMLQWINECMEKESWWIHVQSSSEMLPVAPTPPETPTTLCNNGGREISAGTFNPIALFSPRSFSDIVSPKTEDRVLDCALLFNKLKGNQNIVILSNSVTLKIKAMAEFMQIRATEPEYKSYSTHLKLNSLCFCLIEGIPLRRSKGIQGDPGEPVLQQVHVGGQRAERFSLVVPGRDHPGGELLQQPPWSQEEDPKAHGARQGPEADPAAQFSLWASDELRRESAIGSNGLVVN >KN539416.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539416.1:1894:4853:1 gene:KN539416.1_FG010 transcript:KN539416.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLTILHLISTTVGGLFRHDSTTGAASVEFWVAAATTLLLIKFAVDSIGPRFSSQKYINPAVQLLRILNHYAVSYTLGLMPPSSSHQGTVANAFFKVWAVLIVTMQDSIRIGRPYQPKEMTLVDMLTSLWSANQLRAKTAIHLRVPLWLMWSIHASRIVWYYITSSAAARDRDDDMKLVSDYMAMPTQHTSNDANPVTMAGYKYIVLGEEKLKQQIKVEPPSFTFGFNPQTQLEQEEVITVEKVWSQGSSDALLGEAGDSSNRFKDVCLSFALYKLLRRRFFNFPIHEASHAGNRQLVADAILDDKNGYERAFRVTEVELSFLQDFFYSKHADVFASGFPCVRLLLSLLMTAAASYLAYAVHDMPSVSTGLTAKGRLARISHGVFVTHCIIAILVIRELWEIIVYVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIARVTRVIFRTRKLRREVKMELFSSIKALLNSQEASASVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVGQIEHIQREIEGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGSGDGELADVWPHYVTAVTLSNYCAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGCSAMQGVPHQLILNSNRPRCNGDDDEEGIIDIGALLSEELVAAFVASP >KN539416.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539416.1:89170:92316:1 gene:KN539416.1_FG011 transcript:KN539416.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIEPGKFLLVFLVCSAHVVIRSSNGNETDRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKAPHRVIYLNLSGQGLVGTISPSLGNLTFLRYISLQENLLAGQIPLSLGHMHHLKVLYLSNNTLQGEIPDFANCSNLWALLLNGNHLVGKVPIDARLPPNLYFLWIVHNNLTGTIPTSLFNITTLTKLSIGFNQINGEVPKEIGKSRVLQLFAASGNKLLGRFQQTILNISSLADLDLGSNYLHGELPSSLGSSLSNLQGLALGNNFFGGHIPSSLANASKLSMIHLSRNNFIGMVPSSIGKLQELSVLNLEFNQLQSSDKQGLEFMNSLSNCTKLRALSLAKNQLEGEIPSSFGNLSMKLELLYLGGNKLSGRFPAGIANLHSLSGLALNSNRFTGPVPDWLGNLKNLQIIFLAANMFKGFIPSSLSNLSLLENVVLDSNQFYGHIPRGSIPMSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNRGLCGGATKLHLPVCTYRPPSSTKHLRSIVLKVVIPLACIVSLATGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSTSNLIGRGRYSSVYKGRLLQYGDMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSQGNDFKALVYQFMSQGDLHMMLYSNQDDENGSASIQIAFAQRLSIVVDVADAMEYLHHNNQGTIVHCDLKPSNILLDDSLTAHVADFGLARFKVDCTISSSGDSVISSAINGTIGYVAPEYATGGEVSTFGDVYSFGIVLFEIFLRKRPTHDMFKDGLNIATFVDMNFPDRISEVVDKELLEYQNGLSHETHVDMKEKEMECLRSVLNIGLCCTKPSPYERMDMREVAARLRKIKEAYLSSN >KN539416.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539416.1:49324:54050:1 gene:KN539416.1_FG012 transcript:KN539416.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIKIMCSSLYGNETDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVSCRVKTPHRVISLNLTNRGLIGQMSPSLGNLTFLKFLFLPANSFTGEIPQSLGNMHHLQIIYLSNNTLQGKIPNLANCSNLKVLWLNGNNLVGQIPADLPQRFQSLQLSINSLTGPIPVSVANITTLKRFSCLYNNIDGNIPDDFAKLPVLVYLHLGANKLAGQFPQAILNLSTLVELTLASNHLSGELPSNIGNSLPDLQKFQLGGNFFYGHIPNSLTNASKLNLIDISINSFTGVVPRSIGKLTKLSWLNLELNKFHAHSQKLDLEFMNSLANCTELQMFSIYGNRFEGNVPNSFGNHSTQLQYIHMGLNQFSGLIPSGIANIPNLIALELGGNLFTNVIPDWLGGLKSLQTLSLFNNLFTGPIPPSLSNLSNLVELGLSTNQLDGYIPPSLGYLQVLEEFTISHNNINGWVPNEIFEIPTISLIWLSFNYLEGELPSEVGNAKQLMYLHLTSNKLSGDIPSTLGNCESLVDIKLDQNVFTGNIPITLGNISSLRGLNLSHNNLSGTIPVSLGDLELLQQLDLSFNHLTGHVPTKGVFKNTTAIQIDGNQGLCGGIPELHLLECPVMPLNSTKHKHSVGLKVVIPLATTVSLAVTIVFALFFWREKQKRKSVSLPSFDSSFPKVSYHDLARATDGFSASNLIGRGRYGSVYKAQLFQGRNVVAVKVFSLETKGAQKSFIAECNALRNVRHRNLVPILTACSTIDSRGNDFKALVYKFMTRGDLYELLYSTGDDENTSTSNRITLAQRLSIIVDVADALEYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARLKIDSTASTSADSTSSIAIKGTIGYIAPECASGGGQVSTVADVYSFGIILLEIFLRKRPTDNMFKDGLDIAKYVEMNSPDRTLNIVDPELLDDKQLQEIPVTMKEKCIECLVSVLNTGLCCVKISPNERMAMQEVAARLHVIKEAYAKAISELGNRSAQLCTEKLGRGWMEVRQWLGGRHRRWQERLGNSGAGADAGEEGGRGERRRAADQAREKPLPRCWWADMSTSSLAQINC >KN540623.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540623.1:211:3403:-1 gene:KN540623.1_FG001 transcript:KN540623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGNMLGKNELAYQYAAQGACLALVARRKKALEGVAAAALERGSPDVLVLPADVSDADQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASKGKLVVSCSAAGTVATSRMSFYNATKAAQLRFYETLRAELGSEVGITVLTPGYVESEITKGKGIQSGGDVAVNEEARD >KN540623.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540623.1:22362:26338:-1 gene:KN540623.1_FG002 transcript:KN540623.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLAIHSVLMHVVAALVVLVYIPLSVPVRLFLWAFVKPLRREQLRGKVVLITGASSGIGEELAYQYASKGASLALVARRKQALKSVAAAARERGAPDVLVLDADVSDAGQSRRAVEETIAHYGKLNHLVANAGIWSTCPFDEITNITAFTTIMISCTGYFEACNNKSKGIVYMNFKDVNFWGAVYPTYYALPHLKASRGKLVVCSSAAGTVATSRMSFYNASKAAVLRFYETLRAELGSEVGVTILTHGYVESEMTMGKAVQKDGVLVVDQEARDVQIGVFPVGGVGAMCRVAMDGIRRGDRYVTWPSMYRPLQLVACLAPEIVSWLSNAMYNTKAGGGAPLSKRALDATGARRFFPEGLRRNPVVIKTDEECDGDEGVAACN >KN540623.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540623.1:11725:11995:-1 gene:KN540623.1_FG003 transcript:KN540623.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLMFHSVLMHVAAALVVLVYIPLSMPVKLFLWAFVKPLRKESLRGKVVLITGASSGIGEITYKPNASWMQ >AMDW01072620.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072620.1:303:1771:-1 gene:AMDW01072620.1_FG001 transcript:AMDW01072620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDFRCDEDSARWTDDQFSTAIVKAREGRRPLLVGTVSVTMSNHGVAVIDDVSFTDNSSWIRSRKFRIGVRVVMPTDSFGLRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLKLNTMNPNKLKSLVGMSDRQWSATLKQAKSCDMGGKCYVFKSEGCEIKFNPVGEILAARIGGRMCSLHELLPHQMVQIKQLVSQAYQQWDQMEEVQNEMALVDKSLIPFHDEKPMISSGMPSYINQAEGLMDNSGWEPSEMSQESMISSASQNAMYVDSLGTATTSTAEMVTNNISTLEPASAVPDSALYSWNSGMAADDHFSWQNNTNLGPWDHAN >AMDW01028131.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028131.1:99:380:-1 gene:AMDW01028131.1_FG001 transcript:AMDW01028131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATPSAPRDRGVECDTIAAFDLATEQWRPALMDGPLPADQRHRGQRPNLGLAALDGRLVAVHHDYPGRTINLWSLTPNDTTWTKLHSLPIKNVLR >KN538854.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538854.1:208424:208873:-1 gene:KN538854.1_FG001 transcript:KN538854.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPATITRHMVFPDVAASQCRQELAVAATIGTLRTLTQQGHQHTEQSSHGCRCKLHQYRHSHVHALDMVVIGPPPAPLLNLTSLVDSTPAACQLLQDQRDENTEIFRGPATTIFATAWFPEAGSGGAEGKARMWTVVTWDECLHAII >KN538854.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538854.1:163947:166668:1 gene:KN538854.1_FG002 transcript:KN538854.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLCSDDEQEDHIISEEDDFAVEEVESDSNALVADKTKLLEPAKGMLFDSEDSATCFYKSYARKLGFGVIKRGSKKTKDGKRFNEVAENGSVSDALCNLVMDGLNELQIKIDAHHGSKEIQEYQQNGKNKDMVPKQGKMVLSPISVRRRGRPPSLRKQSKLDQVVRRLRMKKQQESTSGVQSRRRRKTRTKNVMSKDKQLINIQNSRQMEVNFDHCYGGAYEAGSAEFAANEFQGLQSNHPAPPSHISSYMDLLQGNGDLTELLSQHMNG >KN538854.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538854.1:124932:128003:1 gene:KN538854.1_FG003 transcript:KN538854.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANKWYASFFLFFMMPFSLLHKSYPQSVNQSSDEHQILLEIKKHWGSSPVLGRWSSNSAAHCNWGGITCTDGVVTGISLSNQTFIKPIPPSICLLKNLTHLDVSYNNISSPFPTMLYNCSNLKYLDLSNNAFAGKLPNDINSLPALLEHLNLSSNHFTGRIPPSIGLFPRLKSLLLDTNQFDGRYPAEDISNLADLERLTLAVNPFVPAPFPMEFGRLTRLTYLWLSNMNITGEIPESLSSLRELNVLDLSSNKIQGKIPRWIWQHQKLQILYLYANRFTGEIESNITALNLVEIDVSANELTGTIPDGFGKMTNLTLLFLYFNKLSGSIPPSVGLLPKLTDIRLFNNMLSGSLPSELGKHSPLANLEVSNNNLSGELPEGLCFNRKLYDIVVFNNSFSGKLPSSLDGCYPLQNLMLYNNNFSGEFPRSLWSVVTDQLSVVMIQNNNFSGTFPKQLPWNFTRLDISNNRFSGPIPTLAGKMKVFRAANNLLSGELPWDLTGISQVRLVDLSGNQISGSLPTTIGVLMRLNALYLSGNQISGNIPAGFGFIAGLNDLDLSSNKLSGEIPKDFNKLLLSFLNLSMNQLTGEIPTSLQNKAYEQSFLFNLGLCVSSSNSLQNFPICRARVNINKDLFGKHIALISAVASIILLVSAVVGFMLLRRKKHLQDHLSWKLTPFHVLHFTANDILSGLCEQNWIGSGRSGKVYRVYAGDRTSGGRMVAVKKIWNMQNIDNKLEKDFLAEVQILGEIRHTNIVKLLCCISSSEAKLLIYEYMENGSLHQWLHQRERIGVPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLRAGDDESFSAIAGTFGYMAPEYGHRLKVNEKIDVYSFGVVLLEIITGRVANDGGEYYCLAQWSWRQYQEYGLSVDLLDEGIRDPTHVEDALEVFTLAVICTGEHPSMRPSMKDVLNVLIQFDRKSTRIQGSLKHAVSDETTLLES >KN538854.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538854.1:110369:111867:1 gene:KN538854.1_FG004 transcript:KN538854.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding LLTSSRYYEPKANKLVVQRRSQVGDSTGYSLESRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNASDAPARKSFTHLDLDNDGLLSADELKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >KN538854.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538854.1:121377:123583:1 gene:KN538854.1_FG005 transcript:KN538854.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGRKGVPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGIAARLVGKIEPYQPLSSVKDRSALRLIEDAEERGLISPGITTLAGVTSGNLGIGVAFVAAQKGYKFIAVMPAKLSIDKQILLRYLGVEVILVDPALNGFKGLLDRVEQLKKDMENVFVIDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFVAASGSGGTVTGVGRYLKMKNSSIKLICVEPAESAVISGGEPAFHNIQGIGPGFIPEILDRSQIDEIVAAREENKGKMIVTMFSSGGERYLNSELFAQVKEECININKAFC >KN538854.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538854.1:168511:171582:1 gene:KN538854.1_FG006 transcript:KN538854.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYVNFFLFFVISFSLLHKSYPKYTNQSNEEHQILLELKKHWGSSPALRRWNSTTAAHCSWEGITCTNGAVIGISLPNQTFIKPIPPSICLLKNLTRLDLSYNNFSTSFPTMLYNCSNLKFLDLSNNAFAGQLPSDLNHLSALLEHLNLSSNHFTGRIPPSIGLFPRLKSLLLDTNQFDGRYPAEDISNLADLERLTLAVNPFVPAPFPVEFGRLTRLTYLWLSNMNITGEIPESLSSLRELNLLDLSSNKIQGKIPRWIWQRKKLQYLYLYDNRFTGEIEPNITALNLVEIDVSSNELTGMIPDGFGKITNLTLLFLYFNKLNGSIPPSIGLLPKLTDIRLFNNMLSGSLPPELGKHSPLGNLEVSNNNLSGELPEGLCFNRKLYDIVVFNNSFSGKLPSSLDGCYLLNNLMMYNNNFSGEFPKSLWSVVTNQLSTVMIQNNSFSGTFPKQLPWNFTRLDISNNRFSGPIPTLAGKMKVFIAANNLLSGEIPWDLTGISQVTEVDLSRNQISGSLPMTIGVLARLNTLNLSGNQISGNIPAAFGFMTVLTILDLSSNKLSGEIPKDFNKLRLNFLNLSMNQLIGEIPISLQNEAYEQSFLFNPGLCVSSNNSVHNFPICRARTNGNDLFRRLIALFSAVASIMLLGSAVLGIMLLRRKKLQDHLSWKLTPFHILHFTTTDILSGLYEQNWIGKAQILGEIRHTNIVKLLCCISSSDAKLLVYEYMENGSLHQWLHQRERIGAPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLKAGDDESFSAIAGTFGYMAPEYGHRLKVNEKIDVYSFGVVLLEIITGRVANDGGEYYCLAQWAWRQYQEYGLSVDLLDEGIRDLTHVEDALAVFTLAVICTGEQPSVRPSMKDVLHVLLRFDRKSNGGILQDDICDETALLES >KN538854.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538854.1:112861:114931:-1 gene:KN538854.1_FG007 transcript:KN538854.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNCSIALEISHVATPGLPVLLLGSSLALLAVFLVYFYAPFWSLRTVPGPPTRFPIGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNVGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVDALVDNIAGCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADAAACDDGDDGDDDDVKEFLREYKKSMEFIKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMDQNERLLCRCIDAIIAGRRRDRKDGTYVWLAPGVLARDAAQFPEPEEFKPERFAAGAAEERARHPYAHIPFGIGPRACVGHRFALQQVKLAAVGLYRRYVFRHSPAMESPLQFDFDLVLAFRHGVKLRAIKRTNT >KN538854.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538854.1:135869:136243:-1 gene:KN538854.1_FG008 transcript:KN538854.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDGARIFVEAVPRRLQATAIWRHGGGQTKIGGVANESKGRAAGIGVTAAEIRGAGGAVWGRRRRVVSDGGESWAALAEETGVVERRGAAARCGDGGGLGVGMTRGERGSGDDGAVDWRGRR >KN540586.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540586.1:1554:3623:-1 gene:KN540586.1_FG001 transcript:KN540586.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYNYLVLGEAKQRKKVRPPHYRLELELTNEEELITVDKIWEWSKDDDQMRSGHGRRVSELLNQREGNLKDLCLSFALYKLLRRRFFNLPIHESSLQETKRLIFHGILNPVTTVPSATARVEAVNPSGSPSPSSPLGEVQSTDDHHPIPNYKRALRIAKVELAFVNDFFFSRHALMFATGFPFLRLLLSTLLVGAISYMAVAIHRFSKTATEDELGRVRVHHGVFFTWILLTLLGVKEMIEIVSYVFSDWTKVMLLCKYVRQPWWLRGPAMAMLVRLLCRYSLVRRWDEKIGQYNLIFSVGRRHIFEFTEFSEEMQKAIFVSLSSLRDRPDIQPSERRPPYVDRALSVIPTEGKDALKTVIDKLLEDLKGDARGILLWHIATCYCECHLADKREIDVSRPWIARILCYCLPTYKRARIWTGPFIQPSKLGSSEDGRAPSFTKNYITAVRLSQYCAHLLRLTPALIPGNDITSTAVLDEVIRETRQALKGCFSLKQTFEKLEVKLQSQNIEGSTGEEANQQDDQESTELEEICQDDQGSTGEEANQQDHQESIEFEEIYQDDQRSTGEEANQQDDQESVGNRENDAGNKRTLLKMGSELGQELLIRTNYNDEVLWKFLAELWAGFVLHLAESTRASKHKIYLSRGGDLLTHLWALLSHAGFMGSVDHGEMGSGEVQNSEVQRQDVDFNN >KN540586.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540586.1:42881:45583:1 gene:KN540586.1_FG002 transcript:KN540586.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPREIVQWFFLFLQTESAMLMRIEFLVVVIAVLYQLMSFLDLWRRRSRSSTMKYVLLILDAIADSTFLYTIGLMQNAPFKKDLFPVWALVLSNLRFSGCFISAYGIPDQENRRISEMSNVMALLGVAFLNSTRNSQFRHPIWALCAMQLVRSFYLIYAYNIAVRSPLHGKSSMYVVMSSQVDHHRRPDESSEVNLDTMEGYRYPVCGDQNQKLKVKAPRYDFDLNIIDDDETTEPTRHSRRQRLFFGYFCRGKQWYKRAPTTLDRIWKRNEDLKNMTCEKDANLIKDMCLSFSLYRLLRCKFDDLSVDSNIAKKTKRLLWKIMEEDDDPKRTFRIIESELAFLNDYFYTRYPVLFFRGFPVMGSLHPVLTIAFTFWLGRDIHKVYRPRVGEIAHVVHGVNVDLIITWVFMGVVVVKELWKMLTYLLSDWTKVMVLCEYVAENMIKDSLEVNNDHVLPSVAKFLSRINQSATDQIGLQLLEEINETLRKQPTCTHTILVWHIATSLCEIDLAQHYNTRLTESEVLHSLKLAKSCFSTQQPYMIKVQRLERALRANYTVANSISRYCTYLLASVPDVLPDSNFVPELILKSTVREASKILDGCDNLQSIYRRLMREAVEPQDNNDGDEDDQTEDDGCKWSELPGVSCFLSCIKGIYRCFFPEKKGTGDGGQPSAIGDGDNGSRNGESGNAHTAINVGQEERNDESGGSGGGEGAVDAARHEKIITMGARLGRLLIDATKHDDVARWELLAGVWADLLVHMAPSWNTEAHRRCLATGGEFITHIWAILCHCGVDKSDLWELREGAGEDIDEG >KN540586.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540586.1:37711:38892:-1 gene:KN540586.1_FG003 transcript:KN540586.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFNLRLPTLPTLLEAEEVEAAKAAGKQKTARGGGGRRCSKRAKATDAPIPAIPIWSMLPKLLRSEVSPANADVASSNSVDHDIDLPTAAVGSEGSGDSTWTVMLPGDGGWGSCHGARWLRHRCPSRRKASGGGASLYRVHHHVRGQDLLDSRNTVSRADAARKQAEHELMVARAKLVRERDAVKQLTKELSVVKMAMASCETELQASQT >KN540586.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540586.1:32787:33424:1 gene:KN540586.1_FG004 transcript:KN540586.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCFPLALDEVVQRGLWALPSGSISTWEQIHAAFCNNFKGTYVKPMMAGSLFAVKQGPEELLRDYFRRFIAAKSLIRGLSDSTIIDAAKQGQVDGTEFFSRQHRKPVNYVEKLMDKFEEYARSEEENIRRRAQGAPTSSAPTTQSASAPHPSAEVIPATPGATEPGLAPQAGRERCMRKPKGRREINVVKMAVAD >KN540586.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540586.1:45974:46210:-1 gene:KN540586.1_FG005 transcript:KN540586.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVVSGITAQLSTGLAILSAGAARDEGKELAVIDVDVGGGWVRDAWCTGALSSMIRMSATLGTVGTDLRCRRLVAVV >KN542829.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542829.1:1068:4228:1 gene:KN542829.1_FG001 transcript:KN542829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TCRVKSNKKHGMMTQASSPQQKAKKKKDTSNSPIATRIIDTYIMHLEQKYLQEAQARIKRIYDENVHHRNKNHWYLVVVHVKQRQIQLLDSSPSTGKQDTELTFVCMENWNGSKLTRKFKQGDIDIFRRKLAAILVGSVSIENTEITCSAIKYKIELEIPLAHAGDLPSYDAFALGGPHSVRGYGMGELGASRNLLECQEAHPTQISGGNKKIFPYKIRKLCMKTSRELKTKCYMV >AMDW01021235.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021235.1:100:237:-1 gene:AMDW01021235.1_FG001 transcript:AMDW01021235.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEAKLYISIYENDEDSNQDILELAKLDFHILQQMHRDEARRFS >KN542829.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542829.1:5341:5745:-1 gene:KN542829.1_FG002 transcript:KN542829.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESHARKEEEDRARGAAACIQLVVADGHNPGSELFFMALDVFERAYWRQWFIDYIPTPESRSHYIVQTWQKHFHGKGAGSRGGGQPGYDGGTGQQGYGGGAPPPTCSGGRFGGWYGGGPGGGGGPGCVDGNGLY >KN540870.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540870.1:2425:14024:-1 gene:KN540870.1_FG001 transcript:KN540870.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGARSSRTGTGNLTVIVDTGSDLTWVQCQPCADCYQQSDPVFDPSLSTSYASVACDNPRCHDLDAAACRNSTGACLYEVAYGDGSYTVGDFATETLTLGDSAPVSSVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATTFSYCLVDRDSPSSSTLQFGDAADAEVTAPLIRSPRTSTFYYVGLSGLSVGGQILSIPPSAFAMDSTGAGGVIVDSGTAVTRLQSSAYAALRDAFVRGTQSLPRTSGVSLFDTCYDLSDRTSVEVPAVSLRCSDQIWTAPGNHSYNIDCGGAADFVSVFGRRWLADQFFSAGGQAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRLFSVYDNYDSKLRTPSFDVSAAATLVLSFRSPWPEPASRYGAYSDLIFPSATSPTSDICFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLVLVNYGRLTCGNNLFGPGFTNDSDAFSRVWQSDIDFRNNDLNYDAITAGGRKIFGSNQPPNYFPTKLYTSAITTGGDASNEIEYLMPVDTRMSYMVWLHFAEIDAGIGSAGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTSSTMSVKLVPVVGRPILCGLENYAMVPLETRTVPNQVAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCLRKDKGLVITQLDLASQGLKGYITDEISHLTDLVSLNLSYNSLTGSLPPGLGQPSLATLDLSSNQFTGGIPGTIGSSKLQTALLNNNQLDGQVPERLYSIGVHGGVIE >KN540870.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540870.1:33402:36018:-1 gene:KN540870.1_FG002 transcript:KN540870.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GFGLHCECNTDVLEKILRKLVAWFSGNPGNLYCLGSLVRICGDSFILQSSMRGENTDGRREVQLGPHTIQTHGVRLARNHLHDWVVLILLAAVVIALHFAPPFSRFVGKGVLYSVLITAVVTTVVKNAVGRPRPDFFWRCFPDGKQLYDQVTGDVICHGEKSFLKDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRQGHVAKLCIMILPLLIASLVGISRIDDYRHHWEDVFAGGLLGFIMAMLCYLHFFPPPYHHQGWGPYAYFHMLEELQVANSHNAESQQSVCGHHVELSRQHNSRTSRNDLEAGRV >KN540870.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540870.1:29639:29950:1 gene:KN540870.1_FG003 transcript:KN540870.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLGTCFGVGVGGGDDYYRGYTTVAIDETGRKANNDVARKPVTAAAAAAAATRDVYGRAADQPSPKPPAAARNSKVADDTGVKQPAAAAAPVISRYPGHVY >KN540870.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540870.1:23430:23690:1 gene:KN540870.1_FG004 transcript:KN540870.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFSSCFGGKNELDFGETSRDPDYHPLSTTPSGNSYQGGGDATETRYPYQQQRKPAAAPSTDGSAKPPPLAAAGWSNNKVAHHA >KN541621.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541621.1:2121:5145:-1 gene:KN541621.1_FG001 transcript:KN541621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIACSIPKFVALVKTFDSFQKEAIDRIGFGGLLQMPDITLQRRTCGHIADRFDVATECVVIEGKHIPITLFDVECIMGLPAGELLMSPRPVSDEDDYKYYSVYKDPKKKNISLAILQDELLKAKVADEHFLRRFVLFAIGYILCPRTKPFVSSDYLALVKDINQIKHINWAGLTRDFLIHSLKELKGGMTNVEGNLPLLQFWLWEHVQVQDSKYNLTYGDRTPPLMSYWNEMNVNSWLKYDCKHGICGLVVEEITIPFQKCTETVHVEHEQDAEQALENKDSDLPRPDHGPTLEEQIKDDIQLDSKAGTDREQSYEATDYQSYAPTSPDSSNQPQLSANMDILMGQLLQLEHSTQFLDNKMTNKLLSIEGICNQNRKNIQDLKKTYKASRSTLFGTSTKHRKIEIIHEHSKSTKHEEVMTPQSDSMKESKAEPKNKDPYVLGKRVVKPAHKAHTDFLYYKKKFTVTASSTKQPKIDDIDAMTINYISKCKDNVLLSTINGVKIYSQFLKALVLPKEASAASKWLKGCVIDAYIELIKDKQADTPRGNGIALLESEAHCQQWKKDGAKQGSFTKRYNDKRAALASNYLHHDMIFLPLNRNTSHWYVAVLNGAKQKIQILDSIRMDSKSYEADKILNGTIQGIHKFIAYATEGEGEGVTRKWKNTNLADWPICPMQVPQQVDGWSCGLHMLRFIEHWTGKELSPEFHGMDTCTTFRAKLASILINSSMNDVINIQEDIRRIQTEELQQKKPE >KN541621.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541621.1:15566:17271:1 gene:KN541621.1_FG002 transcript:KN541621.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGDSEFCGEETGTRLRCRHGLIPARRTAWERTDTGRQFLGCPLEEHEQCDTLIWVDLEWHPRVQKTMEIMWNALEREGNTSSACTCFMDKMKAEELKMTLELEMADRLHDATRPNGFIKAQHGPHIYMYKYLPPTLNHPNPKPPQSLTTSWPNNEDWAAVRPSPRRWDVELLGGPNGLMYAGGFHTHCEYGNDVTLTKELQTKLVCIVALARSFIPLFVHTINTTNMEYGKMVSQPVTFIGFARVSIITNGQQSFAAQFSRDYLHKYLAQPIESFRVMNCAMAEPFFMKMRISDDERVILTSGWTAFVQAANLYVGATCVFRFFEKK >AMDW01010992.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010992.1:27:207:1 gene:AMDW01010992.1_FG001 transcript:AMDW01010992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRRMPVWRTDGAVVTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPIT >AMDW01113250.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01113250.1:1:543:1 gene:AMDW01113250.1_FG001 transcript:AMDW01113250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMPPPPAPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPPTQPPSPGPMPPTPPPPAPMPSPPPPNQPPPPGRCPLIKIVILKECTKLSLFDLVLNPSKARQQCCPPLEDLSSSGATDCLCRAFRGPIGILPPPIRVILGLCGKTVELNLFCH >AMDW01084087.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084087.1:160:315:1 gene:AMDW01084087.1_FG001 transcript:AMDW01084087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIHKLADYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >AMDW01027151.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027151.1:51:323:-1 gene:AMDW01027151.1_FG001 transcript:AMDW01027151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDQASIVGGAIEFVKELEQQLQSLEAQKRTLLPHHKARCDDATPMHNASGSNVGAGGCMEPTTTTSNCSSSVTEDAPSADAPPFAQFFAYP >KN544004.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544004.1:2615:2827:1 gene:KN544004.1_FG001 transcript:KN544004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMVWVGKMLSLWAVAVAVVVEAISINGFDACAWPRRGLGLGLSLGVPAPRLDLVGRSILNLRLPSPRN >AMDW01031791.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031791.1:5:356:-1 gene:AMDW01031791.1_FG001 transcript:AMDW01031791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERQRIEGAPPPAVESSMPPPPSYEEINGVFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRK >KN542635.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542635.1:2725:3033:-1 gene:KN542635.1_FG001 transcript:KN542635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRSTGKGPTGEEEGKKVTRVLEWEGCVVLRVPTATADEAWALLSDFLAFHRWHPRVAKCNRERSEGGVGDSGQREQQATRMAAATRNPHAACSSRPL >KN543955.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543955.1:2513:2841:-1 gene:KN543955.1_FG001 transcript:KN543955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGGLENSIPFPQDNIEWTGYMPSGPPLARIGLRDIKNAAWDIKCCVTNGCKKTGKSILKTCQGNLRDQLGA >AMDW01038282.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038282.1:160:798:-1 gene:AMDW01038282.1_FG001 transcript:AMDW01038282.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDATELWSLHAYAGLPQAKPQFPVASMDDPHLICFMVQEREFEGRRRYCHIKRTWMVMFDTRSKKLLSVCSCHDSWLTLPSKISSYVTSDDPSYCSNAATSSEPNVVDHDTVIDDSVRSSSEPSAGGKHLIRVSGVASPDEIFAALEEIPDLSRDDLLRAYSLLCSDNGGRRFKSLLGLPMTLRKPWLLMEIKASEARSVWCACRAELQNV >AMDW01027717.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027717.1:15:334:-1 gene:AMDW01027717.1_FG001 transcript:AMDW01027717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QMILDHEEENPDKFKDTTYESTVDSSIVHEENRVQHTEGYFKDTLLKKEVVNIDIKELDLDAARAERQ >AMDW01040872.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040872.1:22:2622:-1 gene:AMDW01040872.1_FG001 transcript:AMDW01040872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGDWLIDVAPHYYDLSNFPSCEAKRVLER >AMDW01038892.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038892.1:206:739:-1 gene:AMDW01038892.1_FG001 transcript:AMDW01038892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPISFTSLIISSWTGNKENNISQNRRHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPA >AMDW01033710.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033710.1:145:486:1 gene:AMDW01033710.1_FG001 transcript:AMDW01033710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QTFALSLICPDPRTDYALFSPLLEHQSDRSPLASYLIDCLATIMYSNFKEQAIEYVKQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRA >KN540543.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540543.1:19225:21438:-1 gene:KN540543.1_FG001 transcript:KN540543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPSTRHLNNSDKQCLQTSIYSDSNNMSFFCQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADASRLHEKLDKESGIEGSRKLEHTQQFACLDRAAVETPEDRSSASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >KN540543.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540543.1:41674:44896:-1 gene:KN540543.1_FG002 transcript:KN540543.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPPLLLDEAARARRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAHVISANRIRLWNKGVDSASFHPKFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFIGDGPYRSELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLEDCLGKIQLLLTDKEFRDNMGMTARAEMEKCDWRAASKKIRNEFYNAAIWYWRKKRAELIKPLQWLAQMFLPAPEANRITQH >KN540543.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540543.1:36043:37433:1 gene:KN540543.1_FG003 transcript:KN540543.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRDVCMEVLPPMDHYASRGNWFMARKWSPEENKQFERALAGLDLRCPDWDRVASAIPGRSALEVMNHFRDLELDVQQIENGMVPFPVYGAAAAVRASTLELFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLISNQSNTSTLTAAVAPFSSTADVKPQNAANASFSSPSRTLGMAGYGMGLQDQGLQCGGPLHDQLAASRSILF >KN540543.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540543.1:22458:23392:1 gene:KN540543.1_FG004 transcript:KN540543.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVQKSANEVGNQDRAEEEDMRVSLDSLQLGQEEEKAALAKAAKLWPSSWIFFSNEKLCQSMWLLQQLTATSTGEEEHVKDYRNRLLCPEPAAVTIWWHRTAEEPGESFAVITLCSDYQKIQNTIFTLFRPEDEAITVQHGDV >KN540543.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540543.1:47296:49002:-1 gene:KN540543.1_FG005 transcript:KN540543.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding TNCGGDERKYLGLLELVRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASDEEIKAVEKLICGTEIDLVELETRANQPQILKVFS >KN538853.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538853.1:108275:109951:1 gene:KN538853.1_FG025 transcript:KN538853.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTINLLLLLLLFFFGSGAELDDGGELQTLLTIQRDWGSPAAFSSWKSHIGCGRAKDLRYKWGSPSPQWLAFGVGKALYDPTVTSLSFHNFQIANPIPASICSLKNLKYLDLSVNNLTGEFPTALYSCSALQFLDLSNNEFTGRLPEHVDKLSLGMQHLNLSSNSFIGDVPPAIGRFSKLKSLVLDTNSFNGSNPGAAIGGLVELETLTLACNTFKPAPIPNEFRRLTKLTYLWLSWMNLIGNIPDALSALTELEILDISMNKMEGKIPKWIWKLQKLEYLYLFANNFSGEIGPDIAAVKLQELDLSTNKLSGSIPEDIANLKNLRLLYLYYNNLTGSIPKGVGMLPNLTDIRLFNNKLSGPLPPELGKYSELGNFQVSNNNLSGELPDTLCFNRKLYDLVVFNNSFSGVFPVNLGNCDTINKIMASNNHFVGDFPKKIWSFAMLTIVIIGGNNFIGTLPSKISSNISWIDIENNRFSGAIPTSATGLKRFLAKNNLFSGTLPSDMSKLANLTRLDLSGNQLSGSISTFC >KN538853.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538853.1:65133:68478:1 gene:KN538853.1_FG026 transcript:KN538853.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASESMKKISRGRLGGSWMGEPSDHHRHGDEQEEEEKTLELSLGLPGGGWRAACRDKGTTTKHSIAAAAAAADDGGDKSSMLSLGYSTLVSHSQGKANKNKGSPEEEEAHPPPATGNNALASNNNGCFQTRSPSTPVVGWPPVRTFRRNLATSSKASLELQNGKKAAKAEEIKRAPFIKINMDGIPIGRKIDLNSFDSYEKLSLAVDKLFRGLLAVKRLRVLKTSDLSSSVSTSFHLPSITSNSRLFSGR >KN538853.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538853.1:6097:6294:-1 gene:KN538853.1_FG027 transcript:KN538853.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRRFNGFGRTHPALFQADAMRHILRKLSAAAAPGSPKPTNTSRRHLTTAEGAIKSTGGMLIS >KN538853.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538853.1:271:767:-1 gene:KN538853.1_FG029 transcript:KN538853.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPVDAPAAAAAEPMEVEADQCLDLTSCQLHDLSEVEIPPTLEELDLTANRLAAVDPRIGRLPGLRKLSFRQNLLDDAAVAPLSTWADIAGLQLHHDTGFL >KN538853.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538853.1:54814:56299:1 gene:KN538853.1_FG033 transcript:KN538853.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >KN538853.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538853.1:15409:15666:-1 gene:KN538853.1_FG034 transcript:KN538853.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLLEVAMQVGGAEFVGNEASGLTMASGSFSGEVATAPFGWAFLARCGHMVRPVDELSWHERQEMPSLTREVDGQQWHRVVRG >KN538853.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538853.1:98315:98641:-1 gene:KN538853.1_FG035 transcript:KN538853.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGSFFLPFLPRAKLASSEESAARPKQARSHAGAVAAMPPAVVHPSMAAASFDSVGGGASVNGSGTARREQAPRWRRRLKDGDEVLLPLAALAADGEEASREARG >KN538853.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538853.1:86210:89376:1 gene:KN538853.1_FG039 transcript:KN538853.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNILILFLLLLLFSLSAAQPSADEQKLLLAIKQDWDNPAPLSSWSSTGNWTGVISSSTGQVTGLSLPSLHIARPIPASVCSLKNLTYIDLSFNNLTGDFPTVLYGCSALEFLDLSNNQLSGRLPDRIDRLSLGMQHLNLSSNAFTGDVPSAIARFSKLKSLVLDTNRFNGNYPGAAIGGLVELETLTLASNPFEPGPVPKEFGKLTKLKMLWLSWMNLTGTIPDDLSSLTELTLLDLSQNKMQGQIPEWVLKHQKLENLYLYASNLSGEIGPNITALNLQELDLSMNKLSGSIPEDIANLKKLRLLYLYYNNLTGPIPAGVGMMPNLTDIRVFNNKLSGPLPTELGKHSELGNFEVSNNNLSGELPDTLCFNKKLFDIVVFNNSFSGVFPTNLGDCKTINNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNNFTGTLPSEISFNISRIEMENNRFSGALPSTAVGLKSFTAENNQFSGELPADMSRLANLTELNLAGNQLSGSIPPSIKSLTSLTSLNLSRNQISGEIPAAVGWMGLYILDLSDNELAGDIPQDFSNLHLNFLNLSSNQLSGEVPETLQNGAYDRSFLGNHGLCATVNTNMNLPACPHQSHNKSSTNLIIVFSVLTGVVFIGAVAIWLLIIRHQKRQQDLAGWKMTPFRTLHFSECDVLGNLHEDNVIGSGGSGKVYRINIGGKGSAGKVVAVKRLWRTAAKSDAKSDKEFDAEVRILGEARHINIIDLLCCISGDDTKLLVYEYMENGSLDRGLSYMHHECAQPIMHRDVKSSNILLDPAFRAKIADFGLARILAKSGEPNSISAIGGTFGYMAPEYGCRAKVNEKVDVYAFGVVLLELTTGRVANDGGADWCLAEWAWRRYKAGGELHDVVDESIQDRAAFLDDAVAVFLLGVICTGDDPALRPTMKEVLEQLVQYDRTSSVAAACRDDSGSAPSFSKGKKDGKGKSSSAGTTAGEVWGAGAGDEESGSFVAHPV >KN538853.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538853.1:26619:33118:1 gene:KN538853.1_FG040 transcript:KN538853.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSEPSSPVLGSDPYSPSQVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALAGGIEKDKFGDKGQLSVEDMERLMKYQDDVVRLMKENEHLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALNRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPMRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTKAVNRVQKLTLPKAGQTSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >KN538853.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538853.1:100801:102280:1 gene:KN538853.1_FG041 transcript:KN538853.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGGEVSAAVLLTQLCSAAAISCVAGWLVPSPAAGQGPRSGTWKQCCCIDLFLRSAASSQQMHRQMSCRLISDQQEQEEEEDVVVVDRRQSLSSLRPRRRDIGCELLMIKNLQCRLEETMLGIIRHDNIVVLRGSIQIDDDDGGTVQLVPIIHRDIKPTNILLDGNLKAKIAGFGLARINVAGLNQPLLNVEIPSEAFGYTAPEYATAQVNEKVDVYSFGVVLLELVTGRLGNEPGVNNGHLAMWAQRGYGRHLSKGVVDKEIVPDMARYLKEMKAMFKLGVECTAMDPRERPSMLTVLRRLTKLGSVYVSCFNSSDN >KN538853.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538853.1:33974:38050:-1 gene:KN538853.1_FG043 transcript:KN538853.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCKNFGSPVFVVIVIAGSGIGEGVKMSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGADTSIEEYIIGPAIEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDYEDNVLSPLLSRQTTSAEGKDIAHHGHRGSALSMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEEVPGSRRGSVISLPGGGDAPEGSEFIHAAALVSQPALYSKDIIEQRMSGPAMIHPSEAAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIASLVVLVVSNVIDLGTVAHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCSIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQATKA >AMDW01040945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040945.1:49:423:-1 gene:AMDW01040945.1_FG001 transcript:AMDW01040945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >AMDW01030397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030397.1:82:420:1 gene:AMDW01030397.1_FG001 transcript:AMDW01030397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNALRCAKVALQGTSPLLRRRRRADPNTRHHYGFAPLHMAAENFSVDMVKLLFCYGASANIRTKGDNVIEGLLPLHVAVENASMHKYIEDHWAHGDHIINLIFLLCLPEM >KN545209.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545209.1:1560:2082:1 gene:KN545209.1_FG001 transcript:KN545209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDELQRASKKSNQNKNNKESTNTAEEHIVNKSKNTEMDGQDVLSKTNELNLLQKEKMHSTNQRTYETESTQHYGYPLYDELSLWQQDNISYTGLMEQIMNK >KN543767.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543767.1:111:1521:-1 gene:KN543767.1_FG001 transcript:KN543767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNSKNKSDYGLEDFFQEVQEIEMLLDKMSNINHKLQNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQNLRQIIQDEYRQGVVRMVFT >KN543767.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543767.1:5087:5296:1 gene:KN543767.1_FG002 transcript:KN543767.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACTRRDNEEHRQCVAACLVKGVYTMENNSNRRRVHTNALALAWWESFGFRLLRVIKDDSDNNDQFIIGA >KN539714.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539714.1:18178:27319:1 gene:KN539714.1_FG001 transcript:KN539714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAFNIVLQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSREMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLVGSLCACCVAKLLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLKCGDIVPANAFVLNMAQIDTKTIRHERSVNYVMGSLIYYGSAVSCGEGTAVVTVTGNNIPMSTLKQLYPKRFSRPGQLRKGVMAAATFCICLVLVGITSEALVKFFFHQSIGTLHSGHFMPLIGLIPMSMPAVLYLALALGSRRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCKKPYFDKDKIEVLTEGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQARVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIIILLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFARRCAMVGYEFSDADSIRESDIGIAVADATDSTKSEADIVLTEHALLSVYSAVQTSREICQIMKGCMVYAVSSTVHAFAVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERVKPSQSPDSLKAKNIIATGAAFGSYIALSTVVFFIMTTRTDFISHIIKARLLVGHDEEIKSALFLQMSILNHAIGLFAQSRDGHCSGPIVTISSVLSQLVATVIAVYGDVNSPLPKGIGWGWAGFIWLYNLVLLLSLILICDLCNLAKFNIFGITCRRLFTGWTEWMERCRRLLNRGISRMESMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIIILLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFGRRSAMVGYEFSDVDSIRESDIGIAVADATDSTKSESDIVLTEHALLSVSSAVQASREICQIMKGCMVYAVSSTVHAFAVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERVKPSQSPDSLKANKIIATGAAFGSYIALSTVVFFIMTTRTDFISHIIKARLLVGHDEEIKSALFLQMSIVNHAIGLFAQSRDGHCSGPIVTISSVLSQLVATVIAVYGDVNSPLPKGIGWGWAGFIWLYNLVLLLSLILICDLCNLAKFNIFGITCRRLFTGWTEWMERCRRLLNRGKTWMEMLTLTVISGLILVWSIYAYHVMKVPQQ >KN539714.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539714.1:68583:74469:1 gene:KN539714.1_FG002 transcript:KN539714.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSSSGVGVGGGGGGGGSKVMSPKQVLTVVLVVFCALSFVKLLLLSGGGWGGCGGGGFYFIPGALSIEEQCYWIRESLKTFPQPPNRTNLTALYGPIFDLLTAAKSGKILVEVGNPNDQERSEQNSDGRKSNSFKFLEDTEIHKGERNYDVSLPHNKIPDLLSALAKKMAIPAMPSGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWSKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARECFHGVPRIFTGSDQAEISALVPQLSAEDDSFILNYIQNSRININIRQVY >KN539714.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539714.1:49707:49934:-1 gene:KN539714.1_FG003 transcript:KN539714.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLDRGCWTPPAPQMAPPLDVRLLPDTDSVGQPFILLALGRCGQGNSDRPIIAAAVLRHGYLDNQRTSAVRRC >KN539714.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539714.1:39705:44701:-1 gene:KN539714.1_FG004 transcript:KN539714.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGATVEAAIGWLVESILGSFFTEQMEAWIRGVELTEGVKKLEFEMRNVEMVLATAEGRRIHKKPLIQSLDVLRELLYDAEDVMDELDYYRLQQQIEKVARDHLILLKKLGGFPFHVPITNRVCFAGEGCSAAAANYPEASYASSSTPFSPYQLLRSARSQITVWASYCRKRKRGEGDTTHCTMLPLEIRFDISKRINGIVNDLQKAGNSVRGILLPGVSHPALTSNQRQSKIRNTRLTTSVPIELTVYGRDADRDRIIEILLNEEFSDLRVLPIVGIGGIGKTTLTRFIYRDRRIIDHFDLRIWICVSTYFNEVDITREILEHIFKDKQKFKDVSNFNVLQEILLKNIRDKRFLLVLDDMWEDKDMSGWDKLLAPLKHSQVTGCMVLATTRKNSVAEMIGTVNAFQISGLDEKEFWQFFKACAFGKENYEGDPSLQSIGRQIAKALKGCPLAARSVGALLNRNVSYEHWRTIRDKWKSLQIKDDDFIPILKLSYDYLPSHLQRCFSYCSLFPEDHRFSAATLVQVWISQNFVQCEDIGKGLEETGLQYLDSLVDFGFFQKVDRHYVMHDLMHDLAQQVSAKECYTTKDMLVYGDYKDDAFPRALTSFYHLQVLNVRFSGNIAVPAAMNNLVKLRHLIADTKVHYSIGGVGNMISLQELNFKVQNISGFDIRQLQSMNKLVTLGISHLENVKTKDEANGARLIDKEYLKALFLSWSVGSISLEPERTKDVLEGLQPHHNLKALRIAGYTGPTSPTWLSSNLSVTSLQTIHLVNCGEWRILGSLEMLPMLRELKLVKMWNLVELSIPSLEKLILVELPKLEKCFGTYGRELTSHLRVLNIKDCPQLNEFTPFQSLSSFRTEQKSWFLSLNKLTIGCCPHISKWEILPLREMQSLKELELVHLHAVKELLVPPLEKLMLIKMASLEYCSGLTSPSLQISTSLGDLNESLSGLHDLTIHDCPRLVVSHHLPFSAQMWRFFISGIPTLPTMEFTYDLKIKSEELVMLDDKIISFHNFARIRSFCLVDCPNLVSLSTEGLNQCAVLEKLHIKNCPNLIIPSSFVVPSLQFISIQACGISGHCLTEMLLHVHSLHRLELHDIPQLKFVSFSRQAAEKEGMSSLEATAARPLARDDEQLLEIPSNIIHSLRWLDISNCPELEFVAGEGVLLGYTSLVRLRIQRCPKLMPLLVMSDKVDAALLPPSLENLEIDMSPELSAAWDLKLQEHGQIIPLQPHPSLEELDISNLTDKDQSRLLQLFPTITALYIWQSPELTSLQLGHSKALRELEIIDCGSLASIEGFGSLTNLRSLAVSDSPGVPAFLELLSHQQLASAEILSRLETLQVGDGSVLTVPLCRRLASLRRLSFWSWGSRRGETMIDLTEEQEGALQLLASLHRLDFWHLPNLRSLPAGLRRLTSLEWLDVEDCPGIVRLPEMGLPPSLTRLHVRRCSEELSMQCKMAARENLDVHIDDLLVDYVII >KN539714.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539714.1:75495:77325:-1 gene:KN539714.1_FG005 transcript:KN539714.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASTMSMMTRPQLLHLFSRFSFLTSLPEVKARIADAVRDKQEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNVMYEDDMELMVKFYQFVAKEEMAIDEAELDPREFAEKLHAQHKLQEQQLKMLIQMRKYNPESQSVILETLRKQLESANFDTDASILTPEQIQEIVEN >KN541443.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541443.1:300:5392:-1 gene:KN541443.1_FG001 transcript:KN541443.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLNLLLLVLCSLLLARRNASSPLCSDHQTPVLLDDAAGLEFCSNRGAGDLSCCDAADDAALEAQFEAMGFKPEDSACAGLIKSIICEKCNPFSAELFNTGSATRTIPLLCSSHYENSSQNKEDYCEQVWKRCKSTIISNSPFQPSAKDYAGLTGPSFVLTDFWSTENEFCEAYAATSNNLSACFNGHGASSNIRKLSASSKGMCVEKISDGSYTSMVAHPDGSSRAFFTTQNGKIWLAAVPELGTGDILHLDDMNPFLDLMTEGYLGSEFRFMSTAFHPDFTKNGRFFVSYSCDRTQSPSCAARCPCDCDPPKLGSVNSSQHCQYSLVVSEYSAKGPSSNSSEATYAEPSEVRRVFSMGLPYASNHAGQLLFQPSNGYLFVPTGNSGNNGDNLSLSRNKKSLLGKILRLISMIFQASREDLKKLADLNEAASKNLCGNYTIPEDNPSADDSDLRPEIWALGLTNPGRCSFDSAKPYHLYCTDDGQGEYKVVDLISKGGDYKWSDVYKDHHGAPPPWAAKGTKPSDGIIFPVMGYKAYSATGNTTTASIVGGHVYRGSTDPCLYGRYLFGDMYTSALWTGMVTTDGTGKYTSNAIHFKCSRESPMPCNESTNNPLGSIFSFGEDNKKDAFILTSQGVYRVVEPTLCDYTCVSTASTKGMMMPSGGNGMVPLVKYAIGNNQVFVGNRIENVSVNNNGAHPAKPPISQ >KN541443.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541443.1:23368:27309:-1 gene:KN541443.1_FG002 transcript:KN541443.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding CYYKMVCMSGHFQMASVLKLVMMENHATPDDLNGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSPGKVNPNDSTGTDQKVHIKLKAKSQSENKNIANGFELDNVLANKGLNHLPTMQLSPVYLKVTIESLSDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHLGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRAQATKSQIADVQVSTVKNEMARSRCSKDATRIPKTQVRKDRSPFIALIYLVAITEEI >KN541443.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541443.1:8247:16533:-1 gene:KN541443.1_FG003 transcript:KN541443.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLNLLLLVLCSLLLARRNASSPLCSDHQTPVLLDDAAGLEFCSNRGAGDLSCCDAADDAALEAQFEAMGFIKPEDSACSGLIKSIICEKCNPFSAELFNIGSARRTVPLLCSSHSENSSHNKEDYCEQVWKHCKSTAISNSPFQPSAKEYAGLTGQSFVLTDFWSTKNEFCAAYAGASNNHSACFNGHGAPSNIRKLSASASPRGMCVEKISDGSYSSMVAHPDGSSRAFFSSQDGKIWLAAVPEQGTGDILHLDEMNPFLDLMTEGYLGSEFRFMSTAFHPDFTKNGRFFVSYSCDRTQSPSCAARCPCDCDPPKLGSVNSSQHCQYSLVVSEYSAKGPSSNSSETTYADPSEVRRIFSMGLPYASNHAGQLFFQPSNGYLFVPTGNSGNNGDNLSLSRNKKSLLGKILRLISMIFQASREDLKKLADLNEAASKNLCGNYTIPEDNPSDDDSDLRPEIWALGLTNHGRCSFDSAKPYHLYCTDDGQGEYKVVDLILKGGNYKWSDVYEDHHGTPPPWAAQGTKPSDGIIFPVMGYKAYSATGNTTTASIVGGHVYRGSTDPCLYGRYLFADMYTSALWTGMETTDGTGKYTSNAIHFRCSRESPMPCNESTNNPLGSIFSFGEDNKKDAFILTSHGVYRVVDPALCDYTCLSTASTKGVMPSSGNGVVVLVSTLGVAGLCCVCKNNQVFVGNKIENVNVNNNDAHPVKPRISQNPCESKIAHVTPNVAFSLNHTANVNILKVVQIKNSLAAFVLFLLQVHLFPYHVFTQFGPNETRSSTSTAVISLTDGPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENSLMVSHLSPRDNSSLNFAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQRAEVQVSAVKNEMARSRCSKDAVRHSKNTGNKKVHQQRNGTVPVINIHSRLAHLVVR >KN542987.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542987.1:9894:11297:1 gene:KN542987.1_FG001 transcript:KN542987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVELYYEREIQLFVLVSFALQVFLFFTGSLRRRSTNIFLSVSIWTAYLGADWVAVYALGNLSGVQESIISRRSQLPLSFFWAPFLLIHLGGQDTITAFAMEDNDLWLRHFLNLVVQVVLAVYVFWKSARRQSAELIVSGVFVFIVGVIKYGERTWSLKCGSSKSLESSPGHHYKQRFPELRDSDCDYRNMVSNALCSMFSVLNVFAARNLFGYSFPSVGPDDTQVDAKKMFKLVELELAMMYDDLYTKALVLRTRTGIILRCISHACSFVAFALFLASDKDRYIGVDIAITYSLFIGGFFLDFCAMFIVITSPWTWVWLKAAQKRDWLANLSWFLFSSDIGWPERRPLWSSSIGQYSLLSWDSGSDQPTRSCNQKVMALVRRSARLVGVGKKNLFWMSKLLDTKFLEVDEKTMEFVVEGINRIRDEFSNVASRAWPKLGPFLETIRVHFTADFGAAIVVIHSFTE >KN540402.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540402.1:2445:5722:1 gene:KN540402.1_FG001 transcript:KN540402.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIAEELKLDSETMALFDKQDEEDDFNGLDQGSRDVIASVAAAIYETLMESRFMIIFLNGSDDEVDLSFGIPFFTKYDNNTMIWTFRRRLLTVNREYSDIADKLRHTHLFIRFYNRGNELSSSEFCALLREEADTIISRHPSMTGFDTAMATNCCLYELFLRYNFHTATKFGWVSHAFNYWLCYGIIQENIAKSISSALQQEIRWDCDDSSLDTALEEFMKEPPFMVVKDDNVYGAGQYHWISITSKDTKVSSMQSIPAVTSSFFLTFETADDSKPKALPASFFRHASNLGVLVLCYCGFDFASPPFLICHGLKFLGLDHCTNDITCEKDQHVDWTALSSLYVLDLRYTEWDEITSQEKIAVMYNLKELNIVGFRCWQHYARKLQGQLPCLRRLRVVKPTDQADISTDIANSFVEKTQLEILDLSGDTSMETIPNSMSNVDSLLVLIVDGCDRLKNVIVSDGVFPSLTSFSFDGYGPTYHWASTVELPPKEMRPFVDNKRDIKTCKISLKGCARLENLFFRQLPNLVELDLSKTAIKILDFTTMVVEVSSLKRLFLLECVQLRAIKWDNSNSKIKPDLELLCIDTRPRIKYPQLFVDKSKSTGRLSVHAVIVDARIARSLWDPIIERSCDDMNIHVTSSTIYGEVQSEGTYKDSISQLSDHLNMQQQDLISAGQYHDVQLSMVGDVPMQSFPLPPTTMLSRHIEIAQGSHNLESELDDDDSPIPTLAYLVNGKAESLHVHDLSTITPLPGGQWFLIRWCRIERCPKIQTVFPEDALYFNNLETAWVSDLLMARCIWSKGSRGYHGSFRNLQHLHLRSCPRLQFVLPVCVSSFSNLETLHVIHCSNLHNIFVLDGDYPEQITVKGVAFPKLTTIHLHDLPMLRQICDVEFKMVAPKLKTIKIRGCWGLRRLPTVVAADGPKPAVEIEKDVWDALEWDGVEADHHPSLFQAPVHSRYFKKKLPRGSVLSKACGVSCVTGTD >KN540402.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540402.1:32551:47963:-1 gene:KN540402.1_FG002 transcript:KN540402.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DSATFESALDWLCFNLPGDELPLKFSSGTASSSGAGPLGTEGSVKVVSTAKDNWVPQSRESEEVQGSNERLEIIISRRREEDVTLDDGRSSQAAWIRQYMEQQEEEDDVNSNDSYTWEDHCPPSLETAEAKPSRRKKKGKQAKSSSGNSKEDLSSSDNVFPNSDIANAEGDLVDSGATGKKCESPVHMDGGSSLEKKMSKDVDETSTKEVEEEEVELDNLFFEDSSAWEAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKISEKDRKFIYAVNVLRGSTGRGKSRKAGGLTKVELTEQDKEYASVEEAQNRVAAFALYQFFADLSLRQLLIEPYASLVLRWQEGELSSSSSRVMDTEDSRRAGFVDKLLDMDANTTPHQVEDASDGATSVDSRSIEDSYSVHEKKETYLVNRTGSRSAEQVESTVLQKHLENKMKQSSYLKMLEARASLPISRFKDHFLQLLKENDVIVVCGETGCGKTTQVPQFILDDMIESELGGYCSIVCTQPRRIAAISVAERVSSERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNNDLSDVTHVVVDEVHERTILGDFLLIVLKSLVEKRSNQPGRKLKVILMSATLDSSLFARYFGDCPVINVEGRTHPVSSHFLEDVYEKMEYCLALDSPASGAYFQQHGEKWKNASSTVNNRRGKKNLVLSSWGDESVLTEDYVNPHYTTDCYQSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGRESSDWILPLHSLLAPTDQRKVFQSPPENIRKIIVATDIAETSITIDDVIYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFEKMMRPFQVPEMLRMPLTELCLQIKSLHLGGIKSFLLKAIEPPKEEAISSAIDLLYQVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKASLMNENLDGSASTADNKQSDHLLMVIAYNKWSRILRENGARSAHQFCRSFYLNSTVMYMVRDMRLQYGTLLADIGLLDIPKDSLRPVDGTRKNTLESWFANMSLPFNLYARYSSVVKSVICAGLYPNVAATLEGVDPGALGGRKPSDFLSGKDRPRWYDGRREVHIHPSSMNHSLKAGQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGTMVIQHQTGVVIIDGWLRLAAAAQTAVLFKQLRVTLDAVLKELIRKPEMATFVDNEVVRSIIHLLLEEEKAQQA >KN540402.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540402.1:18488:23425:1 gene:KN540402.1_FG003 transcript:KN540402.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGLKASLLRFFFFFFLSLFIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDNSKKPEEEQEEEEDAEDEYDKQVKQVHASGEFQLS >KN540402.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540402.1:6791:9065:-1 gene:KN540402.1_FG004 transcript:KN540402.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEEAETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDAQTQTSDKIAEGPPKEETMK >KN542779.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542779.1:9612:12630:1 gene:KN542779.1_FG001 transcript:KN542779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLVGPLLSKVMEKASSFLVDMYKVMDGMEDQRETLERLLPAILDVIQDAEEKKNLRSGLVCAWLKSLKKVSYEAIDVFDEFKYESLRREAKKKGHRNHTMLGMDSVSLFPSRNPIVFRYRMGKKLRKIVEKIKELVSEMNSFGLVHQQETPKQWRKTDSIMVDFDKDIVIRSRDEEKKKIIRILLDKANLTVLPIVGMERDREKALQDLQKEVGGKKYLIVLDDVWERDYDKWGKLKTCLKKGGMGSAVLTTTRDAEVARIMVTGEVEVHNLENLGEIYMKEIILRRALTLPNNDEHFGILCKIVHRCHGSPLGAKAFGSMLSTRTTMQEWNDVLTKSNICNEGEDKIFPILRLSYDDLPSHMKQCFAFCAIFPKDYEIDVETLIQLWLAHDFIPLQEDDNIEMVAKHIFKELVWSASCSTLREVHSLNLSGELELCGLENVSQEQAKAANLGSKEKLTHLSLEWSGEYHAEEPDYPEKVLDALKPHHGLQMLKVVSYKSTNFPTWMTDLSVLENLTELHLEGCTMCEEFPQFIHFKSLQVLYLIKLDKLQSLCCEEARDGKVQIFPALKEVKLIDLERFESWVETEGKQENKPTFPLLEEVEISNCPKLTSLPEAPKLKVLKLNENKAELSLPLLKSRYMSQLSELKLDVLDKEAILQLDQIHESSLSNMELTHCNFFFPTIPSEPIIGIWKWFRQLVYLEINSSDVLIYWPEEEFLCLVSLKMLTIFGCDNLIGRPKLVK >KN542502.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542502.1:2140:3020:1 gene:KN542502.1_FG001 transcript:KN542502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMDPAHLAWNCIGNDEGQELLFRCVLAGVRAVDECDYILCNSFRGAEAATFVRFPKILPVGPLLTGERPGKPVGHFWRPEDGACMSWLDAQPARSVVYVAFGSFTMFDRRQFQELALGLELTGRPFLWVQRVLAHPAVACFVSHCGWNSTMEGVRNGVPFVAWPYFADQFVNRAYICDIWLVGLPAVADEKSGMVTKEHIAGRVEEVMGDAGMRKRIEAMMAVAHESIQEGGCSHGNFDIFVESIMS >KN540155.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540155.1:39594:60840:1 gene:KN540155.1_FG001 transcript:KN540155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLQQFRKKKEKRGPGKKAEAKADAAEAEEGSSKSGVDAEEAVPEPKSPVGLKLLAGEGGASHRTPFEEAARSQVEQCNGQGPDTAESCVVDNSDVLPVQEGGDGGGNAQDVGVSEHGSLEHVNPGTDDGEGATIPVTGADGSGLLIEGAQPVEMDVDEKLPDNSLKENMELCTSSQGAIADDNGDSQAEEHQQVEMYPVERPTSSDSKEITDIIGHSQDIGAGNTNKGEGRARETEIDVSGMPSGAVVECEGELNVRASHEASESTSREDTDKEADALGEEAAVQEDPGVANATEGVVMVDDLSLHAKSIGAVSLPPHKEIDQALLASDISQDMAPYHLEDIQRHLYLATMSRDFLQLQMDESADLNTDGTPESSNEVINLQVLLEETEKSKLAVCEELQQCRHELSDMNTVKEELELTVASLKDRINTSNNKCEHLEFELQSSKENTQQILNELSGCRAMLEALQKENLELTATLTFEKEARKEVEEQREHLCSENKRVLSNLSDLELSLASLKEEMNDGSNRCADLECELRSTKENMERTLVELASCRNSLETLQNDNLELSANSSFEKEAIKKLEEDNLCLSNEKQGLLLDLSETKEELHLSYAKHEHLESHARDMETYFGQLTEQLIEENIYTSTSVDIYQTITKELYAKCNVVLGEARNAHQDNEACLDSSEIIVENVERETTSPELIGHDDNQRPLLVAENDSCNSTALQSLKGHLKVAKGDLRDLQKLVERISSRSDGRVLVSKLIQSFESKGNQEDLGMSEGEHDNLRKLTQEMICRLVEKLKAMTSDIAKTEEYVAELCNRIELSVKFMSQHEAEIEHTAVLVAKMDGFAGKLSNYKDTIDQLVSQVANVHQDADNHAGRLIDQAELLQNDVTERISTLEKERTSLTDVLMEVTDKLSALSKNALPSDLGGSEGLGSLALSSVECAAKLVQNLQEKLEDAQTDNAELNASLVELKTAHSDVQERSKHAHGIVKKMYISLQELLFNSLGNPDESGVEFNAEEPIEALFSQYGDIIEHLKSLLHERQYLLSKNTDLESRLLSKCEETEALSSSLTKNMNDFSLLNEELKSVSISRIEAQDELHGRCLAIAEKMVHRSTSHSSTVLSSMEMSSKANHILTTLLPCIEEGVASYIEEFENMAEEIRLSKICLQESNIIGQSSSENWSVSLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLAHELQSKDSLLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPENFHFRDIVEKIELLSKMAVGASFTVPDGNKQSSVDGNSESGAAIDSINDEQNSNSNSGAEEIKIKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPQQFRMLEPEDRIAWLGNRLLEVEHERDALHLKIEHLEDSSEMLISDLEESHKRISELSAEIVAVKAEKEFFSQSLEKLRFDFLGLSEKAVQDEYVRDNLRKDLAELQEKLAEKTEESKLYHDMEMEINKLMDLVRDALQDDSNTEIPSGAGVGAAVLCLGSLLSRLIDGYKTHLSESTVRSSAEMETLSETKISKDASTSERGMEEKEMALNTLSGELEHTRNSLALLEQQRDEAVEKTQSLTIELETLRAQIDQLQGDGAEQMNRYQSLMLELESMTKQRDDLQEKLGQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLMGRLAENEKSLHDATQYLSRLLNSLSTVDIGREFDTDPITKVENFSKFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNSVVESARADAVRHLERIMHMQSQTKRKQIDHLMELNSTSSQLREIFSELLHHLLNTFSKDVDIINYMESFVKSSDKWMDSTSMVEIPITSNHHLSNSISSKTCSSQMAHIPNVPLEITLDNADETQILHHLATACHAVADCVNDCNDIKSRIHEHGFSVDQKAADLFNVMSNLQNKFTSQNNELESLRENIIELQSEIKQRDEEILSMRRNLSLLYEACTSSVAEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIKTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQVREAESSAKQLSVELANARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEVLESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMCCSDLLWRVTDSLMQILLVIMRNLENCKAKKEGYPFGSLVDFAPDPMGHPIFSLSPLAIHTRNLLEDPRCTVVVQVPGWSGLSNARVTIFGDVVPLPADLQVLVDFVNGNSDLKVNLFFFRNGLINSFGTVAWLDVKEYEALKPDKIATDGGEQSLKELNAMYSKPLKELLSTEIEVDDAALISIDSKGIDIRVRQGAQVQAVLLLLGGRELAPGSGSVPSSSAAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKAEEATSAITSSEGSPNWGAVEGRPPSAAECHHCGISAASTPMMRRGPDGPRTLCNACGLMWANKGTMREVTKGPPVPLQIVPASTNDVGYKDALVEAQALRVNCSSESERRQALESHVADLKSDNERLRRLYTETLFKFTNQMKFHTESRNLKEELEKANTRLLSMEEEYKREIEQLKLGSEMNSNDLENKLSCALVQQATNEAVIKQLNLELEAHKAHIDMLSSRLEQVTAAVHQQYKNEIQDLKDVVIVEQEEKNDMHRKLQNTENELRIMKMKQAEQQRDSISVQHVETLKQKVMKLRKENESLKRRLATSELDCS >KN540155.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540155.1:898:1245:-1 gene:KN540155.1_FG002 transcript:KN540155.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTILGAWNLSSAFQQPQPPVASLQVKGFSVESHRDDRRRRELEVYASQVVHLGDGKLCVAKMFSVDRRERGEINFAMLTGVEVVRCRGGKLRVVKHKSRRYNFGQDYTPDYLL >KN540155.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540155.1:26311:28117:1 gene:KN540155.1_FG003 transcript:KN540155.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSAPEKKKSKKKKVVKVEKVMAREKEEEESPPDARCKEEEAADGTVDAGNGDGAPTMAPKTGLGLKLDADDVLKAWSGKGSMFADGGAPESPKSAAEVRAKLADIDLFPENGNGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTDS >KN540155.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540155.1:8836:13499:1 gene:KN540155.1_FG004 transcript:KN540155.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPEKKPLCYTSRRALQQRTESSSELISVSKRATRQSTSRKPDSPPKRTTRSSANLAKCIENKHHSNPLKQRRGSDAATGKSATGSTRRKHKQKRKNDESDEVSRMEKRARYLLLKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLRSSSGSKDDSVIPPDGCHESVNPEHVFLPTTRHGRLCKYCSSKMKILDAINAHLGTTFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNEEQSEDEDWGLSRRKKRRTGSTGVGTNSVEGRSDAKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKIDKWFKNTRLQSVIFKFLATSHIYQPRVFGESRYSGPSKRSRTSIEKVETSAKVDQMDNSCFLPLSEIINVPTRLQKGLDKKPKSTNSPPRPQDNETCLSPTDKTKEDTPPTIKPSIADSSQLMNNNIGTEETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSTDNNVAAESGLQNEVVLVPAAELKDKAS >KN540155.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540155.1:2309:6203:1 gene:KN540155.1_FG005 transcript:KN540155.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDPDWLRAFQALIECDGDSIDLSGDVGAVGRIVISNSPNGNQELLLDLKGTIYKSTIVPSRTFCVVSVGQTEAKIESIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAGKGQKKAKVAGKATKKGTRKTQTTKRTKKAKK >KN540155.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540155.1:30356:32511:-1 gene:KN540155.1_FG006 transcript:KN540155.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISADMIREMMWHEMLHYHPEVVAAMSAR >AMDW01005841.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005841.1:10:177:-1 gene:AMDW01005841.1_FG001 transcript:AMDW01005841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQDQLNAALYTRIAEPGTAA >KN539692.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539692.1:47765:53504:-1 gene:KN539692.1_FG001 transcript:KN539692.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNTSHQGSNDPRVQKERQAEWARPGRVFNRNTNKGGYFRNSLPGVTREFRVVKDNRQKVVGETIPGSFHNGVPSNEQIASNIGDKSSTEKLPAQRHPVTQNSNGRGVAQADNGRKEVKPSNDQTVGQSDGMITTMVGSHAVLAKGNQNRVLAVPSGTNNFTGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSDNTATNTSTSNSSVKVPTSTATKENASNGQQSRSSGVSSKNSRPSSSTHLSSRPSSSSQYHSKPNTPVGHPKVNPQLEWKPKSVSPSPANHADNVVHSSAASSVDANQAHMAGLSKKLSQTNVSEDEHVIIPAHLRVPDSERTHLIFGNFECDVESKAFTLAPDASTNRDFNAHSSSRSTDDVPPTDQTDLVGSCVMLPKSDSFVSVSEYQHPLTEDMEVLSPGVFGEHRTNDMISTQVSHSSPQPQHQDNSAVHDFKEYEPDSRYEMPFITKAVDSEATQNIPYPSEVMGLHAANFNQLSVTAATQHPVPQMYQHMHVSQYPNCLPYRHVFSPYYVPPVAVQNYSSNPAFTQLPSASSYLVMPNGTSQLAPNGMKFGPPHQCKQMFPGGPAGYGGFTNQNGYPVNTGVIGGTGSVEDANMSKYKDNNLYTLNPQAETADVWIQAPTDIPVMPSTPFYNMMGQPMSPHTAYLPPHNGHAPFSPVQHPAHLQFPAMPHGLQPTTMTMVQNPQPMVHQPAGPPLAGNIGIDMAAMASGAQGKTC >KN539692.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539692.1:20853:23796:-1 gene:KN539692.1_FG002 transcript:KN539692.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAISTPLALRASPTRLLSRRRSGAKSGVALPGFAKHIEPLNVGIIQGYADLGLSEPVSTPSWANVWKNTIVASENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVITVTLLFTAAALDCSTSLPWLAPLAHSTDIAFTGKPNYILDMSICHAETVLIGFGLIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMAMDFGLVDALLETRY >KN539692.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539692.1:62648:65930:1 gene:KN539692.1_FG003 transcript:KN539692.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTALLPGRSAAPRPSPPPPPPQLASAARLSRRPLLNAAAAVVVRSRSKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGSAEDEEDEPSDTVSYLLSNLGREIDIEEPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDRRSTEEAFGTYVECMVGNMEDKSFTKKALRGVRAIICPADLRFNHQPYEILIRMQLSVYRGSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRTGSMQSTPGGDRGFDFTEGAAAKGRMSKEDAATICVEALDSIPQTTLIFEEAYF >KN539692.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539692.1:87844:88023:-1 gene:KN539692.1_FG004 transcript:KN539692.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVQDLALDQAVKFGEDLATAIFADDCGVANIIKQEDRTRPMWMKSFSDSRPWVRLQ >KN539692.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539692.1:17358:19340:-1 gene:KN539692.1_FG005 transcript:KN539692.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASASLRWSSGRFLLAATASEDLDAPLLDDLDSLEMEEREKLVGRMWDMYTRTGDEVRLPRFWQEAFEAAYEELAGDDIQVRDAAISEIARMSAHRLELEQPVNEFSHVIVNNRINNANSRT >KN539692.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539692.1:79031:79375:1 gene:KN539692.1_FG006 transcript:KN539692.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTLSAAAAEDGKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSPGPAASEGASVGTAVRHAGHKRVRSTHLD >KN539692.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539692.1:6087:9876:1 gene:KN539692.1_FG007 transcript:KN539692.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 [Source:Projected from Arabidopsis thaliana (AT1G55910) UniProtKB/Swiss-Prot;Acc:Q94EG9] MRWNEAFLALGTQFAGGVFLGTAMMHFLADANETFADLLPDTAYPFAFMLACAGYVLTMLADCAISFVLWIICLSGLEEGKLSSTNGNASDPPAADAAAHDHSVASMLRNASTLGDSVLLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPDRPFLSCFGYAFAFAVSSPVGVGIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYTPLRPVAADTPAGRLLAVVLGVAVIAVVMIWDT >KN539692.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539692.1:29362:29679:-1 gene:KN539692.1_FG008 transcript:KN539692.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPSSVGVGGCGCGIGGALGVDLGGDGGGLVLGGLEEEAPALVVDGDLEQLRAEDAAHPVAKLGSVYGAAVVGVELLEDGVVEGGDLGVDRGQLGGAEAGAVEE >KN539692.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539692.1:31358:35479:-1 gene:KN539692.1_FG009 transcript:KN539692.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSELTKYDLTQIVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQKPPPASAQSPTVLQVHMVIGNNRSNPQFVANPLRPIFDARLVAESSEQMCKTGNPVPGFLTNSPTLALTN >AMDW01059274.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01059274.1:101:370:1 gene:AMDW01059274.1_FG001 transcript:AMDW01059274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSWNTNDDSLREAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGK >KN547778.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547778.1:99:335:-1 gene:KN547778.1_FG001 transcript:KN547778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAG >AMDW01004793.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004793.1:10:117:-1 gene:AMDW01004793.1_FG001 transcript:AMDW01004793.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WKFMLLESMQKRCLFLEHAVEVMGLSNVDVVCDRAE >AMDW01061330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061330.1:55:457:1 gene:AMDW01061330.1_FG001 transcript:AMDW01061330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADLVLLRVTFGRRNIRFKSSCHNYFVYQATTPTPKLTLLPHPPIDRFIDHEVGLLRCCASPDYIVAALCNTFESGYFEYDLHIYCSGADAWTCHPISLHGLVDPSFAHVNTKAITIGGTAGTIGWVDLYR >KN541759.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541759.1:11837:12476:1 gene:KN541759.1_FG001 transcript:KN541759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFPAIAVLLLLVIATEMAPAQARECETESSKFSGMCMIQANCDHICKTEGFMGGDCRGFRRRCMCRTQC >KN541759.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541759.1:16676:22277:-1 gene:KN541759.1_FG002 transcript:KN541759.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVCAMLMLVIISSCTAATSKEKKGAEMNQLKDAVDQALAKAGASQALMRLSTSSASGTPVSVEVAHATNGTDGSGTQTEGANIVAGDDTVVEENDDHDELHPNFDNSVTMALQNLRLKYDSYKENNFNSLQASTALPRKLAADDGQQKADSQAKSEVNIDGKPSSGYGEHVCRRDMYPNCSQRMKKLTSSNHLG >KN541759.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541759.1:14792:15800:1 gene:KN541759.1_FG003 transcript:KN541759.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METSRKFFPTIVVLLLLVVTTDGTSCTGEGVRDAKQRVQGDLHDGGQLRQRVPD >AMDW01033098.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033098.1:67:285:1 gene:AMDW01033098.1_FG001 transcript:AMDW01033098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYVVIWDAEAEELIDEEEEEEKEEVDDMMKDVPHLPGVISLTTRDSTLNERALMTGVTCLLARCNSDTFSST >KN543384.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543384.1:7497:8322:-1 gene:KN543384.1_FG001 transcript:KN543384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIKGFLRALEFLLTGMIEVLLPGNRRREGTLIHYSLHYNVALVRVKESDAVSHAICKHGWVKCEPKSVAAVGRCFKSGDLMASTGKLVRWSGPLHYPKLDYSTCRITKAGIGGPLVDDDGNFIGMNFYDPILGTPFLFCDHIVDILDCFKKGIRFALDSKICICI >KN542665.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542665.1:778:5338:1 gene:KN542665.1_FG001 transcript:KN542665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLWVQDQGMVDHLAQLVPLLHECASHVTEGSFEKADFSFKKIRMLTIADGPLQRLSTIIVDSLAHRLLSSIQGLPGALIDPSDYFEKSTLRAARHNFFKLNPYLSTGFVTINRAIMEAMEDEKVDLQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLADMQSLLSKKAESLGVSFHFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMLIMEQDADHSTLLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCLLLCWGSTNLYSISAWRQNRGSSSGSREHMGMFQDDMLSSATSSPASSVYSPSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLIPGLSRALLSSANSADAHLVPVARRHMFDVLPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFRGRREGPPHLRITAVHDSKEFLANMAAVLSKEAEAFDIAFQFNAVEAKLDEMDFDALRHDLGIRSGEALAVSVVLQLHRLLAVDDGRRHAAAGCLTPVQIIARSSPRSFGELLERELNTRLQLSPDASVVSSLSPHSPAAATAAHPTTSTPKLGSFLSAVRSLSPKIMVMTEQEANHNGGAFQERFDEALNYYASLFDCLQRSAAAAAERARVERVLLGEEIRGVVACEGAERVERHERARQWAARMEAAGMERVGLSYSGAMEARKLLQSCGWAGPYEVRHDPAGHGFFFCWHKRPLYAVTAWRPAASRRGHTRS >KN542665.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542665.1:10898:13468:1 gene:KN542665.1_FG002 transcript:KN542665.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVDAGEAAGSGSKGKAVDVDVGEAAGTETVIGGSGSKGRIVKKVAEAGCSGSKGKAVEDKRIVLYHDETAPIASQPPSKSEDDSEYIPGDDAQSDDDEEAIEIEKHYKEVKRKVKAGQLDDLDDFFLQTQRVEPSMAGGVEEGFETPYADSDEDDSVDEMGSDGQVRSTKRGSSANSGKRKVASLSTTSINQQSRAKKSKTN >KN542665.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542665.1:6818:7408:-1 gene:KN542665.1_FG003 transcript:KN542665.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLAHLFGSSSSSSSSSKKENKVSSKKRRSGAKSCSFGSTTSSSSLAASSSDDSAATTPRSVLPASAAASSSGTKKPAAVAVTREDLEVALRRVVSSQEELAAMLAEAECAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMYGCA >KN540316.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540316.1:9251:20298:1 gene:KN540316.1_FG001 transcript:KN540316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVQDYPISASVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRIPSRSGSSQRLSHGYASSESSDSARRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPAMISVPLPVALSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDHQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSTAQDSECNEDDRHSSGAFASGCSDFQVDMMDHSNKNPPPRSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLAARAINLNDPATVDSVTPEKECANTVQQTSPFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPNPAIPTDVGCDPFVPSTSSLDDSHNEPIIPKKDNKDIVGGMGERTSPDILSDFFANTAAQSLSPFNDPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSNILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMSVAANQAKAASK >KN540316.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540316.1:37396:45127:1 gene:KN540316.1_FG002 transcript:KN540316.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGGRPTAALNGGGEGEGEGEGFGLSSSASADLSPERISTNQLYNSGIPVTVPSPLPAIPATLDENIPRIPDGQNVPRERELRSTPMPPHQNQSTVAPLHGHFQSSTGSVGPLRSSQAIRFSSVSSNEQYTNANPYNSQPPSSGSSSTLNYGSQYGGFEPSLTDFPRDAGPTWCPDPVDGLLGYTDDVPAGNNLTENSSIAAGDELAKQSEWWNDFMNYDWKDIDNTACTESQPQVGPAAQSSVVVHQSAAQQSVSSQSGEPSAVAIPSPSGASNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGGNFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASTSAEGAKPSSDLPESSTVKDVPENSQNGIAKQTESGDR >KN540316.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540316.1:29018:31790:1 gene:KN540316.1_FG003 transcript:KN540316.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSTWKHGTSLRTLFRRSENLQGPCLLIVGDMRGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGSFAMCLDEDLLHGTSGSCQTFGNSCLAHSPDFELKNVELWGFTHSWGRST >KN542179.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542179.1:13453:17018:1 gene:KN542179.1_FG001 transcript:KN542179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKKLSWSKTFVRKWFNIKTKAKDFHSDYAVEEETAIACLRPTERILFWRGGAKNLFLIISVGVQWRTSFSERDVCKSKKSRTERLPRKSVDRDSRVGNGFDRAYITNTQDYRLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNLDQGSVVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRYGGSSDDENIDEESPSGIYISPMPCGYGAPLCYDDNKRQLINTRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGVGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQAGKKGGFIFLPHTNTPTILTDTQATICARMRSEEHQHDILQSQNTPDELHFSQDSSNWNIVSQQFLCLIMYPSTSTMYDEHDTQGDAF >AMDW01010545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010545.1:52:231:-1 gene:AMDW01010545.1_FG001 transcript:AMDW01010545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NARAAVGVGPVAWNDALAAQALQHARYCQTQHIKGPYGENLWWSYGAGTTGTPADAMSYW >KN539337.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539337.1:44518:46520:1 gene:KN539337.1_FG001 transcript:KN539337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase gamma, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/Swiss-Prot;Acc:Q6NLA5] MAEFQEMAAEVPPSLKAITLTHVRYRRGDTLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGICFAAGLLASQLLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYTFFFATYLSLLTLRRSPSSRVVAALAWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLIEYFPMIEESAIARWLYIKDTSHIPDVLKFEYDNARAARRKVATD >KN539337.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539337.1:78987:79888:-1 gene:KN539337.1_FG002 transcript:KN539337.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKELGWAKRWSSQPYVSCCTTSLQELTTLGIKHVENLAIPSVRNDVRPAKLKNDWGLLVPYLIGSISLIVLAIGSISPGYVFVVSANKPVKVWKSRHQTIQMSDIF >AMDW01040269.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040269.1:295:1306:1 gene:AMDW01040269.1_FG001 transcript:AMDW01040269.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGAAVLVALAVTCGLIWSRSRRLSKEMRDIPGTMGWPVVGETFSFISGFSSPAGILSFMRDRQKRFGKVFETYVLGRMTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHKKLRRLIGEPLSIDALKKYFDFINELAIQTLDTWLDRRVLVLEEASSVIKLFTLKVIANMLISLEPEGEEQEKFRANFKIISSSFASLPLKIPGTAFHRGLKKARNRMYAMLDSVIARRRDGGE >KN539337.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539337.1:25970:29400:-1 gene:KN539337.1_FG003 transcript:KN539337.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNAKGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYGKDHVCESLRNRLQNEATVAYYLLLDNRFRATSGYLGADYQESLERNFNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVSWKKNGQYNMKCRWSVGTQATDMLDVNNSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >KN539337.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539337.1:47705:50549:-1 gene:KN539337.1_FG004 transcript:KN539337.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGDETLGAPPSTLASVAQETLDAYSAADALQSLTVGGSAAEPERALGEPAGDAGDAKESSKESSVVEQVESMADQKVVIAEGSGEQKRKVVKKSKVEKDRELFELAQAYHKVVAERDAGKLLLSIAVKEKLESLCREFQRQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGGTPDATSNETNLATIES >KN539337.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539337.1:57739:58323:-1 gene:KN539337.1_FG005 transcript:KN539337.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding METTQKADPVEPAAKIVRQTSQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINNYDYKRIPRPNEK >KN539337.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539337.1:19586:20356:-1 gene:KN539337.1_FG006 transcript:KN539337.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFPVPPVVFTPSTPTHRRHPPPGTGPSPPPAFAPPRPSTSSGANPLPFMSFDVGNAATSSSPPLFAGPIGVGGSGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAISLFLPRGGGLIFGVGMGFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVVF >KN539337.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539337.1:81462:82085:-1 gene:KN539337.1_FG007 transcript:KN539337.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCTCGKMYPDLKEKSSSAQATVVLGVAPEKAHFEAAAESGETAHGCGCGSSCKCNPCNC >KN539337.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539337.1:87575:92310:-1 gene:KN539337.1_FG008 transcript:KN539337.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHLTYKDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDTFDLRFFDQLRQIRTFGAWPVATMSQNERKVVVQGPACSSSSVAGWFSMDLSNATSPVAGDGAAAARPRELDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSVKFVQQVVLEDYSKVRGIPSDCSEVMAKLRTVSQQLEATWRSVSASAIINELLRACASVHGHVRSKRDRHRLLQRLLPTTSLNTVFTFELRQNFDASEFILGCVLSAMECDSEAL >KN539337.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539337.1:98623:103403:-1 gene:KN539337.1_FG009 transcript:KN539337.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPRRNNSPTKILVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTHNRHQDFKDVFEVSEEPQEAIAKERSHHFPKGLPSLKRSALKLKKLMPSMSPYGDGPFDNNVVCRDGFDRLNSLEINNPLFEKRPHDMNYSAKHQYEKETSSTFRKYPAGLGNTSLKDIRNSSRGKLEDFNSIVVLEPGLGKVQESGKAFCTPDPSHINKNFRREMQQADFSMQNRGRVSPNLLDTEDVDVSRIKRERYLSRNAVDSLLEGKESSFDHHNVPDTSSSGSSQNCVSGEVNSRQSNRPSSNSSPRKNRPKYEEGSVGSKTLAEMFALSDSERLKRDSDSHAQIRHNKLNRGNSNGKEGCFIVLPKHAPRLHPHSSLDKNSPHSNFIPNTSNTCHSGQSHFNSFCDISRLQQIGSPSQDNLRNACAKHQTLRQHRSASPSHDNRNHSRCSTDNFSTFDCINEKILFTTDEDLVKKPAETVHSSFESCGEEKVSASPFNCRDYESITVSDHSYVAKSHKSLKEVGQPSPVSILEPPTDEDSCCSGYFKCNLQDMPNVEKLIDDCELRYEQVSLSSDDDSGSSYRSLEAFQVEEERGFSYLLDMLINSGMIVADSQLLCKSWHSPGCPVGPQVFDRLERKYNKVSAWPRPERRLLFDLANTVLSEILAPWKSSRRCCPVWGPEGPVEVVWQTMVRRQEELAVGHPDDKVLDPEWLEVGEDINTVGKQIAKMLHGDLLDEIILEFLSGCVAS >KN539337.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539337.1:52926:57021:-1 gene:KN539337.1_FG010 transcript:KN539337.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAGEIPAEDAPPPGALYSFGTPWPEFNEGISYTDTFRCADAGATTTLIEFYSTSYKSSAPLPGWIKRIRDGQITVDGEVATDPDMILREGSKLVYHRLPWQEPLAPHLLDVLYEDDDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKRKDVQSHPVPVHRLGRGTSGLLLCAKTKLAKAQLASYFAEGATNAGKSRDETDICKSRKISKFYRALVTGILENDEVMITQPIGLVRYPGVAEGLYAACSSGKPAMSKVCVLERLKIYNQTLIQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPKFDEPEPTSTADSFAYDG >KN539337.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539337.1:35028:37890:-1 gene:KN539337.1_FG011 transcript:KN539337.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPFAGKRRSVAAAPAKIAAPKPKSIASTRTKTTRKSPPAPPPPRPRRAFGTVRSSNAHDAPEKPPPLQKAPKVSPPPPQKPDKVSPPPAQKPSKVSPPPPQKSAKVSPPPAAKPPKLSPPNLAKATKPSRLAAKPPKKAAPGPELDPKPRKKTQRVSFQEDAAMPVAPGSGEMVKVSTDDAAGHTPMVAVRALEKKVKVVASAETPFFSAQNCSSCSLDPLEESTYWLSHIHLAESVGKHRVAAAFFQLAFECQAQPIHRIQSELRNYTVRHESASTLTTLFDELLLAHGGIPVNQPKFETDGFEVVDTPLTTDSDDKRLDSTTTQVDERCSECDCGGDIVDVAVPSIVKPLEEGMDQPSFERKLNDGFEFDDCEAVIVDKLVGGHSDLEKIVDVNGPSDSETMQSACRSSIDRLSSKGSPVVSGLPQRQLSSDSPLDKLSPSARSLSAKRLSSVSPLDKKSPFGSSSSKRLTSSCPSSKKSFSSKALSSKRMSSGNASAGVGDLNEVIPDMEFDCPASEHLADDQLELKEHGDSESKNMVFSKDHRARMIFVFLTVDRFCVLA >KN539337.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539337.1:10465:14276:-1 gene:KN539337.1_FG012 transcript:KN539337.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCIFFTRRFALSDASTPGDVRMLFTRHAGGAPYMGIDELRRRLAASGEAHVDADTAERIIDRVLQERSRTPRFGKPSLTIDDFQYFLFSEDLNPPICHSKEVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALQIGVRVIELDMWPNSSKDDVDILHGRTLTAPVSLIKCLKSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDTLYYPESKHLQEFPSPEALRGRVILSTKPPKEYLESKGGTMKDTDVEPQFSKGQNEEAVWGTEVPDIQDEMQAADKQHENDILYTQRDVEEDDEKKMCQHHPLEYKHLITIKAGKPKGAVVDALKGDPDKVRRLSLSEQELAKVAAHHGRNIVSFTHKNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFMMQTCPDGNVFDPKADLPVKKTLKVKVYMGEGWQSDFKQTYFDSYSPPDFYAKKTKAVEDSWVPVWEEEFVFPLTVPEIALLRVEVHEYDVSEDDFGGQTALPVSELRPGIRTVPLFDHKGLKFKSVKLLMRFEFV >KN539337.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539337.1:61051:65858:-1 gene:KN539337.1_FG013 transcript:KN539337.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMTWHEELATLVGDTGVRFPGAGGGSAANVNLMSNIVVIVLGIGSVDDTPVELPKKLYIGPPSAKTIQLPDGRRLAYKEQGVTADRARFSLIAPHSFLSSRLAGIPGIKPSLLEEFGARLVTYDLPGFGESDPHPGRDLNSSAHDMLHLAGALGIVDKFWVVGYSAGSIHAWSALRHIPDRVAGAAMFAPMANPYDSKMTKEEKRKTWERWPTKRKLMHILARRFPALLPLFYHRSFLSGKQGQPESWLSLSLGKKDKTLLEGPMFNAFWEKDVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKREDLSFFELIKSLFSQAEREWVGFLGPIHIWQGMDDRVVPPSVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPEPTEVASELTEETTVPDKAKEEEQDISGLA >KN539337.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539337.1:84614:86632:1 gene:KN539337.1_FG014 transcript:KN539337.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKITEVGRARRVQIKEKDTVWFVKFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGQLNLVSTFLSTMKIEKEKGPRNVESLKNFVLDEAEKAGEAKLQDG >KN539337.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539337.1:38976:42476:-1 gene:KN539337.1_FG015 transcript:KN539337.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQQGGGGKARDKFSVYRNPSLARALASRSVRPSLPVLVLLALSPIASASSILVLSSWEGQLVKVAGRAGLSMAAAVLIFRLIEAALGLVALFTVPAFFRAVMLYNGKRALAKEDKVVLSERQLGLLGLKMTGSEAGGTSEKTKRPPKAKPSTPSEPIVPIRRSSFSYTPSRSLGQSRIGSSNLSPGGERLTTSVQMSPSTPLQKPISSPSTPWSRKSSGSAKGIQTEAMLEQFLAGLDENIDTITDSASKIATPPATITSFGVASPVSVITSTTPSGAARSTPLRAVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVNAFENLGVYPEIEQWRDNLRQWFSSVLMNPLVQKIKTSHIQVKQTTATVGASVTVSQVGSDLPSTTAPVGLSPLGGTKDWQPTITVDEDGILNQLRTALLHSRDTPIAQTFGSPQQPQQNPLLPSIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVKRVQELAEGTCLKNYDYMGHGDGCGKLEKKWISELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAVIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNILSVLDSDMES >KN541795.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541795.1:834:5447:1 gene:KN541795.1_FG001 transcript:KN541795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQACQSSRGSRLAREKSSNPYGGLGQQLQELKDVLRTVPEVEDLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGVNSRFFN >KN541795.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541795.1:15885:17286:-1 gene:KN541795.1_FG002 transcript:KN541795.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVAGPMGVMSKQQQEAMAEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLEKMAGAASDPTPPPPPPLHHHAAMATASSSPFDFDTHLQQAEAATACVVPNNVYHHHIDPSPSTSTSAGSTAFQPVPCFSELRWDQQMQSSGELDDGAGGVFVDSASALGSLSLDGLDLANG >AMDW01039011.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039011.1:68:809:-1 gene:AMDW01039011.1_FG001 transcript:AMDW01039011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKVGPLSVSPMGFGTWAWGNQLLWGYQESMDTELQECFNLALKNGINLFDTADSYGTGRLNGQSERLLGKFIREYEGPMKSPDDVIIATKFAAYPWRLTSGQFVSACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYEK >AMDW01031431.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031431.1:162:356:1 gene:AMDW01031431.1_FG001 transcript:AMDW01031431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYR >AMDW01037231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037231.1:10:273:-1 gene:AMDW01037231.1_FG001 transcript:AMDW01037231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVHPAPGNANGTLVNAILHHCKISTFTCLARDSIDDECPDSSDDDIDVFDIDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAK >AMDW01040326.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040326.1:162:1368:1 gene:AMDW01040326.1_FG001 transcript:AMDW01040326.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFATATPSPAISVSPWSMSSLRAALPSPTRASPAGKLRSSFSPAAAATAASVGCLSSFSGLAPISPLLSLGEETSSFEHRLFGIDARGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >KN543126.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543126.1:9667:10113:-1 gene:KN543126.1_FG001 transcript:KN543126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRGEYEAPPNDDGEKINKATTLKDVVGGVAEVLPANKLATREDVDKVAVAAAQNDRRHASGGRKLTRSIQSRSESIRNPIPHRADVFFLSAGKAITDGRDKVRARTHSTKSKSVRSRPGVQVYSQRPILQPGVHVLPRLFLLCFFFFH >AMDW01040641.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040641.1:157:1824:1 gene:AMDW01040641.1_FG001 transcript:AMDW01040641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILISVDLLIAILTNTQTRAKSSHIIKILQEARALLGRLEYQRGHVEAALRVFDGIDIPALVPKMKISIARKVDRRKTRSQWDSPPMPLHAVSLLMEAIYLKSRALHDLGKFKEAAQECRMILDIVEAAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIARIQKEFAIFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVERDPTIMHHLTFALSISGQLKPLAVQFEELLPGMLDKREWSYNVALCYLAEEDDSTALNLLKRILKSGDDSGNFKELLLASKACTERSAHTEGASYAQRAIANIQGGCEQMAGVADLLLGVNLSNQARCATSDTERASWQCEALEVLENAEKKMHGKDPRAMYSLSLENAEQRKLDAAAFYAKKLVKLEAGSELRSWLLLARILSAQKQFPDAETIIDAALDQTGKWSQGDLLRTKARIHAAQGQLRNAVETYTKLLAVIQLRTKSLSDGIFLAK >KN542946.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542946.1:5559:8175:-1 gene:KN542946.1_FG001 transcript:KN542946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDADNMYRLGTQNLAEPVGELQKAHEQFIRRMELYKRRKSRVQQERMPNKIQSIATSKNEVEGQSRSCTEPKSNPVQRSGSGSNPHLGFPHPLWRPLSKGTSGDTKSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQKMVQHRHFNKENTQVNQKASGFEIFVDENEPHGNGRNAMSHKSTGCPPKPSRDSRQQTNSDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTVQLTDDKDTSLCSRQTDSKIRCDDSRPAISGLREDTVFHRFVGSAVVGEPRVENACHHGLVEPTVNLKEAMDDINNMFGRPLNFKAEKPKNKKTTSLSERKAAPLSGFSILADDDPEENPAAQVKPSDSSKFECQGGLFEPTITTRDVMAEINDMFGMPLDF >KN542946.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542946.1:9227:11532:-1 gene:KN542946.1_FG002 transcript:KN542946.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EDFEKLVSNFRRIRFESTVGAGLPVIASVNRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKVESLYPNELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >KN541510.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541510.1:4272:5216:-1 gene:KN541510.1_FG001 transcript:KN541510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVDLIRAARPLKLIDVSALFYDMAISIASCVSFGKKQRNVDEYLSTIKTGVSLASGFKIPNIFPSWRTMLATVIGMSRALKEVHRTVDSTLEEVIEERQREKEDKTRPDMVDTKENIVDVLIGLHENGAHLSRDNIKAVIFDMFTAVCRTGTLASALNWGMAELMRDLRVMTKLQGEIRKAFHGKVAVGEDDIQAANLPYIRLFIKETLRLHPVVPLLVPRESIDECEVNGYTIPARSRIIVNAWAIGRDPKYWDNPEEFKPERFEGNMVDFTGSGYEYLRFGAGRRMCPSIACGLPVSEMALVQLLYHFD >KN541510.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541510.1:25663:27160:-1 gene:KN541510.1_FG002 transcript:KN541510.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VPNDGIFLNINETAIEGNQGLCGGIPDLKLPLCSTHSTKKRSLKLIMAISISSAILLLILLLALFAYWQRSKSQQAKEDLSLINDLHLRVSYAELVNATNGFAPENLIGVGSFGSVYKGRMTIQDQEVIVAVKVLNLQQRGASQSFIAECETLRCVRHRNLVKILTHRPLPIIHCDLKPSNILLDRDMVAHVGDFGLARDLHQNHSDMLEKSSGWATIRGTIGYAAPEYGLGNEVSILGDVYSYGILLLEIFTGKGPTCSEFGEAVSLHNYIKMALPDNVINIVDQHLLSEDNHGEERNSDGERTRDARIACITSILQIGISCSKESPADRMQIGDALKELQRTKDKFSMSLCEASNL >KN541510.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541510.1:19214:20650:1 gene:KN541510.1_FG003 transcript:KN541510.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQLRQPLRDQPQHPEEEQWMQGCSLLTLLGFAFLTFNSGMAVYRSDRDLATISFVVFSYVDLVSLFYFLRLFEKTPPESPRRHHIKTAFQFGTESDGSGAGLHITFKNGIWKWRNGHMKMAQLRKRRKAMGLAKYLVGLFPNRLFSLSGHAGCISIKLGLISKMSPVRAKKG >KN541612.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541612.1:678:1530:1 gene:KN541612.1_FG001 transcript:KN541612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAEAAPREHVERIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQFHLFGVTANMYLLVA >KN541612.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541612.1:23510:24981:-1 gene:KN541612.1_FG002 transcript:KN541612.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLGWAMDSKVFLREKKWPHNGPITFSPPQRDSRHHHLRLSRVGAATATPRNRIPRRRRGTLTSKLRPRPRCQSWPGIRVPVRVGLETPAAVPLTDDNPNTVPAALSQMEDGSIVYPLVRTLLELFGEEQISEIPLMEIHIRRCGISEGMGCHLIEENNSLWSFHFCSVLSMLLQYVHSLWTDEVASNVSEKLGAKFIV >KN541612.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541612.1:5852:13237:1 gene:KN541612.1_FG003 transcript:KN541612.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTSIVDLCPDQIWVTPPQPLSVLHASSSGIDRWSAAGPSISSAQRCRCARIMSVAAAIVAPELPLSSIYLSLQLMNAEDNEYHSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENLNPKCITVSEISISSEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWVITLAFPYGQRLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSRADVPSMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLCTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVNEVPEQLYLEIISFVADNWQNCFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHRDRLCIASDKYISWLISWNKEFPSSSRLFLPPNTQGALKDFSQKTKVTNWLQNYAKVEFVSVHSYAQLIVNSLGSDRRPVIAFAHFLYHSTQKKYIESYYLSDLLGAMPVIDSYGSVITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGLQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGGLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLIRFMREKVLSPSELINSVKNGKWMKTDVGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVVAGFKDNYNLVINNFKFSSTAITPEATILILECIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDSILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCIHIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLRKIGVVVDIKCGHDLVARHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSQLHVLDKYYDKKLLDYFSVFLGVRHGPSAEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADAALDISTKERHKMVSWLLNVTILETDEPITVVYSVSLSSGRALDVKASRMLRWERDNFKLYIQRSHDAAGYKEKIEFATYFSEEISRGLLFEMADQIPSLAELVKVGSLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGEDC >KN544875.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544875.1:431:1285:-1 gene:KN544875.1_FG001 transcript:KN544875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVVQKGTGGWKKIVEAFGNDVLLENGEIDRARLGQIVFSDPEKRQVLNRLLAPHISSGIFWEILKLWIKGCKVIVLDIPLLFETKMDQWTHPVIVVWVNEATQIERLMSRDGCSEEQARNRINAQLALDWKKSQADIVIDNSGTLDETKEKFQEVLRNVSEPLTWKERLRSRDGLFSVV >AMDW01037664.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037664.1:339:719:-1 gene:AMDW01037664.1_FG001 transcript:AMDW01037664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FTPEAINLQFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVSRLLWAYSYFVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAIAQFPLFFWLGNIGA >KN539865.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539865.1:44694:50189:1 gene:KN539865.1_FG001 transcript:KN539865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDADAYDTVAAACRLLLAERSMTEFVEAVEALAQCGFFVQGIVDPMDVLKIFVKKRDPNMAIRYARIFPQSQLLLCNTMEAFGKRKELKHALTVFGALKDQFGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNVYVFNSLMNVNAHSFSYNFSVYKHMQNLGVPPDLTSYNILLKTCCNAKEYKLAQEIYKEIKKKEQNGLLKLDVFTYSTMMKVFADAKMWKLASDIKQDMQSAGVRLNLVTWSSLINAYANSGLVDRAIEILEEMTRDGCQPTAPCFNIILTGCVKSCQYDRAFRLFYDWKEYGVKISLSPEQKGCFGDNFSYCEEHTSNSSTLLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRNGLCPDLISWSILMDIYGSSQNRDGAIQALRRMQRVGMKLNVTAYTVAIKACVENKDLKLALHLFEEMKAQQLKPNLLHARHPPSSSSSPATMPNPPSSSMNSPSSDHSSSCSGFSTSMMTTTTTTWCEARDVHRAGGDIPGEAACDVPVCHGISVASGKVGAIIGSFGFLYLAQNPDPAKAAAHGYLPGIGIRNSLFTLAGCSLLGFLLTFLVPEPKGKSLEEMSRENEIGQP >AMDW01130974.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01130974.1:41:2570:1 gene:AMDW01130974.1_FG001 transcript:AMDW01130974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DESRDEDWLINELRILLENKRYFIIIDDIWSTQAWKVIKCALPESTCGSRILLTTRNGNVAKSCCYSHHATVYQIRPLNEADSKGIFFKRIFGSEDQCPVHLKDVSVDIINKCGGLPLAIITIASLLTVKSKNREEWMSVRNSIGSGLGGNSDNDEMERILSLSYNDLAHHLKSCLLYFSMYPEDSEIHVQHLLRRWIAEGFIKVNCGRNLLEEGEFYLNELINRSLVQPGYIEIDGQVGTCRVHDIILDLIVSKAVEENFVTVVHDPNLLVSQGKVRRILLDYCGQENVMPMCSMVTSSVRSVSIFGCREQMLPLSDLNVFRVLHIQSAYNTSVAEICGIGKLLQLRYLRIDLVTHLTEEIGELLFLETLDIPRGITEELPKGIVKLRRMKFLNIWNARLPDGVGNMQALEELQPIRVDEESSINSLQQLGTLTKLRRLLLSLSIADKNSHKSTYMDTLNSSLSKLLTSNLRYLSIWSDSGYINLDFSSSPSYLLQELLIFGQRFHRIPLRLASLASLAVLRITIQQVTQETLQILGDLPALLSLELCSDAYDATERISVYRNKFRCLKSLSLDCSGSDMMFHAGAMPKLEDITFTIKAHSTEYACANRNPGIHHLSTLEKLRVYVDCQGARAEEVEAVEAAIKNEASLLPNCFSQRIIRWREEKMVTEGQEERGYSVDITQVLIAHNNPCRLADYPQFHLFKGTTGSLGGHYSTGGCKLD >KN539865.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539865.1:74589:74969:1 gene:KN539865.1_FG002 transcript:KN539865.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERLEETNDFVGAVFEGKFYAPGDFKALETMPSRAEVYAKLLGALQGPATSLVTTLQAPARDVVSVLSAYVRKLEQEAGAA >KN539865.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539865.1:17353:19597:1 gene:KN539865.1_FG003 transcript:KN539865.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G45640) UniProtKB/Swiss-Prot;Acc:Q39023] MTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFNNDMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFRY >KN539865.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539865.1:56574:57504:1 gene:KN539865.1_FG004 transcript:KN539865.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVLSSGNGNREYYQLDQRKESFKLVLEKVTTFLQKDVDQNQTVDVRGLSKVESRVVVLSVLRKIKEKYLLGRAVQDDVVIITGHGKASSTKAETSVVEVEQAIVAVLTDELGLEVLIGPGSRPASSKPTVPARSRNHLDLASKHFSRRPQGMIKIPINSLNHWLKRKAVRTVQ >KN539865.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539865.1:65321:68857:-1 gene:KN539865.1_FG005 transcript:KN539865.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTRLMLSAFDLLDNIPQRHEFCNHVLKLSNTRRAGEAAAAPVEGLAKSLQGVEVFDLSGKAVPVVDLWKDRKAIVAFARHFGCDCHSAVFPNYLSCFGRLSEFETIDAGCLQMRAVPFTTYIQDAMEAAGVALVLIGPGTVEQAKAFYDQTKFKGEVYADPSHSSYNALEFAFGLFSTFTPSAGLKIIQLYMEGYRQDWELSFEKTTRTKGGWYQGGLLVAGPGIDNILYIHKDKEAGDDPDMDDVLKACCS >KN539865.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539865.1:10935:14061:1 gene:KN539865.1_FG006 transcript:KN539865.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTILQTKNPSVLTGLPALASASTDSPVSSRVHPPAACGDLHPASSGAGAGVPLLPPHPRSPEMPRYDDHYGSTRLYVGRLSSRTRSRDLEYLFGRYGRIREVELKRDYAFIEFSDPRDADEARYNLDGRDVDGSRILVEFAKGIPRGAAGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSHSPSPRRGRGRGRSRSYSRSRSRSYSRSRSRSLSGSPRGRRDRDDRRSRSLSYSRSPRRSISPAANGKERSPTSNGSRSPRSPQDRVSPPPKDNGERNGDSPRGRENSRSPSDGYRSPVAANGRSPSPRNNGSPSPMDNNSRSPRDNGSPSPRDGNGDGGSRGGSRSPRASESPEA >KN540029.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540029.1:58858:62885:-1 gene:KN540029.1_FG001 transcript:KN540029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKCLFIFFLAFLVAFFPGAAVDAGLSPGYAALLRALCPSSANASTTAIDLRVNDTMNALVTRFAANETEWKQRFADAMVKMGNIEVLTGGVGQIRLNCNVVNPSSSSTSPVVELAGEEEAADGAVAAS >KN539865.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539865.1:58714:60033:-1 gene:KN539865.1_FG007 transcript:KN539865.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/Swiss-Prot;Acc:Q9LZ03] MSEKKRRGGAGAGAASGSASKKPRVSTAASYAESLRSKLRPDASILATLRSLASACSKSKPAGSSSSASKALATEDDPAASYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRASSNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLTFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >KN539865.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539865.1:21508:24554:-1 gene:KN539865.1_FG008 transcript:KN539865.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPVVSAEYQEAVEKARQKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKTPAELSHAANAGLDIAVRMLEPIKEEIPTISYADFYQLAGVVAVEVSGGPAVPFHPGREDKPAPPPEGRLPDATKGSDHLRQVFGAQMGLSDQDIVALSGGHTLVSLTSLYASAGRCHKERSGFEGPWTKNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA >KN539865.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539865.1:3080:6880:-1 gene:KN539865.1_FG009 transcript:KN539865.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGEGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEALAQCEHTLTEFGIEHREAVDDTAGAAKTVAEQNLQDTGAIASSLAAELYGLNVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHKKRPLRITDDSFSTPSKQFDYLFYMDLEASMADPKTQNALGNLKDYQGWVERGLSISQRVGKSSIISRLMLI >KN539865.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539865.1:69797:72662:1 gene:KN539865.1_FG010 transcript:KN539865.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTKGGGVPEHKRAQELSELLGVNISPAQVVHGSSPYKELVNRFENDLIIAVGKGEPAAVMVDYGFRKVLSIDEYSSYFRDIDPLAPFKKWIVQQPDNKNLMSEKVHPSYDVFEERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGRGDQPPLYFASDDLEYQAAFPSERLGMGAFRIALESIFNQVNDHRLKYISYGKPNPFVFKNAANILEKLAICMHPSSLPTKEVEEHRFSTIYMVGDNPKVDINGALKAGPPWSPVLTRTGVFRGKDNDPQYPADLVVDTVEDAINCILEKECIQ >KN539865.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539865.1:30867:31292:1 gene:KN539865.1_FG011 transcript:KN539865.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAHHGWAGKGVGHREVSPSLSAGEEGCPAREGIVVAVSEQLAGVVVGAVSHHRSASCAAATAGRERVGRRDDVDLLCSECRGSRRDDSCGGDYDGMTEGAGGDRQHVPSRWSSSPLCEMDGRRGNDDEVSGDRDRNGAR >KN540029.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540029.1:189:1804:1 gene:KN540029.1_FG002 transcript:KN540029.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSSPSVTGELLEQEAPPSTPGLDWSQLPADLLIRILGTLEIPDIFSSGVVCRSWHASYLEARRLGICSNNPGPCLVFSSSDRDPSVATLHSLTTGKDYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIANVKIRCNADGVPDGYDLFTMDMSSRDFEDETGPIDLSWEEGRFYFYMRVVLSADPSSGNCTVMILHLLHNLLSFARVGATHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKNSLINCAKYIVLSETGDLLQVWRYYHYVNNNKERRTRELVVYKVDLVEHKLVELKDFEGHALFIGFNSSFFLRVEDFPMLTPNSVYCTDDTVHYIFRSRFGFREVILLFCKIYRVVLNRELLIETIEQSRIEHMLIAVRIML >KN540029.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540029.1:49827:50282:-1 gene:KN540029.1_FG003 transcript:KN540029.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVFDRVQVDTGLSPGYAALLRALCPSNASATATTAIDVSTPATLDNNYYKLLPLNLGLFFSDNQLRVNATLGASVSSFAANETLWKEKFVAAMVKMGSIEVLTGSQGEVRLNCSVVNNRSSSSAAGMETSYHYYSGSTMSVDEVASS >KN540029.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540029.1:37050:42417:-1 gene:KN540029.1_FG004 transcript:KN540029.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSKWLAGLMLLAAALACSLPAASRAQLQLIRNATLLPFVDAFAANETLWKEKFVAAMIKMGNIDVLTGARGEIRLNCSAVNPSSSSSSSSAGMMIETVFPAAGGEVAAS >KN545164.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545164.1:475:1374:1 gene:KN545164.1_FG001 transcript:KN545164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAYELIERSKKQEALCRSEQLKENKEASDRHNGTEDQAGGNNSDSEDAEDDEEEDKESDGYESPPMADDVPDFTLDDPCILDMNLDRLCLIVGIYYFQTDPRFLLVFSWSVK >KN540029.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540029.1:9444:21668:1 gene:KN540029.1_FG005 transcript:KN540029.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSSVTGEFLQQEAPSSSTSDVDWSQLPADLLVHIFGMLDIPDIFSSGVVCRAWRASFLEARRLGICSGNPGPCLVFSSGDRDPTVATLYSLTTGKEYYVTMPDPPFRSRYIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIANVKIHCNADGVPDGYDLLTMDMSSRHVDTEAETEFHSREEGRFYFYGRVVLSADPSSGNCTVMILHLLDNHLSFARVGGTHWTWIDVDEQCWDYHDVLYNDDDRLFYAVRGNGDVHAIDTNGPCPMLRVLLDTKNTVVDCIRYIVRLEYGDLLEVCRDCKYVNDDRRTEELIVYKVDLVEKELVKQKDFEGRVLFIGFNSSFFLRVEDFPMLTPNSVCCTDDSMENIYSERFGFREVGAFHLEDSSFTDLLPVGSWLNWPPPVWFRPSYSKGLPFDQLKQTIQEKHQLKLTRTNLSLNVSGKSSAASAAAAMVCSPERARAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSQQSTGYFVLVGLQGGKELLDIQECESNSLENGSQIDLQGKESTDADDNHRKQSIINTITKLTPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKSPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDSGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRAIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRNALESDRVSRQLHHWIDITFGYKLSGESSVEAKNGGSSSMTTGLLLKDHIPPAMSSQIDYLEEFEQANVFMKLENHLCPIYHYANDSSSCCSSVKYNKSPCSNQYIVPPESVLSVAPDFDFCSFLECFESDDSSPMGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGILPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFALMDGLSALEGLVSILPVKTILRELLQVAASAFVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKWQPSTDHSGSSENMKGQRFRSGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTVASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >KN540029.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540029.1:53215:53568:-1 gene:KN540029.1_FG006 transcript:KN540029.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRVVAAVAVALGMCLLQLQAASRGQPQRAEEFRGAIFISNL >KN541713.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541713.1:6331:7770:1 gene:KN541713.1_FG001 transcript:KN541713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIDAPVKGQPDPLILTQLNITKAIKDSIQLNFGECGLAACLGSLQGVYELCSSAVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGNIPVSFNLRDMSGSIRACKKAALESEEAKFEYYKQAAGDRITPKFVETMESCFAKIKGLES >KN541713.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541713.1:22912:24296:1 gene:KN541713.1_FG002 transcript:KN541713.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGGNPGKLFDEMPLSSSELGSLWSQPPAATDTSFDRSGVIACIRGCVLCRRCLPWSVTSDRLVVSCAGVVFGGMPHTRSRSETDAGVSLWAGLQPDILGVVLCYLPCLTDRTRVRSVCRHWRAGAHGHVLPPPLPLLVLPDFKFSSLSDKGDLMPVRRVQVPKEVAADDLRCVGSFNGWLVGLTPSKDRGSEYYQGSDGECFLVNVFAGKVIRLPQLCNSCNFSAYSSKTLRVINSSCEVHLCANDGYEMSLSQVVLSASPDSGSKYIVAASSGYRGVPVLALWQPGMTLWQLCSGVDIDGLKDLAFYQGKLYVFLRHRTRLFTFELEEDDRGFMVSRIELSLTELPFNHPFKEGGGISCNIVVWRGELLLIIRHYNDGYRNRQILRVEVFALDVNTNPYGLAEIHSLNGDCIFVGSGGCKPFPAGLHDGFE >AMDW01033438.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033438.1:17:397:1 gene:AMDW01033438.1_FG001 transcript:AMDW01033438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMVIQDRNREIKIMSMDVDGEILKLPPPPPPLNGVSSSSSDEALRPATADPPGGAVVRVKLVVRKQELKKMLLHNNAAAISLNDMVSLMQKQQAEADELLQQQESCGSVWQPTLQSIPEGSVF >KN541713.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541713.1:17802:18080:1 gene:KN541713.1_FG003 transcript:KN541713.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVDFSWDDLNLPCKEALFGCLAEIFGGVADDPICLPMVQCQHMGGPFKVHA >KN541503.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541503.1:1910:3646:-1 gene:KN541503.1_FG001 transcript:KN541503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKRLMSEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLEPLFKLCKKKALPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >KN541503.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541503.1:19253:19423:1 gene:KN541503.1_FG002 transcript:KN541503.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >KN541503.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541503.1:11133:16479:1 gene:KN541503.1_FG003 transcript:KN541503.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYLNYLSLEYDSVWDTKPSWCQPWTILLSGAVAIAGSWLPIHSVVITGGVSFAICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKSQSMVIFVSTFKFTSIVHHVQVGHSLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASTTSSSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGEANAAHRVFDEMKVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLIARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGTKIHSQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYFLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNGQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGTTPNEQTFLAILFACSHSGLLERAMHYFEMMHACGISPKAKHYTCMVDVLARAGRLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDVYEMLDLLTWELIA >KN541503.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541503.1:5562:8408:1 gene:KN541503.1_FG004 transcript:KN541503.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAASSPFDCVLLDLDDTLYPGSAGIGLATKRNIDEFLMARCGVTAERAAALRVELFRSYGSSLAGLILFTNSDRAHMRKALQRLGVDEGCFDAVVCFEAMNPHLFGEAPCASGDDRPGVILKPSPDAIVAALRVAGTNPHRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGSLRRAIPEIWGGVAVAGEQLDHGAEKTKGMRSDLESIIQPTSVLA >KN541503.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541503.1:21406:27066:-1 gene:KN541503.1_FG005 transcript:KN541503.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding LHNRLVAAVNNASFLAQLEKIRDESMHQQTELSPDNMTDIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVMGWLNSASDDAWNEPNPRPTTPQLHKLPVQSPLANPSRRHSFPSPKPPQSFSSSSAAAANSDFIFSETPMATKSQKKKRSSGPKPSIKSKASSSDQKPKPSKPTEEEREEKAAAAVAAEKPKKKKATNEIDEIFQATKSSGKKRKQQQQQGEEESVRAKKPKESFAASAVMLWRHFAAGGCEGMAGWAFNAVFNASLLALFLDFHGAAYAAAKGKKSRSEVVKEE >AMDW01040907.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040907.1:229:1896:1 gene:AMDW01040907.1_FG001 transcript:AMDW01040907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEDNNDGSHKISGDSLKDVYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGEKFRAPVEPY >AMDW01040042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040042.1:28:987:-1 gene:AMDW01040042.1_FG001 transcript:AMDW01040042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTCALFTKNSMEIPCPDSAAGLYIRTRDDKVVKHIIQERVMCSALIGILLHKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQE >AMDW01022703.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022703.1:44:311:1 gene:AMDW01022703.1_FG001 transcript:AMDW01022703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGEKLELPAGDGEAVAPVFRCTDHMCPVRVHWHVKISYREYWRVKVTITNYNQVKNYSDWNLVVQHPNLRSLTQLFSFNYQPLIEYGTL >KN539728.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539728.1:46439:47953:1 gene:KN539728.1_FG001 transcript:KN539728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADIFVNLTSLATELAQLVSEGISVQGIDAVLFPPAETKTKTKPATARIRTAPAITGVSKIKHRREIYSSHPRFGRQIARSSMPNGWHPWPAIAIHTLPVDRKD >KN539728.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539728.1:72784:75113:-1 gene:KN539728.1_FG002 transcript:KN539728.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRRRFPVFLAAALLTLLLPASAAATDVEYCRQGRDYPVKVSGVEIVPDPVVSGQPATFKISASTDKSITKGKLVIDVKYFFFHVHSESHNLCEETSCPVTGEFVLAHEQTLPSITPPGSYTLTMRLLDDGNKELTCISFGFSIGFISPLALI >KN539728.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539728.1:26134:27779:1 gene:KN539728.1_FG003 transcript:KN539728.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIERLQREKAAAQMEARQFRRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDDGEEEQHDEEDGEEVEQIDTAALQTDGSSGGGDSIGGMQVKAMVDDDEEEQFTPVEKEFEYTVDVRCASSTTKVSGAVVVGEFMGEGNAAAGGLYARVEALEADRAAMRREIAALRAERAQLVMARAMARRLCREVVAEQKAKKVAASPRSFSVLGVCKKLTVLYLFAPVGALDNLLEKQKFYSQVYLRSVNYVPWLPTAAR >KN539728.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539728.1:53746:56451:1 gene:KN539728.1_FG004 transcript:KN539728.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRGALVFFFLVLAGAAAETAPEEPTLPSAAADGAASSGTTSGAGAGTGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKGNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWSAVSHPTLSGEEVELAAAANDGAMRVAHAAVTRPDAVLRPDGKLLEATCQMAGILGQRSRFASCQ >KN539728.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539728.1:64190:65874:1 gene:KN539728.1_FG005 transcript:KN539728.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQISVLVLVLALALLGDPMPKAVLAFVIPKAGCGVDEFMLAEVNEKTGACEEKAGDDCAPNEKPPPEEADWPNVNMLGGDELEPNGLLKAGDDEAIELLLNILVLAIVRDDPAEELGDENANPLPGPEKVVPDPNGELPNKDPDEEVVTPKDTVPGPDEVVPAPKDTVPGPDEEVPAPAPKDKAPVPDEEAPAPKDRAPVPDEEAPAPNNRAPVPDEEAPNPKDTVLGPDEDAPVPKDVIPEPVEAVPTPKATDPDPDEEAPVVNSSMAATLCPLTVAVCYQRSCWWQMVGWYCHQQMYYQQLENVKGAVEAEVVEAIPSFEAATVEDENVAMLEKAEEDVTGELEIFEEIGVVLRFDDALLAVSWAVDLASAIASPVPNNKLLAGFASIFVLSDLVAATIEVLEPKLNVGIAGIGDETGGLVLDGANVIDTVDGAKLTAGLSIGVTFDNFDDDGSEKPLLRLAVVDAADAKWKGAAEGNTLSDDLWTSSVLSLSLPPSKLVMSNVVGLVTLET >KN539728.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539728.1:13610:14680:-1 gene:KN539728.1_FG006 transcript:KN539728.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSTPPSWCLPLQKVHCFDYIDGDYAKKQGLLSLDLLCDTFLTIDGVYLIYDTMIPTLYRLSSPDGMAHITQVLIARPHTAVDDGSYALAHGSYALALLGKMAVVDNPGDMPVISWPNVLYQWRPLSSISLWKLIKNANIPQQWMADKSAFSADVAFSSEGQAFWVDLMHDDVDFDSIDLPLDCLKFTPHSWTMEAYHTVGCTGNSIKFVSTHFRGWVKRDAPKVTVWRLEVHAKIWVQEHDLNLKTLWTQPAFLDINLPMDMAAMYPVLSMH >KN539728.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539728.1:79685:83756:1 gene:KN539728.1_FG007 transcript:KN539728.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAEPASWWCRRARAAAELDGLVRFLFGSKAREGEVASATSTLLHVATHNLDRERRDDEVVQWFRDGPEPADDETDKDFETDLVDSVTDKDFESDEAIWAFYERWCKAYDKERDHAEMAHRFKIFKETAELVHRSNKDAPEEEKLCFGPYCDGFDEQQRAEFLLKFGHFHGIHEFVEQWKIDFPKPRKIDSPNQSP >KN539728.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539728.1:59071:62090:-1 gene:KN539728.1_FG008 transcript:KN539728.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKARQDGDDALPGANGGPDGGGQGRAGLLVGSVLKRKTESLKDKYSLGRKLGQGQFGTTYLCVERATGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFADQTEEAALKTIDFGLSIFFRPGQVFTDVVGSPYYVAPEVLKKKYGQEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHMNKIEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVQLEEMIREVDEDNDGRIDYNEFVAMMQKPTMGLPAKKSGGLQNSFSIGFREALRMS >KN539728.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539728.1:30047:36736:1 gene:KN539728.1_FG009 transcript:KN539728.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCGRACTTLGVCSYTITGAAAAFLDAPFDADDDDDTFSGSGLGPRSPPLLDDDDDGMATVMPANKAAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIHQKEDSPLKAIDFGLSIFFKPGETFTDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGANLMDSEIDALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDIDQDNDGRIDYNEFVEMMQKGNNAMGKMGQHSTGNFGLGEALKLR >KN539728.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539728.1:9186:9440:1 gene:KN539728.1_FG010 transcript:KN539728.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATAAGINSRSVAKKNVADVPAFVAAAGGDDGETAATGNTDGAYAREGGGAGGSKVLTARRGWDDSTRTGCNRFMASIVSN >KN539728.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539728.1:66419:71244:-1 gene:KN539728.1_FG011 transcript:KN539728.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLVIKLEETEGGRTKYVFVVSTRPAPTASTKREGLRGSDNEPNQGLPESTQTGSKPILEKGKNPTGTSDNKALSEVEHLLMRKTFTRKVFFILIFLKWVEFDRLTDLLRARTVESDLSAPAGNLENKNEARNTIDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRTQVLLKNKAEASTIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLRGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSPTRANSLVSGGRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSLRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTGQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFSAGNASCSMSKQPVRQGNEPNGASDPSSSQKFQPMDSVKRSLDPELNGSPLSKDKLRKDGSSKLLSHSFKDLGNKDIKSDNVALSSVAATTSSKPGFKMAVFEDLSEFDDDQEAPVPSKNSVGKTEVKTTDKKVDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTAGVKTEARLGGR >KN539728.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539728.1:41590:44721:1 gene:KN539728.1_FG012 transcript:KN539728.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFCDNDPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNIRANRLNTAAVFHHPVLGDFEVPVGADDAELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSGSHSRPQIVVFSRNEAIPGGSLHASSGQDEDHEQSSDLGSAHPFAALAAIDQGHMSGGSQLYVGHSDQGASNPSLHDERAMSRYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGIAAVSRMMERLETKDDTGPSAVPAASACSPSDANNQRTDLALNEPTPCSLKNWIHCEDRKFAEAFASRSRDIRLVLADEPLHFFTLCNIYARLL >KN538722.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538722.1:308459:308680:-1 gene:KN538722.1_FG001 transcript:KN538722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGWSVEKASSFPRHSSPYNLWMRRWKGACSERGRNGLTGTRSMPRLSVRVTSDGWLKLRDDTRWAAAPLL >KN539484.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539484.1:95130:95973:1 gene:KN539484.1_FG001 transcript:KN539484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAMASNMQGVAGVVNGNAEKRRRTSSDALQRTVSDVSYELHHHVGAKGTTMLN >KN538722.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538722.1:338350:340347:-1 gene:KN538722.1_FG002 transcript:KN538722.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNFVQNHHLQNSQFFLLPKRQLVTYALYALIPLALLHYLLFNPVATAKKPVVVVVQATEDAASVIASSHREHVKAESLVCLLASRSTPELVHRDGEESRFRRWAFREHDATVSIFWSPFLVKAAEKAERAGVRHNNVFLDAFDERWMSGLGGLDAVVLSIGHWFLIPGIYHDAGEVVGCHDCAEFNHTETPFFAVFKQAVHRTLAEITRRHALAAGAGTSKSKVVAFTTFSPAHFEGEWDKAGACNKTRPYKNGEKEAGCS >KN538722.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538722.1:288216:291318:1 gene:KN538722.1_FG003 transcript:KN538722.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKLQGCHVQKKASMLQVNKRGSLMSRAEVCETK >KN539484.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539484.1:44239:52786:-1 gene:KN539484.1_FG002 transcript:KN539484.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSSRPWSGWASPPSPPPTSTRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSTPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYRLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSSATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMEKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKENIEYIVSQLKQELAIERSSHQTHIQELETRAFQANKKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFVGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILNYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGNKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYEVSVQMIEIYNEQIHDLLSNSGSEKKYPFGSQALNQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRVTAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMGEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSFPDQDRVSGTQKEQHMAPSTPKDRFVYKHRKGQSNTCNIFIKKFQYSEKVDVKAVHP >KN538722.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538722.1:313057:316285:-1 gene:KN538722.1_FG004 transcript:KN538722.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTYKCVINGKPDGGYLHWRWQPASCNLPALDPAAFLRLLRGKRLAFVGDSTARNQAEALVCHLATAARPVTGHSEDYGMAHEVVVLDALTEPWASDLAAMDVMVISAGHWFPHSAVYYDDGEIVGVHGRPDMNRTEMSAPSVYRKVLRRTLEHVIDAAMADKLELVVWHGFYVAAALFGGEVDGGGGGVVLLGGGGEAGENVLVK >KN538722.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538722.1:325529:326892:-1 gene:KN538722.1_FG005 transcript:KN538722.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYDEPPLRHHHHGGVQPIRDIFTSLIYAALCLALLYLLCLTPRGSPENAVSALLRHVTIASGEGRGGGGGGGGCDYSEGRTRPYDDGEKEVGANERELRSIAMEEVAAGGGGESRVEVLDVTRLATMRPDGHPGVYMHRDPFARGVPERLQSDCLHFCLPGPVDTFNEILLQLLIKKKQQ >KN538722.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538722.1:277227:282570:1 gene:KN538722.1_FG006 transcript:KN538722.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPLEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGEKAHVKILRNSKVLEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNIQVLSFNGKPVKNLKHLATMVEDCNEEYLKFDMDYDQIRLESGGTKESQG >KN539484.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539484.1:17987:21498:1 gene:KN539484.1_FG003 transcript:KN539484.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAAPAPDQRLFSLFSGILRSIHPVSITCRLCLPAQAAASKPSTSSSPGTGVPRERGSSVSKATRRRRRARAYIGDTGLLDFVLKSLGNHIIGNYVVRRTMNLVTKLLEYCSRSPARRSPPGKMRVRFQLTRAQLMRDLVHLYRHVLKEPSQALTGGAFGAIPVAVRMVLDIKHFVKDYHEGLAAASSNGGGGFGHPHINLCCTLLVSNGNPELAPPYETVTLPAHATVGELKWEAQRVFSEMYLGLRSFAADSVVGVGADQEGLPVLGLVDVGSAVVVVTGEDLQVDPKVFGSFYLIFHVGRVVASRSSLDGDIGVET >KN539484.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539484.1:7306:7524:-1 gene:KN539484.1_FG004 transcript:KN539484.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKPLLLDFVSAVLSRIKTKSRSKAKPETSCSNPGTNSCFLLLLPRCCQQSEAVVGVAGVAAEALAVLVV >KN538722.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538722.1:343658:350220:1 gene:KN538722.1_FG007 transcript:KN538722.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHGEECAHADASNLKSELSVSASDHAIEKPKKLLVTEEISEPPNVGKIDCAVSPTPHQSSNCSSGLAKSSGLYDHVKGPPMTDSIGVLSNTSVRFGDFDEVPGLALPADACRNNSSSQKHIHIGDATEFINECKDESELKTEPNFCKTIVETSPVIIQGAETPTEDESKVLDICEITDNRLDVSGSPSLDDTVSLSCANNDLEVPVKSSSVASTESQTVLHAPTSADFGGETAGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSTALPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITTESFASSSSGEVSGSSSKLTTASATVGSISLKVESQVKLSETEKKIAGERQIRDTIKSGRSSPQNMPSSSAKSRKGSLEPISEVEKYNFRKDKELPENKFDKLRSIDMAKRTTVHLEKEKQNAAPRKSLDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVPERARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRSESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDNQNRSSSANSGEDSQIISSANTAESGVKSFNSTQLKRRIKKIRQRLMALKHDFVEPLIGENTGIVHRSALGTAKAKLSRWLQDLQRLRQARKEGAASIGLIVSDMTKYLEGKDLELHASRQVGLLDFIASALPASHTSRPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSVSLENYIKVAASNSGSSNLQSSKTSTEYMESVGEVLDGFFWTVTVIVGHVYLNDQQLQMQGGLIELIVVYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCKCRTLAGNLVQEYEYLCSQDIGMGNQLMTSDQSGDVKLPSTKSDQLKRDECDPSELIKENKSLDHHKFNIPGDNMSVYEASKDSGSMPEMQSSDTLEVHSVVPCQGDAADGTLERKKGNTTCLHDSPGKDNEINLNQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQASAILPSNFEEVATGVLKVLNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKNRSVVQQEISTEMLRSLIKSCKTPGLASSDSILLDGWGTNSSSDNTQILLDTRNPQGDISIRSNRKSARPVLGKGVSGVIRLSRNKGQRDGRGARIGDDGPLKQRAGEVSSNFMLHRKIPASFLDKAEEFFCSENDTAANITN >KN539484.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539484.1:12749:16116:-1 gene:KN539484.1_FG005 transcript:KN539484.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKAAAAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >KN539484.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539484.1:90798:92350:1 gene:KN539484.1_FG006 transcript:KN539484.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCLLHKHLLFALSLFFFLHQYTFPLKVAFKIFIVLEVKHSYDKKNEYLEDAENQLGHDDRSMFQGRKERGGSYGDGILEKGGENPDVVSKPDEENIGETVFEKDNTDSHDDDTRNTDRSEAGEGHGSSADGNTEANSNDEDGTTNHSEGEKSDAESNSSDAESKGEDHSTGDDMPQSNTVLEESSAETNGMPHEEVAHGDESTNEDQSNVKSDGSNEEEGEKKEAVDSQNASESLSNDAKGGTDDEHSSGTLPDETGNLPSGQNENSQSDATSTTSDASSEAIHIETGSENEDAAKSSGTASGDDEKGSANEASDSNETKSDEGNVATEVSNDQATNTEAENSQEASAAEAANGSSEETKPADNQNNGNTELSNNGEQVDIKMETSASTNAEHNESQVGDGSSGSNGSNDTGSEQTGTTEAQ >KN538722.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538722.1:320692:321999:-1 gene:KN538722.1_FG008 transcript:KN538722.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLPLPCPPPPPLLLPSRRPPCRVRASAPVFQWQWHLLLQHLVVWRGVAVNGGDRCCYCRVAGGGGERSSSSCDYSVGRWVRAPGHARRYNGTACNVKPEQDCVGNGRPETGYLDWRWQPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLHCLLAAAFPHELVAQDAERYKRQFTRWSFPSHGVTLSTYWAPFLVRSGGKPFNYTMPYNLVYLDELGNRWDADAGTMDVVVLTAGHWFWNPAVYHRRGEVVGVHAHPELNATEIGFTSPYREAFRRALERLGSDGRRRTVVLGTFAPPHFDGKPIFDPTACTRTEPYRDGEKEVGSIEREMRSIVLEEAAAAATATMRVEVEDVTRLATMRPDGHPGVYMHRDPFAGGGARPERMQTDCLHSCLPGPVDTFNEILLQILSRHR >KN539484.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539484.1:9404:11943:1 gene:KN539484.1_FG007 transcript:KN539484.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIPIWCCVLNRAIERYRLQTINKSAAVANKDTEKISESSNWDNSVHLPVWVLETEKNAIEGRIEEWTTQFESCGADIRSLALTLKKPLRPLWISQRTRIWLNEVPELESWDFTPIILVSASASGAVATQRMTSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDGGPDLCNQLVADIVEKDRVYRAQRGEHSPQITAKPLKCSSHDGPFSNGDHTSMVQPMDSDPPTVTDMDKQNSSDGHILFWIGTSNLAVASTLQVGDSLAEVDCILNCDSTSNLPSTSSENSYLELPMVGSKDDRFSLMKNLPKAVSFANKNLIAGKKLLICCQNGEDISICVALAIITRLFDCDGFFDHGNSFLKGDVTKLEMRKRLVFVCKYAVNARPSRGNLKQVYGFLCNEKEQCSCLT >KN538722.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538722.1:292369:293707:-1 gene:KN538722.1_FG009 transcript:KN538722.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGKWERCIGGRSKPMSRQRTQEALRRAPGDSEVDRKEKPWAARWKASLIATCLVALPALVFLAVGGGMPSAVTVLVRGTTPTSAGQGGGAGAARAMAECDVSRGRWVREPRGPSYTNVTCSTVADYVNCQKFGKDPGYLYWRWRPDGCELPRFPPATFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAETPTDMHTGAFVDAFRRWRFPEHDFMLMAGTRPLEEDEVSSGAIAWELRAAQIEEVRKAREKATTTMRTRRRFGVVDVTPAMMARADRHPGEHHRRWRGRNANDCLHLCLPGPIDMWNDVLLRRLAELSPPSDAR >KN538722.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538722.1:253477:255626:-1 gene:KN538722.1_FG010 transcript:KN538722.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLSPVAVTHLLQHTLRSLCTSGDDSQWVYAVFWRILPRNYPPPKWDLPGGAYDRTRGNRRNWILAWEDGFCNFAATSAACGDGAAAAAYAAAECEETKQVGVAGGGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEQEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAFPGAGGLQDAAWAPSPTMELYDPYYGAHAAAAAQLHHIIPNHLV >KN539484.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539484.1:34590:43224:1 gene:KN539484.1_FG008 transcript:KN539484.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKHRPYRYISVSEFAQRFKRFHVGLQLENHLSVPFDKTRSHQAALVFSKQSVSTTELLKASFAKEWLLIKRNSFVYIFKTIQLIIVALVASTVFLRTQMHTRNLDDGFVYIGALLFSLIVNMFNGFAELSLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSIIESIVWVIVTYYTIGFAPEADRFFKQLLLVFLIQQMAGGLFRATAGLCRSMIIAQTGGALALLIFFVLGGFLLPKAFIPKWWIWGYWVSPLMYGYNALAVNEFYSPRWMNKFVLDNNGVPKRLGIALMEGANIFTDKNWFWIGAAGLLGFTIFFNVLFTLSLVYLNPLGKPQAVISEETAKEAESNGDARHTVRNGSTKSNGGNHKEMREMRLSARLSNSSSNGVSRLMSIGSNEAGPRRGMVLPFTPLSMSFDDVNYYVDMPAEMKQQGVVDDRLQLLRDVTGSFRPAVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDMRISGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFAEYYKTSDLYKGNANSLRMVIGAMYTAVMFIGINNCATVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQTAYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVAISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWLCPLAWTVYGLIVTQYGDLEQIISVPGQSNQTISYYVTHHFGYHRKFMPVVAPVLVLFAVFFAFMYAICIKKLNFQHR >KN538722.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538722.1:331186:333408:-1 gene:KN538722.1_FG011 transcript:KN538722.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVISQGHWFWIPTIYHDAATGEVVGMHNVTGLKNTGDIGLFAPYRRTLRMALDRLVGSGAGNRTRTVVVATFSPSHFEKAWDDPTTCARTRPYDDGEKEVGANERELRSIAMEEVAAGGGGESRVEVLDVTKLATMRPDGHPGCDYLAGDELSDDAAAAEDESIGDVDAMLGGGVRGLVMSDDAHLLVTTRRGLHGGGRAALDRLPQHEVLRAPTASRRVPRRDGLGREASGHREHVAIPDPLLAHPTTLST >KN538722.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538722.1:368053:370141:-1 gene:KN538722.1_FG012 transcript:KN538722.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSAPPLLDLQIEGTRFIFEPRTIQRMELIVLVELDWRLRSVTPFAFVDFFACKVGSSGRSSRILALRACQIIISAIHELEFLNHCASSMAAAAVLFAVNESPAAMSHRSSVSSESAASWCIGLTEERISSCYQLLQRALNATARKRKRHPMILAACSSVTSSSSRSKRRKLDGHLGED >KN538722.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538722.1:303040:305230:-1 gene:KN538722.1_FG013 transcript:KN538722.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANMSPSLQSTMWQLRRRARRMTSRCRRRTHRLQLFQQQQTKLNNNTQVMLVGANNMEEKCDMSMGKWVREPKGPVYTNTTCPTLPDFKNCQKHGKDPGHLYWRWQPDGCDLPRFSPERFLAAVRDKRLAFIGDSLARNQMDSLLCLLSQAETPTEVYRDAHDKFQTWRFAAHEFTLMVMWTEFYVHAEPVVGADGKPTPSFDIHLDRLSANWTRRLPELDYAVISNGNWFFRANYLWEGGRRVGCVKCGGAANLTDVGVPYAVRRVVRAAVEGIARCTGCKAGLVAFLRTFSPDHFEHGAWFSGGYCNRTRPLEEDEVSPDNAAWELRRVQREEVMRVKETAAAAAAASGNARRFEVLDVTKAMMLRADGHPGAAIDKRWQKNIVSDCLHWCMPGPVDMWNEMLLQRLTEISTLDQDASIFEAP >AMDW01030285.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030285.1:2:374:1 gene:AMDW01030285.1_FG001 transcript:AMDW01030285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVSMYGL >AMDW01032031.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032031.1:3:350:1 gene:AMDW01032031.1_FG001 transcript:AMDW01032031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GERVQNVDTVRRIITAFVERDSAASSGGANGRSRRASLSGAGALQGGGGGAMQTVAKTVDEVAAEMATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALDEMEREK >AMDW01029612.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029612.1:27:209:-1 gene:AMDW01029612.1_FG001 transcript:AMDW01029612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPYITDSDGKTNEKAITIDDDCEESLDDYFDEEYNEKVTQESTKTNPSKRRVTRRFKTSNK >AMDW01026557.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026557.1:46:228:1 gene:AMDW01026557.1_FG001 transcript:AMDW01026557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMLVKLGEALRLPPGFRKVLARHPGIFYLSHKLRTQTVVLRESFRRHMLVDKHPMMGI >AMDW01015953.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015953.1:3:260:-1 gene:AMDW01015953.1_FG001 transcript:AMDW01015953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRFVGELRNSVHAALSPWQWCRLSSHISFSVSMLYLSAATIMFCAMAASSRVVPFSGGSESVYM >KN541397.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541397.1:10323:13360:1 gene:KN541397.1_FG001 transcript:KN541397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPRKNDLLDVAFDMEGEVEGEGWVMNQDTMRGMFMVILILPHCDSIFTGFARRWKRLDLKYNRVGNGKVTANPKSMIQLQEELKGLIGTKTHVAESDISQLPYLQAVIKETLRLHPTVPIAFNKAEATVEIQGYKIPQGTTVYVNIWAICRRAKIWDDLNKFMPESVTFLYIGASFFSNDTILKKNLIGSTIIGIGT >KN541286.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541286.1:8500:9184:-1 gene:KN541286.1_FG001 transcript:KN541286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAALSTLSAASVTSGKRFITSSFSLSFSSRRLATGVRAAGARAARRSAASAATLTAGKKAVLFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDAIACVSVNDAFVMRAWKESLGLGDADVLLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >KN543031.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543031.1:2886:7153:-1 gene:KN543031.1_FG001 transcript:KN543031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDYSVKVSIGSQFATPFNCINHHLKVEKLIKELPTAPSDSSNVEAVSGDKGYSGNVATPPNVEDGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLQHRDDKVIYNCLRAYAAIDNTSSAEEIFRTTVVSPLLQKIVPQNYAKVVAGVSSDDLENDYEQIMQCVEKDCKFILEISSLANSGLHVFDFLANSILKEVHLAIQKGKPGATSPGKPKQFLKNYKASLRFLDFLEGYCSSKTAVTKFRSGPAYADFMRQWHVAVYFTLKFQDIAGGLDSALTATITPVGTHENQEKLKTLLLKQSVKLLESLQACWSDDVLVFSHCDKFLRLSLQLISRYTTWLSSGLAAHKASDGGTSSPADAEWALSVPVEDFIYVMHDVNAIIGELSESGQFVKHVNQSLASCPTEVLALVKQSILQAVEPLKELLPAVMNVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDHIHYLSEDDKAKLRRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGANTDASDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIESFRALWQCVAPRDKQDNIQF >KN541397.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541397.1:28510:28752:-1 gene:KN541397.1_FG002 transcript:KN541397.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEEARELQLNRIRITLSSKNLQFVVIQRGLD >KN541397.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541397.1:18367:24414:-1 gene:KN541397.1_FG003 transcript:KN541397.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLAIYLFTRGFLLTRTELDVHSHRDDSTGISPGCSSWPPPAVDRLVIVVLDALRSHILRSYIRTESSIPQEIKSLSWLLRSYSWHVQYWGYQKSRVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTSGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPDLYALSAGTWDNQQIGANCCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPSETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQASWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVLLMYGLITSITTTLTIICVTIQRRHLMVWGLFAPKYVFDAIGLILTDLLVVLASIYYS >KN541286.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541286.1:12991:20999:1 gene:KN541286.1_FG002 transcript:KN541286.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRRIRRGGAGLSLVVVALLLAVAARAQQDLIRLSGLCVHRGDTYLVFEYAENGALSDWLHGGDAAAGVLGWRQRVQVAFDVADGLNYLHHYTNPPCVHKNIKSSNILLDADLHGKMSSFGLARALPEGDGAAAAQLTRHVVGTQGYLSPEYLEHGLITPKLDVFAFGVVLLELLSGKEAASSGDGENGEALLLWESAAEALVDGGGEDAGSRVRAFMDPRLGGDYPLDLAMAVASLAARWIKDEEHVFIEWQKQLSKGWTGSKTITFASADFQTASVLTEIFKELLLIDADMSSQVLVHKRVGLFIPGPDAEFFFPAFSRVNGSSMSSTYLDAHLELGHIIAAGSCHNQSRNCLTQKIKEIESTDVYGI >KN543031.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543031.1:9874:10191:1 gene:KN543031.1_FG002 transcript:KN543031.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSLTSTDQGLPAPTALKSSSCTVSLTAHRVLLPLHFCLNPNPRRVLSLAVAASQLVADLPFTPSLALCPLHCGSRLPTPVTVKSCPLRKTTTRLLQGVGVYL >AMDW01027465.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027465.1:2:355:1 gene:AMDW01027465.1_FG001 transcript:AMDW01027465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EFGGRLDILVNNVGRTMFRAAAACSGEDFALLVATNLESCFHLSQLAHPLLLAAGAGGCVVNISSVAGTVGMPALAVYSMTKGGMNQLTRSLAAEWAGDGIRVNCVAPGGVKTDICQD >KN542108.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542108.1:2461:3459:-1 gene:KN542108.1_FG001 transcript:KN542108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDHGWVVTADELSNLQVINPLSGVQIDLPPVTEIYHVESFTDDRGNLMYNNQENWMRRHDPQWLPVPYPPQRLRLFLYFRVTLSCSPSAGSECVVLLLHRPDGELSFARVGDQSWTRLTGIENLPDRGYRYAFYNKNDRLFYLLNCQGSIHTLDLNGSSSSPVTNQIFKEIVLWDNPTKSIAVTPRGEMLQVWRCRDLRWVDTPYASLQRILMMFMIHVRSCTRMKCMLLFMVDIDGQKLDKMDSLEDYVLFMGFNSSVCLSAKDFPNLKAGAYLADDAYEEICINKHTWRELGIWNFKSETLESLGDPPSVLPWLNWPPPIWITPSIH >KN542108.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542108.1:8353:13646:1 gene:KN542108.1_FG002 transcript:KN542108.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRGCRSTTILLLPSIRRTPRQQESLVFVASWRKLSVRGRCNWESFFIQGEWKHTTIPSIRDLCLTTKGTLSSAQFAATFSVARTVIIFGTSRLLGRIIRFVLFLSWYRTNIAVTMRMVELRCTKMVFSIPFIEIHGSYVEKFLIDEKINVENSFVSFTCGENYQTMILSYPLQQLLHCNDLNLQMQTYFVLESRREAPITCLYCVPGPSITLDLFHTFNAFSDKNDAYLVDPKLHVVFESCHLEPSKEPRISTAKHCLKQLLIYALSKIAIPMARDRWNGMSEETRRSFLTVGIDEMVAYFCAKTTEQCQMSAFTGAVDFEKEKREWTCWLCPQCEMIFLTAKTFQLHVEDDEFSRSQEFKESLLFVPERISDEQTEFIKCWTLPSNVNPTEEAEGENILTKIKSTFQYLKDQKALSVDHFDNLIKFTKNRIEEAVTQNYSCITSLDSGGLLLLGTYLDLLRLRVDDAEQDSCGHFGGGVVQDACVLSIGTDENVLLRVTDGSSNQDALFSWLSRPSRQDPVTSWDSMRQACLDKGTHALEKSNGRAAALLEKVNLKRGLIATQTYEAYFGEKAKIDIEIMQLVAEVDNLKKNLLEVCTYDYREIILPAMKDFLWAKLCNVPPKGSQVLMMIKSLRQILKTEIQFKKILMRQVSISTVLNQSYKKMMKKLPRTIYRVEIQLRPTVTVSKSFQAIVLQSGKKLELPPRANTSEYISYHHDMVKVRKGAQQLISC >AMDW01038710.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038710.1:218:745:1 gene:AMDW01038710.1_FG001 transcript:AMDW01038710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRALRDGVPHLTVYTAFFYFSVSCFAVGIGLNAWLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILF >AMDW01034310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034310.1:105:463:1 gene:AMDW01034310.1_FG001 transcript:AMDW01034310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKT >KN540113.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540113.1:40896:41833:-1 gene:KN540113.1_FG001 transcript:KN540113.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSEDGFLWRKYGQKEIKNSKHPRLYYRCSYKDDHGCTATKQVQQSEEDPSLYVITYFGDHTCSCQTAAAMDDDDDDENSQHFVIHFGFRMETHITTAIHR >AMDW01038330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038330.1:22:649:-1 gene:AMDW01038330.1_FG001 transcript:AMDW01038330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRGVEIAGNFIKFTAIGVYLEEGAAVPALAKKWAGKSADELAADAAFFRDVVTGDFEKFTRVTMILPLTGEQYSDKVTENCVAAWKAAGVYTDAEGAAADKFKEAFKPHSFPPGASILFTHSPAGVLTVAFSKDSSVPEDAVAAAAIENRALSEA >KN540113.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540113.1:23908:24392:-1 gene:KN540113.1_FG002 transcript:KN540113.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERAREEDEVGGGGGDGGVVARYSLDVSDGCGGRHSALLDEYERMAFEAQLNRAIVLRRCYSEPSPARSAWEQRRRRMERAAAAPRGPPATVPRVQLMDYFC >KN540113.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540113.1:47624:50210:-1 gene:KN540113.1_FG003 transcript:KN540113.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPKSERSPSPPPPPPPGDQRDAAIQELSKGSELATQLMAQLELIPERGARRPPRRRAGQPTSVSGAGGARKTKRRRGKHGEELIETVFITTTPENDGFHWRKYGEKNILNSEFRKLYYRCGYSDERKCRAKKYVQQENNKHPPEFRVTLTNEHTCNTLFQDQPSSSSTNSQVLDFTKASISSPLMDSHVSAPILKEEEEEEVPSIDESSRIMSTIMRNYGSYGDYDESSPQSWNGAGWQ >KN540113.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540113.1:58120:58524:-1 gene:KN540113.1_FG004 transcript:KN540113.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGEAEDQLSPAQMVISFASSGGGGDASVSWPCSGDDAQNNSETSHESSPPDQAPAGEEERLRPCTAAGVSDEPIMESTPPAPELLADLKPMDGCLLDGESLFGMDELVYFHELSAALGLLDRDWAAPAPV >KN540113.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540113.1:4535:13051:-1 gene:KN540113.1_FG005 transcript:KN540113.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVLFLLFLARQASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNAFLDGQSLSFQPPPISRPPPTHATYARVEPAAANGYLATAVVSGIVEAQHLPYAYRAPPRVGELGGGSCPHSPSSFGVISGSELESPGRFMGGFQFLEAPSDRSDSSVSSLVPTSPAPARLMARDTTKSREPRVNPQVRLAQAKRFAEGALEHYNRRKKVKFELVDAVPCIGIPEPHCIYTHINFTARSGKKGSQEQLFFAELYHCRRRQEVFTARLSKKGSRGEPSNAGRSLVQRGFVVTCCEPLGPDSMVGRKLLERDDTKVVRKNTDFTYCYGCPQMISHPKGEMYVAGHCNIPHVYEGGNITAKRFKISRFYEFCNVNQINDDGVPCGLDQHNVKGTINNFLSKTMMIASFPIGSLHMLLSFTGFGFEENTPYCYFLDCNGERFGVLLMKIQRILPTM >KN540113.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540113.1:52828:54142:1 gene:KN540113.1_FG006 transcript:KN540113.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSPDLAGGGQVDRYELRTRKEGFT >KN540113.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540113.1:624:2782:-1 gene:KN540113.1_FG007 transcript:KN540113.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGAPAAAGPGGPPNVART >KN540113.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540113.1:27366:30671:1 gene:KN540113.1_FG008 transcript:KN540113.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRFAAVVVVLLLALALIPGPAAAAGRALQGEKARPSEAAPAPTVAAGGSHKESAKSSNGQNPVTKETHHQTPPPAKPPKDQTPPPPPPPAVSESKGQKGDAGNNSGQPVPPTDAHKTSPPPEGPGPTGGKEQEGGGGGEKKNPTEEVKKVLSCEDAVEKCSVPGEITACLQVYQDGSIRPFVVVQNEGQNDVKVDVVIDGKMLPLQLAKGFSGQVNITYSNPNGVEITVKSAKGQCSLHTKQTVFDWQQQFQQFAAYATRANPIYGASFLVFTVVLVGVVCACCKFARRRASGVPYQQLEMGAQGPNSSGVENTTSTVDGWEDGWDDDWDDEEAAAKPSDKKPSGSISANGLSLRPQTNSKDGWDVDWDD >KN540113.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540113.1:35813:38204:1 gene:KN540113.1_FG009 transcript:KN540113.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAALETLDLSGNRFVGAIPDGISGCKNLVEVDLSGNALTGELPWWVFRLVALQRVSLAGNALSGWIKAPGDNASALQELDLSGNAFSGVIPPEIASLSRLQHLNLSSNTMTGKLPVSIGRMALLEVMDVSRNQLSGGVPPEIGGAAALRKLLMGSNSLTGIIPPQIGNCRNLIALDLSHNKLTGPIPATIGNLTGLQMVDFSENKLNGTLPVELSKLANLRVFNVSHNLLSGNLPISHFFDTIPDSFVLDNAGLCSSQRDNSCSGVMPKPIVFNPNASSDPLSEASPGAPSSQHHKKIILSISTLIAIVGGALIIVGVVTITVLNRRVRSAASHSAVPTALSDDYDSQSPENEANPGKLVMFGRGSPDFSAGGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDEFKRQVKLLGKVRHHNVVTLRGFYWTSSLQLLIYDFVPGGNLYQHLHESSAERSVSWMERFDIIIGVARALAHLHRHGIIHYNLKSSNVLLDSNGEPRVGDYGLVKLLPMLDRYVLSSKIQSALGYMAPEFTCRTVNVTEKCDVYGFGVIVLEILTGRRPVEYLEDDVVVLCDVVRAALDDGRVEDCMDPRLSGEFSMEEAMLIIKLGLVCTSQVPSHRPDMGEVVSMLEMVRSSQGTPEDDLV >KN539077.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539077.1:59729:67237:1 gene:KN539077.1_FG001 transcript:KN539077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPPFDPFDLFADPAPRPVLTREQVKYCKEALKVLKKKLKQPPAAIDISKEFHALPDIHTAFQTGKFTVAINPANREKNRYSDVMPFDETRVCLKPSPSDHPSSNGYINASHIKTDGSGQSHTKFISTQGPLVKTLGDFWQMVYENQCPVIVMVTKFDGAKCDRYIPLNEGEERDYGKFSVKITKFKRDGALELRGLEVQQNESPTIRHVLHILYSDWPDHEVPYNSASVRQILKRLYGIPKEHPIVAHCSAGIGRTGAYITIHNTIERILLGDMSAKDLSETVKKFRSQRPGMVQTEEQSVPWVSLTDCRPSTPSIL >KN539077.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539077.1:110253:110609:1 gene:KN539077.1_FG002 transcript:KN539077.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLAVTAEAAGQQKETRMRVYWHDVVTGPNSTVAKVAEVPTSRASATVFGTVYVIDDPLTDGPSLTAPSRVVGRAQGMYVSAGKETMSLLMAMSFVFAADGPYNGSSVAIFGRGR >KN539077.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539077.1:24302:25991:-1 gene:KN539077.1_FG003 transcript:KN539077.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKANGEGCWRSLPKAADALDWPKMREVRSEWYILMKVKEVHAVVVVLLVVMGS >KN539077.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539077.1:72439:75593:1 gene:KN539077.1_FG004 transcript:KN539077.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRFCSVVGIVCGSPPSSGDEAFASHGEQQQAATTTTAQSSGKSSSSRRKTAPEASGEHKVGGEAPPPATKKTALLDKGKEKVSEMDTSVRRTSKGISGNPSEDSNKPVAKSPSPKTAIGSIRNYIAIKKGRKIRILAFEVANTIAMGSNLMNFLSEENIRYLKRVVLQNQGVQSLISDDQSQLLALVGDEIRQQFKDFAASVARLGNMCRDPKWHNLDEHFSGLEYSPITQEYSHEKAASKMEDLMALVTKTKILFEALRRLGVSEKMYREAKQTGMPLETFQNAVNIEKEIVQSAKKKALWVKKIEKIVEELVYIVHYLPSEINCVFYKEHEEDRSVKANGSPQQTLGSADLQLNYARIVIAIQVLVSVASSVPQCAVDSLFHALPYRIRSVLLPRMRHGDFDDQRTETQIADEMTRRLEWLYPMAEFTIRLSQHTGMIRECLVSGSLSDRDQRKMLKVQTLYHADKMKTDGCIIDMVMDLHLLIKAARLRADAPHHSGPLDQPVSTSGSSTSSASTGISGSTSFGNISTTWSDIDEDFIAVID >KN539077.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539077.1:96961:97515:-1 gene:KN539077.1_FG005 transcript:KN539077.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIVVMSVLLFVLAATTKADTQSGYGPRCSSLCNSSAPTHIHFYFHDKITGPSPSAVQVVSPPNKTSPTSFGTVYVMDDPLTEGPDPRSKPVGRAQGMYLSSDQVRIGFLQAMNIVLTAGPYNGSVITVLGSNHISDSIREMPVVGGTSAFRFARGYAQARTYFLDSNGLDAIVEYNIYVFH >KN539077.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539077.1:13798:14001:-1 gene:KN539077.1_FG006 transcript:KN539077.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLITDQPNTEQVAGVGRQARGNQPTNVPELTRRESTNDANGESKSQSRRRISSTLWNDANSRDSTR >KN539077.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539077.1:122116:122655:1 gene:KN539077.1_FG007 transcript:KN539077.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFVFSAALLVLAAAMASPSPAAAQKETKLRVFWHDVVSGGPNSTVAQVAEAPTTNASATGFGTVMVIDDPLTDGPNLTTSRLVGRAQGMYVAAGKDALSLMMAMNFVFAADGPYNGSSLAVFGANPAERAMREMPVVGGTGVFRFARGYCQATTRWFNATTGDATVEYNIHLRLD >KN539077.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539077.1:132518:133057:1 gene:KN539077.1_FG008 transcript:KN539077.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAASPAFLVLAVLLLLCAAEVARAADDGAAGMTKFKVYFHDVVGGPKPTAIRVAQAASTNGSSTFFGAVVAIDDPLTTDAASSSSEVGRAQGSYTFADQKTFGLLMNMNFVFTAGDHKGSTLAIVGRNEVLSAVREMSIVGGSGKFRMARGYVEARTVDSGANSGETIVEYTVFVKA >KN539077.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539077.1:138112:138330:1 gene:KN539077.1_FG009 transcript:KN539077.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNFVFTAGDHNGSSLAIMGRNEVMSPVREMSIVGGSGKFRMARGYVEARTVDSGANSGETIVEYTVFVKA >KN539077.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539077.1:76799:77356:-1 gene:KN539077.1_FG010 transcript:KN539077.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding METKGVVVITLVVALAAVARAARPEETGAGLAHRGSASSLTTHLHFYFHDKVSKPSPTAVRVVDPVDPSSRSFFGMINVMDDPLTEGPEPESKPMGRAQGLYMGSDQAKLGFLQAMNLVFTDGTYNGSVVTVLGRNCPLDDVREMPVIGGTGAFRFARGYAQARTHTLDLKTGDAIVEYNVYVMH >KN539077.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539077.1:29410:29752:1 gene:KN539077.1_FG011 transcript:KN539077.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVGGQTVYGAVDVDLVYPWGIPRKGREAKRRKGKRRDAAGSRQQQQQQVAAGGVIIKVC >KN539077.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539077.1:3985:8890:-1 gene:KN539077.1_FG012 transcript:KN539077.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDMRKIIYRKSMLLLVSIAVTILKGSYQYKAEETVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPSHKWGGLGEVLGGNELIDSQVDIKFLKNVEKGPICTIELDDNKIQQFTDAIERSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLVFLSAFVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGTVET >KN539077.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539077.1:99663:99833:1 gene:KN539077.1_FG013 transcript:KN539077.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGTPYHRMMGRRGREGEMGCMMADMASLAPLASFDEGKAGEGAMGEGRRHYRRD >KN539077.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539077.1:127330:127871:1 gene:KN539077.1_FG014 transcript:KN539077.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEALQLLLLLCVAAVAWAADDGAAGMTKIKVYWHDVVAGPNPTAIRVAQAASTNASSTYFGAGTYTFADQRVIGLLMDMNFVFTAGDHNGSSLAIMGRNEVMSPVREMSIVGGSGKFRMAAGYAEARTVDSGFKSGETIVEYTLFVKA >KN539077.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539077.1:42564:53369:-1 gene:KN539077.1_FG015 transcript:KN539077.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSPAPLLWTTRRRELGFIQQIEACHNTVGIPQEWTIDLKGNLSPSKRSCFWKSKRVFFVTPQVLQNDIQSGICVVNQLVCLVIDEAHRASRNYAYCVAVRELEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHCDESDPEVNRYIQRRTVEPLEVCMDSDKFGSLVSMQIPVGDEAEQVNDKLLDVIRPHLVKLRSARVIDHRDASNWSPHQLRMLKDKFDQAPPPNIPLADKKEIGISFQALTLLYGIMKMLLSYGIKAAHQSIEAKYKEGSWKVLTRNNTFLEVKKTMENFLSQGILSPKVRTLVEVLLDHFRKNSKDSRVIIFAHYRECVKEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEYNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILFLEMKGYLSKQGNTKTMKKLLRDRRRFEYHDSPRMVPHVYNPEVKFVELSIEKYIPCSKKSKVDVNVASPIFNKMSEDDGRLIARYFGACKEDFWKPSLVTFPSFQVSPCDIYRVPHSFRTTNMLIDAMQQLQDLSFSRTKCASPLEGPADVPVVMDEAPEGLFGAGGSKEVIPQEYCGLEVLSGEAAWSKNVLVPSSPIKKYPVHSFFSGDYVSVDVSGYVSITFVPALPRTSEFHKDARNVNWHQKVQNKTMSVKLAADISRPTIEFDCLAGVAYSSKPILTDEFGLAPHSPEYTEKYGCTDDRHVRGTPPPKTLVSPKEICHTPCNSKPVSPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVGHKHLETDSAANADFVQQKVDFSKSHSEGAKANELKSRNGPLNFEGKGQFFSEISRLAVSPGENALDQTQANKEERMHPSNVKIHSAAAHTPMANLLCDSFSDDWQLRSGGDTPGSVREAPKYKRLCKYADKIKRVSSMSLDDRYDIAAGGNHNFATKRNKRRAKMCLDTFIDDEAEVSEDADVSADEGNDHSEDNYEDSFINDQATPTDQFTQSVHRGENSGDMMAFYRRSLLTQTPIVLPSRYQDVPDNSASRSGSASASCSSDNLHNSMETPQGIHQPHHTIGPSPLGDQQSFVARASSIKEQGETSLAHCESSTTLDCRKRKLSFQQAASIPVINLEPELAPAPAPQPSSHITTGVNNNFVWDDDDFFESLDLDAIEAQATELWRLKKEQSTQRSFGD >KN538815.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538815.1:195907:199739:1 gene:KN538815.1_FG001 transcript:KN538815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVQVQLLVTAILCVSRRCLASSDTISASSAVSGGRTVVSRGGSFEVGFFRINASSSRNGSCYYVGIWYKKAVSPCTPVWIANRAAPVADRATSRLAIAADGNLVLINEADELVWSTNVQLLVTAILCVSRRCLASSDTISASSAVSGGRTVVSRGGSFEPHRLCDVHAVCGAFAVCDEKSEPLCSCLAGFRAASPGDWDLGDHTKGCRRNTQLQCASTSTAAVTGDKDDDDFLLIPGVSPPKNPSFVRASGDRDCRSACLMDCSCNAYTYGDRCAMWHGDLLNLQRQTDEDAGAGNLYLRLSAMDVPSKSSKKTIALACAGAAAALVVALFAMAFVLVRMVRRRRSMRFAQALERGNLVAFRYSDVRRATKNFSEKLGGGSFGSVYKGKLPGAGGADAAVAVKKLEGLRSDMGDKQFRNEVRTIGTIHHVNLVRLRGFCSGRGGERLLVYDYMPNGSLDKALFGKSAAAAAVLSWGARDFSRVLTTVRGTIGYLAPEWISGVPVTAKADVYSFGMVLLEIVSGRRNARCSPESGAGGARSEYFPLVAARKVLDGEVAGLLDERLDGEADMVELDRACRVACWCVQDEEANRPTMEQVVQALEGVIAVDVPPVPVSLKVFADDASYSYFSDECSQLKSSS >KN538815.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538815.1:168148:171996:-1 gene:KN538815.1_FG002 transcript:KN538815.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATILCVGLVLLSCFVLLPYAASTSRVLSSNRAKCSGEGEAVVCNKLNHRSNWAQQFNHATVDYEDIHKCSGEGEAVVCNKLNHRSNWAQQFNHATVDYEDIHTTGYTTRPRDDGYYGFTVTMDVYGFSLGPGQLASYAGVWVTANDNDQHDEQSGFQIGWRVESGDERPVFYLSCQASSASSLISGSPYVDMECPGFQLESGASIQPGDFIPGVSRPNGARQYMALKVFKDSASGDWLVYYGFNSDPELIGRLPKSIFSGLAYRAIALWFSGMAINNATFQPTPALPPVGSGYMAVFLRWSRKDNKLGCHIEHYCHLLLTSIVYFVAFVSTLGIPVFLRALLPCEINGGF >KN538815.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538815.1:140140:146502:-1 gene:KN538815.1_FG003 transcript:KN538815.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGLVGGLLGFGVGLPLGIAIAYLVHLRFFAPRRRLQDPIIRPLRDLDSETLQTTIPDIPLWVKCPDYERVDWINKFIFDMWPFLDKAICNTIRSVIRPTFDQYVGQYGIKSIEFGHLTLGALPPTFQGIKVYEMREKELVIEPVIRWASIANVIMKVKVHSFQVSAQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPHIDFGFKLLGGDVMAIPGLHRFVREKISKQIANLYHWPKLIQIPILDEASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKLRLSGEKLPSKKTSIKMSNLNPEWNEHFRFIVKDPETQILELRMFDWEKVKMHDKLGMQVVPLRLLTPYESKLFTLDLLKSMDPNDPHNKKNRGKLVVELTFDPFRDDSNSTILMSDGEGNVNVKRDVPPSGGLLLVSVENAEDVEGKRHTNPYAVVHFRGERKETKIIKKTRDPRWNEEFQFMVDEAPVDDKIHIEVVSKRRGLRLPFRNKESLGHVDINLVDVVNNGRINEKYHLINSRNGTWRFEAIAIIKYRGGLVQFESCGLEMLKGGWAWAVAVAVAGSNSVGMGRWPVLQAGGNQEATNQPPLKMKIPIG >KN538815.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538815.1:226286:236359:1 gene:KN538815.1_FG004 transcript:KN538815.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRWREDAVALSLRGCGFGDGDDDRPEKPRRYGVTEMRSPLYAFRPAHHALQEILDSLGPFVDGLKFTGGSHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLHQGPSFFKQYVEECKALGFDTIELNAGSLKLPEEALLRLVRLIKTSGLQAKPLFSVKFDSSDIPPSGDRAFGAYIVPVKQNSERVEDVDLLIRRAERCLEAGADMIMIDADDICQRADSLRADIVAKIVGRLGLEKTMFEASNPNTSEWFVRRYGPRALVIQDLLSGRDRKKDDRFNKADDKHDNEAQLQRIQLDMEALHEEKSSLEVILNGKINEASKLSSRIADLESQLNDEKEACERSQARFERFADLLASDTLKPCSKDQGSSAAKEDPYNAYEMSPSDQRQNHETTRKRSVALSTSEEGRNGKKRRESYDNRDPMSEKYRPEDALEPFQSSKGTEAKQLLSVKKNLGDGDNNEKGNVVSSANVFTDRKRIDKVVEYIAKNGPEFEVVIRDKQHDNPDYAFIFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPPLGPMMRGPPMHQPGYPPFYDQHQHFGAHGHGEYDAAPPQSFKGLSGPLPVDVAAELHDVLTNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFERYGFDDKEHLLWYRIAIIC >KN538815.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538815.1:118236:119516:-1 gene:KN538815.1_FG005 transcript:KN538815.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQVKDFCVEEMITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPTPAAAGNYGGFSMFLRGGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQ >KN538815.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538815.1:222453:223110:-1 gene:KN538815.1_FG006 transcript:KN538815.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLAVTELVEGDSSRKVVEIICKAGWAKTENALGRVERVVKVHNAESCSVCRIIRHGFSATREIKDGVGVFTTSTSKRALECIAGDGDGDEAANAGVRKALLVCRVVAGRIHRPLENLQEVAAQPGFDSVAGKVGAYASIEELYLLNPRALLPCFVVICKP >KN538815.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538815.1:210441:214089:-1 gene:KN538815.1_FG007 transcript:KN538815.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MSLLTLVHILVSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMKDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNNLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYTFTCFKEFGGRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMLKLAGDRLPQFSTHASKLVSGSLDFVGINHYTTLYARNDRLRIRKLVMDDASTDSAVIPTAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAVI >KN538815.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538815.1:162456:162701:-1 gene:KN538815.1_FG008 transcript:KN538815.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPPPPPPPPPPAAALIIAAGDIYTHASVDSNTNPKANGSKMSVESLRYMPATITVAALLLAGVISVTRWCISKLKKKK >KN538815.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538815.1:147203:149559:1 gene:KN538815.1_FG009 transcript:KN538815.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQREEEPATASQSGEDDSNSDEWKDFLNLDGGEEAAEVDDDCNLAPPEKDAEAAKASQSGDDDSNTDQWKGYLNLDDGEEAAEVDDDRNLDQPPEKDAEAAKVDVDRITALQEKARARILDFLPIKSVILMGSLSKRWREMYGLYWRDVAVDVELPTDGDALSKLEERAGQQDPKRRLRYFFLLVVERRNVQREYFNSCLEYAGKCSPEVIHISNRGAAGRKFKMNLTSKQLVRLSLIGVALGHFQGKFCEGVSFPTLEEIHIKNSTINKMDDLKNLVGACPILRVLDLRGCKTITQIDVDTAGEHLMSLTVMDCERVRLLTAGKHLRSFRYSGNFLTSLSLPDNDSLADLFISFPQDQSTPGPGNSLKRLPDLSNLTFLTLCSTSLRAVTVAGNTIQTNLRSLRELQLLMFKLEPINLSDVRRFLNTCGYYPQLTKLFVQLPERDCTYTENTSSENVEGEQQDGFEKLNVVKMTNFKYDWNEIRLLQFLFKKAKLLQKLILVRPIPVPLDIGYCHVSYEVF >KN538815.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538815.1:186524:187834:-1 gene:KN538815.1_FG010 transcript:KN538815.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDDSSCSRSAWLPQSPAVAAVRKGDKENHRPEVDDVAAGCDVEAEIGHIEAEILRLSSRLHHLRVSKQPEPNRDDAPMGEMVAKVRPRPRGLSLGPLDVISIVNREKHPLRTKQPSATRGRGLSLGPMEIAAANPRVPAAAQNQQQQRAGTARILKPIKEPPVQRRRGVSLGPLEIHHGVGSKAPAAARAKPFATKLNAIREETRPSKQFAVPAKPWPSSNTRQTLDSRQGTAASRAKARSPSPRPRRQSNGKATDTRGGNKAVDELKPKGASSSQSSSATAAAAAAATVKRPAGSSKMRVIPSRYSLTPGASLGGSGAQERRRKQSLQGSSSGDASQNEEIRAKVIERSNDPLSPQTISKVAEMLPKIRTMPPPDESPRDSGCAKRVAELVGKRSFFTAAAEDGRALDVEAPEAVAEA >KN538815.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538815.1:205973:206350:-1 gene:KN538815.1_FG011 transcript:KN538815.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQRQQQQMMQEGFEDYLPVMAERLGEEGLMQELASGFRLLMDPASGLITFDSLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPDLMDEPRRWLDDAVAQASQFLFH >KN538815.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538815.1:217051:219055:1 gene:KN538815.1_FG012 transcript:KN538815.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L24, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/Swiss-Prot;Acc:P92959] MAGVAALQGAMASLSISAPGATSTSSFWGHPLSTFAAAPAGVKFMIKTCPIQMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTEDEPGEIVMIEGPIHSSNVMLYSKEKNVASRVGHKFLEDGTKVRYLVKTGEIIDSAENWVKVFKEGSSE >KN538815.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538815.1:220173:221529:1 gene:KN538815.1_FG013 transcript:KN538815.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSFDAAATGCVALFHVAASMDIHVPPQNGNDKIEEHVRTRVLEPATRGTINVLKSCVRAGTVRRVVFTSSISTMTAATTTAATGRRKAVVDESCLRAAADVWNTKPIGWIYILSKLMTEEAAFGFARENGINLASLVLPTVAGPFLTPTVPTSIQLLLSPITGKQILHHTSDPKLYSLLASVHSRFGCVPLAHIQDVCDAHVFLMETEQADGRLSKDFHGSNPSVVSSKRLRDLGFRFEYDVEEIIKNSVVQCVDHGFLQDPDSSNC >KN538815.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538815.1:174505:184624:1 gene:KN538815.1_FG014 transcript:KN538815.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSEPSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLDKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGDHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDIITMKSKSLGETNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGTSILGNLHPWVESKLLQSSQATGFPWAIALSGSGTLYMQEMGEAAEEGAVDGSCHGEAAAEALEVLRSMAMASSSLTCSIPQFPAKWRSIKDKLRQLCSGLDSLCGSVGFGVDVGGDGDGDGEERHGALVQLVASSSATVRSIQAMASQCGDGTYKGGRLRLRSDLDNLSSKLEAHMKQLREMASSGMPSPSQAIVAVRPSADAGAGEKMFYMRDLFSRVRIGGSVQRSQSLATIGELLAEDEEVKVFMAEQGVVTELVKLSQKKEEARKLGAVELLHAMALDDADVREEAVSMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSVNSIDDLISSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNRMMGRAGYLSALSSLLGAKSCRVREMAAQVLSSLLLLHPNRVIFIQDGDNLNRLLQLLDPAEGKLVAKDLILSAILSLAETNSGRKKIISSEHFSSLKELADTGDFDAKKVVKKLGTNRFQTIFSKIWSV >KN538815.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538815.1:151316:153375:-1 gene:KN538815.1_FG015 transcript:KN538815.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWAALLLGVAVVLAVAAAGARAQDYNNGGGDGEDEEEEKPSFKAQEACNGAFLTYTFTEREKEYPRTKNATAQAFAFKATATVLNTMTEDLKAWQMFVGFQHKEILVTVGGAVLLDGTDLPANVSGGVTFAGYPMANLLNSIETAGDLTQIQAQIDITGTQFGVKPPTAPMPRTIKLSNPGFRCPKPTHKQNVMYVCCVKDPKFKAKKVNTTTRYLPRQKADLTIAYDVLQAFGNNYMVQVTIDNWSPIGRLDNWNLTWEWKRGEFIYKMRGAYTLNKEGPACVYSPAAGYYKDFDFTPAYSCEKRPIVVDLPPEREKDKDVGNIPFCCKNGTLLPPSMDESKSRAVFQMQVFKLPPDLNRTALSPPQNWKIIGKLNPQYTCRQPVRVSPVVFPDQTGLMSSTPAVASWQALLIPFDNRTAKARAWAKIKHRRVPNPMPCGDNCGVSVNWHIMNNYKSGWAARITIFNWQDYTFKDWFAAVTMRDHYSGYENVYSFNGTKMGAPFNNSIFMQGLPGLTYLEPIIDGRTPEDPRVPGKQQSVISFSRKDAPNVNIAKGEGFPKRLYFDGEECALPDTIPKPSSAHRRASLGQIVMAVVLVMVVDSLCL >KN538815.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538815.1:192672:195067:-1 gene:KN538815.1_FG016 transcript:KN538815.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRAARALPAPRRRSRGTSPGEADIRRRRGSVMLRVHRADLGVLRRRNPVLGCILVGVGGWRILRLRAYSSASARTWRKPEEGWMKLNFDGSSKHSTGIASIGGVYRDHDGAFLLGYAERIGTATSSVAELAALRRGLELAVRNGWRRVWAEGDSKAVVDVMMNTQQRRDDEHQTERR >KN538815.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538815.1:155346:156958:1 gene:KN538815.1_FG017 transcript:KN538815.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWLRLWKEDWGQKSRRYEIRARCAPSVEGLLKILEEERRLERLSVVVHTIMKSSHLRKIIERSADRRVEQLHVELTNPNVADKVRFHLPILSSVIALQHLSLRQIVVSKMRFTPQQRFNELSAICFHFVSIESYMLRNVIWRCPNLRVLDLRSCYQLNAIAISPGGPKLRILTIAGCKHLKKVNVVGVTSLRSVFYSGRFLSSFYLPRTSRRSSFTDLYICYDGPIIPMVFGKWAQDALPKLSNLSNLTICSNSLKIVPSLPDEELTAQLKWLGGFRRLKELQLLMFDIKALNVFDIYTFLQSFHFPNLTKLFLQLPRIREDVQEAVREDVLQSISEGVPEYALDNLKVVRMMNFNRTRIEMHLVRFFLRKARNINSLQLVSLFHNAIPLGMAVQQGDIIQGALASGVIQESNSGAGTTQPCHSEVFIDF >KN540805.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540805.1:2611:8482:1 gene:KN540805.1_FG001 transcript:KN540805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRADQLKEGVTSLFETCKDVEEKLKLVDVLQHLGIDHHFERRIAVALSDIHGAEFNSSSLHDVALRFRLLRQYGLWISPEEFNKFKGLDGRFNAEVIDDPMGMLSLYNAAHLLIHGEVELEDAILFSRHQLETIIARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQEQACNPSVLELARLDFNLLQLLHLRELKEFSRWGNDLYGAVKLTYSRDRIVECYFWSYTIYYEQKYAQARIILAKIIVLATLLDDTYDMHATLEEGQKLNEAIQRWDESAISVLPEYLKNYYAKLMSTFKEIEDELKSDEKYYIIYAVKAYQRLCKSYLQEAVWFHHNYIPSFQEHLDVSIISSGSSMLSVVSFVSAGDLATKEALEWAFGCTDAVKACGEIARFLNDLAAFKRGKNKLDVATSVDSYMKEHNVTGEVATAVIVNLVEDAWKTINQARFERSSLVPAVNRVANIAMSMVFFYHASKDAYTFSKLNKKTIEQLFVEPIPI >KN540805.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540805.1:38093:39935:1 gene:KN540805.1_FG002 transcript:KN540805.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQALVFAVGIVGNILSFLVILAPVPTFYRVYKKKSTESFQSVPYAVALLSAMLWLYYALLTSDLLLLSINSVGCLVESLYLTVYLLYAPRRAMAFTLKLVGAMNLALFAAVVATLQLLVKAADRRVTLAGGIGASFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTLSAVVW >KN540805.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540805.1:18587:21224:1 gene:KN540805.1_FG003 transcript:KN540805.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELRQSFSGKRAFRPTLTSRHANEWPPTDVSSDLTVEVGTSSFALHKLLAQFPLVSRSGKIRRAVAEAKDGKLARLGLHGTPGGAAAFELAAKFCYGVGVDVTVGNVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIAGSVARLLSAVKSKGLKQETVTRILINYAQNSLHGLMARDIAAAAKCGGDTDAVKKQRAVVETIVGLLPAQSKKSPVHPNIKEAERLWIIRYVAVMYKMADLFFILLRESYKATNTFKTCYAC >KN540805.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540805.1:9855:12140:-1 gene:KN540805.1_FG004 transcript:KN540805.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLWTRLVPFISKRHASTSQTSLSSSSSSCSAINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHHFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVAFDDMDLPCGVLRLQPKGGYGRHNGSVDFIIMCFNELIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERVIMPPCPQN >KN543650.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543650.1:4394:5729:-1 gene:KN543650.1_FG001 transcript:KN543650.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAILALVLLLPPTTLATDVHGFRATLTRIHQLSPGKYSAAVRRDSHRLAFLSNNAAAAAGSKATTTTTTTTNSSVSFQTLLDNSAGAYNMDLSIGTPPVTFSVLADTGSSLIWTQCAPCTECAARPAPPFQPASSSTFSKLPCASSFCQFLTSPYRTCNATGCVYYYPYGMGFTAGYLATETLHVGGASFPGVAFGCSTENGVGNSSSGIVGLGRSPLSLVSQMDLHVLYDLDGGMFSFAPADCANV >KN542471.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542471.1:946:1502:1 gene:KN542471.1_FG001 transcript:KN542471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCFGTRLEEICSWNRHVLYQKDLARVMKMRGNKVIRMINDPYKHFSGL >KN542471.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542471.1:14490:14969:1 gene:KN542471.1_FG002 transcript:KN542471.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAARVPVRFHRTLQRLGIDPNSDARSIRDMLQEFYRVVYQGEVYWAGRVIRPRPSPVLGRRRRAADGDAPMQPPSKYARVRAVSRDVLLGLALTKACDAKQEECAVCLRDFEEEDTLRTMPCSHSFHEICLFRWLRDSCLCPLCRYALPKQQQD >KN542471.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542471.1:11598:12098:-1 gene:KN542471.1_FG003 transcript:KN542471.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPSLPNLTERRISGLPPPGFRLKLHRGQLFWVAPFNQVYCVGRPDRPRWPTRIRPRRSGPASDADQPPPPPPFKRARRAGTVSLDLLLSLVPTRAADAREETCAVCLRDFKERDLLRTTPCLHSFHERCIFRRLKDSSLCPICRHVLPKPQYDDNDDIYVKVD >KN542471.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542471.1:3674:10080:1 gene:KN542471.1_FG004 transcript:KN542471.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPLNRMVRMVARAFYDDVSLARDPKSARGDNCGLAVVVLDALTRRRQWVREEDLAKALKISSKQLRRILQFFEEEKLVRRCHRKEQSPKGVNIYNDVSATAGDVHPFTKGGEKAKMHTHSYCCLDYAQVYDVVRYRIHRMRKKLKDELDDRDTVQHYVCPNCKRRYSAFDALQLVSDMDDYFHCEHCKGELLPESEKLTLDEIVCGGDNAIKHKHDKLKDMQQRMEEQLKPLIAVLDRVKDLPFPSFMSLQDWERATMESSANGAVGSSQNSEGRYSSKPMPFLGETEVEVNFLGSTGAQEGVESGMESIKPQPSWMNRKSTVLTGEHKGEISNTADPDQSSEAKSDKKQLSEEDEMKSIQEAYAKAYYEAIQKRQEDEGKRAIQEESLACISDQPFASDAQLERRLGAKSKRDDGGDSGDDGIEMKVEQPTGNIGEVYKLADLDVETQESIDDDDDDLVWVEG >KN539657.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539657.1:11615:15136:-1 gene:KN539657.1_FG001 transcript:KN539657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSVWKGLGVAGSTSIVISDDEKKEIQQDPEDLEEEEERPGWLPDGWIMEVYQGDDGTIYRYYTSPISGLTFTMKSEVLQYLFSGMDERFLESKNCAADNQLINNSVYVSPTMTYMQMTHEWLPKGWIIEVRAGGKNMNKMYKFYVYPPAGVRLFSKEDVLLYINKSEITGFDTNGECDTRTKDNILANVEFNPHSLPEGWVKEVVFRKTKTGVIRKDPYFTDPVNNYSFRTRKSAMLYVQTGKVPKRAFIQRTSVHDLYSFEKSADLHESLKKRLDFAARTNRKSRRSLKSKNSSLTEKSLSDEESSYKFYPF >KN539657.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539657.1:83646:88968:-1 gene:KN539657.1_FG002 transcript:KN539657.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCLLGAVATTAWLSFAVAQPQAPPACRRRCGDVDIPYPFGLNGDAPGCALGHGTYGFNISCNDTGNGVYKPFIWDVELLGVSLPEGQARILMSISSYCYNPATGAMDGPDNNTWALDFTSSPYRFSHTGNVFTAIGCRTLAYIGGDNVDADVGSLTTGCVATCRLQAGDLAVTDDDVGACSGIGCCRTSIPVGLQYYYVWFDDRFNTTAIHNMSRCSYAALMEKSSASWFRFTPAYVTSSAFNDTFNGQVPLLLDWAIGNETCEQARRASPESYACRSRNSECFDSPSGLGYICNCSKGFRGNPYLHPEDPSSCQGICSSTVVGLLIFLGIEWIKYKRRLVRQDLMNKRDAYFRQHGGQLLLDMMKLENQVSFKLYDREEIELATNNFRESAILGQGGQGTVYKGFDLDPENNPVAIKRCKGIDANRRMEFGQELLILSRVRHEYIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHGQSDASTRTLDIRLEIAAQSAEALAYLHSLDHPIFHGDVKSANILIGDKFTAKVSDFGCSIFRAAADENINVVKGTIGYLDPEYLMTFQLTDKSDVYSFGILLLELLTRRKPLSNEVSLASLFQDAMKKGNIDHHIDKEILHEDNMELLYEFACLASQCLVMDSENRPAMSHVADILRQLADTASQQHTGTLEGIRSLKLLGNSSGSISEPCYSPVETTEYDSRKTSMGIEFAR >KN539657.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539657.1:26871:40110:-1 gene:KN539657.1_FG003 transcript:KN539657.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVVKTEMVLAATFPFKKPKDLNIQSAPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQSSCFIDVHELLAPESQSNLPLSKHVHTDEYILIKSIPTQLLEQSFTNSEVTDDVKFNAYHEVNTTQLAVLKVDASPQHARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSSIDPWSIIGNESAISVATDRSSCFSRNIIALRMEVLCGDCQAGGVGIYNPGFWGMNIEDGKNYSLVMYAKSLENTELTVSLTSSDGLQNLSSATIQVAGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVIWLDQISLMPSDTYKGHGFRKELVSMLLDLKPQFMRFPGGCFVEGQWLRNAFRWRESVGPWEERPGHFGDVWGYWTDDGLGYYEFLQDILDSLEFARGSTNSTWGSLRVAMGHPEPFPVKYVTIGNEDCTKKFYHGNYLKFHSAIREAYPDIQIISNCDGSSKPLDHPADIYDFHVYGDSNTLFSMRNKFDSTPRNGTKAFVSEYAVSSNGVGRGTLLASLAEAAFLTGLEKNSDVVQMASYAPLFMNDNDRSWNPAAVVFNSWKQYGSPSYWMQTIFRESSGAVLHPVTINSMYSNSLAASAITWKASNSSFLRVKIVNIGSNPVNLIVSTTGLEALVNMRKSTITILTSKNLSDENSFSKPTNVVPVTRELPNAGEEMFAFLGPYSFTSFDLALGQQKHVS >KN544562.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544562.1:1040:1934:-1 gene:KN544562.1_FG001 transcript:KN544562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTPLIAYMPGVPTKRGGCPKHSNSVTASEGVHQFNRCGWVDCFSNELGNGILGVGGANPKIGWQQQILNLEVYLKSMELVREQIITALEDLRSSSVVECGICLCMYYNIPICILGSSLAADALIRKLKWTFIKSCDHFRYVIPWSSHYMVNESTEPTLGRCNANFHEGK >AMDW01009194.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009194.1:34:180:1 gene:AMDW01009194.1_FG001 transcript:AMDW01009194.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCTSALPQCQSPSQYMFFDSVHPTQATYKALADEIVKSHVPQLMQ >AMDW01037160.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037160.1:96:569:1 gene:AMDW01037160.1_FG001 transcript:AMDW01037160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YYSSINSDIVRVSGSTRRAAQQPLYEPDDDHFASPRELENSGDDAVSEAQDLRQKNKQRISAMPWKEQYTEFSSSELKQAARHFDCEMIGKSRFGSVYKGTLRNTTVAIKLLDGHSMQGQSKEFDKAVIN >KN543134.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543134.1:2749:3189:-1 gene:KN543134.1_FG001 transcript:KN543134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAIAARGATVATGRGGFEASRCSLCVRAPLPAATALKPPTAVTHGLFTMPTIVASLDSLQLPRVVPARSDAITLDPHVGCPDPATPAPDPLLSVCRVTILMIRRVTTEDRPHHHPPGWPLGSPVAHSGGGDGRDRKEARQQRG >AMDW01077629.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077629.1:5:169:-1 gene:AMDW01077629.1_FG001 transcript:AMDW01077629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAAARGQAEQWMRVAEKLLVARDLEGCKEFVSQALGTDPHVPGANDLLPAAD >AMDW01039682.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039682.1:114:909:-1 gene:AMDW01039682.1_FG001 transcript:AMDW01039682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKHHKNVEAGKSSFHRMILGQLVGEFGFDEENVPCNTPRSSVRSRFGASASGIVASTSGSSPGEYVRDPGSILSLQPWIFKRSGSQNNEEKMMLANGSRVVGEGKNLMDSFRDGSAVEVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSVDFEIDECTFGPVPSPASARPFIVTDGRRVISKSRYQPVPVPFHIGFEKEGYRNSSEMAVSVIGIAPLPELKKSKRERQEFHNAGMSLSALQSSKPSKST >AMDW01019199.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019199.1:30:215:1 gene:AMDW01019199.1_FG001 transcript:AMDW01019199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPTTPVTPSSSSPTTATTPTPRGSNVWRSVFHPGSNLATKSLGANLFDRPQPNSPTVYD >AMDW01015606.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015606.1:120:252:-1 gene:AMDW01015606.1_FG001 transcript:AMDW01015606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAVGFRLVLMLFGGDLHLASRPEVSTPLTSIRR >KN539912.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539912.1:8048:15652:-1 gene:KN539912.1_FG001 transcript:KN539912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKEKCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPVMENQFSCIHCYLVFVLLMCLAPILKCNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHSGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHDSAKQMWDRMKQNGHFDRENFEAGTSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLAWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYRIWEEEIEKWQNPILKNEKLPEWYKFTLFNELYFLVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRDTKQESVKDNHVKLTAEQVTNGGDLANGEEQSVSKYAAVHGPQMAKATNGLGSQEPIPYLLSKNGPENVGKFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGRDVWPAVCAAMDYMNQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRPFAEKYKLKFIQAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPNGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWARSPPKAILDAPKVNLMDRIHLSPLMIRAMNEINVRKIAPDNRCFPSSAFRCEC >KN539912.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539912.1:55951:66375:-1 gene:KN539912.1_FG002 transcript:KN539912.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTSSGITKKLRIEAKVSISACGALMTPPLLRNSGLKPRHIGRNLHLHPVSMAWGYFPDSTAELPGKCYEGGIITSMHRVTDRTIIETPALGPGAFAALVPWESGRDMKERMRRTTKTLRNEAQIDCTRGVSREQRAINRRNKLDSSLLSNRGDGKKDKPSRGLKNMRKYQEIRKAVANYYNNLCAIEEERFGKDLRDREVSSIAFEEKSFGHVVNPGQQTYRISANVDVDLSTIVVSLALFDGDKMLFACSGIPLPDGTTREHLTRFVTSAALVRVFDEKRNRDDKLRVAVRLPNNRITDGFLGLYDHDIAIVTCFGLIEVRPISFKACPDGIQALVAGRAFESGNLMAMDGFVRCNNTWVPDSQDISKAVLGGPLLGKDMGFIGMNFSICHDDDGTLTYAFLPTKLLRKRLEHFGILNPKHLHFRGYSLPKGVSSIIPSGFMKTIYRLKSYGYPMPPPLVLELNGELLNHFEERFGELLAWKGYPYGDPAKSCRNRVWYQLPKEVVTNISRRVVSLASFNGFVRFFACTGLIIKWHGSKATRTVILTSTSLVNRCNDDKIDSNLTIEVFLPPNQRCCGTLEFYNLNYNIAIVSLKKNFNAVRPEDIFSKTVQEPSEKVVAIGRDTRLGPLMATIGNVKRGKKGCKLDCKDLKLSTCKIKKAGIGGPLINFDGSFVGMNFYDGSEATPFLPRHKIVEVLSRVNDLPSESGCNNPMPIDIGEGTKKNRWPVPEPYWYHGSLDVDRTYVPKCIGRRLQ >KN539912.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539912.1:45991:49082:-1 gene:KN539912.1_FG003 transcript:KN539912.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCVWEARVLVRIILWILATRVGTLALCGRRCVSGEFPYVRRFADMPVERREAALKRWNATRWLLPLKIIFALIKVLCHFVFYTAEWSSDHGLPLFGGKGYVQAMDAVCARLGVTGRCREEGFQNKVLRRGCEALGLRVEAVPRNSSEGHFCGSCNLGADRFILETNTGGGGGRRKKCVGVVAKCLGNGINKKLRIEAKMSISACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDITAELPGKSYEGGIITSMHRVTDRTIIETPALGPGAFAAVVPWESGRDMKERMRRYARTAHAFALGARDADVEAFLDEVTVEKGPMHPGSDKWALLASAHQMGSCRMGRSAKHGGVDGGGESWEAEGLYVCDGSLLPTAVGVNPMITIESVAYCVAKGIAESLASRAARSVENRDVSTNPPLACES >KN539912.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539912.1:31385:36572:1 gene:KN539912.1_FG004 transcript:KN539912.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGQRRNYILNFVALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRQLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFEARSWVHVSRGCVEDGKREAALLSQVVEAAVDGGGATTGAETVAELERMLAALVANKRAVAGVLRTREAIAEEWAAVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQQLPSDGDAPFVPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETFGNLLYLRFLNLSRTRIKALPDTICNLWSLKFLLLRDCKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAEESVADSDKVDDASIESRNVDREEGMVSYSGTDTAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEEDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKKKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFQTKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSAITENHLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGNSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTYGSGHCKLTATSACKQQSTNPSDDKDASIKKMASNISEKVVNTWSGTEPLKRPVKISRSSSLPIDYKSHVIDITAATKKLEATLKNRSSRNAAAGLADDKTPQSGPTPTRTP >AMDW01040643.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040643.1:55:1530:-1 gene:AMDW01040643.1_FG001 transcript:AMDW01040643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSWCLMKCLQKGKGDIERDEFISQMDQLNTKIRQFQQTVSVEFKRQKCSELPSGEGQHVRDRSEIKESEGILKDLIDKVNNADAELHVLEEEYKKDLLHHDEVRRELADTQAKRALMEAVMGETKQLQELGEYPFLGFFQKFFELATSSAISCAKFTKRLRKAFFNGKQAGSRNGESARFACGGVAEA >KN539532.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539532.1:41986:42535:-1 gene:KN539532.1_FG001 transcript:KN539532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMITATTYDNNGMPVRNIPKVADPFDYGAGFINPNMAADLGLIYDIAASNYLKFFNCIGGFATGDNCTTAKRSLADLNLPSIAIPNLKTFQTATCILTNVG >KN539532.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539532.1:90407:92844:1 gene:KN539532.1_FG002 transcript:KN539532.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAALVGLLIGWAWRPRWALAVPRLGGFEWTSGLFTDTVVGGCRTAVAAAAPEEDEGLAVDTGDLMHLRRLVEEKDGGPAWIHMMDKTLPTMRYQAWRRDPEGGPPQYRTSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHETLEECTKTGTMVLRWVRKFPFFCSDKEYIIGRRIWASGKTYYCVTKGVPRPSVPRCNKPRRVDVYYSSWCIRPVEARNGDGSMTACEVLLFHHEEMGIPREIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVNTKVDPDELITAEDKTEASSTNNAEAEKPKHWTGNIPKVILVGGAVALACTFDHGLLTKALIFGTARRFAGPGRR >KN539532.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539532.1:11434:21535:-1 gene:KN539532.1_FG003 transcript:KN539532.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSRQSLFLTFLLQFLLQLPWSSHALKQGEPPTKEAALESIVYSYRYSFSGFAARLTKAQASIIRGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKAKYGEDIIIGVLDTGITPESPSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSNMSKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDVLSLSLGSPLEDLGTLHVVAKGIPVVYSAGNDGPVAQTVENSSPWLLTVAAATMDRSFPVVITLGDNDKFVAQSFVLSRQTTSQFSEIQVFEGDDCNADNINSTVKGKTVFCFGTKLDPEPDINSIIKVTGEKGGTGVIMPKYNTDTLLQDGPLTLPIPFVVVDYEIAYRIYQYYTNENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSSIYPGVIKPDIAAVGVTILAAAPKNVIDLGIPYHFESGTSMACPHVSGIVAILKSLHPEWSPAALKSAIMTTALTYDNDGMPIQANGRVQKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKGSLADLDLPSIAIPNLKTIQVATRTVTNVGQANAVYKAFLQPPVGIEMAVEPPMLVFSKDIKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEEIYSKIS >KN539532.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539532.1:44352:54399:-1 gene:KN539532.1_FG004 transcript:KN539532.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGVHRGCCCRLLFLLVLALLLRRSCVVGQQSQSKKFSLLPIKKLSEALLELGCKEVALESIVYSYRHSFSGFAARLTEAQASTIRGLPDVISVRENQIHRLHTSRSWDFLGMDYRQPNGLLAKAKYGEDIIIGVIDTGITPESPSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLRSMSRDEILSPRDVVGHGTHTASTAGGNIIHNASILGLAAGTVRGGAPRARVAMYKTCWNGGGCSAAGQLKAIDDAIHDGVDILSLSLGGPFEDPGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDKFVAQSFAISGKTSSQFGEIQFYEREDCSAENIHNTVKGKIVFCFFGTKIDSEPDYYNITKATSEKGGIGVILPKYNTDTLLGDTLLTLPIPFVAVDYEITYRIYQYIKGNDGTPKVKISLTQTTIGKVSAPKVAAFSSRGPSYIYPGVLKPDIAAPGVTVLAAAPKAFMDAGIPYRFDSGTSMSCPHVSGIIAVLKSLHPKWSPAALKSAIMTTGSADNCTGSLADLNLPSIAIPNLKTFQATTRTVTNVGQANARYKAFLYPPAGVEMTVDPPVLVFSKEKKVQSFKMTIKATGRPIQGDYSFGSLVWHDGGIHWVRIPIAVRIVIEEIYSKIS >KN539532.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539532.1:65444:71539:-1 gene:KN539532.1_FG005 transcript:KN539532.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMLILSLIPIMPCSPLFWEGLSDVVSVKENQIHQMHTSRSWDFLGMDYRQPNGLLAKAKYGDGTIIGVIDSGVTPESASFADIGYDPPPKKWKGICQVGPSFEAISCNRKLIGARWYIDDEILSSISDNEVLSPRDVEGHGTHTASTAGGNIVHNVSFLGLAAGTVRGGAPRARLAIYKACWSGYGCSGATVLKAMDDAVYDGVDVLSLSIGGTKEDVGTLHVVANGISVVYAGGNDGPIAQTVENQSPWLVTVAATTMDRSFPVVITLGNGEKLVAQSFVLLKTASQFSEIQKYTDEECNANNIMNSTVKGKIAFCFMGEMLNNKQQTSYPDVTMAVAAKGGRAVILPRFYTETILQDDPIITDLDIPFVPIDYEMAQRIDEYISNGINGNYIPRAKISLTQTRIGDEISAPKVAVFSSRGPSSIYPGVLKPDIAAPGVSILAAAQIPYYKGVSYHFDSGTSMACPHVAGIIAVLKSIHPKWSPAALKSAIMTTGFVWNVEICDYIYFVQQSAHLIDLIGFKELANNL >KN541753.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541753.1:11463:12652:1 gene:KN541753.1_FG001 transcript:KN541753.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCGTMIIILAMLPAILTMADPYCDCDCPQQCEVKLHYYLHQFRAGADHPNRNEEFVTSGGPSGLGAGLIHDWSLTTGLDPNVKIVGRAQGWHIVASQSSPANWYLSQNIVFQDSKYAGSTLQVMGIIEGSEEKVGEWSIVGGTGEFTNARGNIKYRAIKKEDVEWIRELDIQVFYTPNTPSDVQVAKNITKGN >KN539532.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539532.1:3052:8403:-1 gene:KN539532.1_FG006 transcript:KN539532.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSKLQALFLVLLFQLNLQSQWSYGLQRDQSRLYIVYLGERQHEDVDLVTASHHDMLTSILGSKEETLRSIVYSYRHGFSGFSAMLTQSQARKIAGLPGVLRVTENQIYKAHTTRSWDFLGLDYRQTNGLLPKARYGEDVIIGVVDSGITPESPSFDDAGYGPPPSKWKGICQVGPSFGANSCNRKIIGARWYEYDIPNGTLNTEILSPRDVQGHGTHTASTAGGNIVHNVSRLGLATGTVHGGAPRTRLAIYKACWATPDGSAGCSGAGMLKAFDDAVHDGVDILSLSIGGPLEDIGTLHVVANGISVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRTFPVVITLGNKEKFMAQSFVTTKVASQFSEILLMDDNCDADKIDSTVKGKIAFCLVTAFNVVPSYGRIINAVSSKGGRGVILAAYKTDLFLTEDFLTLDIPFVPVDYEISYRIFQYIRNSENGDIPKAKISLTQTMIGSENSAPKVAAFSSRGPSAIYPGVLKPDIAAPGVAILAASPNTPEFKGVPYRFDSGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTATTFDNNGMPIQANGRVPKIADPFDYGAGFVNPIMAADPGLIFDINPSDYLKFFNCMGGLGSQDNCTTAKESVIELNLPSIAIPNLRTSQTAVRTVTNVGNHQDVVYKAFLEPPAWVEMAVEPAELVFSKDKKAQSFKVTFKATRKVQGDYTFGSLAWHDGGSHWVRIPIAARIVIEEIYSRIS >KN539532.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539532.1:97188:98983:-1 gene:KN539532.1_FG007 transcript:KN539532.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding EKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRYEKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >KN539532.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539532.1:60688:62250:-1 gene:KN539532.1_FG008 transcript:KN539532.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNGMAKGVALWGRATTPQPMGWWWAASGAREIEIGRRRALTYDNNGMPIQANGRVQKIADPFDYGAGFVNPVMAADPGLIYDITASDYLKFFNCMGGLGSGDNCTTAKGSLTDLNLPSIAIPNLRTFQAMTRTVTNVGQVNAVYKAFFQAPAGVEMAVEPPVLVFNKGRRVQSFRVTFKATRKVQGDYRFGSLAWHDGGSHWVRIPIAVRIVIEEIYSKIS >KN539532.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539532.1:30994:31650:1 gene:KN539532.1_FG009 transcript:KN539532.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCEEEAVAHPRAMAAGGRRSTGKGPTGEEEGKKVTRVLEWEGCVVLPVPTATADEAWALLSDFLAFHRWHPRVAKCRLASPSAAAALAMFETRRQGWRGRRRPEVDGEEGYHNREHSEGGVGDSGQREQQATRMAAATRNPHAACSSRPLDFYACYQAVLSHSHATTSKSEILLVGHLHVCSETECWEHAADACLVALLVRSDHVDLQINHGSQP >KN541753.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541753.1:20322:23675:-1 gene:KN541753.1_FG002 transcript:KN541753.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVELGLHDRGARAEHRKRRNTRRRLPSLDPSDSDWLEVQYINSYGVLMGYMTIAVNGLGFLVVTWTTVVLLGGFVSMLGKKDFWCLTVITLFQVFGVLNVIMNKQLSNTGFSYLAICRSRAEISAGPSAYITMMKIQAAMLSIVMWLLFAIYLYGLYISAGISLWRLIERDYGGDNLKQSALDVLYTLALLQGVIFGYRETFANFKGSLVDAVSLQYNEPDLGVAVLQYLQEIRSGCAKNPSFAAGRNLVTFAVDLLVQVRLLETLGPRSPYNRRIRECAARIVLHVAGEIRVEQFRRGIHCICSLLDPYEQCCLLSHDRRRPAWLPEEYGRSTVLEPYEREWLMETWKSSRGCDQEHDRPDRLSPESDEKDDDHCKDMMFLGLLILEALAAADVNRSAMANSCGLIAKLIAPISSDLIHSVGHGAWSKIMSKSLQVMNLLVDAPGEAGTDLRHEMSGNKKAISAMEAILQCDQCGEKLQMLAMEVLAALAIVDSGMDWASREEFIQLLLRVFSDCSRGIGVRAAAAEALVMLSSKMEGSAMIIMKANNNSVDNLVKILLDRDNIILFRVATAVILERLCANYTEDDECLQNLKGVMTKAMPKFYATCHGVDKFSVWRKLKEIVETNNDPKVDSLRIAQHTTKMVISMMTNEGSYVDEDLQSLMQSLSLASKTMSDIDGSMFLTSGYDRGKVFQVIRNLGSLKPISTIASLVKQAQQLVDQKKKN >KN539532.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539532.1:307:767:-1 gene:KN539532.1_FG010 transcript:KN539532.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVIRIKRMPVCADDPEHCHYNDISELSPHCLQEIQRLFEDYKKNEKKKVVVDAFLPANTAHDAIQYSIDLYA >KN539532.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539532.1:77051:79649:-1 gene:KN539532.1_FG011 transcript:KN539532.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHADGFAGERAFQKLSKLSLSHNSLTDEGVAALVINLTTLSELYLGGNQLLTTSWISNLTSLRVVDLSQNFLHGYNGICNLHQLEYLHLGVNMLHGTINSCLGKLQQLKYLNMERNFLMGEIAPNLLINLTKLETIHLGVNNLTGTFMLSWLANSSNLVDVVLSHNYNLKIETELVRWTPLFQLVYLNLSNCVINRRSNGVVPTFLSTQLSLSGIDLSHCSLQGRIPPWLFYNLSDFVLLNGNRMDVIDMDGLGGNMTSPVQVLDLSENKISMSIPTNFGSIFQFLDYCDMSSNRLYGGIPSLAEATSLEVLDLSSNNLNEEILPTLIGNLSILTSLLLSNNDLTGPMPPFHWNLGSLKHLSLENNRFSGRLSPLLSNSSNLKTLNVRNNHLSGIIPDGLLSFQQLGVILLGGNDFHGPIPLDLCFNNYLHFVDLSNNQFSGEIPNCFYNDFWTDLPMYFNDDPFSGNITERMSVDFTTKGENLTYMGEPLVLMTGIDLSMNQLSGAIPPPLGFLRQLKSLNLSHNQLVGPIPETFMYMQDMESLDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQQQLGTFNESAFEGNGNLCGEIINKNCSSVLHQNQGVFDAIDTSLVFWSYVFGCFALGFWGTVALLIWDEVCRRRLCDLMDALMFKLGWEFVP >KN539532.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539532.1:33249:33539:1 gene:KN539532.1_FG012 transcript:KN539532.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCDLIPPPVVPQQIAANGSVAYMGAIMAPLQEQDKQLVVDLAPTTADQLARMCSAGEPLWVRQRGAEVMAVEEHARMFRCEILLASMNYQGTAM >KN540249.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540249.1:3029:3616:1 gene:KN540249.1_FG001 transcript:KN540249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVETKKSGSEMTVVRGLDVARYMGRWYEIASLPNFFQPRDGRDTRATYALRPDGATVDVLNETWTGSGKRDYIKGTAYKADPASDEAKLKVKFYLPPFLPVIPVVGDYWVLYVDDDYQYALVGEPRRKDLWILCRQTSMDDEVFGRLLEKAREEGYDVEKLRKTPQDDPPPESDAAPTDTKGTWWFKSLFGK >KN540249.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540249.1:51194:55509:1 gene:KN540249.1_FG002 transcript:KN540249.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPTKLSAYRDRRFTGTQEEYEAALQASVTVYVGNMSFYTTEEQAYELFSRAGEIRKIIMGLDKNSKTPCGFCFILYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPDILWYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGYGKMVQKELEAQRELVDYGGAFQPNAPPQYDRGDRKRGYGDSYQNDRDYQRKRYRNDERSSQRAPDSEFKRDANDSEKNPRFREKGDSDEEDDDYDKRRRR >KN540249.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540249.1:20176:22114:1 gene:KN540249.1_FG003 transcript:KN540249.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAMIDASWNNGDGEGEGGVDEYFIDRDPECFAVLLDLLRTGGLHVPPHVADGVLCREALYYGLLDRVRAARSYTPGALAFDSRCKVFASCKGRFNEYGIGVWDCTTGEQADFFYEPPGCALGDADKLQWLDGTSTLMAATMFPRTDSSFISLLDFRDKKNVAWSWSDVGTPASLEDKHVLHAIAMEDGRSLCVINQYDDLGFLDIRSSGGAVRWRSRSKLAARKKKAAPRGEETCYPKLAAHGGQLFASTNDTISVFSGPDHIVAGCFRATVCKYLRAKDLLSTDYDAPRCHNRITP >KN540249.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540249.1:9786:16129:1 gene:KN540249.1_FG004 transcript:KN540249.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGELEGKLRDVGEKLQSPPDDVDALLKLIHEAEIYILKVEQAPSESMISAITPAMKALIKKELLDNSSYEVKLSVVSCISEITRITAPDTPYDDDVMKDVFSIMVGSFEKLDDMENPLFRRIVAILETVAKVRLCVVMLDLECEDLILQMFHNFFTTVKPNHPENVTNCMTTIMILVIEEDDEVEIPIAECLLKHAKSELKETSAASFELAEKVIGACSEKLKPVFLQLLKGTSLNEYDNIIATICEDSSDVKEDMDADPSGKDVVDDGKLSERTISDELPQEPAKLEQDVSQTTAIGSGATPVDNGTESAAANPKELTNPDSEKKDGAKQSAKVANGASAETSERVDGSPAMVKSKRGRPPGLKSLEKKAAGKKVLGLKKVEETTDSAGKLSKQSSKDDSKSSTRKASGAGSSKRQQKISLKQKDETDSKEDTAKDLSLKEMVSPKSVSKGSTKTKGSQGQDNNGSKRKRSQEDEQETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGIVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFVSELLYQEQDKTPDVASEISPKPRGRGRKGRGSSVQLKEGNAETPKSGGGDLPKKRGRPKGSSNGTPKSNISATSSKSKGKAARKDDNETPKVGSDLKKEAEEGSEDKATKSTEKTKDDLPEDGSNKSASKPKEASSGGKDLKGESKPSEGRAKPGRKPKVAAAAVAGEESKANVSAEKEKQKEAEGEAAAEVEQGGSAGGASTGGKKRRRKA >KN540249.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540249.1:57009:57573:1 gene:KN540249.1_FG005 transcript:KN540249.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSKVVVTSEHDQTVAGLGKTEEAIRTKNKMSKEEYWYHFKALAFRGEADDPALAATAKEIAAALNGIDTDMDYVQEFAQIGQIALKLVLPMRLTLRSCSITKQGSDPQLGPELNRVAGGIAYSCRSDDSGSVDVILCRSRIPPYEIYKLSCVMEEGMVHAGQCH >KN542248.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542248.1:922:5448:1 gene:KN542248.1_FG001 transcript:KN542248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKENENRKENDEEADHSKKYDKGTTYVRPKNRDDKKKSRKHISRENDAVRNGDLERAKARVTEGANLDTLIQKLPGCSNRDLIDQLTVEFCYLNSKANRKKLAWALFNVPRTSLELLPYYSRLVATLSTYMKDLPSITLSMLEDEFNFLINKKVFEVHKEAALHGLDKSSAHYVLHQLRKLPWSECEPYLLKCFLKVLEEIRVGLELNDYSMQQQRLAHMQFFGELYNYKHIGSSIIFQTLYLIIVFGHRTPEPLGYKFNISGEELVRCTMLNVWCKDLFAHLGSNMTRYSSMEELSVALIELEANEYVASAEKCGNEWHSGSKEQTKQSDYVSFDANHKSSRDRIDENGNDNEELAVRAIQMEASIRMDMKTLIFQAKGDSMEDLRRMTMAMITCLLVLMMKKLFMLKKRMSKDLRATELENGGENASVSINDGDGGKVCIKVLVKKGHKQQIKEMFIPGDCSLVQSTKQQEAAELEEKKSIKN >KN543143.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543143.1:3455:6595:-1 gene:KN543143.1_FG001 transcript:KN543143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHSSCKTQSNRFPIPVLGLALVLLLNFISPISSCTKQEKSTLLNFLTGLSQDGGLIKSWKDGVDCCEWEGITCSQDKTVTEVSLPSRSLEGHISPSLGNLTGLLRLNLSYNLLSGAIPQELVSSRSLIVVDISFNRLNGGLDELPSSTPARPLQVLNISSNLFKGQFPSSTWKVMKNLVKLNVSNNSFSGHIPTNFCTNSPSFAVLELSYNQFSGGVPPELGNCSMLRVLKAGNNNLSGTLPDELFNATSLECLSFPNNGLEGNIDSTSVVKLSNVVVLDLGGNNFSGMIPDTIGQLSRLQELHLDNNNLHGELPSALGNCKYLTTINLKSNSFSGDLGKFNFSTLLNLKTLDIGINNFSGKVPESIYSCSNLIALRLSYNNFHGELSSEIGKLKYLSFLSLSNNSFTNITRALQILKSSRNLTTLFIAYNFMEEVIPQDETIDGFENLQALSVDHCSLSGRIPLWLSKLTNLELLFLSNNQLTGPIPDWISSLNRLFYLDISNNSLAGEIPITLMDMPMIRTTQNKTYSEPSFFELPVYDGPIPIGAQFSTFPNSSFDGNPKLCGSMLTHKCKSAEEASASKKQLNKRVILAIVFGVLFGGAAIVLLLAHFLFSLRDAIPKIENKSNTSGNLEAGSFTSDPEHLLVMIPRGSGEANKLTFTDLMEATDNFHKENIIACGGYGLVYKAELPSGSTLAIKKLNGEMCLMEREFAAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDETSSFLDWPTRFKIARGATQGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFRLSRLILPNKNHITTELVGTLGYIPPEYGQGWVATLRGDVYSFGVVLLELLTGRRPVSILSTSEELVPWVLEMRSKGNVLEVLDPTLQGTGNEEQMLKVLEVACKCVNCNPCMRPTITEVVSCLDSVGSD >KN542248.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542248.1:13472:16796:1 gene:KN542248.1_FG002 transcript:KN542248.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPSLDFGEFPQPFCSQQVVSFQPSVTTSGSGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTTSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYGNEQGIMKYIKIAASLPSKTVRDVAMKCQWLGKRENSRRRKSEDHHTGRKMKERKAKMAEPSLWGTNHPVQTDTRVPSFVSHNAIQNNQILTGATEIDRAMQQLLVQNDRLLDQIEANMLACQPQNNIELFHRARRNINGLLQTMNQMPGIMSKMPPLPVSVNENLANFVLPGLTVPQFLGGSQLKEEPRGCEIFGNYCCQMVMTDDE >AMDW01038924.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038924.1:27:878:-1 gene:AMDW01038924.1_FG001 transcript:AMDW01038924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAK >KN544454.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544454.1:2202:3271:1 gene:KN544454.1_FG001 transcript:KN544454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFEAYSVEEAVEVLIPYLEDTSSAAHKSIFFDGWSGLGASADEEDDFSGVEESSRAEVADIGKEIYRAIKDLSCLLVFHNGSDNTVDISKFGFPLNDWNNLRDNTLLWTFRGRLRLNPKILHNVDSSHLALYNGIYSSSMLKDLLAREAEEITQYSGELHLDGTKAAECCLYLLSLSYRGGHIMDYNWATHACNYWVCDGIITVQEEKGGGSLQEDQAWELAAALHQEIHLENYSSNRVPDFGDDLNTPPNRWVL >AMDW01026023.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026023.1:21:323:-1 gene:AMDW01026023.1_FG001 transcript:AMDW01026023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVLGRRRPSFQSVPLKWILINVIGPLDAGEETKATLALAADDLYILGFANRSGHWHVVDGFRGLPDPLTTLRIDPSYGDLVAGGYQYLYRVPLGKQSAVQA >KN542334.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542334.1:141:4843:1 gene:KN542334.1_FG001 transcript:KN542334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVALVQQCGVPRHKVRVEVCAPSDFLVSFADSEIATGMLLHHSGRLMVRGCRVDFCCWSRRAAADSSGMRFLVKLGIGGLPAHAWEEGAVRIALAKMDCHLLELLPLADARTLEVMAWAVAPSAIPKRMLLSFPDSPPEGVAAVFGEDEEAAMELENMVPPAPPSKKRCLDYLLLIHVMEVVDPNPNPSSADVDTLDLWRDPDDEGDDCGEDTGKEALMAARAPEEERSGALRGVASSISAPVQTADQEVGSVATGRSDGLTVYRRRRPSAEAHGPPLRELGQDGRVGDLVQAEDTMQDGPGLTEEVDDGPERFRRLPLAWALTWEAEGAINILGGASREAAFAMTEAPPAPVRDFISHVEMTPPPSVLGQWPPISVPMECRRRLVIPPDFTPRRSARVQAQGDGARQHTASKAKRVTMKKLGIIDEEEEADQAAVQRYEELFERPLSTQHTAALAELLNVSIETQKPLQEIQYNKENIASNAVILHFSLVLNVHALPE >KN542907.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542907.1:281:2681:1 gene:KN542907.1_FG001 transcript:KN542907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFSSGLIEDEEQEALEVDFANRYLGGDSLMNDYALSVVKEEIRFMINPELIVGMLFMVSMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIAAIDALDCPTRLQFEPSGLLREVNKAFCGFLDQSNHQLYAKLVQDLNTKDNCRSVIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQAFRSFINYYTFESESLKRLEEVTEWILRHKWTVGELWHMLVEYSSQRLRGEINEGFLTWLLPKDIPNGDVDFMCE >KN542907.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542907.1:3305:8577:-1 gene:KN542907.1_FG002 transcript:KN542907.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDLESLSRSRVLVSKFPMEEQLSRLYTFKMFTKLQNELNATMNCEVQLDDSTSSIVVIDLAESSGEMVNKKYEVVHYMETDRMECNCGLFQFSGIVCRHTLSVLKCQHVFDIPPCYVLNRWRNDFKQLHALDNPWKDLVTSNHIERYDYVSLQCLRLVEIGASSDEKHQHALKLIRDIRRTLLDDNLCRELEQKLTPSERAINGDSHMQAGSSEGGPAKKRRGRPPKKSKDTNVESVSNQYAHKDSLLVSSDVSQKDAFHSSSTASNLGTHVRTNGVVDLMEEVNPNELSFDSRYGVQSSHPHHYGNQLHPSNTMQVFFLCNNKLAFPFMIFLPHVQLTKRRRSSALRQTDMTAIKYWVQMVPFQVYIDLSQKGFVFSTETTTFTGKPFQTLGIAICAHRNLEALFTLGYDVVALSSPGRFVVGLDICDTAIQKAKQLILLCSASVDEASMGEENGRLATTGRRAHHPHLYVSQTRNCSPVYRNLIRNMPYLASCSYEEVLNPLGLVITSIEDNEVAVEPRKGMEKMARWKRMAISDQ >AMDW01023173.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023173.1:8:199:-1 gene:AMDW01023173.1_FG001 transcript:AMDW01023173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSIPSLIK >KN539019.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539019.1:53971:56835:-1 gene:KN539019.1_FG001 transcript:KN539019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGGEPAARAAVRHGGGPVAFKDAVDVDAAPRASKIDPGRVLFLKYEEVLRDPVNTIRELAQFVGQPFSDTEEEAGIVAEIVKLCSLESLRSQKANKEGIQGVYIKFSHDSYFRKGVEGDWRNHMTPEMAEMGEHLDSIIREKLDGSGLTI >KN539019.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539019.1:120200:122009:1 gene:KN539019.1_FG002 transcript:KN539019.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKRMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSSRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRPLHRSVPKTRKDGGTNGSTARMRSDSGGNSGANVHRRADFQGPTGRFVPQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLSEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >KN539019.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539019.1:26673:26918:1 gene:KN539019.1_FG003 transcript:KN539019.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAHPPASPDHPLRRLNPHDCVPLVDRLFAAGRDALLDELPSPRLMCTHMPLSLLPATVADGSSGCKIIYICRYPGLCA >KN539019.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539019.1:64941:66355:1 gene:KN539019.1_FG004 transcript:KN539019.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARAHLLASLWAFYLILAISVVTGDLTNDLDILWGNSKVFYDSSGKQTISLTLDRWTTSAFRSKSTYLFSRIDMDIKLVAGDSAGTVTTLYMITEGLWQFHDEIDLEFLGNSTGEPYTLHTNLYARGKGGREKRYKLWFDPTADFHTYTIIWNQRNILILVDDKLIRQIKNNLMYSVPYPTYQPMRVYGSIWNADDWATMGGRVKTDWSQAPFTAYFRNYRAIACPPQQSSPLCGQSSGNWFNQELDVTRKQQLQEVDANYKIYDYCTDTKRFKDNLPKECTIN >KN539019.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539019.1:74148:79468:-1 gene:KN539019.1_FG005 transcript:KN539019.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGISLDCQGRTGQSPVPFDIFRGCLVLYLNLPFLRSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPLLSYHVIDNQDASEHLGLFAVYHFALMSM >KN539019.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539019.1:128694:131829:-1 gene:KN539019.1_FG006 transcript:KN539019.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAIAVGTAFMMRPVYLYGAQVTSWKNDHAEELLFVSSKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKSFVDLILRPSDEDLKIWPHSFEFRLRVALGPNGDLSLTSRIRNTNTDGRSFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKGKERFTEQGDAIVFESEIDKVYLAAPSKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLQG >KN539019.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539019.1:15839:21944:1 gene:KN539019.1_FG007 transcript:KN539019.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYKVADNFRKIADKVGQAGYYVVVPDLFHGQPYTFDQNRTEWLSAHSPVKAAEDAKPIFAALSKEGKSIVGVGGYCWGGKFAVEVAKTNEVEAIVISHPAAVTADDMKEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQAKRPTLLLSETSVKAFDHDIIEVGSSVSTIHAPKVVTVAVAIEANETAWQVALTAPEGIHAEAIIHSWDPLPTIMGRLVRPRDLVALRLDVEAPIWSSLLRDDARVLATMMRSMELMELQWRSNTPSPHCDMNDLTRRIGPSLGHNVVVAELAAYHRGENEECAQGVGIS >KN539019.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539019.1:159835:161051:-1 gene:KN539019.1_FG008 transcript:KN539019.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAASWEAMSRRGTIQVFINGVAYDVPSGGALDMAGTFGRDAMLVHSSGEVLPVDEHGVLINSLQMGECYYLVSKSF >KN539019.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539019.1:156302:156799:1 gene:KN539019.1_FG009 transcript:KN539019.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEIRTSASDLAQFLRAHRISHVCLRAIIGVPNYKLLALGSSPATASAWVARHVLPYAGANSSTPAHHRHRRRRRGPHRSPLRIPHAPPGLLAMQSYSMMQSNGVIPLDNALFKTLPPSLEMVDLHTLALLHYTNMFDVVHVTVKNLYVSGDRIPMPVLVMEVR >KN539019.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539019.1:70781:71906:1 gene:KN539019.1_FG010 transcript:KN539019.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPRAQLLPSMSMAALYLILATSPVISDMTDSLDMLWGNTQVLYDSTGHQIVSLSLDRWTTSAFRSKTKYLFARIDMDIKLVAKDSAGTVTTLYMITEGLWDIHDEIDLEFLGNTTGEPYTLHTNIYARGTGGREKQYRLWFDPTEDFHTYTIIWNPQMILILVDGTPIRQMKNQLRNDIPFPLYQPMRLYASIWDADDWATQGGRIKTDWSQAPFTAFFRNYQANACIPYKTAWICSQGSNDSSWFTQDLDEEGKQKLKDVDDNYKIYDYCTDSRRYPNGYPPECGSQ >KN539019.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539019.1:28318:30987:1 gene:KN539019.1_FG011 transcript:KN539019.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEERPVWWGCAKDVDKEYGVGRKVGRKCEDRCVKDVDKNVAWLGQFYRDQKDALVSMWHFLKRNGLQNLSLQEVYESFCEGTCFGGPVWNHILEYWRASKANPSRVLFLRYEQLLQDPADSIRELAEFVGQPFTSSEEEAGVVTEIVELCSMENLVSQKANKEGAQGVFIKFSHDSYFRKGVAGDWTSHMTPEMGRRLDAILRDKFDGSGLTI >KN539019.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539019.1:513:5310:1 gene:KN539019.1_FG012 transcript:KN539019.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAWALLLSLAVLAAAAAAAPTHSQCLDNPPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDVFGFEAPLLRKIADKVGQAGYYVAVPDFFHGDPYTLDLNLTEWFSKHSPVKAAEDAKAIFSDLRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIR >KN539019.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539019.1:82706:93210:1 gene:KN539019.1_FG013 transcript:KN539019.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEDVIGTNKRVVADQDRGARWLMWNLAATQHLGSLKIRTTKIGDDNEPSFYSSRGEGGNSENVGQRGDSTAEYSDRCKLLDNMLDISISRVEVNEITMITGGLEIWRIENFKPVPIPASSYGKFFMGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDDEKYEETVFKLLCFNQGKLEPINYEFLLHELLKTNKCYLLDCGVELFVWMGRTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVLFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKEEKGLTHRTWQMTCSHCSSSFLIYICSSILPTTPIGVHEGNLKIKEIYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPAYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >KN539019.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539019.1:165935:166165:1 gene:KN539019.1_FG014 transcript:KN539019.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTANCRHPRYDILTSSLGQARSTDMEHEVGARSSVPGVLAVRCSDAAIVAGVGEGRGGESRAHRLVEEKGRGIA >KN539019.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539019.1:141284:146246:-1 gene:KN539019.1_FG015 transcript:KN539019.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSTADALEQIKNEAVDLEHIPLEEVFQNLKCTREGLTQAQGDARTQVFGLNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLINSTISYWEESNAGSAAAALMKNLAPKTKVLRDGRWLETDAFVLVPGDVISVKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKLPGDCVYSGSTCKQGEIDAVVIATGVHTFFGKAAHLVDTTNQVGHFQKILDLCKCSQDVRNKVHAIIDRYADRGLRSLAVARQVVPERRKDGPGGPWEFVGLLPLLDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGQSKDESIASLPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAVMTVLFFWAMRSTDFFTDTFHVKPLMEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCGAFVAAQIIATLVTVYATLGFAHIKGIGWGWAGVIWLYSIVTFLPLDIFKFAVRYALSGRAWDTLIEHKIAFTSKKDYGRGEREAQWATAQRTLHGLQTPEMGDHLGGVGGGERSSYRELSEIAEQAKRRAEVARLRELSTLKGQMESTVRLKGLDMDNVQHHYTV >KN539019.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539019.1:36213:41926:1 gene:KN539019.1_FG016 transcript:KN539019.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLNPGHFLEAGQHVQDGSPNRLPRADITVPAPQKQHEAYKLAEIEPQVHEDEWDVHRLQIRNHLQNELGIMVTHCSPHPLGVGLFAVRTTLIRDTLVAAFGFHYNGGHVVRFVNHDRGTNWRAAHADRVGWIMFLGYPLDFRSTSYISRAVGLFGKLDYWQEMKICHMLVLHLLHLTHLSIMALPGMRLIIIMKMKIVIAASTDSSPLFTISPEMQGKIDEFVLRMKLLKSLQNRTHIALPIQSAAPFTQLLYPKRKALSVCSQSVEPVVKRANLNESSSWAVKESLSINVVHNTEGPILSPTPLAILPPPGVHLDSEKFLLAAPEVSIDRNEPVSPVSLSSNALILPKAPVKKDGKTILFDPARRQSSRLRALSTDVAADPWMGIGKPRGKSAKKLKELADGSRDVRSIS >KN540679.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540679.1:1804:5529:-1 gene:KN540679.1_FG001 transcript:KN540679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWNEVYQSIFHSHEDNSHLENTMKILSLSYYDLPSHLKTCLLYLSIYPEGYIIEKHTLIWRWISEGFIEDEEGTEIFELGEMYFNQLVTRGMIMPIEMDEIGQIGCRVHDMVFALIRSLSSSQNFVTMLDDTFPRSTNSRRLAIQWGPVEQCHVINSGNRHTRSFHAISLTDIGLLSALISTFSVLRVLALQNSGTTEGTYDTKNLCNLRHLRYLALSHSSIVVLPREMGQCFRFLQVLDLWGTGIEELPTSMGLLTKLLCLRGSRNTKVPDWIGNLTSLQELWLWPSANEESVRRFVRELGKLTNLKVLRTEIIYSSGNSLAKALVEALSNMDKIQIMQVMGQMWRECIMWETGVVSPKDVRYLYLACLFFSELPAWIHPSLFPNLVLLGLTLKSLREEDMLILGGLPELRCLKLTGMTDPIIIGFPTHGTDGSLLFRKLRSCSMGTMIQFVWKNMPTLEVLDFALFVHIFIDTNLDINLVLGLRHLPISLCKVKVIIVCSGVSPTFYGNDDIPRDELPPRVLQPQHQFGEITVPTQPQMQKQPMEVADEESLELAIPRIGDPMDQADNDVGVKDAFKQEGSKDHPAKRSKTNKSNPTQQELNSRTNQMQRVLTPSGICQTPSPHGPVVLDRRGSLLCSPTFGGRDGVNEAFVFSVSPNTDVTSGITSVAPASPKRGQQMGGRSVHEGHSLPSFTSTSSSCIPIAVVAGQRHMVTAVTGAVELQPAVAIDTSVSSLQQHVSYSTFLQPMDAANDIITLPGSQHQLNDASPSSVVDSTMSPGQSGWAPPGGGHKVVDMVGATLPVESSHVLNMEESRQVCDDATPTSYNVLVSTGRKSDPHYSDNANSELCSNGITGADEESSRKVMRRTAIMEKVDSPSTPISQGCYFLLKYYTLG >KN540679.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540679.1:12054:13004:-1 gene:KN540679.1_FG002 transcript:KN540679.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTGALGSLIAKLGELLKDEYKVQTGTKAQIQSLSRELESMHAALRRVSEVPPEQLDEQVTLWARDVRELSYDMEDVVDTFLVRVDGDRDASNPAFSLDRLLSKIGSLFQKSKLVGIDGPRDDLIKMLSMEDGCVPQKKMKIVSIVGAGGMGKTTLAKAVYDSIRAQFQCGAFVPVGQNSDLKRVFKDLLIDLDKRKYKNIHNRTWDERMLIREVQEFLANKRQNLLALDFWHLPQDRPLF >KN539574.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539574.1:93075:94436:-1 gene:KN539574.1_FG001 transcript:KN539574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLGSAKDAALFQLHNLLVEFAQQQTTKIIEEQEPPKIEASDATSSSSICDLLEDGEIADEGAAMGMDICGGVSPLIVDSAQFLPLPKQQEDDELIDTYGGVSPVSVNNFPQTPRSSSSSNDSSSSSSSSSDSGSSSSSSSGASSGSSRADSSSSSSGSDSDDDADADSASSRPDTTTDHPTEAEVKPMAEHKVMEQDKKLITERAASPASQLCITDMGMDICGGVSPLVVDKAQFSPLPKQQEDDELIDICGGIDSPVSISKFPESQAPRSSSSDSSSSSSCSGSSSSSSERNSDDDDGDSDDSESSRPVTTADHPTEAEVKPMEEQKLITERAASPHTEMQELITERAASPRTEMQELIARAQERQKLRRELERKAARELERKAAREQLQEMKRTAQPVFDIIDPRDMKQLGISGEAQYIVSPVKSQDSLRHRGGGLLQKLGFFLKAEF >KN539574.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539574.1:84444:88893:1 gene:KN539574.1_FG002 transcript:KN539574.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKSLDQNHNQTGAHLKANALCRFSVPDGCDLVAAGALPVAFGTSHLALVHRAQLKAGQLKSYSFYHRGELLQHYPNIHTVLIRLVELPESPGQERYGAQEWLRHQPNKVLLVLGAAGGVGASAVQIGKVCGAVVIAVARGTEKLQYLKTIGADHVIDSSKESIMESAKSFLKAKGLRGVDVLYDPVGGKLTQDSLKLLNWGAHILIIGFASGDVPIIRANIALVKNWTIHGLYWGSYFTHRPPVLIDSLNELFSWLSKGLIKIQISHTYRLPEAHLAFAALRDRKAVGKVMIVMDSPAKSRL >KN539574.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539574.1:54403:57384:-1 gene:KN539574.1_FG003 transcript:KN539574.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSPGVGETFQIPQVPQTMIIVLVYNGGEIIYGGTGAEYSIPPKITFPATEATTFEDVNNEIYEGLKYSKTEYSLAIQGRYDVGAPGPHFFQLIPLYEGRSWKMIFDMTAARNNWRMVELYVEITPTYSGFSQNHIVSDIPRENLELTTTAAVENVVSASHQSPKHGISPEIHHEEDDENDVEAEGVNGNTYGQPVVNLIKPLPLVGRSDKHPLTDLAHLE >KN539574.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539574.1:11585:12172:-1 gene:KN539574.1_FG004 transcript:KN539574.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQGLVGEVRGLFRLDDADYSRGIRRSIGVPEMDAYLRQEATGALLTHGDTYMDALLASAVGEIKANTWSLARRQLRKIHRLRGLPGWSLLRLDVTRVLELKVEARSEAECAAAWEADVVAPAAREVEMFLHGGGGGNVVESGGEEQPVVVEKMEVAAVGVGGAGAAAAETTWCGRRLLETAAAYHGMEAAAAV >KN539574.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539574.1:30485:42937:-1 gene:KN539574.1_FG005 transcript:KN539574.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRMDFGHTVILFVLSVIKILTDCILKDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTYYAGRPENFNILLQRLQLIGALKSKNFGTAHNLLDSLMMNIRKVVTTGHQLHKGNLLGSIDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSILKDRNEKGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDTFAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSNEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVSSELSLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKPVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRTRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGALWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGMKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVVEYIL >KN539574.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539574.1:90581:91480:1 gene:KN539574.1_FG006 transcript:KN539574.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGVCADKITLAGVLSACSAVGALELGSELDGYASCRGLYNNVYVGTALVDMYAKCGDLDKAIEVFRKMRCKNVASWNALIRGLAFNGRGDEAIQHFELMRNEDGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTSEFQIIPKIEHYSCMVDLLARSGHLEEVWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEISGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVV >KN539574.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539574.1:17196:17429:-1 gene:KN539574.1_FG007 transcript:KN539574.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSATARPHHHYYVFSIKQLNSFGTKAVLAFSTTVPLPALYILDGLHLGDTAGVTAASPHAFLLATQIFTEGLHTAAG >KN539574.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539574.1:62628:67242:-1 gene:KN539574.1_FG008 transcript:KN539574.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRDMIAAADRDSDGRVDYEEFKAMMAADPRNATQTGEGMMSEGEEHGSLLEKINDKIHEFKKGSSSSSSSDSDDDKKHHHKSKSKKKRLFGRTNPLHHVLGGGKAADLVLWRDKQTSGSILAGVTVIWLLFEGIGYHLLTFFCHSLIVFLTVCFVWANAASFINRGPPKFPDAILSEVQCLKIAHILRKEINEAFLTLRHVASGKDLKTYLMGKCLHAVFLMAYTLPMLYEKYEDEVDVVGEKALIELKKQYAVLDHKLLSKIPMLAEKKQH >KN542822.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542822.1:2327:10513:1 gene:KN542822.1_FG001 transcript:KN542822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVTLLIFCVPALTLLCACHYVIFHMVIRCCGLCGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGNSVSHERTINKGRDEIRQIVSSLHVAGGDTIISMAHRYYTLAVDKNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDHLQISVFAKFCYSQNIQLSKSSSTPAFSYIVLQNNWIEIVEFNCNASSILLSPFSEIFARQCQKAIAWTGRKPSGLCGAALYIAALSHGYDYTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQVLVTKISPKSGEVLCKHKDKAEHFAHGLCEKCYNKFMKLSGGLEGGSDPPAFQRAEKQRLEAAKNAKGAAAPKEAALESVCEAHESDVENNSMTPPKNIIGDKHSTIPSVEVAGDSVATEDPEGEGKNDKADEGPESLSDIDDAEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKAALAAELAARGVVVEEGKRKRRRHNEDGKNATPAQTPAEATQNMLKRKRLGSKINDEAVNKLYNNRNETTKGSADLSCLRFFHSEQDLLALLELGMGRRALVWTKDEDGKADKEMDFNDEYGQDTGDGETFEGGYDYPDYNYDGYGDGAYGDYDGVDF >KN539978.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539978.1:15744:17129:1 gene:KN539978.1_FG001 transcript:KN539978.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGEEPPSTSMATSSSPLPMVAQLMVTTDRAVSQQLKDLVNKENSTTMVAALFLSREAYEVAMASKNGEEHRPSSSIGAMDAKVLIATSASDYELLKDTLNKGDVAAMLMTMASKKEDAAKPSMAPMNPLLLSLASQGNWLDLEDYIRYMEAVPATPRAFRIEEWSEIRRSISMRSETPLYPILSEFGSNMSRFHPGFSNFGGARNFYATGSARNLAPVNVSHTTMATHQASLSRNGHFIQQMTQEASGGSAQEEAANLLPAPSAESLLDLELGGVTIEGDTALHVVASCGDDKNYRTTAKIIYWTAKRLLLAENNKGDTPLHCAVRAGNAEMVSCLIDLAKSEDNSGNSSRLKNLLNKENHCKETALHDAVRIGHMGMISNLLKFDSESAISPMDGKGTSPLYLAVFLNRMHVAELLHRTTEGNVSYSGPNGQNALHVAVLRGKGTYTVYICLFEAL >KN539978.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539978.1:65687:67143:1 gene:KN539978.1_FG002 transcript:KN539978.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLEWNKNLATKADKNGSTPLHFASSRTVTNKNWVYLHNIISVLLVPFMRNLHLKDILEANGAALYQPDDGGMFPIHVAASVGEKWAVETFVRMYPSSAGLRDKRGRTFLHVAVENKKVNVLGYACGNQSLAWILNMQDNDGNTALHLAVEAENLRMFCCLFGIRQVQLNLVNVTGQTPRDIAYNKIPAGMHNNQSTQDLVHCALIQAGAMNGSCRHDRFIEDCKAIDQQKSDGESKKEELQKLKDATQTMAIGSVLIATVTFGAIFALPGGYRADDQPNGGTPTLAARRNHT >KN539978.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539978.1:53761:56512:1 gene:KN539978.1_FG003 transcript:KN539978.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRDAVETPLAVAMHPLLLASVCSGDWRGLNYLLNRQEAQNDSSVNPSEEFLDQVKVYNSTSCCNNGKLQTLPVSGDEEQGVDRPPVLSADAALLLKGLTTEGNTALHLAATYGNLRCATIILEKDAGLLFDKVNLKRDTPLHCAARAGKSEMVFHLIDLAIDFGRSKGVHDEKIVKDLLRKENDSKETALHEAVRAGDNQMVTLLMTYDPELAIFPKEGTSPLYLSILLEKDIIAKTLYGMSQGNVLSYSGPDGQNALHVAVLRSKALWMKFGESGVGAHGSYPWNILNAYDTMIHGFSMQLTKREAQDMAGMERILTINPETSWKLVDARFFYCGYRWRWGPWTPPGCRAPNATMTTTVCARRLRCQLVGVRVQHGVRQGIQGARRVYKLCCSVTGSVLTS >KN539978.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539978.1:31961:34130:-1 gene:KN539978.1_FG004 transcript:KN539978.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLMSTDPELARIPDVGTSPMYLAVSLGRVEIAKLLHRKDGDLLSYSGPHGQNALHAAVLHGKAYHPDKNGSFPIHVAASMGRLEVIKILLSKCGISCADLRDKQGRTFLHVAVEKRRHNIVAFACREPWLAPFLNMQDYDMNTPLHLAVTVGDLKIFANLMRNQQNAQRWIHRLLSLTSVEGSMSKRDDFQKDHIPVLDEEEESKKLTDSTQVLGIGSVLIVTMTFAVAFALPGGYRGSEHAHPGTPTLSGRYAFNAFVVSNTLAFICSGLATFSLMYSGIISVDFSIRSRHFDASIILLRSSVRSVGAAFALGLYVVLAPVDEKTAVAVCVITSAALLYGSVEIVRFLAQAMALHLRLGCRVWIGLGTTMLANLLLEYWSFVMIFVLPLYLKF >KN543186.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543186.1:4593:4985:-1 gene:KN543186.1_FG001 transcript:KN543186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSPAATPFPHRKGNLYNLQYYSFWFEHGAETLERHLSWVRGLYGEMEPYVSKNPRTGYVNYRDMDLGRNEIEGNVTSYTKGKVWGEKYFRGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWSSI >AMDW01072115.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072115.1:154:294:1 gene:AMDW01072115.1_FG001 transcript:AMDW01072115.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDELRGKLNERQDQSGSCDGGGAEGDDDDKRNSVMNASSSGLVEEDY >AMDW01037192.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037192.1:30:660:-1 gene:AMDW01037192.1_FG001 transcript:AMDW01037192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPQSYAFLGLVLLLFSLHPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGSNVVLPGAKFGWNKITGLNRQCISKKSLIDP >KN543487.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543487.1:808:1965:1 gene:KN543487.1_FG001 transcript:KN543487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWVPFGEIPVLEDGDLTLYQSRAISRYIFRKYKPELLGLGEGGSLEESAMVDMWLDVEAHQHEAAVRPILQHCIVNKFEGRDRDQGVVDESVRKLEKVLEVYEARLSGSRYLAGDRINLADLSHFSNMRYFMTTEYAGVVDAYPHVKAWWEALLARPTVQKVMAGMPVPDFGFGSSGNIP >AMDW01039587.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039587.1:7:561:-1 gene:AMDW01039587.1_FG001 transcript:AMDW01039587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLILLLIFAPSASATGGASGTVPVLNPISMFCNSTAARRTYPPNSTFEANLASLVAAIVANASASGGFSAGSIGATPDTVYGLTLCRGDVTGADCAACLSSTSVDYVQQWCGRSKDVTVYRDTCQILFSDQDFVSAASNIPETAALNMNNITEPVFPGWDPNNAESVSFVTGTVYALLRETA >AMDW01040507.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040507.1:274:588:1 gene:AMDW01040507.1_FG001 transcript:AMDW01040507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VCIDVVVQRLGDTTAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQVASFASSIPR >KN539917.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539917.1:4743:5485:-1 gene:KN539917.1_FG001 transcript:KN539917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADYLLDHCDVPLRFKDMHKSKVYIVDN >KN539917.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539917.1:31252:37674:-1 gene:KN539917.1_FG002 transcript:KN539917.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase SDP6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10370) UniProtKB/Swiss-Prot;Acc:Q9SS48] MPQPAGSASASWSARTSPLGHPPDPPNSSMAEYIVANMFTVVSSCTNVIYLLNLSELYIVGWVMDFSNRDIFSGSVRYLEKAVFNLDYGQLKLVFHALKERKQVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDESGERIIGARIRDTLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHILGGYGWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVASIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAGRALPRIIEILALERKWDKARQKLELQKGKDFLETFKSSKNAQFRDGKHNGQ >KN539917.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539917.1:13680:17050:-1 gene:KN539917.1_FG003 transcript:KN539917.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGSSSPPAPPPSFRNRYWILRHGRSVPNERGIIVSSLENGRKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARVLGVPFDTPSCIPAVELRERYFGPSHELLSHEKYAEVWAVDEVDPLMAPAGGESVADVATRFSQFLSAAEMELHGYFNSNIEIWNISVFQV >KN540349.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540349.1:33623:33847:1 gene:KN540349.1_FG001 transcript:KN540349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSVLPLMVAVLMLLVVSGSARPLGDGDKWAGVATSGDHSVIQFLKHLYLQQLAHSGPSCQTNNPNIPPCHP >KN540349.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540349.1:552:3905:-1 gene:KN540349.1_FG002 transcript:KN540349.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAVRCLGLGFDMAGDLRLKFCKGGGAGCLVERSGETAPLTVPGVGVIGDVPADVRCDKGDRVRFKSDVLEFNKMSELFNQRSSVEGKIPSGQFNASFDLDSGSWAHDAPHTRCLAMDGYFISLFDLRLDHRRLALAAGVLADVPPAWDPSAIARFIEKYGTHVIVGLSMGGQDVVYVKQDKSSSLSPSEIKEHLDRLGDQLFTGTCAMPPLHCRSKDKFKIPEAFNVFDAQVAQQRLHGITTLVSSKENKNGQGFWHSQLLNLSINYEINFALLVT >KN540349.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540349.1:16729:22650:1 gene:KN540349.1_FG003 transcript:KN540349.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase DEAH13 [Source:Projected from Arabidopsis thaliana (AT1G33390) UniProtKB/Swiss-Prot;Acc:Q9C813] MEDSNALILPCKRKKKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLNVPEELSLFKKDGDQKASENSEAAEEVCLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGTSSYEIQWISKASASQRSGRAGRTGPGHCYRLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFCGESNQDNPDSEDKDRQERKRQKKLKAMLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCALNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVSPIFSRHNWQLPLHSLPIQDGTNRLQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNVNPDFLYPEIKAWIQDKFHSQFGAIWEQMHKEVVLEGDELFPKRYKKVKEVAPFSLVEAKGAIVCLFTAPEDEELSVPVKIICTSAETNTTE >KN540349.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540349.1:10951:16209:-1 gene:KN540349.1_FG004 transcript:KN540349.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSTASLLAAVACVVLAVFPPVASDPGHDKVIAPIVRFGNRNDQLVQPSAVLLPLNQMTDFFLRVSNDPELYRKIAGVLVEANGIDNMLEFSPDRKFPQQAFAPYSNLSHHWNPTGSGIMWNKYDFPVFLLSEESTQTLQNLADKNEKSANGYLANVAEFDLVMQGSVWTSLPPISNSSTKHQKPIIMVTASQDSASFFRDRSLGADSPISGLIALLTAVDALSHLHDISNLKKQLVFAVFNGEAWGYLGSRKFLQELDQGADSVNGISSLLIDQVLEIGSVGKAISQGYPLFYAHAAGNSSISMKMVDALQSASESLGSDNVKVKPAASSNPGVPPSSLMSFLGKNSSTPGLVLEDFDSQFSNRFYHSTLDGPANINSSSIAAAAALIARSLYILASADLPIDLITLNTIKVNVSLVEELIGCLLKCDPGLSCGIVKSFISPSNSCPSHYVGVFQDLPAGTQFPSYADDISRFIWNFLADRTSSLADPVWTESFWNTIGLRVYAVQATSYDWLVLLIGIIITAASYFAVIVGRSYISKIIKRD >KN540349.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540349.1:5684:8887:-1 gene:KN540349.1_FG005 transcript:KN540349.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidine dehydrogenase (NADP(+)), chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/Swiss-Prot;Acc:Q9LVI9] MKRAFDEGWGGVIAKTVSLDAEKVINVTPRYARLRADPNGSTKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITKPARISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMRQHNFSSIEDFRGASLPYFTTHTDLVHRQREAINQRKAIRKGLESDKDWTGDGFVKETESMVSN >KN540349.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540349.1:31006:31451:1 gene:KN540349.1_FG006 transcript:KN540349.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRKLVLSLIVMVMVFLVVSGTAAARPLAGHQVSPAAVSAQACWSRNFSG >KN540521.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540521.1:14688:26958:1 gene:KN540521.1_FG001 transcript:KN540521.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQPVLIRLLQPCGVTDRVSEDFVDATRALAALPSPSGEVQCRNMAEHYHELEKDLKLHDVLLLLQILPAVRQDLGWIRLYINNAADQDNKLAALHCSLPGISKQQIPHALPYSITCTSFADSSTTKCPNRTEENSFMLHLVKNSTLIINWHTLSDADNGKYSEDEDDYKRSHKYLDLDDFILEKMNDMLSVLHRTLVKRKGTLNGSQVFEENKRACLRQEPAIESSQIKDFSNLDIFTTTKHQILNTSQVPQNEAVITQQSQQYDFYARNQQQFPVNLPDFRPEALNASYVLQTGEVITIHGQSQQYHEASIVRTNHPEQVEAVQEFQPQQYHEASIVRTNHPKQVESVQEFQPRCILSTVQYDTAGNHPMFQSSLPRGLANANNDFLLNVNNTSHVPQIGTAITIDQRYPQASSIPINEAQQGEALQCLEQAWLEEVIKAPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >KN540521.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540521.1:37359:38028:1 gene:KN540521.1_FG002 transcript:KN540521.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSCLHQRYWMCRNYAYNPEKPKPVPKGKKGKAKMPVKWIYKEMTEFYKGMIQTWREHQKEREERQRQRAAKEKAERERREELDLREFARLNREKEERAKDQSSESFAL >AMDW01032574.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032574.1:1:423:1 gene:AMDW01032574.1_FG001 transcript:AMDW01032574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL69 [Source:Projected from Arabidopsis thaliana (AT5G07040) UniProtKB/Swiss-Prot;Acc:Q9FL42] PAAASSAPAAAAVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAASVLLADDDGGAPAASAVVVLGLDGPAIDALYPKFLHVGVGDDDDACAGAQCAICLGEFVAGDALRRGPGCGHRFHAECAERWLRVSATCP >AMDW01033779.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033779.1:137:501:-1 gene:AMDW01033779.1_FG001 transcript:AMDW01033779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLAALLLALSFSHGEARDVQLVKPVRRYGRWRSALQAGDGMVSTVADYSDPKPNTNPRGGVLPPTDPNSPPAH >KN542473.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542473.1:7073:8594:-1 gene:KN542473.1_FG001 transcript:KN542473.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPVPQGPWWSWGIGEQCKWWLERMEDGEKSNGGGDGGLRFSLQFDPGKLGVDRALLGLPVSALLGHLLGWSSQGASMAVAEGGGEGETAAGVAALASAFAVYLVATYASDHRRQPQQPRRPLRLRKRDLSPLNSSTRPRALPTPDDGLRILSSND >KN541930.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541930.1:11290:14994:1 gene:KN541930.1_FG001 transcript:KN541930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLVPPWMTLLVLVIMSSTSMITVAEHHRIRSNDTDLAALLAFKAQVSDPHGVLGNGWREDNASSFCQWIGVSCSRRRQRVTALVLPDMLLQGSITPHLGNLSFLTVLNLINTSLTGSIPAELGRLARLRYLYLSRNTLSGSIPSTVGNLTRLRYLTLSRNHLSGKIPSEFQKLQNLVQFSLRGNYLTGSIPDHIFNGSSSVLTYIDLGNNSLSGPIPSVISSLPMLQLLVLQFNQLSGSLPPTIFNMSRLEELSSVGNNLTGPYTFLARNQSFICPMIPMLAIGRNRFTGRIPPGLTACRKLETLDLGGNLLSGNVPEWLADLSELNVLNLGGNELTGSIPAVLSNLTMLTELDLSFCNLTGRIPTELAALTQLRFLHLGPNQLTGPFPTFVSNLTRLSILGLESNLLTGQVPETLDNLRSLHKLVIADNHLQGKLDFFASLSNCRQLQILDIGENSFSGSIRASLLANLSNNLVFFNAGENSLTGNLPATISNMTNLNIISLNDNQLSGTIPDSIVLMENLQYLSLHGNIMFGPVPTQIGTLQSIVVLYLYDNKFSGSIPNGVGNLSTLLDLRLSYNLLSSSIPASLLNLSNLIQLYISHNNFTGALPSDLRPLKAIAEMDISTNNLVGGIPKYFANLTYLTSLNLSFNNLQGQIPSGGVISNITLQSLMGNARLCGAPRLGFPACLEKSHSTRTKRLQKIVLPAVIAAFGAIVVFLYLMVGKKMKNPDITASFDIANAINHRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSFGILLLEVFTGKRPTDPMFIGGLTLRLWVSQSFPDNLIDVADEHLLLDEETRLCFDHQNTSLGSSSTSRSNSFLMSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKDYSASMLEMQRPRQY >KN541930.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541930.1:15882:16411:-1 gene:KN541930.1_FG002 transcript:KN541930.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALGCLVAFVILAVALSSCKADVPTRVQMCVSMRVSGHAK >KN539126.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539126.1:91350:93733:-1 gene:KN539126.1_FG001 transcript:KN539126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGDVWFGFSWLLNQLPKFNPVKTIPDLTTLRQYCDLADGSCRLPGIDVFVTTADPIDEPVLYTMNCVLSILAADYPVDRSACYLSDDSGALILYEALVETAKFATLWVPFCRKHCIEPRAPESYFELEAPSYTGSAPEEFKNDSRIVHLEYDEFKVRLEALSETIRKRSDVYNSMKTDQGAPNATWMANGTQWPGTWIEPIENHRKGHHAGIVKVVLDHPIRGHNLSLKDSTGNNLNFNAADVRIPMLVYVSRGKNPNYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSQALRAAICFMLDQREGDNIAFVQFPQRFDNVDPKDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHWRQDNITPEASKFGNSILLLESVSEALNQDRFATPPPVNDIFVNELEMVVSASFDKETDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGRRLQPLQRVSYLNMTIYPVTSLFILLYAISPVMWLIPDEVYIQRPFTRYVVYLLVIILMIHMIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTTADNNDKFADLYEMRWVPMLIPTMVVLVANIGAIGVAIGKTTVYMGVWTIAQKRHAAMGLLFNMWVMFLLYPFALAIMGRWAKRPIILVVLLPIIFVIVALVYVATHILLANIIPF >KN539126.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539126.1:138215:142300:-1 gene:KN539126.1_FG002 transcript:KN539126.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERQAGRENAGLRSPQQGDAVARHCPVTEEKTKATSLSGHCAIQITLNDVSATAAAARSSSPTGAKKIPQDLAAASALRQSTRGDTFFAPIGEEECAAARAAAVTETSFKVIWIAGCPDKLVAFVFSSVTGQWRANASACWGDLSPAFSRPACRSMLQRSYAYGCFYWMMGGSGKNLLVLDMCRMDFSALELPSSAPGHDIVGCAIVEEGEGKIGMFAFRNYIAGYALQIYSAKMQNEGKAAAGKWSFETAMVVPFDEFGILGATSRELFLKVPPSFARGCYSLEFSTNPSCKYLESVRRVISGVPPSLSFLYVGYPPSLSSPSI >KN539126.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539126.1:56364:62814:1 gene:KN539126.1_FG003 transcript:KN539126.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVWESVGGGRSSKQFGGGVFEGDVHHLFGEMPDCPGGDSGAVLCITVSHIFYPVTTEVLHQVYNSYGAAAVQILATSTWHVEALVSFMSSQDAERARSATHGRNIYDGCCQLDIQYAQPLLSSDVDMTPTKCSMSGSSSATTRPVAASSPVAASSLAAPEHVFPITTNPSTPSAALAAAMPSVSFTVTKEDEANMGKVEDKSEKTFRDLCVEIKDMINQMLEASRSSKGETTLGNDLTRVADVSCTINDLIPIALEASQEADGDGDDLAMEDDCVEYTTVETKLCPVLSISDQWMDHKEKASFDMYSTCCQGQYVGFLFLNLAINQRVSSFVNRVNLNPWPDPWLHPSSGSVVTYHEDPGVHMVLVNCANLQEFLIHTQPWPAAGLIQFKLPSKEDKLNNILPEQQGGCSPWEESLQIGTKVFLLLLGVEFTQYMIGVASYSLIGTLKLQGADSLSMLHSAKVDLATQEQGEQLKCEVVQDFSNLFVGYVLSAQSLLELVSSCRLVLSENENSLIQQALSWFQFKFQASYLPELQLLCMFTYNNSREAGFDLVVYLPRLRNPDNAQFNTSQNISDGVAQDAPIRSFMPTKGIHQKLGISELWLQRKQLKLELLEEQIKVLCNSTMLFFLHAIIRAWLQDHLRSSLHNAITYTSDQEKYDASTTTMQSAHARTQSSIHPPSPHYSACVRPHVRIDELAGRGNPRPPLLKRVGGPPSCYIEPTLVVARRVPQHVVLARQSPHGHVIDRMVRGHLPHGQSLDRFLPRSLTMSSSPFFSLSPPPSSSSRGSSSGRWCSVGVAEEDEDDAAVSAMTLPLPPLQKRVLSRSHGSKAKPPAGSIDLPPARASLVAVSEHRRVTPRLSREHRRHLDVGRVPEDGVLPPATTSASLVLPPPPRLPLLVHAVGVVVSRAAELAVAGDREDGRRRAAGAESHHPGGSREGGGSTTHVVASAACA >KN539126.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539126.1:99205:101592:-1 gene:KN539126.1_FG004 transcript:KN539126.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKRKICSYFSFLILSIFHLYTSTSALTDTISRVQSLSGSTTVVSKEGNFELGFFSPGNTGNLYVGIWFRTTSKKAVIWVANRDNPVTSATSPELKISEDGNLVLLNKFGEPKWSSNGTWNKPRKSIVAVLLDNGNLILRDQGNSSDVIWQSFDHPTDTILSGQGFGIDKITGEYQDRVSWKDPEDPAPGPFSNHVDLIRLNQYVSLWNQSKVYWQSGNWTGQAFTSIPGMPLNTEYNYVFINNSHQLKFIYTTKDVSIITRIVLTVNGQLQRHTWSNKSEEWIVQWSLPAALCDVYSVCGPFGVCKTGFDEKCYCLPGFRPVSSRSWDLGAWNQGCVRKTDISCVDSNKHNGQQEKHAFLKIANIKVPGNPMQLNVQSEEECRSICLNNCICTAYAHQHECIVWNSELRDLKQLSDGNVDAIDIYVRLAASDLQVQYNEHKTHHMRLIAVLGSTFVALCVFGAIIWTFRKRNATQKAFSNDDSLILYSYSFLQHCTKNFSDKLGQGSFGSVYKGSLPNSKMIAVKKLQGMRQGEKQFQTEVRALGRIHHTNLVCLKGFCLRGAERLLVYEFMVNGSLDCHLFNNVKVLDWYTRFQVILGIAKGLHYLHEECHECIIHCDIKPENVLVDADFSPKVADFGLAKLMDRNFSRALTTMRGTIGYLAPEWIAGLPITSKADVYSYGMMLFEIISGKRNTELMESKTIKYFPVWAAVRISNGDISEVFDHRMHDTNVQELERACKVACWCIQDNEAHRPTMQQIVHILQGTRDVGLPPIPAFLQNLVEEYDPASYS >KN539126.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539126.1:114153:115489:1 gene:KN539126.1_FG005 transcript:KN539126.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEMISYPQQVVVACSGVIWARWGMVITPINWNLSSVNAAMAVTGVCQLSRKIRHDYFSDEKSATASLEG >KN539126.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539126.1:20515:22891:1 gene:KN539126.1_FG006 transcript:KN539126.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVGDVWFGFSWVLNQLPKLSPIKRVPDIAALADRHSGGLPGVDVFVTTVDPVDEPILYTVNTILSILAADYPMDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRSPENYFAMKTQAYKGGVPGELMSDHRRVRREYEEFKVRIDSLSSTIRQRSDVYNAKHAGENATWMADGTHWPGTWFEPADNHQRGKHAGIVQVLLNHPSCKPRLGLAASAENPVDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVINFDGDHYVNNSQAFRAPMCFMLDGRGRGGENTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGVEPPRWGAAASQIKAMDIANKFGSSTSFVGTMLEGANQERSITPLAVLDESVAGDLAALTACAYEDGTSWGRDVGWVYNIATEDVVTGFRMHRQGWRSVYASVEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQRPFGEYLLYLVAVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLVTGKGIYFRLTSKQTAASSGDKFADLYTVRWVPLLIPTIVIMVVNVAAVGVAVGKAAAWGPLSEPGWLAVLGMVFNVWILVLLYPFALGVMGQWGKRPAVLFVAMAMAVAAVAAMYVAFGAPYQAELSGGAASLGKAAASLTGPSG >KN539126.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539126.1:103891:106365:-1 gene:KN539126.1_FG007 transcript:KN539126.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFFLLLFSQIFLCTAVDTINSTAPLSGTQKIVSKGGRFALGFYTPPQGNNTASGTGNYYIAIWYNNIPLQTTVWTANSDVPVSDPTTASLSIGSDGNLVLLDQSKNRQLWSTNVSVASNSTVAVIQDGGSLDLMDATNSSIVYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLVPWRNNANPSPGLFSLELDPNGTTQYFIQWNDSITYWTSGPWNGNIFSLVPEMTAGYNYNFRFINNVSESYFIYSMKDDSIISRFTIDVNGQIKQWTWVPASENWILFWSQPRTQCEVYGLCGAYGSCNLNVLPFCNCIKGFSQKFQSDWDLQDFTGGCKRNVPLQCQTNSSSAQTQPDKFYSMVSVRLPDNAQSAVAASSQACQVACLNNCSCNAYTYNSSGCFVWHGDLINLQDQYNGNGGGTLFLRLAASELPDSKNSKKVIIGAVVGGVAAALIILAIVLFIVFQKCRRDRTLRISKTTGGALIAFRYSDLQHVTSNFSEKLGGGAFGTVFKGKLPDSTAIAVKRLDGLSQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYEYMPKGSLELQLFHGETTALNWAIRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITPKADVFSYGMMLFELISGRRNADLGEEGKSSFFPTLAVNKLQEGDVQTLLDPRLNGDASADELTKACKVACWCIQDDENARPTMGQVVQILEGFLDVNMPPVPRSLKVLNESPDVINFFSDVSSSQTSQMHNSTASSQTKSSTSGGSQFQSS >KN539126.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539126.1:72634:75060:1 gene:KN539126.1_FG008 transcript:KN539126.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGDVWFGVSWLLSQLPKLSPIKCVPDLAALADRQQHGSSGAGELPGVDVFVTTVDPVDEPILYTVNSILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPESYFAMKTQAYKGGVPGDLMSDHRRVRREYGEFKVRIDSLSSTIRQRSDVYNAKHAGENATWMADGTHWAGTWFEPAENHRRGQHPGIVQVLQNHPTSKPRFGVAASVDNPLDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDKHGGGDDVAFVQFPQRFDGVDPTDRYANHNRVFLDGTMLSLNGIQGPSCLGTGTMFRRVALYGVEPPRWGTAASQIKAMDIADKFGSSASFVSTMLDGANQERSITPPVAIDGSVARDLAAVTACGYDLGTSWGRDAGWVYDIATEDVATGFRMHRQGWRSVYTSMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQRPFGESLLYLVAVIAMIHVIGMFEVKWAGITLLDWCRNEQLYMIGSTGVYPTAVLYMALKLFTGKGIHFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGPLSEQGRFAVLGMMFNVWILVLLYPFVLGIMGQWGKRPAVLFVAMAMAVAAVAAMYVAFGAPYQAELSGVAASLGKAAAASLTGPSG >KN539126.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539126.1:1594:2810:-1 gene:KN539126.1_FG009 transcript:KN539126.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVWPIVSAIVNMYKVVQSQFSNAPDPKPNFRKEDRWKKDDADSQDSHKEPGKEKHEEKKKTTGHSNGESSGSKKHKGNECMDNEGFSDEEGGKVEIPDYIPDLSDCLEDNSMRGTVEVRSDILELEGALGLSEQELMSVEVPSERNEESGGKLSAIMQLRVEKDLQQDAEGVEPLGVGGENKFNNHDKLPIGSQPTVVDMECEGVCDTIKSDVAAMQDLNPSQTQVEGREHEEECGRTMSTAADTQQLRPLQDQMEEDIRALEEITGRDAEQDGFTISASKKKKKQQM >KN539126.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539126.1:125003:128308:-1 gene:KN539126.1_FG010 transcript:KN539126.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREEEEASTAARSRQESEGEGEEVMAVLDFDMLCASVALAAERRKDSGAAAAAASAATVEAGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQGTDSSLDDCVLHLICPCCTLCQFLALNEQEARTLEINNVQCGVWHGRGDTICLGNNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >KN539126.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539126.1:83880:87666:-1 gene:KN539126.1_FG011 transcript:KN539126.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELATASTMSAAAVTRRINAGGLRVEVTNGNGAAGVYAAAAAAPCSPAAKRVNDGGGKDDVFLILVRLIAIVAFFAWRVRHKNRDGAWLWTMSMAGDVWFGFSWVLNQLPKLSPIKRVPDLAALADRHSGELPGVDVFVTTVDPVDEPILYTVNSILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPESYFAMKTQAYRGGVAGELMSDRRRVRREYEEFKVRIDSLFSTIRKRSDAYNRAKNGKDDGENATWMADGTHWPGTWFEPAENHRKGQHAGIVQVLLNHPTSKPRFGVAASVDKPLDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDRRGGGDDVAFVQFPQRFDDVDPTDRYANHNRVFFDGTTLSLNGLQGPSYLGTGTMFRRAALYGLEPPRWGAAGSQIKAMDNANKFGASSTLVSSMLDGANQERSITPSVAIDGSVARDLAAVTACGYDLGTSWGRDAGWVYDIATEDVATGFRMHRQGWRSVYTSMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRIAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQQPFGEYLLYLVAIIAMIHVIGMFEVKWSGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLFTGKGIHFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGLLTEQGRFAVLGMVFNVWILALLYPFALGIMGQWGKRPAVLFVATVMAVAAVAIMYVALGAPYQAELSGVAASLGKAASLTGPSG >KN544609.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544609.1:2839:3051:1 gene:KN544609.1_FG001 transcript:KN544609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDKDELLDALGTEHAEPSRERGGTGGARIAASVREADAPERARLRCEDAGDGIHDIVPEDLGDAAAAA >AMDW01032338.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032338.1:4:263:1 gene:AMDW01032338.1_FG001 transcript:AMDW01032338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREVFPALSVHSVLS >KN538965.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538965.1:74218:75723:-1 gene:KN538965.1_FG001 transcript:KN538965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLLMAARHGDIETLKRLLAVNTAQPPPQVVLQVDRPAAAAPSAAANTLLEGVTSEGDSALQVVAAAAVAACGDDDDVFLDCAGVIYGAARHLIRARNSNGDTPLHRASRAGSVNMVRRLIAMAKDEAGGGGERRRQRAAVELVLRAQNKRGETALHEAIRSNSRDLVVDELLSHDAELARVPGEGGGTSPLYLAISLRRFEVAKKLHERDEQLSYSGPQGRNALHVAVLIGKGLKSE >KN538965.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538965.1:149159:152089:1 gene:KN538965.1_FG002 transcript:KN538965.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALPPGLVATAPANLTYLNIAGNNFTGDVSGYDFGGCANLTVLDWSYNGLSSTRLPPGLINCRRLETLEMSGNKLLSGALPTFLVGFSSLRRLALAGNEFTGVIPVELGQLCGRIVELDLSSNRLVGALPASFAKCKSLEVLDLGGNQLAGDFVASVVSTIASLRELRLSFNNITGVNPLPVLAAGCPLLEVIDLGSNELDGEIMPDLCSSLPSLRKLLLPNNYLNGTVPPSLGDCANLESIDLSFNLLVGKIPTEIIRLPKIVDLVMWANGLSGEIPDVLCSNGTTLETLVISYNNFTGSIPRSITKCVNLIWVSLSGNRLTGSVPGGFGKLQKLAILQLNKNLLSGHVPAELGSCNNLIWLDLNSNSFTGTIPPQLAGQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFTNNGSMIFLDLSYNGLTGAIPGSLGNMMYLQVLNLGHNELNGTIPDAFQNLKSIGALDLSNNQLSGGIPPGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPPSRYDNNNGLCGIPLPPCGHNPPWGGRPRGSPDGKRKVIGASILVGVALSVLILLLLLVTLCKLRMNQKTEEVRTGYVESLPTSGTSSWKLSGVREPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGSVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKANVKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTDRKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDILDGFSINSSTIDESGEKSM >KN538965.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538965.1:41964:49202:1 gene:KN538965.1_FG003 transcript:KN538965.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEYQTMPSSAHDKRYSGLSNGGDKEQLPSQGVCVTLSCLTVGKSEVPLTTRFDGNAMQVDGLLKNVSPTTKIVVKPNEELKSPFLVKRHLFLKRPDPIILDELYSLTIKVTDEESRQSVWVSGNLPINLKLVDIQETIKPEGVMGINCMDLAVRIMARQDAEIFKNTKCLGWRHYVDSNWKQYINDPNNLRNEYSRLSTMYDPSGSHLVLIPVSSDGHWTLYAFNMHDKKLCILDSRRDTSEGGDQDPVKRHEKIRKEVCHALNETMDVDFNFLSWKHEFPKVPRQQNSCDCGFFVFNFMRLWDGHRLIRWFSTETKELRKNFLAYILSSLDDHSVLPTNVSELIKKLPGETMMNVELLEAARAGNANAFCELVIDPARSINHEPFRSASCSCLLFRSTSSGSYCLCFECTSSRNSDQEKSPNKHNALTRSDAPSTFTRDTRDMLHPIQGATVEGDGVLHVAASFGVLEPVKTVLEAQNGAFATALLQAENNKGDRPLHCAATAGLGVPSQSRDVPLPLVQIVDNEGTSPLYLATTLRRDSIVKVLTETASGMPRAASYCGPAGKTALHAAVLFSEELSRTLVNWNHSLIKIRDESGSTPLHYLADGKYTTEPSCISVTELLLKKDPSSGYCEDSEGSLPIHIAAANGTLGIIVQLIKLCPGCESSCNASGQTILHIAVQTESHDVVRFVCSNEMFKMVLNMKDYDGNTALHLAVQKGHNKTFGILMGCKNVSLSIRNRNGYTPLDHAVLNKTNGLTYATYWPGHQRWVCNSLLAAGADFGTFRADHLSSKIPEQAKADREAFSDTLSKSAAVMATCAALLFNAALNIFLNVQAIYHNNNTSSSNNNNATQGSDQLKQIQKVKKLSGDSLSISACAILLFAIAGFPILPGVIGRTFALILGLGVLIGSSMVSLQALAARLDLAKVYGTGIGAFCIIFSLLCVTLCTNLLRKIVQHARPLWARCGARGFFRSILNVRRAQNYSAIPLLQIR >KN538965.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538965.1:86414:88713:1 gene:KN538965.1_FG004 transcript:KN538965.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIVYWKASTSHTLFTLHSETVAHEIIDLDADDDPDGVMIICEKASSHKNNHSVSHPSDWPKHPKSGLAEDVPGPSHQHGTSTVLPWGCTTPGRPRKHKEIKTVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKLSKDWVRRIQHEWKVLEKDLPGRALCSFMLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASSNTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFEELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >KN538965.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538965.1:119480:122949:-1 gene:KN538965.1_FG005 transcript:KN538965.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKAGKPYTPRHDPSHGRLRICQATLGSCDSVTRTIVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDECSSLTLLRESYGEDVGESDTDEEFNASDDSYESDFIDDGDVEVSEDKCRSDSVDDGDACSTPDHHKKKDKVQKRRRLKKKHPADSSDDNNDDSSHKPVVRRKAYSMFDSCSEDEDNMSVPVSLAKKENTKDVDETKYPNGELNDDTTKKSNGAKKRKGDAISQDHAPLMDLTNADEPLVSKEGRTKKKSKKKGGKQLDVGDGKHSNKIRTLEDGLIVEDLSTGNLDAEVASNGSKVSIKYVGTLQDGKIVESNVGEKPYKFKLGAGKVIRGWDVGICGMRVGDKRKLTVPPAMCHGSKAVGEVPKNSSIIYEIELVKLKMFISAEGDETFCTTKSFSLYRSGDFYDTLLAAYDL >KN538965.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538965.1:11612:15043:-1 gene:KN538965.1_FG006 transcript:KN538965.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVAKEVLGMQEGWRKEAVREMNRTGRYSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCEDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSEKEVWKVVDEVLGTNPRQLSEIYMLKQNADSTGVLHDQNIEEIIDIYLAHLQVTVVNPAMEAALGMVQKFASDVREGKVPENRLSFGAPWRHPPRGDNPDASYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEIFDDPAALAMSEACSAEVTIELSRINSILMATCDTWAKLSTLDERSRLQVEISMEQDRSPSSILALHMQLT >KN538965.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538965.1:156968:158496:-1 gene:KN538965.1_FG007 transcript:KN538965.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLRKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHVYKSQRPRYEETARAWTQKYAMG >KN538965.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538965.1:108448:118558:1 gene:KN538965.1_FG008 transcript:KN538965.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGVLAVGLAYAIGDLRCSSDGREVISQCWKCEINQDQPLGNSLRIGHSQGSLQRHGSRNLLVAAAAISIEQAEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMFNLVHKAQSRDNSYVTALDEVFNKHMAAAKDLLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAITKSGVPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIIATGFIASTAENIPTTLKRDGSDFSASIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKENIPIVIRNMFNLSAPGTTICKQPANENADLDACVKSFATIDKLALVNVEGTGMAGVPGTASAIFSAAKDVGANVIMISQASSEHSVCFAVPEKEVAAVSTALHVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAVHSRFFLSKTTLAVGIIGPGLIGGTLLDQLKDQAAVLKENMNIDLRVIGISGSRTMHLSDIGVDLNQWKELLRKEAELADLDSFVRHLSENHVFPNKVLVDCTADTYVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEEPDPRDDLSGTDVARKSSIYVEGKDVPFEPFSKLGIIKMTYKSEYHFTIFPFSFPSSFALAGHFCLEQVIILARESGLRLELSDIPVKSLVPEALRSCSSADEFMQKLPSFDQDWDRQRDEAEAAGEVLRYVGVVDVANRKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >KN538965.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538965.1:51541:52044:1 gene:KN538965.1_FG009 transcript:KN538965.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMSLVGAAMLALLYVVASFQGTSGAASGGPPSSGGARIPTSGGSPGGSVRGNSEGPSTVTRIAKFL >KN538965.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538965.1:2463:4692:-1 gene:KN538965.1_FG010 transcript:KN538965.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGVVICSGGDEVKDDTRFAATAAMRGTAPTPIAAVGRRGMAPASLADAFAEDKDAGNAQANAAVMCDEPEETKTSIEPGAWYPPMYESLPCPPTGYDEPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTEFHVSTFVKSGVDPSKVVKVVQAVDVGFFDPAKHAAIPLPIGVPVMVPDDSRLDLVNSKGKGFVFLSVFKWEQRKGWDVLLGAFLQEFSGADDVVLYLLINAYHSDTDFDRKIRSFVKDSSMEKPMDGWAEVRLINEHIPQSALPRLYKAADAFVLPSRGEGWGRPVVEAMSMELPVIVTNWSGPTEYLNEENGYPLDIDRLTEVTEGPFKGHLCAEPSVDRLRTLMRHVFSDREEARRKGKKAREDMVERFSPAIVATIVADKIQQALAST >KN538965.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538965.1:134903:135190:-1 gene:KN538965.1_FG011 transcript:KN538965.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPWKQLYITTNKEEENDDDMTFDTHVVVAATIARETLDNEVNNDHGHSYKVNGSPQKPDSNKGPPSEEEDDDDATDEGKGDNRSDRYGGRGG >KN538965.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538965.1:61369:61746:-1 gene:KN538965.1_FG012 transcript:KN538965.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSLMYAGYTPLDFAFRERCVKLSMGLLHSSVRSVGAAFLTATYVMLARVAPKLVVAVGAAAAVGLVYINFEVWMLGWMTLALLSRGDILAALIVGLQTVAVACWFSWPFAVIFVLPLILKGQ >KN540286.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540286.1:46842:54717:1 gene:KN540286.1_FG001 transcript:KN540286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLLLIVSFLSFHPIQSTQESHSHVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGVWPESQSFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESENVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAESISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTVRFSPSRTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPISGGALLIERCAVQLRVGVEEASWGISARHGKPSQDKQLATDRE >KN540286.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540286.1:30781:36483:-1 gene:KN540286.1_FG002 transcript:KN540286.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLADGSVRTYFALPPDYPFDPTPLPQLPHLPRGAGHEVWPPHHPPPPQQQQQLQLAQHDAKRKHLAEHDEGFHSRHPKQPRFEAAAPSQQQQLPPHAAVDRHVLRRAFLKYAKMLNESAVQRRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYNPPNADSFIDHLGLHKALCVLMGWDYTKVPENSKAYQSLLPDLVQASREDLIIWPPTVIIHNTATGRKKDGRAEGLGNKEMDKKISELGFAGGKSKSLYGKEGHLGLTLIKFANSPAGLKEAERLADFLERQDHGRIGWLRARANQSVGSDNSPLLVETDNRTGEKRRILYGYLAISSDMDELDSDSRKRASLKSKREFDPSD >KN540286.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540286.1:19268:20152:-1 gene:KN540286.1_FG003 transcript:KN540286.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFEFVEELGIQLNTGIFNALINAFLSVGDLLAATTLYEAMEDIEDCKPNSATYDAFISAFSRLGSGHAMMSWYLASKDAGFTPSIKAFEYLITGFVKLDRLDDAEVVFEEMICFEIKPNFAILEAKLELLSRRKDPNRVKVFLELVSDGNQELNEATVERLTRLCLYEDKIGELDQLLSLVQGMHTSSLTKLHCGIIRFYANADRLSDMEHAIFRMLDNGMVFAHSEDVEAVICSYFRHKDFDRLDLFLNRIRSLYKLTRSTYDILISGYQRLNLHGRLDLAIKDMREAGFA >KN540286.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540286.1:1540:2100:-1 gene:KN540286.1_FG004 transcript:KN540286.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVISKQRSHQQQSSDRRRKPSSHFASPQSMRGFNAVNCRAFHSSVSIGILPSPPPPPARTYSSPEPKTPKAQPHHGKKRSRAISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLELPPAGRSDDVVVPLHAKSAPSSPTAGSSVSFFSDSDTAIATENLRRILHLKIADH >KN540286.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540286.1:15007:15207:1 gene:KN540286.1_FG005 transcript:KN540286.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKWRSRLPEASCPAMAVAGYVNGQLVVTVPKGRGGGEGDSDGGEEAWRCCNGGKISGRLVVVQ >KN540286.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540286.1:38769:45650:-1 gene:KN540286.1_FG006 transcript:KN540286.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRNLIDRVKNHASPTINLAFYVHYNMQICIIGYNRANNGFHRGWICIHVQWLPAFMSIDDVPSNWDLGGCYETSDAKSFSGIWVATGKDGLMMATVHSTQFMKNEYTFFAGGFLWPVAALQEQTERMQIKVIHEVQVMPRLIYPQFWLGLLFKRACSSSSPDSIKAPSVSKNQPSNHFLIADISACCFLFLVFWVRQRKLRVFFRNWVLWVTAGLKGIHTLTGFLPMQQNQSPSERKGKDDSLLPSNPKEVEELRRESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITSDLREGLTIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPMLIQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEEDPSISLVSGTEV >KN540286.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540286.1:3962:9989:-1 gene:KN540286.1_FG007 transcript:KN540286.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASNSECVGDLDGEQIRKAKLISLGRGDRFAAVRDDEHTADALSRRYRDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEMEQVAQCILLDFPVANIAVLVQRIAELVTDHMGGPVKDANDMLTRWLEKSTELRTSLHTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGDDDDAINIIKMNEREFLVDLMAAPGTLIPSDVLSWKGNSLNSNARLTQNPLAGSSSTTDSNLSANALPPGHKGGQLPLFSSGDWISASQSGYEKDGATTSSQASSSGTTSVTAGSAFDSSWTLVSHGQSDDPSTSADLQGNSESINLFADLNPFGGREPKRTSVPLNGPDNRNNELQRRRENVVPSTRRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNIGDNAASSSQVPRPSAKNTNLNVGVRTDTPYMAAHNYDNSMAGSSAMKMTSTAGIGKVPDKVLYGDLDKGLTNSRLGDQPPIERHKWGNSVEGRIPTGTVQNQAKEHKENFDGKQDNKKLHPDPKKSPLDRFMDTSMPSRNPESVSPSFARSHKLDTMFDDVSECEIHWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDEKRRIKMALDVAKGMNCLHISVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDKRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHQESQSPPVPQEIWVNSSTP >KN540286.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540286.1:21509:21922:1 gene:KN540286.1_FG008 transcript:KN540286.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQQPRRPSEKAAGGGGGGEQGIRYGDVFPVTGSLAAKPIAPRDAATMQSAENLVLGKTVKGGPAAAMESAASRNEEMGVVGHDQATDAATEQGVNVSDTLVPGGGRIVTEFVAGQVTHFVVDQRLSETPTVRAA >AMDW01037714.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037714.1:47:331:1 gene:AMDW01037714.1_FG001 transcript:AMDW01037714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >AMDW01034699.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034699.1:77:233:-1 gene:AMDW01034699.1_FG001 transcript:AMDW01034699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QDEADPLGNVPKSSSNEESMKSTTNKDDSGSSKTPGSNTGDAPSTDKADAEE >KN540242.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540242.1:6351:12025:1 gene:KN540242.1_FG001 transcript:KN540242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGGDTWLAVTGEARRIYNGRSPSDNDHQVDARNWRGSRSDGRRHHGPLLRSKDTTIGNAPWSCRSTAAAKPFHGATQCVPRGPSESIPGSSVAAPHQWDRCRQGRGMGRMSVDRSPGSGGGPHRRVPGGDTVEGQFPCVAALQDEQQCREPKRMLRGKTALAWSQWRRRGATQRWNWELLFMTACSKPRRSMRGRCVLCKSAVNLLFVLFMGYSHHTIQSRQSALVLSVGKQYGGAVQMSNAMQRSSAYRVSSVCHIWVDPERTALEEGGELIGGALGEEFERLADDREAPRPRRQPPPICFRWATGVPFHKDQRNQQAVANTAMPAVTSAA >AMDW01027159.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027159.1:24:367:-1 gene:AMDW01027159.1_FG001 transcript:AMDW01027159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFAALGFSPSIAMNPAEFLLDLATGNLDGISSPASLLLPSAAAASPDSPEFRSHVIKARHRAAGEEEAAREGGGGGGAGRDEAAKQLRMA >KN540242.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540242.1:30673:33876:-1 gene:KN540242.1_FG002 transcript:KN540242.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPYNDAS >KN540242.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540242.1:22706:25995:-1 gene:KN540242.1_FG003 transcript:KN540242.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSSNETMFGFCHWKGVKCSSHAHPGRVTALRMRDLGLVGAISLQLSNLTYLQTLDLSNNKLQGEIPRNLGSCVALRAINLSVNSLSGQIPWSIGNLPKLTVLNIRDNKISGNIPASLGNLTALTMLSIADNCVNGRIPPWIGNMTNLTDLNIAGNVFHGYVPSNIAGLTNLLALSLSGNKLQGVFPPELFNITSLEIMYIGLNMLSGSLPMDIGSKLPNLVVLSTIYNQFEGSILDSLSNISKLEYLQLHGNKFHGRIPPNIGSSGTITRLNLGNNILEAKTPNDWDFLTSLTNCSELVTLDLQLNSLSGFIPSTLVNLSQELIWIGLGGNQIFGTIPAGIGRFRQLTVLELAGNFFTGNIPLDIGQLSSLHRLLLYGNNLSGEIPPSVGNLTQLNELLLFQNNLDNKIPETLGNLSSLNSMDLSYNMLSGKIPEVLMRMPSLTKQLNLSNNLLGGPISPQIQELVNLGAIDLSGNKLSGQIPYTLGSCVELQFLFLQANHLQGKIPSELSTLRGLEGLDLSNNNLSGPIPDFLRNFQGLKHLNLSLNNLSGPVPTKGIFCNPTAVSLSSNDMLCGGPLYFGFPECPSSFAHNSHPKHQVSLILIICVVGGFTIFACLVTFYFIKDQRTIPKDIDHEEHITSLLRKKYPRISYAELYAATDSLSSENLIGRGSFGYVYKGNLTSGVNSATVAVKVLDLRQKGATQGFFAECDALRRIQHRKLVKVVTVCDSLDHNEYGMGAEISTRGDVYSYGVLVLQMLTGKEPTDAIFDGTTSLPNYVEMAYPDKLSPIVDAAIIANSGGGQETINMFIAPVAKIGLACCRDNASQRMNFGEIVKELVPLNKLCQDYFLTQGASSGNTSDIGITL >KN540242.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540242.1:16053:16556:1 gene:KN540242.1_FG004 transcript:KN540242.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGVIIPGHAAKFPVGGSNSFSSQIIITYQTGCLTRITSRVVGYIEAMGFLPSNSALGPLISSPPKPASSNRPHQRRCPSEYWLPTRPFPSNSRTYMTTNSTNCLAGLGRRVTLHPRDTRETPCCCTRVPRELGPRCVGFIDKFIKLLDSKSESLVCVSLRVVSF >KN540242.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540242.1:41899:42438:-1 gene:KN540242.1_FG005 transcript:KN540242.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFFKVIPVRPVLRDQCEITMRLYLHQVVTGPNHNQETMVPSSHPASFGMIVINDWPIYDGPDFNTSTIVAHARGMHVQVDQVNNTWYTSMNIEFVDASIHDSWINYFTILVASCASIIVDSCGA >KN540574.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540574.1:6474:18228:1 gene:KN540574.1_FG001 transcript:KN540574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFAVLVLATTTLHGVVGQKAGFVSIDCGLEISTSGYTAEDTGVVYAPDGPYVDTGENHRLLPGEEGQRERRYLTVRSFPSGVRNCYSLPTVAGAKYPEDPYDRRWLRMQLDRTWKNLSTASTIKDTSLDYAVPLPVMQTAAEAVSNETSLTIPGEYKAPMDQLEVFLHFADFQNSQLRQFSISFNKKASVQMRPSYLATDTLHSTYKATGGVCTMTLTSTSESTLRPMLNAFEVYSVIPRDNPMTFPRDFDTIMAIKIEYGIKKNWMGDPCFPTEFAWNGVKCSNVSGNNTARIISFFDSDGDGCNKTINPSPSRNKSKRAAIAISIVVLVMAIAILILVYLVWRQRRKPNNDPTREPEHESASASINNHGDVLQKVESRQFTYKELEKLTNHFEQFIGQGGFGPVYYGCLEDGTEIVVKMRSDSSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCCEKDHLALVYEYMARGSLGDHLRGNNAVGEGLNWRTRVRVVVEAAQGLDYLHKGCSLPIIHRDVKASNILLNQNLQAKIADFGLSKSYLSETQTHISVTPAGTAGYMDPELTESSDVYSFGVVLLEIATGESPILPELGHIVHRVKNKIATGNISLVADTRLRGSYEVSSMWKVVDTALLCTTDIGTQRPTMAAVVALLKESLALEETRKDSAFSGTTGTTSHSMASSSNFGPLAR >KN540574.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540574.1:42762:43861:1 gene:KN540574.1_FG002 transcript:KN540574.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFQQVSMALLLLFVALLSAALQAVGFLSIDCGREANFSDYTDPKTGIVYVPDGQYVDSGENHRITNEKAKTKSTDLQTVRSFPPSGLRNCYTLPTRKGAKYLVRLIFVYGNYDGEDNASILKFDLHLGAHFWTTVENPSDRVYEAIFMAWARWAPVCLINTGSGTPFVNTVELRPLGDALYPTVMANQSMSKRVRCSLGETKSYITRLRKTFYLSYI >KN540574.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540574.1:29323:37000:1 gene:KN540574.1_FG003 transcript:KN540574.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVCFAVFLLLAAPRGAAGFLSIDCGLDSSSSGYTDTYGIFYVPDGSYVDTGENHMVAADLESKVERPYRTLRSFPSGDRNCYSLPTVAGAKYLVRVIAFYGNYDGKNSSSALQFDLYTDDLYDRYWWPMPSNPTWKNISTASPIDLASNYAVPSPVIQTAIEAVSTNTTLTFTWKDQGSNGYEYKVYMHFADFQNSQLRQFNISFNTLRDDQYSPPYLAPFVVSNNGWYRSNDGEYNITLLATTASKLPPMINAIELYIRISHVNPRTLPRDFDAIMAIKFEYGIKKNWMGDPCFPVELGWDGVRCSNASGNTTKIIALDLSNSNLHGPISNNFTLFMALEYLNLSGNQLSGPIPNALCQNSKGSFNFSLDYNIDQCNPLPPPTKKGNKAVIIAISVVVPVIAIGALVLVYLIWRWKTKSNVSSANPPREPELEIAPATRKYDGDALQKVENRRFTYKELEKLTNKFEKFIGQGGFGLVYYGRLEDGTEVAVKMRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCREKDNLALVYEYMARGSLYDHLRGNNDVRETLNWRTRLRVVVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSDTQTHISVTPAGSTGYMDPEYYHTGRLTESSDVYSFGVVLLEIVTGESPILPGQGHIIQLVKKKIAAGNISLVADARLGGAYDVSSMWKVVDTALSCTTDIGAERPTMAAVVVQLKESLALEEARGDSGFRGSISTIDLYGLK >KN539087.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539087.1:113064:131276:1 gene:KN539087.1_FG001 transcript:KN539087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPLTCVGLDSEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTVSNTLLQSCYLRNTEWACGVAVYTASACNSFACTWNAKRFLLILKDTIYVANVKQIAIQVYPNLIYKAKTEVEFTKGLIIINFNFSGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVVVLGSAGNVWKDTEARKQWYVKYDDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANNSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNTAGQRIKTFVDAVDKYAQLGLRTLCLGWRELESEEYLEWSRSFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTVDEVARSLERVLLTMRITTSEPKELAFVVDGWALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGIRKLEIWVFRKVEIIFLRERLYYSTNSSSSCLIVAPKDGLCFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITVHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLAIWGNFIAFYIINFFISSIPSAGMYTIMFRLCRQPTYWVTLLLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLICP >KN539087.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539087.1:10641:10907:1 gene:KN539087.1_FG002 transcript:KN539087.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSCTSSLGSLDDDDVVAACVVKAADAAVEGACVKFLCSYGGRIVPRHADGALRYVGGDNRVVSVDRSLPFHGTPPTCS >KN539087.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539087.1:83979:84595:1 gene:KN539087.1_FG003 transcript:KN539087.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDMRVHNKDEGGTAIDEEPIEDQGGESEEGDDEDGEEVVSWQKTRAGRVPKVAGGGVAGGCRRLEGLEADECSRRSGSSPTTAHHRRVISAATTTTTTYYCDDVGGGINVGGGSIVRVGSWREEDKRRRSSGSRVK >KN539087.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539087.1:39340:41945:1 gene:KN539087.1_FG004 transcript:KN539087.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein kinase S.2 [Source:Projected from Arabidopsis thaliana (AT2G32800) UniProtKB/Swiss-Prot;Acc:O48837] MSLRRLCFVLPMDADEVVVVAGEEQPRRRGMAASGRLASYVRGKVGRALRCGLCGAWCHHRSSGVCSFEDIAGVDAVGAGKLGGGAGGSPRIFSYSELYIGTSGFSDTEILGSGGFGRVYRAVLPSDGTTLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAMSGEDAPPPPPQLEVSPSPHSVRSSSFASANYQFRLMDTSRIGGTIGYLPPESFQRRAMATAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWVRRLSDEGKLLDAGDRKLPDGSYPLFDMGRLIHLGLLCSLHDPRSRPSMKWVVENLSGSCSGDLPPLPSFLALPKYVSLTSPSDSGTTTNATDSTRLGMKTCPALRVRFANELRNLAKLQHRNLVQLRGWCTEHGEMLVVYDYSPGNLLSHHLLRRDGAGAGAVLPWRHRYSIVKALASAVLYLHEEWDEQYMETGEATAMADVYSFGVVVLEVVTGEMAVDVRSPEVLLVRRARRWKEQSRPVEAIVDRRLDGQVDRPELERLVRLGMACTQSDPAARPTMRKIVSIMDGNDEILKKFEQRKQQSKEEWETTNAAALSLVRRLHALAIH >KN539087.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539087.1:29974:30747:1 gene:KN539087.1_FG005 transcript:KN539087.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSCTSSLIGSLDDDVVVACVVKAADAAVEGACVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHGTPPTAQLQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYDAASRDRLQPLKIRAFLFPRTTATATTPPPPPPPPSRAVPHALYHHQAGSAPSCASRWAAHQMSSPPARVLHQQQHHNRHGGEARPHRYLVQSASHW >KN539087.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539087.1:86945:89232:1 gene:KN539087.1_FG006 transcript:KN539087.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGGGGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPPEWTDQFASGIQACTAVHLDLLHARLGL >AMDW01032495.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032495.1:81:410:1 gene:AMDW01032495.1_FG001 transcript:AMDW01032495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVKRKLIVDKSPTHHLLHSPPSPITLLRRRGLPSELESSEGSSTSLRSDAAYTATTEEDVQAVARAVIRIALKFPEAICQLVRRLTPGNVAAALDWDLLDSDHLQK >KN539937.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539937.1:63195:66693:1 gene:KN539937.1_FG001 transcript:KN539937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRRSLEPTALSKEIAGPASASLRYDAFALTGVRIDEAEHGRLLCSFVVTPRIASPAGYLLSGVTATLADQLGSGVFLSSGVGTSGVSLELNLSYVDVASIGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQARHTKSIPIGDEGISQKMGHCN >KN539937.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539937.1:56391:58257:1 gene:KN539937.1_FG002 transcript:KN539937.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHADDFVLNAYSFASALSACASEKASRTGEQVHALVTKSSHGSDVYIGSALVDMYAKCERPEEARKVFDAMPERNIVSWNSLITCYEQNGPVDEALALFVRMMKDGFVPDEVTLASVMSACAGLAAGREGRQVHARMVKSDRFREDMVLNNALVDMYAKCGRTWEARCVFDRMAFRSVVSETSMITGYAKSANVGDAQAVFLQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNACANLANLQLGQQAHVHVLKEGFRFDSGPESDVFVGNSLVDMYLKTGSISDGAKVFERMAARDNVSWNAMIVGYAQNGRAKNALLLFERMLCSNERPDSVTMIGVLSACGHSGLVKEGRRYFQSMTEDHGIIPTRDHYTCMIDLLGRAGHLKEVEELIENMPMEPDAVLWASLLVCLGESMTDIYDPDYDSKTEILLTSIGNLKMGMP >KN539937.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539937.1:46415:48232:1 gene:KN539937.1_FG003 transcript:KN539937.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MPRRDGGSWNAIISAYSRGEDPAEAIFLFSAMNSRGVRPKDVTLASVLGCCAECLDLRGARQLHGHIAKRDFQSNVILGTALVDVYGKCFALSEARRAFDGIPKPNDISWNVIIRRYLLAGMGDMAIDMFFRMVWAGVSPLVYTVSQALLACRDNGALEEGRRIHTFVLRHGYEQHVHVRSSVVDMYAKCGAIDLAQRLFNLAPVKDIVMSTSIVSGLAACGRITDAKRVFEGMEEHNLVSWNAMLTGYVRSMDLTSALQLFQQMRQETKELDAVTLGCVLNACTGLLDLGKGEEVHTFAFKSGFIGYPFLKNALLRMYSKCGCLRSAERLLLFEMGSERDSYSWNSLISGYERHSMSEAALHALSEMQYEATPNQSTFSSALAACANIFLLNHGKQIHAYMIRNGYVIDDILRSALIDMYSKCRLFDYSMRIFEAGPSQDVILWNSMIFGCAYNGKGEYGLELFFEMSKQGITPDSVTFLGALVSCISEGHVGLGRSYFTQMTDEYNIVPRIEHYECMIELLGKHGYMVELEDFIGHMPFEPTTTMWLRIFDCCREYGNRKLGERAAQCINDSNPLTPVRFEIAPDYKYCDDDSDESMSVSWEG >KN539937.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539937.1:13346:20358:1 gene:KN539937.1_FG004 transcript:KN539937.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCGNVILIGLVGQGQEPELEPAPVRFEENGDVVGGIAGDASSEELSVCSHDSSDEATDQQVCRSTDPASFVRGRNMPSDSHKILNEDNHFMSYSMPREHRKFFEVPVTNMGELHLHCDDPSTSETSSRGRMLPEDFLAPRTRSLSPGPKGHTFAVNNVNSREFGFSPRSPVKMMDGWKSPPHPLPLPPAPATCSPLPPSPTAYSPHPLGPTTCLQSESQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSEMTDDALSIYLEFVSGGSIHKLLREYGPFKEPVIRNYTGQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNGRGYHLPVDIWSLGCTIIEMATAKPPWHKYEGVAAIFKIANSKEIPEIPDSFSEEGKSFLQMCLKRDPASRFTATQLMDHPFVQDHPAVRAAKSGALRNACSTPADGRHTTSNREFSRKSITPLKDIGVSARDFTGFSTAVPSPHTASSPISVVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTFSNGATSYNLSSYMMNETRRIPTISDTWQDISQLKVQSPYGSPKRF >KN539937.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539937.1:39155:40084:-1 gene:KN539937.1_FG005 transcript:KN539937.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGIECHSRALMSNLFTGKALQSGNQWYFFSHATQTRTSPNGHWKPIADETVISGGCNVGLKKTLIFFIGEPFEAIKTNWVMHEYHLMDGSINCGSSSTSSSSSKRSHKKKGHSDTESKNWVICRVFESSYDSQVSFHEEGTELSCLDEVFLSLDDYDEVSFAK >KN539937.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539937.1:58690:61477:1 gene:KN539937.1_FG006 transcript:KN539937.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLVLSFALAILISSSPAAVGVDATEELKEAVLTLDAGNFSEVVAKHPFIVVEFYAPWCGHCKQLAPEVTHYFDTFSSQHHGRCNIKYEKAASVLRKNEPPVVLAKVDAYDERNKELKDKYGVYSYPTIKIMKNGGSDVRGYGGPREADGIVEYLKRQVGPASLKLESAEEAAHSVGDKGVILVGVFPEFAGMEYENFMAVAEKMRADYDFFHTSDASILPRGDQSVEGPIVRLFKPFDELFIDSEDFGKDALEKFIEVSGFPMVVTYDADPTNHKFLERYYSTPSAKAMLFVSFGDDRIESFKSQIHESARKFSANNISFLIGDVADADRVFQYGNLTPYVKSEPIPKVNDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAVSLQDDQDIVIAKMDGTANDIPTDFTVEGYPTIYFYSSSGNLLSYDSARTAEEIISFINENRGPKAGAAAAVDEKTQIDAVEEEDTDGIYTAYNRDY >KN539221.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539221.1:57226:59278:1 gene:KN539221.1_FG001 transcript:KN539221.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDTDEVFARIRLVPLRAAEDGDVEDDGAAAGEEHEKPASFAKTLTQSDANNGGGFSVPRFCAETIFPELDYSSEPPVQSVCAKDVHGVDWTFRHIYRGTPRRHLLTTGWSPFVNKKQLTAGDSIVFMRDEGGNIHVGLRRAKRGFCSIGGDDESLSSMPGWDQYRGLMRRNNATATATGGRTPPKGKVPPENVLTAATRATSGQPFEVLYYPRASTPEFCVRAAAVRTAMAVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQASDPVRWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRNPPYAELPLEGQIFTGPVFPPNPMAHDHHHHHGFPFLPFPDSSAQPAGIQGARHAQFASPFPEFHIGNLQPNLMLYAGIRLPPADRAAPAPRPPRIIISTDLTIGSPGKPDDAACSPSSGGKKIDDTKPRGFLLFGQAILTEEQIKNGNSDGRPASPNWDAEKAPNTSEGSDSGVTQGSPTKNTTPSWSLPYFGGNNISRASEYELNPGQCKVFVESEAVGRSLDLSALSSFEELYACLSDMFSIGSDELRSHLVYRSPAGEVKHAGDEPFCSFVKSARKLRILTDAGSDNLGD >KN539937.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539937.1:21354:22286:-1 gene:KN539937.1_FG007 transcript:KN539937.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAQAEQQRASSCAAAVSGARALKDQGNALFRLARHSDAAAKYALAVDNLRSVSVDVPLSRSLRAVCGVNLMACHLKTGRLAECVELGSEVLGLDPGNVKAHYRRGQAYRELGRMEAAVADLRRAHELSPEEDAIADALRDAEEKEKLGAPRGLVIEEIVEQEEEQGSEILPTSEATSSSTSGHSVPSTSPSPSAAAAAEMMNSMGDPAMGKLVASVVQGMDPETMSIIGKQLGMDLSRDDAARLQDAMKKLSPENLEKVMGWVNRARRAAEAARKAKEFLLGKRSWVVLAIVVLVLAFVLHQLGFIGA >KN539937.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539937.1:50445:53254:-1 gene:KN539937.1_FG008 transcript:KN539937.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEKPDSVLSTLLDYVPDFVLDYLPRGRCSSLLDMSTTTEPSLLIDSSVTEGLFLLLWVYLFDWMRRFALRYTNGSTWFSTFAALVMAIPMTEFFLINGMLYLDEEEPPPPPPAAGTRELIAAELRHVFTKPDDSIPIETTQEKGDRCFLRGLLVLVWLVAIDFGRRSLFTNDFGEVDILLAVPIVMWVILTSTMFLVMVGLYIEGLLPT >KN539221.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539221.1:113303:123216:1 gene:KN539221.1_FG002 transcript:KN539221.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFIGLESRLRRSVKVADSVMMGLVNAAMEDAYKKSLWKDGDLERLVQKLRFAELAIMQLEWSLRFVRGEMESGSGDGDCHEQLLDDLLETRDRIQARLDEAELAVADKDRDDMLRKHEEMARMRSRLEDARSTLMALMETVSGEASPMARLQEAGHKGDGVKCLSGFYSMAQLLMEFQEMVLDAGAVSDSVTSSFEFMERSVSSLKEAMDEQQWLANVEKEMYAATINGFLREISAGFPVLNDCSSPGERQAPTENIWDEYLKKKTEHKSLRGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENGELNIRVELLCEIYTTVFKDLVSKLSSESAEHFIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLEQNIDFNVLRFPDENACTNNLGRFSMIGNIEQLYTMKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLAEQDHFDLQMALVSFTSFQEVFMNFEAVTCEKLETAMLRLNYLKKQQGNLIEQMRSLKMSEQSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSERKEQIKGTVRLLFQPAEEGGAGASYMIKDGVLDGVEAIFGMHVDYRMPTGVIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLNVDPIVAASFVILSLQQLISREDDPLHSQVLSVTYVKGGNTIDATPPVIEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCKGVVQIKRDDYPMYPAVFNDEKLHHHVETVGRRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEVGSVHTVHNPKFFVDEDVIPIGAALHTALAEMYLTERSTEGEDGSQHSH >KN539221.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539221.1:25602:28500:1 gene:KN539221.1_FG003 transcript:KN539221.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVANGKRTSRPLATLAPFVTVAKVDAPERTVSDCKYHTTEHWLYRNVQGKRAGKLSAHSYQPTRKTPRGETDRSQNPIISPARASQIQSQKQEIKLDRTSSRFEGTRKSVQGTRGSRQWKDTEEVALPPIEHVETDGDIVDVDLAPNPVHPPRGTRDLQITSLRSGGRERRSGGVSDFQRGNSGNWKE >KN539221.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539221.1:66995:82737:-1 gene:KN539221.1_FG004 transcript:KN539221.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEETYFELLYKYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLVVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVGENANMMEDKPNLSAEVENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASVSSNVEINDMLGAEFYVQTAERERKTHIDLLLEQPSSEILNLGKFANLPLPLDAEQAGKRGFSEASALEHVKYLAALGPHPVGSDSIDLAVQYVYAVADKIKKTAHWDVDVQLELFHTDIGANRMAGGLFNGKTMLYSNLKHVILRVVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQEWGWVVYISTSFEYPLLHGNGGLVVFTVQHRHRWRNSVRFAIDLEAMGISGKSTLFQGTDHWALESFASVAKYPSAQIASQDVFQSGAIKSATDFQIYQEVGGLPGLDFAYTDRTSVYHTKNDKMKHLKPGSLQHIGENMLAFLLHAAASPKFMKDAIQAKQEGAEKTKAVFFDILGKYMVVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRPGLVSFGISCLGIVLMLISSVTLSVVVAIALPHICSFPVTFVAHPWLVVGLFGSPALLGAFIGQHIGFIILKRHLKHVYSITKPGLAHNMLEHIVNLEAERWIFKSGFVQWLIVLILGTYLKVGSSYIALIWLVSPAFAYGLMEATLSPARSPKQLKVITLVLALAAPVVSSAGLVIRMVDVIIGSIVRIDRNPGGLPDWLGNVVVSVAIAIVICFTFVYLLSYVHISGAKRTLGFLLCIFFGLALALVSSGILPAFTEDIARSVNVVHVVDTTTVNSGNTEPSSYVTLFSNTPGKLTKELVDLRDEEFSCGRNRAIDFVTFTMKYGCLSYEGTNTGWSKSEVPVLSLKSDSVTDDARQTIISVDTKSSTRWSLAINKQEIDDFTVHVDSENLVPLGNKSEIDGWHTIQFAGGKNSPTKFHLTLFWASNSKDAFPKQVESEDHSFLLKLRTDVNRVTPKVGRVLEKLPGWCAPFGKSTSPYTLAFLTALPVNI >KN539221.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539221.1:96141:97535:1 gene:KN539221.1_FG005 transcript:KN539221.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKAGMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKAREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVEKYTDEMLDMGIELPQSTIDNMKRTFDKAGKRHTYDHIARRLKRC >KN539221.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539221.1:14278:16217:-1 gene:KN539221.1_FG006 transcript:KN539221.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENVRSAVKGCVTTVARKTLSTSATGDLHKARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKTRAVLIEHALANGEAERNVDTSVFAKVATFEEELRAALPREVEAARAAVENGTAAKANRITECRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNQGKHIDALLECLKEWNGEPLPIC >KN539221.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539221.1:123542:124030:-1 gene:KN539221.1_FG007 transcript:KN539221.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGSCLAFVMALALLGANVSYGARLLADATESATPTASPAAVPGSIPAVPKLPVPTAMPPMPAVPAATVPQVTMPPMPAVPAATLPPMPAVPAVTVPTVAVPPMPAVPKVTLPPVPAVVVPKVTMPPMPAGIPKVALPPMPAIPAANVPVPFLAPPPSA >KN539221.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539221.1:90005:90554:-1 gene:KN539221.1_FG008 transcript:KN539221.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSAAAKHAYRMFAPSRGAAARCPGSPGADEFDESDVWGSFGAAGKILGVEYRDHQAAAAEWELQGDGDDDYEYGKVAGVGGVVIPPHELAWRGRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >KN539221.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539221.1:37281:40990:1 gene:KN539221.1_FG009 transcript:KN539221.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSRFRRICVFCGSSQGKKKSYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREVLEKHIYMITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPKELLEKLEAYSPRHDKVVPKMQWEMEKMSYCKSCEIPGLKEGNKATIQAQRGSML >KN541560.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541560.1:5154:15086:1 gene:KN541560.1_FG001 transcript:KN541560.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNTVGLSLAVASSAFIGASFILKKIGLIRAGKGGVRAGGGGYTYLLEPLWWAGMMTMLLGEIANFVAYTFAPAVLVTPLGALSIIVSSLLAHFVLKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLAIQPGFLTYAVATLVVVAALVLFFEPRYGQTNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKALDTFDLAVVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASEFCGLITILTGTIMLHTAKEEETGSSAALPWPLDRGSISWCISLGSDNLLKNVNEDYFAALQRDFLYNALSPKVAPDVVFGPDDEGFQPLVDFDETGSGEKSCLANWDCRDTSALLSLIKELREFYIEYQKKRAAEVDDARLKFEISTVLSKEGIEVCTVSSNGRPDEVKFAVPLLDLDLAKLVPGCPWKLPQKIHLQAVFPISRSYSSVPSAPRLKLVSTPDLKSFFSVDDVKLPPWLDGMCMAEYLPNLEENLKIQVVEASASIGSRRRFIEALAPTFGRPLEADPVHFVIPLQFPKHQPVLTLESSQHFNAQGLPIMSAPVNDYPWSPRWDPTEMVERIYDFLVDECQTFKKFCSDSIPQQK >KN539642.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539642.1:15065:17371:-1 gene:KN539642.1_FG001 transcript:KN539642.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDLWEEVLAATSTELLAPPKEASIQLKYSPEVAQVDANHNMLMKVGHSSGQVRAIPLEPLKKVLSKATVDEKLKPLSIYTFKTIMVSVRFYGVPMPLRSEDSARKLVKEVDEPSAAAPIIEENLKKYPKFMTVRVKIDVTKPVQAIVWLNINNREPLKVFVHYKRIHHICTFCGLMFHNTQACPIKQRIIIQQKADAHVQLTDRYGKWITQLSYLSPKAMLDLERENKNSLVEKFRQHFANPSGRASSLQKILPTLLTGQKKPPISFPSSKWPIWLEHSLNLGQPTTASTAQKDKKGLYVIPAKKKLQFQHPMSASHQFQQELNSIPLKSLRCLNHAEPSNAPELPMQIAHSIQLHAEKHKGTEVNLAGGNLLSSSLIRNEAGSKRPLPTASSSSAKRRSKGNNGDSVRTDGGKWTIDAASTAGGGSDWSSWGGAAAGWERVPSTFSVNGNGGDTASSQCRSGGARGGAGSSFPRREIRARGRASRWDRGADVGFRSQEGLVWRRSVHLPLSPSGSLPQASAQTGGLAPGMGIFWWIWSKLIKKWLSKLLLLL >KN539221.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539221.1:5172:7861:-1 gene:KN539221.1_FG010 transcript:KN539221.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSHLDEVKRMVAQSREAVVKIEGSSLRVGQVAAVSAAKDASGVVVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGQALQVELLRHLNAGIFGNGSDGNSLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGKKAVTVDGKKVDAAEAFKIAGIQGGFFRLEPKEGLAIVNGTSVGSALAAMVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVAPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKNCVTTVAKKVLTTGPAGGLHSARFSEKALLTAIDREAVYSYADDPCSANYPLMTKIRAVLVEHALASGPAEKDDGSSVFSKITAFEEELREALPREMEAARVAFETGTAPITNRIKESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISERKLIDPMLECLKEWNGEPLPIC >KN539221.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539221.1:104176:105999:1 gene:KN539221.1_FG011 transcript:KN539221.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAAAEGGEYTAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPGANAADAACSGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQSLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQHKAWHDHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSSSSFRG >KN539221.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539221.1:125514:125886:1 gene:KN539221.1_FG012 transcript:KN539221.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRRIAPLLFLMLLILVASEMGTTRVAEARHCVSQSHRFVGACMRKSNCEHVCMTEGFPWGECRFHGIERKCFCKKRC >KN539221.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539221.1:45229:47831:1 gene:KN539221.1_FG013 transcript:KN539221.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFIVDEKNHGFSKKVQKLQEQDILDDVVALPPSGTSLMKLYMDCPFYGSVGDKLIALCDYVRRTKGRRSEETRESDMAPH >KN539642.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539642.1:89529:90014:-1 gene:KN539642.1_FG002 transcript:KN539642.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMSRWKMLGGQDHPMDHIMEVNPDGLIDLAAASPGKENVVVPFVPDTDKGKKVQESDQDAQVQSILARLEKIASNEYPKVPYFYPMKGIKEKIELLCRGRGTMHQFMACNSVPAAIQVPSPFTALVLPKKTMFNFSPQVNKLDAAWTLDFPKSSCPPQN >KN541560.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541560.1:25926:26494:1 gene:KN541560.1_FG002 transcript:KN541560.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVYKPINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTE >KN539642.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539642.1:74864:75379:-1 gene:KN539642.1_FG003 transcript:KN539642.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLALLLGYDLAELGLNRDARGRAVPITVFSFAGPRVGNTAFKDRCDELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGKLELPWSSSCYTHVGVELALDFFKARDPACVHDLEAYLGLLKCPKVTKVMIGEDLFSKAKKIVLEQSFDTWRWQMAAIQVGGLVQALGM >KN541560.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541560.1:21717:24204:-1 gene:KN541560.1_FG003 transcript:KN541560.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAADRSGVAEGESEWREELRQQQSQVDALRERLVEVKVGMKCSEEDSRKELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRNGVPLADWSKGGESASCEGLDDETLADSSRVAEHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVVEENVRLRAMIDKKEAQLQAMSEQCKFMALSRPN >KN541560.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541560.1:17929:20938:-1 gene:KN541560.1_FG004 transcript:KN541560.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRVWLSALLLAFLLAAAPVVQVARAQSEEEAATAEVVDGADLGIVSDDTQVSSDGPLSPAPGVETVCVFPKNAGKIVLAGEETELLVGLQNEGESTLNVVAIHSTLHLPFDHKMYGQNLTVQNFFNASVPVSVQATFPYTFAVSKFLQPGAYDLVGYIVYEIDQNPYQNVFYNGTVEVVEAGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTAFAQGSKSKKKK >KN545227.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545227.1:729:2140:-1 gene:KN545227.1_FG001 transcript:KN545227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RFRSRAKAFILTFPQTSEDVQRKFSDHGGDFLESVKRDFTDPVCLRIGRESHSDGGIHFHVFLSFESPVTVQSPDAFDYFGSHGNIKSVRTTPRKVYDYVGKDNDVVFEFGTAPDETGHDSGSNSARWHDIVGCDSKESFLSAVRSQAPRDWVLSLDRILAYAEYAYPTPIREYQSPTVVTSYGCVPGLAEWKEQAAIGRTIGERVKSLILWGPTRTGKTLFARSLGRHSYFNLQFNLESFSESAEYAVFDDIQGGFEFFHSYKGWLGAQKEFVITDKYKRKKTIQWGFVDNLATISSSFSRGCNTGIHTRGYVRHNA >KN538835.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538835.1:161969:167055:-1 gene:KN538835.1_FG001 transcript:KN538835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGGSTMEAQFCDSKNFSNRQPNHSGKIKLELFPIDKAIQKVLQQENRNPYLELTLAPRKKISSVVQHLNIKWGNSQCARGELMLFPYDAQLDNIAGSGKWTHSDSCTAADVHAAVGSPLSFRLRYGWFEPDFEQQSHGPNLQDVHSVDKIIDNKPLDPVLMEQKQTVHLSEFPSDFAVQSVGDNAVQTVGNQSKATPLSWIDCISNISFEELLSEAAPSADSKQLLSQNNTSFSQIPINFDSFDAAIASVIGQQQASNQMKVSNPSLWDAEEACHAFPLQSQTSIRTFGSGPNHSGAITSSILGTIPECVTDVDQQCFTEVWEEEPSPHIPLSCKDNVAPYVSLEDDLILVYLHLFAFSLNLVLLPIMRWEARSFGRHLLAIVLIASLAIFPVVLLPSSPSMWLTGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLLRERLHEWLEKKWPREIALVKLASKGNWFKQFRVIVLLRISPFPYSMLNYTVTVTQIKYGPYICGSVVGMVPDTLVNIYSGRLILTLAGLKYHNHRLTTVEIVYNVISITVAFLVAIGFTVYAKRALDEMERSEGTCPEPAGIAHGSTELRAHHQECSNSSSVPIDVV >AMDW01039634.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039634.1:24:430:-1 gene:AMDW01039634.1_FG001 transcript:AMDW01039634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TARTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVRKEKEKMKQPSTSEPAE >KN538835.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538835.1:124346:126854:1 gene:KN538835.1_FG002 transcript:KN538835.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKTLGEIDMGRGYSYSPSPPPRSYRRRASSPIPRDRYGGRGRDIPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYIPRDYYSGCKVRSRVHTLVLLNLYSMLYNHEKLHKSICLFAGCHIAENRTTAIFKEPRGFGFVQYYDPDDAADAKYYMDGQVILGREVAVVFAEENRKKPSEMRSRDRISGSRGRSYDQRYSRSPRYSPPPRGRSPYRSPSYSRSPSPRYARRRMRERSYSPVESRSRSRSPVEEGYGGGSTRRERSLSVSE >KN538835.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538835.1:180543:182057:1 gene:KN538835.1_FG003 transcript:KN538835.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKDLTTEPRCNAMGLGDTSVHVLLQVWFSETKQWLPPSSLELNSSTYLICVLVQRTAKHDKQQNVFKYYATWLVLGKSAFNL >KN538835.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538835.1:200335:203592:1 gene:KN538835.1_FG004 transcript:KN538835.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSWALAALVLGFLVVAVHGSEPWLNQTQVYSTNANSGSNGVFVGITLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMESQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADASAGLYFRGQRIWQAAMDDLMAQGMRNANQALLSGCSAGGVSTILHCDEFRGLFSGSTNVKCLADAGMFLDFVDVSGQREMRDFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKRADPQGLWRGCRMNHASCNSNQLQFLQGFRNQMLDAVRGFSGARQNGLFINSCFAHCQSERQDTWYAGDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLTFRGDY >KN538835.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538835.1:118816:120689:-1 gene:KN538835.1_FG005 transcript:KN538835.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRLKRCSILLFAFLLADASGSLLESSGGQAEAIGPQISPATEGEGKRRSLATGMFCVALPNADPTALQEGLNWACGQGHANCAAIQPGAPCYKANNLPALASYAYNDYYQRNSGAGATCSFNGTATTTTNDPSSGQCVFSGR >KN538835.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538835.1:183488:186836:-1 gene:KN538835.1_FG006 transcript:KN538835.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTAGAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMLNKLQIEESLVPKMCLELYREYGTTMAGLKVLGYDFDYDDFHACVHGTLPYEKLKPDPVLRHLLLSLPQRKIIFTNSDKTHAATVLKKLGLEDCFEGIICFETLNPSTEPEEDDSDNTDGGSSSDSSASHPKRKILCKPSLESMEAVIEIAKLDAKKTVFFDDSPRNIAAGKAAGFHTVIVGSSAAVAGADVALESIHNIKEAVPELWEAAGEHAYDASRIRMVSGEETTTAAAVEVEVTRIENAIDPDVARLLEESGEPALAGSESESEDDDLEEDFVLVANQDDDDFVLVEIENQFEEEEENVAAVDDAEEDGLKNGECKVGNSASA >KN538835.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538835.1:117159:117989:1 gene:KN538835.1_FG007 transcript:KN538835.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRIVLQRLAEKMKQDVDVGIVADFQRFRREKAAAAAATGKVNSTADRET >AMDW01017023.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017023.1:11:242:-1 gene:AMDW01017023.1_FG001 transcript:AMDW01017023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGL >AMDW01038371.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038371.1:49:645:-1 gene:AMDW01038371.1_FG001 transcript:AMDW01038371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSKEIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMMLLDGQAKAMEGLNHLYSFQAQALQES >KN538835.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538835.1:141378:144305:1 gene:KN538835.1_FG008 transcript:KN538835.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDETAAVEDAAPVAEQAVLKAWKLLDFALLKRSSVSFFDIEKQESAVSKWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQCESKEPFFYWLDVGEGKEINLEDRCPRWKLLSQCIKYLGPQEREDYEVVIEDGKFMYKNSREILDTSGGPRDDKWIFVLSTSKNLYVGQKKKGKFQHSSFLAGGATSAAGRLVVEDGTLKAIWPHSGHYRPTEENFQEFQGFLKDNNVDLTDVKMSPTEEDEEFWSRLRSIPSDRDRCADAADYTEEEMNSSEQTVNCQTPEATETPTEEISSQHIQETINNTSTTLPRVASSEGAATSNAGDNGSSEEGGEDHRRQEEGDEPLSPSSSSSSVPREKILERINSKKEAKSYQLGKQLSFKWTTGAGPRIVCVRDYPSELQLRALEQVHLSPRSAAAAAGGRPSSRFASPQRSSSPMARGCSEPLTPREAFRSHLQQGVLIRVMIQIVHRKNKGGGKENPTHVDLVVGSQERDLVEE >KN538835.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538835.1:145870:155854:-1 gene:KN538835.1_FG009 transcript:KN538835.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGTSAQPSKKEGDRSGRQIERRRERGGRGGVTVGGEGVAHPLLVDDVDEDAQAEQLLLLHSSHLLLPSAPLLSSISCPRPVVEETSIIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLLPDDSLLPTGEDFNSSTPSAPRRDDPVPDQGTAAAAANGTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYESPVPGPKPGECDVAWRFRNRREKSWRRYRDYRRFALVPGDGCALDINRVGKFRSGTNAARPPRQKGSKNRNPRVAPPPVDAEINDTIPIVGSEAEFRRGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTNNGKDEDGKDFRYYFDFEHLKESVSVVEEGDFLRDWKRWDKKKGPGRISVRKVPSYKVTPMQLKRDKSNIIWRLFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVIRGWKAQNKQMYPNLDADTSPEALVDKVPKLIKQPMRNLYIATNEPFYNYFDKLRSYFHVHLLDDYKELWSNTSEWYNETTTLSGGRPVPFDAYMRVIVDTEFLVLDEADRVLDVNFEEDLRVIFGSLPKKRQTFLFSATISDNLRSLLELSGNNSYFFEAYEGFKTVDTLKQLYIHVPPDAKELYLFYLLSKMNEDNIRSVIVFVSTCRTCQYLDFLLEELGHPAVSLHSHKPQSRRLAALHNFKSSKVPVLLATDVASRGLDIQTVDLVINYDVPRYPRDYIHRVGRTARATRGGLSISFITTQRDIRLLHEIEDVVGKQLGAYDGEMRDVNKDATKVFKARRLANMKMADEGHEDKVQARKEQKKRAQERKRKHDE >AMDW01023769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023769.1:33:320:1 gene:AMDW01023769.1_FG001 transcript:AMDW01023769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFITNQNKMDDVVATEGYADLKRTCPSVLVD >AMDW01039844.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039844.1:128:1092:-1 gene:AMDW01039844.1_FG001 transcript:AMDW01039844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >AMDW01036953.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036953.1:67:501:1 gene:AMDW01036953.1_FG001 transcript:AMDW01036953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLAPELIHTGKASTLTDVFAFGTFLLEVICGQRPIKEDAHGNQILLVDWVLEHWHNESLLDTVDPRLQGDYNVEEACLVLKLGLLCSHPSTNARPCMQQVVDYLEGDTPVPELASTHQNFNELASMRKKGFDPYIMSYNPSST >AMDW01038492.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038492.1:49:534:1 gene:AMDW01038492.1_FG001 transcript:AMDW01038492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTI >KN546598.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546598.1:137:774:-1 gene:KN546598.1_FG001 transcript:KN546598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLLLATALPLLFLSRADGGEVGVCYGRDGNNLMDPPSVVKLLNANGITMINGVAVGNEVFQQEPSLTSQLLPAMRNVQAALVNLDLADTIK >KN540120.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540120.1:2269:5993:1 gene:KN540120.1_FG001 transcript:KN540120.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEFTGIPSPPFVAGVGAPAASLPTRFDHLFPSPASALRSAAAAGDPASSLPPYHYPIATPGTVESTTSTSTQHPIATPDSAQNTHDPTTFQHSPSFVTWTPDEFHSPGFNSSKQDERFVLETTLRGMYELIKLAECWVHKDYSIANLLQEAKDTVYCAEDLLDELNYYELQDGVGFNANRSSCPEFSDIRMTEIHGKLNSLKEQMGHLGLHDMQPQHFIFESVSQKYGNLMYDRTNFGYQEELQVLIDSLILDKNSPTSGQVAEVPSSGRAGQKNLSVLTIVGDGGIGKTALAHCSFNDQKVQDHFDLLVWICVSDGFDDKKLIKRLAWAIAESEMKSDDLICLQRVLTNGMIHHSRRLLLVLDDLQEDVCQEYYLGWERFLAPLKCASPGSMVLVTTRSMKVAEHISSVCLQLEGLPNEINWHLFSMHAFDLPISDSDQEVECIGRKIAARLNGSPLGAKIVGCLLNLKLDAVYWKSILENIGHEYFDQLVRRSFFQISPTSSSSRHAYVMQGLLYETAQKISTNECFVIKDSSDLLRIPPKVRHVSILHFSGLSSSDLESLHKYKTLRSVVCISIDSDVITTSVLETWFCHLTNIRMLRFISCRLKELLGNVGNLILLRYLDISSCDFEALPDSFWRLRNLEILDAQNCRFDSVPKDIVKLVKLRKARLRSDLNNQLGHVPGVGNLIYLQDMPYYAVYDTPGRGIEELKNLNNLRGALEIRRGIQELKNLNNLRGALEISGLHNVTSKEQAVEADLDKKTHLNTLTLSWHDSIRPDKHNGEQEMEVLESLRPSPSIKNLEVRFYMGSGFHPSWLLDDEPISSSSCPNIASLFITVTGSSSRGSSPVVFRSLTKLSITWCRKLMSLDNLLQPELLPEIKVIRISNCEELASLPTNQLIKFTHLEDLEVSHCWSLSWEQGLTLPRSLKSLKLEACGELTDSVLRCGLRELPVLVSLELQFCSGVECIGAEIWSGLPSLQRLKIFCCQELSSIGGGESIARVESVDIRHCPKLRKLEQPFQRG >KN540120.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540120.1:49615:53318:-1 gene:KN540120.1_FG002 transcript:KN540120.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTELPCIYVVYELILKSVCVSQSNAPCNTKGSKGVFYAREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSVDIHARPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNRLCFKFTSSGSCPRGSKCNYRHDEEAREHYNRNVCFDFLNKGKCEKGSECRFAHSLSDEGTVRDTKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCSSTLKMPVEAEAELGRYKDALAKYFEKQGKIAIYFEWVSQQSRHANLQAVPVPLSKASSVKKIFHLAAQRLGFEFSVVNPDGDANRARELLRSECDSKSSLFYVELLEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >KN540120.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540120.1:31622:36341:-1 gene:KN540120.1_FG003 transcript:KN540120.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWWWWPLPAWLMPGSTAAFGLVYIHVGARNVGKSTLLNAIARCSGIEGAPTLTEAPVPGTTLDVIQVDGVLGSQAKLFDTPGLLHGHQLTSRLTREEQKLVRVSKEMRPRTYRLKPGQSVHIGGLVRLDIEELTVGSVYVTVWASPLVPFHMGKTENAATMVKDHFGLQLQPPIGQQRVNELGKWVRKQFKVSGNSWDVNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVVSRNSLVHERATIFEEAGFTVSKIVSQADSMANRLKNPKKINKKKNNKANSSPSTDPESSNPVEAVDA >KN540120.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540120.1:6725:21209:-1 gene:KN540120.1_FG004 transcript:KN540120.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSDLLGGGGSSSRRRYKKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYTLVAHPYAAPAYDKRAPPGHFWSQVAEEAVFGWPLRGLLHQSLHPKDRQQSALLIAMDRQVRGDHEGINVVGFEVPTSPDSSYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >KN540120.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540120.1:62924:63979:1 gene:KN540120.1_FG005 transcript:KN540120.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRMLFIFQAMVCLCSFSLSQGNQQFSLRNLPTLQKASSFPAMRHETYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYMENFHIGLSNMAPDSASQAFISTDGVINARARVLGGGTCINAGFYSRASSK >AMDW01032693.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032693.1:98:376:1 gene:AMDW01032693.1_FG001 transcript:AMDW01032693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESKGGKKEKKGQSLVDVAYRRAKSMKASGKKGAGIGKGKNDKKAKQHSGKGPTRQEEMQELFQNDMSEWKQGRSLKKNNVMRKKSNNSFKSKS >KN542366.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542366.1:12288:13011:-1 gene:KN542366.1_FG001 transcript:KN542366.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMPNCSRGLLLLLLALLGCFSIPGHVYGKNLYYTRSASMNEGRNNVNINGLVPVPCNIFSPSKV >KN542366.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542366.1:14724:15448:-1 gene:KN542366.1_FG002 transcript:KN542366.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMPNCSRGLLLLLLALLGCFSIPGHVYGNNLDYTRSASINEGRNNVNINGLVPVPCNIFSPSKV >AMDW01040552.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040552.1:29:1505:-1 gene:AMDW01040552.1_FG001 transcript:AMDW01040552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPEPPKGFSKLSVSEAERSFSGNMCRCTGYRPIIDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISSPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLDHSTLLLSIFIPHWTSDCKKERTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVDTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQAS >AMDW01040889.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040889.1:926:1237:-1 gene:AMDW01040889.1_FG001 transcript:AMDW01040889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMAPEWATNLPINAKVDVYSYGVVLLEIVAGQRISSYTIREGKVTKLKQFIENVKEALATGDTKCIVDGRLHGQFNSEQAMVMLIVAVSCLEEEKQEADHA >AMDW01040889.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040889.1:1373:3208:-1 gene:AMDW01040889.1_FG002 transcript:AMDW01040889.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLYLIILSFFPSLLVFCTSASPRLTLHTGSSFSVEDYKHTFLTSPNSTFSCGFYPVGTNAFTFSIWLTNTPGKTVVWSANRRSPVNGHGSKVLLHDDGYLVLTDVNGSTVWTSTMSAGEGSMAALLESGNLVVRDSSGTILWESFTSPTDTLLPTQQLTKDTRLVFGYHSLYFDNDNSLRLVYNGPEFSSIYWPNDDYTMFRDGIKVKNNSRLAVLDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSLDASDGSWTVTWQAIVEMHYVHGMCGKNGICEYLPELRCSCPPGFEMVDPQNWSKGCRPTFSYNCGKERYKFIEIPQTDFYDFDLGFNQSISFEECQNICLSTCSCIAFSYRLTGTGVCYPKGLLFNGYKSPAFPGTLYLKVPYSTNLQASSTQSALTCSPGSQEIATPSDHPRWLYFYIFPGVFGALELIFILTAWWFLSIRNDIQNSAEGGYMMIRNQFRGFTYQELKEATGKFREELGRGSSGIVYRGVLKDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTERLLVWKDRFNIALGAARALAYLHHDCLE >KN542954.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542954.1:5406:7074:-1 gene:KN542954.1_FG001 transcript:KN542954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSGEAYLHLLLVILPCLVARLGAAQRVGVDEKQLLLQIKRDWGDPAALALETLKLEVNQFTTGELPGSFKNLTSLKTVWLAQCNLTGEFPSYVTEMMEMEYLDLSQNSFTGSIPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLALMANNFSGEIPASLAQLPSLVIMKLFENNLTGQLPAELGKHSPFLRDIEVDNNDLTGPIPEGVCDNRRLWIISAAGNRLNGSIPASLATCPALLSLQLQDNELSGEVPAALWTETRLISLLLQNNGQLTGSLPEKLFWNLSRVYIQNNRFSGRLPVTAAKLKKFYAGNNLFSGEIPDGFAAGMPLLQELDLSRNQLTGVLDNI >KN543811.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543811.1:1203:4214:1 gene:KN543811.1_FG001 transcript:KN543811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRVKIVVHVARKCSMILVIRVMYSCVAREVGLIAALGTALLARQHDLFISMVECTRLLVGSVRAVFVGVLSTRHAMDLRCRFPPVEQFPLHFSLSFIGDDEQPTTMEINYFYKDYVHIYRLVPRNAFHIKFDFLTLQMIMHFLYLLVFPLHFSLSFIGDDEQLTTMEINYFYKCSSTKIEIRENKCDGSNWR >KN542861.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542861.1:728:6830:-1 gene:KN542861.1_FG001 transcript:KN542861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAQCCEPVVASVDLGGGVPCLCRVAAEPQLIISGLNATHLLTLYAACGGLRPGGARLAAACEGPAPPASIVTAPPPPVAFRRKPPALAEQASSLLHGIKNYRVAVLEANPRSFVVPTHTDAHCICYVAQGEGVVAIIENGERRSYAIRQGDVFVAPAGTINYLANTDGRRKLIISEEKLEKLLGKQDKGVIIRASEEQVRELRRHASEGGHGPHWPLPPFGESSRGPFNILEQRPRFANRHGRLYEADARSFHDLAEHDIRVAVVNITAGSMNAPFYNTRSVKVAYVLDGEGEAEIVCPHLSRGGRRGESEERRRELGKGKGKWREEEEEEEEEQQKGQEEEEEEQVGQGYETIRARLSRGTVFVVPSGHPIVVTSSRDSTLQIVCFDVHANNNERMYLAGTNSVLKKLDPQAKELAFAASAREVDELLNAQQESAFLAGPEQSGRRGEESGSESWKLCLCLIRVTSRGAGEQPTSAKWPKTTTSTKMGHGKTTKMGLSGRHPHPHVRWAGCQPKSRHEIELSPLPSWRAARR >AMDW01033597.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033597.1:15:332:-1 gene:AMDW01033597.1_FG001 transcript:AMDW01033597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DYESTATIIDGKSVAEDIRFQIAEEVRQMKNAVGHVPGLAVVLVGNRRDSESYVRYKIKGCEEVGIKSLLAELPGNCTEDVVVDSVSRFNADPSVHGILVQLPLPQ >AMDW01038562.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038562.1:298:786:-1 gene:AMDW01038562.1_FG001 transcript:AMDW01038562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HTHAEVAEASPAAADIAVALLSWENTLAGDVLASLVGEDLRETAPGNADVFVQICRDPHLEGNKHLQLHMVSRQKRCRCQVRPDFDMLLKTDELLYMALIIDVLHEQPERDLEFFQQPLCHRVHFAGNNGDVAFDNIPDGQIFRDLRMKNEMQIRGVIGGVI >AMDW01010542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010542.1:25:141:-1 gene:AMDW01010542.1_FG001 transcript:AMDW01010542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVGKVDFTVRHLSSAVETFPNSFTSFSSGQPCISTSPKQ >AMDW01040119.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040119.1:6:503:-1 gene:AMDW01040119.1_FG001 transcript:AMDW01040119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSSSSIISHQQQTADAFNTKNKHADGAAFMVQEKLQLPDPIFSFKAQVAEPDYGWNIDCFPLADRKVVCVDQSGRAFLFDAETHQVETMPSLNKPKWRPFSLFVPGTDNDEGSRLYIMEKSPKSEAGCSARCSDQFEAFVYRKPTATASFKSWYCQLLPPPPY >KN541604.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541604.1:37:723:-1 gene:KN541604.1_FG001 transcript:KN541604.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGNVLKTIGIPVGHKVLCTHLDLVCVATNWNSCRVLNPATGAVYDLPESPAEEHMYHAHLCKPYTSFAFGRIASMGEYKVVRMFNRPGFTDLELPQLCEVFTVKGGTGQGHAHWRGKQGRQFFVEMQKANSGVVVNGVVYFLMDALYDAMIISGLGAGIHPDFIFSFDLETEEWREDIQAYFLSTLRLRNGGKTSRDQLAAALYSTVILIHRIISQFGINFVWLS >KN541860.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541860.1:11272:11517:-1 gene:KN541860.1_FG001 transcript:KN541860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELEADMEAMGPEHERLLRRHDAAVGAELAEAAALEAIPELPPATEEEAQLVREACRRVLSDLVVLIRFFKAVANYLRD >KN541604.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541604.1:15923:17636:1 gene:KN541604.1_FG002 transcript:KN541604.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPLRASMGRTQTKPPDGPYSRLPTYARPQQNAQIGPSPLDVTVLDPVTGATYSPAKSISADNEDLLSSGRLIMESCAFGKVPSTGEYKVLRLLGSGTPCELYECEVMTVNGAGALQWRAIQGPQLPVCSSNNTSVWSSNNMRSVVINGVAYFLLDYSRLYCSNDGLLIRPGNIVPFNLEMEEWMGILDGPKPVARGRDMIVISSTLEIMEPLSLADLNGSLVMVHAVYGSPMDLWFLSDLEQGLWVKKYSIDFEYYKNNAYPLLLLDDEKIVFLLRGTNVLQSYDLKDDTYTDILVLPDFRSVGIYTGNLLSLEGGLN >KN541604.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541604.1:13168:13461:-1 gene:KN541604.1_FG003 transcript:KN541604.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVKNPDNAYHMYCGIVQRVTDGKVGVLFEGGIWDRLITFDLDELEGREKGPPMVNPKSVLLESLAAEMEDDVAKEEEGEEAKKKKEDEGTAAAA >KN541604.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541604.1:8169:10910:1 gene:KN541604.1_FG004 transcript:KN541604.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVLSDVEKVSVTPEHERPEALLDANLKKLLMGCERERSLADFIHLKGNKELQRFDQPQGSEGSSMQQRQPTHKVQIPSQGLKRKFRYCHCPFLRFDRSGDDWHQVSNCATVWEPIIYPERCSSIPHLDPCGSGSSKTVIDRLVALASVRTMDA >KN541604.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541604.1:22526:24613:-1 gene:KN541604.1_FG005 transcript:KN541604.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGLPPGLKFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHGRGDDAFFFADARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITAPADLASSTMRLYRIRFSGHGKKRKREPESQSDNHQIAVAETAMLEDSAPPPQPVHPPAAVVNCVSDGTDQGFSGAVPPPAPVVHHTNDSDVTDRYSSLVFSDQPESIYEDELQSFVPEFPARNLFVSLPEGSHEAEPAQSVPSLADVGGPENMDDQSCSGVVFANLPELIVLPSAPSLDNQNDEAPVFFEFPESMDDIVGCFDFATMDDPSCTSAISEEPFLPPAAMVNHDDGYASDNADQGCSGAVPLPSEVVDLPNETDGADQSCSGLGDNSTLVFSDLTRSIDEDELQSFVPEFVSLPQGSCEADAEADSGGGVVPARFAEFGGSESMDDSSMMYPLNFPAEAGGGGGRAAPASSWVSSQDNQNDEAPMSFELPESLDDMVGCFDFAAMDGQSCASAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGSVPPPSAVVDLPNDSDQSCSGYYEAELKVPLEYAARNPVDSPAKGGNEAKVDASNGAGSMMSSPDKQKEHSSSGVMDVEATGFGVPDSMDSLSCIDFAETMDDLSCIDFTIDDELFDLWS >KN541860.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541860.1:20110:20295:-1 gene:KN541860.1_FG002 transcript:KN541860.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEADYERLLQQRDAAEASELAEMAALEEIPQLPRATEEEDQLTLEAAGRFCDDTAALVNFL >KN541803.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541803.1:3666:7467:-1 gene:KN541803.1_FG001 transcript:KN541803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAMKQGIWRRRIGAPFAAVLVAAVLAVVVFSGQFAKGPNDLERTVSSKLEGEDTEQIRLGKGLNKLLEMKGAQEDLTHWARNLLHKVRKEMEAQQSQYSSTDSVPTSPAQSRTNRAKRVIQEGGAEPYIKCTAQSDIKICDLSNPRFDICELCGDARTIGQSSTVVYVPQNRASNGEEWIIRAQSRKHLPWIKKVTIKSVNSSEPEPICTSKHHIPAIVFALGGLTANVWHDFSDVLVPLFLTARQFDGDVQLIITNNQPWFIKKYSAIFSRLTRHEIIDFDSDGQIRCYPHVIVGLRSHRDLGIDPSSSPQNYTMVDFRLFVREAYGLPAAEVDIPYKADKDDPDKKPRIMLIDRGKSRRFVNAAHVVQGLDWFGFEVVKADPKIDSNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGGVVVHIVPYGIKFMADGFYGAPARDMGLRHVEYSISPEESTLLEKYGWNHTVINDPETIRKGGWEKVAEFYMSKQDIVLNMTRFGPSLLNAIEFIM >KN540944.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540944.1:1827:3551:-1 gene:KN540944.1_FG001 transcript:KN540944.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFKRCRCPQQDRLRLLVSITEATDSAEKIYITLELPLIPTHACRRWIRCANRQQNHGNLENRLAIWHIHVTNLGSLNPKAFVAMGCLVLVVTWAAMGVMG >KN540944.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540944.1:25201:37419:1 gene:KN540944.1_FG002 transcript:KN540944.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSILLLPFHHGLSNKSTTKDFMEIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHSEKILSVTVFYACLSSISLSGLIYLLGLIMFSILPKVSRIPSKVYLVYTGLLATSEYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDSGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNTRDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGNTCQTSEPVQNETSGSDEGKRYTFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLREILSRNCGLFLLFSLLRSYYSSTLLFGRKECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWLRSDRFSGFSDSDTYHQMMSQRKNALVWRDLSLETKSFWTFLDYIRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYFGQFSSGKCDQIDYIYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPISGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGVVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHIPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRDRLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDVNFPYPVVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNNSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTTNSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPPVARFIRLQCSDLRMRIPYENLPSCDSNGKYLSSIGYLTSVKAFMQHEQRNINGLFEKLEIVAGP >KN539182.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539182.1:122807:125068:-1 gene:KN539182.1_FG001 transcript:KN539182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANLMHLWNKWAIQILVLLSLGLQRAHSLFHICKRGIVDSVIDEDTEKAEAETTKEIINKLKPKRDEKPKRIYKVMEMELSLLYDILYTKATIIHTWIGYCIRAFSPIAIAISFLLFHFSSSKDGQNGVDIAVTYVLLGGALLMETTSLLNALGSSWALAFLCTTRWSWLRHVALCAGRWHQLRRAVMALHWLVAAVARGIFDRSRDWSGSIGQFNLLYFRAAQVNPMNRRIGWLSNKLGLSDWWNITCYSWDIKIPEMVKERTLRMVSKDDLNTMGLLRHKWGELALKKCPKLVEVLKDWWGVDFHERFIIWHIATDLILARGEDTDNDAATNFEVERVCLIRALSNYMMFLLMTNPDMLPGLPRKWLLQRTCDNLDDKKYSGQLISSSGGVNNLVFSVIQKILSGHNNTTTFVGLKETNELANILFKELPNEFDPKIPRLTYARTIAKAMLDWRGEDDKLKKADPMKVLLDLWIDFLIYAANRCNRESHAKKLNTGAEFTTISYDHFDRGGGGSTWEGEQSRSLDYTQMTWVV >KN539182.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539182.1:7846:10008:1 gene:KN539182.1_FG002 transcript:KN539182.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMIGLQLFWNAWMIRMVILSSFVAQLLLVFHANVRRRPPQSHRHGDVGPVLAYQWARWVPTFALGKLSSIGGSTSSSQSVQLVTIWAALLMFHAGEKLGGSRYGGDEVSGMGRTGCRATIPASSVTPEGVMIGGEVTALQGDLARPIRGHARGCTDCTDCKNFRLHRYINTLQKTLHCRVPYHSFRNNKFMSKIYVASRSNIYMPLHKA >KN539182.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539182.1:37501:39798:1 gene:KN539182.1_FG003 transcript:KN539182.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWMIRSVFLLNSWVIRTLVLFSFTAHLALVVLAGVRRREAIGVWVTILWAANQLGRSAATWQEQDCEEEALLVAHGLLDITKGAFVDSSVDEHRIPEYVAKRREIFPKTGWEMMYNVVDMELSLMYDILYTKATMVHTWHGYAIRFVSPLITTTAFLLFWFDSKQGQRIADVLITYVFLAGTVLLDIRWLLRAVASTWTYSFLNDRPRLWFHHALLCSGKWCFLRRLIFSLDLSQFLTKEPSSYRKWSGKIGQYNLLHECTRDKHSRTSNYLSSLVKKVVSEDQWMEYEYYNLRGIHISPGVKKKLFNCIWEFMRLAYPVEDGAEEKKKEAKEEDEKKKKKKEKEMEEKKKLDEHHRVEAVRKLEEVLDFLPEFQESILILHIATDIFLLYTKSEQSPSSKNDVEVIKALSNYMMFLVAVRPRMLPGLKLRSLYEATDDALAKVWPKQESSSRCKCGSRKKCLADILRDKEETITSDTWAKPDKWRQGYRTKNWKPKRITELYILSIVLSDGIKLANLLQHWLHCSYGFKFPKSDNSYESKFQQMFPKLTEILKVEMYDDPCKLEKLLEHIFMEWVRLLINASVKCTRESQAKQLSRGRPSFGSWLNTLAYFASTVTRDENSGRLICCLLH >KN539182.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539182.1:18789:22402:1 gene:KN539182.1_FG004 transcript:KN539182.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAFSGEWRMMTSIVWQRCVIHGLRRLGDIPGNPDEMACDVAGAGDALRAPSSGAPAGILLEQQADEFEEDGNVDDESERTSVMSRRGGCDRQGDDILRLGDITSPGRSWHRDTTEDVEQRVHEDEVETCSQAHPCSDPLRSSIVGIYILNLLGTCFELTG >KN539182.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539182.1:12142:12318:1 gene:KN539182.1_FG005 transcript:KN539182.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTGGGGDGETVEEVGKRVESTVAMGRRWAEEPIAEADVQVESEVGRRGGFGWSRR >KN539182.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539182.1:100355:102634:1 gene:KN539182.1_FG006 transcript:KN539182.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWNLLSTRVVVIISSVAHLALILCAEVRRNSVTGLRILILWVANQMSRWAPTTALAMLAAGRTPQEEQLVTLWVAFMLLHAGMPDNITAYALEDGVLSFRQRVNVFLQLVGPVSPAYILHQNMFAMPGDSMLWVSSIICCMAICKYLEGAFFALQRGNLENMRSESKEVPSRRITSLQSLRRGGKPDNDQIMLVAHGNLHITKGAFIDNLQYEHDAQQQEILPKTWDENKTLYKVVEMELSLIKEPTSYRMWSGTMGQYNILHECTSNGRDQTKTFLPRRIFSSLVKIVREDNWMEFQYHSLKGFRMSSDVGRHLFESIWEYLKSAYPPTVPTEKADEAKRTTQPVPYPDQKIVAPAPTPPLPPKEAHLHQRELEDALNFSPAFQESILIWHIATDVFLLCSHQYSSLSKEVQVIKVLSDYMVFLVAVRPSMLPGLKLRSLYEAVGYALTNDDEILPKEEYHGNLTEKKVKLAHRLVEMEQKPSLKNALRSKWRPGVSGHWFRPEKASILYDKNIILSDGTSFARVLLSRIGPNPYTPDDINLNYTRYQRLIDMIPELKDESNRFDTSKMMRLIFRAWVRLLVYASVRCTRDSHAKQLACGGELTTIIWILNEHAGIFRIDSDKDDDKDRLTSLYTNEDHLYL >KN540030.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540030.1:57813:58354:-1 gene:KN540030.1_FG001 transcript:KN540030.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNVLRSPNLNNAKGFNMPFNKEGVDEAIYQSQLMAHQVYANHVVATAQATWKVTMTQDMRPISQVHQHGCETTPVDSSNNTNGISENNNYHVGRKNSGANMVGILWPLLR >KN540433.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540433.1:7310:34559:-1 gene:KN540433.1_FG001 transcript:KN540433.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHLFYNMHVLSPTPLGPMDHWNNCSNKGDGCKEEPYAMLQISDVKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYIFNRSRDNPVPATTKAAAADARGGYAAGDDEEDCEDQLVFELDTLEDYVKAKLSEWVYSIPNNAKETLSIAIVAPDSENKEVLALAHETLVAPNDNYRLSIMVNIPAMHHPFLPLRPKEVLYYILRELELGEGAGSLKHVINQGDPGQDYYNIYRSKKRVLHEIKRNIEKMNIYSKLEKIESDIKDGQHKSDKQLLLQLQKKGVDQVDLHVLLQLLLLQSQQDQAKNKAVDMYKLPEWNDDIIMKIARKLKKHMEADEELNEQISVEKRTTKQGGESKEEKDKGDGDREDKEEENEKENDEGEQDGEEEGKEEERKDKKKGEERKEEEHHEKQEHEKEKEVEEERKEEQNKEKEEREGTKEEQVGGDKIENDDDDDDDEEEEEEEEEEDDDPIHLHEDQYIQILREVFPNIASSKAQQQDKSEAKQAIKTAMTTLDEVQIKQMINEAIALRELEGGKPDRNQATGEPNVPPDKNQPIGEHESVPDQNEAYFEEIELKIKEIKKEFKEQLKIKGIVDKIKHHLQGECPLIILKVDDMMDGSRWEEFRKALSLLECSADALIFTTESTDQAKGYCYPPREPIDHCSHVGLYHYTVLKLTSKQKNKSNYDPQIFLNILNECEGHEFCMRIFTHAVYANPKKSTEELRKLHSILQDSQKSFDAIAKNMLMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVTEGLTFKEDWPSSVRQANQCFDALIRRWLVYPANIGATGKIKSCVVGDLVHGFITTIARKQHIVETRLSHHLARHFSIFNDLRLRSSDGIHTFLQSLSQSSRVSLLKVLNLEGCQCFGGKNQRYLKDICSKMLLLKYLGLKGTDITQLPSEINCLRELEVLDIRETMVPANATVNVLLLKLKRLLAGHIDPSQRNFGTSVHIPHKIDKMVNIEVLSNIKAQRRDDLKDIGKLWQLRKLGVVIDGKKSHLMNLLKAISDLHECLRSLSITIPATTLNGTPSSPELPDDIGSRIEHPKILESLSISGARHFFPLLTRGGNNKLAKVTLSGTALNQDDLKVLAKLPKLQCVRFRHISCTESVLIFKEDDFECLKYLLIEGSNLTNITFEDGAARELEKMVLSSTGIESISGVDELPKFEELELNNNSCGRLLSSFHNAKQIAKLTLHGTLLKQDDLQIIAKELKIRCLVLLDKFLDGSQNQITFEKEEFIWLNLLIVDRSAITKIDFTSGSAPRLEKIVWSHFTSLSGIDNLPRLKELEFNGKVVPKEVKEAIENNNRINLKFFLFLKKEILCNMLDWILKLNDELGKWGKQSFMQIKYA >KN540030.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540030.1:1780:8655:1 gene:KN540030.1_FG002 transcript:KN540030.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQTKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLSVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHAKGVTSVTFSRDGTQILSSSFDTTARVHGLKSGKMLKEFRGHNSYVNCAIFSTDGSRVITASSDCTVKVWDTKTTDCLQTFKPPPPLRALNHASYLMVVLHFQGGDATVNSVHLSPKNSDHIIVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFLAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLIATYAEDCTMKTWKP >KN540030.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540030.1:35793:42466:1 gene:KN540030.1_FG003 transcript:KN540030.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQKKLLNAPSLGFGALVSPGREVAPGPETVERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKMNGSEGEFVVSSKHDLLQWPELASSAIDYVQSGNRRPGFVPVPDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKAIIYGTGIYLSPVHASLPVAHTIFSSLRPYQLSLPEVSRDRCIGAAVEVALGIIQGPAAEVSRGIIKRSGGNYRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKTAMKWMERLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDDMLVTQVIGPCEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMESKGDIKNDFVYFQFAVHYSNMYQTEITRVITMRLQTVDGLSAYLASVQDDVASVIIGKRTVLRARTASDAIDMRLTIDERVKDLALKFGTQVPKSKLYRFPKELASLPECLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSFRMLAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQSCIGSNAAPTKIDFSSMDPTLLGTGRWVQVRDQVDLLITHNWFDAHYPQLTPELRASLFDNVVPLDTKHRSYYCREKPFNGYLSGPPEPGRLRKLRCH >KN540030.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540030.1:28732:32614:-1 gene:KN540030.1_FG004 transcript:KN540030.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETPSGSKPPATIRLVNFISEDQILQENKEKKDAEFNERFKHRPPKALDEDEMEFLDKLASPMLLQHLKSSFYATFEQSRKEYEQQVANEEAEQLRSFQEAVAARSNIIHEEAPTVSRPEESKPKAKRSQPALLKNVIISVKPQAKKAKLDGEDKPPAKELPSNGHSADHKPPDATKGVLGSLVQYDDDESSDGDV >KN540030.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540030.1:66886:67494:1 gene:KN540030.1_FG005 transcript:KN540030.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQWNVIYSVYQVKPMMKNQKEDKSEKKGTKKKADKGANKLGAAQAAS >KN540433.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540433.1:49218:52406:-1 gene:KN540433.1_FG002 transcript:KN540433.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALPDTDDELWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLQQWLPLTQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNESEVSYLGCVAFSILDGPEIPSIDPMVFSSLDEPEVSSVEPVRNEAKVKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCKEMPELWEHLESCVETEENESNFPLISLVQDGSVLFLDSQKIARRNMDGSINVDALFNWLLRGSSLQKPAPSWTSIRKRCVHDGNEVLKKIGEISDLLQEQFGLKDHSEGTMHGDFFTTKVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQGTDSDLDHQISRTEEFENSSLSFSDSSDLSTLETESTSFNSGIGTVHQITTDELSLNVTLRALWHLRRIHIFRQIPHTLHHLSVRDHCVVCLLCEIFYAWDHNENHTAAILLGNARTAFSDILNDRNFDYKENKNIASEIISIIIEILHMSQKHYSFETFEPVEISPSRCFGYCVPHQVLGMYFKQKKCNCVNKPGGENDFIAIFHTVDVGAVQKTEMKSFGDILKAAELDVESCRCGNKTEGSLLFPPHIFTIGRVLPQLTMQC >KN540030.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540030.1:14510:14869:1 gene:KN540030.1_FG006 transcript:KN540030.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVVVLVGDHIAVWVRAAAARWERRHVVREESIIAAWPMEEPRLGDGWLGRTRLGWFCEGSGALLLERDDDDGRRRPLVLDMGGMVVRKVDMDCREAEFVHYEVDLVSYMMFVMRAF >KN540030.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540030.1:44294:56959:1 gene:KN540030.1_FG007 transcript:KN540030.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SECA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/Swiss-Prot;Acc:Q9SYI0] MEPEVSALSDADLRARTAKLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEVFERDIHYTVDEKQRNVLLTEEGYVDAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPMLPFGRAEDGVMVFTKQLKLKKFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKESVEVAVKAWGEKSLTELEAEERLSYSCEKGRSILIVEFGDILPKVAVIANKGLTNCIIGCLSTQEQWLDACIIVGQGPVGSKQPALLLTPVALRFLRTALGYQCTAPCGIEFGGGPTRDEVIANLRSAFMKIVDEYKVYTEEEKKKVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEEKSEKKGTKKKVDKGANKLGAAQAAS >KN540433.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540433.1:46241:46603:-1 gene:KN540433.1_FG003 transcript:KN540433.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATDAAGRSEGGEEAKEVVITCFAEFASEANLDGDDGGGDAGREYRRGKREDELKRRWISSVLAMAGREVDAGVVDPADGFYYRSVYPLHDSSRDLRHVSKTYPWHDFYYMSNLSPS >KN540998.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540998.1:8259:9994:1 gene:KN540998.1_FG001 transcript:KN540998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPHSPPPPAAADALGQILQALLPALLLAAESVKALHARWRAVHGTLLALQTSLAAAPDSAVSHPLFADLVASLLPALRSLQALSARCQDPSLPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGILYVDPSASSPNAIVLQVPAPAASRADKSLFIRDAFARLQIGGLDLKLKALASLLDLLANDIAAESAHIVATDGDVAALLRLLDASSHSALRDPPATRERAVAAIEAITADVGSAWAVAAYGGVPILINACRPGSGSPVVQALAVAALKNVASIEDVRSALVEEGGLPILVDLLASGTIDAQKGSALCIWSLASLGDHETQYQIVQAGALLPLLQALHTASGLDLHDTVLRAIHALAVVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVAELAPGVSDDTKRCMAPCICMLVKMMETAKPATVQESAGRALLALMTLKFNRKELVRDEKSVTRLLHMLDPRNEEIDKKYPVSVVLALALGGGNGTRRRLADSGICQHLQKLAEAEVPGAKKALQRISGNRLKSLLSRGWNN >KN540998.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540998.1:27214:28848:-1 gene:KN540998.1_FG002 transcript:KN540998.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFNPSPPSPKLVPFSNSPPSSANASAAESSQKVTPTPLVPSSLSLKDKALMDKKVVTRSRPLKLVYDHDIRLAQMPEKCSFRTLREVVASRFPSSKAVLIKYKDADGDLVTITCSAELRLAESCVDIAGSEVIEDGARHGQKLPMLRLHIVEVSPDQEPPMPTEEEKLEQDNELLVKGEDNSPHTSAAVVTDAEVMKQDVENVVAEAEQNTLTGKKDCGHAECKEAEIDDWLLQFADLFRNQVGVDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKEVMSAQLRTAYDWVRDRYALAGSKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSAWDSSQTFKLFDSAEHKMRAATEMWEKVEEQRMAELKEPSSEALKKRRKQHNADGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLDIADWKKNLDASIERFKLAGASESDISAVLKNHFSNTVSECEDKKIMTLDTGISQISNNIEDKCILES >KN540998.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540998.1:24186:26311:1 gene:KN540998.1_FG003 transcript:KN540998.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPYIPVAPPRHLQITTTIPPDQSEELLPILGSSVGIEKLCGQPPTKHRQRGQTWGRGGEKRSASPVGPSVAAPGSHLPLSCRLPLLFRIGRAQAGGIDKGDEGKSIRGFGSGKLLIFSKELCDQFLRLILRDVPIMNKFMI >KN540998.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540998.1:17843:18145:1 gene:KN540998.1_FG004 transcript:KN540998.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIVVLLSQWWLVLPVLGVLGQHKAAGGLLPHSSLDDGCRECDRRADGGFGLDSCSVDVDVAVDEVESPPLGLGWVPGLAPEGGRNRACAVRCRQDAKR >KN539503.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539503.1:5836:7115:1 gene:KN539503.1_FG001 transcript:KN539503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTQTIQVPNDAELMQAQADLWRHSLYYLTSMGLRCAVELGIPTTIHGLGGAATVPDLMAALSLPENKLPFFRRLMRVLVTAGVFASDDGGETERFRLTPLSRILVDGVVADEHHSQRCFVLGTTSRHYVDAAFALSEWFKKDLASPVPSPFEDLHGARLFDESTPLLDPELDATVNEGLAAHDNLGVGTLLRECRDLFKGVRSLTDCCGRHGATARAIVKAYPHIKCTVLDLPRLVDHAPRDGVVNYVAGDAFQCTIPPAQAVMLKLVLHHLSDDDCVKILAQCKKAIPSRKEGGKLIVIDILVEPSLGPVMFEAQLMMDMLMMVNTRGRQRNENDWHDLFMTAGFSDYKIVKKLGARAVFEVYL >KN539503.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539503.1:82705:83940:1 gene:KN539503.1_FG002 transcript:KN539503.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMQLCLRLYGGPGTSSLRSDESGHDDGGGSNHNDTEGAAMGEATTTLEEQHGLEVASVALRWAYPALDGRIQHWRRRGWEGRWWRSLIWRSGDGATMMWLRDGGVGLGSTGASATTTDYGFVAGPRQQWQTAVTMTMARTDES >KN539503.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539503.1:66115:69883:-1 gene:KN539503.1_FG003 transcript:KN539503.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPISPQLVSPTDDELLQAQADLWRHSLYYLTSMALRCAVKLGIPTAIHRLGGNATLPALITALSLPPAKLPFLRRLMRLLVSSGVFTTERGGAAAEAEAVYGLAPLSLFLVDGAFAGSEVDDGHTNQSAFVLAATSAHYVEAALGLDDWFRMDNVPAAASPFEAVHGAPLLHETPVDAELNRLVSEALVSQNHMGIGLALRESRRVFEGLESLVDCGGGDGATARAIVRAFPGIKCTVLDLPQVIGTAPVADGAVDYVAGDMFSYIPPAQAVLLKYVLSHWSDKDCVKILAQCKKAIPSREAGGKVIIKDVVVGTSSGLMLEAELLMDMAMMVMTSGRERDEQEWREIFTNAGFSDYKIMNKLGARCVIEVYP >KN539503.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539503.1:53821:57330:1 gene:KN539503.1_FG004 transcript:KN539503.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANTIEVPTDAELLQAQADLWRHSLYYLTSMGLRCAIKLGIPTAIHRLGGAASVPGLMTKLSLPASKQPFLRRLMRVLVTNGVFAAAEHNDGEVERYCLTPLSRILVDGVVADEHHSQTSFVLAATSRHYLEAALGLDEWFRKDVAPPLSSPFEDVHGASLFDESTALLDPELDAVVNEGLAAHDNLGIGTILRECRDLFRGLRSVTDCCGGDGTTARAIAKAYPHVKCTVLDLPKVIDKAPNDGVVNYVAGDLFHTVPPAQAVMLKLVLHHWSDDDCVKILTQCRKAIPSREEGGKVIIIEILVGPSLGPVMFEAQLMMDMLMLVNTRGRQRDERDWRDLFSKAGFSDYKIVKMLGARGVFEVYP >KN541234.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541234.1:2903:8008:1 gene:KN541234.1_FG001 transcript:KN541234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLHRKKGKNNSASKVLVIVVPIVAVAIVAATSVCIWNVRKKRRSRKAEHFSELDASEDLESVKSTLITLASLQVATDNFHESKKLGEGGFGAVYKGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGESGYMSPEYVIRGQYSTKSDVFSFGILVIEIVTGRRNSGPHFLEQNEDLISIVRRHWEEGNIVEMIDHSLGRNYPEAELLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFATHSPTISIEGNSADAAEDFESVKSTLLSLASLQVATDNFNESMKLGEGGFGAVYKGLLFGQDVAVKRLAKGSNQGLEEVKNELVLVAKLHHKNLVQLVGFCLEEGERMLVYEYMPNKSLDTFLFGLPEKYRTPRHEGEQHLDADMNPKIGDFGLARLFGQDQTREITNRIVGTL >KN541234.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541234.1:18759:22953:1 gene:KN541234.1_FG002 transcript:KN541234.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTYLAGRKGGRVLGVRCNFRFETYPFFFGQPLLQLPGSPASSSAPVNGVPTESSTDDMQSIGSLLLDLSTLRVATDDFSEHKRLGEGGFGVVYKGDLPKGQEIAVKRLAKTSKQGIEELKTELLLVAKLNHNNLVKLIGVCLEENEKILVYEYMPNRSLDTILFDAQKIKELNWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFERDQSKVITHRIAGTYGYMSPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDQQDYDLINATWEHWTSDKALELIDPSLGNHYPVDKVLKCIQIGLLCVQPKPADRPLMSAVNVMLTGTIRLPSLSRPAFWFQEIGASSDVNSEQNLLDPHNSTKMYQIEAPITELEPR >AMDW01040167.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040167.1:80:917:1 gene:AMDW01040167.1_FG001 transcript:AMDW01040167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLLNFPMTRSFYFGLFDYKVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQ >KN540011.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540011.1:62202:62954:1 gene:KN540011.1_FG001 transcript:KN540011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >KN540011.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540011.1:50172:52025:1 gene:KN540011.1_FG002 transcript:KN540011.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVVVRSFWLEIPPFLCKLSLAYKGIGISIAKKCAYLVNEREVQDSKTENTDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRMGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGKLDMLSKPVRVAAEKLMASTAENTGLVFSVCMPYNSTSEIVNAVNKACAERRDILQREDVDSVANNGVHSDISVADLDHHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNLAKKQL >KN540011.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540011.1:29681:38888:1 gene:KN540011.1_FG003 transcript:KN540011.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQENAMFCFEHLVVLNADRDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCSELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQGSLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAMDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGIGLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVASVTPQVVDLLDGLSSSTPLSDENTAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNIFTDFIFQAAVPKFIQLRLDPASSNTLPANGNDSVTQSLSVTNNQHGQKPLAMRIRISYKVNGEDRLEQGQINNFPAGL >KN540011.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540011.1:4651:5837:-1 gene:KN540011.1_FG004 transcript:KN540011.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLRLALPSPSPAVSELDLLNSALGSCGKRGFEEALGGFKTNDDDDDGNGRGGDGDSDGEMGNKRRKLVGWPPVKCLHRRRDGGCGGGYVKVKMEGLAIGRKLDLSILGSYAELLDTLHLTFPSTNQEDGHDRRRRHPYAVTYEDGEGDWMQVGDVPWEAFAKSVKRLKILV >KN540011.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540011.1:15903:25292:-1 gene:KN540011.1_FG005 transcript:KN540011.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKVDRRERRTIEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >KN540011.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540011.1:53298:60993:1 gene:KN540011.1_FG006 transcript:KN540011.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPLTLLILLVSIAIAAAQAPSPRGSSTNTFDAAALHAVFKQWNLQRDPAPPAYDPCTKRVWHNSSAMEASINCICSSNDNDCRITHLNVTGFRNITFIPDELFHLTELVSLDLSNNNLSGPITPNIANLTKLEIWWMFDNNIDGKVPEFIADFTNLKDLRIYGTKLRGPIPNKFSNMANLTYLMIGDLDGDNFPFDFIGDWANISVLDLRSNNLTGPIKQVLQDNRRVQHLDVTYNPLLNGSLSNIFSDGSEEVSLNCLNMKECNQTVNNTVPFAVNCGGKEYTSDPLQTVFHDDSTDLRSAGFHIDSSNSWVVSHVGADPFSNSTGIVNTTKNISGTDMLELYRTARTSTGSLWYYVVGLTSGRYTVQLFFAEIVIESESGRRLFNIDIQDQNIRADFDIFKEAGGFNKSTNINYTANVTTSVLKIHLYWNGRGTCCIPRNGTYGPLISAIRVFPYTEAQASPPPAPHTSRRDEKRRGVVAGIAALSIAAAVISSSVVYLWWKWVSLVKHRKA >KN540011.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540011.1:12405:14858:1 gene:KN540011.1_FG007 transcript:KN540011.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRFAHRVLCCGRKGSGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKKLKCAKNGTETEFASDVEILGRVRHKNLLSFRGYCADGPERVLVYDFMPNSSLYAHLHGTHSTECLLDWRRRTFIAIGAARALALVKKPHLCSLLHSIHNLCFSVSLLLAHLGDFGLIRFIPDGVDHDKIISENQRGYLAPEYIMFGKPTIGCDVYSFGIILLELSSGRRPVEKSGSAKMCGVRNWVLPLAKDGRYDEIVDSKLNDKYSESELKRVVLVGLACTHREPEKRPTMLEVVSMLKGESKEMLSRLENDELFRPDSTVSSHGMSTPEGSSDCVPKNDQELAAA >KN540011.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540011.1:41761:43629:-1 gene:KN540011.1_FG008 transcript:KN540011.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGVIRSSQDGGGGGGGGGTVSGAARISPAVVFVLVILAVVLFVSGLLHLLVRFLLRRGRGRDGGGGGDAEAAEGVEESALQRQLQQLFHLHDAGLDQDVIDALPVFMYREVSVHRRHGDGNGRARLRGLAGANPAGNDAAAAADGKKIGAGSKGDSFSVSKIWQWPRQGKGKLPDLLLMMTKRERKKKERNTQVMPV >KN539511.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539511.1:59805:62189:1 gene:KN539511.1_FG001 transcript:KN539511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/succinic semialdehyde reductase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17650) UniProtKB/Swiss-Prot;Acc:F4I907] MASNLIKAGCDVTVWNRTRSKCDPLLSLGAKYEPSPADVASSCDVTFAMLADPESAVEVACGANGAAEGMAPGKGYVDVSTVDAATSKLIGKHITSTGASFLERCDFSSCTVGPMLTRFLFSRLQFQAQKSQQKMGCSSFLPQSRFFLGDVGKGADMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADQDFSAVIEALKAKEEQNK >KN542949.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542949.1:195:1089:-1 gene:KN542949.1_FG001 transcript:KN542949.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MILWLLISQTPSTCCAHARCVAGERDALLSFKASLLDPAGRLSSWQGDNCCQWKGVQCSNKTGNVVALNLRNTNISCRYFYDDDELCLIRDGELSLLGGELSSSLTDLQHLRHLDLSCNFFNEMSIPVFMGSFKNLRYLNLSGASFSGKIPPQIGNLSSLQYLDVSSNYFSSNDISWLPHLPSLKHMDMTGVDLSSVRDWVHVVNMLPALQVLRLSDCGLNHIVSKLSHSNLTNLEVLDLSFNPFYYAPLQHNWFWDLTRLEELYLNWAWLAPAGPIPNRLGNMSVLRVLDLSFSSIV >AMDW01038705.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038705.1:243:773:-1 gene:AMDW01038705.1_FG001 transcript:AMDW01038705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGATHHGRTLTWHSRLGRRSASTAQASYRSSIKGTNKNAYSNCFGHYGENSTYPDRCFHMKISYSCDDFYRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNIADWMPMARNWGAQWHSLAYLTGQGLSFRVTNTDDQTLVFTNVVPPGWKFGQTFASKLQFK >KN539511.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539511.1:86926:89920:1 gene:KN539511.1_FG002 transcript:KN539511.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVGADTTCTTIIDVPDHPKKTHTKCSMLDLGVKCGVDQAMISLLTVAGGSKGVPTSNEPMDIFSPRVMVDFKQDSRMPIMCLLKCPNGNRRQLMEQPKRNPWPPPWSVHKIAWSRMIKSVRHVPSISNELDDIQGKSTRIYIGVEIPEGSALRVTFAAVTKENILAILLCCDKSMEWNTGSTSCSNIAHVECNNPAGNNVNEVIHSSDGKMRVNSHAVHSGANYLISISSILIKKLRSIGSLTHNNSTLQPTHSRSLMEPKRKSSVPKLAQKRQQLIIETTKQLRLPTADISQNHHITASCLLLAPAHLEKRLHRYRFAEVHRTSTTKQLRHHLANSNKGIGCQPQARSGSRKAKASPNISGLFSVGVEVEIPRANANSMTRDAHEKVLQSVPTAGTRRESSLPFAWKLGQGFHLEHSGERIRGNTP >KN539511.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539511.1:19677:26522:-1 gene:KN539511.1_FG003 transcript:KN539511.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSIMGPQSSGHRLPKDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNLLDHLRTRTLEAFKESFDKSLEKEGFAVAARDCTKAFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >KN542949.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542949.1:6330:8897:-1 gene:KN542949.1_FG002 transcript:KN542949.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVDLSSVRDWVHMVNMLPALQVLRLSECGLNHTVSKLSHSNLTNLEVLDLSDNQFYYTPLQHNWFWDLTSLEELYLSGYAWFAPAGPIPDRLGNMSALRVLDLSFSSIVSLFPKTLLEKMCNLQVLRMDGNNIDADIREFMQRLPMCSWNSLEQLSLDNTNMSGTFPTLIRKMSNLSVLVLSGNMLVGELPVGVGALGNLKMLDLSYNNFSGPVPLGIGAVNLEFLNLMNNKFSGFVPLGIGAVSYLKRLYLSYNNFSGPAPSWIGALGNLEILDLSHNSFSGPVPLGIGSLSNLTTLDLSYNRFQGMISEEHVERLSRLEYLDLSYNNLKIDIHTNSSPPFKLTIAVFRSCQLGPRFPLWLRWQTDIDVLVLENTKLDDVIPDWFWVTFSRASFLQASGNMLHGSLPPSLEHISVGRIYLGSNLLTGQVPQLPISMTRLNLSSNFLSGPLPSLKAPLLEELLLANNQISGSIPPSMCQLIGLKRLDLSGNKITGNLEQMQCWKVSDNTSSMTNINSGGKFGSNMLSLALNHNELSGEFPRFLQSASQLLFLDLSYNRFFGSLPKWLPEIMQKLQILRLRSNNFTGHIPKKLTHLDRLHYLDIANNNISGTIPEDLARLKAMTVVAQNFESYIFEESIPVITKDQQRDYTFGIYNQLVNLDFSCNNLIGHIPEEIHLLIGLTNLNLSRNQFSGTIPSQFGDLKQLESLDLSYNEFSGKIPSSLSALTSLSHLNLSYNNLSGEIPSGPQLQALDNPIYIYIGNPGLCGHPLPNNCSNNNAKQSDFTDRNHVETLYLGMGIGFVIGLWTVFCTMLMKRTWMMAYFRIIDKLYDKAYVQVSIRWTRLMHKRQDFAA >KN539511.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539511.1:62723:73977:-1 gene:KN539511.1_FG004 transcript:KN539511.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQLARSRPASTIYNELITSRPSWLLRGDVNGGGALKNLNERYQSSFVGSLARRVQNLDVPSEASLLKEIYKSDPERVIQIFESQPWLHSNRLALSEYVKALVKVDRLDDSTLLKTLRRGMAVSGGEGERVGSSSALKSAGQATKDGILGTANAPIHMVTSETGHFKDQIWRTFRSLALTFLVISGIGALIEDRGISKGLGLSQEVQPIMDSKTKFSDVKGVDEAKAELEEIVHYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGARPVHKATIVPRGRTLGMVSQLPEKDETSFSRKQMLALLDVSMAGRVAEELIFGDSEVTSGASSDFQNATKMARAMVTKYGMSKQLGFVTYNYEDDGKSISTETRLLIEQEVKSLLENAYNNAKTILTKHSKEHHVLAQALLEHETLTGAQIKKILAQASSSQQQQQQQQEHAVARNRSAYAICNEIITSNPSRILRDNTIAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLVSVSENLGSVSAFKSSGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQLLRITKSLLMLLQSSIEHIDCV >KN539511.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539511.1:78195:80459:-1 gene:KN539511.1_FG005 transcript:KN539511.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGLDQEEAINHEAEDAHHDFFPLQAHPALNSPCNPHPLGGHYCRARTTCPAGLVGLNDIDHGGRQVWANTRYGIADVAATSTAPECHGDGRAPPADVKGEGDADSSMSFRDRRDCHDRHNCCIFAPFVCESRTACMQFFGRAFMAFARLDQEEAINQEAGCAPRLLPSPSPTGARLSLQPPPTGEAAVAALAQRARPDLLASTTSTTADDKFGPTPAAPATQAGRPRGPLRQQRPPPGHPTRPSTSTRRTLSPSSTPLAASKAWGSWSTRSSIGGSRTVQVQQQQLAPNFQDNILLLSGDLIANAGREETIVYEANPDALAVDLVDDPLVDEVLTIDAGDMEALFEIDQSTKPFLRNTRRIRSWRGR >KN539511.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539511.1:4483:5632:-1 gene:KN539511.1_FG006 transcript:KN539511.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAEEGDWKGLCLLCNLAGPQIGKLMTRKDKTTVLINAAKYLKSLETEITELEGTNTKLEKHIAGGGGAAVAAMRARRAQQRAKVQISKAAESQQQLVNLTVMVMVECDVVELVLHILECLRWMKEISVVSVYADTYSPQLLLKAIANIKLQIMGGDWNEASFHEAMTKAANDATISCAPLAITAAQ >KN539511.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539511.1:75381:75560:-1 gene:KN539511.1_FG007 transcript:KN539511.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAFVADARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQQAQQAPPALEDGH >KN539511.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539511.1:38637:38879:-1 gene:KN539511.1_FG008 transcript:KN539511.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLLLAVSRADHLLPRSLLDAAVGLLLPAAIPIDASVGTKCARQETRKGSALMVRRTQWYPELPLLPLAEKRMRNQQS >KN539511.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539511.1:1478:1804:-1 gene:KN539511.1_FG009 transcript:KN539511.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVERGQALTKTLWHPLWRDGGGEEDGHDCSREEEWSWMGDGDVEEVADEVLSREVATVGRGVIAGIRHYAMTMFALTCRCPSLGKHQTGSEHNMVKVVVQELAVRE >KN539511.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539511.1:81223:84162:-1 gene:KN539511.1_FG010 transcript:KN539511.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEQELDCLKAGAVVVGHEAVAGGEVGGLAHLDDPSLAPKSSPSPPPPFPPQQQRPRCAACREQKTGCSLECLLASYFLGDEKVRLLNAQRLFGTRNIRRFVQATLPEKRDDLMSSIKYEAQVWARNPQSGATGVMWHLERKVERELAKLSKLRQKLEMCKNLAAKKGIPEAKGVGVRQVTSKEQEQERQYQVCPERISAWTPRLFVHRSACGIPISQTESRIACMQFFGRAFMAFAGQVQEEVINNEAEDAHYDFFPLQAHLVLNSLCNPTHWEATIDALAQCARLDSLASTTPTTTECHRDGRAPPTYVKGEGDVDHTSSMSFHNR >KN539511.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539511.1:41585:52392:1 gene:KN539511.1_FG011 transcript:KN539511.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQMQATALVTRLNRSTQAKRKGGKIGDKSYMHKPRNSDIRFFELPTFAVTSLRLAALNVSDSDAVTSRIEFTVTARNPNDKIAFAYGDIAAAFAADGADVGDGTVPGFVHPAGNTTVIKGDASAAAATVDPLVANGLRSRKSHAMSVEMDSKVGFQIGRFKSKRINVRVLCAGFTAALAKNTPSAPPIVVAAAPSPVRSVVKASSSSSSSSSWGTTDAKCKLRVKIWIWTF >KN539820.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539820.1:76235:78575:-1 gene:KN539820.1_FG001 transcript:KN539820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAICGEDEAAVRDLDGLGLDADALRLPAHLFGVFDGHGGAEVANYCRERIHVVLSEELKRLGKNLGEMGEVDMKEHWDDVFTKCFQRVDDEVSGRVTRVVNGGGEVRSEPVTAENVGSTAVVALVCSSHVVVANCGDSRIVLCRGKEPVALSIDHKPDRKDERARIEAQGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVMVVPRAKDDDCLILASDGLWDVVSNEEACKVARRQILLWHKNNGAASPLSDEGEGSTDPAAQAAADYLMRLALKKGSEDNITVIVVDLKPRKKLKNIS >KN539820.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539820.1:53150:54167:1 gene:KN539820.1_FG002 transcript:KN539820.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAHAAVYHHPVSGLSAPAGDAAYSMSSYFSHGGSSTSSSASSFSAALAAATTPPLPDPSGSQFDISEFFFDDAPPAAVFNGAPTAALSDGTAAHATRSAAEAVPAPAPAAVERPRTERIAFRTKSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSLN >KN539820.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539820.1:32713:34281:-1 gene:KN539820.1_FG003 transcript:KN539820.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSLRPRGRRPREACGDDRLSALPDDLLLLVLRRLDTRAALATGMLSRRWANLPHELDALDFRVSDILPPRYHRCILRHRGVMNWIAYRHAIPNSLMPAIRRHERRAARALVRSVESFIDADDGRPSRRKVSRLRLEFFGTHNTAGINRLISKAIDDWGVEELEAVGKPMYWRQPPTHEFPSHGLCKDPRASRLRTLTLGGCVLPPLHEYGGVTKLVLHGMAASTPAEAYEGVFTSCPQLQVLHLESCFLDRRKSLVVDAPMSEIRELVVDACDIISVKLRSLPRLQNLASMGTQVLFDRRTSSSSFPCLRQWHLAYLYGLARKFSRWLEPDLTLDRFFHYTLDITDLIVRFTGPERWIVPSSCSPSPPLLRSLRRLLVADVPSSWDASWPRILLDAAPSLKTLHIHIAPRDAKKPTGGEFSWHHPTVPLHNYRLEEFAMAGFGGTVSQVYFVKLVVGACTALRHVSIFKGGDLKYKGNWDWEMPRLQENSWNDKEKETMEKQIMDAVSCSTDHLQIVLG >KN539820.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539820.1:1616:4513:1 gene:KN539820.1_FG004 transcript:KN539820.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPAGPGLYSDIGKKARDLLYRDYHTDQKFTLTTYAANGAAITVAGTKKNESIFSEIQSQLITTFTVHDLGTPGLKGILSIPFPYQKSAKAEVQYLHPHAGLNASVGLNANPLVSFSGVNNKGDSLAASYYHKVSKTSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGLASALIQHEFRPKSLVTISTEVDTKAIDKSSKVGLSLVLKP >KN539820.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539820.1:23926:28102:1 gene:KN539820.1_FG005 transcript:KN539820.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKVMRNLCEVLGIDFWEKMEELDIDPKVEEMDDETSQRVNLAVELLENTKRSRFLKIKELGLRLIELRSVMIDQEIDFANVVCFIDAASEDLITQEKALSSRFLNKIKCKVSQLEQLSANRLKEKNLRIKTKIRELLECTHLTGNEVEIDLEKEVLEELKVQKEMLKVEVERRSDIVIRAEIWRKSVDQLEALQKGSKNTNKMELMRCELLAKRIEGIKNILVEMVQTWEEKYDSPFSYDGDHLLTILNADAKPSSSEAEGMQKQKAEGQVPAQDLKSLLTPHPKLRRTPRVPVPLEEHVPLQLHVVAPLLLVPPAGAPPPMAQAAQAVQELPAPLPLPDVPVQAGSPPTMNQPVQQEPAKSADFYNAEGSPGDEETGSINVSSDNDDSDDSDYLP >KN539820.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539820.1:57219:75524:1 gene:KN539820.1_FG006 transcript:KN539820.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKIVLGSHIWLEDKDLAWIDGEVFRIEGQKAHIRTTNGNMVVASISDIHPKDTEVHSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADISYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEEIRKYNLGDPSSFHYLNQSTCIKVDGISDNEEYLATRSAMNTVGITEQEQEAIFRVVAAVLHLGNINFVKGREVDSSVIKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVSRLNASIGQDENSQYLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKCTHESFSQKLYEKFKNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASTCSFVSGLFPSVQEENTKSSKSSIANRFKGQLHDLMETLSSTEPHYIRCIKPNNLLKPATFENANVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRIIAPDFFKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVQNRAARAVQSRFHTHVAREQFLMLRNTSISFQSFVRAILACKLHLLLRKQAAALKIQKNVRCYFASKSFSELRSSAITLQTGLRAFGAYNEYIRRKQNKASTDIQTQWRSHRDNSNYLKLKRSVLIYQCAWRIQVAKGKLRKLKMAARDTEALKVEKGKLEEHIEELSSRLCLEKKLRSDLENSKATEISKLQTTLNEMERRVEEARATQERESAKKVVEEALVLEREKIALLTKEVEELKVLLLKEQEEKNAANSAFSIAQERNDDLTKKVEVANENFKQLKDTLKSFEESTKGLETSLMMERQQNEANRREVGEAQQRVEELLRLVADANGKSTSLQTTVQRLEQSLIEKEATWLTERQESETTNKLLIEAHGRNEELLNKIEVAENDISKFRDNIQRFEETATTLETSLLAEKQHSAAIMSQLAETKQGNEELQKKLADVNRTNDILQDSLKRFEENVTTRDALYLAERQEHDETKQSLSKSQERNWELLQKVDEAEKRINKLLENAQRLEKHATARESLLLKTKQSHDSTTKALVEAESRNRELTKSFEDSDRKISLLEDSVNRLEERIAEKDSLLEIERQENNATKDEVTNAQNKIMELVNESQQLQDIRKHLEDNIKRLEEDATTREALLISEKQTHEATKRTLTETQLRNGELINKIQDSDKHALQLELTIERLQENASTMEALLLREREQSNATMKAHSESQERNSLLLKKFEDVDKKIGLLQGTIQRLGEQTTKDTLLLSERKEKDELKKVLTETEYRNEELVIKIEEENKKVEHLQDTITMLKENIAVQAANLEAERQENDRIRKSLVEAQERNDELFKKVSDSEYRAQQLQDTVQKLRQESDAVRKALAESHGRNEDLIRRNDDLLSRNDDLIKKIEDSGQVVAELQAALERIEGKAANLEAENQILRQQAIATPPSTAKSQAAFSKINAFQQRSPENGHILNGNVAYAEKSLTGPAETRPSMVVNQGSILNLINQKDYESGDKMQRAHNEVYQHQQPQDDQQLLLQYITQHLGFSGSKPVTALLLYQCLLHWKSFETAKTSVFDSILQEINSAIEAQHDTRSLAYWLSNLSTLSVLLQLSFKTTRAAISTPHRRRFSYERIFQASQTSNSGLAYFSAQPVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDPRTSHSNQAKTSLSSASHLGQQSQLTHWLGIVKILNNCLHLLRANHVPSILIHKLLTQIFSMLKFLVFTQFADSAWEALRHIRQAVDFLVISLKPIRTWSEICDDVCPALSLQQLERIVGMYWDDMNGTNIISAEFTSNMRTMMKEESNNATSFSVLLDDDSSIPFSLEDIAKSMPTIEETTENDLLPFVRENQSFAFILHRRD >KN539820.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539820.1:5181:18172:-1 gene:KN539820.1_FG007 transcript:KN539820.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKEFFPNKDLAEQPYFEAELLCYPKQKIICDYLSSRQAECHTSNQYNTCFWMLVKSGKREHEAHEILKGTLSKDRNELLFQKFHLNYNNELAMFRKGSCTYRHKVQNLRMQRVEKIPEENGGRWLHTPPFATVSPQEISGSSPAEVQNFGLSSVQGSWTTSGNWNWLVDPLNGEKFIKVAEVQEAEIKPFVESLSNCPKHGLHNPLKAPERYLMYGDISAKAANMLGQPVVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQSSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGMPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRIAEKLAADLKGKIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSILFMHKNWSSSGLLDKMKSLSERRKLEDLTIGPVLTVTTSSMIEHVKNLLKIPGSKVLFGGEPLENHSIPEIYGAFKPTAVFVPLSEILKSGNFELVTREIFGPFQEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVCHPNFNGSNWISIPVRDTNSGEPRQNENSVHGALRLQMRPLYDTAKYAHNKQVTNSVASQCNQYINTLAGSSPAMIINAPEGGAEAGPVVRRRRREPSLLAPISGDTNGGGIGKTSLSGITVKRSSRFRGVSQVKEVKHIYTEKFLCLVTDSELVGMTRRYLSCRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRESFSLLFCYHLFNFDKHLNRCQEEQWLLKRHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPTPAHVAMNPAEALAMQIPVDHFLPMETQMMMLPQSNPFTSYHNAQSLGGGDGGSSSSNNQEASMIISPSGSSRKRSSSTALSLLLKSSMFRQLVEKNSDAGEENGGEMREPGAHPGEGYEYHNFFQGDAAPDMDMCDLLSSGNVHAATGSAGFEEDIACYSGFGSMQSLQQ >KN539820.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539820.1:38379:40860:1 gene:KN539820.1_FG008 transcript:KN539820.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRTLLKVIVLGDSGYVNKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCMLVYDVNAKRSFNALNTWHDEFLTQASPSDPKHFPFILLGNKIDIDAGNRRAIPEKKAKEWCVSKGNIPYFETSAKDDYNVDSAFLCIAKLALEHEHDQDIDSDFDPALMAILKLDDGVLGNHAAAEAGRDLSLCPRR >KN544053.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544053.1:1237:1588:-1 gene:KN544053.1_FG001 transcript:KN544053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARFKAKVDELSAAVDHILNKASVGAVSSLGATTYTDAQQVFDEMPSNKEPTITTVLHFTVSDVLYLVTTEVLHQVLVPYDVEHVMIVIKAVTYVEAVVSFKSI >AMDW01038355.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038355.1:39:416:-1 gene:AMDW01038355.1_FG001 transcript:AMDW01038355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNAN >AMDW01037858.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037858.1:62:614:-1 gene:AMDW01037858.1_FG001 transcript:AMDW01037858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGWILTELESLSRLKWLRIDSLENAAIDNVDVIATKQHLKRLELSCTLKSNGDHDPWDEIEVKKTEAIFEKLLPASCLEDLLIRGFFGRRFPTWMESSSLCNVTWLKLIDCRFYLKFPPLGQLPHLSFLKIARANSVIAVGSEFHGHGATAFPKLEFLWIGKMPNWGDWSFHIAQATQFFSS >KN540164.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540164.1:18285:19250:1 gene:KN540164.1_FG001 transcript:KN540164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQARKEAAAAAQDMLKLDAARMRKPAASQSRKGAAAPMRKAQGGARAASMVPEQSSSGLAARVPEHRSSGYGAASPPPSSFTDGSCFFNGSAGGFFGNAGQSPSGQPWSSQSSDPATWGNNATPLGGFINLIQPNLSQQFNFVGDQNQSEDDYSTPISARDNTYVNVDSGDETPRTEKRIFWTQEEDVRMSK >AMDW01038897.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038897.1:449:535:-1 gene:AMDW01038897.1_FG001 transcript:AMDW01038897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASVKDDESPKKDEPKFQAFSGKSYSLKR >KN540164.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540164.1:51978:53205:-1 gene:KN540164.1_FG002 transcript:KN540164.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRPLESVLVAPGVKGKKVLAFKRDGLKENEAVTGLIHDIVASSSARSAFHVLDLAKVVDLYAGWRRALPGLPEMSVDDWLVFDDMGAYTTAAGSSFNGFATSAIKVYLAYSS >KN540164.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540164.1:45268:47638:1 gene:KN540164.1_FG003 transcript:KN540164.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQRLADIDAFFTAQSGLPVLDEEGRCIGVVSKKDKAKASNGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGIVTRTDVFKALEASKV >KN540164.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540164.1:34498:35505:1 gene:KN540164.1_FG004 transcript:KN540164.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAYWSDHVAQQQKMAILAYWSDHVAQQQKMAILAYWSDHVAQQQKMAILAYWSDHVAQQQKMAILAYWSDHVAQQQKMAILAYWSDHVAQQQKMAILAYWSDHVAQQQKMAILAYWSDHVAQQQKMTILAYWSDHVAQQQKMTILAYWSDHVAQQQKMTILAYWSDHVAQQQKMTILAYWSDHVAQQQKMTILAYWSDHVAQQQKMTILAYWTDHVAQQQKMTILVYWSDHVAHFAVSPALLFCLFHYPSPPEKNSLYYGHFPLFFVHKHYDTQDLKEHQTVWCPRSFQALWQYLYPLAAVKCPETYLQRLHLSLAAHAMLLSSLWMLVACH >KN540164.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540164.1:56862:59605:-1 gene:KN540164.1_FG005 transcript:KN540164.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRIPRLRVAGLHSRRPRSAPAGGKEAACEEERLAAASPTTACRRRLNWHRRCLLGLRLNRHRLTSPSPCPHRRAASPISPHLFASPPRRVVDQVHGESVANPTAAFAGVTGSTPQSPVANLPCINASMAGPRVVEARGVGRLNGRGPSPTASPIDEAAPSDWEVRIFNLSGFRNIMDSVWQYVLHIRYTGRTYFLEESLSKHESRVEAKKALEESLSMHGSKVEAVL >AMDW01058001.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058001.1:98:313:-1 gene:AMDW01058001.1_FG001 transcript:AMDW01058001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVKLNAAAAVVLSLLVVVAVHPAAADAGGDWYGKKSIEETVRKEVEKAIKHNPGVGAALVRLVFHDCWVN >AMDW01020871.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020871.1:1:258:1 gene:AMDW01020871.1_FG001 transcript:AMDW01020871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GELKKLLAARTGLPAADQRLTYRGKERGNADYLDVCGVKNKSKLYLAEDPTSVERRYIERQKSAKIETANRAIGAIALEVDKLADQ >KN541916.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541916.1:9080:10016:-1 gene:KN541916.1_FG001 transcript:KN541916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRRRHIPAFGEWNQQCEELPITQYFDSAMEHGLVVTAGHYYHATAGELLFKVRSTKNGMENQAARRRDGPSVVVDGGVVVHGSRRPRVVRAVDEDLYKVPSELLRKKAKGVLLLHPI >KN541538.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541538.1:5806:11116:-1 gene:KN541538.1_FG001 transcript:KN541538.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQQQRPSYPFPELISSGRLEVHTLINPTVDEFLEAQRALQPRFMYLRGQQLDNEEEIGTLVWRDADVSDPQILSSLIRPPFPTIVYLEVPSGEKIAQSLQSKICNFHTLSLLGIYITPPGNEIAEEEDTSEYFPDIKIYDEDVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIETMQHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPFHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKNRVKGSMMSKPV >KN541538.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541538.1:18148:19075:1 gene:KN541538.1_FG002 transcript:KN541538.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRRIRISYSDPDATDSDSDSDSADAGDHDISSRSSRTNAAARRKVIVISLSRKKGRSLSQSGRHYRRRRSEIPAAAAKAVEAEDWPSLSDGMRRGAVAAARSASAAAKKGSRGGVYQRQPGRWAVDFRDSQLKVRQWLGTFPSEEEARAAYDAFEVQEAPPPSSSASAATPPPLNPPPFVVDTFLGEDDHLAADEDDRFGFGLADLGHLPLPFLDDNDMDFKLSDSDDLSFLFDIGFM >AMDW01036300.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036300.1:203:514:1 gene:AMDW01036300.1_FG001 transcript:AMDW01036300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWLPHFLRYHVILAILLDTGSQALATMCNWNPSIVYQGKPMVFFWMTIAFIQISTVLECM >KN542584.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542584.1:370:3501:1 gene:KN542584.1_FG001 transcript:KN542584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGPEKSIEELYESKNIHFKRKRDDTNITNEGNDDPNIGEIEDLQLLNSGNEAKEIHNGEIYDQSTKENQETTYGQNVQDCGEQVTITSTNEASTSNANSSAEAISEEDIDNFLENVEGNKVQIDKKHIPDIGMEFRSDKEAHGFFNFYAYLVGFSIVITHHYKTTSKKRNGEITRYTYQCYRVEFETLWPQMIEKHSLQNIKYLEIMWRTRENYIPVYFKYDFCPFIHSTALSEGTNARFKRGVGPTHCVMTFLKEYDTINDTIFDTEYCKDHVSRTKKPKKFWSSYSIELQASELYNLGIFKKFQDELRETLNLEVAAIQHGKTYEVYATENLTKKEFRQRRYVVLTDISQENFVCICAKFSKDGILCSHVLKVMLALKISKIPDKYKIEKWRKKERKCTARIENTATNENSSVLRFNVLSRRMADMASKASKRKDTYLYMLDQMDKLDGNLDLLLEEGDQNLPHDGNSTIEEAIGQQANQETLNEEEEEEEIEDPDLANTKGKKSARTKGIVEKLKEGLLKQRRNQNGNRQQVPQAKGRSKLVKIRRIQQIRRLKRGDEDEWKKSINMKKEKN >KN539965.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539965.1:7505:9645:1 gene:KN539965.1_FG001 transcript:KN539965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGRTAVSSASASRTGGRSSAASWTAATGDRRRRSTPTTTTAAIAAASNASTTMTSGRSSSASKIAGTITAKKTAMAISTAASSANTTMTNGRSSNACKTAGSGGARRKTTTPSTRKMATMAVMHGQTF >KN539965.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539965.1:56700:70978:1 gene:KN539965.1_FG002 transcript:KN539965.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEYADISDQTNLPAVCLNGYSTLLAPANEVLIPQELLSSKTVWTPDRQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLEVPSHKTKNIVQYIGQMEGTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRNAINRIVERMHTPISR >KN539965.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539965.1:32725:48299:-1 gene:KN539965.1_FG003 transcript:KN539965.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAVSCCRRGSWRRRRAAALALAVVVVFAAAAGTVVLQAAILTAVAVIALTIFTFWAAHRGHDFTFMYPFLAASLLVLLAYLIIQICFPVGRVGMTIYGCLTTVLFSAFIVFDTHQLIKRHTYNEYVIAAISLYLDVINLFMAQLSFSV >KN539965.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539965.1:9935:27025:-1 gene:KN539965.1_FG004 transcript:KN539965.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGRTHSEWYLWEQGSLVTRWWRSRTSSRHTAQRSALESVFLISRRGAFLVDGASSMVIEGKSTLLNHLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWNAVRKPEVYKEAALSEFFNVEVTALSSYEEKENLFKEQVGQLRQRFIHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLKSFISDKGWLELETAANSGLVPGFGKKLNAILDFYLSEYDTEAMYFDEDVRTAKRQQLESEILKHTYHAFKKMLEHLHHVVLNKFKSDLEQSLRSGEGFAASARYCVQSSMAEFDAGLRDALVKHAEWDTTKVRSKLEQHIEAHATTVRGTKLAELKANYEKKLLDTLAGPVQSILENGEKDSWACIRRLYRHATESAILAFSASLSEFELDQTTIHKMVMELREHARSIVEEKAREEAGNVLMRMKERFSTVLSRDKDSMPRTWKGNEDIRAITREARLAALRLMSVMAAIRLDDKPDKIDRALMTALLDGGPLSQKRSIEFTSDPLASSTWEEVSEKNTLITPVQCKSIWRQFNAETEYAVAQAISMQLSGLLTITSGFLPTIMDIITAVINMSHNQKSSSHPPRHRPPLHPQSFRNQAQQQAQAQAQAQVQYHAPSSLSSSSSVGSNSDDES >KN541673.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541673.1:2630:4284:-1 gene:KN541673.1_FG001 transcript:KN541673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHDGFNVAGYGGRLQGKVPSTAMATSDVALSGWDDFGYVVTLIRILLRGNVDGIGVPSDVSTSWPLSSQPCFYEGVGKKALPPPLWLFPIIVDLQPRNRDMEFVKR >KN541673.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541673.1:21457:24236:1 gene:KN541673.1_FG002 transcript:KN541673.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPKAEKAPVGKKPKAEKRLSESKALSKEGGAGDKKGRKKAKKSVEIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSCEIQTSVRLVLPSELAKHAVSEGTKAGLRRGGSGLLEMMLRGGRLAGLASRMVGAKPFSTEIFVSRLSFYTTEEELKNVFSPFGAVEEDAEKAVKAMDGRVFALLIPYLAYDPQFTSDVSFDSSLSEKSSENLYIVLSTWFSIGIEDHTWKTNFCGNCKRIKLHRA >KN541673.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541673.1:12634:13170:-1 gene:KN541673.1_FG003 transcript:KN541673.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHCHCRCTTATEGLENMEDVDLEEQFVPPPPSPLPSSAEPLHGLCRKPAAGSHRALVATPVRALWMVVLMVGLLFTVHLLVHDVRTFVLLVAESLCIFFVMSGVAACERRQHDASGGACRGFSTVAEVALWSFAMALTVTMTFWVAAGMSLPAVAALYLLSLLVVSACFAVLLGA >AMDW01031045.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031045.1:169:417:1 gene:AMDW01031045.1_FG001 transcript:AMDW01031045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNDQHGGATAHVLLVPLPAQGHMNPMLQFGRRLAYHGLRPTLVATRYVLSTSPPPGDPFRVAAFSDGFDDGGMASCPDPV >KN541134.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541134.1:2873:5739:1 gene:KN541134.1_FG001 transcript:KN541134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQAGALSPTKLRMKLLGTHNRVRVISNSSSRTSPSKNTEPSQAQNRLLVCDVLEEVSGSSDGSKCSSAINKTEALEKDPPSDINKVEDMTKSSVQQPASSNSSMIHPVRTIEEESNDCDSGIDNASTSSFEFHGGEKTAAQNPTSGYFSRQTSSKWNDAEKWIVNKQNVQQNISKGAPQNQSAQQMNSAAGRGFIVPKISNRNTIPRPMQNMKRPSPASSASRSILERLSFGSHQPKLVRHADVCTVNNAGVTSEYQTKATDNGSSIEMRPYKDPKAIPAVHSVSVRDVGTEMTPIPSQDPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSTASPGDDNTDDGPYFNRKGGTNEISDDEMRLKTRKEIAALGIQLGKMNIATWASKEELELVSASPSIADLERMKKEYAARAAAYEEAENFKHTARFKKEELKIEAWESLQKAKIESEMKRIEEHAEKLRSKAMAKMAEKLEMTRRLAEEKRASANARMNQQAAKAVHKAELIRQTGRVPGSCILCCSGCFCQH >KN541134.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541134.1:26818:31894:1 gene:KN541134.1_FG002 transcript:KN541134.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKTSAVEVAPTSVSVSEGKSGKKGKRNAEDEIEKAVSAKKQKTVPEKVVPSKEEAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKTVQPKKAAQPAKEESSDDSSDDSSSDDEPAKKPVARPNKAALSTNSSSSDDSSDESSSDDEPVKKPAAPLKKPVALATNGSKKVESDSSSSDSSSDEESDEDDKKTAAPVKKPSVAAIQKKTQESDSSDSDSDSESDEDVPTKAPAVAKKKEESSESSDSESDSDSDDEDNTTKTIIPAKAAAVKKEEESSDSSDSDSESESDSDEPAKPTIPAKRPLTKDTKKGQSKDESEDSSDESSEESDDEPPQKKIKDSTTSGTTKPSPKSTKKEISSDDESDEDDSSDDSSDEDVKQKQTQAKKVQAPVAQESSSSDESSEEDSDMESDEPAKTPQKKIYVSKSFFILQETAVSVGSNKSATKLGQEEPKTPASNQNQATGSKTLFVGNLPYNVEQEQVKQFFQEAGEVVDIRFSTFEDGNFRGFGHVEFATAEAAKKALELAGHDLMGRPVRLDLARERGAYTPGSGRDNSSFKKPAQSSGNTIFIKGFDTSLDIHQIRNSLEEHFGSCGEITRVSIPKDYETGASKGMAYMDFADKGSLSKAYELNGSDLGGYSLYVDEARPRPDNNREGGFSGGRDFNSSGRGGRRGGRGDGSRGRGDRGRGRGFGRGDRGRGGRGTPFKQSAGTPSAGKKTTFGDDD >KN541134.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541134.1:23292:23736:-1 gene:KN541134.1_FG003 transcript:KN541134.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDKGRALPKFGEWDVKNPASAEGFTVIFQKARDDKKTTGPGNARVGIPPAFRNTDDGDYRPEFKPAESYQQHTPPKRVKRKWAFCAGC >KN541134.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541134.1:12790:22518:1 gene:KN541134.1_FG004 transcript:KN541134.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQWYFKRPRKVHLGVTVAQPPSAQKKASATEKFKTLSLAGWTLFKEFDLGLRDKVQVEQLLHYIVEEAPEDAEKKRIFRFPFVACEIFTCEVDVIMKTLVENEDLMDLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPFVNYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSGYVDSMQWLDDIKVLEMIVDKFSSSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVLASYQVFRSQLSHATLVTASPETVGGMLDSLGDLLKLLDVSSADNVLPTTYGVLQPPLGKHRLKHTVPLEGRFTPRIGLVGHMTRISNKLIQLAKTNSIIQSHLQQNSGWAEWHAGTLTRRNAVENVYQWACGRPTTLQDRGRDSDEEDFRDRDYDVAALASNLSQASKYGIYSYEDIDEDQVPHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVNPEASPSPNSEISSPNVDDENDEVILTEVTDGRKGSESLLAVDLNEESSHTGLTNVSIDKLEDDIRPPTPDVKESPPEFVEWREEEAEPADIPENDTAVPNGEVVSVDQMDGIEDVMSGTTELRVEKEIEVLSGTSVPESTIGELLPGSTEISSTRHPEPVDDRNPMEPPMGEQKAES >AMDW01004553.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004553.1:88:201:1 gene:AMDW01004553.1_FG001 transcript:AMDW01004553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEWGKETDKKFQAWPRTAGPPVVMNPVRRQNFIVK >KN549011.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN549011.1:225:386:-1 gene:KN549011.1_FG001 transcript:KN549011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESDADELVFDSSYLRIYKNGKVDRLHRPPLLAAGVDDATGVVSKDVVLDAGTE >KN541337.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541337.1:27087:29702:1 gene:KN541337.1_FG001 transcript:KN541337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNFPRFPVPGHGREPQPGGATSSSSPGSRFNVLNAGAAPFVPRMVEGAGLAGRMMFGSGAATRSLALATPVPRGATSVLACPTATADFVPSSRDTVYVPVGHHVNLLPIGHHVNLGSASAAGDAMISSSGAPAGAHQYMTNPYPYAGDAMINSSALAVAGAHPHMVNPPYVGASSSQLPTASAECYRDWLEWLHDTMAEQQQPPPPVQFKSHRLAPSTDMADPNGHHRRLPAGAPGRLNLASADFVPSSRDTIYVPASRDNSLLPIGQFPQDHVKSGSASAAVDMINSSAGDDNARDFSSSPRLDVIAEAQGAAWGWDALWEDTGFAHCLRDPKTVLCWRYMYGGDDCCDFGRYCFDAHGYNDLQSKIRTGVAAASRSYSVPHPDLDLDFSDVRQFAGVFSSQQQPPASDEWNFAVGNMQQQQQHSDQASDISVFILRSDEQYCVTGERVGCSNSLLG >KN541337.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541337.1:275:671:1 gene:KN541337.1_FG002 transcript:KN541337.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAGSSSSVHSNTPPRSLSHSSGGLCPPQLKCSCGEPIATFTSGTPRNPGRRFLKCAKQHGCKAWLWEDFLHEYVDEMVAYCIKDATQSRDETISAMNYQLED >KN541337.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541337.1:20114:20353:1 gene:KN541337.1_FG003 transcript:KN541337.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPESARLFVGGVSPDMGDTELRDHFGRYGDVADIWLRRDRLTGLPRRFAFVQFMHPANAARALADHNHVVNGQKVSV >KN541337.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541337.1:16734:18321:-1 gene:KN541337.1_FG004 transcript:KN541337.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIQLVYPNTQEIIPVLGFPIVKHASQVYTICAYEMFMDEVMLHLGVRKIPECHIMLRWTKKARDCVPTHLVPFPDAGGIAQARVFRRNVLQSTANEIVRLGDQDNQSFEILLRYLGEAKEEIAKAASLKSAELSFCQANIASTTDASSMEEFAASDKCGADFLAVVDREANTYVLVDQMKPPESSRHFGRPSNRRYHSGVDGRITRVQRSKDGALVKRGCNPKPLVKLPRYCRICRKPNQDSRTCLENPNGKYKGGKRKSVVSSDSEY >KN541337.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541337.1:1641:15856:1 gene:KN541337.1_FG005 transcript:KN541337.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGSWGTSERSPDELMMVNTSATNDGNQDDFCDEDDEQEEEGQICLHYESGDVIRPTSRSSVKRLSALVSKMDKKKKDIVKSIGFGGLLLLPNLNKVNRAFTFWLLSKVDCNERKIKVPGRQDIDMTDIEVERILGIPRGKKVVCGIGTDNVAAKMQFIQWCIGAEEDENNSLRAAKMNVEEEYEERMTDREIDCFKVSFVVFALGYFLAPTTKCNHGSDAFWGSLQKPSDIANYNWSQYVLDMLVDSARKAQLDLSSKIKCSNVTGCSLLLQLMFLDNIYLGKLNRPRGVLPAVHGLTCEYMRRMVSASQSKYLSGVATHIKREQQQQTFSEGALSNKQRTCLLQTGNNNRQGFKIKAQMQKDASELVKKMFTEPQLDLCLANQPLTAKTTPKRAVDEYLSDNDTASEASSSVRTTQRGPKEKHFKRLKEQHPPFNSGPSIVFKTPIDTQEHIHIDTPRRPDDEDPCMPNDDNSIGTGRQGHADDMEEDPTYAANPIANDFTNSPLKPRLLVEVRLARSPWSYGVQHPVRDEIDIEPFYNWMKNTVRTDIVLARPWVIHPIPRFVALTGLNLRDLICLGVDITYDIFDIAMRRLHQLDCDMSFLHNVPPWRLFLESDFAVISLAGEDPVNSKSVRDQFIGPKVNYNIRGCRMIIIPTRSNSNWSCYFFDFKVKQIHVLDPLYDGNEVQKFHACHEPTVQILRESLAKCIESLFTGWQPLFDCWQMNFVAFQIKNRNWSPEDSDPQEKSLIQSKPNSHPEQSLLQQCPDYLAEMEVQLVQPNVQLGMELLRTHPLPALLLSDIQILSFLLKFSQFSNYNNEDFTNLPEQIVSFLTAEQEKLKILLSKHMAEHHALLINASTELQPQKRKWFVHNTPTRIFISGTMLTHQFLPSGPLYFDVCNGIIRLFQRMDKFMNPGVADHRWRHFFPPQFAQKVWLERFEIQWTEELRDMFLGDHLGYGAEHCRMLVAPVMYFTGTWSCYIWDIERHRLHVIDPLLQDGNVTLVRDKHETLAKAIHSGLIQCVSHYFDGWDLHPQAWKRVYHVKASSKALRSDSGWYSLYYAREFNGSALQRKIEVDEIGDMKKDLFYQLITMEGNEGDVPNISSSDMSAESNIQSSSTSCVSTEVKSVSSAAVANSSIQVHIQGPSKNSGYIGDDEFGASDDNSTTFANLDQTEIQENTHLQQTLPDLPHTGTEHFLLCTENILLCNDHLPPVFAIYNSCFVHFEDDDITNTYQIVSFISKMMTLPIHIRNCTILLAPAKWYISDKDWSLTTLQASHISKTIGSGIRHAHLPFSSVQPQLKLSWLFGSTSVRRVRYRIPIQQLHDGSPTDFPPFSARCPQLKKVKVPMLKMTDGIYCSKVQELFASFRGEKSFLIQSVDFGGILKVPPLQPIDREFTLWLLENFDTTTNSVVLNGSTKSLPFRDVDASLVLGIPYKGEIEPTIEKNCDVTLEIRKLLLIQDDSGPITLDKLEEILRRDHQGGMTKPEQMAFKVAAVLFSSAFWVGSWNVNIDIVVDLMKHLVHPEKMANTNWFYASFIRNAIARDVRSAENGFCRVFGHREVRPSCEVCYTRGWSKFESVSVQCDKINCHYNEAVLKQFEVEAAPCTYLPLQDGETPDSSNTDVVLSQIAVADDTMNYLPNTSPQETTTQFSIGTQGICATSGEGGDAIMSTGDVLTAFEVNLGDSRTFEGPKKLFLDHEEGTSLKGNPTLQFNDQDVDDYNISYIDTNIYENPEVNEPFYPKDATVNSKFCQPPFELCQLHEQPTMKERRYMFRMMNSFSRTELESVFFAHDLPERLELTGKELLECFGRGRRFTVKVGDAVVRLFKYLDDKLVGDDRTRWRHFLPPSLAENILCDEDYLNSNFTRRCFLGDHSSYDVENCRMIILPFYNNNHWACYFWDFQTRTVSILDPNLMSGNCHTIHLKHYSAVETLHDGLVTCIETFFHGWDISGPWKFKYPSYMSRHCVLNNVAVYTFEYARGFDGVNVNLEFTKEKILDVRAKVLYQLLKMEENICQLPL >KN541337.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541337.1:22090:23609:1 gene:KN541337.1_FG006 transcript:KN541337.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNDEATTSSTSSSPPSELLDALDTVAKAVGDAVRFAFKMDPKTTFLAHGLADFVASAAARDALSPIFARLAAAEPPPPPPAIIAAPAWAPLLQFAATQQIIPISARLFVFGVSKKRTTELDLRRHFKRYGYVADIWLRRRGGYAFVQFMIPSHAALALADKNQVVNGRKLYIEIAQPKLPVERPMAKYLCQRVCPVDNSLVWIGDRVLSTLALLGNYYRASDYITNLRRYGFMEGNMLMFDSVVDYISEDCQRCSGMMLLQNFAGTVYNLWLPVIAASVAS >KN543781.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543781.1:127:1359:-1 gene:KN543781.1_FG001 transcript:KN543781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYALVQCTRDMDPGRCLACLQRIISEMPRMLDASQIGGRVLGVRCLLRYEMASNSFFHIDNRTLHLQKQPTQQSGSGSGAKTWPIIVAVAGVAVCISCFFLFRELKRRRRRARVRSELRRLSMAVQNVITLWRLEEGNSGFKLYDFSDIKDATNNFSSESLLGKGGFGSVYKGQMPSGPEVAAKRLAACSGQGLLEFKNEIQLVARLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDVFIF >KN542559.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542559.1:1431:10266:1 gene:KN542559.1_FG001 transcript:KN542559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFHVAALAALMAAAAAGAVTGVLYHEVVHVWQWGLQDYGAHSWVYEGIADFVRLRAGYPAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADLNAKLKDGYNVDYFVQITGKTVQQLWQDYKAKYGN >KN543781.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543781.1:4371:4658:-1 gene:KN543781.1_FG002 transcript:KN543781.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNVGDEDEWGGGEGERREVGGTTTGSAISVPEIKKRNFASGSVMKEDDDEDIGGLEDGSVMRRSATALNLSHPYPHRSQIELSSVNRSSDPSP >KN541849.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541849.1:629:6625:1 gene:KN541849.1_FG001 transcript:KN541849.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSAEIQELIRMCPRRRQTMLFSATMTEEINELVTLSLNKPVRLEADPSLKRPATLTEEVVRIRRAREANQEAVLLALCLKTFKDKVIIFSGTKHSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDARTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEELEDQISTIIQEEREERILRKAEMEAAKAENMIAHKDEIYSRPKRTWFATEKEKKLLAKAAK >KN541849.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541849.1:12475:12912:-1 gene:KN541849.1_FG002 transcript:KN541849.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFERLHAGAGNDVTAYVLFMDSIIDSARDAALLTARGVIQNAVGSDKAVARLFNGLSKDVVALDDGGELDAARRAVSRYCRMPCHVWRANLVHTYFRSPWAFMSLAAAVFLLAMTVAQTVYTVLPFYQQGGNGGEATYAAPAPR >KN541849.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541849.1:10889:11167:-1 gene:KN541849.1_FG003 transcript:KN541849.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSTPRWKRMSRRLLVGLRRNRMPASYSSSADRMMSSVGAISGAGARGDGAGPNRRLRRRMSSGWSASPTPVTGGHAVGDRKLCTNLLM >AMDW01040490.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040490.1:1:947:1 gene:AMDW01040490.1_FG001 transcript:AMDW01040490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRLNTIAIDPWNPNYLSIGGSDEYVRVYDLRRIQLGASNDMNQPVDTFCPKHLMGGKVHITGIAYSYAREILVSYNDEHIYLFQNNMGLGPNPESAQAEFLDRLEQPQAYTGHRNFRTVKGVSFFGPNDEYVLSGSDCGNVFVWRKKGGELLRMMHGDKSVVNCIEPHPHFPFLATSGIDKTVKIWTPSANKVMSLPKNAKQIIASNKRVREIDASRPELTLSSDLIMHVLRLQRRQSELYREHEPAAADLASDDDESFFAGFDDANRNARSNSDPRECIVT >KN539774.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539774.1:81801:82336:-1 gene:KN539774.1_FG001 transcript:KN539774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSDDERTTKLVAAVGARSGDNIHCNEAHLVFFDVSHVGLADADYMSGYLLNNRKYFIHIV >KN539774.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539774.1:10011:10441:1 gene:KN539774.1_FG002 transcript:KN539774.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLGTWLRSTERSMLGADADPLLIGLNEIGLTAVEPELGTGCQMELDGDAWSLVEKAALDPTLWHLLSLEDRLSSWPMDWEERRVLIGGADRCNLHRGKEATTTRMTGGHEQKERPRRCGHCYP >KN539774.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539774.1:44881:46425:1 gene:KN539774.1_FG003 transcript:KN539774.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYEEARERWTWKNQVTEEGPYGFKILSQIRRQDHDCCTFSAMASTLEANVRVQHGRNDQFSIPHLQFIDAQSKISAPNTNETKVLRLLKSLKERDGGVYLNEDYDSEGNLRALDAKVCRVWKFNLYHVKDIIHLRSALHRLRRQGPLLAVIRISRNYDECRKSGHVYKYDPARICTYDDGKPKTHALCVVSFVTEKGTPCLECQDSHGTAWGIGGYLTVEIRSLKELYSVRVT >KN539774.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539774.1:32634:33368:-1 gene:KN539774.1_FG004 transcript:KN539774.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLHALMLRYPSTEREERSSMFRTMFSIRSRNLDNETCSSLKQSRDGMIVKRTSVRYAHGPSIRDAYMLKIFEDNQARLPSWCNTEADKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >KN539774.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539774.1:13457:17716:1 gene:KN539774.1_FG005 transcript:KN539774.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKYYRSLPFDSEQFNLKMAKDVRDAVAAEVLNGNRRCAPVRCRITLAPLPWNRAGERNGDDNSYINDLVSRYGASYKEPETKTEYEQNKDKSQTSTCAGLAIQYYEDNEGVKLKEVSLVDSHVFECKWVDPNDDRLCFHVSFKAKAPSYPTKKFFFAEVVGRGHPQEVTSCTILTSKDDSTVNNCRFCTGLWHPMDGGFIGYDE >KN539774.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539774.1:77469:81151:1 gene:KN539774.1_FG006 transcript:KN539774.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRSSPPIVLTGRSERPQCDIDGSDTEEDLYSSCEEDPYFNSLVDDFIGAATSTDLLASSPEIDYMAANQSQSLFYAESALNHYNNNDENKIKYELISVITSNAIIDRSGYGHVNFVAKGDLPDSVDEFFFAEVRWDIDSYVPVCMVSLEGKEKVDGYRDIEVDYPRGGFVGVPVDKKHCYACGDGLKHPEDGTLYESGHIASGSYYD >AMDW01035972.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035972.1:153:578:1 gene:AMDW01035972.1_FG001 transcript:AMDW01035972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVKYAFRLGQKVRHK >AMDW01121377.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01121377.1:14:1178:1 gene:AMDW01121377.1_FG001 transcript:AMDW01121377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNKKATRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEAARKKEEERRRKERAMAYQEPEWARVDEDEAAAAFEDDEEEETRAKRKEELYCVACNKKFKSDKQWKNHEQSKKHRDKVSELRMVFEEEEEALKDTEEEEPEVDVGFDFQPAQESEESEFSDAAEELADELSEGLEVRDEEEKGDKNLGNGEQKVGSYDETSVLEEMLSRSRRKNRKSGFVAPQEEDSPAGAMDDDDDEDTSYEINNVKKKGRRRRAAKKGGTYADNGQGRKSENQPEESRHDNDENGADDKMEGPSSNEDSAAASKEDQQKGKTGNTKKNKKNKKGTEKNTTVSSEQKGTSKGKKQKLVGKLL >AMDW01036717.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036717.1:53:651:-1 gene:AMDW01036717.1_FG001 transcript:AMDW01036717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AQKIPEGGISGQNVVGKVLDSVPSIRKAPSFAKPLPEKKGSMKFEQEQAIPTVPPNVRPTALFPREKKESKKFDQDQAIPRVPPDVRPTASFSREKKESKKFEQDKANQMPSLASAPTSSYSSEAEAMADTWEKEKMAKIKKQYNMTMDTIVEWEAEKKAKAKRQMELKE >KN539124.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539124.1:67034:70484:-1 gene:KN539124.1_FG001 transcript:KN539124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKGEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQEAEPAAAEETIQEDGDQEGEKEKKKKKKSDSQDAEDVAMETEASGKKDKKKKKKKHGDE >KN539124.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539124.1:126876:128402:1 gene:KN539124.1_FG002 transcript:KN539124.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVLGSSEVVKGTIHFVQEGDGPTTVTGSVSGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANINVVDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >KN539124.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539124.1:37712:41089:-1 gene:KN539124.1_FG003 transcript:KN539124.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWPAAAMLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAGFEMRCLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSGFCGSYDIPLYSCLNFLLSVQNWIKDMLWKQSDLNYPDMPDAKVHTGFYSSYNNTLLRPAIANAVHKARKLYGDISIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFAKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPREVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMEADDWSTCRIVLGRSAAETLLLQHARQLAGGDDEGGGVNVVVRDHGVQVVGSEIAVAAR >KN539124.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539124.1:76460:79788:-1 gene:KN539124.1_FG004 transcript:KN539124.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQPTEETPKKPEGASKKRKHQETEPAPAEKTIKEDGDQEGEKKKKKKKSKESEEPPVTPAEGEKKEKKKKKKSDSQDAEDVAMETEESGNKEKKKKKKKHSDE >KN539124.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539124.1:100497:102103:1 gene:KN539124.1_FG005 transcript:KN539124.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDAGLCLRVSLDTHGSALPAWAAAADPDILFADRSGNRREGCLSFAVDELPVLGGKSPIQAYEAFFRSFAAAFHDFLGSTVTDVTVSLGPNGELKYPSYPPGSDGAGGYGGAGEFQCYDRHMLARLKQHAVAAGQPLWGLSGPHDAPRYGESPESSTFFRSPGGSWETAYGGFFLSWYAGELLAHGDRVLAAACRVFDGEPVELSAKLALEEHELLILN >KN539124.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539124.1:24038:30985:-1 gene:KN539124.1_FG006 transcript:KN539124.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCTAPKTAPEFHPTVWGDFFINYEPQPLQQRPEKWMRERSDQLRKDVSQLFDAFDGVAEKMNLVDTLQRLGIDHLFEEEIVTTLNIIHGAEFDSPSLHDVALRFRLLRQQGLWVSSDVFNKFKHRDGSFIIDITNDPKGLLSLYNAANLLTHNEEALQEAILFSRHHLELMKSNLKSPLAEQVSRALQIPLSRTLKRVEALSYILEYNVHEQTYNPSILELAKLDFNLLQHIHQRELKTITQWWEDLSNDIGLDYIRDRIVECYFWSYSMYFEEEYTRARMILAKFFMLTSLLDDTYDTHATLEECRKLNVAIQSWDESDASVLPDYLKKFFLKVMSNFVEFENELEPHIRHRNAYIRKVNGRNKLDVASSVECYIKEYNVTSEVALAKIGSLVEDAWKTINQAHIDRRELLPFVHRVTNLSRSMAILFLDKRDAYTYSKDFKGTMESHFVKPIPL >KN539124.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539124.1:143626:144477:-1 gene:KN539124.1_FG007 transcript:KN539124.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRQSYWCYQCRQRVRPRGQDMECPYCDSGFVSEMDDVDALMSHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGTNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLSEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPATQSSIDAMPTVKITQRHLSGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPPQSSTGASCSRTRSTNQSQSSSSNGRTNGRQRRRNPFSFLWPFRSSSSSSR >KN539124.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539124.1:82903:86670:1 gene:KN539124.1_FG008 transcript:KN539124.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGGGDAIEANDGGGDKVVAHECDGDADTTQGDGDGTDPLVERHPCSHLGHVAAGGKLYWIGDLVEAGRHPHLNPYAPAKLVAFDTVSEAFRLVAPPPETAANNGDDDDDVLMFELDGALAVLKGGAMSTLKLWVLDDVGGGGGEQWAPVWECKHSCMLPVSAPASVAMWDDGGSGGGGDAGVATFTRRRITLYGVDETAAGRGRGRALHVFACGARNGELQVAFKENTVAHAFFKTHPSPAVRTFGFL >KN539124.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539124.1:43894:46096:-1 gene:KN539124.1_FG009 transcript:KN539124.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDATRHGFGKMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTIICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVDREHFHCQDCGICRVGGKDNFFHCEKCGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPVLPA >KN539124.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539124.1:294:7060:-1 gene:KN539124.1_FG010 transcript:KN539124.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRADQLKEEVTLLFETCKDVEEKLKLVDVLQHLGIDHHFERRIAVALSDIHGAEFNSSSLHDVALRFRLLRQHGLWVSLDEFNKFKGLDGRFNAEVIDDPMGMLSLYNAAHLLIHGEVELEDAILFSRHQLETIIARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQEQACNPSVLELARLDFNLLQLLHLRELQEFSRWGNNLYGAVELTYSRDRIVECYFWSYTIYYEQKYAQARIILAKMFMLTTLLDDTYDMHATLEEGQKLNEAIQRWDESAIYVLPEYLKNYYAKLMSTFKEIEDELKSDEKYYITYAIKAYQRVCKHYFQEAVWFHHNYIPSFRDHLDVSIVSSGIPMLSVASFVSAGDLATKEALEWAFGCTDAVKASGEIGRFLNDLAAFE >KN539124.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539124.1:103696:106225:-1 gene:KN539124.1_FG011 transcript:KN539124.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSCWAQLPPELLREVLVRIEESEAWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPTSAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE >KN539124.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539124.1:48537:61184:-1 gene:KN539124.1_FG012 transcript:KN539124.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLYLVFEYMEHDLAGLAASPDISFTEPQVKCYMHQLLSGLEHCHNNGVLHRDIKGSNLLLDNNGMLKIADFGLASLFDPNKNQPMTSRVVTLWYRPPELLLGSTDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRISETYKDFPQSALRLIETLLAIDPADRLTATSALRSDFFTTEPYACEPSSLPAYPPSKEMDAKRRDEEARRLRAAGGRTNDGAKKTKTRDRPRAVPAPEANAELQINIDRNLDQLEVLSTKLKAKTVRAEAPVQSLSATRLLAREGINAEQLARDLKSFELKIEQFVRFEIRHFTVLQTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLMASGISRPALMPSSASSPQALSGLPSKEVMPIPNKTIIENKSSVYAAVVRDLNDARGRSLPFGPATAFRAAYESLSVGAVGTKSVTMHKVWHLIQALVGEGSTHRNISRKMSLVIGARRHLEWGHEKYILETINSHPALVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAFNFAPLLVEWITTNGAVSPETALTASEECDKMLRMGDRPGRPGYDRKKLLLYAMICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYTSASSSNVSNEGLVPYTLEDLQSYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAILYLSKEVGEEGYHVDAVHISIALSDHGVLPDGVGSGQKMGIMDACAEAASIIRQYGSIYLRNGNLDLALEYYAQSAAAMGGGEVSWIGRGNADQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHQCHEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAICAMARSMLDGESRAAALIHSGNEIMETARYSEASVQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIKLPFLHLDPQSPNVTVDVFRNLSPHVQACVPDLLKVALNCMDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKVAQCI >KN540353.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540353.1:1644:4358:-1 gene:KN540353.1_FG001 transcript:KN540353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIMVKFLSYLITVYMVAMAVFFSSRMAGWAVCPGAGGITGGCVSGGEDVAKTCLAFALLTVSALRACERRYGRLDRCVLRRLTFWSLGDAPIHHCQQVLNAGDDMIGVEHLEEDSDGKALLKGRRYAGSMSTFSLFY >KN540353.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540353.1:36807:38570:-1 gene:KN540353.1_FG002 transcript:KN540353.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGVQPALVTYNVVLHVYSKMAVPWKEVVELVASMKEHGVALDRYTYNTLISCCRRRALYKEAAQVFDEMKASGFEPDKVTFNSLLDVYGKARRHGEAIEVIQEMERVGCPPSVVTYNSLISSYVKDGLLEQAVALKQEMEVKGIKPDVVTYTTLISGLDRAGKIDAAIVEYDEMVRNGCKPNLCTYNALIKMHGVRGKFPEMMAVFDELRSAGFVPDIVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDLAMQIYKRMMEAGIYPDVSTYNAVLSALARGGRWEQAEKLFAEMEERDCKPDEYSYSSLLHAYANAKRLDKMKALSDDIYSERIEPHNWLVKTLVLVNSKVNNLAEAEKAFLELRQKRCSLDINVLNAMVSIYGKNRMVRKVEKILSLMKESAINLSAATYNSLMHMYSRLGDCEKCENILTEIKSSGVRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGLKPDVVTYNIFVKSYVSNSMFEEAIELVCYMVTQGCKPNERTYNSIVEGYCRNGKLADAKIFVSNLPQLHPGYSKQEQQKLFEVLAKYTQR >KN540353.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540353.1:22045:25921:1 gene:KN540353.1_FG003 transcript:KN540353.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKQRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSALEDYRPVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVQRREGAGNDATESQLYGSWFEQAHYCGLGRRARCPVGDCWSKKDALDNFAPFEVDDGRQLTGAAHENCCIG >KN540353.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540353.1:5605:14516:1 gene:KN540353.1_FG004 transcript:KN540353.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASGINEGRLLAFLIVLYASTIAASIGRRILGPRLATCMSFAALTLAMLAMLALMSSIASPEAAAARRRSGGLNDAVTCAVFAVLTAASQAFIACFMEEAVGPEPPPATTTREERCAAWTVGVLTGVVTSLCISSYFFTYIAAGGVAPTSLQWTIAAVFSVANFVFVTPTIMHLHRPRAWVALCDGDAVRPDDACEITFFHTRTARSLRVRLPELRRHRIVGFTDGLIILLHKRTTAVRVLNPFTGVAVDLPPLAPVFHQVVKNRNSLLYMSAAVCTSATSPMISIAVIVWFPYTAGVLVCEAAHPSWEVIHKDMELLNTLPFQGRLYGFRRLTRQIMQVYPPNPLGPVVAHVPAKFGDPDFCSYNLVDSCGHMLLVVRHGSATREAKESWQRCAFTIFEVDVSSWKLLPVSSIGNLALFLCRDRCLSVSAKHLPSISRNSVYYYVPLPNPVVLHSLSNKSFERPTTLCQVHDTTKRIRPSVRPFTLADHLLTYCNHREWARGLMFHEYNRIPECFEDLLKRIRTQDSELRIPRIRGGS >AMDW01040300.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040300.1:150:1384:-1 gene:AMDW01040300.1_FG001 transcript:AMDW01040300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESYAGHYVPELAVTILDSNLLPHATPIKLKGIAIGNGILEFAAEQTQLYEYLWQHAFISDSAHALITQSCKCPDDHPSALCESARKAAYSRIGDIDIYNIYSSTCHEKKVRPSASKCMDLADPCSQYFVEAYMNQPQVQKTIHASTELKYPWTRCRVYNLDHFGDSPKSMLPYIKAVIAGRIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKDVAGYVIAYDGLVFATVRGSGHMAPIDQPERALVLVSSFIRGQPLPPPQPQHDA >KN541484.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541484.1:2080:10638:-1 gene:KN541484.1_FG001 transcript:KN541484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRAAASLLAVLVLSLVVDLAHRNLSGTLSPAIGKLRRLRLLFLQHNAISGPIPDTIGRLKVLQTLDLAYNHFTGTIPSILGHSKGIFLMDLSFNNLSGPAPVFSAKSVFCEGTCTSVIEESIAVPSAAEEPVAVSIEEPIIHRKGLAGTARRVSFYIAAVLLIVFLIAGFVASISQWRRRHQIFADFDGPEIYLGHLKQFMIKEIKEATNNFDRRNILGQGGFGIVYKGRLRDGTIVAVKRMKDCFSVCGDDQFHTEVEVISLIVHRNLLRLTGFCITDTERLLVYPFMPNGTVSSKLQEYVGGKPTLDWTRRRKIALGAARGLVYLHEQCDPKIIHRDIKASNVLLDEYFEAVVADFGLVKLLDHGESHAVTAVRGTMGRIPPEYLMTGQTSEKTDVYGFGFLLIELITGRKTMELHEDEYQEGGILDWAKELLEGNKLSSFVDSRLRDNYVIAELEEMVKIALLCTMYNPDQRPSMAEIAGMLQESDGSVVEKWETLKDAERSKPSTPEFMLSSPVNFASDECNSIQLEAVELSGPR >KN540020.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540020.1:750:3816:-1 gene:KN540020.1_FG001 transcript:KN540020.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPSPEFSASDIMWSRVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGSVRPSRNSSTKRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHDKHVDKIGKPCHGPMDNMAIGTKAMFAPYISDELRLQIMSLLCVGIPVETIMQRHTEMIEKQGGPSNRDGLLTHRYVRRLERKIRRSVYELDDDDAISINIWVENHQNHIFLYEDFSDKDTFIVGIQTDWQLQQMIQYGNRSLLASDSKFGTNKLKYPVHSLLVFDEQKNAIPVAWIITPNFSHGEAYRWMGALYDRVRTKDPTWQLGGFIIDDPFADVRTIREVFQCPVLISPWRIRHAWHKNLMKKCPDIEKRPMMAKRLGELICNICRGNGGMELFEAFLEDFVDCAGFLDYFRALWFPRLGSWITMLRTTPLATTEVASAIESYHHLLKLRLLNEANERIYQRADWLVHKLGTKVHSYYWLDEYSGKDNFSRYWRSEWKSGPNPWQQGLQIPDSDVVVEGNCARVVCQKNKERSHVIVNPGSDLALCDCSWSRKGNICKHAIKSTKVFRRRGLAPPSLALFRYYQALANVVHCPPIDTLISDHAVAVAVFVRTQLDSLLDATNGNSSNRSTSKDPQSVTEPRESDVREGSIENDVCVSQSQAECGDEVSDEQNDDLDRFVFKKRKSREASDEEGSPTATQITQPSETESSQATDLQEPLDRQENHAPKKSKSKEASDGGKANARQAKQPSETESRPAIDQQEPLHHRQENPAHKKTKSGEASDGEETTAMQVMEPSETE >KN541484.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541484.1:25338:27680:-1 gene:KN541484.1_FG002 transcript:KN541484.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ADDTAAPRWYESFHVYCAHLATHVSFTLKAKNPIGASLLGVGYLPVRDVLAGDEVDRWLPLCDDTDARTPIGDGGGKVHVKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFIPRIPLDGGRSYEPHRCWEDIFDAINGARHFIYITGWSVYTEIALIRDADRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGMLKKDGLMATHDEETMNYFQGTEVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPSSRHGGGNGGGRRRVVSFVGGLDLCDGRYDTPFHSLFRTLGTAHHDDFHQPNFATATVAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLAETVIPPSPAMFPEDAESWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYIAAIRRARSFIYIENQYFLGSSYCWKPNDGVKPEDVGALHLIPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAHAIQAKGIDADPKDYLTFFCLGNREAKSAGEYEPPEQAEPDTGYFHAQQNRRFMIYVHTKMMIAYQPHHLAAAGRPARGQVHGFRMALWYEHLGTVDEAFQRPESLDCVRKVNAMADRCWDLYAGDGPERDLPGHLLTYPVGVSGDGTITQLPGVEFFPDTQARILGAKSDYLPPILTT >KN541484.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541484.1:20873:22656:1 gene:KN541484.1_FG003 transcript:KN541484.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MILVWYLLNIYFNIFNKLVCTYIFFPQFVNFLLLLDMFFFVSNLFMADLVGIHGLQVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGNLTTKFN >KN541484.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541484.1:13873:16201:-1 gene:KN541484.1_FG004 transcript:KN541484.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAFLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLKSFEEEEPVLRLRRSLKKAVEEERFEDAAKYRDELKILAPHALLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDDVLL >KN540020.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540020.1:61898:63483:-1 gene:KN540020.1_FG002 transcript:KN540020.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRANPATPALRQRQTRKKKKKKKKKKGKAATGRARGSRNDDAELAHHATPKPLSSSHFGPHRLRMRARPHRHRRPIAPFLRNAALATMAARTPVAPRWPHVQPRSQQHRHRTLVLRSAALTGTPVCPLLRRRSPSKMGHATTGAPSVLDVHNIVLGGIGNFIDEPQKREQLLDN >KN540020.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540020.1:41103:41450:-1 gene:KN540020.1_FG003 transcript:KN540020.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVYNAVVALELQGLVNVTTVHSLDIMGSSYPPSAGAFRPDAVQYIQPLLNFLSMARSPFMFNCYPYFAYKTDLGSVPLEYVLFQPNAGVTDPNAKLNYNNMLYAQIDSVYAAM >KN540020.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540020.1:18111:26236:-1 gene:KN540020.1_FG004 transcript:KN540020.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNHQGFSPARTLSPQIRSNPEADSQYLSELLAEHHKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPPPGTSMDWQGAPPSHGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKREIREVLVISRSMTGSNQPEPLSVLMPLPPPDKGKAKAKSKAKTKAKVANKNNKEDSSATTPLAQIKRKDKDVHVSLDSPAMGTRSKKKKSLDFN >AMDW01006575.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006575.1:18:209:1 gene:AMDW01006575.1_FG001 transcript:AMDW01006575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRSGSDDTSCLEICVDELKKRAEERAQEEAEAKAREEAEAKAMAEFEEKAKEKALAKAKAV >AMDW01037028.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037028.1:182:598:-1 gene:AMDW01037028.1_FG001 transcript:AMDW01037028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LCARPVINPALPRDQINLAEWALKWQKQKLLETIIDPRLEGNYTLVSIRKFSEIAEKCLADEGRSRPSIGEVLWHLESALQLHQGLLQSANTDDLSQPELKLSDASCNLGCIEEVEESCRAESQDVNEEYVDVKIEVP >KN539734.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539734.1:2283:10719:1 gene:KN539734.1_FG001 transcript:KN539734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRVQDCRFSAAPAAASMEMEQLTARFNDAVAVRGSNQLATGMEMAAAPGRRADDDMEELVARLVDVTAPEKNKGDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMDEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVRFLKRYNRGEFLLEFFSSYDTTDDSEKNTLSSCSFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEVPEDGGRQDSYYIARDRPRVTLLRMDSQYDIVYPLPPESIHRRAKQGGASRFYCCIGGDPNLHRLLSQQQQQQ >AMDW01034329.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034329.1:64:330:1 gene:AMDW01034329.1_FG001 transcript:AMDW01034329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKARRSIHAASSFLKAGMGKVLKPHKERMEKLFEQLSPWTPELYGHERDLICNCKGYISHFEQWEHVKEQFRGIGKSAPAVVEAGS >KN539734.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539734.1:73790:79311:-1 gene:KN539734.1_FG002 transcript:KN539734.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGDGNGGGFSGGAEEGLYRLSSVGEEDMTVKSIMFKIDNLSNLSEIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLSVNNPMQNDWPNQANLYAGEQFNLLPNMLQKQISLPNSPISSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >KN539734.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539734.1:68796:69072:-1 gene:KN539734.1_FG003 transcript:KN539734.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRYVIDEVKASVPIWKKEVYDDGEVWKENREFHHRAAAAAGEGWRLLRQQDGNSFISWRHA >KN539734.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539734.1:20767:27270:-1 gene:KN539734.1_FG004 transcript:KN539734.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHMSQYSHPTLAASSFSEELRLPTERQVGFWKQESLPHHMGSKSVASSPMEKPQPIGTRTAGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQETGCQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKVDLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVVDEVGYATNANNRDDADDDIFYTGGGMELETDENKKLQEFNGSANDGIGLLNGVLNGEHLYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGAARRWLEVRKGTKVHLPHCLGDSHGSGACRSICLQNCARKSLVYANWGVQAQVALQLLRLVHFLTRMVILQGSTNLATITSTGHENGSIQGMHSGLQTSISQFRETSFPGLSSTIPQSLSTPIGISSGATHSNQAALGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVNNGVPYNLNSMAQVVNGTNSRTAEAVDNRHLHKVGSGNLNGHSFDRAEGALGFSRSGSSSVRGHQLMWNNSSNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIDNVLPMHHHHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHTMELNNIYPQTGGNCMDPTVSPAQIGGPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSNGNQSDNKKQYELDVDRIVRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRASSGEESHQDISITSVNCDTSTNGVDTTGPAKDSE >KN543071.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543071.1:2859:5873:-1 gene:KN543071.1_FG001 transcript:KN543071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDVEGIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLVAFSASTGEPAAKVSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIGEDGNVKLLHLADGSTIRAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVLAKLRAARIPAEALDVIERSEMSDVVSSPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAVTAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >KN543071.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543071.1:6572:10433:-1 gene:KN543071.1_FG002 transcript:KN543071.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QMPLKLMTGVQVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNETDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSDINDVVASPLRFRPPLSLLLASISKGNACVAAKSVCIRTKPFAIMQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGLAKPSDSGRTATRGRAKYPDGHGFEPRFLQLVGQGFRAGMVPCNDTDVYWFFTWSPSPDDKDVDKSSAAMKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFACISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSSSGAC >KN539704.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539704.1:43681:49334:-1 gene:KN539704.1_FG001 transcript:KN539704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MARRAAAGLLRRHLGPLAAGETLQARGMCPKQYGAANHAFSRFYSIQGQQRSLYVFRTNVETDDTQQSTRMNFEVQKRSFSSAAAHVQRNPAYSVLNSDDVSYFKSILGESGVVQDEDRVAVANMDWMGKYKGSSQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSYVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGIVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGQVLGYGHLGDGNLHLNILSTKYSDKMLAQIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSSEAVQLMASIKKLLDPNSILNPYKVLPQSVL >KN539704.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539704.1:76634:78035:-1 gene:KN539704.1_FG002 transcript:KN539704.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGEGKGFGAVVDGVLLPEVLLRLPAKPICRLRAVCRSWRCFTSDPLFAAAHAARHPPGTAPLLAIGVQSFPRFCVDLVDLSGDIVKQLIPKSGERHGCESTAFTCSTLPPDLSPPYPPRDPCPLYPTMATLVQTAQCFLPGLHLARQQHLPQESAGCLPSFDLATEQWSMTLQGPAKSIVEEANGTLSYHDLDSLLMLAGIKDTLCTAHWNDHFYVVDLWFLTDSEKGTWSKEYRINVDPSFYGIGDFVKVHPLLVTDEGNVVLWLQMPSEGIVQIYNPVTNTFWDITQTSIHTGVGVYTGSLLC >KN539704.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539704.1:26244:30011:-1 gene:KN539704.1_FG003 transcript:KN539704.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSNECSLSEPLARAELGEEPGDAPRGIAVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVLKESPPVPEDQNPW >KN539704.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539704.1:50417:50842:1 gene:KN539704.1_FG004 transcript:KN539704.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLAEALTVVDEGLLMGLGGGICEEGLYNEGEAKGGEEAEVGERGGVVDNDLGGDAEGVVGVKRNIELGFMFFRLSEPERIASPFLAPSKLASGSAATKEPSLAGSGVTGDGCRVATSYTISALQTLASLAQKSRRSAL >KN539704.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539704.1:57620:64685:-1 gene:KN539704.1_FG005 transcript:KN539704.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKRILESYTIKGSDKVIKREAPSPVPYRPPFDPEFLGFFLGDFFCGGVGFGGSRLCPVESLHGFVWVIMGVLSLILKLEVSDSEVLISVKFFAGDCVLMRASDTSKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESMGGRRPFHGAKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKDAKKLEHFFCQSCTAENGKMAENSHEATAQSEEKLSVVRQIH >AMDW01035086.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035086.1:42:385:1 gene:AMDW01035086.1_FG001 transcript:AMDW01035086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKLASVQLAKKYMKRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDV >KN539704.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539704.1:13264:14914:-1 gene:KN539704.1_FG006 transcript:KN539704.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGYLMVYLAVAGRTAAPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAVSVVFVHTVRIMPYPRRRGGQETSVDPFFCFLYISIGLAAYLLVMIVVQRQFAFSRTAYSCAAAALLIVLFLPLCVVIKQEFKIHRERLELAAAAPPPHTITVLEIIYREKSTAQKRL >KN539704.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539704.1:87064:87153:1 gene:KN539704.1_FG007 transcript:KN539704.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGVVIACHNKDEFDAQMTKAKEAGKV >KN539704.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539704.1:52143:54863:-1 gene:KN539704.1_FG008 transcript:KN539704.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVNNKMRLLQSDFSMLQAFIAQVAVDRSNDMVLEAWMEQVRLAAHEAEDIVDEYTYLVGQMEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKSRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDDLVGNAEEMKRLIEWLCDAKKDRSVISICEMGGLGKTTLASSIYKKEEIKRTFMCRAWITVSQNHGVKNLLKKILVQLMSKTENIMDGADSMDCVSLVEQLRRYLKPRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIETVASLADADHELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPNYLKNCFLYCGLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLTDVAACYLKELASRSLLQVVNRNEYGRPKRFQMHDLVREISLTISKKEKFATTWDCPNSDGVTDGSRRVSLQKDGNLVQAAKCSSQLRSMLMFTEEISLSWFTDCYQSFRLLRVLCLRNCNVHKVPDSVSQLFNLHYLDLGYTKLKEIPSLIGKLSNLQTLYLNGSVLELPSETTMLTKLHHLLIDVGRFGKSASSKISRLQHLQTLRSIEANSYIVKNLGCLTRMRSLGIMKVLESHNTDLWTSISKMTSLNSLSVIAEDRDRYALDLGNLKPLSHLEKLMISGRLHKGAIPPVFASFTKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEIEDGAMRSLCRLELWSLKSLTSVPQGFVHLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYIGDP >KN539704.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539704.1:34842:38407:1 gene:KN539704.1_FG009 transcript:KN539704.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLARHPEVRELVEVVMDLGRRPLARFPSGDWVISEQAVTADDLHQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLISLRCFQQYREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPLVSMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQVLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLEATVDAILAGKPPKFEARKMDYKATESGSSLVIPEREYETEPLPSYQEHLFAKAMPSEDNFDDDFNTRRTESKSVPSDDNFNNDFGSTRKTKGKTSVPGKSPVRVYTYQISEADILQVATVMGFDDELDVTDDIGAANVILASSSEMKQNHWIRNVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDKLNAPTRKQPKVVVGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKGSAGSMPKQGSSDLIVSDNGGGSSFSRLPFLPK >KN539704.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539704.1:2226:9065:1 gene:KN539704.1_FG010 transcript:KN539704.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding VSLSPPPKTRRINRVVMSELARLHRESHLGGISFAYDGSKALYTAGKLPFDSMDFKIKLGKDLREIYVIVSRSFYSTVFGRQDIGDGLECWKGYYQSLRPTQMGLSLNIDISSTPFFKPINVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQVTGLLRATCQPPQKREQKIIEMVQHNNYPADKVLRYHDSGKEKTCNPKVGQWNMINKKMVGGAVVQKWTCVNFSRMHIDAVHRLCGELVYTCNSIGMVFNEMPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVKVVASMDWPEITKYKALVSAQPPRQEIIQDLFTMTEVAQNADAPAQKAEGSKKNFICGGMFRELLMSFYSKNAKRKPQRIIFYRDGVSDGQFLHVLLYEMDAIKKAIASLDPAYRPLVTFVVVQKRHHTRLFPEVHGRQDLTDRSGNVRPGTVVDTNICHPSEFDFYLCSHAGIQGTSRPTHYHVLHDENRFSADQLQMLTYNLCYTYARCTRSVSVVPPAYYAHLAAFRASCYSIVVCFSKCIFLGLCVMFERQLA >KN539704.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539704.1:69010:71526:1 gene:KN539704.1_FG011 transcript:KN539704.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLHVLLLSGLLLSSLHTPPCSTAVADGDTLMVGQALSVGEKLVSRNGKFALGFFQPQPTAGISKSINTTTNTLPGWYLGIWFNKIQVFTTAWVANRENPITGPELKQAQLKISRDGNLAIVLNNNNTSSESIIWSSTHTIVNRTTGSSSTNTSALLMNNGNLLLMASSNVVLWQSFDYPADVGLPGAKLGRNKITGLNRRFVAKKSLIDIGLGSYILEMDTNTVLRIRRRKPPVVVYWSWSSGQLAYTLVPLLNELLDMDPRTKGWLKPAYVHNNEEEYFTYTSLDESASVFISIDITGQVKLNVWSQPKMSWQTIYAEPSDPCSLHDVCGPFTVCNGNSVPFCGCMESFSPNSLRDWDAGDPIRGCIRNTPLDCSTGKQKNTSSSDMFHPIASVTLPLYPQSVEDASTQSDCEEACLHDCTCTAYSYSGNRCSVWHGELRSVNRNDGIDNNSENVLYLRLAARDSQSLRKNNKRRPRVVAIVSIVVSFGLLMLMLMLLLTIWINKSKWCGAPFYGSQGSDGGIIAFRYTGLVRATKCFSEKLGGGGFGSVFKGMLGDQTAIAVKRLDGARQGEKQFRAEVSSIGMIQHINLVKLIGFCCEGDKRLLVYEHMLNGSLDAHLFQSNATILNWSTRYQIAIGVARGLCYLHQSCRECIIHCDIKPENILLNESFVPKIADFGLAAIVGRDFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPEVSASNNYHGAYFPVRAINKLHVGDVRSLMDPRLHDDFSLEEAERVCKVACWCIQEIEFDRPTMSEVVRAIEGLQELDMLPMPRLLAAIIEHSDVASI >KN539704.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539704.1:39958:42591:1 gene:KN539704.1_FG012 transcript:KN539704.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERTLERAFSEYGKVIETQVVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGGGGGGGTYSSGARGGYRGGGDAVPSANDDCFKCGRAGHWARECPYSGGGGGGRTGRYSPPSRYGSGTGGGRGDRFGGSDRFANRYVDDRYDGGRYVDDRYGGGGRDRYATDRYPPTADRFTGDRYGGSDRYASSGFTRERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRVGSGDRYGTGGPARFAGSYRDRPAPYDRPSRAAARTYDDRY >AMDW01019920.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019920.1:4:172:-1 gene:AMDW01019920.1_FG001 transcript:AMDW01019920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVS >AMDW01020969.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020969.1:74:292:-1 gene:AMDW01020969.1_FG001 transcript:AMDW01020969.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADWSQAPFVARYRNFTATDAASSSSSPAGYDQQMDATAQQAMKWARDNYMVYDYCADSKRFPQGFPPECSMP >KN547852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547852.1:373:456:1 gene:KN547852.1_FG001 transcript:KN547852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQHFFRSWLPAAVAGAQPPRAILIV >KN540221.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540221.1:4056:11972:-1 gene:KN540221.1_FG001 transcript:KN540221.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEESLDHPGSLSGMSPATPDVAWKPAERRRRSEADAEGSSCCSLSAATAAWVGAGNVESDDPSVRSVAAGEQSRAVSRPEEEECASCTQDSTVSPPVAQQESSTQESTVSLPSSECGDKVAEQESSTQESTVSPPVSECGDKIARQEGAASAIPTPEKVEATPRRPRKRSTKGLTRFKIMKDHKAAQRTATPVEVKIKRKGKDNGRRPLGDKSVRRKLNFEGDAVDFEGNREFSRAKLMEDLRCLAKVHGLRDDLGAGKRRKKGKKRKKMTGEHQDNGELALVPYQKAPAATSSSALVPIQNSTQLAIVHHRNHLKNLRTKVLGLDEKTLQVYNVLGKWDETDSESFEGVDIGSGPEWNETRRHFEHYVDVFIATVHGLLGPRRFSEWGGSVTDSVVGTFLTQNVADNLSSNAFLNLVAKFPPTKRHINAEACSNLSLLIDDMRRKLNLNEQSNGTDSGSSDFTKPVDFEKENGYNEEVKGNYGRDYSTIIENFISIIEKHHKDMSTWDNARLENMVKDKSGTPVCSHRTLRKFMDTFEEKDTSHWDKLREEAYSKGYKIKGTGISDSADWEAVLHAPAVEIANSIAVRGQHYVIALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLSSILGLGDKSVDCIRLLSLKHKGFPVDVNVARIVTRLGWVKLQPLPFSAEFHLVGLYPIMRDVQKYLWPRLCTISKEKLYELHCLMITFGKAICTKVSPNCRACPFSAKCKYYNSSLARLSLPPAEGHGHEYGEEQASTATPGRLLLSNDSHIAGFQPVCQPQIKINMPAGRESIYNCEPIIEIPPSPEHEYEESPYEQELYEDDLCDIEDIIPELPYDFEIDLCSLKHTMNNGSWTPNSGKDLALINSQHASVQNKKLKNIGRLRTEHNAYVLPDDHAILEEFEDRVPEDPCPYLLVVISCSDEHTVKGTILVFADHSSSRSPITIPRECIWNLDRCIVYFGSSIQSIMKGQTRQDIEDCYKKGTKCCEIYFLFGVSVFDSLTEFRD >KN540221.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540221.1:35301:37894:-1 gene:KN540221.1_FG002 transcript:KN540221.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDQLEAHLRSTARPETAICVSCKSSCFVSRLTSGKFLFAVNADYQPYELPKMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVNKQATPENPPAKEKATTMSDKAK >KN540221.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540221.1:29727:30944:-1 gene:KN540221.1_FG003 transcript:KN540221.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-5 [Source:Projected from Arabidopsis thaliana (AT4G13980) UniProtKB/Swiss-Prot;Acc:Q94BZ5] METLCKLSILQGFRKIDPERWEFANEYFIKGQKHLLKNIHRRKPIHSHSHPPGALPDNERAIFEDEIERLSREKANLQADLWKSKQQQSGTMNQIEDLERRVLGMEQRQTKMIAFLQQASKNPQFVNKLVKMAEASSIFTDAFNKKRRLPGLDYSIENTETTSFYDDHSSTSKQETGNLLNQHFSDKLRLGLCPAMTESNIITLSTQSSNEDNGSPHVKHPECDMMGRECLPLVPQMMELSDTGTSICPSKSSCFAPPISDEGLLTCHLSLTLASCSMDVDKSQGLSANGTTIDNPTEAATATMEKDDTIDRSFDDNQKKLADSRTADATTPRADARVTSEAPAAPAAVVNDKFWEQFLTERPGCSETEEASSGLRTDTSREQMENRQAYDHSRNDREDVEQLKL >KN540221.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540221.1:25522:25887:1 gene:KN540221.1_FG004 transcript:KN540221.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQATKFAELNQETLNQNTRSTQKWRNPDVEMLKINVDGAYTPQTGNGGWGYVIKDDAGSLIESGAGRITHLMDAFHYEVLALRAGIEAAARKRHDESNWKLTIDALDEPQVGCSRRSSI >AMDW01031038.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031038.1:3:413:1 gene:AMDW01031038.1_FG001 transcript:AMDW01031038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNAVAQNVPESEKQPVQNAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEAAGTSQGKEFPGIITNEDYGSFTTEDYEKVGREADEAIASKSATKSPVAE >KN540210.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540210.1:32179:33546:-1 gene:KN540210.1_FG001 transcript:KN540210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHAQALQELSLNDCNQITGLSIGEEENSQPNLTSATEAPSLEYQGRDKLLRLPLNLISSLKKVYITHCHDLTFYGSKEDFAGFTSLEELVIRRCPELISSWAHNDGRWLLPVSLGELEINHVDSLETLQPCFPGNSTRLKKLVVCNSRSLTSLQLHSCIALQELIIESCESLNLLEGWQSLVNLRLLRAHRYLSGYGQDGRCILPQSLEELYISEYSQETLQPCFQMNLTCLKKLEVSSTASFQSLDLQSCTALEHLRIEGSSSLATLEGLQFLHALKHMEVLRCPIFPLRLGSLLGQGYELCPRLERLRIDDPSILTTSFCKHLTSLQRLELYHCGSELARLTDEQERALQLLMSLQELQFGDCRNLIDLPAWLHSLPSLKRLEISDCWSIARLPEKGLPPSLEELVISHCNQELAQQCRTLASKLKVKIDGGICELITRWLVRRTFFHPPN >AMDW01029447.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029447.1:284:343:1 gene:AMDW01029447.1_FG001 transcript:AMDW01029447.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISEDCQVVNVSLKTDSWRTE >KN540210.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540210.1:59741:60091:-1 gene:KN540210.1_FG002 transcript:KN540210.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALINILALISEACRSAEKLPAALISGGVVEAAAAIFVAFFKPPGGVFQHHGKAPFYLYYGIIGGVAIFGFAEAWAGFWVSGDLNGRRAVGKTILWVSILPLVLVAALGGFVFMR >KN540210.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540210.1:16524:22100:-1 gene:KN540210.1_FG003 transcript:KN540210.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVVAAAKGRAIRNKPLFRSLGRVRELLYDADDAVDELDYFRLQQQVQGDACPESADGHGGTEQTERPWGNAGENEASGSAGTRRSGVWQHFEIVEKKNEKPAKAKCIDCGTVVNASEGVVIGNSAATGNSVGRKRMRTEEVSTDHVAANSHPWNKTELSNRIQQMTHQLQEAMNEVMRLCGSASSNQSRQSTPPATNATTSSYLLEPKVYGRDAEMESIKNLIMRNKSYGITVLPIVGIGGIGKTTLSQCVYNDPEIGNQFEIKIWVHVSHKFDVVRITREILECVSHQSYQGISNFNMLQHDLEKHMKSKKFLIVLDDVWDVTTEDCWNKLLGPLRPNHVNTPQEVTGNTIIVTTRIRTVAKSCGTVGSINLEALEDDDIWQLLKASAFGNNSPDSYPTLQVLGKQIVKELNGNPMAAKTVGRLFQRNLNIDNWNSIIKNKEWQSLQHTDAIMYALKLSYDHLPRNLQKCFSYCSIFPQGYSFSEAQLIQLWIAQGFVEKSSERLEQKGWNYLAELVDSGFFQQAKSMRSSTNDFVMHDLMHDLARIVSQTECATIDGSGCKKLEPSIRHLSILTDSAYHEDKYGNISRNKEFEKRLVKVTSKSKLRTLVLIGKYDHHFFRSFRDAFMEVQHLRLLHIASTFSDFDSFLSTSVNCTHLRYLRLENNQSQGTLPQALSKCYHLQVLDISSCRTPNILEDINNLVSLRHLVAKKGVCSSIANIGKMTSLQELDNFIVQDNLSGFEVTQLNSLNKLVQLGVSRLKNVRTQEEACGAKLKDKQHLEKLHLSWKDAWNGYDSDESYENEYGSDMNMETEGEELPVGDTNGAQSLQHHSNISSELSLSKVLDGLEPHHSLKHLRISGYNGATSPTWLPSSLTCLQTLHLEKCGKWQRLPLERLSLLIKLVLIEMGNATVVSIPSLEQLVLIDLPSLNTCSCTSVRNLNSSLKVLKIKNCPALKVFPLFEYCQKFEIERTSSWFPHLTKLTIYNCPLSGVHNSLPPSSIVSKLSIGNVSTLPTVEGSSTGTLRIGVNPNYWDGFFDQDSDQLKTLDVKVLAVHNLRSLTGLTICACRNLATISLQSLRQLVSLKSLELYNCPELFSSNVPPELTCEYMSGANHSALPSLERLYIEYCGITGKWLSLMLQHVQALQELSLEDCQQITGLSIGEEENSQPNLMPAMEDPSSGYPGREELLHLPLNHISSLKKLSITECDDLTFYGSKVDFAGFTSLEELVILDCPELLSFLAHNDGNDEHSNGRWFLPLSLGELEIEHVDSLKKLQLCFPRNLTCLKKLKVWRNQSLTSLQLHSCTALQELIIRSCESLNSLEGLQWLGNLRLLWAHRCLSGYGENGRCILPQSLEELYIREYSQETLQPCFPGNLTSLKKLEVQGSQKLISLQLYSCTALQELMIESCVSLNSLEGLQWLVNLRLLQVHRCLSGYGEDGRCILPQSLEELYIDEYSQEILQPCFQTNLTCLKKLEVSGTASFQSLELQSCTALKHLKIEGCASLATLEGLQFLHALKHMEVLGCPILPLCLGSSSGQGYELCPRLERLEIDDSSILTTSFCKHLTSLQCLELTCCRSEVARLTDEQERALQLLLSLQELRFGYCDNLVDLPAGLHSLSSLKRLEIWGCMSIARLPEKGLPPSLEELDIYRCSDELAQQCRTLPSKLKVKING >KN540210.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540210.1:37758:42870:-1 gene:KN540210.1_FG004 transcript:KN540210.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGLLEGGIGWLAETILENLDADKLDDWIRQVGLADDTKKLRSEIERAEAVVAAAKGRAIGNKPLFRSLGRVRELLYDADDAVDELDYFRLQQQVQGGSWQGATESLDEHEAEQAERPSSNAAIASSSGAKKRSKAWGHFDITEEENGKPVKARCIHCHTVVKCGSDKGTSVLHNHLKSDSCNKKREITDQQPNPSSSTTEATANTIPVELGGSSRRKRMRINGESTHKDVPYAQSWKKDECSTRIQQITRELQDAWGAVSEVLKLHGPCSVGNPNHRTSTTTTLCRRTSSLNPHKIYGRDAEKNTIMKIITDDSYDRVTVVPIVGIGGVGKTALAQLVYNEPTVKRDFERIWVWVSDNYDELRITMEILDFVSQERHEESPCRKEKWKGVGTVKPIELDALSNEDFWLYFKACAFGDENYKAHPSLNIIGQKIADNTTEATANTIPVELGGSSRRKRMRINGESTHKDVPYAQSWKKDECSTRIQQITRELQDAWGAMSEVLKLHGPCSVGNPNHRTSTTTTLCRRTSSLNPHKIYGRDAEKNTIMKIITDDSYDRVTVVPIVGIGGVGKTALAQLVYNEPTVKRDFERIWVWVSDNYDELRITMEILDFVSQERHEESPCRKEKWKGVGTVKPIELDALSNEDFWLYFKACAFGDENYKAHPSLNIIGQKIADKLKGNPLAAETTGLLLREKNTIDHWSNILMNEDWKSLHFSRGIMPALKLSYDQLPYHLQQCLLYCSIFPSNYCFVGEDLICIWISQGFVHCNSSSKRLEEIGWDYLTDLMNSGFFQKVDHTHYYIMCGLMHDFARMVSRTEYATIDNLQCNKILPTIRHLSILTNSAHHEDPSNDKVEGRIRNAIKAVKYLRTLVLFGKHSSLFFQPFKDVVQKGHHLRVLQISAPYTDIGPLLSNLVNPTHFRYLKLDSGALPQSVSKFYHLQVLDVGSKSDLIIPNGMDDLVSLRHLVAADRAYSSIASIGKMTSLQDLHNFSVQNSSGWEIAQLQSMNQLVQLGVSQLENVSTVAEACGARLRDKQNLEKLCLSWTNSDKLGLSWTNFSTELPRDECENAREVLEGLEPHTNLKHLEIYSYNGATSPTWLATSLTSLQTLRLECCGQWQILPSLELFPFLRKVELRSMQNVVEIPIPSLDELMLIGMPKLERCSCSSIRDLNYSLTILNIKHCPVLKVFPLFDNYQHSEIEQISWLPHLSKLTIHDCPDLHVNNPLPPSTIVSKLSIARVSTLPSMMGSSYGTLTIGLSADDYPFYEAIPQLITLDDKVLSFHNLRFLTGLEIYNCPNLTTISLESLRQLICLKSLELGNCPELLSSNVPQELTCEYMSGANHSVMIPCSTFGGDHENVFSSEP >KN540210.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540210.1:50051:50948:1 gene:KN540210.1_FG005 transcript:KN540210.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPGRRTSPTTSEDPGGGAEAVRPDLVEAAEGQAHPSAPTPQWRSIASRSTTTAPYESRQGEGGPRRREVTSSHDAFADRHLTYPIRCGANPAGHEVFAGDFLVLGVERQRKPPSSLSPRCQGRIDWLQIVNGENADVIDASLANNSDDVLMKCTTESKNHDKSTTLVELRDEYVNNGLPISGD >KN540210.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540210.1:6782:7760:-1 gene:KN540210.1_FG006 transcript:KN540210.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMASLVNILALISEACRSAEKLPAALITGGVVEAAAAIFVGLPFLRGFPPTFVYVFLEIIAAINQS >KN540210.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540210.1:48475:48837:-1 gene:KN540210.1_FG007 transcript:KN540210.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFLVKILRAIADACLDDDKLPGALISCGVLQAAAALSLVLFIAPSGVFGHHGKVALHWLCYGSLVTVVLVGFVEAYLGFWVAGDVVNRRAVGRTMLLVCLFSLIFVVAIWGSVLVR >KN540210.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540210.1:27294:27653:-1 gene:KN540210.1_FG008 transcript:KN540210.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFLLKILRAITDACLDDDKLPGALISCGVLQAAAALSLVFFIAPSGVFGHHGKALHWLYYGSLVTVVLVGFVEASLGFWVAGDVVNRRAVGRTMLLVCLFSLIFVVAIWGSVLAR >KN541774.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541774.1:4100:4965:1 gene:KN541774.1_FG001 transcript:KN541774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGTGGGEVGPGLAVVDPARCGVVGQRCLLPGVEAAFAITYYIEKTRKKPATVAGEKVNKQQDPFAVETVAVAPGADELGPVLLCHALEATNGWEYEPYSYGYSMGLLAGVENTRTPPTCRVARRQATLPPTRTASGSSKLGVASRA >KN540210.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540210.1:996:1682:-1 gene:KN540210.1_FG009 transcript:KN540210.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFLAKILQAIADACLDENKLPGALISCGVLQAAAALSLIFFQAPGGIFGHHDDRSSDMCLRCLYSTSDSAAHICTRVQKANAANKL >KN540210.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540210.1:52523:57328:-1 gene:KN540210.1_FG010 transcript:KN540210.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEATLLEGGISWLAETILENLAETDKLGAWIRQVGLADDTKKLRSEIERVEAVVADVKGRAIGNRSLARSLGRLRELLYDADDAVDELDYYRLQQQVQGDACPESADGHGGTEQTERPWGNAGENEASGSAGTRRSGVWQHFEIVEKKNEKPAKAKCIDCGTVVKCGSDNGTSVLHNHRNSGKCKRKRGATDQPPNPPSASEGAVTVNGNAAATGNSVGRKRMRTEEASTDHVAANSHPWNKTELSNRIQQMTHQLQEAMNEVMRLCGSASSNQSRQCTPPATNATTSSYLLEPKVYGRDAEMESIKNLIMRNKSNGITVLPIVGIGGTGKTTLAQLVCKDLVIKSQFNVEIWVYVSDNFDVVKITRQILDHVSNQSHEGISNLDTLQQGLEEQMKSKKFLIVLDDVWEIRTDDWKKLLAPLRPNNQVNSSQEEATGNTIILTTRIQSIAKSLGTVQSIKLEALKDDDIWSLFKVHAFGIDKHDSSPGLQVLAKQIVSELKGNPLAVKTVGSLLGTNLTIDHWDSIIKSEEWKSLQQADGIMQALKLSYDRLSNPLQQCVSYCSLFPKGYSFSEAQLIQIWIAQGFVEKSSERLEQKGWKYPAELVNSGFLQQVESNLSSSEYFVMHDLMHDLVQKVSQTEYATIDGSECTKLAPSICHLSIVTDSAYREDKYGNISRNEEFEKRLMKVKSRSKLRSLVLIGQYDSHFFKYFKDVFKEAQHLRLLQITATYADFDYFLSSLVNCTHLRYLRLESNESHGTLPQALSKCYHLQVLDIGSCGTPNIPDDINNLVSLRHLAAQKGVCSSIANIGKMTSLQELTNFKVENSIGSEITQLQRMSELVELGVSRLENVRTKQEASGASLKDKHHLERVHLFWKGVRNGYDSDGNYNEYDSDLSSESENDSGRSFANECHGDMILEPCMHSETEGERLQMTDSSGAPSLDHIRDIASEVLEGLKPHHNLKYLQISWYNGAKAPTWLATSLTYLQTLRLDNCGEWKRLRLERLSLLRKLVLIEMKNASVLSICSPEEIILIGMQKLHTCSCTSMGDLNSSLRILKIKRCPVLKVFPLFENCQKMGSSWFPHLSKLTIHDCPDLTVPRPFPPSTIISELSIEGISTLPTMKGSSGGALTIESRSDKLTVMDTTMFSFQNLRFVTTLEISDYQNLRYISLEGLRQLIHLKSLSIHDCQNLFSSDVPQEPTSTREDMVAGNRNDRPSLELLSIENCGITGKWLSQMLQHVQGVQKLTLRNCLAVRRLSMGEEENCQLELLSDLEASSSRYPDASISSAGDGLLRIPLNLVSSLKEIDWVRYNSMTNMRLSLMQNRNDGQANENDYSLETTLPCFLGKPIRLKKIVVEESPSLKFLQLKSCTALEHLKIQGCASLATLEGLQFLLALRHLEVYRCPSLLPYWESLSGQGYDLCPRLERLNIDDPFILYTSFCKHLTSLQELRFWFCTNLIDLPTALHSLPSLKRLEICSCWSIARLPEKGLPPSLEELDIDDCKEELAQQCRTLPSTLKVKIDGTYVN >KN540210.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN540210.1:29242:30503:1 gene:KN540210.1_FG011 transcript:KN540210.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPVDRPRRRRQAKEETMEIVPASILVHGPPGPLSCDRHVAASQELNQPKKRQWFALTGTAGPPSPPAKIQAAARKRCDQIGPRLRKDKRKASLAAGPGVDNGDAVMAIVDEYVDIGDEMPTATYQSVEIEKDAEVASNGSSSSSDSGSQNLAMPILWCKVVILTVGYE >KN542683.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542683.1:1154:6977:-1 gene:KN542683.1_FG001 transcript:KN542683.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSGQAQDGMADQRFRSWLTEQSYGSSSNLAVMQMQVLPSHTTSQIIDTHPPEYDGYNWRICGQKVVQGGCHQKFYYECSQANCGAEKSVTRSADGQIKKTVCKGSHNHPLSSERVFGEGSATLDAIPVGEILQAAGVIRPSVAMPMIEEEDELQSGLGDSEEDDANEARVDGDGAAADANAIERHGAAQDITAQTATEVDVTGNDCQQRKNYCRRHGAAQEITAQTATEVDVTGNDCQQRKNYCRSENRRSKSKVWKEFTAVLSGGKIQSAECKHCKKCLSGKSSGGTSHLRRHLKICPGQCRDTRIQQKWSSSRLDSSDANNWEFDQETSLELLTRALVSNLCPFSVTTSANFRKFFAGICPTYNIVPQAAIEEKFLSIFQNEKMKLKEEIALKPGGVFLSVTRSASYEYGTEKTNKALIKAAVQDWNLEKKLLGIALPTNIGNEVILDLEETMTAAGQNFLLAKYKLLIVPCMINALHGLFGYTLERYVLEASREWFEYMTCSAIRLEKYKEILLRLHLSQPSFGSQKWHLTYYLFEAALQFVKEFPNPDAAHLKMFLRKPFPERLEATKNFCDLARPIYHAIDVLSRQNVAFNSHFHVIWSLGTVLKESSKKLNIKRIIDIDDMLKKFDNLWRKCYVWLSLAVVLDPRFKLRYLEQCFKQAFGTGAKLCILEVRGKIYELFLQYSCNADQQSGELVNHWNNDLQMDRDGNDSLHGTDQNDMGQSALGEFRELTLYLEGGLCPQNEQFDILKWWKDNALTYPTLARLARDILAIPGSAVSAESAFDETDERVSLFNRKLSPEIVEALICTQDWIKSSETGDENGGS >KN542683.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542683.1:10053:13364:-1 gene:KN542683.1_FG002 transcript:KN542683.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding HVPAAAAQDTTAHNTIDVDVLGNSSQQRKNYHRSDGVVFDSLTTTRQLPVEAAFEEKFLSFFHNEKMKLKEKIELTPGGVFLSEISKEWFEYMTCSALCLEKYKEILSRMHLNKPSLGSQKWHLTFYLFEAALQFNKEFPNPEEMDSQMDIRKPSPQRLEATKNFCDLVRPIYHAIDLLSRQYVTSNSHFHALLRVGIALGESSRKPNIKCIINVDDMKKKYDKLWRKCYVWLSLAVFLDPRFKLRYLDHCFTQVSSSGCAKLFVLEVRAKIYELFLQYSCNVDWQTGELLNHRSNDLQMDRHGNDSLHGTDQNDIEQGSNGEFRELTSYIEGELYPQNDQFDILKWWKDNASTYPTLARLARDILAIPGSAVSAEYAFNKTGERVILFNQKMSPEIVEALICTQDWIKSSETGDKNGGS >KN544837.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544837.1:358:2760:-1 gene:KN544837.1_FG001 transcript:KN544837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVGNVSIDRPSMTDIVIKLKECLLAGTGEKQLVSGSYKQKGAMDADIARQFHLLISGVPISVIDLAMSCVENTFIDRPSMTDIVIKLKECLPAGTGEKQLVSRSYKQDTMNSDMAREFQLLISGVSIESNEGTSNGTTELSYPFGR >AMDW01029534.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029534.1:30:359:-1 gene:AMDW01029534.1_FG001 transcript:AMDW01029534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLIAGVAFVLACFYVVRPLMWWIVRRVPEGEPIGDVHITLILTGVMVAGVCTDAIGIHSVFGAFVYGLVMPSGPLGV >KN539616.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539616.1:45354:48920:1 gene:KN539616.1_FG001 transcript:KN539616.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRIAASHRPPLLLPSPHQLRRRHIAAVPLSLPHTSLSLSSHHRHHHRLAPTPLRRRIPPLLASQTPNPEADSPAPAGAKLAPLLVSLAVGLAVRFLAPRPPEVSPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGGSTLGLSYGLTISEAFISPAMPSTTARAGGVFLPIIKSLSLSAGSKPNHPSSRKLGSYLVMSQFQAAGNSSALFLTAAAQNLLCLKLAEELGIIIANPWVAWFKAASLPAIASLLATPYLLYKIFPPETKDTPDAPALAAEKLERMGPVTKNEWVMIGTMLLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRMGFVTALINILIWGVVGTFWWKLLGLY >KN546423.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546423.1:650:865:-1 gene:KN546423.1_FG001 transcript:KN546423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGSAACMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFFLGTILVLPLAFILERYILPFFDTSRCIDI >KN539616.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539616.1:877:2791:-1 gene:KN539616.1_FG002 transcript:KN539616.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDASSDTQSEDTASSPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGDRKFPNGQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSAVLPL >KN539616.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539616.1:21418:35091:-1 gene:KN539616.1_FG003 transcript:KN539616.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09020) UniProtKB/Swiss-Prot;Acc:Q9M0S5] MRHVATERAVPASMRPTEVERALEQQGQPQSQATSTITALHRRHGRWGAVHVNIDEVASLPGSHRNLDFRSPHRHLWLHLDMRWLGQQRGEVRRFDSVRSTTARAQSGNAGRSMTEERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVTVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVFATRVSGSADLYQVNERKPYHGVNFVIAHDGFTLYDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTLITLAVCTSKRQQRLRYSAPARLHLPVRAAAAGSRLTATPVELGQPVLLLTKACERLTRLSCPSHLNIKRLQCSLSQPCSLSSSKLLTGAKQLSSSFFNKNLVRKVGSDAKLRVMFVALKCKVSCNGPAWNKDDLMLLRNMNIVMEWKFRFSMVRLENH >KN539616.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539616.1:9255:10032:1 gene:KN539616.1_FG004 transcript:KN539616.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAVLVGLLVVSCATVAAATRYTVGDGEGWTTGVNYNNWANGKFFRQGDELVFNYQARAHTVTEVSQTNFDSCNGNSPLSNDNGGSTTIRLSYPGMHYFICTIPGHCSSGMKLAVNVNGDPSYSGASSPAAASAAAAAAGGQRGP >KN539616.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539616.1:86349:90922:1 gene:KN539616.1_FG005 transcript:KN539616.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRAEAVDHLASRLRSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLAPIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGSLPANPQKAIIYHPTVSHLLAVLATVCEELSQDSILLVYLSASGFSEQNITSQKYASSSSYARATSVYPIDKPNSNGNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAEKGEPAALLLSPRIASAMPGVESTSNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAEIILFSTLEQYEGILCTSVGLNNVWGQILPDPFLRRLITRFIFCRAVIFYFHPEENGEHIPTCLPSLPESVAPNAEAIMAPILELAENLVVSDRFHFRHSVRNNKK >KN539616.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539616.1:3404:5051:1 gene:KN539616.1_FG006 transcript:KN539616.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPATGATAQPGQEDPSGIKNPGDGAGDSSGIDSGWVVLGKSDIVPADLAAAAADAGHRQLGFSPLPMLPIWVQMVLGGVVYTAVPFYNRARKAEDEVTKNVETALEVVEHAAEVTEKLAANVANALPENGTLHKLAEEVEYIAEIVDKDAQKVEIIIKKIEDVSNQIDAAVEPVIEELEKEFKP >KN539616.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539616.1:38210:43174:1 gene:KN539616.1_FG007 transcript:KN539616.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVEQNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEYVIIQLNFVSFVSFLTVLVNKKVSMPYCRFVRGFLRMQFPKGDVPKWVRNAMVVAGIDISECCNPAKYPRDAMDAPGTDIPELLPPTKCS >AMDW01037705.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037705.1:411:690:-1 gene:AMDW01037705.1_FG001 transcript:AMDW01037705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQCRFQKGQQCQIWIWHRGSMFSALKKAHL >AMDW01033398.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033398.1:288:488:1 gene:AMDW01033398.1_FG001 transcript:AMDW01033398.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTSLASLMGAVAFLQGVVHAVFPAELRAAVARLLGRATRAFSPYCYFDVTETEGMGTNEIYDA >AMDW01066339.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066339.1:3:384:1 gene:AMDW01066339.1_FG001 transcript:AMDW01066339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTAQDSYSFLLNWLNKFPDFKNRDFYIAGESYAGHYVPQLAELIYDGNKGASRDRVINIKGFM >KN539604.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539604.1:49193:58831:-1 gene:KN539604.1_FG001 transcript:KN539604.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDSFVRMMSEETHVEAYSLPMQNEDLHTSTNGAKGRAKRSSNFNRKEDIQLCTSWQSISLDPIIGNEQPGKAYWQRIAEHYHANRDFESDRSANSLEHRWGNIQKEVSKFQGCYNQIERRHPSGIPHQELVLEAEALYSSNAPKNRAFQFNHCWLKLRNSPKFQTLESHKRPRSRKSSTPIERAGEEDKEGDDARKSTTPDLSQPRGFAKLSHYVLARERSICRTNFWSFSTVKVRDWYLDSFRDLRCFPEVRNRDDELAFTEMIKMIRVRHNNVVPTMALGVRQLKKDLGGTKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHEPDPEPGVIGLISKRLSPMLVAQHATEDARAICMREYGSAPDVNIYGDPDFTFPYVKLHLQLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKLPNVFIIGTPISVRTFLVQISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGRNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLH >KN539604.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539604.1:22535:35135:-1 gene:KN539604.1_FG002 transcript:KN539604.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARTVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCAAAYNRKISYLLVNCGNSIVRTTTASTSAPATTPRSRVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYELRRVRSGILGETDNMVTMHDVMDARWAMDNFNDESYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKFMIPGLLRFENEIEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPSEWLRNAVLPAGGDAMIAGIAAAPEPEKPKVKEEADVAEETKEKKKKKHKDGAGDNADEGRKRKVGDDDLSASVSAKKIKVEEEADAVEGEKSEKKKKKKKDKAESAYADGEVKAELSDGEKGGSEKKKKKKKSKEGEAGDDEAEKSEKKKEKKKKNRDAELSLRCDEFCSIEVIGLLIWIYYIIVGHFDLSIALKVTVAIIDWPKSALHVNMSTMAYLVSLYNTISWTATFSVSAACDNVLLGEGLDLFSFSISAEHKRLLRKNRRIEEEEAVRSRVCDVRWLLVIDNYPDGVDDPREVAQEYFRERDWRKLTSQPTLRKTPRGGRMMAMTRSTNVAVLSAIAGGLSAIAGRSTTNTGKGDAKAGRGWEETKRRGVSGSNSPTQMMVTMATDERSIPFTFVCAGTPFLYWFGPQPRICVSDYNLVKQILSKKYGHFVKNDAHPAILSMIGKGLVLVEGADWVRHRRVLTPAFTMDKLKVMTKTMASCAECLIQGWLDHASNSKSIEIEVEFSKQFQDLTADVICRTAFGSNSEKGKEVFHAQKQLQAIAIATILNLQLPGFKYLPTKRNRCKWKLENKLRNTLMQIIQSRITSEGNGYGDDLLGVMLNACFSTEQGEKRDELILRVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQDRLREEVVRECGKENPNADMLSKLKEMTMVLLETLRLYPPVIYMFRKPITDMQLGRLHLPRGTAIVIPIPMLHRDKEVWGDDADEFNPLRFANGVTRAAKIPHAHLGFSIGPRSCIGQNFAMLEAKLVMAMILQKFSFALSPKYVHAPADLITLQPKFGLPILLKALDA >KN539604.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539604.1:63673:67358:1 gene:KN539604.1_FG003 transcript:KN539604.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSSRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQIASAMTNQQLMDAGREQMTQTDQAIDRSKMVVAQTIETGTQTASALSQQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNFQISNRRLLSVEIIRGL >KN539096.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539096.1:128324:129662:1 gene:KN539096.1_FG001 transcript:KN539096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDMEGVWRGMEECHRLGLARAIGVSNFSAKKLEQLLSLAAVRPAVNQVEVNPMWQQRTLREVCRREGVQLCGYSPLGAKGTPWGSAAVMDSGVLQEIAGAKGKTLAQICLRWLYEQGDVLLVKTYNEKRMKENLDIFNWELTDEERERISQLPQLRGLPGLEFISDHGPYKSVEDLWDGDV >KN539604.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539604.1:74605:77340:-1 gene:KN539604.1_FG004 transcript:KN539604.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAALLVSLAMLMAAVTAARVARAGAGFYTPPSPSTCGLKIGYYHDKCPHAEAIVKGVVAAAVHRDPGVGAGLIRMLFHDCFVEFTDIELWSERGHGSGSGNRCFLAVVANHKKATTKPEIRVTVPCCWSVVGNAGMVITNGSLSLSFRRCRCRHVALRPINREAGNGMPPFVGVMPKCGVVVYRGVILVHHARTAVAAAATAPV >KN539604.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539604.1:17030:19295:-1 gene:KN539604.1_FG005 transcript:KN539604.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALVFLALLLPTISWLWDYIIVRHIWKPYIAAKRLREQGIRGPPYKFLKGCNEDVKKMKDAADDLVLDVHDHNYLPRVMPQYLKWRSQYGEPFVYWFGAKPRICIFNYEWARQILSSKSGHFLKNDTTPTVLALLGKGLVLVEGIDWERHRRVINPAFTMDKIKMMTKTMVACAQNMVKALEDQASSNKNGETQVELDKQFQELTADIISHTAFGSSYKLGIEAFHAQKELQEIAVKSLLNVQIPIQFSYLPTKGNWRKLTLEKKLRGTLMQIIQSRLSSKGSGYGSDLLGLMLEACIATDQGREQHQLSLSIDEIIHECKTFFFAGHETTSLLLTWTVFLLSVYPEWQARLRLEALRECGKENPNGDNLSKLKEARKLMSMVFLETLRLYGPALFLQRKPLTDITVGETKIPKDHAIIIPSAIMHRDKEIWGDDADEFNPFKISERGHKSSQSSTCPTGILNRAKVLHRSELCDVGSQVSYGYDPKKVLIHTFP >KN539604.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539604.1:67612:69096:-1 gene:KN539604.1_FG006 transcript:KN539604.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTHAAHNYLAAGYSRLGLPEEALGIVRRLAGCTGRLNVFVLSMALKLSAALALPRAVREVHARVVRSVVESDDVLFAALVDAYVKNASLRYARRVFDVMPVRTVVSSTALIVGCMNEGLYEDAEEIFNTMDEKDVVVYNAMVEGYSKTETAESSMEVFKSMQRARFRPTVSTFVSVLGACSLLSSPEIGEQVHCQVIKSSLSSDIKAGSALLDMYSKCGRVEDGRRIFDRMAERNVITWTSMIDGYGKNGLSDEALQLFEQMQRQRRHHDAVRPNHATFLSALSACARAGLLSRGQEVFQSMEREHALRPRMEHYACMVDLLGRSYSLFLSQISTVSDAIDAEFS >KN539604.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539604.1:3155:6542:-1 gene:KN539604.1_FG007 transcript:KN539604.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLVLLEGSDWVRHRRVINPAFNMDKLKMMISTMTGCAQSLAKELEDVAAKNKDRVTEVDLNQKFRELTADIIAHTAFGSSYQLGKEAFQAQHELTEITMATLFQVQLPGLNYLPTERNRRKWRLQKNLRDTLMQIIRSRLSPKDGEYGNDLLGLMLGACASDEQGEASSLSMDEIVDECKTFFLAGHETTSLLLTWTVFLLSVYPEWQERLRNEMTMVLLETLRLYNPALFIQRKPTADITVKKTMAWAVKWGISLWATFSPAQHLVSAAARATHLQASVSP >KN539604.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539604.1:41923:42096:1 gene:KN539604.1_FG008 transcript:KN539604.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPEPPAPGIPMEGERWRQQRILELEVYLRRIDLLQDQVRTALEELKSTPPATQ >KN539096.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539096.1:92086:94107:1 gene:KN539096.1_FG002 transcript:KN539096.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAEDEEKRPLLLHLRRPNAGGKDDDSGCGGWRACLLILGTELSDCLAFAGIARNLVSYLTGVVGESNVAAARDVSAWTGTCFLTPLVGAFIADSYLGRRTTILLFLSIYTMGMITLTVSASFATSHLDTSSDGVLRATVFLGLYLVALGVGGIKPCASPLGADQFDDDAAPAARASFFNWYYFCINVGSLLAATVLVWVQERAGWWLGFGIPAAVMAVALAAFLFCSSLCGLRASHTPPGSPLTRLCQVVVAAVRNRGVELPGDSSLLHQLPDGDHRIIEHTDQFAFLDKAAVVASPPAVAMASPWMLCTVTQVEELCKPAGVRLLFYEIQLQGC >KN539604.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539604.1:83350:83604:-1 gene:KN539604.1_FG009 transcript:KN539604.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAALLVSFAMLMAAAAGFYTPPSPSTCGLKVGYYHDKCPHAEAIVRGAVGAAVLRDPGVGAGLIRMLFHDCFVEVHIHMYT >KN539096.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539096.1:137443:138946:1 gene:KN539096.1_FG003 transcript:KN539096.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVLQEIAGAKGKTLAQICLRWMYEQGDVLLVKTYNENRMKENLDIFDWELTEEERDKISKLPQQRGLTGMQFVCDNGPYKCVEDLWDGA >KN539604.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539604.1:43010:46219:-1 gene:KN539604.1_FG010 transcript:KN539604.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDMLFWAFDNPPPGNFLLISGDQDFSDLLHRLRMKRYGILLAQPSNVSSRVLAAAAKTVWSWEKLVAGESLLVESTHTHGVPDCDPKLNSLDVSKCSQNKSLDVSKCSQSKSLDVSKCSQSKSLDGSKCLNSKVHAVCGNGDSNVKACDQYKVKPLQKYVKKTNAVSSSTNNQDQVVLVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTPPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNKFMTGKKPSTSVKFVPRKGTVDLGVSKHHHQMFMQSQSSEAKSKLHSEYDIAEKNAKKGNQSKSNPIHRYVKKTNITSSPASTQLDSDGIPECSTGNTPSKLNQLPVLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEAPHELIVGKKPSCTSTELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKTNIVSSSAHNEIDLVKGFSGNSKGSILGNPSKSMLSSSNSESLEEAKENCSTPLKNPPFPLHKPVTPTDLQHVGSECIFGNNPSLPTECMPKDGIFYFGAINGHNSPACQQAHSSLLPEIHKSIPPSAVGHSNSVNSDVGSSYPASTGFNGAPSAQIQTSPSGLTFQNMLDICSDFGRLTISECPPGTSEKRPPFQGMPSNYTAFGMPNTSGHPRVPHEIGSTFHPGSVVSFHPSHSSARQSARSPSCNMQNTGNHVETEGSPPSSSEPEVTIRNILHALHMLKAEKIFPTESNIADCIRYSEMNISGFDVKKALELAIRHQAVIMKKLVNDMPLFVAKGENIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIIHLRWSEGYLDAAIIVNLNATVSSWAAPEAVKTYQSLMGRLCPGSKDCEDAGFAELQLSNWFYASSARYTVQESLSLVLVV >KN539096.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539096.1:146525:148390:1 gene:KN539096.1_FG004 transcript:KN539096.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGMMAEAALSSGKPMPRVGMGTASFPLGATEPSTVKDAVLRAIEAGYRHFDTAAVYQTEAILGEAVAEAVRAGLVASRDELYITSKLWVAHAHPSHVLPSLRRALRKMQMECLDLYLIHFPVSMRLAEDPESMTYSKDDLVMMDMEGVWKEMEECQRLGLTKAIGVSNFSCKKLETLLSFATISPAANQ >KN539096.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539096.1:84160:84874:-1 gene:KN539096.1_FG005 transcript:KN539096.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVSCRMAPAAGGAWTTALCDCSDDCNTCCMACWCPCIPVGQIAEIVDRGSSSCALNAVLYCLVFHVSAGMCQWVYSCAYRARLRAAYDLPETPCSDCLVTFCCQTCAIAQMHRELKNRGLDPNLGIISISSQSKL >KN539096.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539096.1:141236:144591:1 gene:KN539096.1_FG006 transcript:KN539096.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTTDARWAHIACALLVPEVFFCDPDDCDGIDCLSRSLCHRCRRVIFTVVATSLCSAGAAGTLYFTSIAVVSSDVAAAVAAAYLMWVGVVASPSFTSRRHDEIVPPSYSAATSPRSLSISLSSPAWESHMIRGFTRAGSDHCFCERTEEKYPLLRRFNTGSYSKRSLPMSVLLNWSTQSTTFLTDNSTIADTAKRRNFLEDPGHWSLKPLWTQIISSTSIDLIQVRWIPREVNKMADKLAKEAKSNTRRNLIQNCQNI >KN539096.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539096.1:9160:12686:1 gene:KN539096.1_FG007 transcript:KN539096.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALRRGRGSLGRWDGEQLFTGRRRFVGGGRIADESRMNAAGRGEGRAWGEGRERVIEGRGRWKDGAIWNVGSKRDDPDRSMKNEVNGGSVQSSGDDKSSSSPGDDKSTSSPGDDDNSTSSSEEQFKEISIEELFKEITIEEQFKKISVEGTPKSCGDDVSTSSSEEQFKEISIEELFKEITIEEQFKKISVEGTPKSCGDDVSTSSSEEQFKEISIEELFKEITIEEQFKEISIEGTSKSFGDDVSTNSREHFEDINSEGAAKSFSDDVSVSSSTGQFKEISIQGAAKRFGDDVSPCSSAEHIKEISIKGAAKSFGNDVSTGSSAEHSKEISAGGTTNSFGDDNCASSSAALSLC >KN539096.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539096.1:94359:98776:-1 gene:KN539096.1_FG008 transcript:KN539096.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLLLPLLGLVMLVGCAAADSHRYRPGDAVPLYANKVGPFHNPRVNHRCTRFSFILFSHIDFAPFSETYRYFDLPFCAPEKVKDKIEALGEVLNGDRLVDAPYKLDFRVDFDAKSVCSRRLSKDDVVKFRHAVSKDYYFQMYYDDLPFWGFIGTKPEKADAGDKYYLYRHIIFDILYNKDRVIEINVHTDQNAVVDLTEDKELDVEFLYTAKWKETQIPFEKRMEKYSSSSVMPHHLEVHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEEPDDQEETGWKYIHGDVFRFPTNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLAGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKNEFQAPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYRERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALLFVRHIYKSIKCQLKQKQQQIEENQEATGFKAADGSLEDVCRNTDGSIPKLSTEEFSE >KN539096.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539096.1:103791:107271:-1 gene:KN539096.1_FG009 transcript:KN539096.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNITQCYDKLKFKVDINQNIKVFTEDEIKRITSNFSIPIGQGGFGEVYKGTLDDDYDLVAVKRYISKDLRKEFMEEVSIHSQMSHRNVVELIGYCIGESTLMIVTKYISKGNLDDILHNSDISIPLDVRLGIAIGCADALSYMHSMHLSNGSLICHGDIKPANILLDSNLTSKLSDFGVSRLLSGGVTQYTVHIKGSVSYMDPIYFHEGCLTPRSDVYSFGMVLLELIARKRVRKGDINLIGGGEIFDAEIANRSNMKILKEMRKLAIECLTLDIHKRPQMNVVAKRLRTLKKELKDMHGRYSEHILASHRSWRKNDNQGPSYNSRMQLKKSLSIFKRNLSNSSKILLGLGNMRIFTQEELNEITQNYSCLLSGGTSGKVYKGTLEDNTVVAVRIFSEVLEGFEEAFINGGMILSQIVHKNIIRLLGYCLNVDCPAFVYEYAARGTLSDILDGREDFPLHLRVKIAVETAEALEYLHSSAAGMIRHGYVAPSKTLVDDSFTPKLTGFSWAQRLNNDDSAIHDHDKYCVSLKLKTDVYQFGVLVLTLISRKNFVFYADHEHLVSQFLAAYKADNSGRAFFDDDITTRTEDVALLEEIGRLLLKCICLEIDQRATMKQVAQHLRIIRRCWKNNCTADGASLDPFGGPPSGRHQKSSSCAAEGPYLIARTLHLPLGWAEGCGAFGGSTPVEWSQPVEGEGRILQPTPNSCLSRAQALMDGKASQRVTIFLSKR >KN542587.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542587.1:11502:12471:1 gene:KN542587.1_FG001 transcript:KN542587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSSLSSPALPLDIVVEIAERSDPITLLRCAAACKHLRRVISGEGFRRDLRLRNADGFVPGLLHGFFFQPRCASPHDHGYNYEPLRFVAAGRHDHIASGDNCQIPSFVSDSDENNPCRRIEPMAARGGFVVLRTGEFSGKVCNPMTGYVRAIDMPRKTSKGEGSSYLVLTADDGGDGVDVGVTSDDSELHPFRLLAVRLFARTRVEMQALTTDTGTWGPATTLAVVNAGCLGPRPVLVRPPVVVAGVAYFLGEMSGRDDRTYQLQRRMSRMSFDPPYQHSPPPSYSYFILAIDVSIRRRDGETGGTTAAATIMLLPTTEL >AMDW01023449.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023449.1:8:322:-1 gene:AMDW01023449.1_FG001 transcript:AMDW01023449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSAAADWVRDNVEAYWPSVIIRYITVGNELPAGDMGLILPAMQNVHKALVSAGLSSSIKVSTAIKMDVVANTFPPSHGVFSSDVQQFMVPIARFLANTVSPLLVN >AMDW01034111.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034111.1:284:505:1 gene:AMDW01034111.1_FG001 transcript:AMDW01034111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNN >KN541390.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541390.1:12369:12680:-1 gene:KN541390.1_FG001 transcript:KN541390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVGLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLPPEMAQRIDAITEAKFAGSGLSALA >AMDW01085469.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085469.1:566:1693:-1 gene:AMDW01085469.1_FG001 transcript:AMDW01085469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGTTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKIFAYQAIGLLATRMPNLFSNKTDMAIRLFTVLRLEEQSLRLTIQEAATALATAYKLINDLMRNKLTSFVDEAHEWIVTPHGPNMLG >AMDW01036419.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036419.1:3:152:1 gene:AMDW01036419.1_FG001 transcript:AMDW01036419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALWAAAGVPDASDFDFSYFGAPLTPMPTPTLLRPHELLLTGLGGYQ >KN538870.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538870.1:199361:211536:-1 gene:KN538870.1_FG001 transcript:KN538870.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSWFAGKAMYYAILQGSTEYILKHGEERMKMELANIILNKHSDDKTLVEAVKAQFFAEHLFSDQYQDRTLFRWHLRHTYVDSAFMERVKEIEVKSSNDGSGLISGQRITSTRSFGDLMEDPLACILGSPDSNMESSKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARWKARTGSNLECSTVHTTLPCLQRGGGRETAAMVMPRLQELKEIFDVARGKVSPVILDLEESLLAKGNMTLEEEITIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPLPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANIILNKHSDEKTLVEAVKENFFAEHLFSDQYQDRQLFRWHLRHTYVDSAFMERVKEIEVKSSDDGSGSISGHRTTNTRSFGDLMEDPLACILGSPDSDIQSNNSSEHTGTTVKRREENPVILDLEESLRAKGDMTQGEEVKIYASKVTAVLCKAFATATSALVGYHVLGVGANLLGNPPLPRIPRIGMAAGSAWIVGKFAYYTALQASPIFILEGGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDV >KN538870.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538870.1:181410:181910:-1 gene:KN538870.1_FG002 transcript:KN538870.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTQPQLVESEPVKKLQRLPPVFSRVLELPFPRDTNVRKLFTTNADFFFVPHGVGGEPDVVKVHIVRLERWDMTRVVVHIGPGEPDLRNDLVYDKWRFPLAETSILSMVMAGYVNGQLIVVVPRMDASGDGGNEGIPMWPNIDKRGGGGGSGFGLLAGASHIPTK >KN538870.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538870.1:149648:151221:1 gene:KN538870.1_FG003 transcript:KN538870.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEEDD >KN538870.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538870.1:114248:114538:-1 gene:KN538870.1_FG004 transcript:KN538870.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCDLIPPPVVPQQIAANGSVAYMGAIMAPLQEQDKQLVVDLAPTTADQLARMCSAGEPLWVRQRGAEVMAVEEHARMFRCEILLASMNYQGTAM >KN538870.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538870.1:127748:132322:-1 gene:KN538870.1_FG005 transcript:KN538870.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLMSRVAVVDEDRCKPNKCGQECRRSCPVVKIGKHCIEVGPRSKSALISEELCIGCGICVKKCPFGAITIINLPKELDKDTTHRFGQNSFKLHRLPVPIPGQVLGLVGINGIGKSTALNILAGQIKPNLGRYDDPPDWHEILAYFHGSELQNYFKRMVEDNLKPIMKPQVEIMHKNRNSFQASVGQLLDRKDERGMKDQLCNGLQLNEVIDRKVAKLSGGELQRVGIAAAALQSADVYMFDEPSSYLDVKQRLNAARVIKSLLRTNSYVIVVEHDLSVLDYMSDYICCLYGQPGAYGVVTLPFSVREGINIFLAGFIPTENLRFRDESLTFKIAYAQEEAKIKTYQRYKYPTMSKTIGNFKLTVREGEFTDSQIVVMLGENGTGKTTFIKMLAGVEKPDTVDGIDIEVPEFYVSYKPQKLASTYQGTVTQFLHEKIRDSYTHPQFVSDVMKPLKMQQLMDQEVQHLSCGQLQRVALCICLGKPADIYLIDEPSASLDSEQRLVASKVIKRFIMHAKKTAFIVEHDFIMATYLADKVIVYEGHPAVDCTANAPQSLLSGMNKFLSLREQERVRKTGRSGTGRKPCLDHFMEQVFRHRNCRMIRGPQPFRMPPSLHDGKGILYW >KN538870.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538870.1:189833:197854:1 gene:KN538870.1_FG006 transcript:KN538870.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRGDLGAAADRGVGDSAEALSRRYRFLNKAVLALQKDSYLVKDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFNSKGTPFNLTKPLVQNQVVELANNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEKEDLKLTPDSQENEESKKQISETDSFRGIEPGKSSLAFKGLNNRNNEYQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNIAEGLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTTATASASGERLDRPNLAPVHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKIPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPDPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVSICFREPKPESLLLANKLHLQVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIRDCWQKDPNLRPSFSQLTSYLKTLQRLVIPCHQETAGSYVPQEISLYR >KN538870.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538870.1:123562:125925:1 gene:KN538870.1_FG007 transcript:KN538870.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVNLTGLVDLVGFGVVVVGNIVSTVNLDCRLDLKKIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKYRKEIYAAFENMFPVLTEYRKTQQRWVFDCVVSR >KN538870.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538870.1:172773:177400:-1 gene:KN538870.1_FG008 transcript:KN538870.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEETKAMEDRVEETMPSGSAFLADASMKYPEESEWDDEFGNDLYVSDSVPSQLGSQAVDASENKVDEDSKIKALIDTSALDYSQIPDGYGGGRGYGRGMGGRMMGGRGFGNLSTLSVAIFLCVQVICIPFPLMILTYDIPLEYSQGRGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDARFDMKRMKPPTGIPKSMLMATPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSLGDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQVQDMESAIPVQPKVRSPAASAASKEEPKRAPAPVEESPDVESHSEVKTTNVDMSSSDKKVPAVPDVVEGTMESKILKEKTPEATPVVKESQEKMPVVGEQVVKKKKKKKVRAPGNAEDWKPYQDFGAENFAGMPMGPAGGFNPYWGGGMPLPMDYMGAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPAVPRGCVYVHVLLWLSIHPCLSSDHLMLRDLSELAVNSMGMNMGPPVVSRDEFEHRKPDNRRRREMERFNER >KN539750.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539750.1:33702:35534:1 gene:KN539750.1_FG001 transcript:KN539750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRWTYEHLDVPVQRCFSYCSIFPRGHHFYSDELINLWVAEGFIDSYGGKYELEAVGQLYLDKLVSCSFLQKENLRGSFTIPDLVHFLAVEVAGSECLSIQNGWEGDLPQNVHHLFIEIDDENISEEILELTELQTLIINHNGQNWQNLSYEIFENVFMNLPRLRVLILEVEWFQSEMKIFDVPLSINLLRHLRYFGFRVSVTDSSLWVLNLPGTFARLYHLLVLDVTGFDKLSFPLNEDMSGLTELRHVIIDSKDPHFPHIGRHESLRTFKHFTVKMEAGYELNQLKRLNKLRGELNIYGLENVPGNDDALQPELHKKENLTSVKLSWGNPQEQGLQSQVLEALRTPAWLQTLQIVCYNGLAYPNWMMTNGPEAPKCLQDLKLEECRQLGEEIPEHNKLFKYLRILHVANCIWEFFPANMERLESLQKLKIEDCPNLQSLPTRLPLSLRILHISNCDTWNQWPDSVEDDLRSLLELKIEDCHDIESLPAQLPGSLEKLKVTGCSKISSLPVMPHELLELILGSRNQEFITSCRTPGHQYYKNISHVPHKIIEYGKALNPLLLLRTNIPLLVNVFVMWACQQIQTRTKKWKTINEDLYPSEDGEGSVL >KN539750.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539750.1:74196:76299:1 gene:KN539750.1_FG002 transcript:KN539750.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIHVNNEAELRQLAHLNHELRGKLWIYGLENVNSREAAVEANLAAKQHLKQLELGWHNNIGGPDADDQMNNVEAQKVLEGLCPPTDLETLLISGYWGSRYPTWLVDQQNNGPKNLHKLTLVNSSQLRSIPEHSELFTHLRSLEIACCNWDSLPDNMERLTSLKELILSFCNNILSFPTLPQSLERIEIVECKAMLMSWQKIEHTFPTKKKRVQTLIMVYVQAGFNQGDPEPPGNASTPRRRAFLPQVPADALWGKEAPEEGGLLKVAAIGHPHRVFFNLTITIACKFHALQRPFSEGSLKGGRAAEQCG >KN539750.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539750.1:49501:58473:1 gene:KN539750.1_FG003 transcript:KN539750.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVLKMELKKILEKIENTVNEAHQILNLPDLLGVSDGKERQTVAVTTSVPPPVVIGRDEDRDKIIAMLHEKLDNGQRKANAGLCYSVIGIHGIPGSGKSTLAQHVCASEKKDKREEKDGHFNLIMWIHVSRNFSVDKIFREMLEEATGNPCPRFESLDILEQKLEEALSGKHFLLVLDDVWYREGVNQQELQKVISPLKVGDLGSKILLTSRSRDALLALGAIRCIPIPDLDDNVFLQLFLHYALEGAEIDERERTIFEEIGSDIAKKLKRSPLAARTVGGQLRIRPNADFWRDTRDRGLLDATTGALWWSYQHLDEQIRRCFAYCSIFPRRHRLKRDKLINLWVAEGFISTTNAGEEMEDVGQRYFDELLSASFLQLVEKQTGAFGAVYYYTVHDLLHDLADKIAKNDCFRIENGWTGVIPRDVRYLFIETYNKTIVMDKILQIENLRTLIIYSDKLDMLIEENVLESMFTRLRKLRVLRVRTVTQSGTLSFPASIGQLKHLRYLAFWTSSSVKQVLPRTFSKLYHMQLLDTGHCRNIVFPSGEDTVNLINLRHIVTFENQKFPDIRKLTSLQTLSFFTVKKGLGYDLQQLTHLNKLRGRLQIHGLENVQNKEQAVAANLAAKERLKELILVWDGDNCSPDIETEVIEGLCPPMELERLELRNYHGLRYPHWMVRKQNGLKNLRELKLYKCGHLGPPPELFEFFDHLCVLSLSQCSWDALPDNMEHLNSLQKLNIFECQNIRSLPMLPQSLLHFELRGCDQHFTRSCKTIGHPNWQKIQHIPMGWGISALGWFVSPIISKLFSRCFSYLGFDGPQKLGEFQSKVFQLELILKDVEVHPPSNRLEELLDKLKSAFYEAEDILDDIEHHRLERQILYPHGRKWVNMLQSAIPMCSCLTNQDTTLHDISKMELEKILNKIENNINEALKILALLPSYSKDKERQTVAVNSRIEVTTAAPPPVVIGRDMDHDKIIGMLHDKVDYGQRKIRSGALCYSVIGIHGIPGSGKSTLAQLVCASERKKRHFKPIMWVHVSKHFSVDTILSEMLEAATGNPCPEFKNRDTLQQKLEEALTGERFLLVLDDVWCERNSGQLELQKIVSPLKVGGSGSKILATSRTADALLALGAMRCIPIADMDDDVFLQLFMHYALEGADIDERDRAILKEIGSGIAKKLRRSPLAASIVGRQLRMRLDAEFWRDASKRDLLKETMGALLWSYHQLDEQVRRCFAYCSIFPRRYRLKRNELINLWVAEGFIGSTDAGEEMEDVGGKYLDELVSASFLQQAGQQRAVSGAVDYFIVHDLLHDLAERVNRSDCFRIENGWTGVLPPNVRHLFVETYNKAMISDKILEMESLRTLIIYRHKQDIPFDKFMRLKKLRVLSMLSVTTSNVFSFPASIGRLKHLRYLAFRMGRVKLVFPSTFTNLYHLQVLDIGGCKDLVFSSGEDINLLNLRHVISSADLNFRNIGSLTSLQTLSFFTAKKGPGYELRQLKHLSKLRGRLEMHGLENVQSKEEALEANLASKERLKKLALVWDKDACCPEVQAEVLEGLYPPPELEKLEITNYHGSRYPDWMKLSEFVNLRSLGLYMCSWNALPDNMEQLTSLQTLVIYKCQNICSLPMLPRSLVQFVLRGCNPEFRRSCETIGDPNWQKIQHIANKEFDSNQVDVPKTETAWKEGSQGGHLKRSTSDGCRRRSSKSVASCPRRTQPETAMYYHDDGVPVRHCVEQVERGGQGRGRGSAAGILASSGYAGDCIGSGRSA >KN539750.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539750.1:48786:49190:1 gene:KN539750.1_FG004 transcript:KN539750.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSISTAVGWVISPIISKLINRGFSYLNFDASEKLNRIQSKVVQLELMLEAVEASPNRDRLEHLFKELKSAFYDAEDILDDVEYHRLERKIVSQPDDKLDSHSMAPHTTNWVKKLQSALPKCPCLESQASS >KN539750.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539750.1:80466:84367:-1 gene:KN539750.1_FG005 transcript:KN539750.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAQPWMICGSSKYAANSTYQSNLDSLASSLLVEGGGEYGGLFAKGTSSGAAPNKEDILNMDSASRVNTSAVVDAALVLMNITSEPMLPGWDTNSLQATKNFTQFFKKMLDGTLGQVLSSTKHYAAIRVDMDDADASNTGTLPRRLFCLAQCAPDLIEDICFNCLNNFSDLATANFAGRQGGRILALLCNLRYDTVKFFTGEPTWSSGSSSNALVPSPAPQPAPLLPTWPKQKSKTNADEDEALIWGLQGRNSEFTVYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDREMNPKIADFGLAKIFSANDNEGNTKRIVGTYGYMAPEYASEGLFSIKSDIFSFGVLILEIVSGKKTSSFHRYGEFINLLGHAWQMWKDETWLQLVDPLLPTESHTIEIMRCINIALLCVQENAADRPTTSEVVAMLSNGTMSLPEPKHPAFFNMRLTNEEAPTVVAASSVNGITLSAIDGR >AMDW01037947.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037947.1:358:759:-1 gene:AMDW01037947.1_FG001 transcript:AMDW01037947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGIALARYFKKHDPFWFYAHISVQGVGFVLGVAGVVAGFKLNDDVPGGDTHQAIGITVLVLGCLQVLAFLARPDKSSKVRRYWNWYHHNVGRAAVACAAANIFIGLNIAHEGNAARAGYGIFLVVLALVAVFLE >AMDW01042288.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01042288.1:137:1211:-1 gene:AMDW01042288.1_FG001 transcript:AMDW01042288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VCVHLSDKADTTVVADLLHYDKHYNLAIFKISIDLSAQIPSFTSELKYAQEVFVLGRDEDRNLSIDFGSVTYKSPSSLHHHHYMFISSGVSKFAIGGPVINFDGQVLGIFSLLEMAFIPSCITLKYLHMWKRFNCIPRPHVRMKFTAIALLDPARIEKISRKCDIDAGLIVTQVSEGSVAEKLGVRNGDIIKSWNGISISTTIEVDLVHIHCIPINE >KN542950.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542950.1:863:7746:1 gene:KN542950.1_FG001 transcript:KN542950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKEKKLRIGEGSKVQDSSREDSKQERLGIKIQENHKSKVQFQPNTIQDMFQVIGNEEEINKEFTRRVNKTRIIYDSSYRNYRRKMQGMHPNSEHLGIKDRIKRKKFKEIKYSKKQGVQIPGTIKMVQDKKLQTGENSVEKDQKSEEQHCTNIDDSKLDKLAVKYNKGSIEENNEDIFKLSNDVWLTSIENDVCSNSKLTKEIIGEVRNEKTARPVHESNILSLLDQDFIDDTFTSYTSLMQQIISSQQTICNDSEEHCLLESAQNDESRNDAGQNEEELTEEDIEQFLNEEQQEATKGNNANIGRKYIPELHQKFKSVEEAQDYFNFYAFMAGFSIVNVHSARTRNSEWVITRIDLDHNHELSPPDEARFLRGSKTIETYQYLLEESNKISSTLKSMIEECENQNVNAEDNNLQNGNAEINEDPENTDVEEQTFENAEVNENPENIDEVNDKEYLQDPDIANSKGRPRERYISIREQIKEKETNHCSHCGRIEHTFPTYPFKHIEFDLPRKKKRKVQNKAKEMGTYLAQQLDCLNWDIFGFDLAKRPCED >AMDW01040909.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040909.1:265:3480:-1 gene:AMDW01040909.1_FG001 transcript:AMDW01040909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELQRLRDAALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNHSAYIVSSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYKVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAVTWQDEEELARLKASASISFKPKVFKNPLVAGTLAETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAVILYRGKNYKMPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEDDLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYF >AMDW01039914.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039914.1:82:851:-1 gene:AMDW01039914.1_FG001 transcript:AMDW01039914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMT >AMDW01036713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036713.1:113:455:-1 gene:AMDW01036713.1_FG001 transcript:AMDW01036713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NMVFGIYMAAFFREQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYR >AMDW01036071.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036071.1:16:482:-1 gene:AMDW01036071.1_FG001 transcript:AMDW01036071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KGMNRGIFGMTSEKRSEIHALVELLESNNPTPEPTDKLQDKVDGCWRLVYSTISILGKKRTKLGLRDFISLGDFFQMIDVKE >KN539265.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539265.1:59000:59985:-1 gene:KN539265.1_FG001 transcript:KN539265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNVRGDRRRSDDGGGAGDDTSRLRAAAVKQTTVGCCRQTFGQKMYSVMSY >KN539265.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539265.1:105812:110239:1 gene:KN539265.1_FG002 transcript:KN539265.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNQAAALADAVRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYKGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSSCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTAHTPFN >KN539265.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539265.1:31517:34698:-1 gene:KN539265.1_FG003 transcript:KN539265.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLVLVLLLLLAAAAHPIAFADAGAAGNATTATYIVFMDPARVPAAGHAAHLQSLAIDPDRHLLYSYSAAAHGFAAALLPHHLPLLRASPGVLQVVPDEVFDLHTTRTPEFLGLLSPAYQPAIHGFEAATHDVVIGVLDTGVWPESPSFAGGDLPPPPARWKGVCEAGVDFSPSVCGRKLVGARSFSRGLRAANGGGGGGARAGVGRKGFVSARDRDGHGTHTATTAAGAVVANASLLGYATGTARGMAPGARVAAYKIREYATRRAAAAAATLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGVSGPTGLVKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPEWSPAAIKSALMTTAYTVDNTNFSLRDAAGGLLATPFAFGAGHVDPQKALSPGLLYDISTKDYVSFLCSLNYTTPHIQVITKMSNITCPRKFRPGDLNYPSFSVVFKKKSKHVMRFRREVTNVGPAMSVYNVKVSGPASVSVKVTPAKLVFNKVGQKQRYYVTFASTVDASNAKPDFGWISWMSSQHVVRSPIAYTWKI >KN539265.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539265.1:64629:66593:-1 gene:KN539265.1_FG004 transcript:KN539265.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKHGSGGGKAWRRQSRWWESLVAADCAQPAHTVAVGGTTAAAEEVSDGCDRRRSKDSGCRRDDTDRLRAGDARPLPRRRRSGMIPIGCEPEMLALFPGGAGNYYDPASGCITRFNDLAELHNRELQRALHELRRAHPGAAAAIVRSENIFFSRGDRLISARDASIVVILSLLICVDVDLAGFGSSPLAACCGSGGEPYNFNANFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARAILTRP >KN539265.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539265.1:83400:85334:1 gene:KN539265.1_FG005 transcript:KN539265.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) UniProtKB/Swiss-Prot;Acc:Q93Z32] MESLWKLSYLLEPASLALILTAVSVAYASASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYVNCVRSRLGVGDPFVSRCCSKPFTRLQGLLVAICVGTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDTSVSQDMPPSKQRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPIINDKAHLLEV >KN539265.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539265.1:17619:22439:-1 gene:KN539265.1_FG006 transcript:KN539265.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDALASYLGEQFANMVKDEAGMLLGASDEVEKLTDTLSRLKKFLANAERQHITNAKEGEYVHEWVRKLKDAMYDATDIVDDVHLKSEQRRERYASPYLGSCSNSLLWCLQDPLFAHRIGSRVKELNERMDGLFKQAEADQQADTDRLKSSSTPGNPHSGNPRRTAPGIIHEDIVGDKIEEDKRMLVDWLINHDKKYLVVAIQGVGGIGKTTLAKKIFDDQAIQDTFDVKIWLSVTQDFNEAHLLKTAIAMAKSDKQQIPAVEDMALLEDALVEALRGKMLLLVMDDMWTEKAWDNGLRVPITKACAPGTCVLVTTRNEDVAKVMKAAHTHQVTKLRLDDSWTLLQKQAALSVSEIEIVQECGMKIAEKCDGLPLAIKVIGVVLCKKNATKNAWEEVLHNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGNSAHSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISAEQIEWSNLQNQQCLRTLILFGNIKLKPGDSLRILPSLRTIHDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSTSAALAKLGTKSRLTCLELWCSNDETKDAIVTVEQEQIKEVFDLLRPAECLEELTIGGYYGDMVPDWIKMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLFFEQEQRNMDNKKSSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARSLKRLCVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLKLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWYMSYTRDPYNLETNITSR >KN539265.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539265.1:110549:113137:-1 gene:KN539265.1_FG007 transcript:KN539265.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKKLVRLVSSSSSGDVGGGGGGEAEFVRLLDGEVDRINAFFLEQEEEFVIRQRELQETVEKVAGGGGGGRRPAAEEMRRVRKEIVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEKVLRQPFFTTELISRLVRDCEATMEAIFTSSVAATTTTMAGDRQAWKGCSGDAGMAPMADQQGIFRNTMSTSAALPNHQLSNTQR >KN539265.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539265.1:71035:74289:-1 gene:KN539265.1_FG008 transcript:KN539265.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVKALGLPEPTPYLAGKTAADFRRGVNFAVGGATALDPAFLKSRGMTSSVPVSLSNETRWFQDVLQLLGASAHEKHTIAASSIFYFGEIGFNDYSFALSAGNGTVDVAASLVPNIIAVIRSAVTAVIAAGARTVVVAGMIPIGCEPEMLALFPGGAGNYYDPASGCITRFNDLAELHNRFGSSPLAACCGSGGEPYNFNANFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARAILTRP >KN539265.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539265.1:43418:45358:1 gene:KN539265.1_FG009 transcript:KN539265.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLSSESDGHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETINVEGDYRYVDPGAFDECIRFMEYLDECDGNWDDAFLNWVNVCERWKEEYPMSPNGDWRPWNFVKKQKGIAARSSLFKRGGPLACELARHPVVLSVNDWIFCHGGLLPHHVEYGIERMNREVSVWMKSSSGDSDDELDIPFIATRGYDSVVWSRLYSQGPTEMTRHSWKLSSVVAERTLKSVGAKGMVVGHTPQTRGVNWYLLFSLG >KN539265.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539265.1:5986:6252:-1 gene:KN539265.1_FG010 transcript:KN539265.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAREKVQWWQLGGWCHGGSGAMGGGGRGRRQGGDMWEEVTRGIGRSIVHERNGGVKMKGSFSWKWKVFTACVDRMIVDFFTFIIVT >KN539265.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539265.1:89297:92858:-1 gene:KN539265.1_FG011 transcript:KN539265.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQRLLVALLRATYLNKNKARRRIAETSLFFFGEIGVNDYFLALASNHTVEQAAATLVPDIVGVIRSAVIDAIVAGARTVVVTGMIPLGCEPQLLALFPAASAADYDPDTGCDARFNELAEVHNGELIRRLRRLRRAFPAVAVHYADFYRPVTTIIASPAKYGFGDTPLAACCGGGGNAYNFDFAAFCTLPASTVCADPSKYVSWDGIHYTEAVNKFMARSMLRGVLPMPNPIPSPSLSIPLSSSHEHTGQETSRELATL >KN539265.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539265.1:47759:49857:-1 gene:KN539265.1_FG012 transcript:KN539265.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAILRVTALLRFILLVAGAATATATAARFSRLFSFGDSLTDTGNLVLLPAGRDVPERRLPYGQTFFHRATGRASDGRIAIDFIAEALELPRLKPYLPGEGADGFRHGANFAVGGATARDAGFFQRRGLRSVPVSLATEMGWFKELLPLLASSCPQEQRKITASSLFFVGEMGGNDYLNAIFQNRTLDEAKTFVPGIIDAIRSSLAELIGVGAKTSFNELAEQHNRALTAALDELRRTHPGTAIVYADLYRAVTDIAVSPRRYGEKNIVV >KN539151.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539151.1:76992:78309:1 gene:KN539151.1_FG001 transcript:KN539151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVASSLVSVGVLYVSLYVVALAQGFDKPCGLAFGAEQFDPEHPRESASRSSLFNWWYFSMATGITVSIATVSYIQENVSWGAGFAIPFAVISCAFLLFLLATPTPRLSANPGPGLLALRHYKQSSSEEARRVLRLLPIWATCLAYGVAYAQIMTLFNKQGRTLDRRIGHAGLELPPAALQTLGPLTIMVSVPIYDRAVKIQRLRFD >KN539151.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539151.1:46372:48230:-1 gene:KN539151.1_FG002 transcript:KN539151.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGESWEFRHIYRGTPRRHLLTTGWSKFVNAKQLVAGDTVVFMWCGAGAPGPERKLLVGVRRAARYSGESACNARGRVQPQEVMEAVRLAAEQAAFRVTYYPRHGAGEFVVPRVEVDKGLTTPWRCGMQVRAQVMEAEDTRRLAWLNGTLTNLRHQQIWRTLEVEWDASAASSSMKNRFVNPWQVQPVDFPPLPMGLKISNNNISAPVCNGDSLLVPPILMHPQPQPPADIQGARHNNGHAYVDIPSSSTPSMVRTQQLFPRDLQILVPHTDIVTPQNGSPPDNPVNTPLSASDGMKTIQLFGVTITSPVQGDTNGAFASAQVNQVPEGVDDETATEEASDTSLPDSLTNGHNQDGARL >KN539151.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539151.1:4370:8018:1 gene:KN539151.1_FG003 transcript:KN539151.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKGRGGCSSIPSGMEVETEGRRSEGKGRRVEEEEEEEEEGRSVEGMAPAAKSRRIDGQDDEERMNNNMLEDEEEDGYRDSRCVELVFGEEEELDPVQMEEKLRQVKLEMKGKYSYTDELDEEEQMRRYHTSWDSSLSPHYGPFQLTTSVPAMRYTHGPIARYARCDRTLQIFSIQVDSFLELTGPSRAILIVDPVGFEVELKVKGRRDQSDDQILSFQLFGQNGSFNGRQSVTLVRRFHPIMLGWYSKFKFTYAVLNGAVEATIYTVKAVRGSWTKENQGRIVCTTSSICHEDFVLLDSQDAETMPIGSDDVIKLSRRVPVYD >KN539151.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539151.1:136043:138382:-1 gene:KN539151.1_FG004 transcript:KN539151.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKNPTSDDKQQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEI >KN539151.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539151.1:59:2728:1 gene:KN539151.1_FG005 transcript:KN539151.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAETKGRGGGEGRKCRREEEEEAFAAKSRRIDDQDDEESMNNYMLEEEEDGCRDSRCAELVFGEVEELDPVQMEEKLRQMKLELQDKYTEVDEEEQIRIYRTSWDSSLSSRYGPFQRTKVVRIKKGLEWPLHVYGLVAVRDSVDHNRNLLFHRTRDDYQILTQKLQDSFLELTGPSRAILIVDPVEFDVELKVKGRRESNDQILSFQLFRQNGFFYVKQSVTLVRRFHPVMLGWYSKLKFTYPVLNGAVEATICRVKVVRGSWTKENRRRIVCTTSNIGHEDFVLLDSQDGSDDDVIKLSRRVVTVELSGQLTVSVTATHVGKRTRDDRGGIAQNDEAPSTMDEVHFRPQKSGESCATCELGFCGVEITVAWSLLNCDNIE >KN539151.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539151.1:139359:141550:1 gene:KN539151.1_FG006 transcript:KN539151.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSVQLTVAARPLRSRRALSVFTCAAPPRQRPPPGPTKQRRLRHDADAQPPRKRGHPPPPPRRTRTRGPPARSQQSYTDEEEEEEEGSFGGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIVDDDQIKEIIPSVAYALARVHMHFVESGFCYTARGGFCFPEDAIQEFHDSGEGGDSVPFEGVEICCFNLDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDVRNVLCEQELMDDPAVVDAIDEETEFTALVEEEEALLESVLGER >KN539151.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539151.1:132609:134640:-1 gene:KN539151.1_FG007 transcript:KN539151.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGEAGTGGGLGNLRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSYNTVCINTVYYMAPFATMILALPAMLLEGGGVVTWFYTHDSIASALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAVAPGTGSPTTSQTTSPRSRMEMLPLVGDKQEKV >KN539151.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539151.1:98553:107747:-1 gene:KN539151.1_FG008 transcript:KN539151.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHKLGFREEDALRFLFGEDLLAMHHSAAASSFDRSQPEVQVFRDVFSPSPPLLLHVAETSSLLPTAAAAPPPPPPPAPAPSLHHHHHHLHALQPQPAPVEVDGAAAIDPKHSHGPVLHGNGNGGLELDAALQGFVAYWQGGGSSLSVTDADLFHDMMQPTPPQHSAGPPPPPPPAAAGMCTALAASSSNSGVEDPLPSYMEALADFSDFHNDALLSDPFLNQWLQDNNHFPTDMSFTYDQPQMLDTTSHTLYSATTPDLSVTGPDHFSLYSNTVYDTTLLPHLSRDSTASAHFVQLDNLCQNFGTPGASIGSLDDAADVPADNTQHYGTTAVASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMASYFTAPHREVVHTSLTQAWKFCGKKLYEATPGSQRGKCPKEWSDVDTFWKDLTDTMAYVDKMLVNRQDAPTLLHRWELLDPFIAVVFIGRKITALQQHKTLRAVDSSTYVLNDSRNVPSESKSMLKSSDLLPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSTLCTQSQMYCVAGDTSQRTENPISESNGQRELWSGATLINSAVKKTKKKSKRISDIDSTGLDGLHSESFMQPAVETVFNQETDLASVELSFAENNMRSEEHGICSSVGTSKRHLKAESKLAKLNANSQSNKLDVLLPSEVMQTSMLQGEETVEQPAGFNILPENGSTPTESGSSKFIPIGNEEKKLLSLKESSIGIFPKDVHNLPTVNSVPVNLSYESNAAVLKTDLSQESPTCKAVAAKRKPQAWEKYAKKRPRVLRINDDDLLITAMVKNRDLGSCHKFAADSIFLDAKKFTKFKSSKKCGRLLARMSGKGGSNLLGGKRYRNLKSNEVVKDGQVTWEGILCSCCTKTFSISDFKVHGGCSLPKSSLGLFLQSGKSYTLCQVEAWSAEFLSRKCDASGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQTCLSDQELPEGSWYCHNCTCRSCGNPLSEKEVSTFSAILKCLQCGDSYHDTCIDQEMLPCGDKQSNIWFCGRYCKEIFIGLHNHVGIENFLDNELSWSILKCNTDGRKLHSSKKIAHMTECNTKLAVALTILEECFVRMVDPRTGVDMIPHVLSNFARLDYQGFYTVILEKGDEILCVASIRVHGTKAAELPFIATSVDYRRQGMCRRLMDTIEMMLRSFHVETLVLSAIPELVNTWVSGFGFKPIEDNEKKQLRNVNLMLFPGTSLLTKRLDGITAAKSEEDKDAYNVSGLPNGKCLPSRKGNGHLELHDLDLLEAELNTEDATNASFRALKHECGPATWFNHAKATHPSSSRYSPLTCLLEIWAPLFIALHEFGVDVDPGLPFVASDTHLIWKLVALLKHKWQSKCPVLCNEFSRMQFTGPQLFYCKTEDIFQLQFMIQFPQRCSEAPESRSLGQKQNMTDPFLSDVDRDLPKLYAVTVDGSYKLHGGQKGYLQKHG >KN539151.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539151.1:40985:45618:1 gene:KN539151.1_FG009 transcript:KN539151.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MELKDTLAAGTCVVLWYMYADSFISIKFEDNGKATWDSQKAAAAAAGEKNTDTTTTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSGNGKAAACHQLGIDGKKTAERSSSKGTTKHTVAIDRSISFSFGGELRWVDRLLNRLDTSSLNLRLAEIPFSCKSKSITMSLEVPNPYAIPIGKASRNSKAEFNGSPGN >KN539151.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539151.1:9879:10670:1 gene:KN539151.1_FG010 transcript:KN539151.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGDVVVWRLGVALLCCCFVSGCESARVFTIINQCKTMVWPAVTPGESFGGGGFALKPGQSMVFTAPVGWSGRIWGRTECDFDQAGNGSCATGSCGSELKCGGSGATPATLAEFTLATKDFYDVSLVDGFNLPMVVRPLNGEGNCSVAGCDGDLRDSCPSELSKKVNGRTVACRSACDVFDTDQYCCRGMYGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSTRFPSPFFLSSIQHYIGFLLFC >KN539151.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539151.1:57115:59265:1 gene:KN539151.1_FG011 transcript:KN539151.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVLACLDICCFVCGLWLLMAGWVGGGQHEQIDENVFREIVNHRSLRHPNIIRFKEVVVTGRHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQPCRHLLSRIFVANPYKRISMGEIKSHPWFLKNLPRELKEEAQAVYYNRRGADHATASASSAAAAAAFSPQSVEDIMRIVQEAQTVPKPDKPVSGYGWGTDDDDDEQPAEEEDEEDDYDRTVREVHASVDLDMSNLQIS >KN539151.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539151.1:84734:96442:1 gene:KN539151.1_FG012 transcript:KN539151.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin-1 [Source:Projected from Arabidopsis thaliana (AT2G16950) UniProtKB/Swiss-Prot;Acc:Q8H0U4] MTASQISCQGKSFEVRQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEIFQLLISCVMLDLTLDSKLQPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQDCCLRASCALSSAQAQLRLLLELPREAAKDTIARKRERERALKMLLTTPFVSSPVRVQGNWGSSASPWAGAGTALRIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSQDQDQPPTPPPSSD >KN539151.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539151.1:114926:117187:1 gene:KN539151.1_FG013 transcript:KN539151.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRAAVAAAAKIASTYDMVEPMSYLYVSVVKARDLPTMDITGALDPYVEVRLGNFKGVTRHLEKNPNPVWRQVFAFSRDHLQSSQLEVVVKDKDVLKDDFVGRVVFDMTDIPNRVPPDSPLAPQWYRLADRSGEKIRHGEIMLAVWNGTQADEAFPEAWHSDAHSVSLDSLASTRSKVYYSPKLIYLKVVAIAAQDLIPADKGRPLAPSIERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSIEAKWFSLSRALTADEAAAAEATKLKSSFASKIHLRLSLETAYHVLDESTHYSSDLQPAAKKLRKSPIGILELGILGARNLAGGKSPYCVAKYGAKWVRTRTLVGTAAPRWNEQYTWEVFDLCTVVTVAVFDNCHLTGGGDAKDQRIGKVRVRLSTLETERVYTHFYPLMTLTPGGLKKTGELHLAVRFTCTAWANMLAMYGKPLLPKMHYTHPISVLQMDYLRFQAMQMVAARLGRAEPPLHREVVEYMLDVDSHMFSLRRSKANFKRMTSLFSGAVAVARWMDGICKWKNPVTTILVHVLFLILVCYPELILPTVFLYLFVIGVWNYRRRPRKPAHMDTALSHAEAEQVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATSIFVLLSLIIAVVLYVTPFQVVAVVVGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDVLL >KN539151.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539151.1:126868:132019:1 gene:KN539151.1_FG014 transcript:KN539151.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYPPEDGRYYCSSVAAGDDSPAAHHTNAHDDWDWDWVPPPPADAAADAADDDDGDPTPPAGSPPGEDEEEEEEERQRAQMTHACTAGNDMDPASHVKIKCVASGRRRQSQVVRGLVFRKNAAHKHMPTKCHRPTLLLLHGALGLDSHLGFSSFDSMEQDKLILRASISHIIHTCSPNVVMVEKTVSRDIQELLLHHGVTLLLDMKLHRLQRIARCSGAPLLSFSQLLHDCPNHLKHCDYFHIDKFFEDHNTTTTTSAAALKKPSKTLMFLEGFSNPLGCTILLRGASTQELKKIKQVLHYTIFAAYHLVVETSFFEDQRVFLNDTNVDGTPQITHQTSIVSNRSLPTDYDVTCTSRGSLLEYHDGDHKATVPFTNKPDSYTQDEGTAIHCEAPPSENLLSSVSGSLRRFIDIFRYQNIYLPVTSSQDTTGHQNEQDTETSQETASATLTKDHSCEYMDQLSDLQEQVFAKTNQKMSQPDPFGTEKHQQNVEQYSAGENIISDTDEADDVMDSQSILILLSSQCVTKQVVCEQSHLYRINYYGNFDVSLGRYLQDILQNQNLSCSSCGEPPDAHMYSYTHRNGNLTINVRRLLPQHHLPGESEGKIWMWTRCLRCEHERGISKSSRRVLISTEARNLSFGKFLELSFSSHSAARRLSVCGHLVNRDCLRFFGLGSKVAKFQYSSVEIYTACKPQRTLEFHNPDMREWFEQEGRNVLARGVKLFSEVSSLIQHMKIFSEVAINCGDSRPVKEVSQLEEMLIEEKAQFVDSLVKAVDESGMSSSSVNEILGVNCLYQDLLILLYVWDRRFHRIVECKSGRMANCVGKKEAAESAGEPAAAGESAVPFENGYIKEMQYSSETLTDENSRREEQHITKVPSFRVLEGTDTQLINPECGDNRETWIWSPLHELRESYRHELQAGYLERFELVNNYSPSHLSPLHKQSSAEFIVGPGGNVLCISEDEISSIISRALAISEERRHLLLDALMVEGEAAYSRGSESSKMEKSYSSLSEASSASSSWSSIGSSDSDASFSSDDLFSSYDSSLLSSSLHPEVSVNGKSSLKGKYSVICVHANQFYTLRKKCCPSELAYITSLSRCMKWDAQGGKSKAFFAKTLDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEVKLDLMVMENLLFGHKVSRIYDLKGVVFSRHVSDSNDHGTVYLDQNFVDDMRVSPIYVGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKEKHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNASPTVISPKEYKKRFRKFMAKYFLTVPDDWST >KN539151.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539151.1:52375:53142:1 gene:KN539151.1_FG015 transcript:KN539151.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQSMAMPMMVVSGLGAAPRSSPMVQLQRRMRKQLVVAAAFKSRTKAPSKSSSNKKKSIVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDATGLERAVIPPGKGFRAALGLSEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGVPINEIEPLLLFNILFFFFAAINPGTGKFVTDDNDGDQ >KN539151.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539151.1:20137:21572:1 gene:KN539151.1_FG016 transcript:KN539151.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRARETKVTASASNSAGSDAAVMKKKKKKKLVVASRKVDEKDKKQVDYKFTRSSRDECCKVESRHQCTEPESPSYRLALRSLFSCRNSSNSSHAGHHHHHRAADGKKLGCNSASICKVKQENPMQQHMRRAEDELKVKDKPPVAEPYCKRRASVSACNINSERSVKKSVKQQQQEASSSLQSSASISASSCSSTAGGGGSFRGMQQLSLRRLSGCYECHMVVDPISGVFRDSSSMRATICSCPDCGEIFVRPDSLHLHQSIRHAATSSYRQHINLSVVQAQAGGED >KN539151.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539151.1:61722:75034:-1 gene:KN539151.1_FG017 transcript:KN539151.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MEEWCCVAPGFRFHPTEEELVGYYLARKVVGQQDDGIIQEVDLHSIEPWDLLQGQQHDQEYYCYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSRSSSSPAVIGMRKTLVFYRGRAPNGSKTDWIIHEYRLVVADHHQQDGSCWVVCRAFRKPTATLQHQLHLHRPPPLLHHPGYYDDQYLYPPPPAAAAGGGGLLCSPALDMELEDEKDDSKMMILNNDNIPLVKEKRFLSFIKWSSNSTPRHGEDRTDHEFTSVAGDEEAESGVAERSVEVDRELVRVEAIDDIALEREFRRLDENSVVTIILRVVELEDNPNPRLLHTLATICEAHEARYAQECANSPSYNNTNARNSHTIGKLANLLRENDDFYELVFCKFLSDNSYSAAVRSAAARLLLSCYSAWTPQYPHAFEDAIVENIKKWVTEDGGASNECESKHLGKNNKPTDADMLRTYAIGLLAMALCGGGQLVEDVLTMGVSAKLMHFLRVRVHGDVASAQKDSNIPLDTKHPRSRDENRNKSRLVQDSSRLDGMRSGDGISIDPTSENCDNVMGMRHAHGERWIDDAASLQPERADSSLDLFDAMEAGATNDRTYSASICDTKSRVGERLSALRPGRDEEMNENTRDDLLKRKLSRTGSRLRGKSKAGESLPESERTPLSPTSGLKIGTRTSREKNMVRIEDANKAIDVNNSSPGIEPFNAISKEEYEDRFKDCIIGLKDISDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKTGNNGDAVVLAAEKAAATVVDAAMSTSVSRSNQVGEEHVVEEPVQISEDHELEDFVITDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSIKDQGGHGHFTLLPDVLRLICALAAHRKFAALFVDRGGIQKILSVPRIAQTYTALSACLFTFGSLQSTMERICALSSDTLNNVVELALQLLECPQDSARKNAAIFFAAAFVFKAILDSFDAKDGMQKVLGILHGAASVRSGGNSGALGSSNVNQGNDRSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFLASNGHITMLELCQAPPTDRYLHDLTQYAFGVLHITTLVPYCRKLIVHATLSNNRVGMSVLLDAANSFGYVDPEVICPALNVLVNLVCPPPSISNKSSSTGNQQPAATQAVGGAFSENRDRNAEKCTTDRNLTANQGESRERCGDGNTSQQGNTVQISTPVVPSGVVGDRRISLGVGAGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTPPVAIDPIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSGQSIGGDNSRWQNELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPVSYHSRELMQLIHEHLLGSGFTATAAMLQKEADLAPLPSTAAVTPVHQVAALETSSAQQQWPSGRAQGFVPDTTKVTTDQTGQRSDSVLPSSKKKSLSFSSSFSKRTQPSHLFSGNRASNSLKSPVPIGNVDNMICAASTVNTGDAETSHKTPLSLPQKRKLVDMKDLSSASAAKRPAMVDQACQSPVFQTPAPTRRGLSVAVDSPAASFHSGRPNFYNIYTENLDDSQGTPGATITTPHHGASDQQSVNLERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSLSAPANIAARMGSREIRRQFSGIQIPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRIFDCNTANILETQTCHQQLVTIVESASSGGNELILTSSLNEVKVWDAFSVSGGPLHTFEGCKAARFSHSGTSFAALSTDTTRREVLLYDVQTYNLDLRLPDNSGYSGGRGYVQPIIHFSPSDTMLLWNGVLWDRRSPNPVHQFDQFTDYGGGGFHPAGNEVDFLFLNLFVILLVYNSVHFRAWASRDLLPLLQSRNFLNL >KN539151.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539151.1:25093:36848:1 gene:KN539151.1_FG018 transcript:KN539151.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRLIVLSFLDFLNSVELAPGVDPEALEVARECLESIFSINSSSVVERVHPGLLLELFSSMEAAQQDNSAPGPSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLEDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPPFPTNTAVPPPFSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGSARGVKMVKVCSTWGVFSRFSLTKYASLFYYQRTRLDLRNKTSVTVQFLTLAGRLAARYLVAQGVIPEHRLRAREDPLPAATARNHDVDDPRSRRNADFPRDRGDDDRLSRRSGWDRRSNSFDSRRKYNDAASADRSARRSHDYDDQRRPTMSRSYSQNDRRVSSDRLDRRRRSRSRSRSRSRSRSRTRTRSYNYGSRRDSDWRASGTDLDHSKVPEPGIVRDGDADVGYGDADDVPRDLKAPPHSVVVMETKESASQAAANEDTAEVESEIIEVDQAQDIYGDDDDDGDDAVAAFNDPSVAEINVTQHKLSNSNEDVVHPSQSDEEPLHRQSQFSDAEEGMEAPISPRDSCLVQPVAKEVRDGMEAPISPRDSCLVQPVAKEVRDGMEAPISPRDSCLVEPVAEEVRDGMEAPQSEVETDNADLSKDEQDLPAWYGIFDLNVVESQENCEMVEISNDSPLDNGRDSVPDQVGQMSQGANCVTSGTQGQDEHAFDNHQLEDEQVPLNQRNGTDDFNNEQGVGNQTGDEHGQDNHQLEDDQMHINHVMDGHTLDNGLMSGEEMLLKRCADEHTDHGHQVETEEMLLNQGQSSSVQVLENYNMNGEQAQLNHDSDEHSGDDRPIKNEQMLLNHVMGVHDLDNYDLNSEQMLLNNGAGKQAADSAQLQEDQMLLDQAADGQATLHGQSIGQMIPVINLEDDYEEQSDTIEFSESKSDTLHKLTENVLPEHICSQGQQTSSIPDHPQTNVPAAAAASSVTLNHGNRWTRRGATVAQMALKEFV >AMDW01018663.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018663.1:34:186:-1 gene:AMDW01018663.1_FG001 transcript:AMDW01018663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQPA >KN543144.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543144.1:4824:5818:1 gene:KN543144.1_FG001 transcript:KN543144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSWDGVLCVERGAPPLRSLRWRLFGWPDDGSGRRGNVLWHPFARACATVSPPPGRGVIIGAYAHPATMRFHLLHAAGEAACLVDPGLYVATAFRLRRVGDGAWREVLVFEPARERFRLMDAPPRRRGEEEDLARSRICVLSSGKLCAVAVARATSTMEMWVLDDYHRCSDDARISGWRLIERVSLVMWDGDGRRDLSRTFTSEAQVEAVHGEVEGEEVIVRNGGEVDAYSLPRGAWLKVRGISSSGGPVLDVALLAHRDSVVHHDVSFGEASRPLRYPDDIHGQRLFV >KN543144.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543144.1:8434:8977:-1 gene:KN543144.1_FG002 transcript:KN543144.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGGGLPPSRAVLASRFRSNSDHLMRLHSTWINSAATPAAAPYSRESLAMLAARLLSVSDHLQREQQQRASMIISTSLTGGTTGGGVAPASKEAIEALRDVVVDQLAPAAECLQRRRRLLLLLRDAGAETC >AMDW01030946.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030946.1:62:401:-1 gene:AMDW01030946.1_FG001 transcript:AMDW01030946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDNGSPSDSRHVSHKEIRDETTPLLPIKEEEEGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMLVRCSHEGKITSYGWLMGETF >AMDW01040230.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040230.1:455:1210:-1 gene:AMDW01040230.1_FG001 transcript:AMDW01040230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AQRDREKNKDNDDPDFDANAEDDDLSEGYVSNDSLVPETEDLQNMKSGHDCLKHKPATKKSKKNTENVTSVTHHAIGGKRVVAPLQPGKVTRSKKHVAPDVLATREVLVADTQAEIPIQATSEVLAADTQAEIPIQALSEVNAAYTEEGVGWNDDCQMDTTPHLDEHTLDDTNVNENAEGDSE >AMDW01038616.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038616.1:244:405:-1 gene:AMDW01038616.1_FG001 transcript:AMDW01038616.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKERSSNMGYAFVNFTTAEAARGLQRALHGCRWKRSAFDSGKIIDIRAARIQ >KN540662.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540662.1:13613:19079:-1 gene:KN540662.1_FG001 transcript:KN540662.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGNAITKAGEAAAAEISLLIGVNKEIWFIKDELKTMQAFLMTAEEMEKKPRLLKAWVEQVRDLSFDIEDCLAEFMVHVGSKSLSQQLMKLKHRHRIAIQIRDLKSRVEEVSDRNSRYSLISPNTDEHDTLRDEFRYWSAKNIDEAELVGFDDAKESILNLIDVHANHGLAKVIFVVGMGGLGKTSLVKKVYHSINIVNNFSCRAWVTVSQSFVRTDLLRGLIKQLLGGDSENEHFKGLQSMQRNEKMEDLVEDLKQGLKEKRYFVVLDDMWSIDALNWLNESVFPDSNNGGSRIIVTTRDASIIQNCAYPCYLYRLEPLKTDDAKQLLLRKSNKSYEDIKGGKAEKVFDRILERCGGLPLALVAIGAVLRTKCIEDWEKLSLQLSSGLKTKSSLEEMTRVITLSYTHLPSHLKPCFLYLSVFPEDFSIKRRCVVNRWIAEGFVDAKYGMAMEDVGNSYFDELINRSMIQPYRFYSHGSVLSCVLHDIMRDIAISISAEENFVFVTKGFVSGTPPENIRHLSIDGRQESNLSFDLSHVRSLSVFYKPREQLASLCRLSQLRMIRVLDLEFSLRRVTQNDIRNIGLLRHLRKLQGLQTLDMRRSLITKLPAEVTKLQSLRSLQCSTVGAYRYSDFNIYRPKKSFLTIMRFPLLLPRFISRDRSSLVVAEFLKGSSSCWTRSSGVSVPKGIRSLKELQILGIVDISRSNKSVVHELGELTQLKKLSVAGLTGKNINPLFEALQNLSSLCSLSMEAKLLHSLRALEQVSSPTPFLHTLKLLGRLDKIPSWVGTLGRLVKIQLVLTGLKDAESISILGELRSLKCLRLFYNAYNGQELLFSPGKFPELTILILEDLKKVRKVTFEERTSPKLKKITIHDCSAELTICGTASLQSFEKIQYLEKWKLVKEETHRRLQVVVDAAIQGKVARLAQLEREVDSYPNHPILRMVEERSDHDLMEATDPLPEQEGESSQVITLTTNDSEEISTLSSWFYQVMISSSIGISVRPCFCRCAHLAAPRRGADLRKLPLSSIASHALDSSLSAMLFKPLSKDAGQDGQMFIQCSCSNETYAILEENCFLKLKVEAAVFTVSGRTQIGGLVLHNLQRTQRPLLVAFKDATLLIISLRSRSNF >KN540662.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540662.1:39599:39868:1 gene:KN540662.1_FG002 transcript:KN540662.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGNGSGDGHAILALSRFASLLHAFTLASLSRSASLLVSFNEGNYNINGGKDNNNDNPVVITVATDGSESYRVVGERVAAALTTARR >KN540662.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540662.1:28819:35262:-1 gene:KN540662.1_FG003 transcript:KN540662.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVDSAISKATSAAAHEASLLLGVQKDIWYIKDELKTMQTFLRAAEVMKKKDELLKVWAEQIRDLSYDIEDCLDEFKVHIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRLLELISANVNNGPTKVICVVGMGGLGKTALSRKIFESKEDIGKNFPCNEGITVSQSFNRIELLKDMIRQVLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKLKEKRYFVVLDDLWSLDAWNWINDIAFPKNNSKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNKTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNHLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVHDIMRDITVSISREENFVLLPVHDGSNLAQENTRHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIFTPSVSSDNRAKQIAELHMATKSCWSESYSVKVPKGIGKLGELQILEHVDIRRTSTSAIQELAQLSKLTKLSVTTKGSTEEKCKILYTAIQRLCSLQSLRVDAEGSSGNGTLKCLDSISYPPLLLKTLKLYGDLEEMPNWIEQLSHLMKFYLLGSKLKEGKTMLILGALPNLMLLRLSLDAYLGENLVFRTGAFQKLRTLWIDKLDQLREIRFENDSSPLLEKIGIRYCRLEIGIIGISNLMRLKEITLGYRVKVGYLGQLEREVGTHPNRPVLRMEEDRSCHDLRRDGKGSAVEMDATEPLPEPES >KN539414.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539414.1:44680:45618:1 gene:KN539414.1_FG001 transcript:KN539414.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFSTHGQAIPTHALAGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVGQQEYP >KN539414.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539414.1:63289:71253:1 gene:KN539414.1_FG002 transcript:KN539414.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) UniProtKB/Swiss-Prot;Acc:Q8RXD6] MGSTGEPDRKRRLSSSVAPGGGAPVSPAKRLAVAPTSEDKKLDFTVLKYKNQKLSEQLEAHKFEYRALENKFAGLKEKQRTHNETLSLVNGSWEQLVADLKSRSFCKSGSPNSSPGSGHNNVQKDGTCAPIERDTLRSLVESGATESSGCLPGCHLGSDAPPLHLSTANALGDIFFPSSDLLQANEECALAALTKLPENDRSKQLQSTSSNLLSSLNNVVQALSNLHLKHKQLAEDYQNQRDSSARKRAEHRRLKEELASAASELEETNYKLAALKAQRDNTQGARIPYPTLGNKNMPEDKELISKRLVEIKRLHEERIEILNKIATFQNILMDFKSIRSSKAFQLVNDRLQKSQAELDHYQTLLEKLQVDKDKFVWQERQFNLKVDLAEIPERVSTYCESSIADLKKDIQKLRDEKNMLILKLEEASREPGAVVTIHFTKSNFQTCLAKAALVSSIPREMGAMQSEMTKHKEASLELNSLRAEVHSLSRILSRKERDNEEASCRSAHAGSDITQLQSVISDLKQTNKELKLFADMYKRESTDSREVMESRDREFLEWAHVHALKSSLDESKLEQRVKAANEAEAITQQRLATAEAEIAESGQKLGSSRKYRIMLLNIVSLRTVEVGVTSLLGDLVSLSHMLKSKQEECEAYRVEVECIGQAYEDIQAQNQQLLQQIIERDDDNTKIFMEGVKAKQTQDALHLETYSLRRNLQQESSLMDLYNQKIVSLEDQLKMWSDRVGKLQEDGWQQSVSLSNYQRKLVDVHRDAQKLMQSLDGIQANVGNSRLEVADLLIELEKERFSKKRIEDDLEVMSRKASSLRAKARESAVLEKLRHEVKEYRGILKCGICHDRQKEVSGIRDANVYSCFLV >KN539414.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539414.1:17330:18478:1 gene:KN539414.1_FG003 transcript:KN539414.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTVCSMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDAAPAPAGADMCDWCLSDVAGKASFGAGELRSNWPSRTLHAAKPSHVDVGVNESYPKTQSGRQRHAPTQTSSSARPPLYE >KN539414.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539414.1:46088:46678:1 gene:KN539414.1_FG004 transcript:KN539414.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANICANMKRCFSPPALRAYFAEFFSTFVFVFIAVGSTISARMLTPDETSDASSLVATAIAQAFGLFAAVFIAADVSGGHVNPAVTFAYAIGGHLAVPSAIFYWASQMLGSTFACLVLHYISAGQARSPPIEMPITLRHRLTRVCTHRPCRRRGSRWR >KN539414.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539414.1:52579:54640:-1 gene:KN539414.1_FG005 transcript:KN539414.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQVRGDGGEEGGEPGRRVVAVHAPGALLRAAGREAGGQREGVFDMPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYEFDAHFDLVQKREFVVPDHLMIHDWAFTDTHYILLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPEAEAGGRDWSVPIEAPSQMWSVHVGNAFEEANRRGGLDVRLHMSSCSYQWFHFHRMFGYNWHHKKLDPSFMNAAKGKEWLPRLVQVAIELDRTGACRRCSVRRLSDQHARPADFPAINPSYANQRNRFVYAGAASGSRRFLPYFPFDSVYAVSKHRCHLVVLDAKKIGTENALVAKLEVPKNLTFPMGFHGFWGDE >KN539414.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539414.1:6246:9404:1 gene:KN539414.1_FG006 transcript:KN539414.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSHAKILAPIPRGNRRLAPAPPAAGGFLRALFPSRRSRAPPAKDELLRLIADQRRGLDTQSDPSRLADIVSCIDALAASAPEADTVSDADKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVYTCYVEREQLGGPVSALWERMGLDSILLLPPWNAGRICSAMRREFNLPCLNFPGKFLLNTWFNFCCIVNAPCHLRKVLSRSRTTYGEALVGQALPDQVLEPLQRIEGKGSPCEKGRSMTLPCVVICDLVSHGQ >KN539414.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539414.1:50379:52049:1 gene:KN539414.1_FG007 transcript:KN539414.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASPPPPCSIASVGCWESRTLRLDGDEDWEVVVAQGDDAVGADSGAFDAIQEAADEHAEAFGAPPTDQEVRAAVASIQEVFENHPGLDSDAPAQALALPPISGLPPSGMFVNYFAEGSTPSDIKIEDSTPSDIKIDQLASLEHSTPDTASEECIEPAMLVLNSTALLTREHRNVLDAFHLLQVDSSVQKMVMALSTDKSVWDAVMKNEVVQEFRKSFQDAKDADPNGSSASPGVMKWVMETTQAKIKEFLESILKLVNTLFQVQSEDYDLYDDTVRMSFMLTVFVFIVVTVARIK >KN539414.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539414.1:34827:38761:1 gene:KN539414.1_FG008 transcript:KN539414.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDPSKPHYGGGASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQQVICLSSWSMLPYNVGNVQVRNNEPINIWKSSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYIDEVLPILMRRRALQLTKFDYRLTNELDEELQKLRCRVNFHALRFTNSIQTLGEKLVRKLRIMSLQYVAVHLRFEPDMLAFSGCYYGGGDKERRELGEIRKRWDTLPELSAEDERSRGKCPLTPQEIGLMLRALGFSNDTYLYVASGEIYGGEETLQPLRDLFPNYYTKEMLAGNDLKPFLPFSSRLAAIDFIVCDGSDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNLLFKRRKQMGWDIFSGKY >KN539414.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539414.1:430:5978:-1 gene:KN539414.1_FG009 transcript:KN539414.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit alpha [Source:Projected from Arabidopsis thaliana (AT3G20050) UniProtKB/Swiss-Prot;Acc:P28769] MAITAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINCAKTSMSSKLINSDSDFFANLVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKVQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVSTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEESGNEE >KN539414.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539414.1:58793:59548:-1 gene:KN539414.1_FG010 transcript:KN539414.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPELQASVIRDLVLLSCVGLHPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLLTARPSPNAAALGFVGEVSRVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIATAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >KN539414.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539414.1:39151:42155:-1 gene:KN539414.1_FG011 transcript:KN539414.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPLASSSSREKTMMSSNSLFQRLAKWCPWLLRDEPKKPVKVLVTGAAGQIGYAIVAMIARGLMLGADQPLVLHLLDLPVAANALNGVRMELIDAALPLLRGVVATSDEAEAFKGVNVAILIGGWPRRDGMERKDLISKNVTIYKSQASALQQHAAPNCKVNDDASRIRKYTISMLKHYCYCYYGTVKKKRARTDIYSDFAVQVCTHEVLVVANPANTNALVLKEFAPAIPAKNITCLTRLDHNRALGQVAEKLNVHVGDVKNAIIWGNHSSTQFPDASHATVSTDRGERPVRELIADEIWLREEFVTDVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGVFFSFPVTCEKGEWSVVQGPEIDDFARSKMETSATELKEEKSIAYEFL >KN541973.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541973.1:16187:17753:-1 gene:KN541973.1_FG001 transcript:KN541973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSRALALVLLSSCCLLVAVDAAYAKKPNLSKNDFLSCLAAGIPARQLYAKGSPSYGSVLTSTIRNLSGGHDYEGLSYRSEIPEPFAIVDLVNMRNVTVDGKARTAWVESGAQIGELYYGISKASPTLAFPAGVCPTIGVGGHFSGGGFGMLLRKFGLASDNVLDVKVVDANGRVQDRKSMGEDYLWAVRGGGGESFGIVVAWKLRLLPVPATVTVIQMPKMVNEGAVDLLTKWQSLAPTFPEDLMIRVMAQAQKAVFEGLYLGTCDALLPLVTSRFPELGVNRSHCNEMSWVQSIAFIHLGKNATVKDILNRTSSIRAFGKYKSDYVTQPLSKATWDTIYKDWFSKPGSGIMIMDPYGATISKPGEADTPFPHRKGMLYNIQYITFWFGEGAPAEAPIKWIRDFYAFMEPYVTKNPRQAYVNYRDLDLGVNAVEPGANVSSYKAGKVWGEKYFKGNFEKLARTKAKVDPADFFRNEQSIPPLLA >KN538877.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538877.1:56462:59704:-1 gene:KN538877.1_FG001 transcript:KN538877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCAASSSSSAFLGAPLHGGRYHQGSCGFVAGGGAKTTARVAAALDTEATTAKAGKSASRARRARKDGGDPGGSSSTALLAPTHPDGGGVALDDVIVNPVGLGRRSRQIFDEVWRKFSRLGQMSTVASPEQEEQEGVLFRGGGPMCDFSVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPRSVDIVVGDVGDASSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSLQGWEVNQGSYFQDIYPSRFDEGTDASFEISENGQAVFSGFVFTRGGYVEVSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSDFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSQGASDPRNFQLIMEFIKALPTGQETDIVLVSCTGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSKQGDELYELVAHLPDKANNYLAPALSVLEKNT >AMDW01038435.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038435.1:186:716:1 gene:AMDW01038435.1_FG001 transcript:AMDW01038435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGGIGNMEMYFRTNILAIVGTGEQPVLSPRCLRLIDTVADVTKKDLNFKTSVLAVRLSRTRTGPLFMM >KN538877.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538877.1:87956:91585:-1 gene:KN538877.1_FG002 transcript:KN538877.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMCKEQPSGVPSMPPTTNGSNMGTYTTPSTAMPDSLLPNGSSSGIVNGTPSSDQFIYAGKVIHGLPSSMDASSSLLAAHNSTAGRFNGDNGTTIKTEASYSGNSDFGFCNESAFLEPCQSIGDASGGSFSSSELNGQPLGDPIMDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSEPNNFSESTPGDHAEIGNRRLDTISEGVSYEDFGSD >KN538877.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538877.1:8807:11673:-1 gene:KN538877.1_FG003 transcript:KN538877.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSDSRRLLPSRHNSHHQMDKINGTNPESTDQAPSLASRPDEFEEEESLVTPDALSARIGGFQRYAGSVNWGVFGSMKIVFLKSKLNLLIPCGFLAIFLNYMTQRYGWVFPLSMLGIIPLAERLGFATEQLALFTGPKGSILSNLLLVLGSAFFSGGLACGKTMQTFSKADAVVNSGLLLMAVMGLLIPAALHYTHSEAQFGKSELALSRFSSCIMLVAYASYLYFQLSNNRRRNEANVGASKAWNIPVAFISVVLLPVVGNSAGHANAVMFAVKDKLDISLGVAIGSSIQISMFGVIKSLCKESILLKQNEFDFTLF >KN538877.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538877.1:61074:63243:-1 gene:KN538877.1_FG004 transcript:KN538877.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRLLAFHLRLEEHLKRRTRSGAAFDAGLYRRHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDVDPSALEIGQSRIEAFLANRETNGDDEDASQGTLRAYTHAKNFKYIKHVLGSVDENIAVGTSGVDGILIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILHDYGEESNWQSLQKRIVKARAMGGLHSTGELVKLIQRTCTSSGESARKEWNHSNEKTNISFTRGGRTESEVQKCQAQSYSEGVNLD >KN538877.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538877.1:78925:83447:-1 gene:KN538877.1_FG005 transcript:KN538877.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDSKKSAASGSSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLEHSNDTVTELRIKYFDTIPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETDEGFQPVFFQPRALKNLYRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVTENGNANNGDQMENGDGQEEGAEDRNTLPDEQYGYPKAESERWVSCIRILDPKSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLSAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLSLYAKSFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >KN538877.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538877.1:93989:107966:-1 gene:KN538877.1_FG006 transcript:KN538877.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLVILVLLLSCSLQLLRAFPFPIPFFGPFTSPQDVDAINELYASLGSPDLQGWASSGGDPCMEAWQGVQCLGPNITAMDLSSNRIGGVIPESLPPAVKQLDLSSNSLSGKLPDSMAKLNSLSTLHVQNNQLTGTLDVLGDLPLKDLRNGNHLTIPTMPGSSPTPATIPGSPPTPAAAAAAPPSGASHPPIYVIPATPQGAAQGDPPRHGKKVSPAKAAGFSILAAGSLTIAVLLIVFAVSKRRRETSLHGGFLRGVEMSTPDWSGKPSGQSAVVKVDKEQSAVAEEKDTKGSISSYQKNVQESLQSHPLQFKFTIFTVASLQQYTNSFSEQNLMRQTLFGKIYLAEHQDIKFAVLKLDEAMARMPVDEFLRMVQRISELQHPNIEELAGCCVEHGQRLLVYKHFSDETLDDMIHLKKLASSDDPAANITLPWDARVAVALEAAKALEYLHEGGQRQVVHQHFRPEHVLVDGEMRVRVSGCGLAAAVKSGLDLQSECWLDALSYEPPEAAAAPWTDKGDVYSFGVVMLQLLTGRRPYDGARPRGERQLVAWASSRLHDLTALEKMADPRLGTPAPAPATVRSMSRFADVISRCTQQEAEFRPAMSQTRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDSDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAIFRNIEKQSRDLGQIQSTHSVVSDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACASKVKLGANQWDQINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFSSNMPFRSYLPDPLKLPIFVRKAITQQKIGFASMLIGNDRLFCFPFSLLLMSRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNMLYLYPSWAVKYLANLPDTFLNILALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRYVDPLQLQDLNKFITPALPTHRLSFHRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >KN538877.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538877.1:5825:7987:1 gene:KN538877.1_FG007 transcript:KN538877.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL6 [Source:Projected from Arabidopsis thaliana (AT3G13040) UniProtKB/Swiss-Prot;Acc:Q949U2] MSTQSVIAVKQFSGPDKMAQAYTVPQPSAHMLSNANYDLCGSTNSTSLSCAIQSSNIKTESISSSSLPKILPFSTDSNAESSLSRMSQAEFSDPILSSSSTFCTGLYTSSSMNSGSCRKTGDLPFLPHPPKCEQQQNSAGQSSSSLMLLDADLRNSGHVDDEHTDDLKDFLNLSSDCSFLGKCSAMAYNEQMEFQFLSEQLGIAISNNEESPRLDDMYDRPPQLLSLPVSSCSDQEDLQDARSPAKVQLSSSRSSSGTASCNKPRLRWTPELHERFVDAVNKLEGPESRKYRLAKYLPETKEDKKQEEKKAKSVANGNDHAKKKSAQMAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKARESISSVTSTTEGESPEFAPMEKTEDKAETSSEPLSKCRITDTDAECHSKVDNKKTASG >KN538877.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538877.1:126579:126941:-1 gene:KN538877.1_FG008 transcript:KN538877.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVGDSGCGGYCASERAGMESRGCEGCGDGGGFVDWGLEEGESGARAATADAEVASVARERRRRGGSKWGARKGEPAPFLAAERRKAHRDESRWRGERVAENGAAAISWAVDRTAGRRE >KN538877.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538877.1:122274:124681:1 gene:KN538877.1_FG009 transcript:KN538877.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRFVTSSMEAFSKLVMMPIARHSPRRRHAMSAASEILTVRRRISDRSDSELVCGHPPCRHRSNAPSAAGLPPPRCCCDSTEEFAAGRGFIRPRLGLGNLLVDVRLGLGNLLVDVEREGSDRRADTGPSPPRRCDFTEFVAGSRFMRRRIGLGDRHVDAGSTPPRCCDSTEFITGGRFIRRRVGLGDLVVESAAVGPCPLGCRDSTELVAARIRYIRRHLGNLFLEAVGRMKPPDEIIFSICLDHRLLSSSLPPRRLHGILSQGSAPPPDLRGVAGQYQLAALKRMKRILWRATPMASEFRASAKSHRVVEIHGEPPEQERIGLRVLLRRQSSSPPRRHQPLRASASHLQVLRRWCSNSHVPNVPPSSSSPPPPPSSPQPTNPADFWKINTPLNPSATKTEKSTIDETLLQLKFDPKEFARCNKLMETYNVLGQEWTFTKLKEQTKYLFDSLIVLVESFHEAGYCFSQISTSTVLVTSSWKFVLLEGCFALNNWSVEGVKKDYRDIAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFRNKYLIGTHVSLLPDDNISIAYMKIHEFIRKILLAEEKDKARQLKLGITRYSRISTRIRRRIPYKDIWLTKARSNSFMTAFLEQNERNMELGGTDFELLDTIRHFVCHRLDLLKLGFRYKANEVDRMWYAEFPTLLAELQLALFLVDRLEELELEKNFMNRKPMRPKVSSHGTF >KN538877.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538877.1:65470:75712:-1 gene:KN538877.1_FG010 transcript:KN538877.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQAPQTNQVGGSGVGGADGLPQQMQDVVGLGGLDTQFLLMRNTMRERIFEYIGRKQSSTDWRRRLPELAKRLEEILYRKFLNKADYLNMMRGPVEPQLQFAIKTLSAQNQQNQQNQQMPRQMASSSGYGTMIPTPGITQSATGNSRMPYVTDNTGLPSSGATMVPQGANTGSMSNGYQHLTTSVPLNSTTSSIPSTMGPVGIQRQVTHMIPTPGFNNQQSVPVNPDFSNGAGYFNGEPTVTSQMQQQKQFPSNQNSHQIQHIGGHSNSGMHSNMLENSSAYGLSDGHVNGGMGVHGSNMQLTNRSAASEAYINISTYGNSPKPVQQQFNQHPPQRIPTPVDISGSGNFYNTGSSALTAANNHSMGATNLPSRSRMNSMLHTNQLNMQSIQPQPQIKTEVLDQPEKMNFQSSQLTHEQLIRQQHSMQQHQMQPSSQFVQNQYHLNQQQPNSQHQQSILRSNSLKQPQLSSSHSMQLSEQGALPHTELISSQATEHADIPIYQGQYQQRSAHDNVKGGQVFGHLSSSQNFHSNASHDSQQLLPTNQQLDDSSNDVSYVLKGSQPEQMHHAQWRPQTMEKAPVTNDSSLEKQIQADLCQRTMSQDGAQQPFSSDWRLPGCTVTPADPALPKLPSGGLEQAAGNIYYFRQMKWLLLLFHAKSCLTPVGSCKFHRCFQVQELVKHFENCKRKDCSYRDCRRSRMVTEHYKACVDLQCPVCSNAKKLLQRSAELASKQKPPEPRKIAQQNTAQRIMNGVEGDIMDIDLVSDEIFDSQPSVPKRLKMQPVSPSTAEREVSMPSNAGLILQETHSELPDQNNKVGQLKMDVKIDPRPLQKPAKIGYGTDGNVPTARHNVAPGGSNEIKTHVKQEIMPIDKETSETAPEVKNEANDSTDITVSKSGKPKIKGVSMTELFTPEQIQEHINSLRLWVGQSKAKAEKNQLMGHNENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNESRGDTIEVEGQNFLKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRMPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQERQDRAAQERKSIEEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSYPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKQQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMHKLGETIYPMKEDFIMVHLQYSCSHCCTLMVSGKRWVCHQCRSFYICDKCYDAEQQLEDRERHPSNSRDTHTLHPVDIVGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACRDSGCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >KN538877.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538877.1:22943:25331:-1 gene:KN538877.1_FG011 transcript:KN538877.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVGRVAGVEFVRTSGRSFAYVDFHCPSDKALAKLVSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAQEMPASADVESKKEKLEPNKAVLDSTKINIYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANDEYASVLDAAAYEKERSIMNSVMSKLFEKENDHLDSMEIQNHGVDFDATEPSNTRNDLQMDKTEKTSEEDLDDQMEETEDPSEEELDDLVLNIVTRKPKSSVAQLNSEKHAADKDSRFRKRQQFKESSLQKKRHKSSDFSEPRNKKQSLPAISGAIENEQKSLDLSGKGTHEFSSELDEDKSSASVQDVEALADSSTRNGSEQNALASEPKRVSLWTQKSAWRDLVGGMGSASFSLSQILPNTNPAPSKVSNATEASSHAESRKKVKPSGKSLKPLEAATQLLPEQKMPTSSMAMPSSELKENNKLEKERVVPKITIGEVCPFMRNSDSEKQWSKAKKVLTGFIKKGNESTGSNVGKGKPSTRR >KN538877.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538877.1:548:1463:1 gene:KN538877.1_FG012 transcript:KN538877.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding AGTWEEKNLNSWANSRIKELLVSLDSLEFPTGKASLDGVSKCIGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEEKMKVKGHLDIPEFSFGELEDLEVEVRFSDDKGLASDDKRQICKDLKSFLSPIREKLHAFEEELKDR >KN538877.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538877.1:85043:86294:1 gene:KN538877.1_FG013 transcript:KN538877.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVGMMTYFDPATGKPVPVTVVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGSPPLRHLQEFRLQSVDGHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLKVVMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >KN538877.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538877.1:153537:154104:1 gene:KN538877.1_FG014 transcript:KN538877.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTDSITRVFPVGGAAAADEQGLLARAFDAGAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVLELVTTAPLLRVSGEVANLCNALHVVSLRGAGICNRAAE >KN538877.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538877.1:109029:112363:1 gene:KN538877.1_FG015 transcript:KN538877.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRFVYLVVKGSYDRRLRCNRDSYTADTFHMRRINVSRFFFYPEPPPAPAPEMVVGARLPRPRITFCAPWVMHFMLLGRDSDKVLAVDHKGRTTIFVGAHGAIGAYAVVGGEDIWVSTNGAGTFSFDTARRVWTKQGDWTLPFCGLAEYVPEYNLWFGLSSGSNNSHLCAFDLAGAAEPPATRDFCRELKPPKDWKLVSSHLVHLGSGRFCIARFFDKPAKIPVQSNYTVRRMDMSRFFLPRKSATPLDAGAHDGAAAVDYGDLPCPVMSFRPPVCAMETMEFMLLGGRHNKIVATDLTGRTLLYDPDEHVVRSLPALPVPKVSAVSLTVGDDDLYILDDTQDYLTGGHDHCFHALTHRQGASPRTGGAAISRRLLTRSTGQGLLPSRLDPTRRHLQVRSTRSAASGAQWAAAASGGTMPFTGLAEYVPEHGLFYGLSADAGNVLSASDLSRSEPVQRSLLPLEYTPPTDPDAAALTQVTCHLVHLGSAKFCIARFFETDEEDDGYVQEMFTVFTAVEVERCDDTGVLRLVKHKSEMYKLISGIDYWVL >KN538877.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538877.1:45729:54452:-1 gene:KN538877.1_FG016 transcript:KN538877.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGYVGKHRLSAAIARLDQELQSLQDELNELETMEPASAACQEVITSTEGKSDPLLPVTIGPENASWERWFQRDFIKHHLLFYALLLYTARTSEKHTSKVKVPKMMSSIAVAAASTGLRGIAQPIIGNLSSCASRYVGVDMAREMVDLETIILPQLNLIIASAESQPQHVNNIVQKCLQRLKVELSQAEDLLDEHEYDLLKHRMKQKKLSVFSVHGQCQGPISKPFIWVLSNLSSLFPHNIKMLNHLKEVKSILEKARNFLGVLSDATQVSADTARSRVIQDTTTFREENVFGRDKDRDSIINLLFDPAMVGGGNSNINGYSSIAIVGAGGAGKTTLLQYICSDDRVQNNFHVIWVCMSHKLDIHKHTTEVIESLASEEIPKIQNLDTLQRKLKNLLLEKREKELLLVLDDVWFEQRHHSEWEQFLAPLISAEFNKGIRILVSSRSKELPSVLNCRKTVSLEDIEENDFLAFFRYYSLGHVLIGNEELEEELQGIGDDIAKKFRRSPLEAKAVASRLSRMLDVEIWKHARDSKQLDGNIMDNLLWSYQRLDPQVQRCFLYCSIFPKGYMFHIDEIVLLWEAEGFMSSNGRSERAEGIARQYFYELSLSGFFGKQSHGKDSHVGYRMHDLFHDLAENLSIDDGYRIETEKNIEIPQFVRHLSISVPSLERHAASICNLEQLHTLIFFNPVADIGKFLKPMLKKLKKLRVLSLCFFSSYTLPKHIGKLKHLRYLNLERTSISKLPKSSCKLYHLLVLKMNKKVSKTLPKKANNLISLRRINGPLKDVRNVGMLTSLEDMKEFQVKKEKGYEIGQLGSLTKLRGHLHIMNLENVQNRNAAKDAKLQEKANLDALRLVWNHDTYKNNNVDLEVLEGLEPSSRLNELAIEGYRSTSYPKWLSGCSQSLRSLELLNCTFLENLPSNLQCFARCRSLALLNLTGLKRLSPLPENLTSLKFGGCSSLCFISKEEEEHGVNPAREKALQPLTSSTELETLTEILRLDGSELEQFQACFQELQYPVSTISARRRDVAQLVLPLTLRRLELSSCNITDQALSECLRSLTSLKDLALLHITTLSALPSKQVMENLSMLSSVGITSCQSLCSVAGLGAIASLEKLTISFCPSLELSDCHILPSQLKEVTVRGCTIHDGFLHDDLPFLVNLEKCKCRTPSVLQLGAWPSLKCLKLCDCLDVCFLVGLPALESLQEVQLVSGEYSMIKAGGVLKMVDEERVMMESLLTSSPWSCLVGLRRAGADIILTYFARQAANVLSGMRPVSSN >KN539779.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539779.1:75464:75634:1 gene:KN539779.1_FG001 transcript:KN539779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAIMSLSHKRPSKSYSGDRGFYFHWSPSVLPMLRAASISAAKLSLAAGGPHLLL >KN539779.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539779.1:56530:60385:1 gene:KN539779.1_FG002 transcript:KN539779.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEYDSDETLSDVSFIGSDADSKDEGNTFAMTFPLGKQPLDVAPLNAIPFSEVQGSNRKEVSSKEVVSIPQWLKEHKLYKDGDWEVSISIRAIGQKDWSYHHREYQATIRSKPEVELFMEITLQNGTNIFKGRKLQKKWRMDSCAEGSTGGSKSTKRKKINSSTEKKKPLSIGNEPLKLTLPHGFV >KN539779.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539779.1:34887:35436:1 gene:KN539779.1_FG003 transcript:KN539779.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVKRVLHVIVSNLLYPVTEDILHQMFYAYGAKNIYMHQHQMNSNLARTRRKIGEGGGEAHRGGGCGEPVDDNGDTAACVTVASVFSIRSQFLAGKGSITTDDDHHQAASIIATASASAHH >KN539779.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539779.1:7279:10219:-1 gene:KN539779.1_FG004 transcript:KN539779.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSIPPEKVAGTLETHTGDIADFIRKEVSLPPVLIGHSFGGLIVQQYISCLGGSELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAIKVTLSLAAKRFANSLSLCKETFFSPEMDDDLVQRYQGLMKDSSKLPLFDLRKLNASLPVASVPNNTDAEGLSETARFYNVQPVCIEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >KN539779.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539779.1:22475:31703:1 gene:KN539779.1_FG005 transcript:KN539779.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVRHPAPEEWGEFLHAPARRFHDFDQIKREIQLETDKEAGGNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRSMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFKRSVKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNTILLKHITYMLPGLKSRINSQLVAVAKEHAAYGDTAESTAGQGVKLLNILRKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTAIQNSDGPKGPMFLPELPFEILVRRQISRLLDPSLQCANFIYDELVKMDYINTSHPNFVGGNKVVELARQEILPPKAPTSVTIPKDGTAISSEIQLTSDRSQKSRAIFARDATRGATSDQGVQPDADTGTSVAGRNQRGHSLVAGSSSSKSVPRVHNLDNLISIIQLREPPITLKPSENQPAQDATEVAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVIIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSPAEYATGLPKIHGLSNGDPSIIYASSPNHNRKKASHEDQHGSVASYGSTSYPDANGGLLST >KN539779.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539779.1:68770:68985:-1 gene:KN539779.1_FG006 transcript:KN539779.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKGRASASQWEDLVRWGLREQDGACALALEDVGNLGGVGVEEEDKASDGDGELGVADGGGAEYGQHGW >KN539779.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539779.1:11994:15996:-1 gene:KN539779.1_FG007 transcript:KN539779.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRPPAAPHRTPIHHDQQLHLFNTHNTRHRAAISSLPVTCLRIRYSSNNPVRHLRGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGMGMTLTLDDLKTALLMPKELAAGFLLQYSFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSFLAGIWRSLPPNDKGQ >KN539779.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539779.1:45937:46712:1 gene:KN539779.1_FG008 transcript:KN539779.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEYDSDATLTDNPFVGIDADSEDEENTSAMTLPLGNQPLDVAPLNAIPFSQVQALNRKVVSGKEEVSVPHWLKIHKLYKDGDWKVSISIRANGHKDWEKVAPHCGASISTINRFFVIYLAVAHL >KN542265.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542265.1:5909:9862:-1 gene:KN542265.1_FG001 transcript:KN542265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPVVPAHGEDKKKKKKKKKKPLKPSQEEEEALPLPPPPPDRKRKKASEPVNSPERAKKKKTATPHEPPSAKQQKRPLPFQRTWSPNDEVLILEAMAAHRQEHGKVPTAAELFPVLNGRLDRKRLTYKKLADKLRTFMRRHGRDAKNGPPTQAHDRRLYDLSRNVWVSQTQPPNLSANANSNIAGGQPNQHDAMPTAGKAFDKMRDSYPNLTQALLLLVGTDLEKALTAIDETKAQALDLKVSNLKKELSEAVMESATIQSTESSKIPCFPSTKLQPEFGAEIEKNFQLEHLDEMKGTQVKLARMEQEILELKQNFLAFQSQQMADSKQQHDKSSAKGELRRVTMHGQQEDTNNEGGKEVLLLSTTRPHIPVAKATLQTSSGSKFVGGVPLGSEWYQVFVNDVLKPEAPLLRPPGMKMAEALKSIIAWPCAQIVKKCKHLLRRFNAVTMVTQ >KN539008.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539008.1:93600:94050:-1 gene:KN539008.1_FG001 transcript:KN539008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRVGRCMLLQPPRLATCVLFLLLPLLLLPCSASSSVITHLPGYHGRLPFHLETGESGTVGLRVYMA >KN539008.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539008.1:40782:63188:1 gene:KN539008.1_FG002 transcript:KN539008.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYAKSVAEVLAAFGVDPTKGLSDEQVASGSKLLVKSCCWWSSMLGFMAKTSCPKKKNITNTPSFYMPVLILTDQARDGRGSACGGSLPTSSDVVRQPGKWMGICLNDIQRREQQMGHAGNDWQGQRLAGALHRAKAAGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLAAFLEPSVIFLILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRTIEMLSHQLRVDQAILTGESCSVAKELESTSTMNAVYQDKTNILFSNITNIDNLHLNEYGHFLLSIRFIAVILYLLSAFFASKGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKKHRFLKLHRTLFSFQVAVALAVAAIPEGLPAVVTTFPTTLKVGPTVISRDNSMIISRLQYHVRCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGGLQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYDDEANLTFIGLVGMLDPPREEVRNAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLEDFTGYSYTASEFEGLPPLEKANALQRMVLFSSFTGCCRVEPSHKRMLVEALQLHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVSEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRLHHSTTTTIRWLTDAHGKQCFGIAFIVDVMGVDGDLDQCEHGLLCRLDGGGISSDEDVSAIQKIWHCLVQQTAALTNPLCHCLRLVQCVAAAVPPPFLKLLPIGWMACCASPSLLCEGDTVLAYQQLVNEAVVNGWLFFRYLIIGAYVGLATIAGFVWWFVYSEDGPRLPYSELVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLAIHPWSNLWLVGSIVLTMLLHISVLYIEPLSALFSVILIDEVLKFFSRSSRGRRFPLRLRRREILPKESRDN >KN539008.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539008.1:12783:15526:1 gene:KN539008.1_FG003 transcript:KN539008.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPAASPSRRARRAPSSVRCDSSASSSPSASASASLDADFDKKQFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKENGNQHTWGPVTVKLAQAYGFCWGVERAVQIAYEARKQFPDDRIWLTNEIIHNPTVNKRLEDMGVQNIPVDAGIKDFDVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEASYVCDYILGGQLDGSSSTKEEFLEKFKNAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRRFGVENVNDHFIAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQAA >KN539008.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539008.1:153355:159428:1 gene:KN539008.1_FG004 transcript:KN539008.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWDALGGVSVLAAGTGEAVQLRDLWDPTEGVAVVALLRHFGCFCCWELASVLKESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAKMYSGLNSIKKVTKNYTLKGTPADLTGILQQGGMLVFRGKELLYSWKDEGTAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAIGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASAKVFSRFDSLKEAVKNYTIEATPNDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICCKAPAA >KN538847.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538847.1:114846:117951:1 gene:KN538847.1_FG001 transcript:KN538847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAAPSVTPGAVAFVLENASPDAATGVPVPEIVLQVVDLKPIGTRFTFLASDGKDKIKTMLLTQLAPEVRSGNIQNLGVIRVLDYTCNTIGEKQEKVLIITKLEVLSKALDSEIKCEVEKQEEKPVILLNPKEESVVLSKPTNAPPLPPVVLKPKQEVKSASQIVNEQRGNAAPAARLAMTRRVHPLISLNPYQGNWIIKVRVTSKGNLRTYKNARGEGCVFNVELTDVDGTQIQATMFNEAAKKFYPMFELGKVYYISKGSLRVANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQIQYNFIKIDQLGPYVGGRELVDVIGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSSKTVTISLWNDLATTTGQELLDMVDSAPIIAIKSLKVSDFQGLSLSTVGRSTIVVNPDLPEAEQLRAWYDSEGKGTSMASIGSDMGGSRVGGARSMYSDRVFLSHITSDPNLGQDKPVFFSLNAYISLIKPDQTMWYRACKTCNKKVTEAIGSGYWCEGCQKNDAECSLRYIMVIKVSDPTGEAWLSLFNDQAERIVGCSADELDRIRKEEGDDSYLLKLKEATWVPHLFRVSVTQNEYMNEKRQRITVRSEAPVDHAAEAKYMLEEIAKLTGC >KN539008.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539008.1:5449:9441:1 gene:KN539008.1_FG005 transcript:KN539008.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAAAGVARPGHHHLPLVLPPLPQPPPATASLSLNHLATQRRARYTPRRHVVVVSSAGGGGSSSPPAPDDDPGNFDKHEYRRRMIRQGNYNRKSFGHEILGAINLEYTSELISEMERNGGEYVTKDGLVRLILAEAHGFCLGVDNAVRLAYDARVKFPDRRLWLTNQIIHNPTVSERLDEMGVKIIPVVSGVKDLSVVEDGDVVIFPAFGFTVDEMVTLNRKNVHIVDTTCPLVLKVVHMTERHIKGNYTTIIHGKYAHEETVATASFADKYIIVKDITEAKYVCDYILEGQLDGSSSTKEKFLKKFRDAVSPGFDPDIDLKRVGVVNQTTMLKGETKEIGILIEQTMMSKYGLDQNNKQEHFVRVGTICNATQERQDAMYKLVEKEVDLILVVGGWNSSNTSHLQEIGELSGIPSYWVDGEQRIGPGNKISYKQKNGELVEKDKWLPHGAITIGVTSGASTPDKVVEDVLQKVFEIKRQELGEATEQ >KN539008.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539008.1:160984:163817:1 gene:KN539008.1_FG006 transcript:KN539008.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQRYAFKMEKKILRNLIQLKLWYSLCVDVSTKHMIRKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTGVLLTGWRALLSLLFSTGQSQQDDVYRRGSPFELFEVRKVLQIIGIFFYTCN >KN539008.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539008.1:125781:128800:-1 gene:KN539008.1_FG007 transcript:KN539008.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYYGTPASCSGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPVPHSLLIPIRRSLTSPYSPAYFGSSTLGWGSFQLGYSGSADPEPGRCRRTDGKKWRCSRDAVADQKDLANKHNESEQVQDSDSLSMLTSISTRNTGSLFPFSKQHNPFEVSNSRPDFGLVSPDSLMSSPHSSLENVNLLTSQSLNEQQSSVSLQHFVDWPRTPAQGALAWPDAEDMQAQRSQLSISAPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLGSAANRDEVNQGEANWMPMFRDSLMGGPLGEVLTKNNNMEARNCLSASLNLLNDGWDSSSGFDSSPVGVLQKTTFGSVSSSTGSSPRLESHSVYDGNSNLRDDLGSVVVNHPSIRLV >KN539008.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539008.1:107771:112183:1 gene:KN539008.1_FG008 transcript:KN539008.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPEDDPGADDDPDSYAAAAAPSPSARNPHPPQSVHPHPPHSAAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPSPTSAETRYGTAQTPPEIAGIRSDFAEIGGRGYREEEPREQVRLRMEEVAVDRVRHEADDYHEVRHGWEESVQHRVDGDEARHEVDDDEGRHDEWEERLKHEADGGEVMHKELDDHELELETVRHEEEEEEEVEEEWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDDSDGPFSYFDMSNAQQEHALAIEQLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQCLQHQSKLETEQLFHRKDDFGMHSEEDTSKDIPEVFPSILQQTASVIPITDFETEKHPIQVTEVAVVDKSVIKEQLTKDGSKTPIVLQESFDDDIDDWFDEEAELAGHTTIPIGDEEDVSFSDLEDDDGK >KN539008.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539008.1:35125:37523:-1 gene:KN539008.1_FG009 transcript:KN539008.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADGELLWRASFVPRVPGYPYRRVPKVAFMFLTRGPLPLAPLWERFFRGHEGFYSVYVHALPSYRANFTSDSVFYRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWFVLVSESCIPIFNFNTTYRYLQNSSQSFVMAFDDPGPYGRGRYNWNMTPEVELTQWRKGSQWFEVNRELAIEIVRDTLYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSITWVDWSRGGAHPATFGRGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >KN538847.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538847.1:78004:78504:1 gene:KN538847.1_FG002 transcript:KN538847.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLECGDISASQEELLAHSSFLNGGDDGEVFSTPPTTQEDAITMCTLPFTQSPAPAPAPASAPLPSPAAVSRTTPGCSSSEDNRDDEMSDIVKQRRRPRVCTRKVRWGAKIRTPTPSPDRTTSEVENKDSDPLYKAVLMIPTRDSTPAIPMDLIALARQRGLF >KN539008.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539008.1:16682:27667:-1 gene:KN539008.1_FG010 transcript:KN539008.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRASPGGGVCGRVLRLASVQIILILFLTQGASSSSRDGKTSPPQGLDAGEKDIYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHERRENMERLRGRHLLGVSSWHASQKNVKKPIRIYLNYDAVGHSPDRDCKTVGDIVKLGEPPVPSTPGTPVCDPHGDPPLVGDCWYNCTVEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTSQILDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNLWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKDGSCTDVNSARAPDRMLGEVRGSNSRLPLMGSGSHVRKLVDLFSSQGSMDLAQPSAQVMVYAKPMGSVNVKVDGLGLTAQQRFVMSNVVCTEESVIMHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRALSGSSVIGRKQTVSVEFYKLHMFQTRLASCNIQVDAAGDGDLEAAVRGAAYANGTLIVSVLNRAYADEDGGLLDLFLRSMREGEGTEQLIAHVLLVAMDRPAFLRCRRLGGVRCYQLPAAQDGADDLSSEQLYMSDGFIRMMWRRIRLLGDVLKLGYSFIFTDLDVMWLRNPLPRLEYRAEEEDLLISSDQFNGRPDDIAGNELNTGFFFVASNNRTAALFDEWHAARDRSAGMKEQDVLNDMKRRGALRRLGGGGGGGGLGDRTPENLNTIK >KN538847.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538847.1:16560:22351:-1 gene:KN538847.1_FG003 transcript:KN538847.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSSSSGQRSTARLQAVAVLVVVVGVASSSLRGCIAQQSGGGGLSRGSFPEGFVFGTASAAYQYEGAVKEDGRGKTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIYPSRCFSNREISEAVRDVRRRLPSLGICRQSPSFFSLTVQFYSLAFHLVLTPDGVGQVNQAGIDHYNKLINALLAKGIQPYVTLYHWDLPQALEDKYKGWLDRQIVDDFAAYAETCFREFGDRVKHWITLNEPHTVAIQGYDAGLQAPGRCSVLLHLYCKAGNSGTEPYVVAHHFILAHAAAASIYRTKYKATQNGQLGIAFDVMWFEPMSNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRARVGERLPTFTADEAALVKGALDFVGINHYTTYYTRHNNTNIIGTLLNNTLADTGTVSLPFKNGKPIGDRANSIWLYIVPRGMRSLMNYVKERYNSPPVYITENGMDDSNNPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYKDNLKRYPKNSVQWFKALLKT >KN539008.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539008.1:77055:81272:-1 gene:KN539008.1_FG011 transcript:KN539008.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRHRSAGQCSLVQPPRLITCLLLLLLLLLSPPALPCSASSSSSSSSSVITHLPGFHGRLPFYLETGYIGIEEKTGTELFYYFVESETNPDTDPLVLWLVGGPRCSAFSGLAYEVGPLNFVLEAYNGSLPRLVYNQYSWTQMASIIFLDSPVGSGFSYARDSNGYDVGDISSSLQVVTFMKEWLNDHPRYRSHNFYVGGASYAGKVVPVIVQYISEGSKFDKNFHVPYSHGVGIISDQLYEAAVTHCKGDFVNPTNQLCANVLSTIHKLMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYSRLSKPPIRPSMDCASYGYYLSYCWMNDNTTRDALKIKKGTIGEWLRCNRGVFPYAKDIPNALDYHFNLTTRGYRALVMSGDHDLKVPFLSTQAWIRSFNFFIVDDWRAWHVDGQAAGFTITYANNLTFATVKGGSHVATVNRPKESFAMGKRWLANKPL >KN538847.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538847.1:148322:150473:-1 gene:KN538847.1_FG004 transcript:KN538847.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRASRFKCCKLILERASFDEHTLFCVLQYGFCEMSNLSEPSKEAASADDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLLMGELNQMKGDSAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGLESSSTQKQASS >KN538847.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538847.1:1510:14275:1 gene:KN538847.1_FG005 transcript:KN538847.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQTSLGSPVYRTNPFDSDSDSEVPSRPSRAQSVPVQRTDQSIQELEDYAVNKAEETSRKVNDCVRAAEAIREDATKTLVTLHRQGEQITRTHRVAADIEHDLSMSEKLLGSLGGLFSKTWKPKRNQQIKGPISQNNSFTSSANHMEQRQRLGISSTRQPSPNQVHRSPATAIEKVQVEIAKQDDALSDLSNMLGELKGMALDMGTEIERQNKSLDAFGDDVDELNFRVKGANQRGRREAAREALRASHEEFFRKERAASAAAAEEQLQKEEEEEEKAAAQEAKKGALETLEEEDVAELEGSSKVRALRTKVMTKALSSVPDSGAGRVKHLVQAFESILSISGATSDADRAGEGSWALPGLQAWKEDCEGKIGMPPVSVSSSAEFLNAGPNRLCSSLDGKSDRLSWDSRTSAGGRRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRVKEQQFIQKVQEMLMEEEQQRIHIAQGLPWTTDEPECLIKPPVKETTEPVDLVLHSDVRAIERAEFDQYVSERNKFAEQLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKSSTPALSYHLHKLLILSSISGLFSKRSAKPATVPKEPKFHPRPEKQSWTLPNGLRVATESSLASRTATVGVWIDAGSRYETEDSAGVAHFVEHMLFKGTGDRNAAQLEEEIENIGGHLNAYTSREQTTYYAKVLDKDVPRALNILADILQRSKLEASRIERERDVILREMEEVEGQYEEVIFDHLHATAFQYTSLGRPILGSAENVKSITQEDLQKYIETHYTAPRMVITAAGAVKHDDIVEMATKLFNDLPTDPTTTSMLVSTQPACFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDATTVKRVANRFIFDQDIAIAAMGPIQGLPDYNWFRRRTYMLRY >KN539008.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539008.1:103495:104646:1 gene:KN539008.1_FG012 transcript:KN539008.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFYDSVADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNVLASTLEKAGYEEGFDLFGAPYDFRYGLAGPGHPSRVGSAYLERLRKLVESACAANGGRPAILVAHSLGGLYALQMSLVYGEGGFEAGPEKVVYGDGDGTVNLDSLVGPIKAWSDSPEQVVEVVELPDVSHSGILKDKSALDQILRIIDAINLNATTSSSSIDQSSQDVLYN >KN539008.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539008.1:112655:114757:-1 gene:KN539008.1_FG013 transcript:KN539008.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKNTTSFGSLFHVTDQMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQTRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPP >KN538847.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538847.1:141418:146399:-1 gene:KN538847.1_FG006 transcript:KN538847.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKEILPEGFDSELGMYGQGGNFNPQYRHAAPPPPQQQQAGVTGGFPQQPLPPPPPRMAQYPQPPAMAAPPPGPYQHGMPLVQNQAYPFAQMHQMPMLPQQRGYAQMPMPGPPSQPPPPQAMYQAHPQYPMPGSLPPPPPRPPSFAPENALPPSSPPPPPPPPPPPSSPPPVPPSPSAAPTTGQSWNSEPERKEGATATDVGHDVKTEKVTNQLIVSDDSDMDMDADEDSPSREHVSPINSSLATAECTGNVNARKPACDVSTLGKDSGGKAKTTNVTYEGRSPFQLIQGYASDDSGDEDGAGAASNLVPLTENNEPVHSIGTNPDIGHQLLTEAAPCTERSLEDREHQLMSKSNPVKHDSDELGHPVKEDLSGNDSDRGQQTRRHGRSQRKRSRGQSPQGRRSCSPLSQSLSSGRQSNSPLAKQANLLESKSPDGVGQTFRAQPGVKLGISKDGFYNDKHDSPVKVATPFDIHPAGGHISGDRISEQDGLMGTKKFNGSSDDLDCNEQTNDASVGSLEPHGHGAVLTCGPSQSVASSANGSDPHKMQRSGHASDMDKSSLGAHQSLSSQPPGISFATVHATEKNMMCDVLQPHSQNLYPPGQMPSGLRPSHIPSSNITPLPGQQLLSTPEFPQMHFQPNVMAPANEFLQSQMQTYPAPDLPHPRPLDFHPHTLQPVVPPHQQPAAMVHSSFQRFTPNLPGSTEFGAISDTDLPKSSIKPHYNPFASTFEQTDPTVNIGCDVIPNPVGSASTKAAEYANALSPFGLSVPGSGTRVRENSAEVVSSQQKQPHREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSASNHNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVDNLSPEPLGAKDWSSDIPGDIDNDESVDKNKRTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMSFSSKGFRVIVLQKWMYYEFTLEQLLPSLHSLASDSRTFFNADCMNALLKRIIFLQAKDLPKTLPPLLDAGLTI >KN539008.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539008.1:119446:123002:-1 gene:KN539008.1_FG014 transcript:KN539008.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRHGGAVTVLQGATPLPAVAGYAESPAHPTLDLSRLPAVLPGRRRGEVVRIQGDEFWHMTRVLRLGINDSFNSSMLDYLVDILSTIDPKSAKTANNLMAAYVHMTSHMWVELFDGAGGLVEGSIQKVDKGGSDVELLEDARLIAPQGIQWHVYAAFGTLKGGRADWLVEKCTELGACSVTPLLTERCHTVAENRVDRLQRLVLAAVKQCQRIHGMLLNPPIQIADLQPFVSQSKLVFLASAESPPLLSTLPKSCNEESGLLIVGPEGDFTEEEVNVLKAAGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQETLKGSWAYQGAKSKRIVPLDLSEHLCNSVTIMDWS >KN538847.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538847.1:129760:132245:-1 gene:KN538847.1_FG007 transcript:KN538847.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLEHEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLQNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLSLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSDEEFVQLTLDALAEQPAPLTDMQSHDLSWEAAIERFMEAAELNLPISSEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPPAKKKRLKLKLMT >KN538847.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538847.1:56111:75448:-1 gene:KN538847.1_FG008 transcript:KN538847.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MLAPLPRLTSALRGHYDADQAYLLRKSALQSLKLPRPRDEWELARKIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQEVAPAVYALFAGDDTQSNLADNVLRRRCAYLLRNELENLVGYSVQDSVLKKLAQLAQMLCSLQRARGHELVQINADESVTSEFGANFDFKPPSRFIVDVSLDDGLPLGSGVLSSKPAEHDQYDASSASVSHNSVSPGGYVNLRWLKDQCDLITRSGGSVLSGDELAKALCRVLLSNKAGDEIAGELLDLVGDAAFETVQDLLLHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKLGTETATNDIFSDDFSSFLLASERKQPFDDVVGTGEGANSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKANEKLIEIGELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYAKKIELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCADHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGSKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEAYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELESLFKNACPHDIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSQMTSLLLEFCKGVDRKIWPEQHPLRQFDKDLSHEICKRLEEKHVDLDRLYEMEENDIGALIRFSHLGKVVKQYVGYFPYVNLSATVSPITRTVLKVDLLITPEFLWRDRHHGMSLRWWIIVEDSENDTIYHSELFTLMKKARGAPTKISFNVPIFEPHPPQYYIRAISDSWLGAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHSDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQLAASDEKPRQFLSMADNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYTLNCYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSMFGTNIGPNTSLEAFVHILSASAEFDELPVRHNEDNLNRTLCGKVPYSVDQQHLDDPHVKANLLFQAHFSRAELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSALTCMHLLQMIIQGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTIPALLGVSREELHRLLQPFSASELYQDLQHFPCVDVKLKLQNEDKDQSRPPILSIRLQMKNARRSTSRAFSPRFPKAKQEAWWLVLGNVRSSELYGLKRINFMDRVVNTRMELPAMFDIQETKLILVSDSYLGFDQEYSLGHLAKGV >KN539008.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539008.1:65700:71491:-1 gene:KN539008.1_FG015 transcript:KN539008.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHRTRQCSLLQLQPLRTCILFLLLLLLLLPCSASSSVITHLPGFHGRLPFHLETGYVSVDEETTTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPMNFVLAPYNGSLPQLVNNQYSWTKIASIIFLDTPVGSGFSYARDPKGYNVGDISSSLQVVTFLKKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVMNCKGDYVNPTNEICANVLNAVDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPTARPTINCFSYRFYLLNIWMNDKATRDALKIKKLESYSTSYNYYQLSWCSGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDLEIPCVAAVIEMHLKENFEHVECMCNNNRLYYTMEIKRFNSGW >KN539008.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539008.1:31920:32622:1 gene:KN539008.1_FG016 transcript:KN539008.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKEEEYQMMCMALQNENRHYERFKIQSIVAIDEKFYFDISASELGVIKLNPNPTFTTIQVKTLKVSRNCWELAFPHLVVESRGRLYLVVYDRHCIRDMCLFKMDFSRLEWCSVDRLYDQIFFVGKLHFTASYCARQLGLKQGLPVCFSI >KN539008.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539008.1:88239:90536:-1 gene:KN539008.1_FG017 transcript:KN539008.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKYISEVTGVVGNPIRGSKIDDNFKIPYSHGVGIISDQLYEAAVANCNGDYVTTTNELCAKALNAIDNLMSEVDDGNILDDKCVRATPKPINDVSRSRSLLEDYIRQSEPTVRPTINCFSYRYYLSFLWMNNNMTREALKIKKGTVGEWIRCKTGLPYVKDVASSIKYHFDLTTGGYRALVFRLNHIPCLKNYYDIYECSGDHDLTLPFLSTQAWIRSLNFSIVDEWRAWHVDGQAAGFTILYANNLTFATVKCPALHNSHTGFLKNPKQGL >KN538847.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538847.1:31331:33334:1 gene:KN538847.1_FG009 transcript:KN538847.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILITIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >KN538847.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538847.1:89614:98617:-1 gene:KN538847.1_FG010 transcript:KN538847.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSAPPPPPAAAAGVGAWSPAPQSPSPNLANFFVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIITGAKAQKNIFQMIRTVWVSDGLKGFYRGISPGVTGSLATGATYFGVIESTKTWLENSNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGTRKSWALTATKGNISQTPGAPMYNYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKRKYLPESNLHASNSFEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSTTSYNGWLDAITKTWANEGMSGLFKGSIPRIIWFGSRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQVPNSLADSNRQCHLIAIDSLFTDEITCLGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSILLQYFMDNGDENYFLLDKGGSVHIFIHKQAAATDILMSFIHGLVLAHLMQKSKSGHAEARQWIDEKYNTFISKLQVEGYSTERLLSHSIVWRAHWVHGPSEEKLE >KN539008.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539008.1:166946:169836:1 gene:KN539008.1_FG018 transcript:KN539008.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVTPLVYSSSSNTATSTSSRRSFRLFSKNQYCKPRPLRRSGSLLLVRCSLQQQQEEKAAPAAESHHAVAGENGVADAGAGAGEEGDGGGVKKSKEEEEEQQQEVDWRSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDASPLAGLLRGLARGQLAREKERLELAENTFKALDLNKVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFAGFLSILGVSEIATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARAASAYLTSVALAVSAFVSDGSLNGGENALFVRPEFFYNNPLLSFDISLWPKSFIGPLPLLPSDSVLCPLKEKEESS >KN539008.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539008.1:33059:34083:-1 gene:KN539008.1_FG019 transcript:KN539008.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQGKLVDTIRRPFTAASTFHRSATRHLQPLAMLAHQRNGISRRGLLTLLTSTAAIPEAGESRKALLQEYLKKSKENKEKNDKERLDDYYKRNYRDYFGLIEGPARQKNEDELTESEKGILEWLDKNK >KN538847.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538847.1:118934:124532:-1 gene:KN538847.1_FG011 transcript:KN538847.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSRDLLCGIGKGGDGTRGEVRPRVDMEAEEVELNLGLSLGGRFGLDRRGEKLARAVDMNMTDTLMRTSSLPAGIEDEWRKRKEAQSLKRLEVKRKRIERRNSLTSNVSKETVGQILEEMNAGAEKVESCDDVATGNKRTGGNVNHSSDRNRCTGLPPVHRATYTQQRRSLSGIPTKHIPAMKGNKKTGGNVNHSSDKNRCTGLPPVHRATYTQQRGSLSGIPTKHIPAVKGRVAARAKSMGDVERIMMQEMPCVCTKGLPNGKRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNPIPPSLY >KN538847.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538847.1:134741:138562:1 gene:KN538847.1_FG012 transcript:KN538847.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDTLIQLYNNFITDFETKINLLKLAHFTVIASRQYPDKDAAISFLEGVITKLRETKDLRINEPILYVKMQIAAINLEKGNQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIASKVPVYAMVVKFRSFVHSNLHLLPNRQQKFHELNINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRASEDRTIPLSVIAESTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >KN538847.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538847.1:139423:139945:-1 gene:KN538847.1_FG013 transcript:KN538847.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSATFVGAVGTSLFPCHARCRVGLVCVRGVGDKWEEDGLCFATINTLTMAIVLYLLIGDLTGVGTSAPRTPSWACSWRLTEGHGQAVILDAPPPSTRSKPPSKSTAVAEPLLPQTGHVPAAACLDEGAIRVIVVETEENSIRKVGEEGGGGRERSG >KN538847.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538847.1:83242:89258:1 gene:KN538847.1_FG014 transcript:KN538847.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKGFAKPNSSNKYGMHKSSGGTYSKPDARVKIIPAEEITYVRHGKLCGKTVGSDGLQKRQCRRSVTPPPSSRKVSLVTPTVVNQRPTPPVSPAASRISPNRPGTAKNVHSVVTSCISPNLTGKAENGHSLATSGISPNCPGAVKKIHSLATSPISPMWPETAGNGHSLVGGYITNSFTTQIASLSQRPSPFCRAVLSQPSGTPLGTDATAPKNLSRSGNREAYVKSCSSRTRNFSSAHAHSTVVPPGTNAEPSAESFCAPGNEKSSPMSCKLGTLQCQGTRTAVAPSVQKKLTMEPALPSPKSVLSEKSNEAYPDTAPRPSSRPNLFDTKCKVGSPQSETIIPPSQSPQSTSHARCVEPPDDFEAVPSTKSHIITEKQTNQEAPINCNVSSGIPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISAQVYEASKQMPEILKLEARPLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISSHIGLRTKIGATELLIFSSKLLTQEYQRKCDKFYFWGVFRALHRSYNQTSMSFDATGCKEIERHKNKETGKILETQDKKTEKEKCGEIGNKLDSAVSRERDRINECMRMLTPDPNAAASSSVTDFTCQSAPRVPAGSDLVLDTPPGFPHDDPPGLTKAHCLLHTGETTVPYIDSSPSLNLGIPVGLSLDVPPGFMKAHHLPHTGETTESHINPSHSHSLSWDTPPGFSLDVPPGFTKAHRLPIVSTAGSETVVSEKKPLIKFTLNVPRVAQTEAIPGFIKLLAVKQEPGLPAICMATEKASTGKADEIKSKQDELILAAVLVQVVTERDESSEERLFPKTRLLSDILSSSASSNANTNASPKPTSKFHDAPDNQIQDRKRDHPESPEPSPADTLKRLRVNGRIALNRVMDRRTLSSQPISREGLVDIQVSGPTVLTREANAVVGNISGDECACFVCSEEFPTG >KN538847.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538847.1:34441:36661:1 gene:KN538847.1_FG015 transcript:KN538847.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSAAADGRSHASALVNAHLSRRQVHHRHAPVHAGHGFGGAQGHAGDPREGERVCMSPDLILLCSGAMPEHACFGLQGDENLAQELADMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSDELFDGEFNEFQSIGLLAGDSYALPKNWSADVSQAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >KN538847.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538847.1:99640:113417:1 gene:KN538847.1_FG016 transcript:KN538847.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAMFDVTLLMDVANLTKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGVLSGASIPGAAGPLGNIVPVPYTLPAHLAPSVLQTAAAAGQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAARVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVQTSGAIPDVHPTLGPNVLTGASHSFASTGANASLVAPSVTPQSGVPSYSVVPPPSNLICPSQPANGGAFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVSMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQVFSLTLSEAHRVNSQQRSKFVKTGLDGLGNLTNSSIKPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMPPPPPKSMPPSPPKFPSNEMSRNEDRRADLNKPMAPPRSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETDSVLGGNPTSISGQKPFWAGQDREWVTLTYNNPKPSKDDWIGVFSPANFSDSTCPSESQWVEPPLLCTAPIKFIFANYKNLDYEKTGKGSMKLQLINQREDFSFALFSGGLSNPKLIAHSKRVTFMNPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVKWGLQGQIQSLSPAGTLTFSRSTMCGPPARTVGWRDPGFIHTSFLKDLWPNFKYTYKIGHRLSDGSIIWGHEYSFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSIDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYVEEGTTEEPMGRESFQPLWQKYRVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLADYAGVRARWSHVQDRDYGFAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACAVDNCPTTTLAS >KN538847.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538847.1:45393:51922:1 gene:KN538847.1_FG017 transcript:KN538847.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSNPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNTPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFTGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGNFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEVTGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSPGNPVPNQFPSQSHQLHNNLKPRFIPNSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLARLHQQGIMNPKQGENPAANFQAMKTSQNTGIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFSADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEQQTQVQPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >AMDW01040203.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040203.1:128:947:1 gene:AMDW01040203.1_FG001 transcript:AMDW01040203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYDHWKEHNSDLWGSSNAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDSGVGLMEDIVRAVCAQSKSDDPIVGHIAKEAPEDITNGFSELFAMKDTSEITCVKKASYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDETEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKP >KN539517.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539517.1:66800:69313:-1 gene:KN539517.1_FG001 transcript:KN539517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPVLRAAGSAAMRASAARAAIPAAFSHMEAVLLSAPQYGVCSRTQGGVAFVAAIFCRSYCSSSNGLNTTAAGPKGEMLYQISPVAGPNGQKLYKIVPAAGSVGTFDPAEGTPHKVAISNRPLTNEEVRQLAFDHQKWSTDIREAKWSEAEIWKQLNEKVDKISESVKKPTGFNELLANFGVKVPEDPFWWWTSVLTIVIPAGAFFIFRFVKALDDFIIIVTQKLMPAYKQLKGAFKAKLEDMKANPMEWEEGDSVELHELF >KN539517.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539517.1:33380:42813:1 gene:KN539517.1_FG002 transcript:KN539517.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERAELARVCGGRNWSKAIRILDAHLARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEVAVRDTMDLKQLLELEELVSSVKICETIECEDRVVDVSPCDTKVVISEDRVVDTSCTATTMADTKTVVCEENIGNSGVISNGAVILANDNKADNNKECSSPTKDTTGTHHTPKKTTKPDKKSKAKGSKEINSQIEDVADSISSGETVAVDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAVEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAVEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNNVEKVLQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLILAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNACQNRGGYCSTSTLSGSPTSSPNEDRISSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNSGFGSHTPMLLGQAKIIRYYPYYQSVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSELYHIVGETYWVSTRCDSIAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCSDTNPTRDPDTLDAVKAAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDVTASIPQGVQVDWEAILSQDPDTFVDKIKPWLYPSIKTSRNLKDYADVSVAFSTTGSVVAALTCVDT >KN539517.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539517.1:73348:75735:1 gene:KN539517.1_FG003 transcript:KN539517.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPSFSTTCSITLHRQQDLEQQALWEWVYCRVYGRALTKFHQASHMRPPNRQLPAMVTGKGERHIQPCSQQLPYSVGEKISAVFRRRCAEKAGWIMGPAPLAKVVCSQAPTLGSQPEEEPHHCQCPGLPNQLTERELHRGQEEEIIGRGNRENPVGSELPHD >KN544597.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544597.1:554:2960:1 gene:KN544597.1_FG001 transcript:KN544597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEMKTACVTSGSGYIASVLINMLLQKGYAVKTTVRNPDDKKKNSHLKDLEALGPLEVFRADMDEEGSFDDAVAGCDYAFLVAAPVNFQSENPEKELIEAGVQGTMNVMRSCVRAGTVKRVILTSSAPAVSGRPLQGDGHVLDEDSWSDVEYLTREKPPAWAYSVSKVLMEKAACEFAEENNISLVTVFPVFTLGAAPTPTAATSVSAMLSLLSGDETQLKTMKGLAATGPIPTVHVDDLCRAEVFVAEKESASGRYICSSLSTTVVAGKHPQYNVKTD >KN539517.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539517.1:97031:99757:1 gene:KN539517.1_FG004 transcript:KN539517.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPCVDADGRRRNEEYWKQEDGGGAWGSVEEDDGQVLTYWLSRTIGCFYTRLTNTNVRAQLVNEGFYRLDSKIKKRLGA >KN539517.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539517.1:19270:19542:1 gene:KN539517.1_FG005 transcript:KN539517.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCVGWPAAARGGRDELTWQAEVAAHAAGEFSMAAVQANAVMEDQAQVMASPGATLVGVYDGHGGPDASRFLRSRLFPLLHGAYDLVV >KN539517.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539517.1:84326:85257:1 gene:KN539517.1_FG006 transcript:KN539517.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPHVVSVKMGALDDARELFDGMPSKVFICWNATIDGYTQHGRPNEALRLFRRMLLRSGVEPDEVTIVLALSALQSRSSARRSPGSGSMPAPRTAGEFSLMPGLARRSLACMYCKCGSLEDAVSVFDSISHKDIVVWNAMINGYAMINGGGKEPGCNAIEVGRKVYEFVASDMSHPRTDEINAMLEKMNGIVKEQGHVPQTELVR >KN539517.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539517.1:104:1868:1 gene:KN539517.1_FG007 transcript:KN539517.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding IFASVDECPSQFEQVTKKILTKCGGIPLAILSVGSFLASQESMVIEHWEKMCNSLSTQQENNPTLEAMNQILSLSYDNLPYHLKACMLSFSIFPEDYVFNITILLKRWVAEGFATEKHGLTAMDTAESYFNELINRCMIQPFQFSYDNKVYTVRVHDLMHDLIVSKSVDQNFVTRITSQQFATISREKIHRLSVFCTEQEDISCIPERTEMTHARSLVIIGFSRLPMHIGKLHQLELLLVQKTKVKEFPKSIIHLKRLTHLLMDNSKLPDGITNMQGLKNLLYFDVCRSSTKAILELGSLRNLRMLTVFWNPRDDDGSKLYEVCLIASLKKLSNLQEIYIMGHGGISLEFLLDHWPHQSHILKRFVMESSYWFPHIPNWIKFQSNISYLDINVQEAREEELELLGELPFLQHLELWTRSKADKAIVIPGKGFNSLRYFLLGYRMLCLTFQPDCMPKLQKLYLWGETSVCVLSTIENLPSCLKEVYVKIHCENQSYQDIRAAKASISTAAKAHPSHPKLYIDTVGDLASSRPISPL >KN539517.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539517.1:43688:47473:1 gene:KN539517.1_FG008 transcript:KN539517.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEVERAGMETDGGGEDRISALPDDLLCSILLRLGSTPAAGQTSLLSRRWRRLPSKLPRLLFPFPSTPPCVGPGIAANTAPILRHVDVVCCDSPAGATATWLHLLAPRLAHDGVVYFRNTMSRRRLMAPHRGLGLASPSPTFELPCFATAAKLWLRLEFLNLELPRSGVFARLTEMFLEHVDFNHRGRGDFGHTFSTPRCPLLRRLRIAMCTGVDTMAIYSDSLHHFELEFVPGLMELTLMAPSLRTLELLSCFYYIQEWNCSIHAPDLESLRWGDRFNLSSVMFVGFARLQQLAAFTIPVFGRPDNTIIQEFALLLGRFSAVYRLDLLLSYERDLDKYEYLMEYITKLPNMNTMSLWLYARGHSVGTSVFYLLSLCPSVKRLQLTLLDGTVANSPCHSNCECDEHPDWNEWEAIVHGLEEAEIRSFRGTEHDFNFVALLFLVSPALKKMTITLDCMADASEESCQKLREIVAGHPGACLEIHQNTSGVFYEFRQSNFTKTPDDHYYG >KN539517.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539517.1:51896:53362:-1 gene:KN539517.1_FG009 transcript:KN539517.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDDARELFDGMPSKDFICWNAMIDGYTQHGRPNEALRLFRRMLRSGVDPDEVAIILALSAVAQLGTAESGRWLHSYVKNSRRVQLNARVGTALIDMYCKCGSLEDAVSVFNSIGDKDIVVWNAMINGYAMHGDSRKALEMFPQLRSQGLWPTDITFIGLLNACSHSGLVDEGRQFFQSMEEELIEEAFHLVQSMTIAPDTVMWVSLLAACRLHKNMALGQQIADYLVAGGLANSGMYILLSNIYAAVGNWEEVARVRSMMKASGIQKEPGCSAIEVGRKVYEFVAGDMSHPRTDEIYAMVEKMNGIVKEQGHVPQTELRCWDILL >KN540182.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540182.1:775:1254:-1 gene:KN540182.1_FG001 transcript:KN540182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKMMPIGVVNIATTRRPGVMLSLWALKTVDYRCLAHHLAQQLDSATTQDDTTLEEQTTLLIHPWRSALLEGLSLTSLLYPAKQLRLLLNSKTHNLLIDRNSSTHKSSQFINRQKFMAKFIYVYTKHVEQQQLNNTFKETQLGVIHIMHCCFLAFS >AMDW01036361.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036361.1:135:590:-1 gene:AMDW01036361.1_FG001 transcript:AMDW01036361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QESSRASPMKLIKLYPHMSGEQKRLIEGAGFHGLVDLKCSKLRPDLCSWLMEHFNPATNQLVFPRRGAIDVNEESVKSVLGIPMGDKDVSYEMESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPTTATT >KN540182.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540182.1:24406:28604:1 gene:KN540182.1_FG002 transcript:KN540182.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSIDSKATIGVEFQTRTLLIDHKYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLSEIFKIHSKKNMAADPKANGAAPSLAGKKVVVPGPAQEIPKSKCCSSM >KN540182.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540182.1:12569:18448:1 gene:KN540182.1_FG003 transcript:KN540182.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MVYSWSGDDGVVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLREPNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLREVDGSTVWHIERLSP >KN540182.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540182.1:4391:9481:1 gene:KN540182.1_FG004 transcript:KN540182.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPATAKIDEWFAGLVAGFRALQLDMPGTAFHHARKCRRKLNSVFREEVERRRKLKTKLEEHDDLMSGLMRMEDEQGRRLGDDEVVDNIVTLVLAGYESTSSAIMWATYHLAKSPAVLAKLREENLAIAKEKNGASFITLDDISKMKYTAKIFDGIQHACYTIPKGWKVIVWIRSLHVDPKYYDDPLSFNPDRWDGLFTFHTQGQQMGQPCPSVNSDGSLYHSDKIKSPFTNTYCYLRNFNYTAAWDEIDKETEEQQCMICITHQAEFL >AMDW01016358.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016358.1:68:214:-1 gene:AMDW01016358.1_FG001 transcript:AMDW01016358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWLMVLAVLAASVTYHAGLNPPGGFRQHNDGEGHVAGTPVLQSNFPQ >KN543045.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543045.1:3736:8165:1 gene:KN543045.1_FG001 transcript:KN543045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANCMRRCRHTCGRELSSCTFPFGSFPIGSCSECHYDLAGTLGFYCPHCNAYRIHSVCPVPARPATNTSGIGRQFVVSAIVSGMIAQFWRVIVDIVIGGDTNNQQANDGNGSNGLMAATTKALIEASKETREKGKATTRCARDCCVADIPLPGVSLLDLSRDGNGACCLRSYHAGLLNVHRIFTKTLHLHTSTSEFSPEEVDVDVALRQGQQLGQCCLLVRQPGERRGSWLPSMDFGNLLHIKKMR >KN540643.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540643.1:18721:43597:-1 gene:KN540643.1_FG001 transcript:KN540643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDLVEIGMKEEDITTMLFGKKVMELTEDAFDGSKEERKIFEELFCRTGTSGASTRHPRRDGKSSSLRDASRELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASNLVTQWTPPSVERVYTRRAMARRNQRAKLCSVLDLERVDITSVRQRRDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALRAVNSTVTFVSCIESELSNPRNADNPLGLNHSNNFNSTHPRSRKNLLPLLQSDDLDGNSSHSKHPSTVGNRKSSQYKMDERLPIMAEIQEKSIRSTAHRIVMGLQDSTAFPGSRTSLNMKNKPSYSKSNLTQSIQDKSDPLYFPPNYSPSDLVENAEINDPSFHAYDMIESANVDNSADSPDELLLGANLLFSHEVDEMLLDDISNEHYCTAAVSEPQAKNIDLEDGPSGPSSVVSEKDTGLKANKDVINNEQHDDGVVAESQLANKDVMDRPAGALSFLPTMDTVLEGNEMSSEEMTKGGQLSCEGTNNAMVISEPQLLFVSPHDGTLSFMNDSMCSQEMRSCLNASNGTMGTNVQLGIQSSVYEASLIRGFLYLDNEGSPICWTVLNPEPPRQLICSEPISKASELHGEMNMECGMLTSGPKQTSKLDSSKNNRKRSKKAANIEDKGSQKKQKVNDVPVSYCAIGRYMDHTTENPAGCLISNDKEQIGSASTEQVSLNLLPGNSNDMNQQVPIKNSDNDQARVRIGSAEKIVPEKTPKKDVKRQKASSRHKCKFDDNDLLVTAVIHKLTARYRNHFSRRLAKKSGFKRLPRCRWKSEERRDRKTFPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNVLKDGNITKKGIRCRCCDMVFTMSMFKYHAGLRQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKCTMPLQADENDDTCGLCGDGGELICCDNCPASYHQDCLPCQDIPDGSWYCYRCLCDICGEVINLKELRSSLPALECAQCERQYHAKCIYGKLLCNEEGGPCAWFCGRRCQQIYMNLRSRVGIPIHTIDGFSCTVLRNNGDQRVSTAADIAILAECNMKLVIALSIMEECFLPIIDAQTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDRIISVASIRLHGTVVAEMPLIATCLENRQQGMCRRLMDYIEQMLKSLKVEMLLLSAIPSLVDTWTTAFGFVPIDDLDRKNLSRLRLVSVPGTVVLKRNLYECPGTDAGELRNPEPFKVYSRSARKNRDAGGGGGSKENLAFVARSVAVAVTAADAGQQRPFEDCLPVFYWSAACRIQGLERLQAPSIEKAWRSLRNTQVARKNYLRPGLSGKVKDCDSDHAHTYGTSSSYNVNKMDSVSRNRNPTQESMHQQNESGTMEKNSSHLPAGTKSCTRTYLNNHVVQADTITTTNQSLARTGPELFKTAPFIDNMCDDAKLDAMDEDELLASIDVDRIVMEHYQATNTPRGSSKSPLEKCNFNGFDENNLPQELSIMCDHGSKLAFCPEAKSHLLEMKDNLLAISHELIDGQLSPQQSDDLHQKRALLKKQIELLGEYTARLTQDEERQQSHSMASTTAHQGHHPTSIRSSSFVKDTNIFQSPIYTRNEPGESGLCFSSAPYSYMDGLSMPLPSVQRDYTPRAIDISYTEGSGDKQWSSTHFAWTKELEANNKRVFGNRSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQANISAAYLSASMEWSEQQEILRELMSPTCTYKLLYVTPEKIAKSDALLRQLENLYSRGHLSRIVIDEAHCVSQWGHDFRPDYQFFIHQHLGILKQKFPQTPVLALTATATASVKEDVVQVLGLANCIIFRQSFNRPNLRYFVWPKTKKCLEDIHNFIHANHNKECGIIYCLSRMDCEKVAAKLREYGHKASHYHGSMDPEDRANIQKQWSKDRINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDSQLSSCVLFYNYSDYIRLKHMVTQGFAEQGTSAPRGGSSQEQALETHKENLLRMVSYCENDVDCRRLLQLIHFGEMFNPSCCAKTCDNCLKELRWVEKDVTNIARQLVDLVMMTKQTYSTTHILEVYRGSVNQNVKKHRHDTLSLHGAGKHLAKGEAARILRHLVIEEILIEDVKKSENYGSVSSVLKTNHKKSGDLLSGKHNVVLKFPTPEKAPKMGVLDESSVPRINKTNQQSQVDGSLAAELYEALQCLRTQIMDENPQLLAYHIFKNETLKEISNRMPRTKEELVEINGIGKMLLVCDLRAARIFTGYTTIYMDHGCNLIGGGGFRSVLTVGLVSVLAVLKSRRLVGVADAWSVDDAADGISGFPDSMSAAAHKQMVTGAEEAMLGKLELDSQSNLFSNSDDFLLSGSSKLGISASEDIDLPGKQGLPESTTDRPGSNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMSVLMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESENPPQKQLKETAVAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLTVKGNSYQKDNLPGENIEVVRIELKSQRNYVKSLKKRSLWSKTLEDIVEKLVDIVQYLHFEINASFGSSDEGELSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTWTERVGRRPGQPDVVETLYHADKAKTEDYILDLVVWLHHLVNQSNRPANAKDKDKEQSTSPLTKSDLDKAQQE >KN539665.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539665.1:4985:5916:-1 gene:KN539665.1_FG001 transcript:KN539665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVVAMVATAFLAAAVHAEQCGSQAGGASPKPSCHAVITGQWTPSADDQAAGRVPGYGVITNIINGGLECGHGADDRVADRIGFYKRYCDILGVSYGANLDCYSQRPFGS >KN539665.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539665.1:59932:80480:-1 gene:KN539665.1_FG002 transcript:KN539665.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METDDDNDYDDDEEYGFVKGRFCNGLAAQLGHGGIINVLFETPSGFAIFAYDGIKLLKPNAMQDIWKDFVNEYVAKRKLAVGNDNCSDIIEKHLHIPCMSGPHVDELMWGLKVQMRCLVPGENSELIKEDRFPMSAGMTFLLNRHKFGVHPDMLVTKLIIEKAGVVFECDRCVDDHHDSLRSAAEHIKKISCIDTQSWDLLKLAAAFKVICCPKEKVELGEQRAKEAYEAEQAREAASYHETGPDRKEIHPGTTPVIIDELTESVCDIILSARLKERQVPPTQAPVIALKRYTQISSHPLHRANEPGILSMDIHSSKNILATGGVDTSVVLFDWPSGQILCTLTGHTKKNHYTQITSLKFVNRDELLLTGSADKTVRVWQGSEDRTYSCIHTLKNHTAEVEAVTVNSTHKHFVTASKDHSWCLYDISTGCCLAQNAVNMLGHVGPVTAMSFSNNGYFLATAALDVEFDFTGSYLAIGGSYIRVYHVPNFMAESNLTKALPDQSGTGEVTCVKFGADATYIAVASAPNPDPFSCPEDPEPDPDAGQREALVEKIFLIHSCQQPRASSVKKGEILEAHGRFGHLASNCVKKRISYKLHAVPQVQFTKDFPVLAPLRFPPKLYPAPPSVPPMDDLTARPLLDSVVISATSEIKRHRERFSAHSLVVWQVGAHGNKVELSTFADDPRAIPRGGPFSLTSADEEGLPMDIPLPDLEPHRNPPPSEPKEGWTYNVLIHVDTLEDLHSRKARAYKWDYEVQDDGSSFFISNMSGFETTNRLNRLLSLAMISSSFIRRK >KN539665.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539665.1:53853:56144:1 gene:KN539665.1_FG003 transcript:KN539665.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAPSGDYWRALRRVSANHLFTPRRVAASAPRRLAIGERMLDRLSALAGGEIGMRRVLHAASLDHVMDTVFGTRYDVDSQEGAELEAMVKEGYDLLGMFNWGDHLPLLKWLDLQGVRRRCRTLVQRVDVFVRSIIDEHRQRKRRTGGGGNGGGEELPGDFVDVLLGLEGEEKMTESDMVAVLWEMIFRGTDTVAILLEWIMARMVLHPDIQAKAQAELDAVVGRGRAVSDGDVAGLRYLQCVIDDVVNANDTEILNKRNGVPPKPLYWHISGKDILTSDCSF >KN539665.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539665.1:7148:26895:1 gene:KN539665.1_FG004 transcript:KN539665.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLWPLLSPVVPSPAALPLSRGDEDESLEGLRQELDDCKDDEEVANILANGIKLRDYTKGVENNIRQIELDSIQDYIGESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSRKIKFIEVDAMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIVFLKEHAKEIYAEVRAAYIDTMNKVLDCQCMTWILVQLCVPIIARVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFSMGKESLKTRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFNDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNLSLWPRFKMVFDLHLNSLRNANVKTLWEDDVHPHYAMRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAVEELLVKLAKMFPKQKLQTVFLINNYDLTISILKEAGTEGGKAQVHFEEVLKSNIAVYVEELLLEHFSDLIKFVKSRPSEDTASSSDKASVSDVEPLVKDFAGRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKKINGGSALNKDLVSISSILYEIKKYSRTF >KN540167.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540167.1:7228:7416:1 gene:KN540167.1_FG001 transcript:KN540167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIREASSSQTASNPKGIREAVVGHRGHIPQRVARARANQAVYAINYLHIDQEHPHQPWCD >KN540167.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540167.1:46386:47573:-1 gene:KN540167.1_FG002 transcript:KN540167.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGATRGLPPVSPGLPLPEELVVWEILVHLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRRQPSLPLIAACEYDDVMYLVDILTLTLGRRRGAAARLHPVARYASTADYLDSSRDGLLVISWSTGPPQYSICNPTTRQFGDLPLISGFMFMGLYQHRPTGDYRILLYRAEKLVLEDVIPGHVERDASYVYTLGSNDMPRRIGWAEVSVLGGRSRPAQLHGSLLHWYHSIKHMILVFDTTAGSFQWMRGPIDKTENKLNWELRADLLEMDSTLGLYCCNHDKTIVNIWALQDHEQEVWSIKYQVELPVTCIRGELDVGDSWSVMVLSEDGDEVVVVLVECGQSVLCIDTNGKLLARLEHDGNDIVVTPMKLKQSLVSHAFFPLLKSYVVNDLPFI >KN541285.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541285.1:4708:4806:1 gene:KN541285.1_FG001 transcript:KN541285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSRSTEVELGVSGRHRMRCCRVKPGVPGI >KN540519.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540519.1:2793:8876:-1 gene:KN540519.1_FG001 transcript:KN540519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKQYGSFGAVTLERKVDLSKGRKKITPELFNREFVDAVMQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLAIFARPTHSRSFSRALPGWLSRGLVGRTLADPSFPHKIAFEFMATFFSSVWWEMNIRKERFEQEWDLAVVNALTASCCNVMVLGLLAPCRSYGSTSRFDFQNAIEKLPNNIFEKSYPLRQFDLQKRISAFFYKAAELSLLGVVAGSIQGGLSKALSARKERRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGLDQYMVRRFDVLGVAIFFSTALRLTNIQIGESSRRTWLGEEADPQYSDRLLRAYKRPVEVAGDQQDSRWFISKDAIISGLGLLGIKQGGTEAALSKPRRKRVVRKKVAST >KN540167.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540167.1:32793:33092:-1 gene:KN540167.1_FG003 transcript:KN540167.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTVAVLVMVAMLMMSVAVYSDDASSHGVTEKQVAAAANAHGSNGGSVVTEAASTDSTAGASGTSSAGASGTNIDSNYYMTMKGYMEYMRKYGGKQP >KN540167.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540167.1:25901:26260:-1 gene:KN540167.1_FG004 transcript:KN540167.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCSILVLLGLVLLFSNAISEGASLNKAIFSRKGLKEERKLAVTGTAPSLGGLQGQSTSTGVGGVSSNNNAESTNSETGDSSSAYTPMSTATTTDSHHDMSMDQYRKIIHNSQMNKP >KN540167.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540167.1:50173:51110:-1 gene:KN540167.1_FG005 transcript:KN540167.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAFDLNLEPPDWDLNEPIDWNNIGEWDGFAHELDYHMVMDESDEDEDDQDHGQEHDQGGGHGEAPFDLNAEDANDIHEAIDPEAMEHEVAIDAGVMDILSVYYSDYTI >AMDW01066245.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066245.1:69:714:-1 gene:AMDW01066245.1_FG001 transcript:AMDW01066245.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQQKGVGNSKVVKVEKEESWDLFVNQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDVQ >KN540519.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540519.1:25371:26671:1 gene:KN540519.1_FG002 transcript:KN540519.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQSRAHRLGHIDGNWPEVKLINDYAMFMGYLSMAVTGTGFLVLTWSTVVLLGGFVSTLSTKDFWSLTVITLVQTSDP >KN541285.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541285.1:9562:26699:-1 gene:KN541285.1_FG002 transcript:KN541285.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNRVTRGGRDGLGVGPGGGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEEAPPLGSSLGKILSTESGAISLEQSDEDDMEDEHTVPGEDETNDTINNKDDAFDEMEASCSFHRHVSRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIDGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSAVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEPVQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIEIVVVDHADVILMQSWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEYKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDELGISRKEQPLNSKDGSQATNLREVSPNEILLAKNNLLYSLRLCIELTVKKVKQLQRLGRTTSQQDISRARLWFFEGKKKILLYSERSHFYHRYKFYCLLI >KN540519.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540519.1:17310:20898:1 gene:KN540519.1_FG003 transcript:KN540519.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIAILMIVCSDWCSLLKDDVLGFGDPFIRIGAISVDPSLFTRIGVFLYWIRKQSFQRSQRHCFGDSAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEASSVLFFLSNATCSFFRKPANFLPDFQLSMSELAALDMCQKRAIFKPMTQQEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTSGAVYTFGSNSSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYAAQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGHVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVESLVNVRAIHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPEHVEIDLS >KN540519.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540519.1:12607:14625:1 gene:KN540519.1_FG004 transcript:KN540519.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPHYDCAQRRTTTADEVETLVKGFLDDGGGGEEHLPLQVYTSVIRGLGKERRLDAAFAVVEHLKRGSGSGGGVNQFVYNCLLGAVKNSGEFGRIHDVLADMEAQGIPPNVVTFNTLMSIYVEQGKIDEVFRVFDTIEGSGLVPTAATYSTVMSAYKKAGDAFAALKFLTKLREMYNKGELAGNREDWDREFVKFEKLTVRVCYMAMRWSLVGGENPVGEVLKVLLGMDEAGVKPDRRDYERLVWACTGEEHYTIAKELYQRIHEHGDGVISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLDKMQQKGLKPGSREWNAVLLACSRAAETSAAVDIFKRMIDQGLTPDVVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLHAYTILVSIYIGKGNHAMVDSVLRGMLSAKVEPTVVTFNAIISACVRNNKGGSAFEWFHRMKVQNIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGLELPAKSYDTVMEACQDYGSLIDLNSLGPRPVKKVEPIRIENKFSSSYYVGDLPSSTKHFGSTGTSSLYRYRTERWIM >KN542437.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542437.1:6334:8652:1 gene:KN542437.1_FG001 transcript:KN542437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIPGKHMPIFEPNLKENQVYYITNFEVAEARAKYRPTDNPIMAKFTKYTQIKEISPVPDSFPQYACRVVPFETLRARVDEIDVLSDTVGMVTAISPIAMVRIRGTQRAVRNIQITDGRWYSNPIIPEVVALQQSLAAKPHEITWFGQPSTKKHACNATVPEIADLNPHDIMGNTYIVNIAVKEIIPGDAWWYIACEKCKRTAAQDGTFYKCIKCGVTTPETRYRRAIHGVDPSDLKKDHPITANFTFFGPVAEELIGIPPLTLVASVQGRRDLVPTEIARLYGRQLIVRVSASRRSLQMSRISYQVESMTFLPSEVPNALPSLQNIQSLISTNTTDKAGPSSTGNQISDTEPPLDAIPEQTNQKITTQIMPATPAPAYVAQPAAAIIQVWLFSQTYITI >KN540519.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540519.1:38801:40618:1 gene:KN540519.1_FG005 transcript:KN540519.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSLHRVAPYPHRARVQGCRSGAGVHHRAMLTVPAIHVWAVRFSLDFAVSSNLSGLRTISAYEENKLVRNVADAYGFDEASRGSVLDYLHEIKVGCEKDPSFAGGRNMITYAAKLMESTSPNRYLSGVRILDTLIRFNSGAIGSGFPGQSMLISNTIGSASSGPILHNLVQMLDSKSPYDEEIRLRAARIVEHFVIDIRLDKILQGIQCISSLLDLKPFHQPDEPLEEYGHRISVGEEGQMQVRGIQILLKLSDDENNLRLMSNTDDLVSKIVALINDKELHLRKHDKWSRDIVESGVKLIKRFMSATTRSNNILWREISTSLEAISALESIIDCDKCDEEVKKQAIRVLAQICWDTSSVMGDQNRERFIGSLIDMFLHKGKGSQFENLAGEVLAKVKCWRSYLSDLEAVPPSYWRNMVLI >KN539829.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539829.1:23382:27270:1 gene:KN539829.1_FG001 transcript:KN539829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLEAIARLLADLARRRRHPPPGGGRSGDSLAAALNPHGGVGSSSSSKTRVLDAVLSLMCFDPMEVDRARVDCLVRTTVSTLSASVSCRVDRIDGTEMLSVGSSVAPGDCRELVHSCAALLEKLGDPDVADHSYDLLYAVVKAALLSPRYRCLFPLPYYREDEDSTCDMGTISSVLTRHPTYQVLPNDYTIPLRGLGAVLELQTAVVLSVLDVLFEPMAWGISMELGQKLPFSYDYFPHQHIDLLAILTGPLSCRKFVDLTSYIDSQSHASKGSVKYNSSWSMIVNFPLWFNFATALLFHREGSHGYLSEALSMEIISESIRDVSLAHRAAMYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKGPSHVHHTSTVNHRRKLRIPTVGDTEKLHLSTNPVSSLIKEFDDRCVKFCSKTANSQVQDEELSDLPIHFNFLHLWIPLGILLVSSSFVNDQDCDMLLHYSSTGQVLESNEVQRKTKDHICNDSFSASCKGFTETWASAGASLVFGWLDLIINMSAVIFEREDICDHFVSQLKSKTNPYLLKCLYSLLEVLDEASQRDFLVDLHDRLLNWNKKGQSFDGFEAFEDIILRMNKKFHFRT >KN542437.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542437.1:9999:11435:1 gene:KN542437.1_FG002 transcript:KN542437.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDEADDDSLALEPEDQPVLLPSATLDVQTAVQIEDQPIILPSTHPGFTEIQESATDFVQQIQTGQATVHIPPTHEDLSQPIGSEPVIDLNIQDEPAPEQYVYVPGKKKNIAIEDINYNSLISTERKLHRTKQMLDMFAHSLELQRNEGHLLQQKYNMLVNEINKICAQSTSYLPWPNK >KN539829.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539829.1:44182:45357:-1 gene:KN539829.1_FG002 transcript:KN539829.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQSSSWVDIQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLDGAFLSISDGEIHRLPLSDDASCHCSIDNWIFLSQDDGGFSLMNPFSKATLQLPKLDTIWCHHLWYAAPKFPLFYKLAVPSPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVDGSTMEGMQDFTFLDGKLYVLDNFNKLFILEIDESHIGNPKISSIECIIDSQDDSAIEPQSFPEDYLIMLRYYLVESGGGLLMVTRYVGIVLPLVEPNSFKHSRTLSFKVFEADLTTGSRMWRRVTSLVGRALFVGTHCSKSLPAAECGVPQEDCIYFMCDYWRPYAGDPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPSWFFPADVAT >KN539829.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539829.1:9454:11577:-1 gene:KN539829.1_FG003 transcript:KN539829.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGRRGIPSLLNSSSSDEHIATDITQLLLFGEVNIGFDLNIYVRIHAGGVKFEGLVQLRFILPSACRMDATDRAEPDRPKRRDHIVKLGYFMIILINGSYSVIPIFRMIEDAEEKDLISPGVTTLVEPTSGNLGIGVAYNALLKGYRFIAVMPAEYSLDKQMLLAYLGAEVILTDPTLGFQGQLDKVEQIKNDMPNVHHLDQFKNAANPEAHFVWTGPEIWKDTAGKVDIFVAGSGTGGTISGVGKYLKMKNPAVEVICVEPAESPVISGGKPARHKIQGMGPGFVPKNLDISIVDEIITVTAQDAMANAKRLAREEGLLVGISSGANLAACLKVASRKEYEGKMIVTIFPSGGERYMNSDLFAQAREECSAITF >KN539829.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539829.1:29445:31305:-1 gene:KN539829.1_FG004 transcript:KN539829.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRLTLLAMSLLILSPAMDGGGGGTVQAQIVPAVISFGDSTVDVGNNNYLPGAVFKANYVPYGVNFGSRPETLGFESYAPPYLSPQAKGDNLLLGANFASAASSYHDDTAAMYDAITLTQQFKYYKEYQSKLAALIGQKNATAILSDALYIVSTGTGDFIQNYYHNASLSSRYNVNSYCDLLISIFSGFANELYRLGARRIGVTSLPPLGCLPATIRLYGKGRSGCVERLNGDAETFNNKLNITVEALAKKHSDLKIAIFDIYTPLRNMSESPALQGFSEARKTCCQTGTRKTRVYLCNPATAGLCRNASDFVYFDGVHPSEAANLVIAESTMSAGISLVT >KN539829.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539829.1:37910:39169:-1 gene:KN539829.1_FG005 transcript:KN539829.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPQLGETMPSKKRKVELHADDQPPPTQAIMATPEPCSGRPWPDLPSELLGLVLLRLRSHADRVRLRAVCRPWRSSARVELDLLPPPLPWLLLRGGAFITLPDGAAHRLPAVPGDATHLASTGSGLLIVHGDGMLSLMNPSSLATTPLAALAAVLPKYIRYKHLAADRQPLVPLINKAVVSDNFTALLIGNRTWKVIVTIGFSPPLAHFPSSIVDIASFQGKLYYLTSDVRKRQEELYIFGVDNAKQIGIRCISSTLKDIGEESRFDPCSTERYATERYLVASNDRLLMVRRWINLPPIYPSDSGIVKRTRRFEVFEAADLSSGCGRWIKVDTLMGHALFVSKGCSKSVSAGAEEDCIYFMHEDIKNGKPEDPFLDSGLYNMRDGTVAPLLTETVVAEPLAVHGGPWCPTWLFPSET >KN539829.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539829.1:47530:48708:-1 gene:KN539829.1_FG006 transcript:KN539829.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQSSSWADLQMDILGLVLRRLPSLADRVRLIAVCRPWRSNAQLLTLPPPFPWLNLFDGTFLSISDGEIHRMPLPDDASCYGSIDNWLVLTDSDDGCSLMNPLSKATLQLPKLARIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLINDPLRHSVVCIVHRSIATDSFRFHDRPFKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKIPHVECIVEDFPTESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHCSKSLSAAEYIGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGAM >KN539829.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539829.1:4070:6409:-1 gene:KN539829.1_FG007 transcript:KN539829.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGIGRRGLPSLLGSSSSEGGGIGQEHIASDITQLIGWTPLVELKRIASKDGIDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLVEPTSGNLGLGLVLVALRKGYRFVAVMPGQYSFDKQILLKYMGAELFLSDPTLGFQGLVDKVEQLKKELPNVHVLNQFSNPANQEAHMRLTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKMQNPAVKIICVEPAESPVISGGEPGKHKIQGIGPGLIPDMLDTSVIDEVVTVNTDEAMVNARRLAMEEGLLMGISSGANLAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECNAMTF >KN539829.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539829.1:66310:69240:1 gene:KN539829.1_FG008 transcript:KN539829.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGTAGVSHPALAGLGGLAAGTTARVPSPLEDPALSSDRAYVLCFVEFSDAKCALTAMEALQEYRFDERKPDAAVLKIKFARFPFRPAAAPHDDRRRLTLH >KN539829.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539829.1:58148:59965:-1 gene:KN539829.1_FG009 transcript:KN539829.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCLLLLALFLLLGTHGGEAQPLVPAVMTFGDSSVDVGNNDYLKTIIKANFPPYGRDFKNQVPTGRFCNGKLATDITGHILTLAKCCYFPMYISETLGFESYAPAYLSPDASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLEYFKEYQSKLAAVAGSSQAQSIINGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLVGIFKNTVAQLYSMGARRIGVTSLPPLGCLPAAITLFGYGSSGCVSRLNSDAQNFNGKMNATVDSLSKTYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLVT >KN540661.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540661.1:42140:44431:-1 gene:KN540661.1_FG001 transcript:KN540661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAAAVAVLVVAFAAAAAAQESCNTELPGVLVGNYSGLNCQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWIGRKGLPHVKQFALRGKTSGKVVVNRGFLVSNSNNHDHTVVVQQARIYLAFQLQFSYRLTHQHIILAFGSSIPVNNKLTKHQDKTSFTFDFTTGKAFVDGSFPYGLRRAHGALNLFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVAFIIGLAGVVAGVALYNKIQADIPAHRGLGIFILVLGILQILAFFLRPNTDSKYRKYWNWYHHWSGRLVLFFAAVNIVLGIHVGGGDNSWKIGYGFNLAIILLAVIGLEFMLRTRWSKESEPTPTY >KN539829.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539829.1:34507:35922:-1 gene:KN539829.1_FG010 transcript:KN539829.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKRKACAMATAQSSAWSDLRPELLDIVLHRLHSLADRIRFRAVCRPWRHIALAQPLPPLMPWLALGNGGFLTIPDGEIHRMDVPDNACCHGSCDNWLFVVHDNGLCSLMNPFTKASVQLPSLPKVAPHNELLSDAKFHMTVVPSASLNSPPDLLAAVLIRDFRDTLFSFCQPLINSGSFNGCRQGMLISDIAFCHGKLYVANPDFMLYKFDLAVSSGGNRYSSMKKMTVLREELQIWPQDVPLSKEDYHSIRRYLVECDGRLLLVRRWMQIRPFAKCDDLLETACTCWFDVFEADFTVQPCQWRRLNTLGRRALFIGKYCSKSVSSEECEEVKEDSIYFMCDYVKSDQSVDPLRDSGVFNMKTGAITPLLSRTNAALPRHFGRWCLSWFFPSEAR >KN539829.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539829.1:12891:14874:-1 gene:KN539829.1_FG011 transcript:KN539829.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMWAWREELFALAAAPSPPISASRLRVIYGEEQPPASPASKEPGAGAEEEPFAIA >KN539829.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539829.1:395:1559:1 gene:KN539829.1_FG012 transcript:KN539829.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding GMTWFTLNLKVKKDFSSDAGSAVEAIQSLDASETRYAMADLTQLFKIAYQEGKRAELQGRLLRVVLIYCRSSTKPQHQWPIKQKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARILFRQTCILLSHPLQRCIQDDLDIPKPLAKKNMVTEAAQNEDGMPVSTQ >KN539829.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539829.1:40890:43025:-1 gene:KN539829.1_FG013 transcript:KN539829.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLMNPSSSATTPLPDLAAVFHGEIKCKYPDTAFQLGQRRITPIIKAVVSEHFIAFYFNSSKVIITSGQPHTVVKWSPPDSSYILDIAFFQGKLYCLTFDIENFQEELYMLEVGDEEPMMSDVKCIHSTPRDVGDEDEAWFNPHSTDRYKVHRYLVADGGRLLMVARWINLNLPPMLPRDSSIKRTRRFEVFEAVDLSSGHGRWIKVDTLMGHSLFVSESCSESLTAGAEEDCIYFMNDGITNRIPKDPLSDSGVYNMRDGMVAPLMPETAVTEHLAAHDGSGLLLAHNDGMFSLMNPSSSATTPLPDLAAVFHGEIKCKYPDTAFQLGQRRITPIIKAVVSEHFIAFYFNSSKVIITSGQPHTVVKWSPPDSSYILDIAFFQGKLYCLTFDIENFQEELYMLEVGDEEPMMSDVKCIHSTPRDVGDEDEAWFNPHSTDRYKVHRYLVADGGRLLMVARWINLNLPPMLPRDSSIKRTRRFEVFEAVDLSSGHGRWIKVDTLMGHSLFVSESCSESLTAGAEEDCIYFMNDGITNRIPKDPLSDSGVYNMRDGMVAPLMPETAVTEHLAAHDGPWCSTWLFPTET >KN539829.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539829.1:72595:75305:1 gene:KN539829.1_FG014 transcript:KN539829.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKLALPSHETQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPIEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVLKGLMYLHHEKHIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACMYTCKAQNISLEPVHASNFVLSPAGQQEMDSEYGIRIYGAMPIPHFGMRRDWPIQSHIKPNIGTVPGNQMPPYCLGMQIVGTQLMHGGITPN >AMDW01036747.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036747.1:12:401:1 gene:AMDW01036747.1_FG001 transcript:AMDW01036747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKMDNLTTGMAQLRSLNAIKCPISMIPPLVSFEVLHVLALESCGVIIGSHLKHIGKLQRLRYLGLRGTHVTVLPSEIGNLMQLQ >KN539829.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539829.1:51339:56303:-1 gene:KN539829.1_FG015 transcript:KN539829.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSDFKSCVMEATHSSSWADLQPELLGLVLGRLPSLADRVRLRAVCRPWRSNARLQPVPPPLPWLTLLDGTFLSISDGKIHRIPLPNDASCYGSIDNWLFLRDSNGGCSLMNLFSKATLQLPKLASVWHDKMERAYRGSGVGVLLSNEYTPLSYKFAVPLPLDSSPVPLVAVLINDPLHVSAACICYQPIATDSFISYDFSLENKTYDIAFCGGKLYALSASGKLYILEISEGHEGKPEVSCISCIVDLAEEPVIESHFQPCRESHPRMLWRYLVESGGRLLQVIRLFGYTFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFVGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNGMITPLVRLSISGDELVLGWLPALRPLSPTVHQVLTTKQIMVSKQQSSSWADFQPELLGLVLRRLPSHADRVRLRAVCRPWRSNAEMQFVPPPHPWRNNAQMQPFPPPLPWLSLLDGTFLDIASCAIHRMTVPDDACCHGSLDNWLFLMKSDGGCSLMNPFSRAKLKLPKLATCNGVSIFKPHLHKLVAPSPLDSSPDSLVAVLTIDYCSLSTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLYAVSKSHNLLILEITGSSGKKPTILAVDSLINSTDHISARPKTLLKGVEYIFREYLVECRGRLLMVTRYIPSVAHPTGPDFYEHYRTAGFEVFEADLTSIPGRWRRVSNLGGQALFVGKHCSKAFPAGESGGAQEDCIYFMCDYPRQGFAADPLRDSGVYNMRDGMIKPLLTGIAAELPHRVGQSRPTWLFPTDTM >KN539829.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539829.1:16628:20282:-1 gene:KN539829.1_FG016 transcript:KN539829.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLEALSPNVRKRVEYLREIQGQHDEIELKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGGNDEPASENAAEGKDADAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWARIDDPKGFKLDFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGQQANQRYKLYTNLKRL >KN540661.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540661.1:6701:8463:-1 gene:KN540661.1_FG002 transcript:KN540661.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >KN540661.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540661.1:24159:28278:-1 gene:KN540661.1_FG003 transcript:KN540661.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLLKDDATEEKGERARMASFVGGMAISDLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKLLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGGLELILRLLPQVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRM >KN540661.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540661.1:20979:22832:1 gene:KN540661.1_FG004 transcript:KN540661.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALMANYAALLVGSVASSLLSRFYFTHGGRNRTSLLPVSTSSLLLSTQLAFTLVLAVVIVRHPVTFVNLNAVVLLTLSSVLLALRSGDSGETAEGGACFMGTAGVIYLTSSLHSGVCMAAVLTLNVLGGVAVFGDPFGAEKALATALCAWGFSSYLYGEYAKAKKSSKILLNPHDINFLDEPLNVLDNQTLARFARLGFGG >KN540661.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540661.1:11069:13480:-1 gene:KN540661.1_FG005 transcript:KN540661.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENNENTSLLQIEGVNTKEEARRSASSCTPAASKSIQGSGESQSRLGSWPHPKLTEFGWQEEFTWIPGMVSGFQLFVERFLAYAAKLIVGTVEGFISASHLVNQPEKFKRF >KN540661.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540661.1:32063:34501:-1 gene:KN540661.1_FG006 transcript:KN540661.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPTTAATTAVVASLLLLLCLNLTLDSMMMIRSGEQSAAATKAEKQQQRPAAHRAAEAGGVFVCPGMWLSDVTRSKYIVREKKSTKKQQKYRGLKGMESMDSDSE >KN539177.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539177.1:33932:35877:-1 gene:KN539177.1_FG001 transcript:KN539177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKRRPEGTGERRRSGCGIGTSAIRDDADAWTGVSIAPIPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKTYPFEFSTVEMPYDSYNGTNVRLRYILKVTIGRPYATIVVECRDFCVRNCTPLTSINTPIASR >KN539177.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539177.1:89123:96073:1 gene:KN539177.1_FG002 transcript:KN539177.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRVPGGSTANFSAHLIAAQLGMQCRMGPAAAEQMRRVIASSDCDTGRVMRMFGAMEGGDSDSDSDDSAETEKVGPLHEAASAGKMDTCKHLVEQLGFDINAEASDDLGMTPLACAVSKGKAIAVRYFLDKGADPNKQDNIGFTPLHYATKEGYDGLARLLLSKGASVDVISSKGTALHLAASSWKSGIMKILLEHNADPNKVSADSETPLAATLIASDGLNEPAVLKCIKLLVKAGANLNRAIPDTPLVIATNKDFVECVEYLLEAGANANIPTNNGGKTPIEIAAKSGRRKLVEILFPCTSPIKGVSNWTVEGIITHVKSKKSKKKACAQDKESGTDKKAQLKSLGASAVQGKDYVGASKFYSEAIQLDPTDATLHSNRSFCYLKSGEAREALVDAKTCIGLKPDWPKGYYRKGAALMSLKCNREPDH >KN539177.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539177.1:18356:19637:1 gene:KN539177.1_FG003 transcript:KN539177.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPHAAVHVAMTLDAPYLRGTMAAVLSVLRHASCPESVHFHFLASSSSSPEAAAAARELRDTVRASFPSLAFRLPTLA >KN539177.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539177.1:52914:54333:-1 gene:KN539177.1_FG004 transcript:KN539177.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVLLLLVLRKDCKEKHPNVKQVSCGAFVLLCCINCYNVCSDLVDLVVTSASPCLMLRSNEEGIIPLTDAIPNIPIDIA >KN539177.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539177.1:69254:72680:1 gene:KN539177.1_FG005 transcript:KN539177.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSLKFPKTAVLPGFGGIARPQDVQDRSANFTCSRPRAASVTDQEIVHEESGHVLKVPFRRIHLTGDEKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >KN539177.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539177.1:8920:12803:1 gene:KN539177.1_FG006 transcript:KN539177.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLRVAAGSFLEREGETRRTRLVGSISFVVDELKSVDEQSFLDGEMRQQQQQLVQGMESHTGINGVALKISHYLLNYAAMSRGANDSI >KN539177.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539177.1:99500:118321:1 gene:KN539177.1_FG007 transcript:KN539177.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPEEVSKSAFLSWLTYRMFGSGASRESAFLKAVAEGNVRRLKETVNRMGAKDRAKLADMNIDGIGLLEVAADLGKIDVIRYFVEELGFDVNAGCLSAGATALCSAALLGEADAAGADVNYAYPNTPLVVSTTAGLTDCIKYLLEVHADPNIPDKQSGLTPIEIAASVGRRDHVEILFPFTSPVRAVTNWTVEGIIAHGKSRRLIPKDESCSKVSDRKAELKSQGEKAVKRKDYLAASKIYTKALELDYFDATLYSNRSLCYLQIGKAQKALLDAKKCVKLRPKWMKGHYREGAALMLLKEPKKAFEAFLNALKLDPANAEIEKVMWLFSESEMVNRMDEKDRAKLTDMHIDGIGLMQVAANLGKIEVIRYLVEELGFDVNAGCLCGGATALGCAALFGEVDTYHVGCSLYLGHEEVAHLLLSSGSKVDIAVAHGTPLHIAVSFGKTGVVKILLDHHSDPNNTSGVWGTPILTALHSTKHGLDESDSLGCVKLLVKAGADVNYACPNTPLVVATTEGLTDCMKYLLQVHADPNIPDKQSGRTPIEIAASLRRRNHVEILFPFTSPVRAVTNWTVEGIITHGKSRFSMPKIKDEPCSKVNDRKIELKSLGGKAVKRKDYLGASRIYSEALELDYFDATLYSNRSLCYLRIGEVQKALLDAEMCIKLRPEWVKGHYREGAALMLLKEHKKAFEVFLNALKLDPANADIEKVLWEALEAMKKDDAAEEKTLKSVD >KN539177.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539177.1:57324:61609:-1 gene:KN539177.1_FG008 transcript:KN539177.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAISIRRAEQGRGEDPGEGRRSPSGDLLGRSRSRSPRRRWRPRRLGWRAEHAGVLARGEWHSDDGRTASSTGASSSPRPPRWRALGLAPLKCSTLQVPDSWNLQLGCCAFCVKRVLRMVLNKVLELFCVKKKDSKKKGKTINPLCKVAAPHPTANVSTNNSLLDPFSTGNGNVLSVQKHEPECSSVISSMTRTEYGSESDGYNLFNQFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWKLLQKDLPESIYVRAYEDRIDLLRAAIVGPAGTPYHDGLFFFDVCFPSEYPQSHRYETTSHTLSTIIFMLLPPLV >KN539177.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539177.1:24477:25485:1 gene:KN539177.1_FG009 transcript:KN539177.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMQDPVQTTAAAMSTTMQTTDPQAQLDIHPHSSCESACAPEVYMEASPRQNSPLPSPTAHNEQQYFKISSRFKSEMKEAMLRESKQLRVNTTKPKTVTFAPILEQGAETVKNDWHRKELWETATEIFSHVYAIVYEAVLRMVSIIQDAMLSYNIGRRQMLEKIISFNRYPMLKLAPGEGDKVLSKVITEAALDMFDAWCVNVERPLVQRAKEVSSWFLPERREELPPSCSTVHPSLCL >KN539177.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539177.1:4001:4702:1 gene:KN539177.1_FG010 transcript:KN539177.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSSGTNGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHIHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNIPEADIPNRPTGQRPATAPQADPNNNFAHANPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPAGFHYGYGHGHGFHGGHMGHAAHGVPRQGPLGQPQQADIYLKALLIMVGFLVVASLLAF >KN539177.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539177.1:78983:86614:1 gene:KN539177.1_FG011 transcript:KN539177.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKGRHRGRGGGGGGGGGGVGGDRGGGGSGGGGPGMGRRGSDARAQQAAAAAAAISGIATRLGFGCTINVGGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAEASRDSGNAIVMGAVVCEASLDRVRNRLYLTMKSLTIVTALGMTPLFCAVLDGQEITVKYFLDKGADPNKKDAEGFAPLHEAAKIGDNSSRNQFAYVFFYVNLAILLGTPLHVAASNGKSSIVQILLEHHANPNVMTPDCYTPLTAVLSATPEIVNESECLKCMKLLIKIATRNGLTECVAYLLEISTVVKLSEHDKGSDGDRKSKLKLHGGKAFEEGDYAGAIIFYTECGEWSSAHWQWEKNPSQNNKQQGLAQKNPSPHMPHRRSMAVSASQLTPRILLSLLLSRNYCCVNKGRLSLNFQWHCHHVGDTLFGMMQAMKLDPADATLYSNRSLCHLRSGAAQEALLDANDCIKLKPEWTKGYYRKGCAHMALKEYEEACTAFMAGTKLDPLSDEMQNAFWEAAKAMKNEYMAGRRVSSVD >KN539177.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539177.1:119904:134639:1 gene:KN539177.1_FG012 transcript:KN539177.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGIGPLQAAARLGEVESCRCMVEELGFDINTGSQLGITALAAAALDGRLAAARSTEGSLNIEPNKVSEELGTPLVATLHATSQGLAESVSLKCVKLLVEAGADVNFSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCDCGALPIQLAASYGRRKDVELLFPLTSPIRAVSNWTIEGILAQAKSKHAKSKCSKPKDKQDDQNEKAQLKLCGDKAVKRKDYRGASNFYSQAIEMDPTDATLYSNRSLCHLQMTEAEAALFDAEFCIQLRPEWIKGYYRKGAALMLLKKHEKACDVFMAGLKLEPGNAEMEKALRSKPHTSIAAAAAAPHRTVVECLGTTGKGDPRENEFLNAVTEGNARRLKKMVNTMGEKDRAKFTDMNIDGNGLLQVAAHLGKIEVIRNSSYGKCMYGVKFFDELEDCNNLEESQIVGNLTRYLFSLLLTGYEEVVRLLLSSGARTDIVVAHGTPLHIAVFYGRIGVVKILLDHHVDPNNTSGVWGTPILTALRSAKHGLDESDSLECVKLLVKAGADVNYACPNAPLVVATTAGLTDCIKYLLEVHADPNIPDKQSGHTPVEISASVGRRDHVEILFPFTSPISAVTNWTVEGIITHGKSRRLTPKDESCGKVNDRKAELKSQGEKAVKRNDYLAASKIYSECSCVHH >KN539177.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539177.1:26255:29433:-1 gene:KN539177.1_FG013 transcript:KN539177.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIEMPEHGREHHRLHECPCVHTKRTSNVESRESYPVAGGGQDANAGHPSPPSCSLLSAGRCYAGTQNVSNIQKEEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMVLHHLTFHRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >AMDW01035469.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035469.1:58:189:1 gene:AMDW01035469.1_FG001 transcript:AMDW01035469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPA >KN541135.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541135.1:878:2491:-1 gene:KN541135.1_FG001 transcript:KN541135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKPVAFVLLLLACLHYAQANPSRRPLIQSIFSFGNSYADTGNFVRLAAPLLPVIPFNNLPYGETFFGHPTGRASNGRIIMDFIAEKFQVPFVPPSLGQGEDFTHGANFAVVGASALDLAFFLHNNITSVPPFNTSLSVQLEWFHKLKPTLCSTAQECRDYFRRSLFFMGEFGGNDYVFLQAAGKTVEQLVPYVPKVVGAISAGIEAVIKEGAVQVVVPGQLPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEEVSRLRHRYPWVKIVYADYYKPVIEFIKKPSRFGCRSLAKRLKTVPTTGIAHRD >KN541135.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541135.1:20852:23922:-1 gene:KN541135.1_FG002 transcript:KN541135.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSPSNEMTLLLLLFLLGCTHYCHAGSDRPKIDSIFSFGNSYADTGNFVRLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFQKLKPTLCSTTQGCKDYFERSLFFMGEIGGNDYVFLYAAGKTVDEAMSYVPKESISDSYWKSELLHRLR >KN541135.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541135.1:12637:16025:-1 gene:KN541135.1_FG003 transcript:KN541135.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNRATFLLLLLLQLLIGCTHYAQANPGHHNIDSIFSFGDSYSDTGNFVKLAAPLLPVIPLNNLPYGETFFGHPTGRASNGRLIIDFIAGHFGVPFLPPYLGQVQNFTHGANFAVVGATALDLAFFQKNNITCVPPFNSSLSVQLEWFHKLRPTLCSKTQGCKHYFERSLFFMGEFGGNDYVFLLAAGKTVDEVMSCYVPKVIGAISAGVEAAIEEGAKYVVVPGQQPTGCLPVVLTPYASPNAMDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPHDFGESLLSHYLIDI >KN541135.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541135.1:5419:6292:-1 gene:KN541135.1_FG004 transcript:KN541135.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNKMTLLLLLFLLILGCTHHGQANMYSGHHKIDSIFSFGNSYSDTGNFVKLAAPVIPVIPFNNLPYGETFFGHPTGRASDGRLNVDFIAEEFGVLLLPPYLGESKNFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFQKLKPTLCSTAQGCKDYLERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEVITVLFPSDAN >AMDW01037903.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037903.1:162:456:1 gene:AMDW01037903.1_FG001 transcript:AMDW01037903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAAYDTVAKKMAFFDSSRSKDFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVDYYNSLQTEEAAVATV >AMDW01039677.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039677.1:73:667:1 gene:AMDW01039677.1_FG001 transcript:AMDW01039677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSGHVDDEHTDDLKDFLNLSSDCSFLGKCSAMAYNEQMEFQFLSEQLGIAISNNEESPRLDDMYDRPPQLLSLPVSSCSDQEDLQDARSPAKVQLSSSRSSSGTASCNKPRLRWTPELHERFVDAVNKLEGPESRVITLVH >KN542937.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542937.1:6601:9218:1 gene:KN542937.1_FG001 transcript:KN542937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGLLLIDAVFIVSLVGPTATMAISLARLIQHDYDGSDASSKGKLRAALFIFYSLALLHSLCFYFWLLLHFSLEMLPIPASIEEYGHGDGGYYQMLLRQYLQETKTKCANDPKLPGDWNLVTYAVGLLDSASPDDHLDGLRMLDVLAINKQRSVRLELLSSRHSVQNLIEMLEWDGPDQEMRERAARIVADVAGALRVAQMPAGALHCISSLLEASPRSDTSKKPKGGKELIHRGLQILERLARDEHNCREMCNAQWLLPKITAPITSPAFLETEYDGEWVDILSISLRLVMRLISAPGEAGTVLCHEISASNDAVHNLLGILDGQIKFSLQLQENAMDVLSEISIGLPAAMTENLVKKLYHIFLANSGMSGLRPKAGEALVKLISTQGASGQVPVMEIFCKSMSMEQICESESTDVLDVKRYGTVVDQLTDILVKDKECQISAAAILEHILDLILYGKTEGDEETVSEARESNNSEMHNDEESKPPKPAGLKKSLVEKNDELSEERKHLAALLSLLVVICDNLVDADLFSNVRSVNDELVKKLKKIIEANNENTADCLRIVKLACQVVIAIIHLKPSCIKDFNGNHFDAVLSTALKNMSDIDNCMLFAVQDCQITKPARTLSSLVKEAQGLLHNAQETGNSST >KN543976.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543976.1:1249:1785:-1 gene:KN543976.1_FG001 transcript:KN543976.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARPLLLCLCLCFASSCLSSAVDAVAAAGSNGYRTTAFLVDEEGRRLRAELVAGGGGGNTAYGGDVQRLDVYASDN >KN543976.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543976.1:3278:4726:-1 gene:KN543976.1_FG002 transcript:KN543976.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding CPRKLELKVICSSLVGVWPEFYNYRNLCGTICHAGLNVCQHCYFARTSFSCRIKLQNNARSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTTSDLD >AMDW01032943.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032943.1:6:356:-1 gene:AMDW01032943.1_FG001 transcript:AMDW01032943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGFTEHLLPSEQDEEDDNGDEEHNETLSSSTTTLRPASSVASAYTLLTPSVKVQLLIYFMLKYAMEILLAESSVVTGYYFGWDIGTVSVFLAVLGLSVLPVNAIVGTYISNMFED >KN542466.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542466.1:4601:8591:1 gene:KN542466.1_FG001 transcript:KN542466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLLALKMNKVDLRRKGNPLVRGIVSPLSAAILGLSIIMFFWLWLCSAQVLNEKVRKFMYTMSKMVVHLCCIFLMVLASCILLIISMDKMLCLFLLFVLVPLIYIIILSGLKNAVRSEGDDESLHKKLKCEDELERSVDFTTSITALVFLGLGHFALEVDDPEKMHVGKELAIAALVCFIICVLGVFFTLCSMIPFLPTNLLCAWLARENKEDDHEKNVRGFLECSNGFIAATVFGVVLWITWKVIMKPWACLLIIPLLVTLFVGLYTYLIKQATNESTQNPQPGTSSGDARLTPVEQQGASSASGETSNAEETMATSTSQPQPPTSSGETSADTRAETKAAPLELTKAAFTSFLLVAIPSFGDSSLPMCTQFFIFLTAAALVSGQREQKGHNGREHLAD >KN538683.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538683.1:357724:361222:-1 gene:KN538683.1_FG027 transcript:KN538683.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARGGGGGGLLPAAAASPAVGRGRRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAAAAAAGGGGGGGGAVGLEERSDAAEDSLVSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASYGAAHLILGVAKNSLSFSRSSSISVAKYCAKRVPTGCSVLAVNNGKILFHKDAVQQEPDHSASTMTETPRRSYRKLLTSVIGEKLRDECEQDNRSIFRAVTMPPSSPAPTREISLALVPMKVHRRESPEVATGWPFLRKKFLPDRKPASHDRSKMSVVQWAMRLPSRYSSASPVRSEYRTTTPDGITSASRILRDRVAVPSRSNSGKSSVVIEELDNSSDKEIPEELIALREKFPSVYSTFSHSELAKITSDFSPECIVGQGGTSQVYKGCLENGKELAVKILKYSDEVLKEFVSEIEIVSSLSHKNIISLAGFCFKDTDLLLVYEYLQRGSLEEILHGEKGCDNLFGWTERFNVALGVAHALDYLHGNGNNRPVIHRDVKSSNILISEDFEPKLSDFGLALWDTDATSQITCNDVAGTFGYLAPEYFMHGKVNDKIDVYAFGVVLLELISGKKPLCTGCPKGQESLVMWANSIIQGGKLTQLVDPNLPTEDHANKVERMTLAASLCIRPTPQRRPHIAVVLKLLNGDNGILKWARSEVGLSYESDGDEPVVTPPENNRNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLRGRWSRSSSFD >KN538683.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538683.1:335856:336521:1 gene:KN538683.1_FG028 transcript:KN538683.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLASPRSLQLPVPLLLFLLVAAAIGGTTGNYDPKALCSKTTDMASCLKVFPTLPDKVAKAQDNQEFYTQMELYCGFKIYEALSLAESMIATTTAADPKISAPFFPQWKRDEAMTTKTPPGKCLLSCNKTIGEVDDILTCGNTYMEDRPPIIHHNLTVLFHGGHPPPLCKSGCPDGSSSEGEALLATKFNYIWSLLDLMEAVLPEYLSKTATSAKRT >KN538683.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538683.1:436674:437797:-1 gene:KN538683.1_FG030 transcript:KN538683.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MRVELGHEVGYSVPLDDRSSDANTVVKYTTHGALLRELAADPLLTRYGAVVVDDAHDGMALTGAVLSCVKAAAARRPDLRVVVCLDNYSTFCKDAIDGFFSRSGMDVKELWFRTYTGLIYFQYLPEPVTDYLGAAVDAVCRIHSTEPPGDVLVFLPGCTYVEAAEHALNGRALPGLATCCLHDGLPMHRIHDVLRPAADGKRKVVLATDVADCAVFVDGIKEEAKGFPYAWDPANKMADVNALAGVILTLKALGIVGAGGDDDVVASFDFFEPPHPESIHWAVRTLKAAGALSQDGKVTETGRRIAREISGRYY >KN538683.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538683.1:440231:451352:-1 gene:KN538683.1_FG032 transcript:KN538683.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASDGKGSASRGKEERANLEADIRVFMVAHAYVRTTFTTMAYLAFTWSTVVLLGGFVSSLPKKDFQCLTVITVVEAISIFNDLEADGRLKIDIWKIRKPQITGAGVRQGRPSGRFRILTVGVVRPLIIVQNMFSAYGPVISIGLSLWRLIVRDYATTHREEASLANLTPALDFFYFLVLFQGYLYQMLISIFLVKEDTFISQICEKCKFPQEWGKRSAAAYVQDIREKCMRDPGLASGRTLLSYAVGLLDSTSDEEYLCGVRILGILTKDGEEDATSIILRSRPKIQRLLDTLGWRSCPKSAGENAEIRELAARIVADLAGGVQLSQFPGAIRSVSSLLETTGQPYWKHNHQHELSSAERRLKKCIKLLNLITMRKKDTEHAGVVVACNELILHGFRILEGLACDAHNCRDICGDPSLLAKITAPLYSSTLIHDIGKSAAWAAVVNESLRVVHRLIHVAPGRAVRRLRRGIFSNRQALTNLESFLDLAGNEPLTMRAMEILTQLVLDSSINIMTVITMETRGKLVRKQLQIFLAVADGWGEELKSTAGETLSMLSKNESTSEFIVREQDDTVDRLTQMLDTKEKNKYRIISAKILENVCIHCNEHVKQTLLRKVLEIHRKRTSEASERTNSVPGGNAEISEISLQGDDLEMQRQLHNGHRVNDNASNQEANEDEESDMKELQEALLSLTLVLLGEFNGAESSALMIPENDPAADGAFLEMLKDIVDNCQSQLTPISLSIVKLCGQISESVMRGYRCTNDQKKEFVESLSKASETMANLETCVLFAGNDCGMEKITRPLLSDLEEELKDLVA >KN538683.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538683.1:422924:424267:-1 gene:KN538683.1_FG033 transcript:KN538683.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPEVEEDAAPAVVPMMYSAPSSPQSSPPPPNDGADADDERDGGVVGEGVTVAMMRSVKKLEAEVAETRQEVAQLKKRGKVQFPNMFPPKTENAQPVNTSGLLWIGRFSKELCKN >KN538683.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538683.1:383327:392324:1 gene:KN538683.1_FG034 transcript:KN538683.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVADLLMEGVHYTVELKSNNVDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESDMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRINNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNTVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNVDPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHECGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >KN538683.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538683.1:328634:330480:1 gene:KN538683.1_FG035 transcript:KN538683.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSNMMMIYGFNLLIVAAAVRSSSSSIHGDATVVSTDDRWVRRRLLLIDGMVDGKRMRKTVVVAKDGTANFTTITQALGAAPPRGRFGIFVKAGVYEETVNITRPNVVLWGEGIGKTVITGSRSCPIENNKTKTDMMPWTATVTVQGHGFIAQDVTIENKAGPTGTPAVALRCDSNRSLIHRCRIDGYQDTLWAQNNLQVYLRCDIAGTIDFVYGNAKAIFQYCRLLVRNPGNGKHNAITAQGRNDPTSEESGFVFQGCNITAMEGESLAGVDTYLGRPWKNHSRVVFMGCFMSDIINPDGWVHWNKATPVEETTRTVEYLEYGNWGAGAETRHRVKWKGVRVITAAEANRFTVDHFINGNQWVPNLVNGEKINYTHGLI >KN538683.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538683.1:347773:348377:-1 gene:KN538683.1_FG037 transcript:KN538683.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMQCKQGASSTSSDHTKGIGIVQLLRGKNFLITGATGFLVKVLLDPILRTNPDVGKIYVLIKAKDNEAAMKRLKNEVYVPFHYESRLYGQPLRVEC >KN538683.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538683.1:381231:382438:-1 gene:KN538683.1_FG038 transcript:KN538683.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTVAAAIPMALPVTTRDCSPVLSGEEQVISSSSSPKPPLMLPQAPSGSGSGGVESGDMGDENEQLRREDVQLARELSQMRKLCNNILPLMSKPVLGSLAHDGRHARP >KN538683.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538683.1:403014:404894:1 gene:KN538683.1_FG039 transcript:KN538683.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MWPILVDKSYLHFLDVFVEPSKVLLNQIRGKAVVFTGHSLGGAIAALVALHYLCTSSSSSAFAPAPPVLCVTFGSPLLGNQALSRAILRERWAGNFCHVVSQHDVVPRLLFCPLNVIPVHIVVGMQLHQLPVRARRAAGVVATVTARMADTNQESLRQLIQEHAGEAAIEQKLAAPEIPSGSPYRPFGAYVLCSPDGAACVDNPTAAVQMLYATFAARRAPETGAVPPEAAHSCYGDLVLSMPHHLLLKRRLGATVTAPAASNYDVGISIALEASGITGEATEAAPARQWLKTSKRVGRSPSLNCASLATRLGRITPCRAQIEWYKALFDANTGYYDAFKQRLSPKKFSKANMYRIKLAQFWDGVLSMLDTSQLPYDFHRRAKWVNAAHFYQLLVEPLDIADYHRNNLHRTRGSYITHGRERRYELFDKWWKQKGCTDPSTGDTSATTTARRSKFAGLTQDPCFWARVEEAREQTESAKSERDMTLLARMLEDLHKFERHSSELVESKEVSIDVVAPQSSYSLWVKEWNELKLREEVRTILFQF >KN538683.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538683.1:415542:416953:1 gene:KN538683.1_FG040 transcript:KN538683.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFAFVIYYTSTLLAECYRSGDPCTGKRNYTYMDAVRANLGGSKVRLCGVIQYANLFGVAIGYTIAASISMLAIKRADCFHEKGHKNPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAIMSFTYSTIGLSLGIAQTVANGGFMGSLTGISVGAGVTSMQKDTIKAPPPSEAKVMKRATMVSVATTTVFYMLCGCMGYAAFGDKSPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFVQPIFAFVERWAAARWPDGGFISRELRVGPFSLSVFRLTWRTAFVCATTVVSMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIAQRGVRRGSARWLCLKVLSAALLVVSVAAAAGSIADVVDALKVYRPFSG >KN538683.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538683.1:345471:346031:-1 gene:KN538683.1_FG041 transcript:KN538683.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLVGLQVVGGWVAAQEAGDAPASITGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLRAIANHTTEAKAMATKLLATMKGKGVPPVCLQQCTASVDTLSNALAAFFSASADVNKKYRDLDGFLVGFLKQPPICMSACPIRSCDMEEVSIADKFHQAWKMLGVAHDLITQILGTKS >KN538683.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538683.1:354487:356510:1 gene:KN538683.1_FG043 transcript:KN538683.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVSLVSPSHASRLGDGRVLVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNT >KN538683.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538683.1:461086:467426:-1 gene:KN538683.1_FG044 transcript:KN538683.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGADGGEAAVLREKRAMLEVIIKFNLTVQVYVRMAVTVLAYLTFTWSTAVLLGGYVGSLQKKDFQCLTVITVIEATIIFNELESHGNVKLDIRNVIEGAVHIRTGVFEPFYPRVPYMSMERRAVFLPFAAVAVVPVYVIINILTLFYSFGPFICIGLSSWRLRFRDYGASSGGASLANLTTALNFFYILALCQGVLYYFLIILMESENDFINSFYKLFKLLGERVSKLSEGRISKLSEERDMRSIEKYLQDTRKKCAREPVLADRRSLLSFSVELLDSELQEDYLSGARMLDILINNGEDARTLILRSRTKVQRLLDTLGQRSGDDDDVEIRGLVARIVADLADGIRLAHFPGAIWSVSSLLETTGHHALWNNINHKHELSRAESQRIQQERDRRRAQMMSLFPECLKPLIQKMSRIVERTSRITEQDVQQYLREKILRRKPETGDDGVQAQQLNKQVDAAGDRGGCNQLIVQGLSILEKLAHDPQNCREICAAPGLLAKIMAPLFSSTMIQDIGRNESWAKVVGGSLRAVCRLIHVVPPGRAGRRLRREISTNKRAVSNLEGILDLGSQQLLQMAAIEILTELAVDRSINISSETRENLVRKQLQIFLAEVTVPATSAIKEDKKNAIKTMAGEMLLSILSKSEVIEILSKPKREASEITTSAPGDNEANRGNSSHGDDVEKQCTEQTAGQGQKDQKANDHKADMKELHQALLSLTLVIHDVLFAAECSTPVMHDELFAAETSVPVIRDAFVDKLKAIVDDNCEETTPVSLRIVKLCCQIAISRNRYATGEQKEEFLESVSKASKAMGNLESCMIFAGTDSGMEKIARPLLSDLEEKLSELVA >KN544185.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544185.1:117:3344:1 gene:KN544185.1_FG001 transcript:KN544185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit epsilon [Source:Projected from Arabidopsis thaliana (AT1G24510) UniProtKB/Swiss-Prot;Acc:O04450] NDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYELASRIAFDHLEHISHKFEFSATNIEPLVQTCMTTLSSKIVNRCKRTLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEQCANSRAVTIFIRGDRYPGVEQYAIRSFADALDAIPLALAENSGLSPIDTLTAVKSQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >AMDW01032603.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032603.1:96:209:1 gene:AMDW01032603.1_FG001 transcript:AMDW01032603.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DYEVLNHQLLQTLMEKVRAIEGNGSGGEHMYTFRQGN >AMDW01038640.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038640.1:106:304:-1 gene:AMDW01038640.1_FG001 transcript:AMDW01038640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAANWERLVRAALRGERLAGAFGVPVTGIAGNVPSSLGNNVHIDEVLRAADEIQDEDPTVARI >AMDW01035606.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035606.1:26:502:-1 gene:AMDW01035606.1_FG001 transcript:AMDW01035606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSADSLTVKRSVYMGSCGNSRSSEYDDPASLEKMEELVLPLKLMPLHTNGWLYDMRLSSPTSTCVINSSSGSFDPIYRAWTKKYPSALNAFDHIVAYGKGKKIALFLDYDGTLSPIVDEPDNAIISDQ >KN542236.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542236.1:7646:10831:1 gene:KN542236.1_FG001 transcript:KN542236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEERVAEAMKGLLVEYSQQSARLAVQEVIPPGGVPTNYAIHEALDFDPNVFFADVTDGEQDEPVLLSSTSTLLDVDSSLSLAADDAASSAQAAFDVASSSAQAAINVPSSSVLAIVDVPSSLAQAAIDAPSSSTQVATDVASSSTQGAAGNERPIVFDFDLNEPASNYE >KN542236.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542236.1:11660:16235:1 gene:KN542236.1_FG002 transcript:KN542236.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLGVDTRPTSPLNNPWSKHVYLVSYDRTKTISNAVISPVPPTLAASGAAASPPVPAIPEFTTAAAAPLPEKLVLTAHDVVMATLFPNGVFSYTAGPSHAPPPPPPPPPPPPPVASGSFFQEVIPPGGVPTNYAIHEAPDFDPNVFFADVPDAHCLWLPTMQPRRLKQPLTSHHRQLRLPSTSLPRRFWPSSTSPPHWLRLPSTLPPRRLRLPPMLHPRRPKLNAMISQVPSTLAASGATAPPQVPATTELAIAAATTLPEKPVLTAHEVVMATLFPNGVFSYIAGPSHVVIPPGGVPTNYAVHEALDFDPNIFFADVPDVSSTLTASAVAGRPPASMTRELETAAATPLPEKPVLIAHEVVMATLFPNGVFSYTAGPSHVPPLPPPPAASDSFFQAMIPPGSVPTNYAVHEAPDFNPNIFFADVPDGEQGESVLLSSMSTLLDVDTSSSLLTMHPHRLR >KN538907.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538907.1:69705:75446:-1 gene:KN538907.1_FG001 transcript:KN538907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAGGEVKTSISGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >KN538907.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538907.1:43118:47201:-1 gene:KN538907.1_FG002 transcript:KN538907.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASLSPSSSGDEEEEDEGVEGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVHTQMLDKFFWLVMAGSFKCCDKKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYNGIGFGPVREICRSILIGLDYLHRELGIIHSDLKLENILLISTIDPSKDPIRSGLKPNLERPEGNPNGEVMLNPIEKKLKMRARRVLAKLAEKKKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYSDGKNYGTLNISDAKNASVACSPGTTASSDSKSIDVTRNIGDKPDSYAERADAKCNTSKKSIINSNSENSDVQPNTASVGNRNSKIDDVNSNTGSITNKDSKNTVIKPSIGSFANRNAETVDVKPNIGSITSRGDSSSDTKSNIGSVASKDAKTIDAKPSTSSITSQDSKIGDGRSNIDGIANRDAKTTDVKHNIRSVVNTYLRNFDVKHNTGNIVDGGVKHSDLKPGTVSAANVDSKSISAKPSTGSFENSDAKSISAKTSTGSFENSDARNIMKANTGIVANNDVKDTDVQTNTESVTSGDDLNDIDRKPNIGRVAASIQRLESSIGKVQSGKYR >KN538907.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538907.1:106055:113681:-1 gene:KN538907.1_FG003 transcript:KN538907.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKEYITDHINPKSPTKRCHIPNMPYIQEGEEFKGLTTTTPGAASSQFPARPGFGAAGEECLVKANHFFVGLKNDNFHHYDVAISPDPVLKGLFRTIISKLLPFRSRELEVELSGSRKFKVAIRHVAPVSLQDLWMVMAGCPAGIPSQALQLLDIVLRDMVLAERNDMGYVAFGRSYFSPGLGSRELDKGIFAWKGFYQSCRVTQQGPSLNIDMSSTAFIEPGRVLNFVEKAIGRRITNAITAGYFLNNYGNELMRTLKGVKVEVTHRGNLRKKYRIAGFTEQSADVQTFTSSDGIKTVKEYFNKKYNLKLAFGYLPCLQVGSKERPNYLPMELCNIVPGQRYKNRLSPTQVSNLINITNDRPCDRESSIRQTVSSNQYNSTERADEFGIEVDSYPTTLKARVLKAPMLKYHDSGRVRVCTPEDGAWNMKDRKVVNGATIKSWACVNLCEGLDNRVVEAFCLQLVRTSRITGLDFANVSLPILKADPHNVKTDLRMRCQEACSWSRDNKIDLLLVVMTDDKNNASLYGDVKRICETEIGVLSQCCRAKQVYKERNVQYCANVAIKINAKAGGRNSVFLNVEASLPVVSKSPTIIFGADVTHPGSFDESTPSIASVVASADWPEVTKYNSVVRMQASRKEIIQDLDSIVRELLNAFKRDSKMEPKQLIFYRDGVSEGQFQQVVESEIPEIEKAWKSLYAGKPRITFIVVQKRHHTRLFPNNYNDPRGMDGTGNVRPGTVVDRVICHPREFDFFLCSHAGIKGTSRPSHYHVLRDDNNFTADQLQSVTNNLCYIYTSCTRSVSIPPPVYYAHKLAFRARFYLTQVPVAGGDPGAGKFQWVLPEIKEEVKKSMFFC >KN538907.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538907.1:121505:125070:-1 gene:KN538907.1_FG004 transcript:KN538907.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTGPPLSKHITTSKPDLNYVIYLNGSETSDLIEVHNGSGVRLPWKLEEFIAETPYIRDSFVTIGSKVSTTFVVNADSGEIIYKHSLPVALNEVGGPLVEEIPSKLDAARSGTSANIIVVVRTDYSISASDLGEHLFNWTRTSFTANYYARYGHQDMLAQSSCLRGNIPCIRTEGPPIKLYLPDSSSDNAIVLRPVNEVSAVDALEPLLPPKKLPQPAGESNVALDSAQNQTADIALGHFVPADTELTNSVTKFSYRWLFPTFLMLLIMACLVKLADASKYCRQFVIRFLKPFMRDEKLMDPRGKSEGTSKRRKARKKDGLINSTQIFSASDKEGNGTGGSTEAQSNKAHDSTNVELPNGLNGRQIGKLCVYSKEIGKGSNGTVVFEGSYGGREVAVKRLLRSHNDIASKEIENLIASDQDPNIVRMYGFEQDNDFVYISLERCRCSLADLIQLHSVPPFSNTKGTDIELWRQDGLPSAQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGPLRAKLSDMGISKRLQEDMTSVSHHGTGFGSSGWQAPEQLRHGRQTRAIDLFSLGCLIFYCITKGKHPFGEYYERDMKIINNQFDLFIVDHIPEAVHLISQLLDPDPEKRPTAEYVMHHPFFWSPELCLSFLRDTSDRIEKTSETDLIDALEGINVEAFGKNWGEKLDAALLADMGRYRKYSFESTRDLLRLIRNKSGHYREFSDDLKFSCIQLNYGSNKRCFLKNIIKFEILLTDCVHAIIIPDANIFMLIKFQELLGSLPEGFVQYFSSRFPKLLIKVYEVMSEHCKDEEAFSKYFLGSSA >AMDW01019931.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019931.1:15:287:1 gene:AMDW01019931.1_FG001 transcript:AMDW01019931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVGKTKPLLELAGSKERLTLWKADLGEEGSFDAAIRGCTGVFHVATPMDFESEDPEKEVIKPTVEGMLSIMRACRDAGTVKRIVFTSSAGT >KN538907.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538907.1:29535:40780:-1 gene:KN538907.1_FG005 transcript:KN538907.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQSPTPPPPDQEAEAAVPVDEQPPPPVEEEQAEAAREESAAPVEQDAAAAEGGGSDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAEESELVKGLKEQVGRLRKEVEEHKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSMDAERQQLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIADLTAKHQKQLESLEAQLTEVSAERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERKNWETTCESLRTKLEASESACRISEIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEISAALAERDKAIHDLQIAQSKYGEEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNLASVEESWRLKCESVKAQSNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAAVSINGNQNPGPVKQDGMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPEEWQPNPLLQSPSFSPLTAGYHMSRHTCERANGAHCPRQYYLLMSVVLLSPDIEN >KN538907.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538907.1:115786:118617:-1 gene:KN538907.1_FG006 transcript:KN538907.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MEALLRWAAELGVSDSPSAPSPSSCLARSLLIADFPDAGGALLSLSPQQWSALLMVVFGQKDWMDLAILGRVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVENELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNSTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKCIVHCKSLVHELSLQQNQQ >KN538907.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538907.1:3647:4090:1 gene:KN538907.1_FG007 transcript:KN538907.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPVPHRVPSRAPIDDDEEDKAKRKKPEEVEGDKAKRSKTGLIQRRFKVSQAMLDDLMAHPLKPFVGVCLDDVPEGEQRDRLAADMEAVRKVLAELMDVVAQYKATGEAYMVVEAPEDDDDLAQVDDVKATLEMADRMMRQLLEQ >KN538907.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538907.1:53141:55359:1 gene:KN538907.1_FG008 transcript:KN538907.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKTVGRFFRRYPSIFGSLVFLVILYKFFFGWFTFLLATSPIFLFAGFFLGIILAYGEPNIPENDHVYKKIEKAYNRNVRDNSKSAGGATLPSIASSEERLAKHNNTEKVTNGRSHIGAFSSESGSGESDGSETDTHPMLHTFHHLRSATSSSRSSQDGDSNDSSIEDGNENQEGKDDNEHGEKNGKVVAWTADDQKNILNIGCLEIERNQRLENLIARRRARKYIDRNLIDFGSSDSLPKIEELSKFNVQIPAIFAPRKNPFDLPYNEDNFPESAPSAPLNMLNKFDLPFDEANESSSTGGANSNHVDSTTVFSQSQKDTMFRRHESFTPGAPFLSDFWQDTQPSRFRPYFVTEKMANEGISVPNVEGEASEKSSVEDSDSTSSVTDQENHKLVLEDSPNQNLGPQMSQMDEQPHRSQNAREVPLALDIEPPLLISDSSDDDISLPGGNINDREEAQENGNLNLSQNASLEDPSVIEYPHQMEMISNEFHQLSPHSNDNDSLSSSTEATEPPELNSIELPAIEVEFINEIPIADPIYDISPSRSEKPASIGSTIDAVLLQEGNTHTSDVEMSMDGEDSVSRIEASEIAAPSLASVQESKPREKETSEVKEQDNSGHDGGNQDSVSHANSKVSAISSKPSISGLSNRKFYDYDLYIHTLAIFYHILIGKTILSWVL >KN538907.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538907.1:133921:140252:-1 gene:KN538907.1_FG009 transcript:KN538907.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MRSLKKEVESAVQALEALGGLPDPCKTNSSLIEGSWQLIFTTRPGSASPIQRTFVGVDSFKIFQEVYLRTDDPRVINVVKFSESIGELKVEVESELHYLGLVCLLPSICLRIVLVGTLRSSLTRQLHLTSTKILHCITSMDKKNLTQFQAEATIEDGKRILFRFDRAAFNFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSQTGNIRISRGNKGTTFVLQKSADQRQLLLSAISAGTGVKEYFDKVVFTTYLLAKKAIDDLTSSRQGTEADLNTLAGEWQLLWSSKTEDESWSSVASAGLKGVQIIKEDGQLKNLVRPFPGVSLNASGNICKNEDGNNFNLSINEGAIQAGGLQFPLDARGEFVMEILYIDNKIRISDINQHKLVHGSVKKSLDFITENPWIQ >KN538907.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538907.1:61705:65894:1 gene:KN538907.1_FG010 transcript:KN538907.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGVFPDEVSMNTFVFILKDVGRYSDVATLFDNWCNGRFEVGFIDLDYNALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTVFASKGDVEGVLKHYHYIGKMGLSADTVSYRIALRVLCERKMVHEAEDVIVGIMRSGTCVPEQSLPVIMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGLWEEAEHVFYSHRGVGSRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNKSGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIDLYNEMRASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLKNSGLLSDCASYNAVMACFVAKGKLKECAELVQQMQEDNILPDASTFGMIFSIMKKSQITPEEVSQLQSAYNDNRSSSRQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMPIQFTQSHMLTRNSECPWLHAKCKVLTAAKLLTSNYDSTSSSYQKATKHPGEHFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGPGAYKGRNEEHKCCQ >KN538894.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538894.1:72977:73123:1 gene:KN538894.1_FG001 transcript:KN538894.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELHTVGFRSKDAAVLKQRRRMRRRKCLGEEERAAILLMALSSGVIYA >KN538894.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538894.1:144557:145632:1 gene:KN538894.1_FG002 transcript:KN538894.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRTEAKKQQKQEVVVGVVYYLCRQDGQLDHPHFVHVHVPSDSDHPRLHLRDFIARLSDLRGAAMPAAYSWSAKTTYRRNAGYVWQDLADDDLIPAPSSNHQEYVLKGSPLLHHKSTPPQAQHRRCMTSFDLADYHRTTTNPVPAVPPAAEQSLIGIDEISPPPSSSSPDDSTAQLVTLNLKQQQQQQEEEQDGCTPQQQAAGRMRASAMLVKLISCARGASSIKGLQPLSQRRRHNNHRDYFSGSLLDTNTTTHPIDLTLRRSSSCNAHRGESSRLGVVDQDDGVPRRQQLHAKSTAARMDSPETDHIIEEGISKLS >KN538894.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538894.1:55416:56153:1 gene:KN538894.1_FG003 transcript:KN538894.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSKTLRKKGSWRAEEDALLTRLVAQHGPHRWSIISGAIPGRSGKSCRLRWCNQLNPAVKHRPFTPQEDALLAAAHARHGNKWATIARLLPGRTDNSVKNHWNSNLRRCLRRQAKFKSSKDPDLLPDPIPAHYDDDEPADRPVTPPAIIQAQAQETVPSLTLSLSLSLPGAAAAEVEVAPPPPPRALAAASEIQYDGSSRSSSASRVMLQVMRQMVREEVQRHTAQLAYSLMALATCSRRPPN >KN538894.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538894.1:8273:13316:1 gene:KN538894.1_FG004 transcript:KN538894.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPVAAAAAALQVQFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRPHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGFSMISDAEEKGLITPGKLSPCDLPCMTYYFMLYAQSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEVTSKSSDPKLFGADLISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >KN538894.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538894.1:113928:119709:1 gene:KN538894.1_FG005 transcript:KN538894.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPPTHNPSAGPGEEEEDSPPPEKRLASLSLRTSHLPPDFEIHDDDDDDDEGYLTAVSRVGSISTSASASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAADPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYVHFFDENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHSQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKAPGEWIKVPYSWLYSNSIILSILILQSQAIKRNASINAHLLADRLY >KN538894.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538894.1:146676:146960:1 gene:KN538894.1_FG006 transcript:KN538894.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEKRFWVASFLLVWAAALQGHMMWMQRQDAFKQKFPSNSNSNHDDDLAGADS >KN538894.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538894.1:436:1022:-1 gene:KN538894.1_FG007 transcript:KN538894.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPGLAAGELVDERSWTDMEFCKARGKWYPVSKTLAERAAWEYAARWPGFELATILPSTCLGPLLQPTLNASSAAPTVSGRYLCTNGIYQFSDFARLAARICPAYAHAIHRFEEGTTQPWLVPRDARDAARRLLDLGLVLTPLEEAIKDAEKSLTDKCFLP >KN538894.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538894.1:122475:123309:1 gene:KN538894.1_FG008 transcript:KN538894.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >KN540278.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540278.1:33319:35100:1 gene:KN540278.1_FG001 transcript:KN540278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPAPSSLRVAAAALLGMLMMMSSAPEMKVEAAGGLSVGFYAESCPKAEAIVRDTVTKAFEKAPGTPADLIRLFFHDCFVREDEVLSNVPHPEFGAKDLLKNFTAKGFTAEEMVTLSGAHSIGTSHCSSFTNRLYKYYGTYGTDPSMPAAYAADMKSKCPPETAAQQDATMVQLDDVTPFKMDNQYYRNVLAGNVTFASDVALLDTPETAALVRLYAAGDPAAWLARFAAALVKVSKLDVLTGGEGEIRLNCSRIN >KN538894.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538894.1:80616:83479:1 gene:KN538894.1_FG009 transcript:KN538894.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/Swiss-Prot;Acc:Q9LVC8] MALRSAGGDVAFRALERINSVSHRAMQGTLTDIEEETQIYVCDINPNMLNVGKKRASERGYKEGHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >KN538894.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538894.1:103276:110581:1 gene:KN538894.1_FG010 transcript:KN538894.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLMERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRRLI >KN538894.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538894.1:148226:149528:1 gene:KN538894.1_FG011 transcript:KN538894.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQVATTTSSSTREGKAPALNERILSSMSKRSVAAHPWHDLEIGPEAPTIFNCVIEIPRGSKVKYELDKKTGLVKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDCILLIYCS >KN538894.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538894.1:51913:54297:-1 gene:KN538894.1_FG012 transcript:KN538894.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MPPSFHFHWRHHADRRLSSTTACLHPPPLQPPRESASASLLLQLQDHIASGHLSRAHHLFDQIPSPDVRTYNDLIRAYSSSSSPTAAADGLHLYRRMLRHRVAPNNYTFPFALKACSALADHHCGRAIHRHAIHAGLQADLFVSTALLDMYVKCACLPDAAHIFATMPARDLVAWNAMLAGYAHHGMYHHAVAHLHSMQMQMHRLRPNASTLVALLPLLAQQGALAQGTSVHAYCIRACLHPNRNSNSKLTDGVLLGTALLDMYAKCGSLLYARRVFDAMPSRNEVTWSALIGGFVLCSRMTQAFLLFKAMLAQGLCFLSPTSIASALRACASLDHLRMGEQLHALLAKSGVHADLTAGNSLLSMYAKAGFIDQAIALFDEMAVKDTVSYSALVSGYVQNGRAEEAFLVFKKMQACNVEPDAATMVSLIPACSHLAALQHGRCSHGSVIIRGLASETSICNALIDMYAKCGRIDLSRQVFNMMPSRDIVSWNTMIAGYGIHGLGKEATALFLEMNNLGFPPDGVTFICLLSACSHSGLVIEGKHWFHVMGHRYGLTPRMEHYICMVDLLSRGGFLDEAYEFIQSMPLRADVRVWVALLGACRVYKNIDLGKKVSRMIQELGPEGTGNFILLSNIYSAAGRFDEAAEVRIIQKVQGFKKSPGCSWIEINGSLHAFVGGDQSHPQSPEIYRELDNILVGIKKLGYQPDTSFVLQDLEEEEKEKALICHSEKLAIAYGILSLSEDKTIVVTKNLRVCGDCHTVIKHISLLKRRAIIVRDANRFHHFKNGQCSCGDFW >KN538894.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538894.1:15606:19095:-1 gene:KN538894.1_FG013 transcript:KN538894.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLSTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTGVIAIVVANPTDLVKVRLQADGKATTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDATYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQGKNGKKKEVLVSSL >KN540278.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540278.1:11239:15370:-1 gene:KN540278.1_FG002 transcript:KN540278.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSAAAAATTSRVAGGNGLLHTIPSKNSLAHCPSTCGDIGFSYPFGIGHGCFRQGFELICDNTTHPPTLLLANTTTKVIGQSGRTLEVIEIPAIAFNIAMNNSSIIDYIRYWVSPANGFTIVNESTLFVIGCGIEACLFDLDTNETMGSCITMCSDNLGIMEMHDGDCTGIGCCHIIVRRELRRFWLKLDHPDDRTTPRSYRVLSRAQVFILPTINSYQFNTNDLVSSSWMNTSSIGGTLLRGAIMDQETCPGASESKATYACTTNTNCFNATNGGYYCSCRDDVTDGNPYVNLGCSDDPGITIGIGCGLGSIILALGAIVLINKWKRGVQKRIRRAHFKKNQGLLLEQLILDEKAQDKTKIFSLDELEKATNYFDATRVLGSGGHGTVYKGILSNQCIVAIKMSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDILHSDVSVKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIYHRDVKSSNILLDDNFTTKVSDFGASRTMSLDQTHVMTNVQGTFGYLDPEYYYTGQLTAKSDVYSFGVILVELLVRKKSIFINDQGTKQSLAHYFVEGHQQGVVMEILDSQVMEEANREEIDDVVSIAESCLKTKGEERPTMKEVEMRLQFVRTTRQRKCQQFPVTEGEIEHFPFPNTSNSSDGRFSHSTGLTCDSVSGSYSLEQQFSSSINLPR >KN538894.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538894.1:63430:64113:-1 gene:KN538894.1_FG014 transcript:KN538894.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLALLGITVFGLAVTAGGGGTQVAGRPYREFRLADYSPWLQRHVRAERYWRPALACVLAARACDALAAWTPLDYLRNDLTPVQSGCCKPPTSCTYDGAQQQQQQPDCFLWSNAPGVLCYGCDSCKAGVLEQLRRHWHNVTILNVVLLLLLILFYSCACCAFRNTATATSSKTIFHLHPRWEYRWSRWWRGRR >KN540278.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540278.1:35641:36075:1 gene:KN540278.1_FG003 transcript:KN540278.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSGAHSIGTSHCSSFTNRLYKYYGTYGTDPSMPAAYAADMKSRCPPETAAQQDATMVQLDDVTPFKMDNQYYRNVLAGNVTFASDVALLDTPETAALVRLYAAGDPAAWLARFAAALVKVSKLDVLTGGEGEIRLNCSRIN >KN538894.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538894.1:75664:76071:-1 gene:KN538894.1_FG015 transcript:KN538894.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDADKSGGGGVGEKKRGSFDLAGILEKVVCLHEGHGEVPASMPPGVLVPEQSIPGAADGELVVGLEAGAHNPHDRLPNHVALAPGNLGSKKDPKRRGLTKKMEEAILYSYLSRLQEAGAWWSRVRPQERRRN >KN540278.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540278.1:51769:54423:1 gene:KN540278.1_FG004 transcript:KN540278.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEITDRSFSTPFIYSTYVMVFQFHSLHGFVSSRICRALHAVVMKILKEYQTTGIHTQGTIQQMVAQVLMNCNWSAGSDAYRTADPTPRGPQPVKVQPIAVPIIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGRNAPKFDQIFLPLPRSIADLGLGMVVQNKCVLFAY >KN538894.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538894.1:100291:101421:1 gene:KN538894.1_FG016 transcript:KN538894.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSSDSAASAEAMVMDLSPKRPAKSYGGEGGSYFDWCPSELPMLGAASIGAAKLSLAAGGLALPFYSDSAKVAYVLQGKGTCAVLLPETPSEKILPIKEGDALALPFGVVTWWHNLHAATTELVVLFLGDTSKGHTAGRFTNMQLTGSTGIFTGFSTEFVARAWDLPQDAATSLVSTQPGAGIVKLKDGFRMPEGCDKDREGMVLNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPAVLQASFNTTPEMENLFRSKRLDSEIFFAPNSMI >KN538894.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538894.1:139131:140154:-1 gene:KN538894.1_FG017 transcript:KN538894.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGKKQQGRSSSMHSFSSSSSSSSSSSCSVVQLGHHQRPQGEDPLIGIKAAAAGGGGGGIMRKGPWTEQEDVQLVWFVRLLGERRWDFLAKVSGLRGGGNLQQQPQPAPAEDESSASGSLQAQHHEDQHQLFLHPLWNDDIIVDVDCWSSSTNVVAPPPMPASPLWDIDDAFFSDYSLPLWG >KN538894.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538894.1:85707:96297:-1 gene:KN538894.1_FG018 transcript:KN538894.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLKDLNKRLLGLSCSSAASTSIVLSGHSPPHLRDPARTSNSSKKKKAKANKSKQQQQLVSPASSSRFLLNSSRMQPSADELPAPFVIPVAVAAAAEENENEIVAAAVEGGGGEEEKRESSSPVEVVVLRVSLHCKGCAGKVKKHISKMEGVTSLDIDIATKKGGDCSAFLRWLRSKSGTHISSVLSLGTSSAFGRSLFASEPIQEGDCIMQVPYHVQLTLDKLPQKFNTLLDHAVGDTSKLAALLIMEQHLGNVLWDLNELHAVQNSSIYDEAIEHKEQAKKEFLALKPALDHFPHLFGEVKLGDFMHASALDFLNHDGVFGSVLIYDEQKDVCEIIADRNYAVGEQVMIRYGKYSNATLALNFGFTLARNIYDQPDSPFRLDTKEFLLMSNGMITTSLELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRVLERKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSKNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDCPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEESASDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQTSEHGVGGTLAVADGKEEEEEEEENDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAAAAVDDAGKRVALQEKGQGGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKKNQECMHEIRSLRELLSSGSSEAGAAAGGGGGDSSGGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAAATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALDQQQGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >KN540278.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540278.1:8160:9667:1 gene:KN540278.1_FG005 transcript:KN540278.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAACRRPAVMLMAFAMAAAVTMSSVPPASGTTTLQYDFYSSSCPKAEETVRNVVEPMIFNDPTMGAAFIRLFFHDCFVR >KN540278.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540278.1:44235:45425:1 gene:KN540278.1_FG006 transcript:KN540278.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYRNESGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGRIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >KN538894.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538894.1:57006:61084:-1 gene:KN538894.1_FG019 transcript:KN538894.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRWRVLLPLLLLHSSPVFAQEGQDNDPSTLFKRASEMMNLRKYDGSLGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLEYINKIVLVFSPDCLKAKLLKAKALLALEDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQEMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >KN540278.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540278.1:2554:3697:1 gene:KN540278.1_FG007 transcript:KN540278.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADRELKVGYYEKTCKDVEKIVNSIVVNSIKDNRGKGAGLVRLLFHDCFVREARGHVVEYAKNATLWNVDFGDALVKLSKLPMPAGSKGEIRAKCSAVNGYHH >KN538894.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538894.1:32723:33661:-1 gene:KN538894.1_FG020 transcript:KN538894.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYIYKIDPPSEIARFTRSKPSEAIDMMNDKEEETTLRMARQELEDLYLGLGVPDESVDLTFKDLPSASAAGEKQNDVLAVRSSTNIFAYKLQQDEEEHRPSAEAPAGLRLSPTTTTTSSTVVADRRVGANYNDYKRRRPGIPHSNICALCNSYIYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCIDCLGRRYSHRYIHRAGTNLTPSAACCTCAFWGYSYYPDAQAVKLQELVWAEKGPAPRRRPRQSSSASISTSTSTSYTATSSASINMTTMISLNNGFHSTRPASTTPTSSSFLVSSSNPHAFPL >KN540278.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540278.1:19851:20150:1 gene:KN540278.1_FG008 transcript:KN540278.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRDGGARRRSCSVLVAAAAIFFGYAATAAGLQVGYYNNSCPGAEDLIQTIVHGAVRNDAGNGPGLIRLFFHDCFVRVSDVALQLIIWLNSLGRIG >KN538894.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538894.1:66610:70130:1 gene:KN538894.1_FG021 transcript:KN538894.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCCCLLRARRLFFFFAVVVVVLFSSVSVAQIPGFQSIDCGGTGNYTDEVGLEWTGDEAYVGGGAGTTASISSMSGQRRRAYRTVRYFPADGRKYCYRVSVKARTRYLVRASFLYGNFDGSRVFPEFDLYVGASRWLDLNGFPGSGWACSYLAEIEDDAAATARRFKLYIPGLAEVSKPTVDIGENAPGKYRVYQPGYDNISLPFVLPFAFRKTDDSARGPILNAMEIYSYIPILPASPDAVAMDALAARYQQQHSWAREGGDPCVPAPWSWLTCTSSRVIAIRLDNNMLTGPIPDLSACTNLTVIHLENNQLEGSVPSYLSGLPKLSELYLENNRLSGVIPRALLSRSIVFKYSGNKHLRVGKQEEEERNVVIGICALVGIGLLLAAALCYAYNVSVSGRKQLQGASAGGNSKSKSIVVSAEQKKKATPVGGGGIDNMMAAMAARGPLEFEVRELEEATSKFARKIGSGGFGVVYYGRLADGREIAVKVASSNESVQGKKQLANEVALLSRIHHRNLVAFLGYCWERHSSSYMLVYEYMHNGSLKEQLQSGMMSMSWLQRLQVAEDAAKGIEYLHCGCTPAIIHRDIKTSNILLDAHMRAKVSDLGLSKAKATNSTTNTITTHVRGTLGYLDPHYYVSQQLTHKSDLYSFGIILLELISGRPPILLTPGAMASLGPWAKSHYESGDIEAIVDPSLRGRYRDVHSVWKVAETAVRCIDADPRGRPSMPEVVKDIQEAIALEMPSSESERPAASFFSPGAGAAGARSSATVRSHDLVMDNLMYDSSFCDSLNLPRTPR >KN540278.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540278.1:54855:57031:-1 gene:KN540278.1_FG009 transcript:KN540278.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPPSSVSSSDLDPLLRDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKFAETKARSMEEEISKLQKYLNDKDEQLRSSTGCTEQMHFLNNCSNYVQYLHELDDLRSKLSVTQATAEASAASAKSAQSQCLSLLKELNEKDSSLKEHERRVNKLGEQLDHLQKDLEAREYSQRQLKDEVLRIETDIMDAVAKVGSNKDNELLKILSDLEKQKRTDQELKKRILKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQVGMKQPSVPYRDDKHNFWENQSFKFIASMSMLALVMLTKR >KN538894.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538894.1:126092:127058:-1 gene:KN538894.1_FG022 transcript:KN538894.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVAAPARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVECLLERFLPDSSSSGAAARVRRGANNNNGSGGMVGELNRQYGELRAMVEAHKARRERADEKIEMERAAGSMYRPYQACANLYAPLQMTD >KN538894.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538894.1:35550:47898:1 gene:KN538894.1_FG023 transcript:KN538894.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVLSPPRLRPRPTPMPRFSSLPKGLALHLKALMGPWWFSQFDPALEVAQAARQIICYHYLLDRNVGVGADGDFTLAPWRIFCADDFWIFVEHGAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRGGIEAGQVTGTVCHKSLQQPSDEHKQLFVGWVISSSLLAMATLIDILLGVKLQNCVRDNSSSENKSLSKVLSGTLSSAEFAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHISHVFNEEAMKVLSPALLGAFNEKDPSSHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMIQKDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHFVCAALGGSDQDDQICFLSADTVHKMLGSILKCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEGSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLLNILAQSVRCALFQTLELPTESTSVLCSEWMVNMLKLISLDNTKLQSFFDLLLSEGEYWPLWVKPSLQNENAPVRIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQADIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCATEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLADIQEMENFQSLQIIKSGDFADRNNKMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREQLSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLAPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >KN540278.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540278.1:26042:26266:1 gene:KN540278.1_FG010 transcript:KN540278.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAASPVPTKLKVGFYEHSCPQAEEIVRNAVRRAVARDPGLAAGLIRMHFHDCFVRVSTSRAILEEAVNGV >KN538974.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538974.1:116365:118940:-1 gene:KN538974.1_FG001 transcript:KN538974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLAALPSQLASPARRAALSRSATARPRHHSLLRAPPKGCRLVVTCNAQTAVPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDAGLDYLIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTIFSVPMNDTYQLLGVDSKDILTLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >KN538974.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538974.1:120369:131757:1 gene:KN538974.1_FG002 transcript:KN538974.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIVYGGLKYQASLLEPSRTARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRWKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPERNHTANKKFVGIAWSSHDPSLFASLSYDGRSFSLPSHILYSGQAVIAKYSLLQLPNTASRVILECHISEKAEQLGRRKHGGVRAPRDFARSAEQLGRRKHGGVRAPRDFARSGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKRFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQSEQVLSNDTERGIAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDVQFRLEDPELEGILSD >KN538974.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538974.1:90423:92887:1 gene:KN538974.1_FG003 transcript:KN538974.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSWPLSKVEEELHSIEACISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHFKTVKKVASNKEDCRIVKLLSEAREITTSLFQSTVHLLSKQIEMPKLSLISRAFQKKNLVVCNEEQLQDLCICSLERRQRSHPVVQRAAKKAQKHIRRITGGGSSKPAASEAREVAVAALETAAAKLLPKQIATMSSSSRWSQLVSKKKRDPSFEEEQLQVLELDIAGLDNGVEVLFRRLIQSRVSLLNTLTLTL >KN538974.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538974.1:47690:50132:1 gene:KN538974.1_FG004 transcript:KN538974.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYYICSHIDPLALSPIPGIALDTLFLVAIQALAVILVSKFFHLFLHRYNQPSVISQILAGIVVGGMGLRSAIVHVDVDNVEDMYGGYISAARILYMFLVGLDMDIAALRHATHRCVAFTYATVAASLLLAAVVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSIAVARIAAELKLTVTENGRLVVAAGIATNLICILGDGVLSSTTRAKGKIEGVARGDHQIRKGFLALAVAAGAFLGFDGMPTSFALGLAFPREGAAARSVADALAPPVKGIMLPFYFATIGMRMNFNSMSGATIVPGVLITLLGLFGKAIGAAAVASYLSMPLSDALRFSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTLVAGPVVAVVRRKEEEAYRTRHQAMESLGAEQELRMLACAHSAHAAPGMLSLVELLVSEPQEQPAVHVLHLFDVGEERVVRIPYHQRIRDDDDGGGRDERGGGRDAVTRMNTIVDLFSRATGIWFRQIDVRLTAVTVKPMTAISDLDTIHDDIVASALNKRAAIILLPFHKMLCHDGTLEPVDRAFHQVNVRVLRDAPCSVAVLVDRSLGGAAQVSAPDVSYSVLLLFFGGADDREAVSLASRLAEHPSIGLTVFRFVKRSTYDSVTSAKVDELDMAFQEGDVDERFLWRFYERYAVRAGLSG >KN538974.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538974.1:103517:104221:1 gene:KN538974.1_FG005 transcript:KN538974.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEDELHSLEACISSPSKTIEVISDGLRRLGDIYSSIEEIMCLPSNQICSSQQRRLLDGEMECSLQLLDLCNSMSEVFTELKVIIQDLQVHLRKGDVAVVQAKIQSFIRLVKNAKKRSKKTVKKVVSDKDCRIVKLMSEAREITTSLFESILYLLSKQITMPKSSLIPKAFQKKNLVICNEEQLQALECCIGDLEAGAGLLFRRLIQTRVTLLNILSS >KN538974.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538974.1:11854:12282:1 gene:KN538974.1_FG006 transcript:KN538974.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLARRRKLPPAAAEAEAEADGRESLLMSLSSSSSPTPKQQQRFTALELAAAEQLIHLSESSSSPRSSSFSFTSAASASSPRSVSNAPRRGGGLGEADDEQEVGGRPRRNRRLHPIAEIYAATAPIGGGRKAKAKAAGVE >KN538974.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538974.1:81175:81882:1 gene:KN538974.1_FG007 transcript:KN538974.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPISKVEEELHSIEAWISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDGAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSDKEDCRIVKLLSEAREITTSLFESTTHLLSKQIAMPKLSLISKAFQKKNPVICNEDQLQVLECCIRDLEAGAGLLFRRLVQSRVTLLNILSS >KN538974.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538974.1:8115:8513:1 gene:KN538974.1_FG008 transcript:KN538974.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEMKPAGAGGTTVGDGFFFTEADLAAADQLVQLSVSGGGCEDDGYDSSSSTTLQSVNNAEAEAAAMDDDDDDMGLDRRVRKRYRLLSELYAATLPVKENHGGGGGSGKRKKREEEIGKKKLKQQQPQPR >KN538974.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538974.1:82808:83515:1 gene:KN538974.1_FG009 transcript:KN538974.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLPSRPLSKVEEELHSVEECISSPSLTIKAISNGLRGLGDIYCSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDMCNTMSEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEDCRIVKLLREAREITTTLFESTTHLLSKQIAMPKLSLISKAFQKKIPVICNEEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSS >KN538974.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538974.1:112692:113423:1 gene:KN538974.1_FG010 transcript:KN538974.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCSNETNAEELLQSLKVTISSPSSTIEKMISGLKKLGSIYNCINEIMCLPSSQALLCQPLQRKAVEQELEGSLVVLDLCNAIQESFSGLKACIQDMQLAVKRGDDAAVQAKIQSYIRLAKKGQKQFKQISKKSSSVDQESSRVIKLLAEAREIALSMLESSSHLLLKQIALPSSSKWSLVSKTFQKRRLVCEEEQLQVLELDIVDLETGVENLFRKSIQSRVSLLNTLSL >KN538974.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538974.1:79513:80220:1 gene:KN538974.1_FG011 transcript:KN538974.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSMTIEMISDGLRRLGDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQLYIRLVKKAKKHSKKTLKKVVSDKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNTMICNDEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSL >KN538974.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538974.1:105156:105863:1 gene:KN538974.1_FG012 transcript:KN538974.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPQSKVEEELCILDACISSPSTTLDTMCEGLRRLADIYSSIEEIMCLPSNQAFSSQQRKLLDGEMECSLELLDLCNVMHENFTELKAIIQDLQVALRKGDDASVQSKIQSYCRLLKKAKKHFKKTAKKVAYEKEDCRMARLLREAREISTSMLESTLHLLSKQIEMPKQSIVSKAFNKKKAVVCEEEQLQVLECSIGDLESGAGHLFRKLVQSRVSLLNILSS >KN538974.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538974.1:101751:102458:1 gene:KN538974.1_FG013 transcript:KN538974.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEDELHSLEACISSPSKTIEVISDGLRRLGDIYSSIEEIMCLPSNQICSSQQRRLLDGEMECSLQLLDLCNSMSEVFTESKVIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKVKKHSKKTVKKVASDKEDSKMVKLLSNAREITTSLFESTLDLLSKQIAMPKLSLISKAFQKKNAVICNEEQLQVLECCIADLEAGAGLLFRRLVQTRVTLLNILGS >KN538974.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538974.1:108865:109581:1 gene:KN538974.1_FG014 transcript:KN538974.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASAPSSPRSAKTDVEEQLKSLKEIVSSPSATIETMCNGFRRLTDVYSCMDEMMCLPSFRLQQRRAVEQELERSLALLDLCNAMQESFSELKASTQEMQLAIKRGDDAAVQSKVQAYTRLTKKAQKQFKKINKKSASEDQEGCRVVKLLADAREIALSVLESTLHLLSKQIAMPSQSKWSLVSKAFQKTRVTCQEEQLQALELDIVDVESGVETLFRRLIQSRVSLLNALSL >KN538974.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538974.1:88812:89519:1 gene:KN538974.1_FG015 transcript:KN538974.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPLSRVEEELHSIEAWISSPSLTIETISDGLRSLGDIYSTIEKIMCLPSNQVCSSQQRKLLDGEMECSLELLDLCNGMNEVFTELKAIIQDLQVSLRKGDNAAVQAKIQSYIRLVKKVKKHSKKTVKKVVSDKEECKIVKLLSEAREITTSLFESTIHLLSKQIAMPKLSLISKAFQKKNSVICNEEQLLVLECCIRDLEAGAALLFRRLVQSRVTLLNNLSS >KN538974.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538974.1:75463:76116:1 gene:KN538974.1_FG016 transcript:KN538974.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPRSNEIDIEGQLQNLKAIVSSSSSTIETMSNGLKRIGNIYSCIDEIMCLPSSHVAICQPLQRKAVEQELERSLILLDFCNAMQESFSNLKQSIQDMQLIMKRGDNAAVQVKIQSYICLIKKAQKQFRKISKKSSLVDLESCRVVKLLAEAREIAILMLETSFHLLSRQLAMPSSSKWSLVSKTFKKRALFCQEEQLQVLESTHC >KN538974.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538974.1:98014:98745:1 gene:KN538974.1_FG017 transcript:KN538974.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLRSASVPSSPCSNESNVEEQLQSLKATISSPSATVKTMLDGFSRIGGVYNNIEEIMCFPSSRVVLCQSQQRKAAEQELERSLVLLDLCNAMQESISELKASIQDMQLAIKRADDATVQAKVQSLIRLTKKAQKQSKKISKKSASDDQEGCTVLKLSAEAREAAISMVESSLHLLLKQIVKPNSSRWSLVSKAFQKARIACQEEQLLAVELDISDIESGVETLFRRLIQNRVSLLNALSL >KN538974.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538974.1:67195:67902:1 gene:KN538974.1_FG018 transcript:KN538974.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQRSTSLPSMPHPNYIKIEEELHNICSGISSPSATIGMVSDALRRLSGVYNCINEIISLHSNQAHGKRLEEEMERSLEVLDLCSAMQEMFADLKMTIQELQMVLNRGDHAIVQVKAQSYIRLVKKAKHHLKKASNKSTSDEDGRLVSLLTTARGITASVLKSALELLSKQISTCNPSKWSLISKSFQKAKVSCEEVQLQALELGIVGLESGAENLFRRLIQTRASLLNTLSS >KN538974.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538974.1:114402:115010:1 gene:KN538974.1_FG019 transcript:KN538974.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEILSDGLKRLEDIYSSVEGIMCLPSNQICSSQQRKLLDGEMECSLELLDLCNAMHEVFAELKAIIQDMQVSLRKGDDAVVQAKIQSYSRLMKKAKKHFKKTVKKVTSDKEDDKMVKLLSKAREITTSLLESSMDLLSKQIATPKMSIISKAFLKKNSVVCSEEQLQVLECSIGDLEAGAGLLFRKLVQSRVTLLNILSS >KN538974.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538974.1:146917:155401:1 gene:KN538974.1_FG020 transcript:KN538974.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFEQKALLATVLGRQPAVSPREMATMLVDCGILPSNFRVEVTTPEDYLIVFRHARDREWVLEKSKQVLFQEAPISFRRWDRRLQARSSRMQFFTKMSFEGLPQHAWDDSALAQVIRELGGELVEMVSPADARVLTVFAWLKNPSRVPKILEAGRVDGTGPWRSEQGGGRPFGGANGSAGGLPGRFWGVSHMVQRVANEAGEASTLPHPAAVVENVAQVLEAGTEIVVAGHEVQDRMEAVTEALVTGIDAAVAGIEDAVVGTQESAATTAIIAAPSTGIWFSPQARQPPAPEEEELHVTQSYAPSIVGCPLPAKEVQRSPSAVQLRRDEEETQHHPSLSLEELEGGGVMSIKTQVEKSPAQNRSGPESGEDSPLARCEHGGDSGRGATDEGWGHWPVRPATAPATVRISRVFNTLAKKLQFEDLEQDHAAQLPALMTTPSGEVIEQREDEGGNSFSTSGGNRVGPGQEETLDAAAGTGTHQNGVQIQVHISPLSISTAEEREQAHGLALDAAGWTTTPGPRSGGGAGLISECQIVYKRRYTMRSQHVISDEEAQQVGDFINSISATPQPSIMGSRPPATAPVQKGRRRNVIPPDFQPWRSQRLQNQGNGARKHVISKAQRVTMKKMGVTEEEDQIDDDSIKRYLGLFDHPLSPQHVEALAELLDVDIAQNNGSTDVLALGSPLSSQMAIASPASFLAYRVRAFAPICFRQHTVVYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFMTGEYWKKVHGPVFMYLNSSWDGSNPTLLWKDAKVQMMIEKESWPYYFALSDDFQKTEQRGRISGRLLVRDRYLHDADLYATSAYVGLALPGDVGSWQRECKGYQFWCRAHDDGSFSIRNIVAGDYNLYAWVPGFIGDYKLDAKLTISSGDGIYLGDLVYEPPRDGPTMWEIGIPDRSASEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLDSVSPNSTYKFRVALASSANAELQVRFNDQDRTAPHFTTGLIGKDNAIARHGIHGLYWLFNIDVIGAWLVEGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >KN538974.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538974.1:69041:69754:1 gene:KN538974.1_FG021 transcript:KN538974.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASVPSSPCSNDTTIEQQLQILNTVVSSPSATIDTMCDGLRKLGDIYNSIEELICTPSNQVSLCQKLQRKLVEDELGRSLVLLDLCNAMQESFMELRMSVQEMMLAIKRGEDASAQVKAYIRLAKKARKQFKKVSKKTASDKMDCRVVKLLAEAREITVSLLESTSCFLSKKIETPKWSLVSATFQKSKVMCEEEQLQELELTIKDLESGAELLFRRLIQGRVSLLNTLSS >KN538974.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538974.1:94089:94814:1 gene:KN538974.1_FG022 transcript:KN538974.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSASAPSSPRSNKTNVEEQLQSLKATITSPAATVETMLDGFSRIGAVYNNIEDIICLPSSQTQLCQNQQRKAVEQELEHSLVLLDLCNSIQESVSELKTSIQEMQLVHKGRDATVVQANILYFIRLTKKVQKQSKKISKKSASAEQEGSRVIKLLAEAREVAISMLESSSHLLSKKITTSNSSKWSLVSKAFQKTRLACQEEQLQALELAIVDLESGVETLFRRLIQIRVSLLNALSS >KN538974.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538974.1:73436:74293:1 gene:KN538974.1_FG023 transcript:KN538974.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLELLSLYIEANHAQVDTIAAQFPFSSYPSPEKRKQERNIMAFHLRSASAPSSPRSSETNVEEQLQSLKATISSPSSTIRTMNDGLKRLKSIYDSIDEIMCMPSSQVLLCQSQNRKAVEQELECSLVLLDLCKAMQENFSELQASIQDMMLAIKRGEDAAVQAKIPSCIHNAKKAQKQYKKISKKTLSPDQESCRVVKLLAEARETAFSMLEISSHLLSKQTVMPSYSKWSLVSKTFQKRRIICEEEQLQALELDIVDLESGIENLFRKSIQSRVSLLNALSM >KN538974.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538974.1:96078:96809:1 gene:KN538974.1_FG024 transcript:KN538974.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLRSASVPSSPCSNEANVEEQLQSLKATISSPSATVKTMLDGFSRIGGVYNNIEEIMCFPSSRVVLCQSQQRKAAEQELERSLVLLDLCNAMQDSFCELKASIQDMQLAIKRADDAAVQAKVQSFIRLTKKAQKQSKKISKKSASDDQEGCRVLKLSAEAREAAISMVESSLHLLLKQIVKPNSSRWSLVSKAFQKARIACQEEQLQAVELDISDIESGVETLFRRLIQNRVSLLNALSL >KN538974.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538974.1:110752:111480:1 gene:KN538974.1_FG025 transcript:KN538974.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCSNETNIEEQLVSLREIICSPSATMETMCNGFRRLTDVYSCMDEIMCLPSSQASLCKHQQRREVEKELERSLTLLDLCNAMQESFSELKATTQEMQLAIKRGEDAAVQTNVQSYTRLTKKAHKQCKKINKKPASSADQESCGVVKLMADAREITFSVLESTLHLLSKQIAVPSSSKWSLKAFQKTRVTCQEEQLQVLELDIVDLQSGVETLFRRLIQSRVSLLNALSL >KN538974.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538974.1:35417:40708:1 gene:KN538974.1_FG026 transcript:KN538974.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAGAPVVKLYHEKSMILPDVSRVLACLYEKNIEFETVKDSYKDILRLQASRSVPVPFYDGPTFLQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPVQDDDNDDINREKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHLVTSEFAYLYDSRKNVQRWWDTISNRQSWKQVLKDMNKVEEEYQMELEQQEEQWQTELPQTSVGHTIRLDPRQTTGTESRTVLVPPPSAGTISTSFSSQREQPLPSETTRHDKPSPRKESNFFTTTEKTPSTPRSRAPTTQKQPSSTFFTPSTTPKIPQRTDTDISSSKDAPYQTKPSERTPKEAHDKSHLSGFFKARSHTDETATPTKHSPPEDSKTPTKIPKTRDISEAVGPNSPISTKAPHEIDERASVDPRFDKPAPYTKPTTNIPQTSYGRPSALRDLGTSPGTEADKTSSDLGGGVQSPYAQGRAEQVKKTSSDQRGSETAQPAQPRGTQQFTKDARQADQNRIAASPRQQPSESQEDTHNIMSEDERFSTKKLRKMMEESEKEAQEVKSQPTDFRPSKEETPSIYKKPSDVQDRTILDDRKSGRSPSAGTRAPDYPTSAAERRVASQPKEGMPYDDRGATKPQKSPSINEQEKIPVVPSQAPPASSGKASESLKEVSPDDGLGQVSTINQWRQTSAPPPTKLAAPDAPRNVELAKTEGVDKRTQPSTTKETPRNDRNALATGQGADRGVGNEQYDKNSIDERAPQMTPRQAAPSVTQRASASIQERISGARGASDDMFGKTSSADQSNTPAIPKQTTVQGATPDVRGTSYADRDMKLPADEKATANKQKPVSSSQQTIEPIRGGTPTSYGSTDDDLAKTSRANERQTPPSKAQAPASNRQSASTALQGGTPDARGENTAVKPSVTSPTDQMPSQAPLPSSFSTRNKENGISEAGQTNTVAPDGLPGPGVPKDAGPQVAGPSVVKSQKNMNEAYNDGPSTQQLPNDQYRSQPREAKEEQGADAALINEIGKAQKDDLLANPNQSSTGRVQPTSTEETSKQQLQSGLNKPISSKDGKETVSYGSSATSREMLPSIPDKSMRVQQPQGDKSSYSSISQEDNVKQGSQAALQGSGNEQPKKRDLLANADEKIRGTTGEALQKSDEGRISSNTEQMKSNRNNSKPDGSTEPTSFDGNEGNLPESQRRGSSSNP >KN538974.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538974.1:158507:167988:-1 gene:KN538974.1_FG027 transcript:KN538974.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGLLLVMVAKWRTLLTLLVVIFLMPHGGLGDNVGAGDPDAEVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRIMPPMGAALYATAGAGMAIGGLTRLAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAVSPAFRAAQPTSSSSSPFYLGGADDGQAHTSLLHGKPAVASSRQMMDEASHAKGSEITPNSGRTKSNLMFNFYANVENVFNMVLSLI >KN538974.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538974.1:14756:18017:-1 gene:KN538974.1_FG028 transcript:KN538974.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQMSDSEPQAAPPAAPAASALHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPPSSEAYTRLTSLVPKCLDIVVDMDKLTRHVCMMCVILESFPSEDDTEMDVDTAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDDAKACANASIARLKNLNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPITARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRIGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSDNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >KN538684.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538684.1:565322:567002:-1 gene:KN538684.1_FG091 transcript:KN538684.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLDVRAYASPDAVSNATTAISTTTTGVRIHVSFCLARPPRLSYLCVHCPRPGAGDGEAYRFTVDPRVIGTHADVALLRVPNPNDGLHRGIKSYDYFVYTAGGATSLRLLPNPRVSPFRNEEVAIARCSGGARYVIACLMPTIRRPMEFKIRRYDSDVITLGGGGAAVGWVDLWRGILLCDDVLDARPVLRDLPLPKPARSNRKSFCRGPPHHYRDITVVVHDSSPTSIKYVEMVTRPGDRPPPRQRQPPQHSSDDSDSDEEEEDVAYYWKANIWSMPIPVGSWEDWQMECTVDVTDIAVDNVRFSELLPKIGNDPEETLRRLVTGFPTLGMDGDVISFLSKINRLDDKGWVISVDLRSKTLQGVAELDERKNFLFKRYYNTSEISKYLIKATGEAGTLVKTGVNSRVSKKKK >KN538974.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538974.1:58621:61653:1 gene:KN538974.1_FG029 transcript:KN538974.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGQSFFSISYARSQPPPPSSVVSYEHRPQTANATVHYYPGDGAAGPPLPGSYYGSAAPPPSPPRVSAWDFFNPFESFESYYHQDQPSAAPPAYTPSRAGAREEDDNDIPELEHDEVDKVDNHQDANGMPLPAKDVSEEQQRKSKSSEASSSTSSSMISDLHVVQKSVIEEQLRHSDAAGPPAIPGKVYNDDVEVVEEIRSQFEHAAKSAFDVSKVLEVGKMPYYQKSPGLKVSSMMICGLSSVGEEFLQFEEDKAMECGNLSSTLQKLYMWEKKLLEEVKTEEKMRVLYNQKREELKVLYGRGAEAHKLEATETHIRKLSTKISIAIQIVNTISKNINNLRDDELWPQTCELIQGLMQMWHAMSKCHQIQCHALSQARNLDSKLDSARFSEAHMDLIKRLELQLLELISSFATWVNAQKSFVGTLNEWLKRGIDYVPEVTDDGTPPFSPGRLGAPPIFIICNNWAIGTGRISEKEVVDKMQAFASSVLHLWEKHRLEWRQGMMANKDMDRDLRVMERDELSMRKALDAQSKKLVLVSNQSGVSLSAQVVHDSGPTAEVGLQSCMNKVFEEMESFTAACANAYSDLHLRSEEEKTRLGQNNGRVS >KN538974.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538974.1:70770:71474:1 gene:KN538974.1_FG030 transcript:KN538974.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSLPSKRQSNEAEIEDELQSLEANISSPSTTIDGLRRLGDVYNQIEEMIHLPSNQVFSAQQRKMLDGEMECSLELIDLCSAMQENFIELKTIIQDLHAALRRGDSASIQVKIQSFTRLVKKAQKQCKKMSKKTTSDKEDCKLIKLLIKARVLTVSLLESTSCHLSQQLVVPKMSLVSKAFQKKRSVVCKEEQLQALECIIGDLENGAELLFRKMIQSRVALLNTLSS >KN538974.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538974.1:77068:77775:1 gene:KN538974.1_FG031 transcript:KN538974.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLSRLEDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSDKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNPVICSEEQLQVLECCITDLEAGAGLLFRRLVQSRVTLLNILSS >KN538974.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538974.1:51256:51687:-1 gene:KN538974.1_FG032 transcript:KN538974.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLAQLSKKWQGMGAIGRKRVTASEKEIIHHSSCSSSVAGKGNCVVYSCDGRRFEIPLAYLRTPVFVELLRMSQEEFGFSGDGRITLPCDAAVMEYVMCLLGREASEEVEKALLSSIVMPCSHHPSRMAQHQHFAVCSI >KN538974.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538974.1:19421:22488:-1 gene:KN538974.1_FG033 transcript:KN538974.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 33 [Source:Projected from Arabidopsis thaliana (AT1G47530) UniProtKB/Swiss-Prot;Acc:Q9SX83] MSSTSGSAWDHSNNGGDGGGGSPELREALLLGDGGSSPESREIKGIIAKKQDDLEEIRSVGELMRLAAEENRRLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAVSTENMVIAGLAFGIMYGMGSALETLCGQAFGAKQHHMLGIYLQRSWVILTAMSVILLPIYLFATPILRFFHQDDDIAVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAAVSAAALLFHVALTWLLLVPLRMGLVGLAVALNVSWWLVVLGQLAYIVMGYCPGAWNGFDWLTFTDLLSFARLSLGSAIMICLEFWFYMFLIVIVGNLPNAQVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARLAIAVPVLSGVAVGAGWQWLVAYINLGCYYCVGIPVGYAIAFPLGRGVQGMWGGMLTGVGLQTAILVAITARTNWNKEASEAHARIQHWGGTAKLADGGAI >KN538684.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538684.1:646357:649708:-1 gene:KN538684.1_FG092 transcript:KN538684.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRSNAAGPPPPEGVGDSSTAQASSTEKERPDPPSVLGWERRVLALVLAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMLFSQLVNWFMFFCITRTLSNSMETVLTITGLYYWFVAIESAKGTSVVPRQKAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGIFLSWFFALDMYKSDEFRMLSMDVVAHGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGYNLAAMAQLKGKGHNEKGRLSRLQLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSEHKGTLDESDRFLMNPSDFVGEVFGNLSSFSHIVLFESEERHIKLLMRNSFQEKYPEFSNIDH >KN538974.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538974.1:137372:138933:1 gene:KN538974.1_FG034 transcript:KN538974.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MWEIGIPDRSASEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLDSVSPNSTYKFRVALASSANAELQVRFNDQDRTAPHFTTGLIGKDNAIARHGIHGLYWLFNIDVIGAWLVEGMNTIYLKQPRNQSPFQGLMYDYLRMEGLTSQQVKLAPEAFDPFTSYSQQQQAVLSVAIGLA >KN538684.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538684.1:529325:530467:1 gene:KN538684.1_FG093 transcript:KN538684.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MDSDGPHTSLIHGLPDEIAILCLARVPRRYHNALRSTGIKCYVLAPDPTTRSLKIMQVIEPPCSSREGISIETLDKRLFLLGGCSWLKDANDEVFCYDASSNCWSSVAPMPTARCYFVSAALDKKLYITGGLGLTDKSPNSWDIYDPVTNSWCVHKNPMLTPDIVKFVALDGELVTVHKAAWNRMYFAVVMDGTLYMLEQSLGTKLMMWQKETKEWIMLGRLSDKLTRPPCELVGIGRKIYIIGRGLSIVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >KN538974.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538974.1:87087:87785:1 gene:KN538974.1_FG035 transcript:KN538974.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRTISLPSRPLSKVEEELHSLEACVSSPSMTIEMISDVLRRLDDIYNSIEQIMCLPSNQVCSSQQRKLLDGEMECSLELLDICNAMSEVFTELKAIIQDLQVSLRKGDNAVAKIHSYIRLVKKAKKHFKKTVRVASDKEDCKIVKLLSKAREITTSLLESTMHLLSKQIQMPKLSLISKAFQKKNPVICNEEQLQVLECCIGDLEAGAGLVFRRLVQSRVTLLNILSS >KN538974.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538974.1:25877:26477:-1 gene:KN538974.1_FG036 transcript:KN538974.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVCAPSTYVCAVRLIANVGSANPEYKLASLGFLQAPVFGSIGSVPLRLSSGVGDAGPGSVPACDVLSSSPSGPCQQAPSNSCNADSQLPMGIAPIPDSQIGVAKSKCISDTLDKPT >KN538684.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538684.1:622723:625506:-1 gene:KN538684.1_FG095 transcript:KN538684.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRVIRVRAHDGRSTTVTLAASATVSDLRTALRSSFAPALVSPDFHLFLKGTKLIADAKVGNLPVGPGESISFIPVTAKSAPPHPPSSSSPNPWRKRKFSWRDGGGEDIYAKKPTNPAPPRPLSCHGTQPLDPTQMVEHLRQGLGKAGQITHIEEIPGRDATFADLPGHLSSSMRDALRSIGVTKLYAHQAQAVQAAVSGEHVVVSTSTSSGKSLCYNIPVLESISQSSTPCALYIFPTKALAQDQLKTLLDMKPAFRSDFDVSIYDGDTAMKDRTRIRNTARLLITNPDMLHMSILPCHAQFKRVLFNLKYIVIDEAHSYKGAFGCHAALILRRLKRICSYVYGSNPTFIFCTATLANPREHVMELANLDRVVLVDNDTSPCGSKNFLLWNPPLQLANAEDRRPNPVLEVSYLFAEMVQHGLRVIAFCKTRKMCEQVLMQTRQILKETAAELVNSICVYRGGYVASDRRKIEADLFGGILRGVAATNALELGIDVGHIDATLHLGFPGSMASFWQQAGRSGRRAKQSIAVYVAFEGALDQYFMRSPHKLFGKPIEHCQVDSQNRKVLEQHLACAASEYPLRQEHDESYFGSSMNSVMMTLKDKGCLMNNPSGGDSGVWKYIGPDKKPSHSVSIRAIEHHRYKVIDRRSNRILEEIEESKAFFQVYDGAVYMHQGVSYLVDKLDLTSRIAYCKVFDLNYYTKVQDYTEISFIGGDVDEHPASECKPDIRRTTAQANDCRVTTKWVGFDRILKSNNQKSDSISLDHLPPYSFETQAVWVQIPKSVRTTMEQMEYQLRGGVHAASHALLSIIPLHMMCSGSDLGTQCAEPQENSETADRILLYDKHPGGIGLASQAKLLFGELLVAALELVSSCSCTNSDGCPNCIQSFACSDYNRDLDKEAAILLLKGVIQYEKLYFEAKDGCYQS >KN538684.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538684.1:655880:656167:1 gene:KN538684.1_FG096 transcript:KN538684.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGARLVAERRKRSDGGADGGGVAARGEEVGGEATDEGSSVGSREARSRGCGGRRCGDCGREGGAREDAEGGVRHALIWDVGSNSSDDFTSLDM >KN538684.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538684.1:632179:635676:1 gene:KN538684.1_FG098 transcript:KN538684.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVPVLLLVTVLSLILPSGNGAAAAGDERSALLALKAGFVDTVGALADWTDGGKASPHCKWTGVGCNAAGLVDRLELSGKNLSGKVADDVFRLPALAVLNISNNAFATTLPKSLPSLPSLNVFDVSQNSFEGGFPAGLGGCADLVAVNASGNNFAGPLPEDLANATSLETIDMRGSFFGGAIPAAYRSLTKLKFLGLSGNNITGKIPPEIGEMESLESLIIGYNELEGGIPPELGNLANLQYLDLAVGNLDGPIPPELGKLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNAFTGAIPDEVAQLTHLRLLNLMCNHLDGVVPAAIGDMPKLEVLELWNNSLTGPLPASLGRSSPLQWVDVSSNGFTGGIPAGICDGKALIKLIMFNNGFTGGIPAGLASCASLVRVRVHGNRLNGTIPIGFGKLPLLQRLELAGNDLSGEIPGDLASSASLSFIDVSRNHLQYSIPSSLFTIPTLQSFLASDNMISGDLPDQFQDCPALAALDLSNNRLAGTIPSSLASCQRLVKLNLRRNKLAGEIPRSLANMPALAILDLSSNVLTGGIPENFGSSPALEMLNLAYNNLTGPEANVVGMGATGVVYKAELPRARAVIAVKKLWRPAAAADAAAAAPELTAEVLKEVGLLGRLRHRNIVRLLGYMHNEADAMMLYEFMPNGSLWEALHGPPERRTLVDQKSDTYSYGVVLMELITGRRAVEAAFGEGQDIVGWVRDKIRNNTVEDHLDGQLVGAGCPHVREEMLLVLRIAVLCTARLPRDRPSMRDLLFLGHLT >KN538684.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538684.1:588231:600040:1 gene:KN538684.1_FG099 transcript:KN538684.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTHQVPSLIRCQLMTLLKNMEKRNACESWRFGEGCYVYDVNGTKYLDALAGLLSTALGGSEPRLVKAATEQLNKLPFYHSFWNHTTRPSLDLAKELISMFTAREMGKVFFTNSGSEANDSQVKIVWYYNNALGRPKKKNIISRTQSYHGTTFISASLSGILICQDVLFCTQTALTTGASISLSAFFDEINDFCGRQIAAFIAEPVIGAGGVILPPKTYFEKIQAVVKKYDILFIVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAILVSPEISDVIHSHSNKLGTFAHGFTYSGHPVSCAVALEALKIYRERDIPGHVTHVAQRFQEGIKAFAAGSPIVGETRGVGLLIATEFTDNKSPYELFPFEWGVGEIFGQECKKRGMMVKVLGNLIAMSPPLIITREEIDKLVSIYGEALKATEERVAELKSKKN >KN538684.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538684.1:620361:621187:1 gene:KN538684.1_FG100 transcript:KN538684.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGGVEAACRVLPIIDEESESEMGEGSPERKVVDDRRKAIVSRMRELLRRAAAQSSAQSKLRSTVLVSAKKWKRVVVSLQNSRRKQEQQQQQLTTTSRQSDGGMSSSPVSSKSNSFSWDIATAESCSSSPAQSPLWPAALRQFSPSPATKHGMRHRRDSSRSVADDDRMSSMSCSGSPDDDDNDDYGGCSRMCQWITTDSDFVVLEL >KN538684.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538684.1:663904:669208:1 gene:KN538684.1_FG101 transcript:KN538684.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPPFSAPTNGANQRAMASLRSPLRGGPDMPTSRRCSPRLSGAQQDEVAEVARVGMLKRVTNNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSRKRKRMTAKSYRALFKRSTKASSTVVVTSDRVGKENTSSGNVAESNTVPNFPSTLERANLFLVDQYGRQNSISSLQSAPIPPIHYEEPESGLGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGQGCKRSYHISCLDHWLEYLSPGMWFCTACTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPESVINDTPGGRDLLSLFNKTDHKEKTSWKKEWTEPHRLLRKRPLILQEEADEFFCSSHANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRLKAAKRLSIPVETKVKHKTCQKLQRLPDEWPPGFDNDHLFSINQLLEFWYKSHGAVLVDDK >KN538684.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538684.1:523151:525809:-1 gene:KN538684.1_FG102 transcript:KN538684.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVETLDPAPEFIKKVVKFARETGDLQTLSDVDIKIIALAYMLEAEIHGTNHLREQPPPLRVVNVRNLKEAPLPGWGSNVQNLAEWEELDQMSEAGGDLKSRILPLKDLENHEIPNSETNSISDKQGDEEHQPAKKDVGIAWEDDENNEGWLPAVSRSTHRRYLRRKARRDALKESEQSFETSSAAPSIDDNKILSENGLNPVDGPSADTDVMEHQEVNEPEIVADHSQSDNKDNGVGNVGDVEETGGTDACIEELDNLDIKSDSEEGVNSSLADDGSSEQSWALRSLSESTVACITSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVLVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSNKQDDDFLGVEDIFSHSGEKKVPLKPPVRKALAMFSGKRNPNDNHFSRKKH >KN538684.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538684.1:539325:544017:1 gene:KN538684.1_FG104 transcript:KN538684.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVFLILLAVVLAASACAEGLRLPRDAKFPAAQAERLIRSLNLLPKEAGPTGGAGDVPSVAPGELLERRVTLPGLPQGVGDLGHHAGYYRLPNTHDARMFYFLFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTISNNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYSFLQALLLIIVPFHKIIICILYLTKQLTVNSLVFFKKHPEFAKNDFFITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIKKSDYDRINKFIPPCEFAIKLCGTNGKASCMAAYMVCNSIFSSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEAIGVGDLEFVSCSTTVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSHESPFVVDGAEAGVLKSHGSLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKEEWLAELPEQPMYAAM >KN538684.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538684.1:568516:572287:-1 gene:KN538684.1_FG105 transcript:KN538684.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTITSLVAACLSMAVLFVATKMIQQRPRTLYLYLYEKENKEEELLLPPVMSVVSVLTAYLPTLIAKGLPAVIHDLHSRLGSVFTVSVFGLKKVTLLVGPEVTAHFFQASESEIRQSNIYKVTVPVFGRGVLYDVDLATRSRQISFCTDSIKPINLRGHVDSMVHEVEGYFAQWGEDGVVDIKYEMGNLILLIANRCLLGKQFGESKLEQVSTLLHELFDNGFHLISLFFPYLPTPQHRRRDKARAMLGEMIHEAVRSRRNSGVAEDDVLQKFLDSKYINGRCMTENEIAGLLICMMFAAQHTSSSTSTWTGACLLSHDHRSYLAAAIQEQKRIIQQHGDRINWGILLQMTTLTHCIKEALRLHPPANLLIRHASKSFSVQTRQGHRYQIPKGHTLATCTTVGNRLPYIYKDPNVYDPSRFGPGREEDKVGGRFSYTPFSAGRHVCLGEDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKFIPGPKVWLSAWTLDRRRWSTSRRGGAPRVAGVGESRTSAKRGQGRGDVLMCRAERRAGRRRRGTAGGHSTALCRVRVQGGGESRRRGPTLPAKKEAARPGFVEERRVHDSGRRTALCQRCGVARHFVEQVARTSGCLEEKMAAGLVGPSGIGEGRWAGLVAGLTEIGERRKISAQTNRISLYFPGNLGFGI >KN538684.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538684.1:615560:617161:-1 gene:KN538684.1_FG106 transcript:KN538684.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEVGGEDAAAASKFDLPVDSEHKAKTIRLLSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDMLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSRVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRASTLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMFLPPNAGAEEEHYYGSEWSEQEKSKGLHGASLKFAENSRSERGRRNVINAAAAAATPPNNSPEHA >KN538684.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538684.1:642216:645735:1 gene:KN538684.1_FG107 transcript:KN538684.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGMAKPGCREKCGNLTIPFPFGIGDGCFYSLGFDVSCEDGRTYMHNSSSRLEIYNISLFTGQVRVSSLIASECYNTTGRIEGGWASASTADLFTISSKANKLTAIGCNTLAFLKGYNEQEVGAGCFSMCLDKQSVDSSGQCSGMGCCQTSIAPNLTSFNVTFDSRFNNSQMLDFNPCSYAFVAEQDWFKFEPTYLEGNKMTEKFNDGVPAVLDWVVGYESCNEAQKNKSSYACVSTNSRCISSPGATGYLCVCNNGFAGNAYLEEGCQDIDECKFPDQYPCHGICSNAIGDYSCSCQRGTHSIDPKKETCSPNTTSERARLTKMFIGISVCAVLLLSCIFALLIECQKRKLMKEKERFFQQNGGLLLYEQIRSKQVDTVRIFTKEELENATDNFDSSRELGRGGHGTVYKGILKDNRIVAIKRSKIMNMVEKDEFVQEMIILSQINHRNVVRLQGCCLEVEVPMLVYEFIPNGTLFELIHGKYRTTSISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYIAKVTDFGASRMLPKDEIQFMTMNILGVGTELFQDVAQLAKCCLSTKGEERPLMTEVAERLKAIRSTWREQLIESTNDETICHGMICPLFVLPDGLSYFHHGRSSEQDIIGASILDNLMQRKIGKMLGEWEVSINLEMGVKKSPNLPNRTHITMLRNGQN >KN538684.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538684.1:604205:605806:1 gene:KN538684.1_FG108 transcript:KN538684.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEVGGEDAAAASKFDLPVDSEHKAKTIRLLSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDMLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSRVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRASTLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMFLPPNAGAEEEHYYGSEWSEQEKSKGLHGASLKFAENSRSERGRRNVINAAAAAATPPNNSPEHA >KN538684.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538684.1:533586:533855:1 gene:KN538684.1_FG109 transcript:KN538684.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEFVREFVGAEVAGTELETFASGKRFTGRIKAVLVGEKKREVVERLFAGGDMPNVGLGDRESDHDFMAICKVHFMAYDPKLEIFIIS >KN538684.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538684.1:546803:562862:1 gene:KN538684.1_FG111 transcript:KN538684.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MGDAAAGGRKAAASGGAGARLHVAMLALQLGYAGFHVVSRLALDMGVSKLVFPVYRNLIALFLLAPFAYFLEKKERPAMTASLAVQFFFLALCGITANQGFYLLGLENTSPTFASAIQNSVPAITFAMAAALRIERVRLSSRDGLAKVAGTLLCVAGASVITLFKGPAIFNTHHHQPQQLAVAGAGDVANGSKSWTLGGVFLLGHCVSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLLQFLAIAAFLERDAAAWAVRSGSELFTILYAGFVASGVAFAVQTWCIHRGGPVFVAVYQPVQTLLVAVMASLLLGEQFHLGGIIGAVLIVAGLYLVLWGKSQERAIAAAKEASAAAAIADHHQQPASAAAADSCLKQPLLPASTAASENLNATVDFRDSLLAENTSAKLGIGLYRSLGVKILHFRISDRDKCDRYLTSNFQMRQFPLITASKFQLHPNEQAMMHHHDQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKVNLDCHLLALAKEPSMTPLISCGVFTLFYNHNNCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLASSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >KN538684.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538684.1:638771:639809:-1 gene:KN538684.1_FG112 transcript:KN538684.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRRCFHFLSLYFILAITFDNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGAWNFSYVLNLMGNVNCVKNSKAVWIHYVPFALSIQVLRLPQGRELPQPTRSRFAIKAAMKLEFKNFCLD >KN538684.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538684.1:521055:522515:1 gene:KN538684.1_FG113 transcript:KN538684.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCDKPKMNYRKGLWSPEEDQRLRDYIVKHGLGCWSAVPAKAAAAAEVTSMSTTEPPSLHHHHHHQIKNSSGSTTTSHDQDANLSSGGSHGGISAPAPVAEPFDQQPKSFVFTGDWMPMSAAAAGQESYSISAQHWPASTASSGNVTPSHGGAFGDQMSGSYGALQQQQHQSSAAAAPMAGGGGYFDLLNMGDIYGGFAATSDDLLF >KN539765.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539765.1:6072:10943:1 gene:KN539765.1_FG001 transcript:KN539765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMAVNTSLEPTKCFLLLPKNKDQAELRRSYSECSNTTSAADQLVAGGAVAAASGGGSGSDVETVRCACCSVTEECTAAYIRRIRAAHCGDWEERSEAFEKREEESFASIRATTTYFNYASSSSPSTLPTLVPTKCSTPGPSCATTKAVLNGTPTKPERVFPSTTSLSAPSIISAARATTLPSIETEEAEGDMTQVEEETKDTLHDLRAKVELKQRADSQASRGNKGVLNLLIWHAFRYGQLPILVKPLPWPPPDIWCQCLFRTLNDDLEVLASSRNQGALQIFAELKAPRPPPTKFISRKTTSIVAQQKLLLETNQRRTLITGISPIEAKWVDGLKDKIRLEDVDFNWKIIGLHDKEGKDNDTLGEVELLVHWLMDHNLKMKLRSVAGNNLQSLVI >KN539765.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539765.1:78900:79094:1 gene:KN539765.1_FG002 transcript:KN539765.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAVAPAPGARQGGCDGTDGTLARLSVRAWPSEIRKGRHGKRRKKIGNLHNYPFSVSTSFKG >KN539765.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539765.1:74832:75690:1 gene:KN539765.1_FG003 transcript:KN539765.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAALPKPNDAGMPEEGTTEAAAPPKPGEAPTQEGTTTGQAAGPRRRRLRRRPRKSWGNKIAVFTCKTVLNFIASLICLFLLWFWPTKTTYYYEYDCWLQFAPPPGNGTPAVTGDVKCDKHK >KN539765.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539765.1:25452:27795:-1 gene:KN539765.1_FG004 transcript:KN539765.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGKKWESLARKPLISLYLLGSRRVVEGEEVAPQDYLLCTSCYYEWRLKAVTQFWTPLTVTFIILWLLYRPDHFHPRIDSGVLAALHLNAPANNATDPARPRQLAYDLAVLHPAFSGTASIDSGAAAEQLEREVAEGTVHVKVTVALSLMYKVWFVKEVYFYKYDCWLWFPAPPANATTPAAVFDAGTQCWAVK >AMDW01062766.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062766.1:6:333:-1 gene:AMDW01062766.1_FG001 transcript:AMDW01062766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DELLWASAWLYQATDNRRYLDYLANNGDALGGTGWATNEFGWDVKYPGVQVLAAKFLLQGKAGPHAAMLRRYQRKAD >AMDW01059616.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01059616.1:932:1231:1 gene:AMDW01059616.1_FG001 transcript:AMDW01059616.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLCYCSGMISTVKP >KN542648.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542648.1:3363:4286:-1 gene:KN542648.1_FG001 transcript:KN542648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPLRAAAAGGYALRSSYSLTIVAKDMRFDMEAGRHVYRDVLCLCPPRPSSSSSSRGGITPWQFKDAIFPSQMPGSFHGDKVFSFGGHAFWADLAKGVLFCRCDDALSGRNDDAVQFRYIPLPVECHLNISFAMRGDLQLCRTMSCVDGGGDSIKFVCISDGGSSSAHTGDRAITMWTLTLATGEWLKDAQLMVADLWELEGFDKARLPKAIPISPVLNPQEDGVLSFMLNDADAELYMVSLNMHSKKLLSSLTLSSCPDDIVPPLGLDLSKDLQNLSLRPIAAESVPAKTQGRPIATKRRSSLP >KN541982.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541982.1:502:2301:1 gene:KN541982.1_FG001 transcript:KN541982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET2 [Source:Projected from Arabidopsis thaliana (AT3G14770) UniProtKB/Swiss-Prot;Acc:Q9LH79] MNALGLSVAATSTGSPFHDVCCYGAGIAGNIFALVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFALIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >KN542648.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542648.1:10559:13817:1 gene:KN542648.1_FG002 transcript:KN542648.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDRLRALMVRLEADGHLDRLLRAQEEEQGGAGAEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDASMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVAFSPVDDCLATASADKTAKLWKIDGSLLMSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRTKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVT >KN541982.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541982.1:18866:19980:1 gene:KN541982.1_FG002 transcript:KN541982.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGELLALQMAMEVANFLDFAGTIFLTDNEMINAMIRRNFEDEPGHWSLRPFLSQIQTNIPENLMQDKWIPREINKMQSADILAKDARDYYHQN >KN541982.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541982.1:13904:14272:1 gene:KN541982.1_FG003 transcript:KN541982.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVISGKKKVWNLTKEESYDLVDGDFLFTPAGDVHRVRYFEDTEFFIRWDGHWDIFLDEDLDAARSAIDAELGAATAK >KN541982.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541982.1:3882:11483:-1 gene:KN541982.1_FG004 transcript:KN541982.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVPPEDSNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKVLTREMECAARPGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLVAAHARPPNYLMFFDFFEPPNLADKIHVEEWSPSVQQVVTIATDLGHDLLQSSFLLLIERLYRVMSKALQYLNILGIVHRDIKPANILIDKDLHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDPDPQQRPSFKDITEELKIIIEKHIAVNSCSPASPANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSRVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQKSKRITQKNWHPGCTAVTALIMRNKLFVANAGDCRAILNRAGEPFPMTRDHVASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETVLSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >KN539310.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539310.1:57546:57914:-1 gene:KN539310.1_FG001 transcript:KN539310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKRYVLRLFISLKYVTANVVDRQSGRVVVTASSVEKPLRDGLECGRTCNAKAAAAVGEVLAMRLKVDGLAREPIHADATKEVEKKGFKNRTKVWAILNALREHGVNLRLDDDGDRRPHV >KN539310.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539310.1:35939:37547:-1 gene:KN539310.1_FG002 transcript:KN539310.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRSVRRQVYEFDFLILCIGRFSGVPNIPAFPPGGGPDVFRGRVIHSMEFSDMDDADAAALVKGKRVVVVGSGKSAFDIAAECAEANGVEQPCTMICRSPRWLLHDTNVWGKVDIGYIYFTRFAELMVRKPGAGVASNLLAMFLSPLGWLISKLTEAYYKKEIPMEEHGMSPEYGLSKTLSSCLIGMLPERFYDKVKEGSVVIKRSAKSFTFRDDGLVLDDDGGGGGERVVQADLVILATGFRGDEKLRRMFASRRVRDIVAGSPETAAPLYRECVHPRVPQMAVIGYSESATNIHTCEMLANVKVFECDNGYFD >KN539310.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539310.1:8151:10556:-1 gene:KN539310.1_FG003 transcript:KN539310.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRVGIIGAGVSGLAACKHSLDKGFNPVVFEADDTIGGVWAHTLESTRLQAPTTAFRFSDLAWPATVTEKYPSHRKVMEYLRSYASEFDLLKCIRFNSQVLGVEYLGATEGEIMQWEHWSGNGEAFGAQKDGVWRLTVKDLKIGNIEVFLVDFLIVCIGRHSGSPNIPEFPANSGLELFKGKILHSIDYSYMDNAAEFVKGKKVTIIGSGKSAFDIAAEVAKRWAVSKVIEAYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFFNDGIILEDGKEHIKSDIVILATGFRGDQKLRDIFTANWCKKIVAGSSATAVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVTHFLAGRFKLPSIRCMEESVAEWAKYKDLYNGKYFRRSCISTVNIWFNDILCQDIGCNPKRKKGVLAEWFQPYGPADYASLY >KN539310.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539310.1:91705:93411:-1 gene:KN539310.1_FG004 transcript:KN539310.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIAFNYLTTAIFLLLLPACVADDQLVPGKPLSIGSIVVSNGGAFALGFFSPTNSTSSNLYLGIWYNDISPLTLVWVANRGTPVKDGGHGSSSSAPSLTLSNSSGLVLADGDGRVLWTTDITIIAANSPAVAVLMNTGNLVVRSPNGATLWQSFDHPTDTYLPGMKIGINYRTRAGERLLSWNDGPGDPSPGSFSFGGDPDTFLQLFIWNQSRPYWRSPVWTGNPIPSQLMVNGTTVIYLSVVDADDEIYLSFGISDRAPRTRYVLTNSGKLQVLSWDGGDGASEWSKLGELPKYECEHYGYCGPYGYCYYSEGMQLPDKFVRVRNKTFHECAAECAGDCSCMAYAYANLGGSGSARKDATRCLVWLGELIDTQKVGPDWVPWGIVGGERLYLKAAA >KN539310.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539310.1:1:6703:1 gene:KN539310.1_FG005 transcript:KN539310.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] NTLDAIARGVFAGLVTYQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVAGQPVVHYTYVVPPGASVAAIAQDFATTEATVLALNRMPDAKSLLAGQVLDVPLRACSSAISSTAIDRNLLVPNGSYILTANNCIMCGCSSYTWQLDCQPTQGISSSFCPAAKCGDMFLGNTTTSPASSCESTACSYAGYTNSTSFTILANLTTSSTCNASSIMFEEHFHSCFLSAWATDSWSHVVSNLGSVSTMHNPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFFVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQALIASSFAKLDYEKVKEVTYYVLKLLSSIVGYYTLSMYRSNLQLNSIFQTGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMGLRMTAGFLRQVFLSDFLS >KN539310.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539310.1:97880:98745:-1 gene:KN539310.1_FG006 transcript:KN539310.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKADVGVGGGEAMRRWWQSGWAGRGSSGAPPHRLNNSGRRLPVFFLGSNPTELPVPGTMSGGSWRAPSSGERRHGRISHGPMGMLLSFLIPGISKPQQILLWQVAILSFLVGAGFIGLYLKFFYWSSERKIKKA >KN539310.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539310.1:87807:90809:-1 gene:KN539310.1_FG007 transcript:KN539310.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSSAFTCIAAFLLLSPAVPYLAMTNTSDLVLSDASGQIVWTTNLTAVASSSSLSPSPSTAVLMNTGNLVVRSQNGTVLWQSFSQPTDTLLPGMKVRLSYRTLAGDRLVSWKSPEDPSPADGAPPTHFLLSHSGKLQLLGWNKEASEWMMLATWPAMDCFTYEHCGPGGSCDATGAVPTCKCLDGFEPVSAEEWNSGLFSRGCRRKEALRCGGDGHFVALPGMKVPDRFVHVGNRSLDECAAECGGKRKQRNAVKIAVPVLVIVTCISLSWFCIFRGKKRSVKEHKKSQVQGVLTATALELEEASTTHDHEFPFVKFDDIVAATNNFSKSFMVGQGGFGKVYKGMLQGCQEVAVKRLSRDSDQGIVEFRNEVTLIAKLQHRNLVRLLGCCVEGHEKLLIYEYLPNKSLDVAIFKNERGVTLDWPARFRIIKGVARGLVYLHHDSRLTIIHRDLKTSNVLLDSEMRPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGMFSVKTDVYSFGVLLLEVISGVKISNIDRIMDFPNLIVYAWSLWMEGRAKELVDLNITESCTLDEALLCIHVGLLCVQENPDDRPLMSSVVSILENGSTTLPTPNHPAYFAPRKNGADQRRDNVFNSGNEMTLTVLEGR >KN539310.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539310.1:60810:61232:1 gene:KN539310.1_FG008 transcript:KN539310.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRNNNNIDAEIDAAFAAGKMPLEWLPRLQAAGMDDSDVSVTAGAISETHRIAGDTWWSNENVPFELLGLFGTLFMFALAIVYRGTKGLMLTLLAWGLVILVEASVLAVIDACERRRARLTRDVARKVLENFLLSPPV >KN539310.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539310.1:27371:28615:-1 gene:KN539310.1_FG009 transcript:KN539310.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPFLSPAALLPPPCLLAALLMPPPPVELPPVPSFLLVPPVQTDLRCAAAGLSRNGAMDPLHEAEEDPLFLDPAPPSPTPSPPRDPLDQTTGAAPANPPHQVMVHGGDAARGAPNSSSVAPPSPPGGVPSPSDGSSPRPPSTPSSSTPPSSPVPPPSARSPTVPAPADADDDDQGGGSGQEAGGGNGEDEAGGGDDSNDHGDYSSDVQRRDVPRHESADGSEIHDEHHVLITGEEPPVSPVPREPPVAPVRNRRPISLLCAVPMFFLYRAYHFTIGGSAFGIDKHVTHDRLMAGGILAGIWLFLLPFLILGHVYFSHRIRVRVLRNNAPSAGEAQVPATDVQDEGYFPRKIKASVSLMVLSGLGKIAYLTLDTVWPWAWLSHLIGFIIEVIMLIVKWYMQDVVDFSFLLPEV >KN539310.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539310.1:13737:14594:1 gene:KN539310.1_FG010 transcript:KN539310.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVAICVALGLVVGCDNLMYSYAMLYLPVSTFSLLAATQLAFNAVTSRLINAQRFTPLVVNSVVVLTFSAALLGVDDPSSSSSDVGGGNAVPRGKHAVGVVLTLSASAVYALTLSLFEATFDKVIGAATPRWVLKMQISTNAVAATVSATALFASGEWRTIGGEMAAFKGGKAAYAATVVGVAVGWQAATLGAVRLIARVSSLFANVTGTLALPMVPVLAVALFGDKMTGTKVVAMLMAVWGFLSYVYQHYLDGRRAAAREGRVHAAAGCGICTDRMTYPYFGPES >KN539310.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539310.1:47394:51821:1 gene:KN539310.1_FG011 transcript:KN539310.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMAGFLCGAGSLNSEGNLTCMEEGDPQPSISLARTPSEGTAAAVDLDLLEQLLSADNAWLEVAANTSRSPNYFATPSNCLTDASVVTTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNTREDREETSWTTLVGTSQKGSDLAELHTHGMLSHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLSIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >KN539310.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539310.1:58630:58857:1 gene:KN539310.1_FG012 transcript:KN539310.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIALGVMGGLFLCLVAVVVFRETMGLMVTLLAVGVFYVAMALVAVSDECKRRRATAIREVARTVLRHYLLSPV >KN539310.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539310.1:109035:119646:-1 gene:KN539310.1_FG013 transcript:KN539310.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRVMYRTRAGERLVSWKGPDDPSPGSFSFGGDPGTFLQVFLWNGTRPVSRDGPWTGDMVSSQYQANTSDIIYSAIVDNDDERYMTFTVSDGSPHTRTLDACAAECSSNCSCVAYAYANLSSSGSKGDMTRCLVWSGELVDTEKEGEGLSSDTIYLRLAGLDLDAGGRKKSNAIKIVLPVLGCILIVLCIFFAWLKIKGRKTNQEKHRKLIFDGEGSTVQDFELPFVRFEDIALATNNFSETNKIGQGGFGKVYMAMLGGQEVAIKRLSKDSRQGTKEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKGLDATLFDGSRKMKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGIRRSSTSNIMNFPNLIVYSWNMWKEGKSKDLVDSTIMDSCLLHEVLLCIHVALLCVQESPDDRPLMSSIVFTLENGSSVALLPAPSCPGHFTQRSSEIEQMKDNTQNSMNTFTLTNIEGRILLKKVLMDRSAAALACITSVLLLLLPPPCASDDRLVTGKPLSPGATIVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPGRTVVWVADRGTPVTNSSSSLPTLSLTNSSNLLLSDADGRVRWTSNITDDAAGSGSTAVLKNDGNLVVRSPNGTTLWQSFEHPTDSFLPGMKLGVTFKTRTCERLVSWKGPDDPSPGSFSFGGDPDTFLQVFIWNGTRPVSRDGPWTGYMVSSQYQANSSDIFYFSIVNNEEKRYITFSVSEGSPYTRYVITYAGKYLFQRWNISSSAWDVAEWPRWDCNYYNYCGPNGYCDNTARAPAVPTCKCLFGFEPANAAEWNSGRFSQGCRRKEAVQCGDRFLAVPGMISPDKFVLFPNRTLDACAAECSSNCSCVAYAYANLSSSISKGDKTRCLVWSGELIDAEMVGERLGSDTIYLRLAGLDAGHRRKSNAVKIVLAVLSSILVVLCISFAWLKIKGRYIQCSFIYLDAYGKKRKREKHRKLFLDGACTSEEIEDGSPIQDLELPYVRFEEIALATHNFSEENKIGQGGFGKVYMAMLGGQEVAVKRLSHRRKSNAVKIVLAVLSSILVVLCISFAWLKIKGRYIQCSFIYLDAYGKKRKREKHRKLFLDGACTSEEIEDGSPIQDLELPYVRFEEIALATHNFSEENKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIVGDNQQNTNTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVVTGIRRSSTSNIMGFPNLIVFSWNMWKEEKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVFFLDNGSNTALPAPNSPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGR >KN539310.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539310.1:66712:77287:-1 gene:KN539310.1_FG014 transcript:KN539310.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPASASTCIAILLFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLGIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVASDGNLVLSDGATGRVLWRTNVTAGVNSSASSGGGVGAVAVVGTWGDFGETLYLRLAGAGRKPRTSALRFALPIVLASVLIPICILICAPKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNELYDSISGYMAPEYAMEGIFSMKSDVYSFGILLLEIVSGSKISSIDLIEDSPNLPVYAWNLWNEGKADIMIDSTITANCLLDAVIICIHVALLRSGQLSSAGEKTRKATDGSEEPSDSGPTTPLPDKKLLVFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLNKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASEPEPEPEIKPDPEPKPQPRRGRPPNKNTIKQKVGKPPVERATADFSGATLASVGNSGHRTQPPFDLQRQVMNGSFIADVLRASFASRNNGYNWSNERKLERIEDYSGSMGKWSAKSGRKPILTEESSRSTYCQPQPSSSIYELPVGVQLQQSYPRSLARFAAQLGPVAWEIASKQIERALPPGTKFGRGWVGDGEAPNATQPPVLTTSSTALIHPSSTETSSEQPTHNGPPSASHSAGPQPSSAPYASSTVTTHRVNGQSLPSQQHGSVPQVSAERGEHGAEVKGNHNNLHERPAIQHTVNGFSAVSGSNIFPSAAQMVANRMQTHTAD >AMDW01028009.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028009.1:50:193:1 gene:AMDW01028009.1_FG001 transcript:AMDW01028009.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GMRKLEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQL >KN539310.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539310.1:79523:82704:-1 gene:KN539310.1_FG015 transcript:KN539310.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAGCTCTQAATAIFLFLLSLPLAASDDRLAVGKTLSPGATLVSDGGAFAMGFFSPSNSSGLYLGIWYNNVPKLTVVWVADQLAPITDHPSSSKLAMADDSSNLVLSDAAGRVLWRTNVTAGGVNSSGAVAVLVNSGNLVLRLPDDTALWQTFEHPSDVFMAGMKLGIDYRSHSGMRIVSWKGAGDPSPGSFSLGVDPERPLQAKIWNGSRVHWRSSMWTGYMVDSNYQKGGSSAIYTAVVYTDDEIYASFTLSAGAPPMHYLMSYSGDLHLQSWSNVSSAWVTNASSTRRDPTRCLMWGGELLDMEKVNESWGDLGETLYLRMAGAGRGSKRSAVKFALPIVLASILIPTCILICVPKFKEEMIVKHDGKNNKKRALRVLSVSDEFGKEIPAQDLDFPFVEYNEIATATDNFSDAAMIGKGGFGKVYKGVIGGREVAIKRLSSGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSRISSTDFIEDFPNLSIYAWNLWNEGKAKNMIDPSIVASCLLDEVMLCIHVGLLCVQENLNDRPLMSSVMLILENGSNSLPAPNRPAYFAQRDIEMEQPRDDTQNSNNTVTLTVMEGR >KN539310.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539310.1:41402:42816:1 gene:KN539310.1_FG016 transcript:KN539310.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPHSISEKKAALRESPKEPKNVGNQQPRTSPFPKDKAAGTVGIKRPQPNGPLNPANPGTNGHLVYVRRRLETDHSKVSSSASADSISSLSSKKTVVDRPQEQGLKHQNSSLQTPLAPAAAAATSPASPSGGSPPQNSLRKQSLGKVVVQPSIIVTASPPPRNVVSTTSVPQNSIAAKLACSSVAAASPPPRNLVSTTPVPRNSIAANLASSSVAAASPPRNLASTTKVSQNSIAANLASSSVSATSTASRGAAPACYPVDPQRSSNQDWKERFIRLQAFLRNNEQSGQEEYIHMLRSLSSVGRSKLAIELENRAVKLLIEEAISHPEFVYGSRIIRIDYYFCILLSGKELQKMKVLNVLNKLSPTDALPLPTQPASVRHLAFPPR >KN539310.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539310.1:15073:20196:-1 gene:KN539310.1_FG017 transcript:KN539310.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRSAAPYSVALQGHSVSCMVRKIQRKHVCPVAGAGATAHQEAVDLAVLLHGRHVFAYMVRAMQMAVSAALNVVGLARQIQRSTVYAFVADSAAGTSRGVVSDLRVKRGWIGTKLGEFVPHFEHFNLLHVADFVVLCIGRFSGFPNMPRFPPGKGPEAFAGTAIHSMDYSNMGAAKAAQLVKGKRVAVVGYQKSAVDIAAEGVASVHDSVTDEAMDRAGLRWLFAKFVESYYKWALPMEKHGMVPDEDFMEAMCSCSVMKLPDKFYDKVEEGSIVLKKSKGFSFCKEGLVVEGDSSSETVKSDVVIFATGFNGDQKIREMFKSPLFREIVAGPPSSIVPHFRQCIHPRIPQLAIIGYAESWSNLCVSELLSKWLAHFLHGSFRLPSVKEMEEDIDEWDKYMKRYSPGRFRRSCIGPVSVLCSDRLCQDMGVQRRRKKWLLADWLVPYGPADYADINLNR >KN539310.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539310.1:56163:57255:1 gene:KN539310.1_FG018 transcript:KN539310.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVKQFRLQIDMQCRCMGCIRKIEKAMVCIGSVTGVETSVADVDTGIVAVAGKVNPTMLCHWLKRRIRKDVKIVYPDQQVQNSKQKLIMVLGSSSNAKGAHNTPSALPIQDHMSWDSVPPIVQSNHQSLPLIEQKIRELEKVRDMLKIQNLETELGAVRCELKQSREAINGRKKAVMDSALNQLEAYHKLEALSHSPFESCYPSQ >AMDW01040090.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040090.1:146:870:1 gene:AMDW01040090.1_FG001 transcript:AMDW01040090.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RTWQRLRSTGIFRDAIPHPYERIGHPMHVKVAQRRFESRLGKHSFGWTYCGSHNFSPAAWGQQLPPPKANPTEARAVSSGLRLHICNYELGIILIIPPSAMSKQTSGRRHEINDIALPFVVPPPQYKPGDRPATSLAMREAMAEARILQSNDLVLDLSQDTDEDIPDEDDEHVIELSDCSPEEKEEEKIYAETLWEQVDSSQSQGKDQAGQ >KN539310.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539310.1:99932:108078:-1 gene:KN539310.1_FG019 transcript:KN539310.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRRPACHRQAALPWRHLVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPQRTVVWVADRGTPVTNTSSSAPTLSLTNSSNLVLSDADGRVRWSTNITDDAAGSGSTAVLLNTGNLVIRSPNGTILWKSFDHPTDSFLPGMKLGMTFKTRVSDRLVSWRGPDDPSPGSFSFGGDPDTFLQVFVRNGTRPVSRDAPWTGYMMLSRYLQVNSRDIFYFSVVDNDEKRYITFSVSEGSPHTRYVITYAGRYQFQRWNISSSAWAVLAELPRWDCNYYNYCGPNGYCDNTARAPAVPTCKCLFGFEPANAAEWNSGRFSQGCRRKEAVQCGDRFLAVPGMKSPDKFVHVPNRTLDACAAECSNNCSCVAYAYANLSSSISEGDVTRCLVWSGELIDTEKIGEWPESDTIHLRLASLDAGRRTKINAVLKVVLPVLSSIIIVLCMSFAWLKIKGKKRNREKHMKLIFDGANASEEIGQGNPVKDLELPFVRFEDIALATHNFSEANKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLSCCVERDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIVGDNQQNTNTRRVVGTYFGVLLLEVVTGIRRSSTSNIMDFPNLIVYSWNMWKEGKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVSTLENGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRVQKGIQILVMDSTACTTIVVFLLLLPRLCSSAGDKIELGEQLLPGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLVLSDADGRVLWSTNVTAGVAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTLIPEMKIQLNKRTRRGARLVSWKDAGGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRLYALISHERRQDLNLNFTENRRKRESQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFDGARKSQLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTKGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLVDSSIAQSSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTALQGR >KN539310.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539310.1:21568:21885:1 gene:KN539310.1_FG020 transcript:KN539310.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MADADADAADDAERAYIGKISAPLIAIVIGFGVMFLFLAKSETVRRDKTILFGYSAAAFVISIFGVCHILDYGRLWIRRRNAAANNNTRVHPSVSSQLPPQMDMC >KN543948.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543948.1:1344:2686:1 gene:KN543948.1_FG001 transcript:KN543948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRTSQVLLYDCMEELGFSWDPRVQLAGIIISAYKYQHLSLGGITTSNCIVICDTITKILMIPGTYMISDKTRYNGIIISAYKYQHLSLGGITTSNCIVICDTITKILMIPGTYMISDKTRYNGIIISAYKYQHLSLGGITTSNCIVICDTITKILMIPGTYMISDKTRYNGTYESELVSYFLFRQVGIIISAYKYQHLSLGGITTSNCIVICDTITKTLIIPSTYMISDKTRYDGTYESELVSYFLFRQVST >KN543948.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543948.1:4110:4466:-1 gene:KN543948.1_FG002 transcript:KN543948.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLDGITTTDDDVHGGGGGARQDQLEVVPEKIIHEANTPVVIHRQPAAVEVKEEEPKSPAPEKEITTTATAIIEEEEDDDEPKKGDAAAPVSTDSAAAAMDKFVAVVKEAMKKQPDE >AMDW01027656.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027656.1:118:234:1 gene:AMDW01027656.1_FG001 transcript:AMDW01027656.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKLSKKSNMKDMAKFIKEKLQIADVETVAAGDIVKDEL >AMDW01071848.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071848.1:64:144:-1 gene:AMDW01071848.1_FG001 transcript:AMDW01071848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALDKIRFLALTDKEVLGEGDTAKLEIQ >KN540676.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540676.1:2126:5196:1 gene:KN540676.1_FG001 transcript:KN540676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARAPVERGSRKVASRAVWVEEAGAAEVVDSKGKLWLTTGVNRDGKLYYNVEEIGFLAERGALVLVDYEGETIGMEEIYGKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTVKRSHTYSITDASTSVVDTDQIQSLNRVGGASNDITKLLKEMCIDDMHPSFEVYLPNSKFKKTSPGDPSFLLCLLSNKPPSREELETVENKFEGIPLKFCNVDNGRVSFLSFNKAALPSLP >KN540676.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540676.1:29696:33498:1 gene:KN540676.1_FG002 transcript:KN540676.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKKEKVDLDISGGDLTISRHHAHIFYDFECKRFSLQVLGKSGCTVEGVLHLPGGSPIKLDSQDLLQIGQKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPSHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNHNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLTSIGIVISDLCGLKKMIPIEKLHSELVACYSATWPRRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERLTVMNSSCDEEGQATSVRGKLHETYSNISASFSPWRLLRSNITPWRLYSLW >KN540676.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540676.1:16410:20663:-1 gene:KN540676.1_FG003 transcript:KN540676.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKHFASCEHCRDDAPRKKGGGKDKGGKQQQKKKGGGTKGSAAKAKVEKSDMWVCLDCGRHFCGGEVDVTKPYGHARRHAKQDRHWWAARFDDPTVAFCLSCEKEVSIEMPRIETVAAVPTEVAGAADRDLGLVNSHGSVIRGLPNLGNTCFFNAVMQSLLALDRLRSKMLGPDVPTGALLMSLKKLFMETSASNDVGGALSPKNLFSNICSKYPQFRGFQMQDSHELLRCFLDGLHTEENEARKLADEASSATIPTIVDSIFGGQLSSTVSSTECTHSSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRNKQSLRDRNKNRRYGKVSTRVTPTIEASNKEKIQTVAEGNNSLIPGSESGQVVSEKEPEPSECSESCASVPNLEQTGTSNVEDGTCWLDYIDDADEAKSEILDSADSIEAGQIWEDKGVIYGPFLPQDDALSKEQVLGSEHSGENPIDDATSSQPVILLPYKEFGSTADEMDGTTENSQKPEDAVAPPAVSPLPEDNAQPASVGDGDQDDYVGLGDMFNEPEVTSEVKKETGTVEDIDVMAWSSNSAEDEVDDSNAPVSVEGCLSLFTEPELLSEPWHCELCSDSIACPNTNGGKDDEMATSVNERKDGEEMMAGGDETQDGDKLIANCTEKEGIDQIMATDGCSDNLNSDMNSKEGVCANSSLVGADNSVDANFPENGKVALLKTGSSLVDTTEQADSKVYRQETRDLNNSAVEYTSSSKQPHDSAQHKDEHNVDVASEETTAPECSCDNESASCSTTNKNEAECGVGAEEIVASSLPSETQRILPGEKDNEDVVTRNHGRRKRMKMVGKAQQGQDNQNEEKENGKKVFRSAMRRILISKAPPVLTINLNRFSQDSHGRFKKLKGHVRFKETLDVRPFMDPRSKENDNTTYRLVGVVEHLGTMAAGHYVAYVRTGKIGGRQQRSAGSKSWFYASDAQVREASLEEVLNCEAYILFYERVGD >KN540676.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540676.1:5815:9276:-1 gene:KN540676.1_FG004 transcript:KN540676.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNGADKKKGENVVTPYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILGVNRQSKKKPGANHCHHERLLGVARLLSEFLNLRYSQISFLLARSFFVDLCTAILALLARVRALVQQMLLDVVSVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPETTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSIPCPDVKPETKKRVAFIAVGNPKATASSIGTTLTKKQRLDVIPRATAEPEDLYVKPETKKRVAFIAVGNPKASASSSGTTLTKKQRLDVIPRATAEPEDLYGKFSEDTDKSIF >KN540676.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540676.1:34799:36666:-1 gene:KN540676.1_FG005 transcript:KN540676.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGKIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSSNGSAFAAPHPEEQRQFLHCLRFAGNGNEINRGRTVWRKLAR >KN540067.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540067.1:18416:20913:-1 gene:KN540067.1_FG001 transcript:KN540067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGAGQVFDEMSLEETNPAVVEEEEGKPGSAEEDAVAYGEVQVFDEMPLNETDPAAAAEEEGEPGIAEEDAVASGEDRLSEMPDMVLHHVMSFLKAWEAARTCVLSRRWRHLWASAPCVDILLTSDRQPPPVNRRMRHHRASAPCPCADVLWTRDRNAPSDTRRGFSSRCPSLEELELKKCVVSAREISSVTLKSLIMVECKFTMNLSVGAPNLVFLQCITPMKWVPVLKDSGSLVTGSIMIDDSLLIGDSKKGHEVDGFSSDYSYGGSSEDYFDDLSSDISDDYDYNYENDINSDADTYEYNEIVNEYKFEQYKDHDDGGDCSMGGKYHGSSSNNGFNDDKTLGGQNVLHSLSNARSLELLAHSGEVVLSRESRSCPTFSNLKTLSLGEWCISMVADFDILILFLQNSPNLEKLFLQLEMSYNIQKELEKGIKPKGGSFACKRLSMVKIRCTKDDLRVHMLAQLFNSNGLSLEKIFVRRSGSFHMLIKRHAIT >KN540067.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540067.1:50436:52269:-1 gene:KN540067.1_FG002 transcript:KN540067.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGETQLRPTAAGHPDPGLGTSSAEYVAPVGPATAPVAYPYIGTYYGGIYGAYSGQPLVNAALMAMPPHSVPLVTDAVVEPIYVNARQYHGILRRRQSRAKAESENKANKIRKPYLHESRHLHALKRARGSGGRFLNSKAVEGKQDTKSVDKKDGAVPSEKRDNKLANSIIKLENSSPTTQPGAHAADVV >KN539939.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539939.1:54262:55953:-1 gene:KN539939.1_FG001 transcript:KN539939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLWEPTVLQMLLLLLVVLYELVLQALAFLPQVLMPPVHGGKNHLLVARNAISTPTGRCAAAQIGSQDKETIDIEDDDTIQPARSDARSEKRLNWSNEEDIRLKINKEKDKSVTPNPTHVVNVEDTPKQRPIGHKKAKDECSGNRLTSEAISVIDQKLDKFIEVSSKAEKMGEVQQSLANKKLEVANLNHKAVQEQTKGKMIDLYKDLLLAPTSDLSEDALAERSKALECMRLAFFAKDN >KN540067.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540067.1:5126:5767:-1 gene:KN540067.1_FG003 transcript:KN540067.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKFSGRWSAGHLFDGMHSPGEAARGGGGGEDDLLSALPDALLHHVMSFLRAWEVARTCVLARRWRHLWASAPCVDLRVSRGGVHRPPPREFAKFAYRFLLEREVSAPVDTLRVLSSPVCYDNEEREDYSTRDVEAWIRAAIKRRARVIQLTDHQDDEVFSDFDHVPIVTRHLKHLKLSGSVLEDRKDTKAALFPVPFFRNFRAQGLPSRRR >KN540067.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540067.1:23109:25548:-1 gene:KN540067.1_FG004 transcript:KN540067.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGAAGQAPRRDDGEAAGPSGGGGGGGEDRISALPDAVLGRIVSHLKAWQAVRTSVLSKRWRDVWASAPRVDIRHPCACDERADQERFHCFVDTLLLRRRPFAPIKALRLCWTHDGDANNWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLTTFGMDIKAITHICSSAVQSGDKRGSKSDQDDLEGCNDDDRTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYLHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRRTSRTRRDSRAKQKEQEDHLRLAKKW >KN540067.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540067.1:38085:40176:-1 gene:KN540067.1_FG005 transcript:KN540067.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFITQICYRCTFLEELELKNVNPLEGQIQSTSLKRLSIINCFISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDDDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDHESDHDDDVPSSPYSDSKDSCDGNDSECESYESGDKEGDDLEDCYSNDMLENLIKVAKGLTAYHGEASEKFLNVTWPGGLKFCLFGLPPLPEKGKSDLGLIIH >KN540067.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540067.1:2239:4221:1 gene:KN540067.1_FG006 transcript:KN540067.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGLFLAALLLFTSVAAAKGGGAKSGGGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFSDAEVQRDMKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGVEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRLMDHFVKVIRRKHGRDIAGDARALGKLRRECERAKRALSNQHQVRVEIESLFDGVDLSEPLSRARFEELNSDLFKKTMVPVRKAMADAGLGKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGVMTKLIPRNTVVPTKKTQVFTTYKDKQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGAPQIEVTFEVDANGILSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFAEEDRRHREQVDARNSLEAYVYNVKSTLGGKMADAMEGEEKEKVEEAVREAHEWLDGNPDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGGGGAPEDGNVDDEDDHDEL >KN540067.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540067.1:28702:35357:-1 gene:KN540067.1_FG007 transcript:KN540067.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISPKIKILKLTTFGMDIKAITHICSRCTSLEELELKDFRRLDGQIRSASLKRLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPAPVWKDDNDGFDHDDIFAIASGEHFDDKRENESDQDYGFGDGSDDNIASESDHDDDGPPSPYSVSYDGDNECESYEPRDKEESDRTVAYGEIADEYSSNGDPSDEYRGNYVSHDSANYGRANKFGNLKFPVKSIVDASAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNVKRLYLHLDVHVINPLNHRFTKDSQKKKEHRSQWRIIFLQQPGEGELFDGMHQGAAGPRPSGGGGDRLSALPDAVLLRIVSHLKAREAVRTSGISRRWRHVWASAPRVDVRYPCACDGRAVDQKRFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVSAWIAHAVRRGAEEIDLSARRHQGYPVPDYKHFISPKIKILKLTHLRTTRFTADNTLDLLCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPVRAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLDDDDDGSDYDDCFFAPKAEGSDDKRDNEADNDSGDKKNRDDESSSYGIPTPTPSNEYGGNYGNHDYTILGGDHMLDNLSDVRTLGLLGHQGEMLLRRQLENCPIFNNLNTLTLGEWCMAPDFSALSTILENSPHVERLYLNLDMDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVRRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSRSPE >KN540067.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540067.1:7013:9964:-1 gene:KN540067.1_FG008 transcript:KN540067.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKAALWRPRHGEGETSRRGGDGDGEAADRLSALPDGVLHHVMSFLKAWEVVRTCVLSWRWRNLWASAPCVDLRIRYFRLDSEPPEEPRDFVNRLFRRREASAPVDTLRLQLSDPDNLFDNDDANAWIRTAIKRNARFIHLTGHRTEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASLKTLKMDRCKINVDLSITAPNLVFLNIVTPYIRVPSFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYGYGSDIESDDNTYEYSEIANECGELQYGNNGDGHNSSKDGEYDNAETFGGQNVIHSLSNVRSLELLAGAGEVVLSRELKSCPIFINLKTLSLGEWCMDAEFDALVFLLQRSPNLERLFLEPQLISLFCKKNFNTRKALESGVKPTGRSFTCKDLQMVKIRCSKDDARVHMLAHLFRANGIPFDKIYVHRSGSSRLRSEKVMRDLAKQELEFWGSDEFWGSDYEYCGPDPEFGDSDLEF >KN539939.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539939.1:2268:3364:-1 gene:KN539939.1_FG002 transcript:KN539939.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTNNTSSVIATETTSASHVIKIDGYSVTKDLMENGEFVSSIPFSVGDFLWNVRYYPNGNCSKNADYLSFSVFLESHWAEDVKAKFSFKLLDTNDKPVRSRNFISNTHNFSRRGSNWGYSRFIKKRDLEQSEYLIDDSFTIRCDLTVMKGFSSKGSHCKPSVEVPAGRLDLHLGNLLSNKKMNGKDVTIYVETWSQDAMMAQHLLVAADRYNVGRLKLICEEKLAKHIDCNMVATTLALAEQHSCQGLKEACLEFLASPTNLERMMRTDGYEHLKNSCPIVLNELIARLLPPNMKAARHIAMDLR >KN540067.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540067.1:116:406:1 gene:KN540067.1_FG009 transcript:KN540067.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRTIIEEKLKVDARKTLIMNDEGAEIDSIDVIRDNDKLFIVTEEHMTAVASMDSVSGS >KN539939.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539939.1:38676:40975:-1 gene:KN539939.1_FG003 transcript:KN539939.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCHCNPPCRHPVKVSNMTASGSRCARCRAHSFINVRNILEVITNENYWIGERTSSICPPGCQVGVPIQTHDELKLLPYDEPHLQREGILDANKCVHVSSDCAYRGTRRMALPTATV >KN540067.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540067.1:44188:48525:-1 gene:KN540067.1_FG010 transcript:KN540067.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEQGVDWRTRLGQDIRDCMINDILFSLQTKLQSTSTTLIDLQKVAARIEERIHTIASDYGDYLRRICLTRGDLDSYDVFLNDCQRQQASIRSSILLHQENKQGVLSNHVALNDHKEHSHPYEKDMISKLPNDLVQHIMSFLSMREAVRTSVLSHWWVNQWTFLKSIQLNIYWFHMDREKFSSFLDKLLLSRVQADAPMDTFELKSFAIDRANCWINHAIKHNAKVLKFAEYGKWEPFYLDPNLVELSSQYLETLELTNVALDATIFSQLANSCPALQNMLLTDCLLEVEEISSSSLKNLDIIDCYILKDLSICTPSLVSLCIKNERTDNSSFRNSYLIFATVIIIDASNVSSMELLAMDRQFTFVEKDGGEPMFKNLRNLSLGLWCITNMFSPLRRFVRHSPMLRMVTLRISPLDWKSHLTKEHQEMLISIRDRRGLILYIDWY >KN539939.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539939.1:29499:30717:1 gene:KN539939.1_FG004 transcript:KN539939.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNCNTFSTIVAEAVSGSHVIKIAGYSRIKVLLRNGESLTSIPFNVSGHSWTIRFYPNGDSAESQDYLSFYLILDSANSYDVKAIFNFELLGKNGRSMPSYSFTTDLRTFSYKGSLWGYNKFIHQTVLEESSAHLRDDSFSIRCDIKVFKEIYSQETKGVHNKFVEVPPSNLHQHLGNLLHNEDGSDVVFEVGDERFPAHRCVLAARSSVFKAELLGTMKEKADGAIQVDDMEPGVFKSLLHFIYTDSLDTMAQEDQSREASEEEDLHLLVAADRYNVERLKLICEEKLCESIDSSMVATSLALAEQHNCNGLKEACFEFLASPSNLLEMMASDGYDHLKTSCPAVLKELTTRFLPPETKASEEITIGLYN >KN539939.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539939.1:15387:17962:-1 gene:KN539939.1_FG005 transcript:KN539939.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRGNSSSSKRSERVDLVKVEEEEDGEDWFDALLRKLGHPFTVDEKGRPVDDADDREKGIWNAAMDYMRAQNMHLTIQKSTSFDKFGELAKSSEYDMVPNNLVLYPMMFEKRRWYHCNILGCKRLNDSTGEHSTQQRFFVELIINGGFIYNVLSCTKVDGDINNNLCIACPPNSGIVHPPAGGFICGVSKDQKIFKVSFY >KN540067.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN540067.1:13947:16449:-1 gene:KN540067.1_FG011 transcript:KN540067.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRNAMLGPPRRGEGETSRRGEGSEGDGNGEGDAVDRLSALSDGVLHHIMSFLKAWEVVRTCVLSRRWRHTWASAPCIDLRVRYNDVDSEPPEELRDFVNRLFRRREASAPVDTLRLQLSDPDNLFDNDDANAWIRTAIKRNARFIHLTGHRTEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCAMTGHEISSASLKILKMDKCKINVDLSITAPNLVLLNLITPYIQVPSFKNLESLVSCSVILDDFFLGDAYEHSSDEDDIDETTDEDDIDDQKKTYKTGYGFGFPQKGYGLAGNKDDYGYGSDIESDDNTYEYSEIAKEYGDQQYAQNSSTIVQGVGTSQQTKTISGGHNFLHGLSNARSLELLAGAGEVVLSRELKSCPIFSNLKTLSLGEWCMAAEFDTLIFLLQRSPNLQRLFLKLKLNFNTRKPLESGAKPMGRSFTCKDLQMVKIRCSKDDVRVHTLACLFRANGIPIEKIYVRRTGSSYLRGEKFMRDLGKHELEFWGSDSEFCGPNSEFCGSDSEFEDSDMEF >KN539939.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539939.1:42009:42212:-1 gene:KN539939.1_FG006 transcript:KN539939.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEGRCALPPPCCHQSPEAWREAYGGDVDGECVDDKEEWRLQRHGGGGGSGGGGNNDEEKREEDAA >KN538693.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538693.1:497873:499963:-1 gene:KN538693.1_FG045 transcript:KN538693.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHSKSMLAVFIIVFIHCLSVNIGVAVANPAASDGRFVHHGFTAEDLTMDGLAAVTPTGLLALTNATYQTKAHAFHPAPLRFLNTSSSAAAAAVRSFSTSFVFAIVSDDPRFRNNVDHGLAFVVSPTKNLSTANAGQYLGLLSMADDGKASNHVFAVELDIITNPEFGDIDSNHVGVDVNSLRSLQAKTAGYYVDGDGAGAGAFRSLQLNSQKPMQVWVDYDGQARQLDVTLAPARASKPRRPLLSAAVDLSTVIEDVMYVGFSSATGVVFTHHYVLGWSFSFDGAAPSLDFSMLPKVPRVGPTRRSVMLYVVLPIASALLFLVAFVLGVFFFVRRWHRQYAEVREDWEVEFGPHRFAYKDLFHATQGFADKNLLGVGGFGSVYKGVLPVSNTEIAVKRVSHNSRQGMREFIAEVVSIGRIRHRNIVRLLGYCRRKGELLLVYDYETNGSLDKCLHDNATSITLCWPKRIHIIKGVASALSYLHKDWEQVVIHRDVKASNVLLDSEMNGLLGDFGLSRLRDHGADAKTTYVVGTMGYIAPELMHTGKATPLTDVFAFGVFLLEVTCGRRPIGESDSNEILLIDWVLKHFLSGSILDVVDPRLAGRFSFEEVNLVLKLGLMCSHPLPKARPSMDKVVKYLDGMLPAPELSPTHMSYNMMELMLQNGVGSHSLPVWSSLLDNSVGTMSSASVLSDGR >KN538693.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538693.1:460554:469097:-1 gene:KN538693.1_FG046 transcript:KN538693.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLRKLLSPTPSSDASSAAAAPVWPHLSPAGQAALKQHLLSALQSDPPKPIAKKVCDAISELAALLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLASALAHPTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQIAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHARVRWAAINAIGQLSTDLGPDLQVNYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVTKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGTTMENDDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGLAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDENQVRAVSDEIKNVIIASATRKRERSERSKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICVFDDIAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVITHPEAKHADNIMAYDNAVSALGKICQFHRDGIDAAQVIPAWLGCLPIKDDKIEAKVVHDQLSSMVERSDADILGPHSQYLPKIVSIFAEVLCNGTELATDETTKRMIWFWDVLHQRL >KN538693.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538693.1:428157:428531:1 gene:KN538693.1_FG047 transcript:KN538693.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPRAEDEADAKETVKPIFITLKVMDQEDRRIRHTIRMADKLQAVMDMYYAKAPEVTYGTGTFLFDGIRLKGDMTPVGLEMVDGDTVDFFPVMIGGGGFFQCNRSSSQFSLVVCDCRRRAP >KN538693.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538693.1:553127:553558:-1 gene:KN538693.1_FG048 transcript:KN538693.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCMVVEVNRESQTPQLVEAADLTLQHRFSRMLGSAHLVDNNGELLLVHRTLSGDKRLYQVYRVDLDGRKTVPVRGLGAGGRAVFIGHDCSLSVSLATFPSIVGDAVYPGFGCGDRTGREHVEAYHLNTHAMKTAKRIGNTL >KN538693.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538693.1:422563:424714:-1 gene:KN538693.1_FG049 transcript:KN538693.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHHCCWFLLLLLLLAAWCVRGEVEVEVANGGHQDLPPLLSFKSYNPAAAALESWVGGDPCSGAWIGVRCSRGRVVGVFLDNASLVGGVAPLLGLARLGVLAVRRNSLSGRLPPLDNSTTPRLRHLLVSHNQLTGGLRVSLPSLVTLRAEHNGFHGGLRALSVPMVRSFNVSVNMLDGEISGDLSRFPSSSFGGNLGLCGLPLPRCVHAYNALGDSVGQSPAAAMEEASSGGSNGGLSKLSVTALMATGIGNAALMVISVAISVAMFVYMRRKLRSWKGASNAALSFEEEDKVRNREEKGQKSNGGGGGGVRSLDWAARKAILFGAAQGLNYIHTFPARPALVHANVKPSNILLDEHGAACTADLQCKLRQKLSVAQYPVVGAIS >KN538693.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538693.1:558475:560527:-1 gene:KN538693.1_FG050 transcript:KN538693.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLHGSGPLRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRLLKGVAQEINAEAKFQNDFLSQLVLCLAFSFKLVVMTCFPLQMTLIKAQAGVKHNMRRMNKSIIQQGSNHVVHVVLFALFCFFVVYLLSKFSRR >KN538693.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538693.1:544608:552494:1 gene:KN538693.1_FG051 transcript:KN538693.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MACLALIFIGPHQPGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEPSPPNEEFFRPQPVQYDIQKFFILLIQIEKGITGKNGTFHTEQAIEYGTTMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMLE >KN538693.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538693.1:511663:521678:1 gene:KN538693.1_FG052 transcript:KN538693.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAALPIETAFSLPAPLPSWPSSVIGLQVQYDWNISREKYMHGKCRSYMAKCKLGSFRRSWRVSDGGFAKGSIDLGGLEVRQVTTFAKVGPLTIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGAWVDASQLEYIAGGNRPSAYSSLHGHALYPRAGLVLQGDARLGVGIRNDCDRGSRLDTGGAGRCEVVSAEYLGGGGGGVAEPGWLLFDREWGPREEYDIGREINRVAKLLPRSTRERLRKLVESVFVGEGPTGPRMKGSWRNDEREAKCALKLVQLDQPHYRRHCQTSRIPAGDFYGHIQFEELPWDPSLVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >KN538693.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538693.1:455298:456309:-1 gene:KN538693.1_FG053 transcript:KN538693.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFERIKVELSASLYAMNGLQEYFDKLQMAELHESRRNTAVAYDTGTYKRTHHDKKRRDFLLQWAGKGHRTPGRA >KN538693.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538693.1:434032:435895:1 gene:KN538693.1_FG054 transcript:KN538693.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWTGITAAVKVEKEDDGKTPAAKRAGEYVTLKVQDTDGRAVYRTMRRTEQLQGLMDYYYDHAHGPVQRGTDFLKNSMSASRSAITMSKRSRSAMSSKTTGDDSSSPELEASSLITLRVKDSEGVRITRTMRTTDALDDLMDFYLGMVPADMDAAEGVFMHYGRRVAGDRTPADYDMEDGDEVSFFPDGTWATPVTLTVTDNNGRRVTHTMRRLHILDILFDLYFAMLPSTAPREGVFIYHCRELSRRQTPEECNMKDGDEIAFSPFSKPSAFVTLTIRGNNNNGGGGVTRTMLRTEERLQNLIDFYFAMVPTDDERGEFDVTYCGRKVDGEKTPAEYGMEDGDQLRLAPATERGRFVTINLVTMVGVKRAYTLRRTDEMQGLMDLCLSREPASMYQNGCIFLYDGRRRVQGSETPDDLELKDGDTIDVLAHLVG >KN538693.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538693.1:482971:485020:1 gene:KN538693.1_FG055 transcript:KN538693.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHASHLATLAMLAAVLCLVGVATAAAGDVRFAYNGFVGSNLTLDGAATVTASGLLMLTNGTIQMKGHAFHPTPLPLRAARKASGGGNAMPSFSTTFVFAILGPYDDMSSHGLAFLVSANREVLSTALPSQFLGLVNDTSNGTRSARTFAVEFDTIRNAEFHDIDSNHVGVDVNSVASIKAASAGYYEDGSGRFRNLTLISRRAMQAWVDYDSDSTELVVTMAPVGVSRPRRPLLQTTVNLSDVVRGTAAYVGFTSSTGILFTRHIVVGWSFAQDGPAPALNISSLPTLPSAGSKPRSRMLKMVLLITLVTTLVLIATGAAICTMVRRRLKYAELLEDWEIPFGPHRFSYKDLFHATRGFRDSQLLGVGGFGRVYRGVLHKSKMKVAVKKVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRNDFGLARLYDHGADARTTHVVGTIGYLAPELGHTGRATPATDVFAFGAFLLEVTSGRRPMEQDEQTNFVVLVDWVIEHWRKSLIIDAVDMRLPDGFNPDEVALVLKLGLLCSHPLPNRRPTMRQVIHYLDGDRLFPDLSPSDFSFSMLELQMHRGELSQQNVALDILSLGIEDTIDFHRA >KN538693.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538693.1:506258:509095:1 gene:KN538693.1_FG056 transcript:KN538693.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVEESLPAAPDAPLETQPQVRTSMWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLALKNRVYAIDLIGYGYSDKPNPRELGESFYTFETWGEQLNTFCAEVIKSEAFFICNSIGGLVGLQAAAMEPQKCKGIFLLNISLRMLHISKQPWFGRPFIKSFQSLLRNTVIGKLFFSAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPRVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPNVGHCPQDEAPDLVNPLVESFVTRHS >KN538693.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538693.1:532883:539467:1 gene:KN538693.1_FG057 transcript:KN538693.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MWPASLKGVVILLMLMVLNVSGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSAVLRGTFCVANSSAPHSALKQSLDWACGPGSANCRSTGSNGSSGGAASGPQMQKCLACAHLVGSPYEGGIFFLDIVFPIDYPFKPPMGITKVMNIEKGFVHWKPNVLGHHLHPMSIYYLHSSLPTQPYMITCFMVTFKTRIYHCNVDSTGNLSMDILREGWSPALTISKVLLAIKAIITNPDPYCPLVPSIGRLYLTDRTKHDEIAAEWTMRFAR >KN538693.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538693.1:540313:541380:1 gene:KN538693.1_FG058 transcript:KN538693.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDLGMSRRTRRSTSLITCYQDQQVQPLVQQLRQDAKLKTLFQCQDTELQPPCPYEDQELRILQAPLQCEVDAQETLNQHRDEQEKLHHYLDEEHEKKLQDHLDEEPEKKLHHYLDEEQEKKLQDHLDEEPEKKLHHYLDEEQEKLFQDQDEEKKTPKQYLDEDQKTLQQCQDEEKAPNQYEDEDNTTGQYQDEEQKTAEQCEEEEEEKTSEKYQDEEHKSLKAQQQCQDTKQKAQEQRKTVKKPITPPFADDVPRFSLQDLIQEKQLLIVGEAKVTSKPGNGEKAIIADHKLPVPPAAGGATLAMVIKRPDGGKKSMGVIRRCVKALNQMVKAKHGSKKNKPF >KN538693.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538693.1:473727:476280:-1 gene:KN538693.1_FG059 transcript:KN538693.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNGGSVALLLLLLSFLLSSPLPARCDAPFPVNVWPKPTSMSWAEPHMAVRVSGSFHVVAPSGNAHLLSAARRYARLLLAERTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPLELPSEPALAEKGSYGEGMRYTVDDVKLIVDFAMNRGVRVVPEIDTPGHTASWAGAYPELVSCAGEFWLPDASDWPSRLAAEPGAGQLNPLEPKTYQVMSNVINDVTSLFPDGLYHAGADEVTPGCWNADPSIQRYLARGGTLSRLLEKFVGAAHPLIVSRNRTAVYWEDVLLDQAVNVTASAAIPPETTILQTWNNGGNNTRLIVRAGYRAIVSSASFYYLDCGHGDFAGNDSAYDDPRSDYGTSGGSWCGPYKTWQRVYDYDVAGGLTDEEARLVVGGEVAMWTEQVDAAVLDGRVWPRASAMAEALWSGNRDATGRKRYAEATDRLTDWRHRMVGRGVRAEPIQPLWCRTRPGMCNLVR >KN538693.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538693.1:448571:451567:1 gene:KN538693.1_FG060 transcript:KN538693.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGSYLGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDENDDGEDY >KN538693.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538693.1:486424:492642:-1 gene:KN538693.1_FG061 transcript:KN538693.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MLREPFHFATVLLLLLAAADRCAAATGGLQFAYDGFSGANLTLDGAATVTASGLLMLTNGSIQMKGHAFHPSPLPLRAARSFSTTFVFAIFGQYADFSSHGLAFFVSASADAFAAALPGQFLGLFNGTGATTGNRSAAGVFAVEFDTLFNAEFHDLNSNHVGVDAWVDYDGGSTEVTVAMAPLGTARPKKPLLRTNVDLSDVATGAAHVGFASATGILFSRHFVLGWSFADLFHATKGFSDKNLLGTGGFGSVYRGVLRKPDIEVAVKRVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVTCGRRPIEQDEHGNRAVLVDWVTEQWSKGALVNVVDARIPSGFDPNEVSLVLKLGLLCSHPLPNARPTMRQVAQYLDGDMALPDLSPTYLSFTSLERMYKEFNRNSISYISSASMGAISDISGGSLSNDVVVASAAGGDGQFTYQGFAAANLTVDGLATVTASGLLVLTNFTYQAKAHAFHPAPLRFLGESSTAAAAGANASGGAGAAVARSFSTAFVFAIVSGYDGLSDHGLAFVVAPTANLSAANAGQYLGLLNTTNGTASGQILAVELDTIMNPEFHDISSNHVGIDVNSLMSTQARPAGYYSDGDGAFRELRLNSRQPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVNTHHYVLGWSFSFDGPAPPLDLSKLPRLPRFGPKPRSKVLDIVLPLATALLVAAVLAAVFFVVWRRRRFAEVREDWEDEFGPHRFAYKDLFRATDGFKDRNLLGAGGFGRVYRGVLPESNLEIAVKRVSHDSRQGIREFVAEVVTCGRRPIETDEHNKRVVLVDLVLEHHRNGSIVGAADPRLTGKFDVEEVALVLKLGLLCSHPLPGARPSMRNVMQYLERGGKSAPDLSPSYVSYSMMAIMQNEGFDSFIMSGGPRSATSISTVSGASSMTIMSEGR >KN538693.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538693.1:452982:453296:-1 gene:KN538693.1_FG062 transcript:KN538693.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHWPLHPPTTPSASTATVTTDHHLSLHAQQPKYPIWRLEPLDLRLICDEEPVERVGVRESPHEKVFERLSRDGAISTVAATVTVTAAASVSLDVAWVSDLIN >AMDW01035480.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035480.1:91:460:1 gene:AMDW01035480.1_FG001 transcript:AMDW01035480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LYLAPITNSHRYQDSVYFWQDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPSV >AMDW01065939.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065939.1:165:422:1 gene:AMDW01065939.1_FG001 transcript:AMDW01065939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKAQ >KN542511.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542511.1:1809:4662:-1 gene:KN542511.1_FG001 transcript:KN542511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSLTFYLPAQLLYLDNILPPTDVGLVLVFTPRIHVYTKEIVDRLVSADQEAGGDGTPPFCNLPLRPLESTCYAIKQPGKGKGPMVEAIRAPAYTFPNMSAIIRPHLGGLPNEQRSSLLESIAEYDSLQLSVLLLHIKGAVNSYIVLCFDGSYSDTQLLAETMPDTNDVNSTPWSLPKRFIQEPAIFVSPVVVGPGMPSSDVSLSIQLRHFLLTNGGRMDSVKLLEIDSSVAYGNNVLESFSDGSLT >KN542465.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542465.1:1809:4389:1 gene:KN542465.1_FG001 transcript:KN542465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNHLLLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADSLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTASPMKLCSQIGLCAFDGTHSVRNNIESVVDKEMVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQYVVKLEQQGQTICISGFMAFDVPPPRGPLWYTNYTVIKKRELHVDRILGDVFMGAYHTVFDFGENRIGFAESA >KN541550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541550.1:3347:4223:1 gene:KN541550.1_FG001 transcript:KN541550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESINQRSSGKPPSLPSTATAAAATAVDLLHFASTKPQPCPTDPTDADQSTQRWILPESWVDSSSSSSSSEEDDSYSYSEEDEEEEEEEEEIEATPEMEAHWSASAAEFRATAREMLIPAVILAESRKKLGHHPPARPFEIINHPDLFERAWGWDTILILLYDVAHPFSRYKGYLTSDSCALIAGCRGLSSWTRGSILHRPSLKFWAIFVAQIGSLNRLRSKCCWSSWA >KN541550.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541550.1:7065:26244:-1 gene:KN541550.1_FG002 transcript:KN541550.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVLLLLLAALAQAQRAPTTDPIEAAALNTVFAKLGQQAAASWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTVCRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMTFRINSLSGPIPKELGNLTNLVSLGLGSNKFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCRISDNLASVNFLKFANLNLLFLGNNSLTGIIPNTEGSTLRTLDLSYNHLSGAFPWWATDTNLELNLVANNFVVDRDNESSNVLPTGLMCLQRNVVCSLGFPQSASLAVNCGSNRFISGSDNFRYQADDFSLGAASYYVAGPLTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTAVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSLSNPLDYKIMVDFFFWVKFTPTVCDTAEKNKRTSSETGVIVGVVVGVTVLGLVVLAGIIMWRQKRRKLSLEQQELYGIVGRPNVFSYGELRSATENFSSSNRLGEGGYGAVYKGKLTDGRLVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTGYFQFDATQILRICIIVDRNLNGYLAPEYAMRGRMTEKVDVFAFGVVLLETLAGRPNYDDALEEDKIYIFEWVWGLYESDHALDIVDPNLTEFNREEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVIEEGR >KN543449.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543449.1:480:5222:-1 gene:KN543449.1_FG001 transcript:KN543449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLALGIKSENNGKRDYIGTETGQALHKQDSKVLTKKTIKLDAPPCSKRPKLEPVQITRETESKSHDFLLQKNVPELMQCAPSEKSRLLKQKRIYDPKRIDKKNFRSGVRSKYDCFTSRTSLGNFDPCLGNNTLGTHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLRTKDELLVSVRKAFSMLSGRDSYSKDPNFLLSPKLPTASTSSCDGKDQCTDKPMKGPSQMEVCDSTIHCPKDILNRLTLPQGQDLDSLLSPGCESSAAVKPSLPSVTTHGASLPPFPWSHSQAGGYRPGADCGKHGSSRSNTQWQWVRVGSNLTALDNEDPSVHKIDDLLQEMDTAKTSIMDSYGKQSSLCCTESTSGSLGQIIQSRKKLNGHNPQQLFSMDNVDSSDSFQKNDNESFLLRTPQASPKVLQAAEILCDMRSSMDVWSPQVLSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARAGNNHSTKKIVDRKNDSVRMNNPGKGSIRWPVPIEDGASPVKSERGLVLDMRQNHVNAARHPIQVSSQAKEYENQQKLRKATLTSSLGSAGDWNRDRNKRM >AMDW01078934.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078934.1:448:771:1 gene:AMDW01078934.1_FG001 transcript:AMDW01078934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSVKAPNPHGESIRDSANMYSVLLANELLGIESRWCEQRDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADELYESNPSMRT >AMDW01038317.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038317.1:152:758:1 gene:AMDW01038317.1_FG001 transcript:AMDW01038317.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELGIGIVAYSPLGRGFFSSGAKLVDELPDDDFRKSLPRFQPENLEKNAAIFEKVNAMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIHNFDQNVGALSVKLTPDEMSELESYASADVVQGDRYHGTFLNTWKNSETPPLSSWRS >KN539693.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539693.1:87357:88100:-1 gene:KN539693.1_FG001 transcript:KN539693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCLCLKGHISEDALFLVFRHMNWNPRVIALFSCVSKWFDDIAKRVLWKEFCHARAPRMMQDLHSGGSHIVDGNWKALGKLLIHCAGCTKGGLFGNIHVPGHFVFRTRFSRTCGKSILPPQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFASSKVKKMLIEKQAKFHPKEVCPYCKAKLWNLLQANMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKE >AMDW01034076.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034076.1:326:451:-1 gene:AMDW01034076.1_FG001 transcript:AMDW01034076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TYERNSIKHNQETKDLVSGIKELLFPPQDDSAEGSTIPKEL >KN539693.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539693.1:66669:70544:1 gene:KN539693.1_FG002 transcript:KN539693.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIVAQWPKGFLSSILLDKVFLGFLGNGAGLLSQSESDRFVAIAAVFCVLRFNLMNDCSRQNQCFIEIVFHLTSRYYRSDKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGLTTMHVVVRAPTSDKQSNKIVAKKPKDFSLLMLQMNFLKQGIIDKDRTQSVVISRWQYW >KN539693.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539693.1:34951:36182:-1 gene:KN539693.1_FG003 transcript:KN539693.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDVVVLSSASATRHSCKVCGKGFACGRSLGGHMRSHSLTEVDAVAAAVAPAYERADDDEGGDGKTPELSIETSFSSASTSVSPDTMISASTVAKTVKKPTKFVCPICSKEFGSGQALGGHKRSHSIAGELYERGHADGIVKLEQPLLADRFLDLNLPAPGGDDG >KN539693.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539693.1:2103:5798:1 gene:KN539693.1_FG004 transcript:KN539693.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding METKDQFELDENDSKPLGQMITSENMVHRCDVCGAAAHMICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDIADQSEEAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKTRSKPGGILSSITHGANEFASTVRGHLRNRSKKQKEHSRVPSDCNVGDSNDDSSCDTAANANQRAKDLKSSGDNVQKSAENEHDSSESDCKEVIPEPRRLHHDDAEGAKLKYILDDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLLLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVAIEDKQGKNVLMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFDPQSIHDKMVEVVSISGTWHLGTLQAFMLRRTIEESLGHAAAIVTVVLENAESSHLITASQKRALLQEMALRLS >KN539693.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539693.1:27125:28839:1 gene:KN539693.1_FG005 transcript:KN539693.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSHNKNSNQFDPLSYVNLSGLDADSQSVSFTDMNSRDAPSNSHVTDVGKENMLNNPEESKIASTGLKLGSPISPENFSFSSLPGSSCHLSTLDHGKRPLSDVRPFQVAYLADETREPDYIYHNSGISACNTSSSIPYSNLEQLIGEENLYLPDWVTTFPGYTGDFWPAPVADQVDDIDSPIHDHLPRKAVAIGPDHQADIPEWRPRISMTVPYGSGSCADLSYSSVSTSGSAPRDEDSESDKWIKHCVIEMPSSCSVAWVGDHGRDCGCSDEGSIRCVRRHVLESRENLKRIFGEDKFRELGLCEMGEDIAQRWTDEEESLFYRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRAQQNRSEPLHVDSDDDEVPDEPSVTEDEDSAVESPAHDYYVNNPMSPESEDSFHEKVADSLSGLRDGPSQKPLGSNTDNPGGDADVQDESCTSFEDHNGAHGSNGVQCAEFHMMLPNAALDHYSDRGACM >KN539693.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539693.1:77345:83348:1 gene:KN539693.1_FG006 transcript:KN539693.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITIQSLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRLEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFRKMSEESAQAAAEDEKFLPAV >KN539693.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539693.1:11730:13610:1 gene:KN539693.1_FG007 transcript:KN539693.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPDVEVVVVDISAARIDAWNSDALPIYEPGLDDVVRRCRGRNLFFSSDVERHVGEADIVFVSRISSVNAMSALCEATGADVAEVAYAVGKDSRIGAKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAKVSIYDPQVTEDQVQRDLAMSKFDWDHPVHLQPMSPTAIKQVSVAWDAYEAARDAHGVCILTEWDEFRSLDYARIYGGMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >KN539693.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539693.1:46860:55657:-1 gene:KN539693.1_FG008 transcript:KN539693.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTFYCLLLLFHFFAFLSSIRTAGDVTAIAAAGREEEEEEHAVAITAGDGGFGFLFPNLRLLRGFPAVQELHANKGGGGGGVAVWGSSIPWWEWDNPAAPSALRAALCRLYAEAYCGSFCSKRIHGADAVDEEEKKKKKKRRVLRYDADVADAAVGDDDDELQQCRLRLRWLVMNPLSLQALLVLLLATTWIVVDYFLLKNRMDNERGTEISTIEYFSTDEGVDLPRSSIASIAPIDLSTMERATGGFSKRNIIGEGGFAIVYKGKLPRNHVLARDLQYKKKIAVKRLKPSALSTKGLHDFTREVELTSRVRHDNVSRLLAYCIEGDERILVYEYMPKKSLDVYIFGTPKRRASLNWAKRLGIINGMAQGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNTLRPSLLSKAWKLWDEHRIMDLVDPSMVRRCSEAEGLQSRVRRCIQIGLLCVQDSPCDRPTMSQVLAMLTSDSSSWLNKPKPPAVFDDHHCH >KN541086.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541086.1:504:7099:-1 gene:KN541086.1_FG001 transcript:KN541086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLAAGFLFWWRHRRNRQILFDVDEQQIENVNLGNVKRFSFRELQAATEGFSGKNILGKGGFGNVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPFMSNGSVASRLKAKPALEWGTRRRIASHVTTAVRGTVGHIAPEYLSTGQSSDRTDVFGFGILLLELVTGQTALEFGKSSNHKGAMLDWVKKMQSEKKVEVLVDKGLGGGYDRVEVEEMVQEEGKGGGEAEGDKVRGTNEKVEAAGLGVEGDLGVDEVGIRLGIWYMGTYNGTSRYP >KN539080.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539080.1:70851:71886:1 gene:KN539080.1_FG001 transcript:KN539080.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTVSLAFSTTAAAGFTATVLRSVGGGWPWVELDAHADEADL >KN540448.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540448.1:4301:5618:1 gene:KN540448.1_FG001 transcript:KN540448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDFVVSTGDNFLENGLAGADDMAFHDSFMDVYTAKSLHKPWYLVLGNHDYRGDVLAQIDPALRKIDSRFICMRSFIVSAGIVDFFFVDTTPFQLQYWTDPGEDHYDWRGVAPRDAYIANLLKDVDAAMKKSTATWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENGVDFYINGHDHCLEHISSRDR >KN539080.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539080.1:47080:53165:1 gene:KN539080.1_FG002 transcript:KN539080.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMEGKGDASVTPVRTSDRLRKRPKYFGRNYMYYNPAIRKKMKSKKRAAASQIAKKLLRKSAARAPPADLMEVVVVLSFIALKSALEIIERVDRVILDLLGIIVSVWSNLRRSTRKRRMSVNLEDYDTDSSSMEDDDLMRPRYRSSKNKVDDEVSARPKRKKLSNSSSIPRREGLRPRRSIRGQRLHPYQESEDDQESSEEQPAQDRRENGNDIEEDGNEEEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRTQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRGGRGGMPWFLGGLDMHSPGAWGLNVGASGWGHQGDNTVSTSSLMPGIQTAGPSSKGGADIQPLQVDGSVSFNDIGGLSDYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFFFPLPGYEARAEILDIHTRKWKDPPPKELKTELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKGHLEKIMERIADIFPFLSSVDVSKFSALTYGSSIPLVYRPRLLMCGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFGDLEEECASIFSSRNVYEVDQPSDDDRMRYLHALFESLLSFQMEESRSKSKDQKSSVDLPKAPKEVDGPKLSELKAKAEAEQHAVRRMRMCLRDICNRSNKVSVPLFSILYNKRFNVFHFPVSEEEQVDSGQYLTRASFMKDIDLIVSNAKTYNGSDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAEQGGPLQVTDDGDSSILQAAPVAQLVSGTRMSARLRNVQPEVNLSRSYEALKRQKKSTETEQGMVKESTTRDDKSPGDVDLSKPISPEEAPKEPDSNGALKETDNPPTELPELPELNPEPMVTDNGENAVMPASDDIPEQLEVVKRRFMELTTGYGVPQLERLYTRVMKGMIELSGKESNEDHRRLVVRYLLTFVENSDNF >KN539080.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539080.1:4587:7895:-1 gene:KN539080.1_FG003 transcript:KN539080.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSAFAVSTRMIRFSRGRGPAAILPVTSNDEPCCSCSPENNNKNNDGGVGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGGGRKNAILGDAADLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFHREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLEHPWLKNADRAPNVSLGEIVRSRLMQFSAMNKFKKKALGHLPMKVVAKNLPVEEMDKYTQMFHKMDKDNSGSLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSRKLCKGNS >KN541086.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541086.1:30574:30840:1 gene:KN541086.1_FG002 transcript:KN541086.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGSPPLPPSTRLSVSGRFDYRAEDEFCFELFRPSPSLELCAPNEMLW >KN539080.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539080.1:151225:151965:-1 gene:KN539080.1_FG004 transcript:KN539080.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVHIEQGSGVTEKLGKLTSLRRLGVDLDATVEEEKELCNSIGKLVRLERLEVRSKSLEFLNDLNGSAPKHLLSLRLYGHLGNLPGWISSLNDLAKVKLLHTQLKQEDIDLIGNLRNLVSLGLWGKSFAGESLHFQSDMFKKLKSLHIEGLEKIKTVDIEEGAMHQLEKLRVKKCSTLTDSEKGLSGVKYLQNLNELAMTSCGDKPELEKILQRQVSGRARLLTGKSMAVRVRSPKGKLNTTVD >KN541086.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541086.1:19510:21218:-1 gene:KN541086.1_FG003 transcript:KN541086.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFFFLLLLVSSSPSTARLSAYGVNTEGQLAIVSAPILAFVQALIEIKNLLEDPHGVLKSWDQNSVDPCSWALITCSPDSLVTTLEAPGQHLSGLLAPSIGDLTNLETVLLQNNNISGPIPAEIGKLANLKRLDLSSNQFHGEIPCSVGHLESLQYLRLNNNTLSGPIPSASANLSHLVFLDLSYNNLSGPIPASLARRYNVVGNPLICEQDCYRMAPMAMFH >KN539080.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539080.1:1823:4139:1 gene:KN539080.1_FG005 transcript:KN539080.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEERTKDLSQAGIRAECFSAASLHALEPELYVGRDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKATVIASGAWTRTLLRSFLEPNPILDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMSTKGNLILGSSREFKGFSREVDNSILKCIWDRAAEFFPTLKNVHLDINENTEIRIGHRPFMPDGKPVIGSVPDLPNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSGNIDEL >KN541086.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541086.1:7620:8694:-1 gene:KN541086.1_FG004 transcript:KN541086.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFFFLLLLLLLLVVSSSSPSAALLSAKGVNNEVQALIVIKNLLKDPRGVLKSWDQNSVDPCSWAMITCSPDFLVTGLLLQNNNITGPIPAEIGKLENLKTLDLSSNSFYGEIPSSVGHLESLQYLRLNNNTLSGPFPSASANLSHLVFL >KN539080.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539080.1:144035:147971:-1 gene:KN539080.1_FG006 transcript:KN539080.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALETEVDGGGGVSEWLRQKRYLIVVDDVRSLEEWEHIEPCLVESDAGGGRVIVTTRQVDVAQRCVRGMEHAYELRTLAAPHDMRLLCQKVYKDPEYTLQLHMLEEANKILGRCRGLPLAIATIGGLLANRPKTSAEWKNLRIHLGSELEFDQDINSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIRCTRLVRRWIAEGYIAKRRDMTVEEVGQKHYNDLMNRSMIRPMKKKIGASMAVERCQVHGMVLQIILSKSIEENQLFIIDKHCNEVPQSKIRHLVVTRWKRSEEKMATNINLSLVRSLTVFGECPASLISPKLRLLRVLDLENAVDLENDDLKHIGDLHHLRYLGLRGTNISRLPSSLQNLKCLETLDVQDTKVTHLPDGTAKLEKLRYLLAGVNFAEDLAEKMQTNAKNKANKCNGNLLETLADIVCRCRRGFSECCESSSSCFAGHFSVRAPEGIEKLRNLHMLGVVRIERDSGVAQKLGKLISLRRLGVDLDATGEEGKALCNSIQKLARLERLEVRSKSLLFLNDFNGSAPKHLLSLRLYGHLGKLPDWVSSLNDLAKVKLLETQLEQKDINLLGNLSNLTSLGLWGKSFAGVSLHFSRDMFKNLKSLHIQGLENLKTLNFEKSAADKLEKLLVKKCFALSDNERGISDILFLKNIVEITLISKGDKPHLQKGLQRQVSEFELVNKRRPKLQIVNSMSGRSPRANTIVG >KN540448.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540448.1:36124:41229:1 gene:KN540448.1_FG002 transcript:KN540448.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERGCATNQVRPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDENVTECELPTGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFSLDDRGPLSDSYADKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTPCVLLLNKYDTFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >KN539080.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539080.1:87066:87865:-1 gene:KN539080.1_FG007 transcript:KN539080.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKAEELVGASPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQDHFGWFEEIRPV >KN541086.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541086.1:31037:32152:-1 gene:KN541086.1_FG005 transcript:KN541086.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKPAGGARCRLTDDVARLLLVGRGARARHGRRSCVDRARLLEIHRRGMVAKFMEVGRVAKFIQGKQKSFIVLSLKRVKPDQDNRATRTPLIVSGLKRKADVFLHVATKKQHFDIL >KN539080.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539080.1:31579:44150:1 gene:KN539080.1_FG008 transcript:KN539080.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYLTRDTVSLSYAYNLPANKSHSQLASSLALFLEVARVAIKEVRKVKEMMVIDLFCIADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLADDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASTKLLTFNFLVQGNAFSSKHDAESAQSSDELEELESKTPSSYEPPRNILLSLRSASAKQTKSTLPRRSARLVPKRVLCFSMASEDCNAGSRAIDAVFLKDLESAMFIPDCVPSVLCWQSGWWNLQSTDSFPRSFCQEDEVYSEQPVSYNEQKHSVNKKPGNAVPETNKKSKKKKKKSKADKQVSTKSRDEKSLDSILEDLSIEKKPMQQRVNQNERASGKEIEIDETTPGTSSILSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSTSRLRGVRRVAHNPRKTLVVTPSSYWPPWDKSMSMDIVETKSGFNYFRYIYDPSVSHVQDLFEVAKSANDLNAIAAILAKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPMFSPLHSNCQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYVERDATNGEASDHSDKSTSVDLMKQALMLHPLVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMISIYVERHYIMWRFPELQNLLKDAALLVIESLKQDNREAQDWACVRKEAFSSDKNEYSHLLVSDFSDTTPSLPPEELRPFMVAPGMAHEMPPAEQELGIEVRPRAPREVAGRNPALVFLESLLPWVDYGDNHHDENDQNNDD >KN540448.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540448.1:14512:17829:-1 gene:KN540448.1_FG003 transcript:KN540448.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCFLLLLLLSPLLASAGEEEQEAVLAMAARLRRPAAASFREGYTQLFGDSNLALHGDGKRVRISLDERTGAGFASQDAYLHGFFSASIKLPPDYAAGVVVAFYMTNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERFYIDETPIREVQRTKSMGVQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFVAEFSELMLHGCAMDTLTRAPMCAPDIANIHNAVAMSGRQRSAMERFRTKYMTYGYCYDRLRYPTPPSECNVGPEAELFLPTGEARSMDRHGRARRHRRGPADSAF >KN540448.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540448.1:6748:10866:-1 gene:KN540448.1_FG004 transcript:KN540448.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVKEVETSAEATQNSQLVSHISHATEAQPQKGLRVISNVVPVPTCFVVNEVIDGWMVNVLEGLKLYKGYVDLTEIGKVLSFVNEAKTMRREPGLEGQTVVVAKRPMKGHGREIIQLGVPITEGPPEDEHLREVKVDPIPGVLQNLFDSLVHQKVVPSSPDYCVIDIFNEGDYSHPHHHPPWYGRPICTLCLTDCDMVFGHVIAADSRGDHAGPLKLSLSTGSVLVFEGKSADIAKRALPATSKQRILLSFGKSVSRKHVQLESSLLITPPLTPPPMPWGAPLRPGNIAIHPSSPKQLVYNPSNRVPAVPTPGLHHIPSNGIQTVFVAPLPITPKAVPFASAVTLPNSTAAWIAEAAPRPASPRLPLQGTGVFLPPGLGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARAHKANGSVSSKPTRKDDITEAKPKCNGSSDGGSSVAHAKATGGTEEKNVVAK >KN539080.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539080.1:9392:11855:1 gene:KN539080.1_FG009 transcript:KN539080.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQVLELGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSGVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQVRSLVDEEGAFGAHLVSEPPEREIWKFFFK >KN539080.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539080.1:61856:64448:1 gene:KN539080.1_FG010 transcript:KN539080.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIATAAMAMAMGRLAKNSKIMQCESPVKLALFFGQYLRYNVQLYPLIVLFCTENSTASSVSFKDFFVSVQTEEDGPIKIPKEIALHIIGTSKVKKETIKNIISFTIAEYVQKEGLDASKNLKVLQSYEELEAAFEPGKEFCFDAALHLQ >KN540448.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540448.1:50155:51362:-1 gene:KN540448.1_FG005 transcript:KN540448.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQIMSTDDLIKAQIELYHHCFAFIKSTALWAAIDLRIADVIHRNGGAASLSDLALNVGLHPTKLSHLRRLMRVLTVTGVFAAEDCNGEAMYTLTRVSRLLLNGDGEGTHALSQMARVLANPLAVVSHFSIHEWFTTEKATTMTPFEVAHGCTRWEMIANDAKDGSVFNAGMVEDSRVAMDIILKESCGIFQGISSLVDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPATHSNIQFVGGDLFKFIPAADVVLLKCLLHCWQDDDCVKILRLCKEAISARDAWGKVIIIEVVVGIGSEEIVPKEMQLLFDVFMMYVDGIEREEYEWKKIFLEAGFSDYKITPVLGARSIIEVYP >KN539080.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539080.1:74411:77734:-1 gene:KN539080.1_FG011 transcript:KN539080.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSDPLSPPEYSPRYENDRDEYEQNEGSQEGKGQASGNTADSMSAKKSNPPSTSNANSLPDAQGLVSGARATDSARIAKFTNELSRPAVILDRREGVLTRKRLEYVECVSQYYDIPDTERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILYTWAIRHPASGYVQGINDLLTPFLVVFLSEHLEGNMDTWSMEKLSPQDVSNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIDEPVSKHMEEQGLDFLQFAFRWFNCLMIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAS >KN539080.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539080.1:94918:115994:-1 gene:KN539080.1_FG012 transcript:KN539080.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGNKKAQPTNGLKTTNGLKTTNGLKDTSSLSLEKEKGKLGKINASHKTIGAENKKPMLTKSNSSLSKQALNGLGDKKEAIKSKARPAGTRSTPSSPTSVYSLPASFDRFSNDLKQRNKVKGAEKASSSKLSLLEKAASVLKVTTAGRKSSAGPKALRRSWEGKVDTKGKTNSDSKMTKLEKKPENRGTMATIPRRKPPVDEKVQHKDDSKLQNPARKTTANAPSDDANKAMKKHPPTVKRTSGINNPNVTNLVKVPPNSKKLTDASNSWTTLPPSLAKLGKELLKYRESAQMTAVEAMQEASAAESLLRCLRLTNMLNTTGHGDSAPGQVASVCDSDLINVVTFLLACVGRSSSYAEVSSTAEEQNPQPAVERFLALHTALSRAAVITDTLARSSAASVSSPDRSAASDAGTVPCLQDNYAYILHDVDTGTVGVVDPSEATPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDRDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVCYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIIALPDETRVYCGHEYTLVNIQRSFSIPQSNSKFALSIEPGNKDLQEYAANAADLRKRNTPTFGNAAIELRAQVEMMVLSADGKNGMRTCVLRPSNMFGPGDSSLVRFVAGYARSPLGKFVVGSGANMCDFTYVENVAHANICAEQALCSNASSVAGKPFFVTNDEPIETWEFMSCLMEAMGCQRPKFNLPAKILSSAALFSNMMYHKLGLQILSTPLLHPDTIYFLSCTRTLSISKARKLLGYHPIVSLEDGIMRTVGSLSELPDKLDLSRKRGSFGSSKAEKLLGSGITADILLWRDEKKTFSYVTVLFLLFYWFLLSDRTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVSQETLKNPLVWLASLWNGGIYKLRVLAEGDDWTTFLKAFAFLYCVKVMLNLQFRMLMGLVLAFMFVVFIVYEQCEEEIDSLVVFASVKVKSLVGKARAALDALQGSKVKGSVVRLEFARPISPHDCGEAGGLGDVIFVAARAVKNLWVGGISSSISKEELEEEFKKFGKVDGIAFSRDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFDYGGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESAVRSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPPLDLTHIPLFCRPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPAPAVQRPQLTAPEPQPYYDEREIPLQRRYSMITPSNNHHRDADHRGSLREDSLHQLGQIPARPRVDEGQVVQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSTDRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLAGAQEHPTQHSAYNPEMTLNLPPPPPPPPTLPPSSAILSSQIGHSLPTQMSQQQYQPEQYYMIQSNYGQLATVSSSNLQAHHQQIVAPPAAQAPVAAQFPPAMQAPAAAQAPVAAQASADEAERNRKYQATLQLAQRLLGQLQQKPGNQP >KN539080.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539080.1:134741:141273:-1 gene:KN539080.1_FG013 transcript:KN539080.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MILVALYAAYELLAPRPASAAGGSSAAGDDLLLVRDLDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTVLGSVIVPVESEGQSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNSSAEAGARRRISLDKQGPTVVHQKPSHLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVMLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTFRALQRAVKNFHTMIEAEKQERAQSALRAHSSSRKSSMKEINVPEDCADLTGQLQPFVKEEVLVTVFNGSFPCTAEEFFNTLLSDDSSYITEFRTARKDKDINLGQWHHADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEVHCRWSVKTTSSDSCSLNISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEMLEFAESYMRKVRTSNQDNGTPTEQDNGAVTEQENLAPDNTPNDE >KN539080.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539080.1:53892:61449:-1 gene:KN539080.1_FG014 transcript:KN539080.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEEYEEMLRVVEASATRVRWRLRPQSKRRLLNDILFLCTGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSILSPLRLMVIKDMLYLIHAKGLAEHSSPSARSQDKLAFVDLEKSCSKLLLETEENGALHEFVSIQDLFSANFPVDVDVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSALLESAQIALPSLNGWLLGYPVTYLFCNENAETATQNLSEHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPFDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEKSLANKLCLKEHPYTIRMAEDSCGDSSSVPPFFFLPDFFFPWIPQWQQPMDGSHASGSKAAAVAVDGPGTQRTQYPYVTGSSIIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEVHSYLTRVMYNRRNKFDPLWNSLVIGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRTEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGATIYPPYSLKTYWGFAAFENPAQEAECQAMNQDEETGYVAERPCEMFYPNINETPDLLCMTF >KN539080.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539080.1:12938:17358:1 gene:KN539080.1_FG015 transcript:KN539080.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein farnesyltransferase/geranylgeranyltransferase type-1 subunit alpha [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/Swiss-Prot;Acc:Q9LX33] MAPSSTSSEGASDEWVPPSRRPELADVVPVPQDDGPHPVVAIAYRDEFREVMDYFRALYFAGERSVRALHLTAEVIDLNPGNYTVWHFRRLVLEALDADLHEEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDIANKEHEFTRKILSMDAKNYHAWSHRQWVLQALGGWETELQYCNQLLEEDVFNNSAWNQRYLVITSSPLLGGLAAMRDSEVDYTVGAILANPQNESPWRYLKGLYKGENNLLMADERISDVCLKVLKHDSTCVFALSLLLDLLQIGLQPSDELKGTIEAMKNSDPEAAEAVDADLATAVCSILQRCDPLRINYWSCCHRGVAVSMEGDRGNGEGEDLRDKEETSYQWQIFNAKNHFFKQQLESISLTMKMGTTEQVRILSLEYSLDMPTLSLKTQPRIQPGISTYQPFIGNTKIEIP >KN539080.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539080.1:66061:69994:1 gene:KN539080.1_FG016 transcript:KN539080.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFHTGLVNLASRVDHGGAKHFSSGTFGKLAGFILSDTHTPIVQGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSAPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLGKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >KN539080.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539080.1:21367:26256:1 gene:KN539080.1_FG017 transcript:KN539080.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAAARRERVMVGMGFRVPTSPRVAEKAAAGVHMAVILYNYYHRKQFPQLAFADAMRFFKCASLTLGDSLLAYSNMVHQHEKSSGSSGEGVNLSVTDKAVVDACGIAEALDASQDSPDMAMWPISKVAVLLLDSTRKRCLLESGSVGKNVRSLLEKEIDTSSTSEHGSNKPEGQDLENEETGPYVLQKLAFSEVERRTEIKRSSLRLLDEHLAYSLTKKGTTTKLFILQYEQTAKGNFAEMPIEELIKRMIGPVVEKHPYPTTTVVAESYHILPYKDILFDCLHRKWHFDSSLSMPKEETRRNGKSSSHSEIDENSKEQEYNIKGSTQKKIKRDIKVLGSSDKNYCNTSRNKRSSNVNSKRKSEVFRATAADGAEGLDSEIPRVKNALPPVVDVPTMKFVSCSVNAKETAAANAGFVDMEAGVKMNNNKRRENHSLDLNISHDMAMEKFDDYIIVYFHQGGGTKDNKDLKYASFKSYLKKRDDLHRKQRMIEDETVQFDMDIQSVFAGGEWTPEAMSLLEKYGILVDSLDMVEVNGSSYSGDGYETLTIERKKLTVERLLRNKCQLMQELDEVCRENNWILPRYKVMPSLTDGMYVANVDIACLEFSQMTFGDPKTNPRDARESAAANLLAELLKKADANREHT >KN539507.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539507.1:70366:83392:1 gene:KN539507.1_FG001 transcript:KN539507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MALLKDAKGKGESGMFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQSVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSVLETAACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAEQIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKFVTGCSRGPLLGFQYLEPKFCIQRAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >AMDW01019905.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019905.1:108:290:-1 gene:AMDW01019905.1_FG001 transcript:AMDW01019905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PTSAYVRFKAGSVEPAHHHTFGHDLVVISGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRV >KN539507.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539507.1:38339:42769:-1 gene:KN539507.1_FG002 transcript:KN539507.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MREIGPGCSSIGYGAASELGPLRVARQGAALEFNQYGWNKEANLLFLESPVGVGFSYTNTSSDLSNLNDDFVAEDAYSFLVNWFKRFPQYKDNEFYISGESYAGHYVPQLADLVYERNKDKRASTYINLKGFIVGNPLTDDYYDSKGLAEYAWSHAIVSDQVYERIKKTCNFKNSNWTDDCNAAMNIIFSQYNQIDIYNIYAPKCLLNSTSASSPDRAFFANNQEQFRWRIKMFSGYDPCYSSYAEDYFNKHDVQEAFHANASGLLPGKWQVCSDQILNSYNFSVLSILPIYSKLIKAGLRVWLYSNMHLHQKYATMPYNLPESVSVHQSSGDADGRVPVISSRYCVEALGLPIKTDWQSWYLDKQVAGRFVEYHGMTMVTVRGAGHLVPLNKPAEGLMLINAFLHGEKLPTSR >KN539507.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539507.1:88006:90495:1 gene:KN539507.1_FG003 transcript:KN539507.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSARIQVVTENYANPVTCLFHVLFKAGALVFYILFSLFVKSFVIIFVITVFLAALDFWVVKNVSGRILVGMRWWNEIDDEGNSVWKFECLDGEALARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLEADYLLVVGVCLTLSLANIVGFTRCNKDAKKNIRGYFEGHAQNAITSRITSTLQSAFGVI >KN539507.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539507.1:97788:101050:-1 gene:KN539507.1_FG004 transcript:KN539507.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding ISPVIKWYLQKNRKGLLWSSSVKPEWSHEFQRDIVLSSTRYSGESLNTHHLSPFMRPLGADYNNGVNFAIAGSTATPGETTFSLDVQLDQFIFFKERCLESIERGEDAPIDSKGFENALYTMDIGHNDLMGVLHLPYDEILQKLPPIVAEIRKAIETLHKNGAKKFWIHGTGALGCLPQKLATRGKIDRDLDEHGCITRINNVAKRFNKLLSETCDDLRLQFASSTIVFVDMFAIKYDLVANHTKHGIEKPLMTCCGHGGPPYNYDPKKSCTANDKDLCKLGEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTASVVRPKKAKNSRL >KN539507.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539507.1:33419:36834:1 gene:KN539507.1_FG005 transcript:KN539507.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSKSTPDSDLRSHDGIPSPESWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKRRIEEETAGESPATVIPTTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMGKFISPLTGTVISWDGATKRAMILTIYSTDFKSKPHEPQPELWVHLPDKSIVQGRLIFMNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTTLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGPCKETITLPLEFKVSSGKILPLKQR >KN539507.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539507.1:91655:94092:-1 gene:KN539507.1_FG006 transcript:KN539507.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLQLKNATVVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >KN539507.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539507.1:24011:27891:1 gene:KN539507.1_FG007 transcript:KN539507.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAALSGPKSSPARSTRSKSKPDSDIAEHDDSPKSSSGRLTRSRAKAIEQVGNRTVEESAGGCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRRGDVIFFEDECGTSLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPFKETITLPLEFSVDSGKFGCFDEQTDSGKL >KN539507.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539507.1:58357:58621:-1 gene:KN539507.1_FG008 transcript:KN539507.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEIGAQDVGVGCDDGGEEGGAVFF >KN539507.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539507.1:62804:64521:1 gene:KN539507.1_FG009 transcript:KN539507.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 6 [Source:Projected from Arabidopsis thaliana (AT1G02400) UniProtKB/Swiss-Prot;Acc:Q9FZ21] MSQRLCSGRGSDTCDPRTDGSFHGAVEKSMANPVNNTFLPIGKFVTPRLHDVLLILWPIHASQDSQETNISRLVDGGAQAQRHTHIPISVSSFLTHQAGMPRVGAIVNEYIEAMKKLACEILDLLGEGLGLKDPRYFSKLTTNADSDCLLRINHYPPSCNIHKLDHDDQCNIKSLVSTKASNGGNLMAGGRIGFGEHSDPQILSLLRANDVEGLQVFVPDHEGKEMWVQVPSDPSAIFVNVGDVLQALTNGRLISIRHRVIATACRPRLSTIYFASPPLHARISALPETITASSPRRYRSFTWAEYKTTMYSLRLSHSRLELFKIDDDDSDNASEGKA >KN541585.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541585.1:2576:8426:1 gene:KN541585.1_FG001 transcript:KN541585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKKGLKKGPWTPEEDKLLVDYIQANGHGSWRLLPKLAEKGVNAPDSRWGQTDEKKNLLPRSDKRPNQSSERFATTPAAQEQPVACGGFIARKEQKWAGQARRPYANDSLSGRYY >KN541585.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541585.1:21476:22461:-1 gene:KN541585.1_FG002 transcript:KN541585.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKVLPTWKHDTTKLIHLILHTIALVFGAVGIYCAFKFHNESGIANLYSLHSWLGIGTICLYGIQWIFGFVAFFFPRASPSVRKGVLPWHILFGLFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALIVVLFGASVVVAAVSPARVEEPHEYAPIPES >KN541585.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541585.1:14224:16260:-1 gene:KN541585.1_FG003 transcript:KN541585.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALKEAEATPAAANAGEEKAAVPAASTSPVISKTDDDTEPPADDSKALVVFVEKVADKPHAEKATATATPTRTSNDRDIALAKVETDKRESLIKAWEENEKAKAENRASKKLLDIISWENTKKAVIKTQLKKKERTQEELERKKAEYAEKAKNKEAIVHKEAEEKRAMVMARRGEEVIKAEEIAAKYRATGVTPKKHIGCFGA >AMDW01007415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007415.1:22:117:1 gene:AMDW01007415.1_FG001 transcript:AMDW01007415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDVGIDSDYGQAAFLACLAVTTIGVGVLM >KN539666.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539666.1:55459:67761:-1 gene:KN539666.1_FG001 transcript:KN539666.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALNSNQLSGPIPASLGNLAASLRDLLLFDNRLSGELPASLGELKLLESLRAGGNRDLGGEIPDSFSKLSNLVVLGLADTKISGAIPASLGRLQSLQTLSIYTTMLSSSIPAELAGCGNLTNVYLYENSLSGPLPPSLGALPRLQKLLLWQNSLTGPIPDTFGNLTSLVSLDLSINAISGAIPASLGRLQALQDLMLSDNNLTGTIPPALANATSLVQLQLDTNAISGLIPPELGRLAALQVVFAWQNQLEGAIPASLAGLANLQALDLSHNHLTGAIPAGIFLLRNLTKLLLLSNDLSGVIPPEIGKAASLVRLRLGGNRLAGTIPAALQMLDLSNNTLTGALPESLAGVRGLQEIDVSHNQLTGGVPDAFGRLEALSRLVLSGNSLSGAIPAALGKCRNLELLDLSDNALSGRIPDELCAIDGLDIALNLSRNGLTGPIPARISALSKLSVLDLSYNALDGGLAPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLAGNSGLCTKGGDVCFVSIDANGRPVMSADEEEVQRVHRLKLAIALLVTATVAMVLGMVGILRARGMGVGGGKGGHGGGSSDSESGGDLAWPWQFTPFQKLSFSVEQVVRNLVDANIIGKGCSGVVYRVGLDTGEVIAVKKLWPSTRNGADKDDVAGGGRVRDSFSAEVRTLGCIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRHGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDGDFGRSSNTYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWHRATRRGYTGDPPTTVLERENADAHKDQVKGLNGRISKLNGTIKELNDTIEALERQVQNLTRYKEEKQKRHANLQKEFAELERKYRDLDAAHKNCGPTVRFPVFTVGQPYYHHHY >KN541372.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541372.1:1252:4267:1 gene:KN541372.1_FG001 transcript:KN541372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGWLKYWIEVGAVLSTIGLYSATLSSAAFQLLGMADLGLLPRAFALRAPVFDTPWVGILATAAITLAMSFTSFDTIVASANFLYSLGMLLEFAAFLRLRAREESPSSLKRPYRVPLPLPALAAMCLVPSAFLAYVVAVAGWRVSAVAAALTALGVGWHGAMRADHVALAEGDEEEKTLVDFSLALNSTNGAGAARVLWTSGRFDAASGVALQRQLLARLLDEVITLSPSVSCLSGNLGLGVGGAPELSKLDEEIVAGVGNDSAPAAAAASFFSLALLLRLFWLCATEAPADTGFLFFQALGADIQRALVDCRPSLALFLASVGPDVEERFMRSLGYMLAKLCLLREMQAADQRQRALPAACLSYATEVHGLWVLRGYAPVLAMPRVTGAASTATTITALPHEVPEEPALRAFAPTLR >KN539666.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539666.1:1874:3314:1 gene:KN539666.1_FG002 transcript:KN539666.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHPVLFSIIFFLLSPAGSPRGVAAADASPPFSFSFDFTNASTYRTDDLSFLNDVKQRPGMVDLTSCLSRCRGRMSYNHPVPLYRNKDKNTRDVEVASFSTNFTFAIKAIDGGCQGEGMTFFLASYPSVMPGNSDGGDLAIIDGDTEIALGQNRFVAVEFDTYNRSTYDPPGNHIGIDLSSVKHSMNTTILPFTLNGSMTANITFDGTTRMLVASLWLHDHPSANNPFQVSFQLPDPVSSVLPAPEVAVGFSASTGVCKEDHQIMSWSFSSTLGPIPRGLLKLSDLNGTGGLLEMQIVLFSYPY >KN541372.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541372.1:23236:26097:-1 gene:KN541372.1_FG002 transcript:KN541372.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDRRWRGTILLLICLVCNASGTFVGANTIPSPENSPSEFAKIVQSKQTKHARVFIGGADHRSLRSLANTGEEVILTVPNEQLEHMAEFPEEAELWVAANVARFIPATRITHVADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGRRRIEIMHTKN >KN539666.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539666.1:36186:36755:-1 gene:KN539666.1_FG003 transcript:KN539666.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRQPKTNCKPPCCVYLSDAACGQLAISKNKSYEAEIAALKNQNAALQMKIQALENYRQAKHKEYAELETIYKKLKAKHSNCR >KN539666.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539666.1:22527:22751:-1 gene:KN539666.1_FG004 transcript:KN539666.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTRALAGAAVLSLCVLLAVQPAAAAGGKVESTVRTEVVKAIRADPGVGPALIRLVFHDCWVNVCSVSVFPLN >KN541372.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541372.1:19957:21219:1 gene:KN541372.1_FG003 transcript:KN541372.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHEAEAAGEEAVAKCMVEANSEEATSPEMEGPPVGDAIVRDPLNPHRGLLTVHRYSNPIFDDDDNGSEQRWKNINHQQDGSRAKQPARYVVLCVVGGSGDGSLSEREVGAITVH >KN539666.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539666.1:78275:84501:-1 gene:KN539666.1_FG005 transcript:KN539666.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGAAAPVDPEAATELVRKGATLLLLDVPQRTLLGVDTQVFSVGPKFKGIKMVPPGPHFLYYCSPNSYGQNNLHEKSHIDYSSTIYDPFRHANEFAPTVGFFLTTHPSEVIVRKWHAQEERLIKLPEEEEIRYSEAVRHFEFDSQLGPYNLDSFGDWKQLSSYLSQSVIERLEPIGGEITIAWESSWMDKAPQTDMERRLMDQLKDGKFAKNAPVQSERRGCYYTTIPASIKHSNISGDELTALNLDKMGQSLEAFMQWKALVSLLLSCSEAFIRAIYYQLKHGFQHTQDSRSGEEMGNSLFLDEAWFSRDIFLYRLSKTRKLKSLLETTFGWDLDNNTVNLIDEDDEFAPVVVEMDGS >KN541372.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541372.1:16228:16707:1 gene:KN541372.1_FG004 transcript:KN541372.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGLLPSLFARRTPGSATPWVAISTSAIISLAVSFLGFDDVVATANLLYSLGTLLEFAAFLWLRRGGHAELKRPYRIPLPLPALVAMCLIPSAFLAYVCAVAGWRVSTIAAGLTALGVGWHGIMRVCRSKKWLGFNTVVAADPHLQLQDDPPTEDIV >KN539666.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539666.1:8857:11421:1 gene:KN539666.1_FG006 transcript:KN539666.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRFADRHVKLKIILGVIVPGLAVALVWWFFVSCREQKKIRNTFEMGTRGARRFKYRDLAAATDNFSQSRKLGQGAFGIVYRGDKLKQLDCQVAVKKIARESSEGHKDFFAEVRTISEAKHKNLVKFFGWCSRGHSWNILRFMCSCFWSKKNSELFLVYELMTNGNLDDYLYKSESEEVLSWQTRYKIVKDIGSGLFYLHHECYPYIIHRYIKPGNVLLDEEFNAKLADFGLSRVANPNNKTLKTAAIGSQGYLDPQCMKDGKVSFNCSSDVYSFGIALLEIVCARKHREQIWGLYSSGGDVVEAADSRLAIGGNGAVRREMERVIVLGLWCSSFETKHRPSMLQAMDVLDRDAPLPDLNNLIVNSTLASSDHDVSSVSAANASEVAPLNSGSSSA >KN539666.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539666.1:44810:45990:-1 gene:KN539666.1_FG007 transcript:KN539666.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQATEVLEKGNIRLQDREIAGLEEQIRTLRMKLQNHESYEEQKRREYYNLESEYNKLHSSYRNLQACHHNLQTSYHNLEARHNALRWQMSRPGCCG >AMDW01036363.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036363.1:206:613:1 gene:AMDW01036363.1_FG001 transcript:AMDW01036363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIV >AMDW01027845.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027845.1:1:352:1 gene:AMDW01027845.1_FG001 transcript:AMDW01027845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEVQKLEADWFQLGSEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVI >AMDW01039391.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039391.1:3:741:1 gene:AMDW01039391.1_FG001 transcript:AMDW01039391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVGIGGLGKTTLAQLAFNDRRANDEVFDPRIWVSMSAGFSLATLVQAVHPIVAAPSERCDLTTTNLEAVARFLSMAFTGNKYLLVLDDVWSESHDEWERLRLLLRGGKRGSKIIVTTRSRRIGMMVGTVPPLMLKSLSDEDCWELFKRKAFEEADEELYPKLVRIGKEIVPKCGGVLLAAKALGSMLRFKRNEESWIAVRDSEIWQLDKEETILPSLKLSYDQMPPVLKQCFAYCSVFPRNHEIDK >AMDW01040877.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040877.1:1023:2727:-1 gene:AMDW01040877.1_FG001 transcript:AMDW01040877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDGTIVAPTSAKAWGSGLLQWIEFTKLNGVSIQGNGIINGRGQQWWTYSDTDDDEDDDTQYDVEFERMPQVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDSCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCVSIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGLGLVQDVRFSNIQVSEVQTPIIIDQFYCDKRTCSNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYRLNNPFCWQAFGELYTPTVPPIACLHLGKPAGNNLQSYHDLC >AMDW01064028.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064028.1:2:473:1 gene:AMDW01064028.1_FG001 transcript:AMDW01064028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALFKAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSV >KN540343.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540343.1:24:2758:1 gene:KN540343.1_FG001 transcript:KN540343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRADLGCLVGLQIFGGIFRCYAVVISLFVGVVETEWGFIMKFCKILEYWPARGMLQILWVSCKPVTGVAVMTKAYPNVERGDLILLEDIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELEALLLAQRSETV >KN540343.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540343.1:25806:26924:1 gene:KN540343.1_FG002 transcript:KN540343.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHPPFICIGTERMQWAGQKRFFSVEAKAKDAKLMESARSSVKRLMAWMNEQANPRNTAIVLTIINVLNHGLKWAQHWMDRIELRLWVGPKMNR >KN540343.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540343.1:17122:22018:-1 gene:KN540343.1_FG003 transcript:KN540343.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVESDTPEPDGGGERATRVTSQFVQNFPDNNNNLPDNSSKRTTTSSHHRKVFREKFQDKSSTETANFRLNIPAKSAPSSGFSSPVCSPRRFSNAEYTTPTAQGPQAWSAPSIRSVDSMATSSPRISPEIYTGVTEQSTFSNSLRSPILMSKNSSAPPSPLHPKLFPENNMSRIEGNGNVSFHPLPRPPGAINSMQTSIVNQSAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTFEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVSGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLNKDVGYDLAVDIWSLGCTIIEMFNGKPPWSDLEGPAAMFRVLHKDPPIPDNLSHEGKDFLQFCFKRNPAERPTASELLEHPFIRNSSHYNKHGSIHSFAGIKSNDNNNGNGSRDKAASKSDSCVKGKNTVGEPTNARPSESSAFRLTPLSIQEVAPNFSSRPLGLTSNPSPSANLVNTVYFPIANSQRSPLPRPNEKEALF >KN540343.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540343.1:8472:15865:1 gene:KN540343.1_FG004 transcript:KN540343.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLPVAARRLAGDLLLFNLAPKRDAKDDDDEEEGKDESPFLELPCFGSATKLSLDLGFLPLAVPLDLRSVRGLKQLNVVAPALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMANLRCLGTYFFLVYGLEDFKNNRDCLRLLKRFQRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLANGHAIGPSLFHVLRMCTSVKRLKLVTHISLDLEAQAVCSSDCVCDFPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKRLFSWAVVLKDMTINFYHSVPESTAKEELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQARPACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAAMLKDMTINFCHSITESMARKVLYVPEDKCTAPNLLLD >KN540343.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540343.1:46765:48258:1 gene:KN540343.1_FG005 transcript:KN540343.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEMGVGAADLSLDLKMFAAKSVGRVRGKDTMGDCIRRLEEEMGKIEVFRRELPLCVRLLADVIDVMKEEVEKKGGDRKEDEEEDAAGDKSKWMSTAQLWTGNSGGRDAAAADPEQTQQQQQQQARKTRRCWSPDLHRKFVAALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRPRVPSSTIVNQPIVLMKGLCYIPQEQSSSQSGSPEGPLHFSGSGMAGGGSSAATVSCEEEDGRSESYGWK >AMDW01028025.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028025.1:104:206:1 gene:AMDW01028025.1_FG001 transcript:AMDW01028025.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLVSTTLARSSSSLAAAARRPDLLASSPR >AMDW01001089.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01001089.1:405:608:-1 gene:AMDW01001089.1_FG001 transcript:AMDW01001089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARMMPSFLVMFWHLEIAKARVDAADAVLDAIPEMPSNWMDDYQVVCDGAMRFEESVSVLREYMA >KN541834.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541834.1:976:2759:1 gene:KN541834.1_FG001 transcript:KN541834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HIPRHLQVQLLRKDHVSTRYGLKNETSSPISVLEQHSGELHKGQLHLASDVLPITSLENATKWEPDGIKGIESDGAYVIASQLNLIEEQKRGNYVSNLQVELQQTRDRVGKLEAERISAKKQLDHLFKKLTEEKAAWRKREHKKVQAILEDMKADLEHEKKNRRQLEKINLKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCTKLVRELEEHKAEIEGLKQDSLKLRAEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEELSKLQQDVEAIIASFSDTKGDDTIVQTAKNMVQSIESTREQEIKFTYEPPPASDDILAIIEELRPSEELETRETEPCHKHNSPVHESENQQDSPMTDIFLENPTKLYSNRSHYNESDMGDSSSWETISNEEMQGSSSSRNGSEPSVNKICDKISWTSGDDSEAGQNDNLSGELSKAYFADRKPSKKKESAISKLWKSSPLKNCEIFKIDVVEMMNGRSSNERLSNGMHSSNEGANQDAGLISPSIGQWSSPDSMNSQLNRGFRGCMELVQKQSLKAKLLEARMESQKIQLRHVLNQKT >KN541834.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541834.1:13128:18974:-1 gene:KN541834.1_FG002 transcript:KN541834.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWLLAAEHPFKNILTTLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVNQNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFKRNNERFGFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLQNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQTERVYSSYLELDLNEVEPCISGPKRYNYMILIMCLLDCSANGEGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHVVGYGCTTCIGNSGDLDESVSAAISENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVFFRDIWPSTEEIAEVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPNSTYIHEPPYFKDMTMSPPGPHGVNNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTVHVPTGEKLYVFDAALKYKSEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPTNVSEIRPGQDITVTTDNGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >KN541834.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541834.1:8893:10260:1 gene:KN541834.1_FG003 transcript:KN541834.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MDWLSANPQVNPQVTVHGDWVSAVMPLMKLLCLTVIGLVLSNPRTQIIPKATFKLLSKLVFALFLPCLIFVHLGQSVTIQNILDWWFIPVNVLISTAIGCILGYIVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSICHTTDHPFGPGCHRKGVAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIVEEPAQISNYSRSLLHEAEWPGMADKETEHSKTPFIARVFMSISGSSQNTFPDIDFAEEGTSGAGPSSPKSLRCLAEPKVVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPLFKDFVFVPDAPLSFFTDSLEILAQAVVPSVMLILGGMLAEGPKDNALGIRTITGIIVARLLILPCIGIGVVLLADRLHLLVEEDHMYRFVLSLQYSTPSAILLGAIASLRGYAVKEASALLFWQHICAVLSLSIYLIVYFRLLTI >KN538962.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538962.1:60277:72134:1 gene:KN538962.1_FG001 transcript:KN538962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDASSAAAVGKKRGSYNCGSDIDATMLACVAFSCPNLQYLEISMVGSAANRMTGDELTRFVSEKRSLSVLKLDGCSNLSFLNISSSSLSTLWLSDLSSLSKSVINCPNLNELSLGFTQQNNDYTDLISLMDSLGRTCSNLRNLHISSIHLCNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRSYASLDLLDLSGSSITDNGLGMICKAFPHTLTRLLLALCPNITSCGVQVATSQLPLLQLMDCGKSLCANSQPEAERSYFGEIYGGIKFCSKLPIQRKQQPNYQKLIIKHANLKKLSLWGCSALDALYVNCPELSDLNLNCCTNLHPERLLLQCPSLKDVHASGCRDMLIGAIRNQVLNEFASAEPRVPCKRLADGSKRVQVPHFMLEQVPCYQRQEGASAPAPRIEAKSMEEVYDALAEHLFSVLKNIEHLDSKYIVGIAGPPGAGKSTVASEVVQRVNKRWSQKHENGSSLISTEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPSRFLKCLQTLREEGSVYAPSFDHGVGDPVENDVFVKPHDIIDCAMSQFIDIDIDVSMQRVLQRHVATGKEPDVAAWRISYNDRPNAELIMKSKKSADLVIRSVDLSR >KN538962.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538962.1:148520:150917:-1 gene:KN538962.1_FG002 transcript:KN538962.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVGVEDDDGLEFDEQEEEEDFHDLEQEFLRYISYIGISDNPAGGHGA >KN538962.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538962.1:20363:24254:1 gene:KN538962.1_FG003 transcript:KN538962.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSDVALQAAQDGNLRLLRKMAKKLDLRGVKDNNGLSALHFAASHGHLDCCKFLVEESGLDVNSVGHKGETPVFYAAIDGNVQVLGYLLDHGGDPAKPEERGCTPLHNAAENGHDEAVRLLLSKGVDVDPLNYRGAPLHLAASKDRDQAMKVLLEHGADAGADVNGNSTNGPTPLTEAVDDGLTDVVKFLVGAGADPNIPDEEGRIPIMVAAARGQRELVEILFPRTKPIPYLPDWNVDGIIRTMRTTRIEPQAAIPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAMDKSPLDATLFANRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMKDYRGAVDAFGEALKLDPMSDEVKNALREAIECLKRAA >KN538962.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538962.1:89304:90339:1 gene:KN538962.1_FG004 transcript:KN538962.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKATELRLGLPGTEEEAAPPPSTPRAGSKRALAGEPDQAKIKPAAAAKMFISTCKRLRIMKGSEARGLGATRD >KN538962.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538962.1:118965:122853:1 gene:KN538962.1_FG005 transcript:KN538962.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDHREFEEEEDDDDEVIAVSPPRRPPAIGGKDKYRVTWINMNEVFLGIAPDPKLYEARDKPPAVKKTTSPKSGGAACETGGEEGEKPARSMAPAQVRAVGGRRRSPSSMALASRVGRHLRQYTAEAALAYDAPPADNNRAAHLCLQASVQRNAAPLLVVDVDRRRHGDNAGAAAPRHQAAARGGGAELVLSWPARSAYAMSTSFWPLAIGLPDEPPTK >KN538962.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538962.1:9445:10071:-1 gene:KN538962.1_FG006 transcript:KN538962.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICGAGSSPLGAPRPSVAAGGNTTQRARVGGRDSPGGSKQAHGRHSAGDDKLVVVEAQQRRLLHQARRGLEAALLAPVFFDRSKEEGRGWIHIHQPSPELAGDLSFPSLQPTPSSPTSAKKAGSASRSPPTSLFSRAWPRGRGQPSPSLKNKIERRHRLVKDEIELKLRIRCRLVELAFLVPKFRRLRRTLRPQAARAPPASSISW >KN538962.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538962.1:100435:110181:1 gene:KN538962.1_FG007 transcript:KN538962.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANCTIIDRQDIYLHPFQEAKPQESSLGYLNLQASDTSQLVSQASFNGYGSTRVTNSNAIHEDYDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKRLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLQLFTTSEMPASSMPFSSGVSDAASAAAAAALVEDNWTNCSIPDEAIPVPSAAMALRSPSMRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNKYFFQYITENLGQYCRFFPSSSGELWAIPFDEAKPQESSLGYLNLQASDTSQLVSQASFNGYGSTRVTNSNAIHEDYDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRVTNSNAIHEDDDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKHLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLQLFTTSEMPASSMPFSSGVSDAASAAAAAALVEDDWTNCSLPDEAIPVPSAAMALRSPSMRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNK >KN538962.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538962.1:25888:31408:-1 gene:KN538962.1_FG008 transcript:KN538962.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEPTSPEATAKEEEEEVEGGILDVRYLRFRVAAALCRKCIYKKINDEELEHCPVCKIDLGCAPLEKLRADHNIQDVRSKIFPLKRKKVNAEEVESPIAPPAKRKERSISSLVVNTPEITPKSLTGRRTRASTRKSAAALRDLGPIIPPVKKDSDNTNKNADNSSLLDSFSKVPQTRRQVLSNAETSSHPSSKDKGGDDKDLDKSELWRPLNCLVEAASKTKSYRSSSVARGNQPTESPSSANASRTKAREYLLKSKVQDEKKEVPVATVPFKRKGPGRGRKPAQPPAAAVSSPSASKHEKLLTPVWFSLIASFDQKGAPPLPQIPTHYLRIKDDNMPASSIQKYIMQKLSLPSETEVEISCCGQPVNPIQPLRNLIERWLRFGPARTLQTVVGSSGGDYVMVISYGRPKS >KN538962.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538962.1:2561:3548:1 gene:KN538962.1_FG009 transcript:KN538962.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEELERMADFLLTGIIAGSQYFERVALVRATLCSRKQWKRFLMFRFSMQWITLVKAPNQIRPHEQMLCA >KN538962.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538962.1:135567:135950:-1 gene:KN538962.1_FG010 transcript:KN538962.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MIATGVAFLWPLRGAAGLRHGRRRWQRHRREVFAGGEVGLGVGAVEPAGDGVVGEGGLQRRVEGADPEAGALDDIVPDLGRPPPVRALVDAQEPVLASPLLRRRRLRAAAALAGFSAGLRHHRIWER >KN538962.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538962.1:36657:42570:1 gene:KN538962.1_FG011 transcript:KN538962.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal kinase [Source:Projected from Arabidopsis thaliana (AT5G37850) UniProtKB/Swiss-Prot;Acc:Q8W1X2] MASSFCGKKPITSSSISGSSAAVATRSLRPLDTAAPSFATLSASSLGLLWVCYFACDNVCGKMKDGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLAENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVITSALIEDKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYS >KN538711.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538711.1:107978:113135:1 gene:KN538711.1_FG001 transcript:KN538711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFLLGFLLGLLALAALEAAALLWLVRRLRRRDSAPQPAPDADELPGERPFPYEKQGFLWILEPEKTPKASNERSSIGGPKETKEKKNIVEVFPAKRSAKIKGRSLILSGPDGFHTTIKLLNCTVFAVSASSMPSRKWAKRYPIKLESKEYQIYNGSKACYLYAETSWEKESWCKALRLAATADKEKKNWHAKLSEEFNNYISSLNSEYPGFLKPTVFSGEDHEVMDRAIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSTQGERKILDKLRSYQGTPFIEGLMGSQDDKSNSSSSQDAVKPSPTSPALGQIGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEIHKAIKARIQRTLSSMRTPPYVGDITLADFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDSQNSVSSVEEKGEPEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESISIPFMLAEKDDWVPLKDAPFIWLNREPTETRSHAAAVTPTRPDEVILKDDASNKTVAPSLPNSSARSEETLKTAASIDEPTQVPVAAADASREPRKSPLAPAGEASSPSSPDTIDELRKPLLITEKIQEEDSESKVESPSPLYTSLRGIVPAGEQSGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRNIVEKMRENARTNSFDSSNWAFERSIYRAMKILGYVDGREVAPVVTIHFTGLLDSVLIQPRGKLKLFTYTSTRSI >KN538711.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538711.1:70019:70659:1 gene:KN538711.1_FG002 transcript:KN538711.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPRHCRSGCHVAPLSLLRRDGAIGSEYGAKKNIHGTSVAHLLHLRAPHLVHPLVLQEQPPWEPLKEVDEVEEAVDEDNDELDAVGMVTGSTDSSASSGEGALQLRPITYALENENFRPAQTMEVDQVDYDGDTHEDQDDSSRDGHHDQARWSP >KN538711.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538711.1:1790:5162:1 gene:KN538711.1_FG003 transcript:KN538711.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPSPSARSLDCILNEFDYHAQPHVIVNCAAISVPRQCETDPAAAMATNVPSSLVTWLLSFGNDNTLLIHLSTDQVYEGVKSFYKEDDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDSVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAVQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVRATLAAEATILLGEASSNFAENCGSIKTLSGPSATSLMQLLNLKFYTCEALYQSLKRSLNTLFCDFQQMESMLGKILIL >KN538711.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538711.1:177156:185345:-1 gene:KN538711.1_FG004 transcript:KN538711.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETGGVGVATTRGGGRRPAPLSANNLPPHTPGVSETTSTFAPGTFRGAGGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGRRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAAADTGTVSNSSTDTALVWLAAITSVMVLVSSNLGVCALEKKVPDETDLSGPRVSESESQPDAFSPSQFTSSQNAAADSTTPSKSRGASSTMPLTVKQISEAQQSGTTGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGSPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPMLSVTNGSKTDLNTEVLNVFREPANVVAIDYLADIGHIYSTIDESHYKSAFNE >KN538711.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538711.1:311:793:-1 gene:KN538711.1_FG005 transcript:KN538711.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLIARGLASARIAPSQLNGERFYSFAAGRFGRKWRPDSSDEDDEDISTAKKDMRFARFGASSEEESGDDELEARSAIRKKWSSAALRNCDMKKERRALKSYEEENNDLSGSFRELREEIKNREVLGAERRRYESRGESLFTNKRLHFSLPSIAAYLLM >KN538711.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538711.1:187601:190320:1 gene:KN538711.1_FG006 transcript:KN538711.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAILAEVAAAVVGWAPAIAAHVKVQFGGMAHSSSLMLLHSVLTLFIQFPDAFGAEDERKMARRLALAACEAHRPLAARLLALHWLLGSGKFRYAVPGLTKWFYPGVFDPLAVKAKKLDCLSLVAAGVDGDKIEGGRDVDQTIGLVDDGLACVSAFRWLPGWSTETCVAFRALHGVLVAAAPHSTDGSGCSGAGELLNSTIFHHLQAMLVDMTSEHRSLVPVIADFINRLLACNTHRWAGEQLLRTLDENLLPRLEPGYQLASYYPLFEKIAENETVPQLRLIELLTKQMASLAKKHDPETELKTWSQGSKVVGICRVMMKHHHSSRIFFPLSCLLVLTIKSYPDLEVRDHARICLRMLSCIPGKKLRHLMGIGEQPAGVTPSHPGPLFDVPSPRPAQDLKSMPDLASYIHLERVVPLVVKQSWALTLPNFSIQSRASGQILSIQDVSSNPPEQEKTPQPTIERIAYTQEALRVMDSKGAETLEILRRHFACIPDYLHSVGLKIKIPCTFRFDSEPFNHAWGSDSAVPGSEVVDGLPALYAVTITFSSSAQFGKIPSCHVPFLLGEPPGSGMDIMPLDNGHQLESSYCASVVIELEPREPSPGLIDVVIAANTENCQVISGSIQPITVGIEDMFLKASVPPDILKEDAAEYYQDLFHALWEACNSCSNTGRETFPLTGGKGSAAINGTRSVKLLEVTPKVLIRAIERYLAPFVVSVAGDSLITILRGNAIIKNVVWEESESAPIVGADALVPYSVDTNLSLQRIDEDEFEVGAETYAHLSKRDMGIVRVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALL >KN538711.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538711.1:156924:164685:1 gene:KN538711.1_FG007 transcript:KN538711.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRATPDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKQREENVDRYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEFIPFLVKQPPRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAELRKGEIPGGEEVEILDPEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPENLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGWWRKYTFGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHNHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRREDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVGECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEEKPRRGRGRPRKH >KN538711.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538711.1:129062:130899:1 gene:KN538711.1_FG008 transcript:KN538711.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVDAVVAAARPFLRGDLSQVDPELPSLVSVLCDAGAGECYHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGDAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEAWRLKIQRLLPPHGITVKHIRTGEDVALSRRIAAAFLLMTMADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWTASISRMGALYSLIVRDEEIYIAQRKHSNDNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVEELLRRCIGRNPFVGEPHLVLAQVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSLGLVK >KN538711.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538711.1:62015:64113:1 gene:KN538711.1_FG009 transcript:KN538711.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQQQQRARETLTLEEERWERNSPERVATEEHVVIVASESCRTATLAVAPLVFGFFLATREAENTRLYKEVWGYTMSKVKCAQPQQGNKSALNRGKYKKSGKGHLEQILAFELYMTPKGHYSCETGSQFHLAECDHQHLQKTLELPLPTAHWAQWCGCLGIDPLEYAVEMKSVVAGAPDERAIVTGELTIRAATVKCHPAYATGFILRIPCPRSHRMPLKNLDLHLGTW >KN538711.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538711.1:5719:5988:1 gene:KN538711.1_FG010 transcript:KN538711.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSKQHLVPCILLLLLVMSRLPISTLGSRRAFKEEAVNGFRSHEIAPTMAPSQEKEAGVVAGAGSICGEKYAVSRRMVPQGPNPLHN >KN538711.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538711.1:94163:98147:1 gene:KN538711.1_FG011 transcript:KN538711.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSLNGGTPRGGSPKDPDATHQQGTPAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICFAGPARALLADLSGPDQCNSANAIFCTWMAVGNILGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSRDDNNASNEPRNGALPNGHTDGSNAPANSNAEDSNSNRENVEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFICMLATTILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASIFSLGAGVLAVLKLPKLSNSYRSAGFHGFG >KN538711.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538711.1:113487:126008:-1 gene:KN538711.1_FG012 transcript:KN538711.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDAGAIRRRPGSIGEVAVFVPGLRVPESSEELPLQPLGDGLPRRLTERLAALRNRIIVMAAHEALYMTKPTWRITITQHGSSFYGSSSDDKKNNVRGGSKSADLLQALEDYLPTLLGLVKDGSELEDKVQFAWMNQEDDAEVCMLLCILFDFLWQDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRCPKATLAVKRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAAWMVISSALMIWKGLIIMTGSESPLVVVLSESMEPGFERGDILFLQMSKHPIRTGDIVVFNDVHERRDTAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPFIKSARTHDALRVFDHMRARELVPDAHAASALLAALARSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVITWNSLIHGLCKERRVKEASQLLREMTMAGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSAFKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFLPDKAVYRSLVRRLCKKGFIDLAGNVFNEMQGKGLEADCLVHATLACAYLTAGKSVAALDILNEMAKKQLYITPQIYNYGLLSDGPPGYESVRSAQPMCFPTATATAAKRISKAARARAAAQLGDEMMPPSVQTLCLLPFPPPARLRFHRLTATGRVLENACRDDDELRAILGDSIGNPELMKQRVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSDQASGVMPSSFHDISDVEFQDNWGRVCTENALFIQGGSWNIGLSWIGCITQLSYTIKLRISPNM >KN538711.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538711.1:134194:140090:1 gene:KN538711.1_FG013 transcript:KN538711.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADFSDQYTDYQDKLFDNENGRLEYRGDNWRALWPGSGKPGLWMSAMSRLAAQYDAYLHWFYYFHRGPAPLASLADAASMCLEKENNMMLLWRAVKAFSYIQGSIVGECIVEWMRTVIKEKGEIEHPFGIDAAECIAKEAELISEWLRHGRRMLTDDEYTLCHEIRAVACKLFVFRGEWTVDIAAALLGIRKEAEWLIENLKRFPVESISTSMKIRQFALDFVWEKIVFREGEEHGALVEKLGECIVKWMRTAINVKYERKRPFGIDAAECIAKEAELISEWLRHGRCILNDEEYALCHEIRTIAAKFFIFRGEWSVDVAAALLGIRKEAEWLIENLKRNNVESISTSMKIRQFALQFLYEKYVEYGSYKKEESSESEMASESDDDVVEEHTYYVGDLHDEDYLKLRKKKTT >KN538711.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538711.1:146209:148221:1 gene:KN538711.1_FG014 transcript:KN538711.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAATIISGAAAKLQSWPKSALAGRAQKAWVGAVASGAGAGAGEVLPRMKGAARGRRRSLELELERREEGEEVEKDAGVVPDRLKEGVLATKEAEGTREAKP >KN538711.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538711.1:89685:92638:1 gene:KN538711.1_FG015 transcript:KN538711.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIEGCGGDMKGSGVALPTKSKEECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHDALRVFYMLQAVPLQISLWSEQGVVLSSKETNGHQPSGCMSVSTKLELSEVVITKAEDG >KN538711.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538711.1:10433:16025:-1 gene:KN538711.1_FG016 transcript:KN538711.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MAAATLAAASMGVVREVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADQDHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMEDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQQQVDKCKINILRYKDLEYEAEFEQSASKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQHKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGQKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPYAGTFKDYKKMLKS >KN538711.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538711.1:99016:106269:1 gene:KN538711.1_FG017 transcript:KN538711.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKKNKAASNAADADAPGDAGPDDDAAEEKPDIKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAEQRIKDCIVLARRSIGRFWAIRFDSRMEKKKSNTDNNLEVFLQAATPCLSWRSASMECFQDPSNVWQLDKKKDEVGYFALEDLWEHYAESSAYGLAVPVRLESGNTITQHFVPYLSAIQIYTSTKSLLAFSRGSAGSESDSWSDDSTGDKLSRSWDAAMSDDDDSSHDSSESVSAKQGAAGCLNFQYSEWSSPYERVPLADKVAELAQHYPCLKSLNSAQLSPSSWMSVAWYPIYHIPARGNLKGLSTCFLTYHSLSSVFQDNVEEGRSVVGVSPFGLATYRAEGKLWTSSRSSDLFWAASSWLKQLRAYHPDFIFFTSHCRQSAF >KN538711.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538711.1:190981:191892:-1 gene:KN538711.1_FG018 transcript:KN538711.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding VEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSVGLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVYAKDSAIESLIANYTS >KN538711.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538711.1:16557:36057:-1 gene:KN538711.1_FG019 transcript:KN538711.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSARESGSLNLSNRSLREVPKEVYNNLDTGAQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNNISSLPAAIGDLPLLKSLDVSSNQINALPEEIGFATALVKVDCSNNRLTDLPVSLARCLELSELNASNNTISILPDELAGCSKLFRLNLEGNKLVMLSDKMFMSWTMLTEMNAAKNLLTAIPDGIGALSKLIRLDLHQNKITLIPPSIKDCSSLAEFYMGNNLLTSIPEDIGMLSNLGILDLHSNQLKEYPVGACRLKLSFLDLSNNSLSGLPAELGTMTTLRKLLLTGNPMRTLRSSLVSGPTTALLKYLRSRLSSDEGASGSGSTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPPAAWETNDVMKLDLSKNSIEDLPNELSLCSSLQSLILSNNKIKRWPGTVISSLASLSLLKLDNNPLAEILATDLEALSKLEVLDLSGSASSLPEPSAVSKLPHLKELYLRRMKLHGFPDSLLGLKLLRILDLSQNYLTTVPEGIKDLTSLIELDLSDNNITTLPSELGLLELNLQVLKLDGNPLRSRSEFGYVVSIVFDMGSPARVKKFLPPQKSADKITENRISDLPDDVLLDILERVDTPDAVSTCILSKKMAMLLYMLSQFVFNTFFSAYPCVFAGLTRLQIENLRFGQSDIPNILLTCKRLEFLRLYNCKSVDRSVLRVEHHHLVELQIVFGNFETVELVNLPKLERMACEIWISYQEPLFFGHTPRLSSLSLSDIGVSWQDNLQLSHFLANVPSIHVPNLNFRSEKIWALPESSELLLPVLRQLRHVTLVDLPEGCDIAWTMFILEAAPNLKELSITVWDHRCQMLNDKKEREENGYCEKANVEWEPSVPDLKHQNLAKLTIYGFQPDENFVGYIRRVMEAAVNLEDIFLYDRKVFKCCASLDPEIKVVPSRYPRSVEEQELMRKEMTEGFGMALSGVIHFRSYDNFNVKLLHMFYSIVLNRYNDCQGTTTTATNQQSTFIEDRISGLPNDVLLDILERVDTPDAVRTCILSKKMAMLLYMLSQFVVDVDSFVLKGNNRYDVSSLSIRDLVRMNGAVADLTKNILNFRNPQDPLHQLKLRFYLRYYDCFSIGKAVAQAMATHEMDTVEFILLTEKGRNKCTSHDLLFFAKQFDIFFSGYPGIFAGLTRLANRELEIWVVPESPNGLAPVLRELRHVTLLHLPEGCNIAWTMFIVEAAPNLKELSITVWDHWCRMLTDKKIRQQDGYCEKANVEWEPSVSNLKHQNLAKLTIHGFQPDETFVGYVRRVMEAAINLKEISLYDRETPSLVPGKDRLSDLPDDVVLNILERLDTSDAMKTCILSKNMRATLPDMLSRIAVDVAAFSPPPRRRLTLRDVVRMNGAVADLTAAVLEFRRPEIPIHHLALRFYLRYYDCISIAGTVARAMAARKLAGAAAVEFSILTEKRCGDCTDDDLVYFAGQFHTLFTAYPAVELNHLVELEISHGNFETIELVHVPKLQTMKCQGWISYHDPLFFGYTPLLQSLSLVDTGMSWKNSIRLSHFLANAPSLHQLNLNFQSEKIWVEPESWKRLAPVLGELRHVTLVDLPEGCDIAWTMFIVEAAPRLENLSIRVWDHWCKMERDETARQENGYCEKSNVEWQPSVANLEHRNLAKLTIVGFQPDESFVGFIRRVIESAVNLEEISLYDRVAKSKVVPSRYPRTMKEQVLLRKEITKGLLLGMDLSHVIHFRS >AMDW01040103.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040103.1:268:1200:-1 gene:AMDW01040103.1_FG001 transcript:AMDW01040103.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVRELQNLNLCGELELCGLENVSEAQASTVNIENKVKLTHLSLEWSNDHLVDEPDRQKKVLDALKPHDGLLMLRIAFYKGNGFPTWMTDLSVLQNLAELYLVGCSMCEEFPQFCHLNVLKVLCLTSLDNLASLCSYTTSNFFPALRELQLHRLERLERWSATEGEEVTFPLLESASIMNCPMLKSLPKAPKLRILKLVEEKAELCLLILRSRFSSLSKLTLSVSDGNAGLELDQNYEAPLSEMELCGCAFFFPLGPSRPTVGIWKWFGQLVDLKIESCDVLVYWPEEEFICLVSLKNLAIENCNNLIGHRH >AMDW01069281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069281.1:21:702:-1 gene:AMDW01069281.1_FG001 transcript:AMDW01069281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSVKSEEASSAAEEQPPQAAAPPPRRAGPPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKT >KN540708.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540708.1:31559:36145:-1 gene:KN540708.1_FG001 transcript:KN540708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGIQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGMLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVLRGLGNLIAADDYMVDSVLTVGNNIIDQALSGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSAQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >AMDW01020637.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020637.1:29:214:-1 gene:AMDW01020637.1_FG001 transcript:AMDW01020637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TEDVKVMNKCKRTLPRAAVEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSK >KN540708.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540708.1:7401:9023:1 gene:KN540708.1_FG002 transcript:KN540708.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLLDLFVLDLSDNNLVVVDGKGNSSTASIPKLGALRLSGCNVSKFPNFLRSQDEIEYLDLSYNQIDGAIPQWAWENWVEMEILSLRNNKFTSVGHDPFLPLSDMKALDLSENMFEGPIPIPRGYATVLDYSGNRFSSIPFKFTNYLSDVSFFKAGRNNFSGRIPPSFCSAMSLQLLDLSYNSFDGSIPSCLMEDVDKLEVLNLKENKLRGEFPDNIKESCSFEALDFSGNLIEGKLPRSLAVCKNLEVLNIGSNQINDSFPCWMGTLRKLQVLVLKSNKFFGHVAQSLGEEKGTCEFQSARIVDLASNKFSGILPQEWFNKLKSMMIKDSNMTLVMDHDLPRMEKYDFTVALTYKGMDITFTKILRTLVFIDLSDNAFHGSLPEAIGELVLLNVLNISHNSLTGPIPSQLGRLTQLESLDMSSNELSGEIPRQLASLDFLTVLNLSYNKLEGEIPESPHFLTFSNSSFLGNDGLCGRPLSKGCINITSLKVIPSKKNSLDVLLFLFAGLGFGFGFALAIVVIWGIPIRKRSRVRQRAL >KN540708.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540708.1:21239:22118:1 gene:KN540708.1_FG003 transcript:KN540708.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSASSSGSNQAQSKRAPAIHMFQEIVAEKDFTASSLEDQIYTGIFLAGKTKKYWVDERTRNNCFMLFPRGLSITWSEDPRFWTWKPLKEGSSDADTDTQIEMPSLQNVCWLEIHGKLELSYLTPGVTYEVLFEVMLIDPAYGWSVPVNLQLKFPDGTVQQRKENLEEKIRMKWLELKVGEVKTQQGQKGEIEISMFEYDGGLWKRGLLIKGIKILPKQ >KN540708.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540708.1:16409:18955:-1 gene:KN540708.1_FG004 transcript:KN540708.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAEHSVQSVEQQLCTLITSSLRATVPGLDVEPMLEVSKPGFGDYQCNNAMSVFSRIRGSATNFRNPMAVGQAIANNLPQSNIIESISFAGPGYINITLSSNWIAQRIQDMLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVGDLQNLGRIENAAGTCSLVAWDKLCLPFENGGLGIKDLRLMGVALRTRWPWVCRDQPLRPWVNMAPPMDKRVNHCFMAGCRMRLGNGEGSSLWLDNWLPDGGPLSARFPVLFSFVHCKSRTVAEGLRDNAWVKDIRGGLSIQAYLDFFALWDLLSSIQLEPEVADTAVWWPAKNGTFSVKSAYYLLSAGRTRCLLGKIIWKSRAPERCKFFMFCAMKNACLTADNLQRRGWHLAPICHLCSKDIESCTHIFLNCAFSREVWGLVRGRIGFLHAVPDDDLPTWWCAARKVVTKRERKTFDAGVILVTWLIWKERNARVFDGRASSPACLFATIKDEWETWLAAGLIPPP >KN540708.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540708.1:36829:43331:-1 gene:KN540708.1_FG005 transcript:KN540708.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYCVISRSDSWYSKNSSSNITETTWDSSSNTTQTTWDSSSNKTETTTLAALDTNASKQNSSSGPPEINMHAVGFKSSMLWDSERFTRRDNSSTGINQDLIQAVRQMMINDEDKKRGIPSDCSDSQIMLWHLDMPRHTPKIEHATPEKESLTKGDEEESHDMTHNVVAKTEEHGTLEKENLMKGDEKGSHDMTLDNVVAKIEEQETPEKENLTKGEEKESHDMMLDNVVAKLEEQVTPEKENLTKGKEKESHDMMLDNVVAKINEQETTEKEILMKGDEKESHDMMLDNVVAKIEEQETPEKESLTEGDEKEPHDMMLDNVVVKIEEQETPEEGKTKEGKADCSPSPPPIRAAAEQKRISEEAQPRNGIHAYSQKSAIAGEAAGGGGRSSWKHSAMCAGSFEAFTKQCGCCHGLVEDEGNREHLEVACDLATHYFCHPCALCQEGRELRRRVPHPGFNGRSVLVMTPPKEQTMGRGM >KN540708.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540708.1:10849:14865:-1 gene:KN540708.1_FG006 transcript:KN540708.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLPEQNEKKYPKASHVGFGLVLGSDGKRFRTRCSEIVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYGAVKYSDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSETFSKFYTNCQEDGVDIDEERLTLSYLAPDLILVDTRPDTKVSRLMPDGEGRGADAESGEVRLEVGGDHLQEHALQQLHLGVDGEEEDVEVPEQVAAGVTAAEGCT >KN540708.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540708.1:24211:28775:-1 gene:KN540708.1_FG007 transcript:KN540708.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGYVTVDAAAGRALFYWLIEAADPASAPLVLWLNGGPGCSSVGYGASEELGAFRINPDGRSLYLNPYPWNRVANMLFLDSPAGVGYSYSNTTSDLLTAGDNKTAHDSYAFLVNWLERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNKDVEKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQVACDFESSAHASEACNKIYEVAEAEQGNIDAYSIYTPTCKKTSFLKRRLIRGNSPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFYYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYDDEEEEFIYAWFLFVDCANVCSSAWKMIRALVSHFHFDLYQMPEEVIAALLSSVRALIRW >KN547018.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547018.1:408:477:1 gene:KN547018.1_FG001 transcript:KN547018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSA >AMDW01011923.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011923.1:18:239:-1 gene:AMDW01011923.1_FG001 transcript:AMDW01011923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLY >KN540049.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540049.1:11723:13949:1 gene:KN540049.1_FG001 transcript:KN540049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVLSRAAARLLRHPLPLRTRHLCALPSSSSSPSPSEAEILAEIDPIVDLVKDILHSARYGDGAFLSPDDQKAVVEKVLVHHPTSEDKIGCGVDAIMAHLVHCMSMGYTVTVSKFAYFEAL >KN540049.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540049.1:32882:34111:1 gene:KN540049.1_FG002 transcript:KN540049.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSHEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVLKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTEYEGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYNKYDMLENVVQNGNMKSLAQHYGVSLADEF >KN540049.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540049.1:23867:25160:-1 gene:KN540049.1_FG003 transcript:KN540049.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERERMERSAVSGEGGLLSHRALEALARPESPDYRQTKPPSRTNTSPQPPKDSMAESGSRQPKEGRSIKEGRRPGHPEEELKAEDRGIEDLGVIHVSSKDHRPSQENPYSSDKPPRTSPPAQREERWGEKQSN >KN538879.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538879.1:57931:58467:1 gene:KN538879.1_FG001 transcript:KN538879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVEIVKTARFKELPPYDPDWYYTRAASMARKIYLRQGIGVGGFQKIYGGHKRNGACPQHFCKSSGAVSRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVVP >KN538879.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538879.1:30102:30938:-1 gene:KN538879.1_FG002 transcript:KN538879.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPAILQYLAPYTGAALVEYFMYREWHTLIIYDDLSPNRHKLITKYPFY >KN538879.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538879.1:61638:64376:1 gene:KN538879.1_FG003 transcript:KN538879.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVPDVVTDKKCDKTMAASSEMLQSQEVISRGGKLGTASSRETLVEDVATSSGVKEQDKDSCSVDESVKLDGNEDAEKSSLRGSVKDSSASAKGSDRASSLTKVSGSTKVSDHAADIVGSGKSSVYRASAGSDVSDESTCSSICSSASKPHKSNDSRWEAIQMVRTKEGSLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLKSSNPGVDPNQKGNPSYCVQPVCIEPACIQPSCVTTTTCFAPRFFSSKSKKEKKAKTDIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQSLRFPESPVVSFAAKDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASTSLKATTTAEKGSDNYLEFDFF >KN538879.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538879.1:108983:109162:1 gene:KN538879.1_FG004 transcript:KN538879.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTVTGGVLGPVFVLLSRIQPVVDFFRRLCDCLRHPQRRPARPVRAPWKRAAADEQS >KN538879.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538879.1:17968:18806:-1 gene:KN538879.1_FG005 transcript:KN538879.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKNKNMQNFKADPILVVTINGISLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLTGLCWTSYALIRFDIFITIPNGLGVLFALMQLILYAINYRTTPKKQDKNLELPTVVPVAKGTSIVAPVSKDDRRQGHQHRRPCQQGRRHQWQHCQPCHHQYHG >KN538879.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538879.1:102309:107419:1 gene:KN538879.1_FG006 transcript:KN538879.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSSFLALYFALLELTCWLHVHQGYARGTLVRFLKAREWNVPKAHKMLMDCLNWRIQNGIDSVLAKPIVPSDLYRTIRDTLLVGLTGYSKQGQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKRKIKVLYGSGRDELLKVMDYETLPNFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQALNEDFIGPIKQGSMHVDVPTPDLEEAKIVETIESELHKFSGANGLSHSFNRIKIEETIDGCCAHLIF >KN538879.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538879.1:9511:10206:1 gene:KN538879.1_FG007 transcript:KN538879.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALAREAVAAGGCGSGPSASPSTSAARAPGSARTATASAFGSREVAIEFDPDLLECLAVRAAGSLYWHICYNPCALALDTATLEFSFLRVPALMFDGTSNTHKCRIGEMPEDGRLCVGSVERQELLLCVRGSGDDSDNGWVVERRVRIREVLDGVPWIPKNSFLRHFNLWLRDIDAGRTGKVFIGTLGYGIFSYDLNTGKLESLATEDGMQYGHPILPYFSAPVDAGSD >KN538879.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538879.1:36806:37603:-1 gene:KN538879.1_FG008 transcript:KN538879.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKNKDVQDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFALIQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTSIVTPVIKDDDVDGSNASHVTINITIEP >KN538879.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538879.1:147310:148485:-1 gene:KN538879.1_FG009 transcript:KN538879.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENGDSRFVRDLVSPTPAPPLLPTQQALRALSSLQIIQGLRVASPERLPQPTSGQRRILPMAPQARRWRADGNATMSVTSLPLNQVRAIGLFLNTFMVATMRRQEGPLYHNAIVIPTMASAPAPAPPTTQMLAMENTMPATVEPVTNHRIINHDIMHFMRETPDIQRLPGVVSPVAVHGNGNPLSCIYCGLVFALRSSEIPGFLPPPRFSYPEPMGPPPLLSLLPPARDASRTAAVCSDPHHFAVTMQHMPKKEMAYLIWSSEIPSIHIPSAAGGQHVGTVPSLTDITGATISMVNLMQMPAIHMEQHMLSPTMLNSSASSECITSTTVPSTLNMMPMRDILRDQLSLAPAISSSSASGVLCEYVMPEHEDMVRLTLGQSSTMDLDLSL >KN538879.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538879.1:50869:53353:1 gene:KN538879.1_FG010 transcript:KN538879.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREANNPTKSSKAMGRDLRVHFKNTRETAFAISKLPLGKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQIAARKA >AMDW01032654.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032654.1:2:473:1 gene:AMDW01032654.1_FG001 transcript:AMDW01032654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RALLNADLVGFHTYDYARHFLSACSRLLGLAYTSRHGRVGINYHGRTVLIKILSVGVDMGLLRTAMASPEAAAKFREITEVEYKGRVLMVGVDDVDMFKGVRLKLLAMESLLETYPALRGRVVLVQIHNPARCGGRDVERVRGETAKIQARINARFG >AMDW01040817.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040817.1:61:1902:1 gene:AMDW01040817.1_FG001 transcript:AMDW01040817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEHINVPIKYPIDDLLVQPSADDHALLKRPPLATDFRVPKYSVGDLLVVWDFCLSFGRVLNLSPFSLVDLENAICHKESNALLVEIHTAIFHLLIKDEGDYFTILRTKKRKLKVTLVTWAEYLCDFLEMTKTEELTRNIATVRKGYYSLIDTDIKLKILRELVEEAITTSPVREKLSERVDQRQALAATKRESTRKAKDEQNSSIDGLQDDNESVDEQGKGKEEKDKNNISRSKTEGKRHGVQHLETEIEKLSIRSSPLGKDRHYNRYWFFRREGRLFVESADSKEWGYYSTKEELDVLMSSLNIKGIRERALKRQLDKLYSKISNALEKRSKEITHKLLLEEAVLRRSTRVRAQPRDNPSMSFLKYVNKWKDN >KN539556.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539556.1:94002:97792:-1 gene:KN539556.1_FG001 transcript:KN539556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCPWQYGNWSGRWGFLALWTMSTPLSASTVVRYRTVLLPPHSLTPLPALISSHLNLLRHSIGASNPATHEQANQLACCTFHILCGVSFSYGRRFTAVRDFLQGQITSMDKAANVVLDIEGLPQQPDKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDLVKKLIIKVVPSQLEQLKMPLVQNKALVTPQSQCAACAPILTDSGEGRNKKFNRLTLVHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >KN539556.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539556.1:71785:74825:-1 gene:KN539556.1_FG002 transcript:KN539556.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYYCFFHFLVVSVLLRVHGGRSESQACDTADLAALLAFSDGLDTKATGMVGWGPGDAACCSWTGVSCDLGRVVVNVSSNGFTGPHPAFPGAPNLTVLDITGNAFSGGINVTALCASPVKVLRFSANAFSGDVPAGFGQCKLLNDLFLDGNGLTGSLPKDLYMMPALRKLSLQENKLSGSLDDDLGNLTEITQIDLSYNMFNGNIPDVFGKLRSLESLNLASNQLNGTLPLSLSSCPMLRVVSLRNNSLSGEITIDCRLLTRLNNFDAGTNKLRGAIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLPSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFSGELPASFTQMKSLISSNGSSGQASTGDLPLFVKKNSTSTVGVIFVLCIASVVISRIIHSRMQEHNPKAVANADDCSESPNSSLVLLFQNNKDLGIEDILKSTNNFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHDNLVLLEGYCKIGNDRLLIYAYMENGSLDYWLHERADGGALLDWQKRLRIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMKKEDRETEVFDPTIYDKENESQLIRILEIALLCVTAAPKSRPTSQQLVEWLDHIAEG >KN539556.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539556.1:39581:42262:-1 gene:KN539556.1_FG003 transcript:KN539556.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog D [Source:Projected from Arabidopsis thaliana (AT3G02720) UniProtKB/Swiss-Prot;Acc:Q9M8R4] MAPKKVLLLCGDYMEDYEVRQILLLFCVSCSIRVLAIPMGFSWNLVDQAMVPFQALQAYGVSVDAACPGKKAGDSCRTAVHQGIGHQTYAESRGHNFALNASFDEVNINEYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRKCTAYPAVKPVLVAAGAKWEEADTMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVTGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKGPTAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKVISLVKGFMDKAKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVVLGGATWLEPNPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVSF >KN539556.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539556.1:57:5272:1 gene:KN539556.1_FG004 transcript:KN539556.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFAPPPAAALGDGMLQGLGEEEDVRAPLPTKRETLYGDAPMVVVWPNSTVAFRNFEEEARQSAVWDSEQNAASSSRDNLAALYRPPFALMFNGPFDKAKLEASVLDKWLLINLQSTEEFSSHMFLFLSVSFMKLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAILLIDPITGQKMRGWNGMIHPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKVDQETSIGKQGSGYVQCISRLTKSTCSQFHFFVGKTPVPVVGTEDEDEELARAVAASLEESKGVGGSDTSEEKIEPEVENEPSLSAKLNYPPLPEEPKGSRELLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCYPQVEDGDKKAFHFVQPIPRASTNLEYESGDKTFKEAGLANSMINLLWD >KN539556.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539556.1:27969:30684:-1 gene:KN539556.1_FG005 transcript:KN539556.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKVPKIEVSKRVKGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILKETVKVRAEHEDDMPLIQAISIVLDRNPHLKLDGLQYEVLQWCVCRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSSISDLGIGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIVAAEDCRRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >KN539556.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539556.1:85901:91330:-1 gene:KN539556.1_FG006 transcript:KN539556.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSLCLKRKLVDDCLSKECKTRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGSNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGEIASSDPARTAAEDRSLDQTGNCSLTVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKCYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTILSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPTNGSKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSALRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESIAPSLALGNSKCAEGLNSAPRVTPPGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGHGNPKALATEARGSLSLSIAPKVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGAHSQSSGPDDANSSNVARAADTLVALSTLREKGRMPAPLTSTNRGVKIHQTSESQFR >KN539556.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539556.1:20372:26228:-1 gene:KN539556.1_FG007 transcript:KN539556.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSLKTGGLLLPTIERQCASPPSVIVIGGGISGVAAARALSNASFEVTVLESRDRVGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLKLYRTSGDNSVLYDHDLESYALFDKAGHQVSKETVAKVEETFERILDETVKVRDEQEHDMPLLQAISLVLERHPHLKLQGIDDQVLQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVNGYYPIIQALAQGLDIRLNQRVTKIARQFNGVTVTTEDGTSYSADACIITVPLGVLKANIIKFEPELPSWKSSAIADLGVGIENKIAMHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVMSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVSARFAAPVENLYFAGEAASADHSGSVHGAYSSGIAAADECRKRILMQKGIPDLVQLAEIVNNGKLVSDEIIINLLSKRLEEGAEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDMEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLCEPVEDFYRARGKLLEFNLPGGIPESWPKLLQALNLDPGNERSAAA >KN538765.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538765.1:81492:82039:1 gene:KN538765.1_FG039 transcript:KN538765.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLLATEEQVGGRGKFVGHLVQILVRERFRVLIVLDYLFDGVDKSRRAKNIIFFSSRAVEKSGKDK >KN539556.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539556.1:43945:56769:-1 gene:KN539556.1_FG008 transcript:KN539556.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASIGPPQPPPPPVSPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRKSVYRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRTSPHYLWEFYNWASIKTHIILTRPMHTLTKHRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSSDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQSPQEQCNLNPLLQQQILQQASQQQIINPDAQNIQTMLSPSAIQQQLQQLQQMQQVQNDQKQKIQPDQSYQVPTSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKAVDLSRFNQPVASEQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVSDGNLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPATRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRGYMAFSSTGNECDFRHSDNARMNPRDCWYWLNSNCLNPKCPFRHPPIDGMFGAPTTGMPAVSSHYAPFNSGKQLVPCYYFKKGNCLKGDRCAFYHGPQSVGNNPSEQVAKVSSLPLEQLQTQKNDLLGIKDSVQSTNSIQHGAPITNERGKTAVDRSTVNSARTATVAIPVASNAMSCPKSEKVKNSTPAALKESFTTSSGEDHPECYQNHFPMDSDPVRDWNQSYEMPPADDLPQNSREADELLGESSPGFDVLVDNDADGAAYLHDEDFGGDMYPVEDYEYAPADFDVRAHHERERFNGMDEQDQMGHMYDGYERKRRRSSERSMERPFHSERRFLQRDRDRVEMDGSDLRHRLRRRRINESSLAISPERNGEQRRRDERYRERAHGHRSHRDHHQSSRGSTLSSRLQGRIKLPGRSPDRVDTRSEKERDRRRLRDRLSPVRRTEFQGTRHRESGQHEEQTQRRSSELALGSRNADGQHLTKDVPDSHNFPHRKNLRDSSKANGSVEPEASLDFEGPKPLSVILQRKREAAWANGTSACSPKQDKSAEVSHRQASLAEAEKEGDNIISSDEYKSGSGDEEFRDEGHIPVEGHGQSSSHGGKLEAEDIIEFIVPKPLFIRLITDKYRSVS >KN538765.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538765.1:45987:51760:-1 gene:KN538765.1_FG041 transcript:KN538765.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQPGTGSPPQPSTRLFLLVLAVILTNQVLAASAQGMSIGINYGQIADNLPSPTRVSGLLRSMQISKVKLYDADQNVLSAFLDTGVEFVVGIGNENVSAMVDPAAAQAWVQQHVRPYLPSARITCITVGNEVFKGNDTALKANLLPAMQSVYSAVVALGLQGQVNVTTAHSLDIMGSSYPPSAGAFRPDAVPYIQPLLNFLSMAGSPFLINCYPYFAYKADPGSVPLEYVLFQPNAGVTDPNTKLNYDNMLYAQIDSVYAAMQALGHTDVDVKISETGWPSRGDPDEAGATPEYAGIYIGNLLRRIEMKQGTPLRPSSPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLRGYLPPMDESKSARKFCRESVKCPFYECANGTSEIVHPPFASLIYKRPD >KN538765.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538765.1:6411:8794:-1 gene:KN538765.1_FG042 transcript:KN538765.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MATARARAALVFVALLQMAAVVVVRASHVVYPELQSLEAKHVDGKLRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIEWAHSVSTDLIDWTALEPGIYPSKKFDEKGCWSGSATVLPSGVPVIMYTGIDPDERQVQNVAYPEVKYVLKVSLDLTRYEYYTVGWYDHATDRYVPDAAFPDNDYGLRYDYGDFYASKSFYDPAKRRRIVWGWANESDTVPDDRRKGWAGIQAIPRKLWLSADGKQLVQWPVEELEALRAKHVNVTDKVIKKGNYFEVTGFKSVQSDVDMAFAIKDLSKAEEFDPAWRTDAEALCKKLGSDVDGGVGPFGLWALASSDLKERTAVFFRVFKANDSSHVVLMCNDPTRSSYESKIYRPTFASFVDVDIAKNKQIALRTLIDHSVVESFGARGKTCILTRVYPRKAVGDDAHLFVFNNGESDVKVTNLDAWEMKTPKMNAKE >KN538765.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538765.1:14:214:-1 gene:KN538765.1_FG045 transcript:KN538765.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTP >KN538765.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538765.1:97245:97589:1 gene:KN538765.1_FG047 transcript:KN538765.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MQACAGDGEYYSHTNIREESGEGKVIVKESASSSLVQLQINHSPSVEPRFINIISLSSYLSSTTQQRRSVVQILCIARHYLLEGANIHRRVVQLHSLDGKTVKWSTDEYVVDAL >KN538765.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538765.1:143618:144049:-1 gene:KN538765.1_FG048 transcript:KN538765.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNVSRQAHPLIPNPASSLSHAACAQGGKMHSISCCDGCDFSPLSRTILSPGGKVVVAVVLPKATGKGSVTREHSGLGAGHQLDLRRSDGTAGFAAATALLDAIIAFSKASTSLPPVTPTSTPPPLLLPAPDGRWHRGCP >KN538765.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538765.1:189523:195811:1 gene:KN538765.1_FG050 transcript:KN538765.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALRCALHRRFAISHTPPTPLMQMISYLLLQLASGGGGVVSCAGAPGKVLVPGGGSEDLLSSAEPDVETQEQPEESQIPDDNKVKPFEEEEEIPAVAEASIKVVAEDKLESSEVIQDIEENVTEGVIKDADEPTVEDKQRVIPPPGDGQKIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFARSAEGITYREWAPGAQSAALVGDFNNWNPNADTMTRNEYGVWEISLPNNADGSPAIPHGSRVKIRMDTPSGIKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPNSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSE >KN538765.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538765.1:36142:37684:-1 gene:KN538765.1_FG051 transcript:KN538765.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLGFLYRFGRPDPFFEQIQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQLNGRTVQLYHRFWGKKKRRSSP >KN538765.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538765.1:12604:15910:1 gene:KN538765.1_FG052 transcript:KN538765.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 29, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/Swiss-Prot;Acc:Q9LZB8] MDSNYSFPFDTDYCANKLAVDSYGTSNGDNQSPALNGCRNGNIRTDLTPYTVIVPSFCSCFNVYCLILSTLHMQVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVVLCAGSIAVSGTSFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGTSPKLGEA >KN538765.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538765.1:67797:68420:-1 gene:KN538765.1_FG053 transcript:KN538765.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDVEEVPEPGMDHPAEPCMGVGVGVGGDQLVPTTEEISLPLAAETMSDHHEAAQLEQSAETSTSEPESEEVAAKTTSDSCEAAAVIPKHAAEGSSTASEEEQVTKKELKEAEEDDGLQGESARERLKRHRREMAGRVWVPDMWGQEKLLKDWVDCAAFDRPLVPPDLLTARRALVAECCARRPDRTTTPPARSSPLRVQKSCS >KN538765.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538765.1:83190:84575:-1 gene:KN538765.1_FG054 transcript:KN538765.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLILALAVFLPALLYSQLQPPPPKICGSPGGPPVTGTRTRLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNSASTEKSIALDIEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILAPVGNYWWSGLPSNVSWHVWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKFIMPKFAFRTYMVRITGSLHHVAYLTCNQRFAHRFACRTSSSSSQPQIRQQGEYSCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQA >KN538765.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538765.1:124989:130271:1 gene:KN538765.1_FG055 transcript:KN538765.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNRRPLLSPAGGRRRATATNLLYSFLLVLVHDVHLLTSKTIIFVVKSKYFYRISRLLEITTSYLLIGILLVDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRRRKASLPRPSGSSPFVGGYEALSPLADARAT >KN538765.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538765.1:113787:115449:1 gene:KN538765.1_FG056 transcript:KN538765.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTATYSSNKQVFNGHEFFPSAVVSKPRVEVQGGDLRSFFTLDNELIIMSVLVDVLQVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFILVLFRQKRRQAVSPPPSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >KN538765.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538765.1:56789:57247:-1 gene:KN538765.1_FG058 transcript:KN538765.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G27510) UniProtKB/Swiss-Prot;Acc:Q9ZQG8] MATTTVTTPILCNLSAKPRDTLRLPTTRSPNDGTRRTTLHLSSSRARGDLIRAAAAVYTVKLIGPEGQESVIKVPEDTYILDAAEEAGVDLPYSCRAGACSTCAGKIVEGGVDQSDQSFLDDAQVGAGYVLTCVAYPTANSVIQTHKEADLY >KN538765.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538765.1:17242:24102:-1 gene:KN538765.1_FG059 transcript:KN538765.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLACFPSDAALQESSGIPWGVAVTPFSAADERGAAPATGAEGHLLPRCDSCYAYFSTLCPLHRWSWSCAICSADNDLTPDASARYARDGGQDPPEMRSAFVDLLLPASEEFLELVKSALLAALEAIPPGSLFGLLTFSSKIGLYDVQGPIPIVKNAFIPPDSDGTLLVDLEDVMPLHSFLAPVDGCKDRIAEALETIKPTSSWEITATASEVQDHALHHARGFGLAMDAVVNYLCSEYGSLFELARIFTFLSGPPNYGPGQIETRSDMDHNAAKMLGSDHTFISEQTNFYTNLAASAVQAGVCVDLFAITNEYTDLSSLKVLSVESGGSLYMYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFFPDPQYMHVQHINCCDSFATYVYDFEFQKDSQFSRKSSPPILQIAFKYTTIVQQGDTSDDVSNSVSRSKFTLQRRLRVRTIQYNITANIWDLYDFVDPDVVLTILVHQVILASLSDVLEARIWLRDWLVNFIAQYNKAYKVVRSGGTGMSDIDVDFIHCSQLQPLSRLVFAFLLSPLLQLHGQGIHPDYRTYLQCLFSALEASSLRQAICPTLISYSSPDVEAEVHQSLSRSVFNSESPIFLLDAYTDLLVYYSPTVSSTIPFPPPRDCESSNPT >KN538765.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538765.1:75957:80726:-1 gene:KN538765.1_FG061 transcript:KN538765.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGVRKLILALAVFLPALLYSQLQPPPPKICGSPGGPPVTGTRTRLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNSASTEKSIALDIEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILAPVGNYWWSGLPSNVSWHVWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALFSEGDKLLLSKFAFRTYMPQIRQQGEYGCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQAS >KN539784.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539784.1:72703:74306:-1 gene:KN539784.1_FG001 transcript:KN539784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGGGKLWKQDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLATEGVYLPVNKSK >KN538765.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538765.1:25692:26954:-1 gene:KN538765.1_FG063 transcript:KN538765.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPKKSATKRRNTPGKENSPLQRCSGNNNSSNINKTGDVNVDRVLRPYNSIDNSKLSRMSKRFSPVSARIVRKPGPPETGGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGREELRI >KN539784.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539784.1:4303:24692:1 gene:KN539784.1_FG002 transcript:KN539784.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVRGDASIDATAIENAASIGLDDDNRVIYSKKLIVSAFGAVLGCRFSLVLLQPIRKGVVSHTLMVEELGRRITSHGIACGDPGEARKWVEAFEQAKQQPQKILSGAKLLRHIIIYTWRLNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVVYGTYEPRYLSWWKTKKDFVFSRQWFRGQDGAYTILQTPASHKKKPPRHGYERTKINSSTWELRRLNPPGSSTPKCLVTHMLEMTPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNVSWAIAGLAMKPTKVKENIGDKNVVHRRARKLSFLTMILLLICSPAASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQLIFLDSTNKALIICTMSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNQIQIQPLDIPSMVNAFLLMDVTRPVSYQSEMERKGNPISRHPQKPNPGKPGEGSGGPQVNALSLSYQLTRMLVFLFQIYLYRWVYLLTLTVCHINDCHFYLRSCFKLFIVLNGYSPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKHQSEYKVINMDQWMGFLRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >KN539784.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539784.1:59677:60543:1 gene:KN539784.1_FG003 transcript:KN539784.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPAASVESPDEGVEIREVWAGNLEAEIAAIRDEVDRYPYVAMDTEFPGIVCRPVGNFRTTDEFNYANLEANMLRTCGIDFDRFAAEGADPIRFAELLMSSGVVLNADVQWITFHSGYDFGYLLRLLTGRNLPDNMPAFFDLIRIYFPVLYDIKHLMRFCSNLHGGLSRLGELLDVKRVGTCHQAGSDSLLTLGCYNKIKEVYFKGSTEKHAGVLYGLVIEDGVNRPPPTQPNE >KN539784.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539784.1:47873:56806:1 gene:KN539784.1_FG004 transcript:KN539784.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSRFQPRDGTNTRATYTLAGDGAVKVLNETWTDGRRGHIEGTAYRADPASDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYGQLVERAKEEGYDVSKLKKTAHPDPPPETEQSAGDRGVWWIKSLFGRARQVQLYYHTNGINDRINQLQCLSMDQAVDVLFQLPGDIDQPFEDWWLAMRQRYNFHLFPRDELQLGMPAYSFSCQSSYLSSMAHSGFDFNMCIYDGSLVNTLRQLILGSESYGSRPSFSIDVCSDRQVQLVLEAANHISDGLVPLVVPDKAGTARAVRVIFTSSPEDKNLLLMDIKKMEDEHNLKFRGFREVIDLLSSSQKPIISYNCLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQAALSYLQRQYFVPMDVEIHQQDGNNSVTKSGENVLRITKLFAKLSNLLKISPNGQTHSGDKCHTVEEYSYILYPSCTAEESEDDKSSNESNTTRSVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELRLLDNSCSALIFHSSDTAIDLLREINSESPSLNNFFSEGLKAAGFDAYRKVCRSGLWDSDLAEALDGVSSEPSTSTLSGHGTSEICWNTSLMLDLKEYLEC >KN539784.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539784.1:81718:82049:-1 gene:KN539784.1_FG005 transcript:KN539784.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAYCGEEVSISVRRLRSSRTRAPLQSMLPEEHRLQNDKGFTKGTMIRSRRFLWILWICFADSINKN >KN539784.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539784.1:40683:45005:-1 gene:KN539784.1_FG006 transcript:KN539784.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMSAYRKLISMKSDGSENDIESDIERSLKQLQQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEEGADSDQALLKEQAAISRSTGQMDTVISQAQATLGTLMLQRSTFGGITTKISNVSSRLPTECGKLIVHPSMLQVIMYRALHLVIQLTVIWNIQVSKQCPVQDSKSSRDKICPL >KN539784.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539784.1:65537:69000:-1 gene:KN539784.1_FG007 transcript:KN539784.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFFLDTGKESGEDGFMVHGFALPQVLQSSVGETNILIGCRVWLHEVDATSLDGGQVIGFHSETPDLADKEGAVVKASDRDKHLVHGVHLVYQVQALEHAHEEQLSSKLDDAMDICVKLCHQRHHDDVHIAGLLNSLVAFFLDTDEDNGEDGSMVGEIAGKVGHYLMEALALLIIPPHALSMEPGGEHHEWPNMLHSIRLLPSLHLLRLHHPPSTKVLFHEVDAASLDSGQVVGFDRETPDLVDKEGAVVKASDRDKHLVTVSTLCTRC >KN538685.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538685.1:396804:399133:1 gene:KN538685.1_FG033 transcript:KN538685.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MARMCMKPIQLYKGSIKEYPVVVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESVCVNKFSIKEYPVAVSILDPTLLHRPFHNHPQESASKNIL >KN538685.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538685.1:361045:361376:1 gene:KN538685.1_FG034 transcript:KN538685.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKSETDADATQRGLQDLNEKLQGCW >KN538685.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538685.1:326217:328384:-1 gene:KN538685.1_FG035 transcript:KN538685.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLSVRNATRSATAWAASPVGRLVRIEVLVTISCCLLAVLVLLGSGRRAIHSAGFRLAVWSALMLSYPAVSYTIGLMQSASTFRNELIVAWGCFLLLLLGCADGIAAYSLNDSDQQARTVLNQGLQLVYVFILLISYVGSLPLQLKVLLLLLWALSAIKLGMRVRSFLSAGRDSVLTVENKLIADYMSREHEYSGRNYDAATMKGYKYVVVGEADQKDDNGDYHPIDQSNLDRSIITVEKVWECQGRLLLSSNGGDDAAASRRRDLCLSFAMFKLLRRRLGGYPLSEAPLNKTRDFVKVGLLAAADDHERMYRVIEVELGFLFDFYYARYRSPRETLIPDTLLFAAVLVASLSTLLSPAVLNHRARSNSVANGFDIWLTRTVIALFLFLESFQYLTLVFSDWHKVKMLCRYVREPTWQEHPGLKLILKWMCHVRLIRYWNNSVGQMTHHRKLPEEVKRAIYLFLRSGLARVRHGQYALEKNGALGVLYPPHVRQPAEMTTGTVQLILIWHIATELGAKDHLVATTLSSYCAYLVSSAPELLPERSYDTQRLLEGVQRKAREFLRGCRSRDDMYDKLPATVSDSQASDVHNILVEGRRVGEILGKMDTTKKWKLLAELWVELILSVAPSDNAASHVQMLANGGELITHLWALLTHAGVVDKRTCTENSAFPV >KN538685.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538685.1:477589:478689:-1 gene:KN538685.1_FG036 transcript:KN538685.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLEHGYFGRSSLVAADATHLLLLVVVPVKIQGMYDHEYPEEYFVYTADALRPSLADALCPTLTRLPRFPDNRQRLAGDIGILNHAAAAGDGFSFTVASLQTFMEWQSGEGSAAILHLQEMAKLSVLQCSVGSDLDEDNTKNNDSRWVVKNLAMPFDSQGDFGPRQWKSNIAFAYAGKLYWADYDIGLIYCDVLESSPKLQLIKFPVPVRKFELGVSGPDDNCGNSESFRTAGVSNGKIKFVDVDNCRAQSFAVIIRTWTLQMPQMVWKLDDVLNVKELWGSASFKKYDLHQWVPEYPVVSLLDPHIVHFVLHKHMHHDQVWMIAVDMRAKSVKSCKNYPKGEKEDGYKGLSFNIDFICSMLSK >KN538685.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538685.1:312632:313135:1 gene:KN538685.1_FG037 transcript:KN538685.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIRDPVCSGHGDSDATRSDGGSADPVCSRGSGSDAARGDGSVADPVRNGGDGADAVCGDSGDARAVSRVVASSCFQLHRCFMVQAISFSTISIISARQKLICSHSSAPSLKVGCPSDSPILLFPNRRNHVGFVIRVELGPPEQFRLTGSMLELLRFNDEPRGILC >KN538685.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538685.1:491371:495723:1 gene:KN538685.1_FG038 transcript:KN538685.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLAFPPQLTNPFDPIAGVRSVTRRSETRRCRWCLWSTRLQKTELAHTICVTSQTVYASAYTYEDMEALLSDLQSKMEKEPFKMLIINSVTTLFNTELCNGCAFDGCQIKTLEMMYCLRMIANKFHIGVYVTNHEEMGLTDAKIKRSLKQGLYFMEVKDLRKLNNAVQVTTGNSSLGDMHQGGDMHEDVFLGLQDFRTKIRVSYPNSWFYLDASPDDKIKQLSQMIEKRISLSRESFYLTYLGRKLEPESTLRELGLVVSLITFELHVRLRGGCPDKGNQSLREFIASNSVCWITMSHGKNLYSNRLVREVLVRYPGQKGMRQVVKLVMQPLAIRICNGILHHVHKAHDADKSWNGSISLETFRMVDGCMEVSQHAVKDLDETSVCEDYKKISKLFLDMLELNKGHPLYLSHLCSKMKSANVTSAKSNSFQLFLRVHPSLMTYSTRSSLLWEMKREIDGLLPPNAKNIQSAIDQILMNRDWTLLAKQEAAFSPTYNRSYKKSSSECFKFIRNWLTHGIENFNTSDPRRFTTEDMDYLLEIVFKDFLADIVWTLLQNNIGNLNRWCLPGLQMYKKITLSRLICGQNN >KN538685.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538685.1:463591:474186:1 gene:KN538685.1_FG039 transcript:KN538685.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYFTGLLIREVLYGGSPNGAYSSGFKPLIHQEFVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRIHSMVTQNVDRLHHCAGSKPVELHGRVYEVACLDCGTSIDRESFQEQVKDLNPKWALAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCNQCGGVLKPDVVMFGDNVPEERAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSFKINARYGEILPRILQMGSLAVPNFLRDKQIVPDSDPPSAKDVDLLYRFIDQSKKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRIHSMVTQNVDRLHHRAGSKPVELHGSVYEVACLDCGTSIDRESFQEQVKDLNPKWALAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCNQCGGVLKPDVVMFGDNVPEERAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSLKINARCGEILPRILQMGNLSVPNIFSDNSFECTQRYGYPELISTLKKYNMLW >KN538685.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538685.1:421796:423426:-1 gene:KN538685.1_FG040 transcript:KN538685.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKVVKPMEVSVEAGNAGEAAWLDDDGRARRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIVQTISNGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKCATRLSVATTTVFYMLCGCMGYAAFGEAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFIAKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWVSLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >KN538685.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538685.1:355810:356614:-1 gene:KN538685.1_FG041 transcript:KN538685.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPSVAPERCNAGKKPPFSPHFATPPPWFGGGVVVDAVVYDHRRSFSAVEKGEEEGTVRGGWYYCDDDGGCGNVSARPSGFCLFCLSDECSGSDTL >KN538685.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538685.1:331039:336804:1 gene:KN538685.1_FG042 transcript:KN538685.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAGNPYAAELAAAKKAVTLAARLCQAVQKDILQSGVQSKADQSPVTVADYGSQILVSLVLKMEAPASASFSMVAEEDSEELRKEGAEEILENITDLVNETIVDDGTYSVFFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVDASFFESYEGAHSLRDLTGSIAEVHESYLGNLVFECWQKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTGMKKVQQDLLKLDVQTKADRTPVTVADYGSQVLVSVVLKMELPSNPFSMVAEEDSEDLRKDGAQEMLGHITKLVSETIINDGSYSITLSKEDVLVAIDCGKSEGGPSGRHWILDPIDGTKGFIRGDQYAIGLALLDEGKVVLGAMACPNLPFKSIDHNGVSSGDQVGALFSATIGCGSTVESLEGSQPQKINVCSISNPVDASFFESYERKHCMRDCTSSIAEKLGIQAPPVRIDSQAKYGAVAQGDGAIYWRFPHKRSKEAVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDRDAGIIATNKYLMPLVVKAAQEAMKEEGILG >KN538685.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538685.1:373718:374900:-1 gene:KN538685.1_FG043 transcript:KN538685.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSASLRFSCTTSCLALAASSSTFLMEPITMASLAEAAETPSSSLASDGDDAKNGGEDDGTKYIAGGVASDATGSLALTPMAFGFEWAWIHCTSSSTK >KN538685.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538685.1:409120:410750:1 gene:KN538685.1_FG044 transcript:KN538685.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKVVKPMEVSVEAGNAGEAAWLDDDGRARRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIVQTISNGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFIAKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWISLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >KN538685.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538685.1:504538:509908:1 gene:KN538685.1_FG045 transcript:KN538685.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHAPRCRLLAGSGAGDDEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCALDGSLLGDYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRGATRKLREVNQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLVVGSIRCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQ >KN538685.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538685.1:434420:447110:1 gene:KN538685.1_FG046 transcript:KN538685.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVAEPGPVRSEGLLLQCPYCDSEAMHKLAQLLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDEEKEIPEDPFEVVSIFMDDFSSTKRNIIGHVSGWLMSDNREDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEQRLADHKAQCSFRPVTCPNDGCRAKVSVRCMTEHDSACLFKILTCEQSCEKRLMRRDMDRHCVTQDIDGKLGKSRELLLSENFLLDKRIDSQSKVNGIRANSTNTAMADALAALDATWLPTPGKSAEMVGHEPLGQGNPKLISLELRICHGSSIKKALVNEASSYGAAHLILGVTNNSRSHLRPPSSSSSAAVAKYCAKRVPPSCSVLAVGNGRVVYRRDAAQQQLNQCISPLVETPRRIYRKLVRAATTITREKSQDDAARQAEVAAGWPLLSPDLKSALPEWTEMSVARWAMQLPSRCPAPSPLNPRNNSGDQATSPSITASETPSPATDEAAEQVAQELASLRNKYSSKYTMFSYSELARITCNFSPDRIIGKGGASEVYKGCCDDGKEVAVKVLRSSDKVMEELVSEMEIISSIRHGNAMPLAGFCLDDGGGGAKLMLVYDYMARGSLEEILHGEMEGKDLFGWPERFKVAAGVARALVYLHGGDGDGRPVIHRDVKSSNILVSEDFQPKLCDFGLALWAAEAASPVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQGGKLTDLVDPSLPTDGGGVAGEVERMTLAAALCIRRSPQRRPSIANVLKLLDGDGDAVRWARSQAGLSAGDDTDDGDGASPEKKDIQSYINLALLDDDSASVSSGGGDFTAANVSLEEYMKGRWSRSSSFD >KN538685.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538685.1:448445:453352:-1 gene:KN538685.1_FG047 transcript:KN538685.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFVLWSLICGLIPLDPKREVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGAQSVFFVEAVLGVAWSVIWLKFSSEPPRIDLPKVSMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSITKTRKLLNTIGFVVSAVALMALPLFRTPSGTVLCSSISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGSILEGAKASNMDLTNSETWKTVFFVPGVLGLLLKKKQYTAGHRSFLPSSLSKRAKTLSDSPLLLPLFSGGGGGSASEMIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGGSGKQRGEERHWGLMAATEMVCYADLSMRTWDALDALGLVRYCCRRMLMTHVDLIEKLLNYNNDGSDITIGQSTSGCV >KN538685.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538685.1:363378:373478:1 gene:KN538685.1_FG048 transcript:KN538685.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRPCYCRNNGFLLLLVQVLLFLFLFLDSSMVATAASAPAPLNTTQVSIMKELSGLVTASAKWNTSDSNPCRWDGVSCSSSSNSISVVTNLTLSGYGLSNSTIFATICSLDTLQILDLSKNSFTNSIEQFFTSSCSMKAGLRSLNLSSSQLSMPLSNFSGFPLLEVLDLSFNSFSGDVRTQLSSLLKLRSLNLSSNNLAGDVPTSMTPSLEELVLSINNFSGSIPIALFNYQNLTMLDLSQNNLNGDVPDEFLKLPKLKTLLLSGNQLSGNIPVSVSNVASLARFAANQNNFTGFIPSGITKNVKMLDLSYNELSGVIPSDILSPVGLWTVDLTHNKLEGPIPSSLSPTLYRLRLGGGNSLNGTIPATIGDASTLAYLELDSNQLTGSIPLELGRCKSLSLLNLASNKFQGPVPDAISSLDKLVVLKLQMNNLDGPIPSVFSNLTSLITLNLSGNSFTGGIPREIGKLPKLSILNLQCNKISGTIPDSLHLLTSLIELNLGNNILTGTIPTMPTKLSTVLNLSHNNLSGSIPSNIDLLSDLEILDLSYNNLYGEVPASLAKLESLTQLVLSYNHLSGSIPIFRQHVDIATNGNPDLTNGTRNYDNAPTSGKRRTHNTVIIVVAITGALVGLCLLAAIVTISYSKRIYRVEDEGPSTEDVARIINGHLITMNSIHTSAIDFVKAMEAVSNHSNIFLKTRFCTYYKAVMPNGSTYSLKQINCSDKIFQIGSQGKVAHELEVLGKLSNSNVMVPLAYVLTEDNAYIIYEHVHKGTVFDFLHAGRSDVLDWPSRYSIAFGLAQGLTFLHGCTQPVLLLDLSTRTVHLKSMNEPQIGDVELYKIVDTLKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGIELAKWALSLSGSPDQREQILDTRVSRTSAAVHSQMLSVLNIALACVALSPDARPKMRTVLRMLFNAKKLAKDLNVDLNSITGSGPGGRIVAKDVEAAAAAPKKAAPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTFTTDALDALYKKIKPKGVTMSALLAKATAMALVQHPVINSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLPDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSVYVKIDSVLIKLQTHYSAFDEMQKKTCTFTISNLGMFGVDRFDAILPPGTGAIMAVGSSQPTLVGTKDGSIGIKNQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >KN538685.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538685.1:337085:344833:-1 gene:KN538685.1_FG049 transcript:KN538685.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELRTSFSDLVVGSSSRTDSQVESSGDPSSEGGVQVTCFTEDLHDVILHFQIIRFSKQIYAWVGCNTAKFGHLYAAASTRPGNGVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLIEKLRGLGYVRPRAGEANTSTYKLDQTEAPKIYVSRAPFPEDFLGSIGEAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTINDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTNENVQGDFHAALNFAAVLEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNGWWCDEEESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >KN538685.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538685.1:388894:390264:-1 gene:KN538685.1_FG050 transcript:KN538685.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33760 [Source:Projected from Arabidopsis thaliana (AT2G33760) UniProtKB/Swiss-Prot;Acc:P93011] MAVHAHSVLLGFGSDRFVQTALVVLYSKCGQLPVARKLFDAIRDRSVVAWNAMISGYEQNGLAERAIEVYREMQVAQVVPDSATFVATLSACAQAGALDLGREVERRIVSDQMDVSVFLGSALVNMYARCGLVSKARGWFDRLQERNVVTWTSMIAGYGMHGHGREAIKLFHLMRREGPTPNDVTFVAVLAACAHAGLVNEGRNAFDSMKRVYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPEEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHQVLLSNIYALSGKMNHVEKVRNVMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQQTREIYRYLEELIHRISDAGYVPETDSVLHELEEEEREVALRYHSEKLAVAYGLMMSSGSTAPIRVIKNLRICGDCHLAIKFMSSVESREIIVRDTHRFHHFKDGKCSCLEYW >KN538685.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538685.1:500415:501722:-1 gene:KN538685.1_FG051 transcript:KN538685.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSVPVDDTSADAAAAPEEEKKKKMMAGAIFDTQLIIREPVTGTGAASHRTTTTGFPFSVSLSLAAPPAISHVHLHPMAAPHTLKHGLSSLLAADAHHLLLCVVVPVKTRYYSYDHPEEFFVYTAASALTPTLTRLPAFPDGRQRSSGDIGILTHGGGFTVASLQMWIVGEGTITVKELDEGTAIIEEFAKLTLLHCSGCDRDNNNSSNSWVVKKLALPPFDSDYGGHSGLISKWSSQIAFSYGGKVYWASYNIGLILCDVLESLPKLQLIKFPFPESEIQLLFHVKTDNCGPAASFRTVGVSDGKIKFVDVDKCRSRPSAIVIRAWTLQMPQMVWKLDDVLDVNDLWGSASFKKYGLHQWVPEYPVVSLLDPHIVHFVLRQPMYHEQVWMITVDMRAKSVVSCKNYPNGEKGYEYKGLLFNPYYISSELSK >KN538685.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538685.1:346854:351261:-1 gene:KN538685.1_FG052 transcript:KN538685.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 14 [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/Swiss-Prot;Acc:Q941D6] MEQLWVPSLPILGGRILPMLRHYCGFGSHHPLTWRSLQITGRKQKHNGCWIAYCLPSHNGTSISDTNGVRKDLALPDNLLRDAHILYCTSPAMGHNKEAHPETNKRVPAIVDALEKLELTSKHRGSQVLEIQDFQPASLDDIALVHSRSYITGLEKAMSRASDEGLIFIEGTGPTYATQTVMIYAHSLLFLFPQCFSRWKTFQECLLSAGAGITLVDSVVAASKLGPKPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQNQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKIHQVGQGNGEGTTLNLPLPGGSGDYAMRCAFDEVIAPAAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLAARIREVAAELCGGRCVFFLEGGYNLESLSSSVADTFRAFLGEPSLAARFDDPAMLYEEPTRKIREAIDKAKHLHSL >KN541175.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541175.1:13041:13431:-1 gene:KN541175.1_FG001 transcript:KN541175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIHALFFAQSVARPHRHCAFQFVRTTVSYRYAILCFNLSALH >KN541175.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541175.1:22542:26414:-1 gene:KN541175.1_FG002 transcript:KN541175.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRDSAVHEEVLGFEPSVWGDFFINYEPQPLQALPHCYFPYMHSPFILINAMLTNCSDESILNFMQRSETCMQERAEKLKGDIRTLFGTCNDMSARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFRSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMNQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRIIEGYTWSYMMFYEEGFAFTRIFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQFQKQSTYYLQEAEWSNQKYKPGFKDQVVLSTKSSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRGKNKRDVASSVECYMNENGVTSEAAFAKINALVEDEWRSTNQTRLEHRTLLPMVQRIVNFTVSMVLFYDDKKDAYTFGTLLREIVESLFVKPVPI >KN544843.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544843.1:607:2347:-1 gene:KN544843.1_FG001 transcript:KN544843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYDQIFRPSKVTVNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDYNDKMVYSFHDDAKREKPSRGGRYNV >AMDW01019101.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019101.1:85:153:1 gene:AMDW01019101.1_FG001 transcript:AMDW01019101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVYDHGDVVRQSLKDEKWEFIAE >AMDW01028067.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028067.1:163:333:1 gene:AMDW01028067.1_FG001 transcript:AMDW01028067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVEEGKIKYIGLSEASASTIRRAHVVHPITAVQIEWSLWSRDVEEDIVPTC >KN541249.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541249.1:1936:2130:-1 gene:KN541249.1_FG001 transcript:KN541249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQDDHCDDETVEDDYLVDPPAVDDAAATSTAPERHVDGHAPPANVKEEGDVDHTSPMSFRDR >KN541249.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541249.1:19205:20235:-1 gene:KN541249.1_FG002 transcript:KN541249.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRYVVPLKVYPGCVYRRGTHVYALKASLTSTLT >KN541249.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541249.1:7630:12361:1 gene:KN541249.1_FG003 transcript:KN541249.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREWEEFLREDEDFINDDERFNLGDRIRALFPKIDLAPRDGFASLDELTRWNLEQSRADQLHRSAREMELYDKNGDGVVSYGDFRAQHNESSGVQNEFNKDGSKSVRQFGGSFLNPSDSENPQIINLLCKQEIRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENADISHIGNITVAKERFSKLDKDSDGFISEHELEPVLDKLHLSERYYARQQATHAISEADKDHDGRLTLDEMIENPYAFYGSVFLSDDEDYFHDEFR >KN539632.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539632.1:29836:31254:1 gene:KN539632.1_FG001 transcript:KN539632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQEKPWSGVEEMIKGWLAALTVMAEALRLTKATLSSGDGDSGGVIPVLPIEKYRPQISAPAWIDDDHAGVTLHDVSPGSGSSNLDQESSDPAPNTSRSRSIAIATVKQAITAYSQAASSRSYRYDQHGAAGPEFQFALFAKASLVKMMCFPDAIAALSRSPEKILRVIDMYAVVSGVSPSLLALLPGATKWLVSERITAVLKTLSGMMRGILHDLESLIREEDSWRTTAQGNDIHPVNQYVLNYINLLLENRDVLNPVLQNRDVLVQEGENDGDDDELFSIGELYQLAEEKSSLTSTVARLINSVDAMIEDRSKMYAAAGGRMHIFLLNNDHFILQQAEPSLQAFMGAYWYAKRKQRVDRRIKEYLDLSWGNVVSCLGYAGQSRRRSSLFRRVSALVEFNSLLQITYHTEKLWKINSPQLRTVLRNSVCGKVISAYRAYLETQGQGGELGTSATYTPEDLEDMLQNLFEG >KN539632.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539632.1:90176:92137:-1 gene:KN539632.1_FG002 transcript:KN539632.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVPSAIGNLTELNYMNLESNSFSGRIPSTLGNLGMLELLVLSSNNFTGQVPVELFNSTAVSVDLSYNNLEGSIPQEISNLKGLIEFYAKWNKLSGEIPSTIGECQLLQNLHLQNNILNGTIPSSLGQLQGLENLGLSNNNLSGEIPKLLGNLSMLYYLNLSFNNFVGQVPTFGVFANATAISIQGNDMLCGGTPHMHLPPCSSQLPKNKHTLVVIPIVLSLVATVVALALLYIMLRIRCKKSRTETSSTTSMQGHPLISYSQLGHSSFTAECEALRNLRHRNLVKIVTACSSIDTRGNDFRAIVFEFMPNGSLEGWLHPDANEETEQRNLNILERVTILLDVAYALDYLHCHGPAPVVHCDIKSSNVLLDADMVAHVGDFGLARILVEGNSFLQESSSSIGFRGTIGYAAPEYGAGNTSSTHGDIYSYGMLVLETVTGERPTDSKFMHGLREYVELGLHDGVADIVDTRLSLGLHTDTAADGSSHKGRTDFLVSLLRLGLSCSQEMPSNRMSTGDIIKELSAIRQSLL >KN539632.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539632.1:8810:11617:1 gene:KN539632.1_FG003 transcript:KN539632.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHF2A [Source:Projected from Arabidopsis thaliana (AT5G22000) UniProtKB/Swiss-Prot;Acc:Q9ZT42] MEGLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILECQELLEAVERERNVRTNQTRNTTIFHHPALGDFEVQHQDELLQLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGRSGSHGRPQFLVFSSHPNMPSAGSVSSSSVQGEVDNESSPVHTAGELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSNNGRTSDGPATSTSEVIPSTESSNERVTENNPTTAATSTSNTSTSSAPCVTTTGSN >KN539632.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539632.1:42787:52297:-1 gene:KN539632.1_FG004 transcript:KN539632.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDIRKWFMKAQDKNGGAAKPAGPAAKKPVLSIPEKPSAAPSMAACDQDCSARRKTSKYFASKTEKEEDTSAGKGTGRGLPKRKLQKVSDELEDDMKPLPAKEVHKEEEDDDDDDFVAPSKRKTPVKPPPSKKLKGASTAEAHGKTGLDDDNEDKMDEDAKTPSKASGSGRGRGRGRGRGGRGAGAAHGKTIGLDDDGEEDKMDEDAKTPSKAAGRGRGGASGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKATVAKHQSDKNSEKQQKSPMKSSPVKVERRDGNQITTGKNISPKSNKGSASIDNQKVKIVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEDQFLHSGQKGKGKKQADSGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSNNRLKRPKAVLVMDEVDGMSAGDRGGVADLIASIKMSKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLNSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRESLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVGESLPEENGVASAEGDEEDSSDAENNDELVPGDTKPKLDLQSDKKKGIQVQLDLKSNGNGLNSKKMPAGRSKASGSAGKAAGGSGGKRKRK >KN539632.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539632.1:55026:58467:-1 gene:KN539632.1_FG005 transcript:KN539632.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEVRVNGAVEKGGSGGAAAARKAKVAAAVANGKVGKKPALSQSASFPARGAAAKKAATPKQAKTTDGKGAVPNGSEKAAGRAAEKKVNSARTPAASRSLPVKSGSVDAPPNDASPEAQESNENTTNALEQTLPEKMEDDVHSTTSSTNTPRAAAQRKNAAAAGFSFRLQERAEKRKEFYQKLEEKIHAKELEQTNLQAKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKLNIPGINTRTCLLATCLEIPPTRARSPKLGRHKPTNSAAAASVDGSVSCESPRSITNLAKLTESTENNKPRATARKPAQRSVTKIPSQASATAKTETKPLVTKQKTSNTKPKAPRAKVEQLQDNSVEIPPAEPSGPEGLTVEHGVEDATGPDRATTLVASNEVPVQG >KN539632.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539632.1:2642:6315:-1 gene:KN539632.1_FG006 transcript:KN539632.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolycopene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06820) UniProtKB/Swiss-Prot;Acc:Q9M9Y8] MVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKVRTVTEHSWVWMRIGMVALLLVYSGDLALANLVGNLNLITQALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYDDFVTELVNKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEIRYKANVTNVILENGKAVGVRLSNGKELFAKTVISNATRWDTFGKLLKVEELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPADTDCHHFVLEDDWANLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKDYEKKKELVATEIIKRLENKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIAWYIQEVGFSAAVIPEKLKLHGVDVQISIVIWEQKA >KN539632.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539632.1:53392:53715:1 gene:KN539632.1_FG007 transcript:KN539632.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTKSLDILFARRDDLALFVGHAGAVCLRVEDYPMFRGNCAYLTDESDGDGDDANPPPWKRLDLAMWEFGWSNYRGRMTKLRDTWPLHHPWQDNSPPPIWFTPSLD >KN539632.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539632.1:13243:16488:-1 gene:KN539632.1_FG008 transcript:KN539632.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MDPLAGLASRLDLDADEVRALSGFQSRVQQCVARRGLGLTADIIDHCKLVLKFPKGTNSTWYNTQFKYFEPLEYNYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILKGSCNAVILKAHGNVLHFWFSQLGRRLADEVLIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPNYTEWTRYFSPPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRVEDWSDIPSREEIRTAHAAAFRLKRHETGQSDQMGPFSNCKVWGTVDPDYGPVSGTPDMSETRKNSNYKKWEVLPFDSLRMEAQEHHVQMGGVSLYKMDGNKLDDLVCVRHERSSS >KN539632.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539632.1:10:1755:1 gene:KN539632.1_FG009 transcript:KN539632.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQFVSEFEEPNRPVLLEGCLESWPALQKWTREHLLKVSAGKEFAVGPVSMTLDRYLQYADNVQEERPLYLFDAKFTEKVPEMGRDYEVPAYFREDLFGVLGEERPDHRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPVECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTTDRVNLHDKFRNAIDMTYPGMIKQLELEAQQKAAARKKKVSFWESAVDANTGGFKFSF >KN539632.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539632.1:88772:89383:1 gene:KN539632.1_FG010 transcript:KN539632.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLDNPKLLYVPLPVKPVPPKESDFDDDHHHDELIPWEYFRNIVATGADGGDDIVRFVSIDNRCCCGAPVIRSLCDRSSSAFMVTIWRLALRNAGDGDGGGEPMAWVKEAVLDCEELWAMLAPCDGLPRRAYVVCPLVSSENPDVVWFVACDDDEDGKNWTVEIDVRRKTLISVVPLPPHPHSHRCIRYGKPLPAKLYGC >KN539632.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539632.1:20353:23761:1 gene:KN539632.1_FG011 transcript:KN539632.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSDAATIIWSTELDLASLIAMVRNRDYFAGARFHVLRGACEHLAHVRECVRRRARVAARRGGRLATAAAWTEEAEIYLLRFGELRSAHGRIPRLIFWGATPLDLLGCYRVGKVASLMMPQVKRLCEEGGRIVRRSKLPQPMEISTGFASRDRTLRAAIERVRTIQPNGIVAIWGRAGLGKTYLLKLVEEYFSRDDTFDLVLRIASPRDSSVAKVQSEIAKKLMLANCDGMQHRARIFDFLKERNFLLLLDCVCQRLDLEEVGIPSLDLVGSCYNRRVVFTACSSHVCDQMNVEVENRIEVHCLDHAESWEIFKQNADLDYLGHQHMYLPRNISAELLGSPLELVTIGKAMHNKKDAIYWQNALHYLTESCLRDTQWSGSEEATFFRLKLAYDSLTGILKDCFKLCSLWPEGHIFNQRKLVDFWIGSGLIQGDDIEASYNEGFSHITTLQEFCLLEPAEDGEAVQMQSTIRDFALWVVHNQGEDKNKWRIQTKENWGLAEQVLLVGLKITELPRIPSNQKTLEVLILQHNYLEDGSFGNFPSLLSLQYLDLSFNKLSNIPVEICMQVNLRYLNLSNNRIKTVPVELGCLTRLRHLHLRNNPNLVIPNGILPKLQNLVVLDVCSFNLLQCSSYEAPINELVRMDKLQSLGITVRSETSFQGISKTTLPIRSLSIVIYNHEDGYETHVSSENSCINPERQTNLFELGIYTRQKTIVLDSIHSMWNVQHVEKAYLHGYFVDRIICQKLHTGDIFAKLRRLDIVRCSRLNHISWIIHLPLLEDLLLFSCSRLDRIIASAQDDVVKTNQEKENLSVNNTFRSLKRMTLIEAGALVRICSPFFSFPSLECLQISACPLLKKLPFLTVPSKLKCIRGENEWWDGLEWEDQDLEPSLELYFHGLSAEDQLSELYLFNSLEVEWASIFTP >KN542744.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542744.1:3561:5586:1 gene:KN542744.1_FG001 transcript:KN542744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANGIGATQSPKAVSNLAPDRSPDLDIELQGYEGNQVPTRSGPRYSLLPDDGSVHWSLVCKAYTKLTESGNVPLVIDRKIKTTDSNQFQLA >KN539218.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539218.1:88325:94410:-1 gene:KN539218.1_FG001 transcript:KN539218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSSRSRDKRDADDDVDARSSWKEDDEHEDVEDRKNRSGKSTRYIYDDEGDEDDYDVRRESRVSKVPRRSPEERSERRSSDGYKDRDGDSSRRRREDNNDWDSSRRSGSRTSGHDVSRSKSRSSDRTSSDRADTRDSRSSADQSKNRSAREAHDYRNELSERWEDTERRKGSARTDKNDQDRRSIDPRYDSPPARDDRIVDSVDNTRQNTLHYNAKSEESDEKRMDQVEGTNRISDNVETKDMLPYVDKDGHALPRDGRNKEARHHREKDDGDQGHSDSDNERNISMKEKIRVDAHGDYKSYRGRGRNRELEGSKEHWGSRQRHDSKEPNDYDAGAEWRHGQERLDGGNFHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGRESSVSGRRINMGSLQDLTPGTSDQSEENKRNYGNGEDAQERYYDDVQNMDGKIPSDCQTGRGGAIASNNSGAGQSGSGSIISPTPQQGLKGSRPSRGLRGRPNVRDPQRMGLPVPLMPPPPFGPLGLPPGPMQPIGPNMSHSPGPLGPGVFIPPFPGPLVWPGARGIDVNMLSVPTNLPIPPVAGPSFTSSVAAGPNHSIHLNQTGSGLGSPANAPGTGFNPLSTLNCEILQDKPPAGWTPQRGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDDIVSNSASAPMYYKCDLKDHMLSPEFFGTKFDVILIDPPWEEYVHRAPGITDHIEYWTPDEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWIKTNKKNATPGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKGLSSSNFHKEAYIKNFMDRDGKIWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPPQKSQQQQSMPPMGSSSSTNRRSVMNSSQNVVTVVGSETMMPSPWSSNPMSGFGMPE >KN539218.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539218.1:37505:43101:-1 gene:KN539218.1_FG002 transcript:KN539218.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQPSSSENPTSATAKEVEMTGDQQAQASIADDAGHVAVPMPGGQRCLLYKVPKQIWNTDNQDMHKPTYISIGPYSYGENELDNRSQEWKQQCKNQVVQDLTEQGNSTALEQMAADDTLVTEVKNYYDTRSFENEEAFRQMMITDGCFLLVTTLHDIIDPAAVEQAVVDPNHIPNQWDNHFRWHDILLYGNQLPFHVVREIYRLLHRNEATEVALNQKIGKVFADSMLTRYTRRRLTEPGNADNVLHLCHKLLAPTHPNRSGDGVEMGQWRRATEYRKLRVKFNKREIGSEGEAQCILDVRVVGGDVVEIPSLDLSPESWRLLRNLMLLENMNKHLGGHVTSYCHFISQLACTGADVSLLMKKGIIVHAEANDETAATKLGNLCDQIIYDPEQDYLKSDWDKLDKYCRNPGWLVWAKMFGYKDWKNPLVWLGVLVAVTVFVCAILQTVYTMKSYFKVTDENPGTYLIIIYQTSHPTSR >KN539218.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539218.1:98970:102556:-1 gene:KN539218.1_FG003 transcript:KN539218.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFGEITLVLVIISTDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKDVLSVMVDYVRGCLFHFLNASLHQTADFDDYITRGLQCAFGEYCEKYAKPEDAGVTPEDKSSDEELSEDEDDSGDDAILGNPDP >KN539218.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539218.1:123986:124444:-1 gene:KN539218.1_FG004 transcript:KN539218.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNPAMDVPIMLVYHKYLCPLEASFLRAQKLREEAGSSGGSAKKGKFLTPAARDAKGGEEVLDLKRKRESLVGMLNWVRQVAKKPDRRRASRNTADNHLSMAMMFRRQMFVDDGFSDKPHGCASPEPEATEPEVNSSLPPTSNFLEMLLD >KN539218.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539218.1:17578:18493:1 gene:KN539218.1_FG005 transcript:KN539218.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGSVIPTVSNTLFTNISEDLAEISKKMTDLAAQLRALAAQSAEHAASLEQFAGAASSLRPRGHVFKQRTRSQALSRRASHRRQARWPFASLARLQLHPTPLTSTTVVRDHTQADYDPAVGVGPEDGVVAMGVLNRRRGDNYEFHVHGSVAHWRRKFRRWLEQSHGRQSGFFGCQGWHDLQANRVLRGDGPPCALDGYARMRGDGADRAESERWLKKLTARSQLRHSCRRGLVKKNRTGFLSSSSWAGWFGLAGFHVGP >KN539218.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539218.1:113868:115485:-1 gene:KN539218.1_FG006 transcript:KN539218.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKPDYRKDESGLTTEDGQYDGWDDQQSAGGSSNWNSRANRSFGLADIPEWTGKPSLPYEDPDMLRFLGEPILTPKSNEVFDDTIGKGRPDKCNCEVPGSTSCVRFHVAEKKTELKREMGSSYYAMKFDEIGEDAALTWTKDEEKKFETIVQQNLPSSKYNFWDKLRAAFRYKGERALIVMMTQLNLPSYAFVKTVVRVDPGLLLPLGTKISLRVIVFYKLLGAPVQLHLELKTQKVHLFLSSPIGYWTGDGYCRANVPEVLLMQSDN >KN539218.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539218.1:9560:9709:-1 gene:KN539218.1_FG007 transcript:KN539218.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGSGSSGTAIGRGGSATPGSRPSLTANAATTVVTDYGDDDDGALGG >KN539218.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539218.1:58150:58969:-1 gene:KN539218.1_FG008 transcript:KN539218.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRMGVRHEDEVDILVVELVGDGSRTGLGLRRSHIRSRPPKTALPASELRSCFHRSMLMASHCYGPLNPVSNIIVNTVWYDTADANLVLADPNLHPDAAAIIGAQVELECGAIALRSGIFDSRVNTQCQGLDTSVKDAFLTAATTALHPNPDAKVNLLTLCKAMMGSEALPMMQGGKQLFSDNIHWLVMLLSPGSSPRAHEDPPLPPLSLTGWSLGELS >KN539218.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539218.1:62404:65076:-1 gene:KN539218.1_FG009 transcript:KN539218.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWRSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >KN539474.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539474.1:98647:100533:1 gene:KN539474.1_FG001 transcript:KN539474.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVANLYADMDRIVASGVMEGVSGRVDTWGPLLLLQVWIWERFDCLRPPPLKAPPFPVSNARVHLWSRRKRTTTPEEAQQVFQDEACFLWRPYQYNSLNWTQPEWFNEKTTTASSESKHKPKWLEDYSAMITQAVLTGWFGDGMANSVMYNPHLVARQFGYDQDFPVSIIHGSDSSGIEVWVPSIGRHGVASKDYAAWWNARFERHQEGNQHGCGMMLNKENKTSALPLNTGLISVVQMAVDHFRKGTKQENSKCMTKRQLTQLGNVAPNNEWNQVVLGLSAYDFDRSQNAVKRKDAIKKIRDKSTDVNRKKKKNKVFANEGGECPQFYDWVPLTVSNNENNSLQLDVQERSGPQEDSNSSSKRCDELAQLDNDECIVLEPPAKNCEVINLDDEEEQSVPNPKHHDRQLVLELEEFVRSGLLSQCEECSDEDEEDRRNRDILKDNKDDPFSEAARREYPLFFEFIPQKPHYRGLLNNDEALGDLAYSGLWFLLVGLAKEVLKTSCDTDASEIVCLMKKAQELEQLGFNVKHLIARLKEPQSRLRLLQDSITRLEDARKKEHEANRLQSLSSHLSKLKHNIQTMEWHLDAKNQASSSSIFSLEKEVEAAEKYCQAMKDEVVALKMNHSNL >KN539474.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539474.1:57415:57894:-1 gene:KN539474.1_FG002 transcript:KN539474.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEDFGQPLLPPYPCVGELLCDAGDTRAVVGKPLLLMQVH >KN539474.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539474.1:46896:49891:-1 gene:KN539474.1_FG003 transcript:KN539474.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQWATHGGSDDHWGLDLSHGGDSVGGARLVFGEMPSRLRGGIGAVLHVKLDIQYAQPLFGGDVDMMPTKCLMLGPSSATTKPVAESSTASPERVFPAITDSVVSSIASAAMVTSVSLTATKEDDVDMGKVEDKSDKTFHDLCVEIKEMINQMLETCRNIPIALEVSQEIDADEGDGDDLAREEDCVEKTAVGPSFGEHLTFCMSPKVDVSILDLFADQDMSSFIHKVYLELWPNPRPCQGSGGVVVELLQPWPPPIKANVQAEMEAPNLHGESHEVSLNYCFSQFMAFNSIESLLQNLVLRLCICCKLHLSSTFWNSHQHKQLELWPSFLCNQGRVYCVQALPWSSLCLSFGDNCMPTLHLSTLWPILDMWFCEGLLICGNTAVLVQNYCKFYVKEHMVLCSGEKLHEVQTCRELKISWPPSDLRILRFSPHVHYCGGLTDGLEWNQRGGTNECCLQREQLQLGVVTLGSNYLLDHPTRDISDIDLLVQYWAKINPSCILDLDLCKTRQFSATWVVSVLPFRPVPKKAPVVDEAKVAAAAVPLRLTPGHVHQLTAAAGSARASIRQRKKVR >KN539474.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539474.1:102:715:-1 gene:KN539474.1_FG004 transcript:KN539474.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHHITIYPIGPSIPHLPRNGDDPGKIGNDDHRSWLDARQENSVLYVSFGSYVAMSHSQLEEIAMALRDSGVQLFWVGRDKADSLQQQVGGDNGLVVPWCEQLKAVSAGVPLLAFPNGWDQLADGHIVADEWKIGINLRGQRGEDGIVSRAAIHAAVIKLMDLDDSESQEMRRRAAKGTVYVSP >KN539474.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539474.1:22047:25023:-1 gene:KN539474.1_FG005 transcript:KN539474.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPALLPLALALVAIPITILLFNRIRLGRLSPGPRAWPVVGNLFDITLVRCRCFMEWAGKYGPIMTLADRPRNHSAERLSRGGTDLIWADYGPHYVKVRKLCNLELFAPRRMEALRPIREDEVTAMVESIYRAVTAPVAAGELDEQGCELKAIVKAGIKIGASLPIAEHIPVLRWLNLVDEELYNAHSARRDRFTRRIMDEHARELEGHGAKQHFVDALFTLRDQYDLSDDTVIGLLWDMIAAGSDTAVITAEWAMAELVRNPRVQMKAQEELDRVIGRGRVMLEADIPNLPYLQAVVKTRSSCTHRRR >KN539474.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539474.1:70409:75990:-1 gene:KN539474.1_FG006 transcript:KN539474.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGCALDTLCGQAVGAGRLDVLGLYVQQSWIVCGATAVALTPTLEFWYYAALLILVGLLKNARLQLDIMSICINYQFWTMMVAMGFSEAISVRVSNELGARRPKEAKFSVAVASLTSAMIGAIFMSIFFIWRTSLPSLFSDDKEVVDGAARLGYLLAVTVFFGNIGPVLSGVAVGAGWQILVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLTGTLLQMAILFFIIMRTKWEAQSSNKANDNDGGHRSPEDHVKARVKENASIPMDAAAAGCRGEMPESTPTLPRSKRQALRGGRCCRSVEKRSVQLTAPDDRVENDKLGAAEEELVSKVEAAAADVGASIGKVGAASAVENTSGVKGADGKAVKAKGSR >KN539474.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539474.1:5667:9550:-1 gene:KN539474.1_FG007 transcript:KN539474.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPALLPLALALVAIPIAILLFNRIRRGRLPPGPRAWPVVGNLFDIHPVRCRCFMEWAGKYGPIMTVRKLCNLELFAPRRMEALRPIREDEVTAMVESIYRAITAPGEEGKPMVMRKHLSMVAFNNITRLTFGKRFIDAAGELDEQGSELKAIVNNGIKIGASLTIAEHIRVLRWLNPVDEELYNAHSARRDRFTRRIMDEHARELERHGAKQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVITVEWAMAELVRNPRVQMKAQEELDRVIGHGRVMLEADIPNLPYLQAVVKESFRLHPPTPLMLPHKASTSVKIAGYDVPKDASVVVNVWAVARDPGVWDNPLEYRPERFLEESIDIKGSDYRVLPFGADRRVCPGAQLGISLVASMIGHLLHQFTWALPDGTRPEDLDMMESPGLVTFMATPLQVVAMPRLDKEELFKRVPVDMS >KN539474.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539474.1:54244:54522:-1 gene:KN539474.1_FG008 transcript:KN539474.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVYEVSDIKWTTVNLLKFGWAEFAGGGIPLAGDLTSKLGSDHTRCKNSAGEVSTVVSMLLPRVAMARFKKEMAVLLNKDDKKSLTIMSSL >AMDW01040827.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040827.1:429:2426:1 gene:AMDW01040827.1_FG001 transcript:AMDW01040827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRIEFLVVVTAVLFLLMSILDMFRHRSRHNLIKYFLLILDGISDSTLIYTIGLMQSVPSLKKDLFPVWALILVNLRSSVCFISAYGIPDQQNRRFTEVARVMALIGVAFLNTTFNSRFKHPIWALWAMQVVRCGYLLRVYRLATRSYLHGWSSPLLTAYMGTPDGVAANGDTATMRGYNYLVSGDQKQTVEVKPPEYKFTLFVPEHRRKMLVTLDKDLYKIYKPKQGGANVDIIITWGFMFIIVFKELWKMIIYLLSDWTKVMVLCEYTAESFKHAPRWLCKGFLWLLCTRRSKIVHHWHNKVNQYEFLQSFNYRPCKWNILYYGTLGLFARRRDGEKPGKSIELPEDVKSAILRSLCSQNLERDSLEPNFPILFSTFGLPCSHIILVWHIATSLCEIELSQRYNGCLTDSELQHAVKAGKNSQPYVVKEERLEGALQANYIVASCISRYCAYLLVSEPDLLPDTYLSSAEVFESTVKEASDVLKGSDNLQSIYRKLMYHGDVVNVDNMNRRHPSVILARSAQVAKSLVETEVMARWEILAG >KN543358.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543358.1:4718:6081:-1 gene:KN543358.1_FG001 transcript:KN543358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLVYVDGRIQYGAKGAEYNIPPKITFLAVEAKTFEEVKKENFQALGYTEDNCAMSIQSRFDIGNPGPQYFQLIPIYEDRGWKMIFEKKCGQVVELYVKCASTEARSSQVRRQILVRTDLDWMMTSSMILMLPLIIVQMMSPPEPRVVHSVNAFPFMRASGQNPIKAFSDISVLRKTTADESFFGRKNQFSNPLAQGKTFDSKERLQIAIGEFHISKNSEIKYSTSSQSKIVAECTNNSYMWRLYAIPTKIGSGWMIRKCPYAHTCRAPADRFDHAQLSSSMIANVIRDALKDDLVQNMFFCQSP >AMDW01038814.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038814.1:80:866:1 gene:AMDW01038814.1_FG001 transcript:AMDW01038814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRYATQLPFVLKGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALDSCHLGDEVRKNELKLDSAVTENGSNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFFECTVITIAHRIASVLDSEKVILLDN >AMDW01035637.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035637.1:173:581:1 gene:AMDW01035637.1_FG001 transcript:AMDW01035637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMLPSAASEAVMQNKESGAVAAFDHIPFTHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPE >KN541878.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541878.1:4704:6917:-1 gene:KN541878.1_FG001 transcript:KN541878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPSLAAARYALLALPPLMVAALVRLPLFETNKTLIDKNPNYMKMVTAKRSILMISNSKTIMENYNFHIMFASSRWSSYEKLLKPNSFLRCGDVPSKIQEYITDDSEKMGESVHTTNEPPAWPCIDLAIDESYRQKTTNNYDIDP >KN543224.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543224.1:382:1452:-1 gene:KN543224.1_FG001 transcript:KN543224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTSAAAGMLLLAAAAALGLFFNATTKPIVTKFAVDQSAFFDQYVYSVVKMGMIEVLTGSQGQIRKRCSVPNAAAAAGDRAWSVVETVAEAAESLVL >AMDW01031310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031310.1:2:352:1 gene:AMDW01031310.1_FG001 transcript:AMDW01031310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PGQTTDVLVTFDQPPGRYYLAAGAYASAQGVPFDNTTTTAIFDYGASNNASSTAIAMPTLPAYNDTAAATAFTTSLRGLRKAELPSRVDESLFFTVGVGLFNCTNATAQQCGGPNGT >AMDW01040666.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040666.1:101:1153:1 gene:AMDW01040666.1_FG001 transcript:AMDW01040666.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEKATNNFDSTRIVGHGGHGTVYKGILTDQRVVAIKKSKIIEQHEIDQFINEVTILSQVIHRNVVRLFGCCLESDVPLLVYEFISNGTLYELLHGDPSNICLLTWADRIRIAFEVASALAYLHCATSKPIFHRDVKSGNILLDEHFTTKVSDFGASRYVPIGETHVVTAVQGTFGYLDPEYYQTGQLNDKSDVYSFGVILVELLTRKKPIFVNSIGVKENLSHHFLDRQQKKSTIGILDVQIVEEANGWEIDEMASLAEDCLGLRREERPTMKEVEFRLQLLRGKIVGKKSIDEVKKENETDPLLPYASSSTCWAHVELRTADLINQDATRCYTMEQELVSWNDVPR >KN540906.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540906.1:5053:6712:1 gene:KN540906.1_FG001 transcript:KN540906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPARVDSLLIKTREELTEEHCGCGVLSPDGVQWNLGSCFVLESEDQACGDVDDSELLPDNEFVLDNVGEASGAVPTSDLPPDSGYVLDSVDQACAGVNSSELPPDGGFVTDSEDEASSGVPDSELPPDDVFVPDSKDEAIGGVHDSKLLPDGCVIPDSKDEASGCVHESMLPPTGCIVLDFEDEASGGVSASDMPSKDGFVPDFVGMANGGDVHNLEQQPDKGLSAILEDQHKDGIEQLVSGEELAGLQDDADAAAGDEGVDEFVETRKASSPRHSPPQSTWAMSRWAHTDSLPVAAPEVTARLARRAAPKRRVVELDVDGQQTSG >KN540906.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540906.1:35995:36633:1 gene:KN540906.1_FG002 transcript:KN540906.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCDECCCPCCNASAWRDGLIVCGIVFGALLLAVLISAFGFVRQPSFVVDDASLTRFNLSASSSSIAYNLTLTLVVHNRNWAMSVKNTKPMDAEYKFDGQPFERIQLADKGDKLGPGKTVEYRKESAKGTFEVEVAIAGEVRYTARLTKCKIEATCPLKLQLAPPGTTAVAFQKVKCKLTKAEKNC >KN540906.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540906.1:21201:24330:1 gene:KN540906.1_FG003 transcript:KN540906.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVGVFGVGGCWRWWQGAFKLIRFPGSGVSFLQWSRWEVEVTGSLPDLWKFGGGGLSGGGQCGQARACACDSGGVGAADLPMLLLLLVALTAAVHAVEGAPGKFDEDYLFSSAFGILVDGYLHWPGSCGSKLWVTSYSVIFVLPARQKLIYSHSNALMLKVGWSVNLLSRLFLSRRNRDDFVIRVELGPPVQSRLSASEPPLQDPNLAELSSAMCCCCCECGCYECYDACCDRCCCGCVSYDTRETIFYCAVCLLLVAAVVLLAVLLAAYGFIRHVSITVESASLTRFNLSSPDQVTALAYNLSLTLAVRNKNWAMSIKNTKDLEAGYSFDGQRFERVKLAGEGEKHPAGKTRVYHLDSGSDNAYAALGNAGVAEFKKENATGVFEVEVAVTGEVRNCTVAVFDSI >KN540906.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540906.1:12945:13331:1 gene:KN540906.1_FG004 transcript:KN540906.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNVEPLEAAYRFDGQQFDRIQLADKGAKQGPKKTVVYRLSSGSDAAAAPGLGNAGVAEFKKENATGTFEVEVGVTGKVSYTARITKCKIEATCKLKLQLAPPGQEPAAVVFQKVKCKLAKAEKNC >KN542585.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542585.1:812:3986:1 gene:KN542585.1_FG001 transcript:KN542585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQLLLLLPLPPPSRTFSKPLPSLPIPLPALRLSSSSSAYAARRRDLLRSLRCGMRRSDLVADLELAKDKQWQGRRPNGIFWILLLNVGIYVADHLFQIEHIKAMYLYHAFPSWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMSYILTGAGSNLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGHSLQVNNIAHLSGALIGAALVFLINRIPFPSDGDSPKPSKDTREKRS >AMDW01066675.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066675.1:188:397:1 gene:AMDW01066675.1_FG001 transcript:AMDW01066675.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNLRVFVVLLVVQVCLLALLATPWTVHARSATAVFPANCPCPRFAECCRAAATGRHGQLATKSNP >AMDW01034665.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034665.1:102:476:-1 gene:AMDW01034665.1_FG001 transcript:AMDW01034665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HPIILHGDVKSTNILLNKNFITKVSDFGCSKIRTADENYDVVKGTMGYLDPEYLRNFQLTDKSDVYSFGVVLLELLTRRTPLSVDKVSLASIFQEAMREGHFLELIDAEILHEDNMGLISDLATL >KN544955.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544955.1:109:2632:1 gene:KN544955.1_FG001 transcript:KN544955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFDKRQSDSTISCKCTVQEDGKLAIHKVELNQVRHLVEDISCLFKDLDLRLMLSTKRILKNLDAEVENAINCLVSSAVIDPDVKGGLRWPLGKESIDERFSIVGVWHTNYKAFRNEKLRLKLRHADRFDHRSSTGEVSNEVTFKLIGISASLEAVDQEANSLQEMLESV >KN543290.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543290.1:3962:4291:1 gene:KN543290.1_FG001 transcript:KN543290.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHRILFDKYHPGYFGKVGMRYFHRLSNRFHCPAVNVERLWSMVPADKAAEAGADKAPVIDVTQFGYTKVLGKGMLPPQRPIVVKAKLISKVAEKKIKAAGGAVLLTA >KN539254.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539254.1:121517:124740:-1 gene:KN539254.1_FG001 transcript:KN539254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIGASAGEKGSEQHAIDVRPGEHGAIGVVAGAAAEAPEKERLAVVEEEPRKKSKRVAALDAFRGLTIVLMILVDDAGGAYERMDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPKLGAAVKKITIRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTTVRSGPYAIFHAYRWQWLGGFVALFIYMVTTFSLYVSDWSYVYHNDGDVNDGKQFTVLLAVFPDHVQCGVRGHLDPACNAVGYVDRVVWGINHLYTQPVWIRSKFNIIDSVRDNWDPLWTCSRSFQAIPINKQLYSLSYVCFTAGAAGVVLSVFYILIDVWGLRTPFLFLEWIGMNAMLVFVLAAQAIFPAFVNGWYYDSPGNTLVSWIQKHVFINVWHSQRLGTLLYVIFGEIVFWGVVSGILHKLGIYWKL >KN539254.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539254.1:82659:87972:1 gene:KN539254.1_FG002 transcript:KN539254.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPTSWRVIHMLVFLIIVLDHALIISADTDPQDTSALNGIAASWDNAKSKLSEWVGNDPCGEKWPGVYCTQNRVTSIRLSSFGLSGSLSGDIQSLSELQYLDLSYNNLSGPLPPNIGSLSNLESLSVVGCQFSGDIPKELGQLPKLRFLSLNNNRFTGSIPPSIGNLSNMYWLDLGENRLTGSLPVSDGINTGLDNLTNALHLLLDNNNFTGGIPPTLTLLTKLEVLRLDRNYQLTGPVPASINSLTKLQELHLENNKLTGPLPDLTGMDSLYVVNLENLHITGELPQPLFKLPAIQILGLKGNNFNGTLTIGSDYSSTLSLIDLQDNQITTLAVSGAQYNKKLILVGNPICVQGNNEALYCKSSQQANPAAKPYSTQSICPGLPPTCLSDQYLSPNCTCTVPYMGTLHFRSPPFFDLSNDTFFVLLEENMKEAFLGKQLPVESIALDNPAFGPSNNLDINLRVFPSGKIRFSKEDISYIGFMLNNQTYKPHAPGINYGPYYFIGQSYPFAETLSAPRQTKKNQSLIIGVSAGGAFVVVSLLVVFTILFFRRNKRPKLQPQPRSPSYASWDIKSTSISTPHLQGARVFTFDELKKITNSFSDANDIGTGGYGKVYRGVLPNGHLIAVKRSEQGSLQGNLEFRTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYVPNGTLKDSLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNILLDGNLHTKVSDFGLSKPLNQDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPLERGRYIVREVKGAMDRTKDLYGLHELLDPMLAPTSLAGFELYVDLALKCVEEAGMDRPSMSEVVAEIEKIMKMAGVNPKVDSASNSMSYNSRTPRHPYSGESQFDYSGGIPSSSRVEPK >KN539254.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539254.1:71067:72401:-1 gene:KN539254.1_FG003 transcript:KN539254.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHRQAIVFGCALMTDESENSFAWLLETWLAFMGGKKPMSFTIGYSRDVEMAAMKVFGGDVRHRFCRRDIFFICKQKLAGLYSEHSTLKEELKECVTELERIDEFESTWRMLLSKYNLFGNEWLQTIYSIRHQWVPAYLKDSFFGEIINAPKLETMFKFFQRNSITTTTLRDIAFQFDKAIARDYQTELQEDFATFSSKPDELIESSGFSVQNVESGDISRFEVADL >KN539254.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539254.1:38980:39576:-1 gene:KN539254.1_FG004 transcript:KN539254.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAERKVLGMVAAVAAMVVMMVAPPAAALVPYGYGYGLWDRYAHHLLDDPFRVLEQSPLRPARGVTAGEPAAVALARCDWKETPEAHVISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMDDGVLTVTVPKVPGHRGREPRVVAIDGDGAGEKEAEVVKASKAEM >KN539254.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539254.1:59922:67235:-1 gene:KN539254.1_FG005 transcript:KN539254.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIKALTTDVEKFYQQCDPEKDNLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDTWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQSKEKTPKTSGKSNKSGTKPSRQPEPNSRGPKMPPPKDEDDSGGEEEEEEEDHENTLCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >KN539254.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539254.1:111913:112888:-1 gene:KN539254.1_FG006 transcript:KN539254.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSSPTSSPSVCSRSWSISEDSLKRYVSYASESCIQELLAASDSGGGDEGWKVLAYCNGVEISKRRAGAAHVFRSRWLLHAVSPGKFMALANAVDAAKIKVNN >KN539254.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539254.1:41570:48376:-1 gene:KN539254.1_FG007 transcript:KN539254.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGCVGPSELHLKKELTALRKARFLRDPETCSSWRSPLSSKSFMTTSCVINPNEIGSNVSQKHIEPAAAPPKGEKKRKKVYLYNWRHHSNKSSESGIKLDDDDRQASADCSLESPCISNGVDSRSETGLEVPVSIYSVQGSNSGTPVTRTVRRVRRSSLSKKGAIRNSTVSKLLDLHVNSGEQSEDTDNYNSESHELLQKGGYFSRSTSPLFAASGCLSSSNPSKFLKVPRREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDGTTAASLDEDGLNQPELLRSQRCASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPMSGADHAVSDQRSLSQKYRPRSFNELVGQSFVVQSLNNAIIRERIAPAYLFHGPRGTGKTSAARIFSAALSCVAIGENKPCGACMECTDFFTGNGINLIEVDASNRKSINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSADTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEMIQSRSSSKQSAKATSEIVMDAVRESSVSRTTAHPLFTLRGSRKTLDLRTASGHSSPQGVVSMSSRMRANDNLKHGECRSVDRVLQDSAQRSNSSEPRPMTNVSSDNLARIWRKCIDNCHSKTLKQLLCDHGKLASVKDCGGYYIAFIAFEGSDIKSRAQRFTSSIRNSMETVLRCNVEVRIGLMPELLAGGLKREEDLDERDEFDALSCSTNSDRLKGIRNPSRNLNYSEEIEKKLEKFSCASAASGGLQSCTTEGNTGMHRTRGKEVSVEQAKAVTVEEQRLESAWLQAVEKHAPGILNQMRPERNQVVPRISGEQYHRMPETDTVFPSRQVNKELSNGLKSLNIGSHGLRQNGQMENGYAISPSLLHSNNHLANCDNERSLSPEHLAVMVCSPAGKLKNQKEERKKLVHCKHYSNHFVQRRFKTRYSCLPRSRAHIPKFREFDGLPWCTSQPIQFMNL >KN541292.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541292.1:9628:9909:-1 gene:KN541292.1_FG001 transcript:KN541292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLVAADKYDLPRLKLVCERKLSESLGAGTAATTLALAEQHGCHDLKEVVLRFIRLPVNMEAVKCSDGFKHLLESCPSLHQDLKSRHIIS >KN539254.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539254.1:74900:77162:1 gene:KN539254.1_FG008 transcript:KN539254.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPQARKDETHGEIAGAAAPAPERRLKLYSFWRSSCSYRVRIALSLKGLDYEYKPYLEDTYPQHPLLPQDPKMKALNIQIASIVGSSIQPLQNNSVLDFIEEKLDSQEKVNWIQYHLNRGFTALEKMLKGCTTTYATGNEIQLGDLFLEPQIYGGIKRFGIDMTNYPTLARLHEAYMEHPAFQAALPERQPDAPASPEI >KN541292.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541292.1:29674:30708:-1 gene:KN541292.1_FG002 transcript:KN541292.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VERGCYNVRVDGYSKTKETRNGSYVSYLASTDFVVGGQPWRIKYYPNGYNQSSTGQVSIYVARVGGVDVILHADVQIDLVARHGYSAAAPPETEFAGRYRYTFLPDSSFGFPCFISTEKLDKSPCIKDDGFTIRCDITVEGPPFVVAVKPSSALGWYLGDLLGDTETADVAVDVGVGGGEKTTFAAHRYVLAARSLVFKAQLFGPVKVDNGAAIRVDDMRADVFRAFLHFIYTDELPPGVIDGNDNDEAAIMAQHLLVAADKYDLPRLKLICESKLSKSLDVGTAATTLALAEQHGCHDLKEVVLRFIKLPSNMEAVKCSDGFKHLLESCPSIHQDLKSRHILS >KN541292.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541292.1:21492:21797:1 gene:KN541292.1_FG003 transcript:KN541292.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFGFMATTAVAVIMVLPAVLTSGHGGSLAVGVEREGSKPLQPIPTEPPTSTGVDGSRSPGEEAIVDESTVLGCSTNGAFPAGSAVSPATPRRDAGDED >KN541292.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541292.1:17460:18143:1 gene:KN541292.1_FG004 transcript:KN541292.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKANTTTSPPSASTIALTATTGCHLLKVEGYSSYARLLRGKRFMSPKFSAAGHTWRIAFYPSDGNLVSFYLHLVDGRFSKDVAAEVQFSMLHHRRGSADDEMPYNHRKIVRHTFRSSSRCSNVNMCGVSWFLNAKGKTTAVVDVKYTDEDDDDDSIVVRCDIKVMNKPAVFLHADSLEDLGLICHCKDDTCKRLHETLLAMSDDQPVVVNNRWAFARFFSCFLA >AMDW01039475.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039475.1:535:879:-1 gene:AMDW01039475.1_FG001 transcript:AMDW01039475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKVEVACETEGPNTQANSVFTATDEFGNFTLHLPSRLHATPSLENACVVKVLQLPPDSACGLRHCPAASYRIRPSSSLSSSADGFRAYTAGVIRLQHGGTPSGECVQVEDRVDM >KN539081.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539081.1:147598:148806:-1 gene:KN539081.1_FG001 transcript:KN539081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKLPQELKVSDHQVPCSQPFGQKYVNNCPRVDKYFVPDFLDKLKSGQNESKSLYFYGFLSAIFVAEVLFIIFGSLILQREDKQLREIAEVGYEMITNHFRRYTYRELVTATRRFQDAIGQGASGIVYKGVLKDKRVVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDPHRILVSEYVENGSLDKILFDSQESQALLEWEQRFKIALGVAKGLAYLHHECLEWVIHCDIKPENILLDENLEPKIADFGLAKLLHRGGSNLTVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNKDEVEMVLGRIIRMLADNLKSDGDEQSWIADFIDARLNTQFNNLQARVMMELAVSCLEEDRARRPTMESVVEMLVSVDEAG >KN539081.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539081.1:18552:23228:-1 gene:KN539081.1_FG002 transcript:KN539081.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEASKGRSGGGREGRASSSRQRTDVASELELQEVLRVRPRCLRFVDFPNGTNSSQSIERFKGVLSTKRSVFDTLQGAEAASAAGRSNEKEEQVELRKVQKDIELGALVAGFSFSVAMTGFFLSPQATGRQAIYIDISMFLAFSSFVCGCTFMLLSMQRLSAREEHISGFHHAISKCLFYLCCVLPVLTILCLLLVMPRKPYIYVGLGVLAAAVVPVALMHWYVSRKTQLETNDTAPEDVEQNAMSRKTQKTNGTAPEDDDEQKAMESSYKITSAIVPVSLAGLVGVLFGVYKGGSSSGGAGGDISGSVHVVIMCMFITSMLSMLLMMLWMKVLESKKPKLREFFVRATIPRANAALLALLAIAAFAASFGILRWYMVAAFLTLALAATVQFVIQHCTREQNAVRASHNGTQLKWMADMASKTTPWSLGIVMAIFGGFLGDDDKSKDKMVVLKVCMFLSTSAFSSGLGLMYLTMRPGESARGGSSKAAMTILAWSVMVLLSAAALAIYGVEVMKS >KN539081.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539081.1:108239:129266:1 gene:KN539081.1_FG003 transcript:KN539081.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIGISRTALEALVNKLKSVIKEEEEQWQTMHRDVVFIRDEFEMMQSFLNSADGEVVKSSMARTWVRQVRNLSYDLEDCIEFILHLDTNKRSWWLRLLQSWSCGEGGVSLPVDEAVTEMKKLKARVEDVSQRNTRYRFTNDLTQQQQQLVSSGSATGGGAPGFDILAEARDTAARRKGVVDLIKLITEKSNDLRVISLWGTGDDLGTMSIVRNMYDDSRIHDNFRCRAWVKVAHPINPHELVRSLVVQFYANSCQQQLPASTDALSWFSLKYKKQRDALSWSETSTGELVKEFLRHVDTHRYLIILEDLSTMVQWDAIWPYLRGGKNGSRVLVSTRHHEIASLCTGKPHRVLELQRISIHQSICIFFKECSVPAEAEKEGGVPTPKHVDWSGKNRLIIGRDSEVDKLFNLIKDRSHTNTPHVVSVWGIPGTGRTALVSNVYYRCYYDTQFDRQTTVNMPQPYNLRGFCRCLLVSGLDSGFSLIQRREDPIQQCREILHRDRCLVIIDEVHSKEDWDSITDANLITATSKSCIVVITTQESVAVHCAGANGLVCSITCLQATAASDLFQQTFQEAFPNNRNSFEVQESQRNGDLYEETLRYIFEGDGQEEQAFQNDGNLFKEQSFQDFPNNRNSFEVQESQRNGDSYEETFRYIFEGDGQEEQAFQNDGNFFKEQSFQNNGNSIEEQICENNGNSCEEQIFQNNRNYFQGEASQNDGNSIKEQSGYGNSCEEKTFQSNRNYFQGQAFQNDGNSFKEQSAQNNGNSIEEQICENNGNSCEVQIFQNNKDYFQGQASENTEKSFIEQAFQNNGNSFEQTFQWFSETNKQEEHEFENNGSPFEEYVFPNMSSWFQKHVSLNCENLYEEKSFQNSENQFEELVLLNKKDLLEELESQNNNNLSEEKTLQNIKYSMSRDDPNVKAILSRSGGLPQVIVALARYWANQYMSNIEDKREREWQCQYLIANFMQELQTSQEFYCLRGLFAWMHSYFCSCPPSLMRSMLYLLIFPQGKTFRRRRLVRRWIAEGYASGSESNSLEGMGELFHKLSSQSVIRQATMDGCYEFNGFFHEYMISRPVEERILLPLEVSVLEGYCWRLTTKGDIGQHLAIWNSWDRNKTLFDSLDLLRLRSLTVFGPWESFFISNKMGVLRVLDLEDACDVTDVDVENIGKVLHRLKFLSLRGHKITYLPDSFGGLRHLQTLDIRCTSIINLPTSITKLKKLQYVRAGNPVPVPLDDDTSTDGILRLRPPPPEAASATASPSLSEPSTSMSRPHAATAVSRLFELPETLTRRHRSQQPAVAGTCNGGIVVPRGIRKMTTLHTLGVIDVSVAKKGRAILEELKNLTQLRKLGVSGISRRNCREFCSAISGHAHLESLSVHLNKENNRGCLDAISKPPENLKSLKLYGYADDKLPEWITLLRKLSKLNLQMAMLPSGGGLQFHSGFDSLVVLKISCGPSLQAVTFHSGVMPSLECLKLRCCNVSSLRLSGLEALTGLKEVWFGGSYGEAFKQQLKRQIGQLPREMKPVLKEEQRLP >KN539081.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539081.1:60118:61632:-1 gene:KN539081.1_FG004 transcript:KN539081.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRNLSSIWKPVRLMMMGSLCGASNLDQHKHVESGGPGWGVLLGRLDGKTSDFNGSLNLPAPTDNLTVLRQKFAALNLNDVDLVALSGTHFTSPANSIQFSSSAMPAGADDDDAGGHTFGRVQCQFVTSRLYNFSNTGRPDPTMDAAYRSFLSQRCPPNGPPAALNDLDPTTPDTFDNHYYTNIEVNRGFLQSDQELKSAPEATGTTAPIVDRFATSQAAFFRSFAQSMINMGNLSPVTDPSLGEVRTNCRRVN >KN539081.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539081.1:51882:55085:-1 gene:KN539081.1_FG005 transcript:KN539081.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHNLLLMLVCFFSTLLLAQVVSAAAGMAAVKVSTTPIFSKIPRAQTTKDFQVLLRIEAPPLVDLKGRVPIDLVVVLDVDIESVSLESVKNAMKFAIKQLSDQDSVAIFGPPMSREFSVLEMFDTFIEEEILRRTPTSDGDVDLGTKLLAKWEELVLKHQFWVGLDLGSLDGEITAVANSLSKQYIVGTAYIFSWMSSYKMQRPTAMGSPANVVGVFVTLEVHLTLQQAAITPPESSGDEGECHECEYTCEEQLPPAPPLLEASGHDGSSYRLNAAYEGVVSLDDINQFMIKIYQVNTRFPQK >KN539081.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539081.1:137500:141569:1 gene:KN539081.1_FG006 transcript:KN539081.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALRFAFALALRSSSSSFLLSVGAPPASSSYIGRMLEECERRREEADQRRRVDFLSLKATIDSWIPQEQKKAEDLQFLVEDEQSKVTPTTCSMECPNGSNPSTTARSIYDDEGATPTIILELGDGEGKDRMPFIISKDLSELTPIMCLTKCSSLDVEPDFTVAAVVTCSNTAMDSKELVATDGATDTTNIDPVFVPRRRTPSVCCSAPMSTVSLIEVIPISSQSMELMVDEKATCTDTTHLPKVMHPSHLMLGPNVNTGTVQAGVAYSLLLGAPEGIASSGKATLVMAQKLNSNFCLKWVALNRCLTKCSKGYKKLLMSHPKRNPWPPPCSGGVVRRWKEWISGIIARGAGENQNRKVKTCGYDSIFYFCENNPSDGSAAARFSIDTRTDSEMIYASIANRDYWSVKLLEVIKEGCPIRHLLLGDVKKEQLLQCETFSTRQTNTEQVIKLQVSNSKEMQELQISRLTRPLYTLAVAAISLIVHVTLYFTQVLLCEL >KN541357.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541357.1:7964:9120:-1 gene:KN541357.1_FG001 transcript:KN541357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPTAAANSLLLLLLLAGAAANLSMATEEPLAPAGPPPPSCVPREREALLAFKRGITGDPTGFLASWKQDDHDCCRWKGVTCSNSTGHVLELHLRTNNDLQGRITSHLLDLEHLEHLDLSNNNLTGPAGRLPKFVGSLRNLRHLNLSSMPFTGMVPPQLGNLSNLRYLNLSDGYDMYSTDISWLTQLPWLEYLDLSHVDLTKISDWPRFVNTNPYLRSVYLSQCSLTSASHSFSQVNFTRLEKLVLSGNNFNDSLESCWFWNLTSLRAAREFNALLDQQTKGTKLVLQQYRRKSTAWGGQIYQFKHSRPFYKPNYWIRAL >AMDW01041664.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01041664.1:112:845:1 gene:AMDW01041664.1_FG001 transcript:AMDW01041664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ECGMVQLLLAKVACVDPVAYCGTPLHVAATEGQDGAMKILLDHNADFNKMVDGLTPLNTAMDVGDLKCVNLLIK >AMDW01013780.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013780.1:23:247:1 gene:AMDW01013780.1_FG001 transcript:AMDW01013780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDILWLLDSFRVCEVQHAYREGNQVADALCHEAYKTTQAARLWTPGTAMVPFPVWEKLEDDRRGVLHQRVRA >AMDW01040452.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040452.1:207:1429:-1 gene:AMDW01040452.1_FG001 transcript:AMDW01040452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRPRQKRLVDLINEAFSTKQKFEQCEILCVARTQVNYVTKLATHSLHTQIAKAASVSAGKEKKENCTICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCTTKLTVEGSKMFLSPRLLAIMVQRIREAQIPPTHKIYCPYPKCSALMSMRELIHPMQASSSKYTLVDAATLRKCVKCSGSFCISCKVPWHHQMTCYDYKRRYPHARLEDAYLQNLAQQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAAIHDDPEDEYDDYYDEDENNYYVGEGLQYNVDYHRQYDGGDRHHGHFYQYNR >AMDW01055325.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055325.1:180:1060:1 gene:AMDW01055325.1_FG001 transcript:AMDW01055325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPGALESSIRDLPPSTIGDGRSFDAKGFVAENKGKSLEAIVEHVRDGSTIRVHLIPSFLYVQVYVAGVQAPSMGRRATPPPNAQAGVGNGAANGEASATPEPMTAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNRE >AMDW01026931.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026931.1:57:248:-1 gene:AMDW01026931.1_FG001 transcript:AMDW01026931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LNPIDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRRVYEASGRNQFKTRGYYSFRFR >AMDW01039546.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039546.1:129:656:1 gene:AMDW01039546.1_FG001 transcript:AMDW01039546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKEKDTVWFVKFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGHVLEAISPCSLN >KN542830.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542830.1:550:2941:1 gene:KN542830.1_FG001 transcript:KN542830.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRMKHSDGGYSYNRTLAHIFVQYASAVYTSDLTSLFAWTCPRCQGDTKGFEMIEIIVDVENCLQAFVGIAPDPQSIIIAFRGTQEHSVSNWIEDLFWKQLDVGYPGMPDAMVHHGFYTAYYNTTVRHEILESVRWARKTYGRLPINVVGHSMGGALASFCALDLSVKYGSQEVQLMTFGQPRVGNPSFAAYFSDQVPRTIRVTHQNDIVPHLPPYFCYLGEWTYHHFSREVWLHETIVGNVVTRNETICDGSGEDPTCSRSVYGRSVADHLEYYGVSLHADSRGTCQFVIGSTNMAYGTILEVDRTIIMTRYPQEWHAVESI >KN542830.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542830.1:10431:10760:1 gene:KN542830.1_FG002 transcript:KN542830.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVARLASERAVVVFTKSGCCMCTAVTTLLGELAVSAAVHELDRDPLGKEMERELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPMLKSAGALWL >KN542830.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542830.1:6016:6183:1 gene:KN542830.1_FG003 transcript:KN542830.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERELARRLNGGGGGRAVPAVFVGGNLVGGANRVMSLHLAGELVPMLKNAGALWL >AMDW01040544.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040544.1:112:1510:1 gene:AMDW01040544.1_FG001 transcript:AMDW01040544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMTFPRENMNYRERHCPAETEKLRCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQAWKRSKEDLEAEQNVIEKIAEMLCWDKIHEKGDTVIWHKKADSNECHNKDDHPRKMCKIQDADDV >AMDW01037685.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037685.1:38:469:-1 gene:AMDW01037685.1_FG001 transcript:AMDW01037685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKFEGPEIQKSLSSSFLLAMKENKLEEMLDSQIKDHESMELVNGLADIAKKCLDMCSDNRPSMKEVSEELSRLRKLSKHPWIQRDTEIESFLSGPSTSNLETEHSYLSGPSTSNFEIEHNTEYRRQDEEMPINPSTSYFIR >KN542083.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542083.1:124:342:1 gene:KN542083.1_FG001 transcript:KN542083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEEDEDFLDNLNPCTRQEFPALGDANMRNLKQGEIIQLERKGYYRCDAPFVRSSKPIVLFAIPDGRQKSATN >KN542083.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542083.1:7856:9868:-1 gene:KN542083.1_FG002 transcript:KN542083.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLVHLLHLVLSLNLVSMVAAADGADQFTYLGFIGSNLTVDGCATITPDGLLRLTDGSLVQKGHAFHPAPLCLRNSTGGTIRSFSVTFVFGIISLFLDLSSQGMAFLIAPTNNLSAALPAMFLGLTNDQNNGNASNHLFAVELATIVNIESGDPDGNHVGIDINGLKSVQSYAAGYYDDKSGNFQSLSLSSRQAMRVWIDYHAEKKQIDVTLAPLTMARPVKPLLSTTCDLSMVLTDVVYLGFSSATAMIYSQHYVLGWSFAVDKPAPAINIDNLPKLKIAYAGPKPLRKVLYIVLPVVTTMVVLVTGGIIIIMLVRRLRYAELREDWEVEFGPHRFSYKDLRLATDGFADKHLLGVGGFGKVYKGVLPTLKLEVAVKKVSHKSRQGMKEFVAEIVSIGRLRHRNIVQLLGYCRRKGELLLVYDYIPNGSLDKFLHKNKESKHALSWTQRFRIIKGIASGLQYLHEKWEKVVVHRDIKASNILLDKAMNGQIGDFGLARLYDHGMDLETTHVAGTIGYLAPELIRTGKASPLTDVFAFGIFLLEITCGQKPIMNNHACGSQIPLVHWVLENWRDGTLMETMDVRLQGEYDAGEAALVLKLGLLCSHPFPTARPRMAQVMCCLDGVAPLPDLTPASLSLAMTWDSAFDASVAPDPETSFGTISTFSGGR >KN542083.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542083.1:572:2652:-1 gene:KN542083.1_FG003 transcript:KN542083.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMASSKPTDLVEEQVCAEFALGNSSVHIILYDVFSHMIDQPILIR >KN544352.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544352.1:369:2533:-1 gene:KN544352.1_FG001 transcript:KN544352.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLRLRCARGVDWTDPSFVAVAEKPDAGAEAWKALASAGGGGIEEEEEDGPFEAINGDGGYSVEESVVLPPFEQSLVAAVADSVGDDALSQALSSKLDFKETSTFVMYGSGAFIAGWILSAVVSAIDSIPLFPKILQIVGLGYTIWFSTRYLLFKENRDELFVKVDDLKRKITGYGDE >KN539010.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539010.1:88623:89105:-1 gene:KN539010.1_FG001 transcript:KN539010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLPAAAGAAVANPGATLVDIDGDGSLLMNIQELAMVRVENLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVTIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGDGRSSY >KN541773.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541773.1:5474:23066:1 gene:KN541773.1_FG001 transcript:KN541773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKVVAPVERVVFALNGERQEVAAADVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHLCSIITSEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPEPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISSPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLDHSTLLLSIFIPHWASDYKNEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPRKTLSTSEDLVHTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSNGMAEADHKIMMEKFVIGVTNFSQAISKAHLREHSITFSHVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPVIPGTVISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVAAILSLDANTVEPRPAPGRVSVLNDGSIVVEVGGVELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGVTAGSTTSESSCAATLQACNMLIERLKPVMERLQLQSDTVSWDTLISQASQENINLSASAYWVPEQDSNFYLNYGAGTSEVEVDLLTGAITIIRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYRKHRVLSSKASGEPAVVLGASVHCAVREAIRAARIEFAGNNGSGSPLLTFQLDVPAPMTVVKELCGLDIVEKYLEDLSNRGAASGN >KN539010.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539010.1:134233:142086:-1 gene:KN539010.1_FG002 transcript:KN539010.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKRSSLIVSLVDSWLDRPSCGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLFNGPTDSLSVLSYPNATYGNQYLGSTSGVSDGSESRHSNLSSVTEVSSYSANKDNGILQSIQELSQSTIMGAPALGQSSLEQSIEVHRVDNSNSTNKSGLNRALKQIVEQLSLGDDEDDDYIHQAQPFDFITNIEAPDRQRDASRNVSEYQPPGGLYNSDMQQISAAKRFLLETEDSIDSPSYNYVPREEGNNGTNTLSVHDYSLQSSLNPDWKKTAPLTLQSNLYGSEIPSLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSTASSFLDISPSSRSLKSSEELLLLAKFVRMLLCENGSHTNSNGDPQSVQCPKLKMNDEHWQRLIDELKGGCENPLNVSDWIMEELLKSKLQQWLSVKLQEYDGMACSLSKHEQGIIHLISALGYEWALSSILSAGVGINFRDTNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASERGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKYRGWKRRRHFLNMHINVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDEDDDEDDFNDDEAVKMFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATLTDQLKVFGQVTIKQSFTSPKKLRLIICITVSIACEDTNIIMLDKGKSVEVMVAQRVYISLGRAKMRFSAKGNESKAKLVGKYTPDVCFSYY >KN539010.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539010.1:22934:33924:-1 gene:KN539010.1_FG003 transcript:KN539010.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYTSPKRAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFATAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGTDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFLWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVQDDDEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCMKTYELVGQDYPGNRTEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFSFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQALIINLMKDVTPNHVSRFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKINRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >KN540086.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540086.1:59138:59749:1 gene:KN540086.1_FG001 transcript:KN540086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCCHRDDKASAKANATAGAAAVGLDDDYKCKKQCLGNCFKDVPAVCYRKCVDDWCTKLPPYSSAKVQCYKKCGDKCFHHGPKPGPKPKPKPKPSPPKPKPRPKPQPPKPGPKPKPKPPKPGPKPKPGPPQPWWPIPFPKPPCPPGADGSSSAVDDKNN >KN539010.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539010.1:93604:93837:-1 gene:KN539010.1_FG004 transcript:KN539010.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAELACWVALVMRRPAARWIGGTDGEVVAPDFASPARIWREMIDPKPEAVERHVIIIVLPLLLSRQMSGYNLHH >KN539010.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539010.1:114258:123363:-1 gene:KN539010.1_FG005 transcript:KN539010.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEKVRAFATNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGTLSATLPAWAMLLVGAAQNFLGYGWLWLIVTRQLPALPLSMMCLLIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLFAVLHTPDHATLIFMVAVGPSLVAIGLMFVIRPVGGHRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFVQLSDNMLVFITAVLFILLILPIAIPVTLTFSSKTEHPMEEALLAEPSKGQASTSQEKEPDVFLSEVEDEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRRRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGYKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHIALAFAQILMAAGHFLFAMAWPGTIYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGVIASNLYDYEAEKQAHHQSSLSGRLLFDMSFLAEGPLKCEGAVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVLEEQLQEKRIKNQPRLGPGTTSSVCLAAVFAAKSSPKGGVEMNADYNMDEAIKARGVAESRFHSRDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLTAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVLYDQKRKDHSVVNYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQNNNSTKHSYGSTSRTSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPAAGTNVYGTQALEKPKRKHEESYSYNYSATGNSYGHERTNSRRGRFSKRRRHSNDGYTTMDFGGDNRETVAASTETTAFTDVAVAQVNGTSGEKLRSAVSGRRANVLREISQIDTRALLIEKAKAAIQEKLQEWNITSSSRLAERGKSQGKVYPSDNNIKQNGGLSDKHVKGLKQCSSRSVDTQAPTVDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTDEQGLTVIPLLKVAGFKAVFHRHMDPKEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDTATQPAK >KN539010.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539010.1:10798:19749:-1 gene:KN539010.1_FG006 transcript:KN539010.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding METLSMLKDVAGKVVLVTGASSGIGEQVAYQYAKKGARLALVARREASLGEVAARARSLGSPDVLAVPGDVARPDDCRRFVQATVEHFGRLDHLVNNAGLANVCWFEEVPDVANFKQVLDVNFWGTVHPTHAALPHLRASRGKIFVNSSASAVLAMPRMSFYNASKAAVHNFAETLRMELHGEVGVTVATPGWVESEMTKGKHLSGHGAMEVDQDTRDAQVGVFPVERGERCAEAIVDAVARGRRRLTASFLGALLADDDDVAGKVVLITGASSGIGEHLAYEYARRGAYLALVARREESLREVGDAALVAGSPGVLVVAGDVSSPDDCRKFVDDTIRYFGRLDHLVNNASIWQVGKFEEVTDVNHFRKLMASKAAAANLYDTLRMELAGDGIAITEVVPGVVESEITKGKMLTKEGDMRVDQDERDAILGAAPAERAGEFARAAEYYYLGLKIYDSTT >KN539010.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539010.1:148417:157210:-1 gene:KN539010.1_FG007 transcript:KN539010.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHGGGRRMEEVMGRLEMLMLSVVIMLTESKIHVFRGDVSGCWNLRMNILCWYNIEKWEQLSYPNAKYGNQYLGSTSGVSDGSESRHSNLSSVTESSLEQSIEVCRVDNSNSTNKSGLTRASKQIVEQLSLCDDEEDDYIYAHQQAQPFDFITNIEAPDRQRGHASIDVSGLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSSVSSFLDISQSLRSLKSSEKLSLLEKFVRMLLFENGSHANSKVLLSLDSAIQQWLSVKLQGYDCTACSLSSHEQGIIHLISALGYEWALPSVLMAGVGINFRDTNGWTALHWAAFFGREKMVATLLAAGASASAVTDPTATDPLGKTAAFLASERGHMGLAGYLSEVSLTSYLSSLTIEESNTSKVSAAAEAERAVQSISQRNAQLYGGTEDELSLKDSLAAVRNAAQAAARIQNAFRTFSFMKRQQKTAGLKDEYGMTQEDRDELAAASRSYYQYKLPSGQFYDKAAVSIQKKYRGWKRRRHFLNMHINVVKIQSHVRGHQVRKKYKTFVSAVSVLEKVILRWRRKGHGLRGFRAEQTAMTEAEDEDEDDDADDFTDDEAFKMFRRQKVDESVKEAMSRVLSMVHSPEARMQYRRMLEEFLQASDEKHQSTIHKDLKAWLSIVKQQRSRGFHHTHMRIWIRMTDKFDKLKLFGKIVEYAIHDHCHITNLAFLEEMVKEELADKKCLFFLDDAEIEDRDFWVSTLEVLNSGTKGNVVVIATTSTTVAACTGGATHSYYLNPLSEENNLMLLQQYACIDQDIQSNPDLMKIAKKFVTGFGENPLNLKALGGLLSHSDTVPLDKEKFEQNDVPCLQLCHDLLPVNLQHCLAFCSLFPKGYIFDKHYMVVQWISQGFVVPVEGRELEDIGVGYFNELLCRSFFEYSPSHSDTDDKFVMHQLVYNVVVSVSYNKYFRSEDNLTDIPESICHLSLVSSQIQTVQLMSRTEDLKDLHTLLVIQPEHQQYKTSFPTTSLVGLDDFFLKFTSLKTLDLSCTGIDELPSSIAALRNLHYLSLNSTRIRDLPSELCGLTNLQTLEAKDCRFLAELPDDTKRLQASLRHLHLGKELGFVRLPPGIGQLTKLQTLPVFHVLDRLQPHPNLRELAIRRYEGGRFPPWMESSSSLPSLVSLTLDSCFGCTRLPAIAQLPSLKFLSVRKTYDVRRLIHDDGTHGPGVIRFPSLELLNLWEMYGLEELFEASAGDGSGRGDCPRLKKICISRCPDLKRLPCVPSMAELVLHCCDELPDIPELVSLRSLRIEGLHGVRSLSLPPGRLPALKKLEIRSCDELSSVSGLSELAGVERLKIVRCPKLDWPRSN >KN540086.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540086.1:1921:3250:-1 gene:KN540086.1_FG002 transcript:KN540086.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFHRPELAEWARLVAAKGVEDLVFVNKPVHYFDVPLPADILRCAALRRLFLGNWNLPATSGLPHGADVFPHLLELYIWNTVMSARDLTHLLACSPVLQSLALVLNGHPNRVRLCSQSLQCVLLFLSKAEEVAVVDAPLLQRLVLWRILTSYDTDDELPVKIRLACAPELQVLGYLEPRAHQLQIGQTIIKADTKVIPSSMVPSIKVLALRVNFCVQEEIAMLVSFLRCFPNVETLHIESDIDDEFTGQSHAKFWQEVCPVECINSHVKKIVFHDFHGDKSELEFIDFIARTAQELQALLLMLTSKTYGPVVDVDEVNSQLGVLSFASEECITSLLGPKVQTVHIFHRSLDLSLDDPFL >KN539010.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539010.1:104366:105781:1 gene:KN539010.1_FG008 transcript:KN539010.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTDAFQETPIVELTRSITKHNYLILDVDDIPRVINEAFFLASTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPSANLLDEVIRLVGDAKRPVLYVGGGCSASRDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNATLEQQQRKKLDFSAWRSELEKKKAEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTFRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVENLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVTIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGGGRRT >KN540086.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540086.1:34660:42086:-1 gene:KN540086.1_FG003 transcript:KN540086.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLKKALRWFPHSNEDHHHLEEDEGSSERRGLLRSHLEQVVPVTDLDEEPNASSSPVKEPKTVALKVSMHCHCCARKVEKQILKMEGVVSFKVELENKKVTVVGNVNPMEVLESICKILVEPDLAAELEQSLVDPTHELEQNLVEQGLVIGQEFVDVHACRRAVKDMAIAMHFELRVVKSDRSRFIAKCAREGCPWRVHVAKCHGVPTFTVRTLHGEHTCDGVRDLHHHQATVGWVARQSQVVEAVEVNFPTAFHGFCLRYVSENFRDEFKNPKLLNIFWSAVYALTAAEFDSKVNDMVQVQDVMPWFQRFPPNLWAVSYFEGIRYGHFNLGITEILYNWAMECHEFPIVQTVEHIKHQLTCWFVERQNLALSYNSILVPSAEKLISEAIADSGCYQVLRANKVEFEIVSSERTNIVDTQASGIRVRAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLECPAKADS >KN539010.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539010.1:67844:70313:-1 gene:KN539010.1_FG009 transcript:KN539010.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYMHCEGCARKVRKILKGFDGVEDVIADSKAHKVLVKGKKAAADPMKVVERVQKKTGRKVELLSPMPPPPEEKKEEEKKEEPEPPKPEEKKEPPVIAVVLKVHMHCEACAQGIKKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVAPPEKVAAEGGDEKKAAEGGDEKKDEKEEKKDGKEEGGNGGGDEKKEEKEKEKEGGNADGEEKDKDKEKDPAAIAAANLYLHYPRFAFPAGYYPPGPGYAYPPPYPPSYPPPYQPSYPPYPSHPSHHPSQIFSDENPNACSVM >KN540086.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540086.1:15278:24795:-1 gene:KN540086.1_FG004 transcript:KN540086.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPRVVGCGERTLVLSHGYGGSQAIWDRVLPHLAETNKVVLFDWDFAGAGEKAAAAEEEEEEERYTFEGFADELVALMEEMGVSGAVYVGHSMAGMIGCIASINRPGLFTHLVLVGASPRYINSDDYEGGFDEPEIDAMLATISSDFLSWAKGFVPLIVGAAADNPSVAETLERTFFAMDPRVADALARMIFLGDNRGVLGRVAAPCTLVHASGDPAAPPLNQPMLGVGQMDRCILHCRALFNDVYALLLYAGSWGAAALSRWLGVLAAKGVQELVLVFLHAWPVRVEPPAGVLRVASLRRLYLGLWRSFPDTEHLRPGADVFPNLVELGICRTDIKAKDLDRLLQCSPALETLAFVVSYNTQPNVRVRSRSLRCVLFWMSIAEELAVAGTKPSPGTMVPTVKVLAMKVRFGVRQEAKMLLSFLRCFPNIETLHIMDVAPVECLKAHIKKVVFKNFRGERSELAFLRFVLERAQILQTLVVVLTDGDGDHASQEELGNRLKPLIYSTHRASKCAEFFIFVRSGGTSWSFRTASDLSRSDPFDC >KN539010.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539010.1:4130:8568:1 gene:KN539010.1_FG010 transcript:KN539010.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNACGAGVLPEGVGSMHNALLDGPFVLQIVIRNVHIRRGLFMLVPEVIEILGGVDDELEEARNRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPTNANATNDAEQGASVPRTVNTPHPTGLGNASHASQVGRTTQPMVDNLIPHVVVSNAQEQSRHIQEITMQGQSTSLNGHNKEASASTSYRYNAQCSISGTTRAMADEHVLVSNAQEQSPHIQEITMQDQSTSLNGHNKEASASTSYRYNAQCSISGTTRAMADERVDPSFVGNNVHEQMQRVQGITMQDHTSASSESKRELSVTTPSGYDSRLAPHGVGNTGTRSGGATRSSNVDDGINNIGHPISLCGENEKPFTYIFNMLADWGVQQDTVPYIQGKIKGLITSVKRFQYKQSMQYDLYVYIDDGSFITEAFVDRDIVQNMIGLSAEELAAALSSGGPAQANIRKTMKAFEHFLVNFEGTILIELNRDSSVPIVREMNKGCSSSDAWQLLRRVKTFSGQGYMRSLDFMDTTP >KN539010.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539010.1:131533:132401:1 gene:KN539010.1_FG011 transcript:KN539010.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAARRRQEMAAEGQRHLEDTIAAAFQILSSMNDELCNPTLWRWDRQNTKLIKLRLRDWKNMHLL >KN540086.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540086.1:65078:65368:1 gene:KN540086.1_FG005 transcript:KN540086.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDADAVVLALGPWSARLEMVREVFDVSGLKGHSVVLRPREPDKMTPHALFLSYQLEPSAKMLAPEVYPRPTGAPPLPLPLNLKCSIDPCELTNHH >KN539010.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539010.1:162176:165144:1 gene:KN539010.1_FG012 transcript:KN539010.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRSAIYLSESGPDLLFAISVVSLFGEVDITKYQGKEAVIMIPGIMNMWFRSKNGCFFGRPYWIHGEQLGGTSMGDSNIDKLIYKLVDNHYCYFSPLGQKFIISAAQNWLYKSIHVLTALAHQRTPVQLLLFVYQNELNAPDALGRVLSDTGHPEQWTVEVVNALHVDNGKHNTVLSLSHPGSNHRGHLKLVGTRKSDGQEQDIQRCNKSTRLFLYDSLRDRLHENLNFMPELEMGLAM >KN540086.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540086.1:4706:13057:-1 gene:KN540086.1_FG006 transcript:KN540086.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATHWPMLSYWLSLLAANGVQDLVFANRPYPLDLPLPVDILRIPSLRRLYLAFWTFPGITGGARGTHVFPHLRELGLCFISIDAQDLDGLLQCSPVLETLALVSNSFSPAHIRVRSRTLRCVLFWMSLAQEIALVVAPRLDRLILWKTFMGFPGEIFCRTRVKIGYATELRVLGYLEPRMHELEIGNTTIEAGTKMSSDKTVPSVKILALKVRFGIRNEAKLLPIFLRCFPNVETLHVMMLDKFCTTYISSDKDKQNLLSQPGPHISGGDGGGASLSSIRDAVANAVTRVLASHPGPFRFVGVINFFLGRHVDALADWLRLLAAKGVEDLVLVNRPWPLDVRIPDTILRCASLRRLYLGVFRFPDTTGHPRGPDVFPHLQELGICHTIMDDGDLDHVLACCPAGEARARRRLRHPLARPRREPPQPPAGVTDVSPMAKVPSVKILGINVNFEARKEMEILPSFLRCFPNVEALHIKYNVDESNGELNSKFWQEVGHIECVESSIKKVVFDQFRGGANELEFIKFILERAQMLDKMVFVVDPENSAFVDQAMSTMKSLASTDYTSARYTSDRLIMPLRFPNVWMSSDLTIVHGRLSLVCSCASLQCTYLETGKTIIPLEFILPLLTVVHISFRYFVGKFCSIPHWIDEDYGLILEGVRELVFVNRRRPLDVALPATVFALAPLSRLYLGTWKFPDTAALPRGAGFPHLRELGLYCVAMEDRDLDFVLANSPVLECLGIYYSQRQIVLLRLASHSLRCVQICMCIAEDIAVVDAPRLERLLIWEMFEDDNHATRLSIGHAPNLQLLGYLRPGIHVLENGNTIIKVHTPAVLIQFRSTIAGTKASPRTIVPSINVLALKVRFEVRNEAKLLPSFLRCFPNVDKLHVKSEKSDEPVGRLNLKFWQEAGRIECLQSRIKYVVFHGYRGDRSELSFLKYILGSGQVLQEMVIVVANGMFSTQDEVGEKLVKPLSSVKMASGDCNITVVEGTVHDEEDSCCLRDAFDFSIDDPFDRYL >KN539010.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539010.1:76749:82631:-1 gene:KN539010.1_FG013 transcript:KN539010.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVALRRNLARAPANPFAGPAPRYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLALEERPIPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNAREYSYDRRQVMLDRLMWSTQFESFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPAEEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALEIYQNRLLESGKISKEDIDKMQKKVSTILNDEFQNSKEYIPNKRDWLSAYWTGFKSPEQISRIQNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFELRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVIHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGIKRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGTIDDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINSPF >KN540086.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540086.1:45553:50453:-1 gene:KN540086.1_FG007 transcript:KN540086.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKRRVVAAAGNVKSLNEVIMPNDNPQRMFGGRKLWDAKDDQAWVHDRFEEMNLHEEHYEDKRMSRGRFRGRGGGGRTRGTGRGFARGGKCRGYNEDINNNHQNRPQKVVRGRGPRRYEAVAKNNRDVVGFQRKHPARSRESAASASAVRESGQTLNAQSEMAPPKKNVVNSSLNSASPPFYPSGASNPDFSVPAQRRDNMQAGGSNKVFPSSMKMDDNTKVQSGPAVRRDYGARDRFQHADGPVRQSPRSGGTSLNSSGFAASTVNHGQSSVVRTQGGNGIPSNNQSTSSLHQNPRAPTHQQSHTSVVHQKSGQVQTQSAMRIPTQQLNHRTGNPSTTQHLPVRSTESVENGLYPSSNKSNASSGAGKTNSQEAGRGSFMYGGAQVIGAAGAIGLAQGEQNFPGTPALLPVMQFGSQHPGGVGVPTVGMALPGYVAQQQMGMGNNEMTWLPLLTGAAGAFGGSYPPYIALDPAFYSRSSGQTSSSVPSRESIANKGASPPRNGTSYCTFIDVDSYIILQFILRDELQSVKHHAIFLSP >KN542347.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542347.1:4101:7375:-1 gene:KN542347.1_FG001 transcript:KN542347.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFHRPTGRCSNGRLVVDFLAEHFGLPLPPASKAHGADFSKGANFAITGATALEYSFFKQHGIDQRIWNTGSINTQIGWLQDMKPSLCKSDQECKDYFGKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLIELGAKDLLVPGVLPIGCFPLYLTLYNTSSKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPETKIMYGDYFKAAMQFVVSPGNFGFSSAMQACCGAGGQGNYNFNLKKKCGEEGASVCSNPSSYVSWDGIHMTEAAYRYVANGWLNGPYAEPPILK >AMDW01009000.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009000.1:52:181:-1 gene:AMDW01009000.1_FG001 transcript:AMDW01009000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGGGSGYSGRVTPFVVLSCIVAGSGGILFGYDLGIS >KN543408.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543408.1:425:3322:1 gene:KN543408.1_FG001 transcript:KN543408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIGPMRYTEEPVPGFAKLLDLLEVFSFEVTELKGILSWPIDVFGLISVRDSLDRNRNYIFERTRHNCQTLTAKRLSFPATALLLASACLQELYSFANHSSRIFKHTPHEYVNGNDSSLVLTGPSRAVQLIDPIEFEIELRVKGESPSEDKILSAEAFGYNCIVHINTCGSLRNMMLSGARSTLEFKYAHIPLALEATIKVRITGGSTDFCEKFIAHTASIKKDVILLDSREEMVAISHDGAIDFCRSVVAVEGNDGVLTVSVHARQSGENIICAYKQFIPMSVEVAWSLIF >AMDW01038546.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038546.1:16:437:-1 gene:AMDW01038546.1_FG001 transcript:AMDW01038546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PTSFAPLIYAAISVVENSNLQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQ >KN541342.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541342.1:5247:6863:1 gene:KN541342.1_FG001 transcript:KN541342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAARDAEEQQLAAQGKGSNNNVRFVQPDKVVFRSQPIPGGKPARRAASNRGGRMMSRDRRYDSFKTWSGKLERQLTHLAGAGPEVPEEEEDGCDGDAISSHHTKSMLQVDRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTVATSTPTRFLHVTTKVNLVLWCVSLALMCVIAAIYACKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPRWLWYALMTPILCMELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFSVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIHYSTEVDNAFTKALCVALSVLAMLTVLALLATTIVHGFVLRNLFPNDISIAITERKVKPIVELHEMLGSNDSAAGRSNDDIEAGVATVESS >KN538957.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538957.1:82811:87438:-1 gene:KN538957.1_FG001 transcript:KN538957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAERDGVGEEQKKRKQGGFRTMPFILANEICDRFATAGFGANMITYLTQQLHLPLVEASNTLTNFNGTSSLTPILGALAADAFAGRFWTIAAGSVGYQLGMENVGWGWGFGIPAIAMFVSIVVFVAGYPLYVRLKPGGSPFTRLAQGGAAPFRKRRAAVPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDIAGSGEPDLWRLSTVHRVEELKSIVRLLPIWSAGILLATAASHNGTFTIQQARTMDRHLTPRFEIPPATMSIFTTVAMLAGLALYDRAIVPLARRVTGLPSGITYFQRMAIGLGISILGYAVHGVAEAFSSVAHMEFLYDQAPESMRSSAAALFWLSSSLGNYMGTVLVTAVQRATRGGGEWLQDNINRGRLDCYYWLVTTLMVLNLGYYLVCFHFYTMKPLEVAEEDDDEKECELSSVHKNGGPGGLV >KN538957.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538957.1:61646:62533:1 gene:KN538957.1_FG002 transcript:KN538957.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDAVLWTSMLSAYAQGGHPEAALRFFQGMVAARVQLDAVVMVSSLLACGQIGWRRHGRSVHACCIRRLPGMPLSLGNALVDMYVKCGEFAFAERVFAGMPRRDVISWSALILGHGLNGRSDVALRLFDEMAAEGVRPNSVTFLGALSACAHSGMVDKAYAIFEGMKRHGVKPELKHYSCMADALGRDGRVVAAVKLIEEMPFEPDEAMLGGVLAACRVHGEMEAAERISKRLMGMSPSKSGYFMSLANIYSDAGRYSDAERIRGFMKEVKVDKLPGYSSVEFDVNVSEPRSG >AMDW01033052.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033052.1:48:484:-1 gene:AMDW01033052.1_FG001 transcript:AMDW01033052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLG >KN538957.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538957.1:9085:10122:1 gene:KN538957.1_FG003 transcript:KN538957.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARPSLAALLALCAFAAVARPPAGVGAANVPITTCRSFCGNITVDYPFALRAGIFISVMVVKYVSEF >KN538957.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538957.1:129080:133764:-1 gene:KN538957.1_FG004 transcript:KN538957.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQMRPYNETVHIVSCGRGGDDDDDLPLDGLEFDLPGDFSVEDFLLRSPERDHSGEGSAAGSGPTASPSSSPTTSASNSAVANGSGGEVKHEESDEGRSGGGDPKWSLKRKQASPGPSSDAAKCRRSGDGDVSPSASGSRTAVDSDEGGTVCEEEEDKRRAARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSRISFVVAQNATLRQQLSGGSVNCPPPGVYPPAPIPGMHFPWVPGYAMRPPGSHVPLVPIPRLKPQQPVPSSKVVKKPESKKTVENKSKSKTKTKKVASVSLLGLLLIMLVFGAFIPGFNQNFGMGGQSDNAMFRNFGQSHARVLSVSSQDKSSLNNSDMIGKMTGNTDGPGKKHQPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASKDDSDQSARDHKETSVAIAHYLSLPGKDVNRQETSSADGPLPQWFREGMEGPILNSGMCSEVFQFDISTASSNPGGIIPASPVVNSSSVNATEKIPAHSAAYHGKLKNRRVMYNEAIPLTGKTANNTEPFNRTSESSSKLPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSKIFVVVLVDGVRYVTYSCTLPFKSSSPHLVN >KN538957.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538957.1:792:4130:-1 gene:KN538957.1_FG005 transcript:KN538957.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEENMFRANRIQQGDRRLKMPSASKSKSKDRSAAKAPKEQPKVAAKPMGNGTVASAYNNFSGKFHLLEPSSSLLVSQGNDKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKSTSTAPRVDSVPGCDVDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSSDQATMALIQNEGCLEESVAWLCSFDGSEEAKQQTAADQQPGVNLKIDITDELAKIATLEVKFKCTKQEIERAVVSSEGDLEKAEEVLKTQKQESTATASKPEGSGDSSGLANKAQLMLAQNPTRPQTNGFSSVGAQQMRRDEKDLNYKLLLNGSGPKEHAVKGFQPLAPSVKPEMGRPQFVQPEKRRLNANSVPSVSYITSSPLPVAAPQIKSETRHVAGGNEVKNAMHNGNLRESVVVMQRPQSAAAKQSLPSTSHSMFASEPPREWYLNGASGVDMMLNGGLGHGLRNMSLDGVNPSKQFVHANHQQSFVSNPIDLAANGWGGTWGSGGTSSSLGAASSLGLFRGWSSSESSSSLSRPDWRTNGPSPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPSGNLGGPGIAGLHESNFPMDPSPSPRPYDWPSFCRGGSS >KN538957.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538957.1:143122:144992:1 gene:KN538957.1_FG006 transcript:KN538957.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVLRMEMDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSKIVAKKTPESKLPVIALSEVPSKQARNEHEAAEKLKFVICPRPKAFQNGAGDAGAKNNAARTVEERIEEYNKARARIFNGSISDIEGTSDLGALSVARDEPINAEPPVDENKVNTMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRGPVHDFNVSPGGFNFVVPQFMQYGVGFMQSANMSRNQPSVYFGQPDLSMSSSSGAAVYPQWPTPAMIYPHCYDNLGHMISQVPVYQSFNHG >KN538957.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538957.1:118833:127926:-1 gene:KN538957.1_FG007 transcript:KN538957.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAASVSVLHLPAISLPFPCLQGSNPSRPSLGLTNALPWRRREEGSAGREAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTIIRKSFDARKILKEPQFVYTVDVDVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLVSMLNVYKQGSDGELGINDTVNNGSICSPRKKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRIFHSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAEPLRNYFGYLLIQALASSAIWDQDPKVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVEGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQGQGLHPKVIYDIDTIKITIYRVYSELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPSHITEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >KN538957.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538957.1:102676:106557:-1 gene:KN538957.1_FG008 transcript:KN538957.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEEKAVDVVAVKKPKQGGFRTMPFILANDFCDRLANVGFSSNLITYLTLQLHLPLVDASNTLTNFHGTANLTPLVGGLIADSFAGRFWTITFGSVIYQLGMRASSSQIAVLYASLLFTSIGTGGTRPCVMAFGADQLELDAGARGRRRGRKGPKWSFFNLYFFGIELAKLTAVTVIVYIQENVGWGWGLGVPTIAMFAAVIAFVSGYSMYVKMPPAGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDARISTTGRLLHTDQLKFFDKAAIVTDGDVLPSGEPKLWRLSTVHRVEELKSILRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGHPSGITHLQRAGVGMTIAMLANAVAAVVESRRKSVAAASGMLDAPKGSALPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIIHAKTQRSGQWLQDNLNRAKLDSYYWLVFGLQGLNLIYYFVCVRYYTFKPLETVKPEEELELELYRGNGNEDDGKKGGILK >KN538957.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538957.1:35133:37091:-1 gene:KN538957.1_FG009 transcript:KN538957.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQQQRQATGSESLMQKCKPYVAMVSLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRMSFWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRAVEMVWTKHMHLHGPHQDAVAAAAADKDWLRGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFAMEHSMSVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVMQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENAEKKEAEAMEIPVAIKGVDGNGRVMDIVELDEVQLEKAQVNGKAAAAAHEHAAVVAVAVPAEEARMQGKDEA >KN538957.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538957.1:12609:14212:1 gene:KN538957.1_FG010 transcript:KN538957.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTITTPSRRERYLFALNQLKGEKGVRAFEELLKSQDTLEEEELYMMNNGISEEAAKTFYELIPSTEKLKVLHFHNNMMGDEGAMFVAEMNKGTLAIVNTRKQLTPQMEVLEMARNKINAKAAQALAECLTTLQSLKKLTLAENGLKDDGAVVIAKALEDGHRDLKELDVSKEYVAEGGSSNDPERDLDDDGKEEEDDGEWDSKLQVLKVE >KN538957.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538957.1:72951:73925:1 gene:KN538957.1_FG011 transcript:KN538957.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESQQVQVQAAKVADEVSGWMRVFDDGTVDRTWTGPPEALPLMQPLLRTAADFSRVFLIGDSSGGNLVHLVGARVGEDGADSWAPLRVAGGIPLHPGFVHATRSKSELEPRPDSVFFTLDMLDKFLAMALPEGATKDHPYTCPMGPNAPPLESVPLPPLLVAVAEHDLIRDTNLEYCDALRAAGKDVEVLVNRGMSHSFYLNKYAVDMDPATGERTRELVDAIKSFVDRH >KN538957.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538957.1:100202:102291:1 gene:KN538957.1_FG012 transcript:KN538957.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADENGSPKKTKQGGFKTLPFILVQVLHLKCSMRVLRVHCSVDAANEFGLGGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQETVGWGWGFGIPAIAMFVSVLSFVVGYPLYVKVKPEGSPFKRLVQVVVAAFKKIKVPVPDDSGELYHNKELDAAIAADGRLLHSDQLRQAFSMHRSLCPLHVELKSIVRMLPLWAASITAIAAGSHNFTFAIQQARTMDRHLTPRFQIPPATMIIFTTLTMLVSLALYDRVFVPYALHGVGDALATVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASRGEWLQDNINRGRLDYYYWLVTFLLVLNLAYYFVCFHFYTLKSFEVDAGDEAQRRRDVAGSAEGETELSAGCVVASRNGVL >KN538957.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538957.1:108127:109118:1 gene:KN538957.1_FG013 transcript:KN538957.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSVAQERDMATRLAAQEWGTSPASLHQVSLATNRVSSPEWVYLLALVLSSLIPLRPLLPCLCRNPTCPRHFFTSFGFSPSSSALGSVAGELQARSDLGNGGAGLQQIAEIVIFSAGLLATLAEMRRDTIATRFLCQLPVPLPAIEKNCKGQPL >KN538957.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538957.1:114959:116958:1 gene:KN538957.1_FG014 transcript:KN538957.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEESNDDAGEQMPQQGGIKTMPFILANDFCDRFATIGFNANLITFFDRAAVVTDGDMEGGGGARPWRLSTVHRVEELKSIIRMLPIWAAGILLVINVVYFVVCAKLYTYKKLETVDGVSTGERNDIIDDQENAGERDEKGSDVKDVELQPLLLSDVTLP >KN538957.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538957.1:65514:65813:-1 gene:KN538957.1_FG015 transcript:KN538957.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNAMESAIEYARVSSDAMDSGARVDAAYQCVFQEYDGDALKANITAMGPLVLPTWDELLFVLLLPPLACLLKLITAKKLKLCCCLFSAVKCTLKMN >KN538957.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538957.1:17853:24372:1 gene:KN538957.1_FG016 transcript:KN538957.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTITTPSRRERYLFALNQLKGEKGVRAFEELLKSQDTLEEEELYMMNNGISEEAAKTFYELIPSTEKLKVLHFHNNMMGDEGAMFVAEMNKGTLAIVNTRKQLTPQMEVLEMARNKINAKAAQALAECLTTLQSLKKLTLAENGLKDDGAVVIAKALEDGHRDLKELDVSKEYVAEGGSSNDPERDLDDDGKEEEDDGFRACLVGAFSNMPDKFVCMRHECLPGQGAELEFARIVKAKRQVVTGTLHDLMLEVVDSGKKSLYSAKVWVKPWLDFKAVVEFRHVGDSQSQSATAADGNAGQDTADPTVASRNDLHNTENNKVSVDLSTFSQANP >KN538957.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538957.1:80133:80969:-1 gene:KN538957.1_FG017 transcript:KN538957.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVDANNLPFIGQAVPLVVTSSSIANGGAAAVDGAVHPQFPLLSKGIVPRQLFFSSIDVRSATPGPDAKARIVHRTYFLVGGDDTEANNYIVITKQIYKLTDHTHHLALIQAARRSSFKLLAAHLPETSPRMLTVQVFSSDEGGWDPPLACAILQECELHSYLRQARRPARRRPLALPHILASPHPRPQVGTSSTRTLRRRFAAAEGVADETPDAVPHDMCLVLSPATNDVGRTSHRAAASLSVVALPGNRIAVWVRITAVRRGRLVDSWERRHVICED >KN538957.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538957.1:26162:30948:1 gene:KN538957.1_FG018 transcript:KN538957.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MATAPPRVSCGSLLQELQVLWGQIGQNEAERDRMILQLEEDCLNVYRKKVEKLGGTLLEQLAKVEPVLEDLRRRRDERVEEFMVVQAQIVRLHAEISGTIENGDPVPPLVDETNLSLRRLAEFKSQLKELQTEKNLRLQKIDVQINCIHEICNMMSLDLKKELYEVHPSFVELGRTTSMSISDSTLERLAGKVHSLNQEKKQRLRKLQDLGSTFIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKVEVEVKRLNCLKASKMKELVLKKMIELEEIYKSVHMDIDSDYERRILNDLIDSGKADLSDLLTGMDGRITKAREHALSRKEILEKVEKWTLASEEESWLDEYERDQNRYNAGRGAHKNLKRAEKARMLIRLLDSLEEYTSRRQQKDEEKRRSRELKKLQEQYAAEQGATFGTKPSPARPPSARKPLGQSSNANIISGTPTSRRVCTPMARKGGLSSGKVKEAGKTAFIPANYVALPKDCSDNSNL >KN539721.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539721.1:39790:40422:1 gene:KN539721.1_FG001 transcript:KN539721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTQVTNTKRRLLLLLIQVHCHRLNPRVHMTLLTQVNNTKRLLLLLLILLDKVHGRRLIQRLQMTQLLILETLLLLLVELLCKVTAPSSKHGFTLTTICGSGTYTHWPPPIS >KN539721.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539721.1:24778:28264:-1 gene:KN539721.1_FG002 transcript:KN539721.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLSPNIAWVLCHFIFCSISLAICNETDDRQALLCFKSQLSGPSRVLSSWSNTSLNFCNWDGVTCSSRSPPRVIAIDLSSEGITGTISPCIANLTSLMTLQLSNNSLHGSIPPKLGLLRKLRNLNLSMNSLEGNIPSQLSSCSQIEILDLSSNSFQGAIPASLGKCIHLQDINLSRNNLQGRIPSAFGNLSKLQALVLTSNRLTDEIPPSLGSSFSLRYVDLGNNDITGSIPESLANSSSLQVLRLMSNNLSGEVPKSLFNTSSLTAIFLQQNSFVGSIPAIAAMSSPIKYISLRDNCISGTIPPSLGNLSSLLELRLSKNNLVGSIPESLGHIRTLEILTMSVNNLSGLVPPSLFNISSLTFLAMGNNSLVGRLPSDIGYTLTKIQGLILPANKFVGPIPASLLNAYHLEMLYLGNNSFTGLVPFFGSLPNLEELDVSYNMLEPGDWSFMTSLSNCSKLTQLMLDGNSFQGILPSSIGNLSSNLEGLWLRNNKIYGPIPPEIGNLKSLSILFMDYNLFTGTIPQTIGNLNNLTVLSFAQNKLSGHIPDVFGNLVQLTDIKLDGNNFSGRIPSSIGQCTQLQILNLAHNSLDGNIPSIIFKITSLSQEMNLSHNYLTGGMPDEVGNLINLNKLGISNNMLSGEIPSSLGQCVTLEYLEIQSNFFVGGIPQSFMKLVSIKEMDISRNNLSGKIPQFLNSLSSLHDLNLSFNNFDGVIPTGGVFDIDNAVSIEGNNHLCTSVPKVGIPSCSVLAERKRKLKILVLVLEILIPAIIAVIIILSYVVRIYGMKEMQANPHCQQINDHVKNITYQDIVKATDRFSSANLIGTGSFGTVYKGNLDRQQDEVAIKVFNLGIYGGQRSFSVECEALRNIRHRNLVKIITLCSSVDSNGADFKALVFQYMANGNLDTWLHPRAHEHSERKTLTFNQRINIALDVAFALDYLHNQCASPLVHCDLKPSNILLDLDMIAYVSDFGLARCLNNTSNAYEGSSKSLACLKGSIGYIPPEYGMSEVISTKGDVYSFGVILLEMITGSSPTDEKINNGTSLHEHVARAFPKNTYEIVDPRMLQGEMNITTVMQNCIIPLVRIGLCCSVASPNDRWEMGQVSAEILKIKHIFSSIHGV >KN539721.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539721.1:45571:49381:-1 gene:KN539721.1_FG003 transcript:KN539721.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSALSQNVAWVLYLCTFFCSILLAICNETEYDRQALLCFKSQLSGPSRALSSWSNTSLNFCSWDGVTCSVRRPHRVIAIDLASEGITGTISPCIANLTSLTTLQLSNNSFHGSIPSRLGLLSELNNLNLSMNSLEGNIPSELSSCSQLEILGLWNNSIQGEIPASLSKCIHLQEINLSRNKLQGSIPSTFGNLPKLKTLVLARNRLTGDIPPFLGSSVSLRYVDLGNNALTGSIPESLANSSSLQVLRLMSNSLSGQLPKSLLNTSSLIAICLQQNSFVGSIPAVTAKSSPIKYLNLRNNYISGAIPSSLANLSSLLSLRLNENNLVGNIPESLGHIQTLEMWALNVNNLSGLVPPSIFNMSSLIFLAMANNSLTGRLPSDIGYTLPKIQGLILSTNKFVGPIPASLLNAYHLEMLYLGKNSFTGLIPFFGSLPNLNELDVSYNMLEPGDWGFMTSLSNCSRLTKLMLDGNNLQGNLPSSIGNLSSNLEALWLKNNKFFGPIPSEIGNLKSLNRLFMDYNVFTGNIPPTIGNMNSLVVLSFAQNKLSGHIPDIFGNLSQLTDLKLDGNNLSGKIPASISQCTQLQILNIAHNSLDGNIPSKIFEISSLSEEMDLSHNYLSGEIPNEVGNLIHLNRLVISNNMLSGKIPSSLGQCVVLEYLEIQNNFFVGSIPQSFVNLVSIKRMDISQNNLSGNIPEFLTSLSSLHSLNLSYNNFDGVVPRGGVFDINAAVSLEGNDHLCTRVPKGGIPFCSVLTDRKRKLKILVLVLEILIPAIVVAIIILSYVVRIYRRKEMQANPHCQLISEHMKNITYQDIVKATDRFSSTNLIGTGSFGTVYKGNLDPQQDEVAIKVFNLGTCGAQRSFSVECEALRNIRHRNLVKIITLCCSVDSSGADFKALVFHYKANGNLDTWLHPRAHEHSKRKTLTFSQRINIALDVAFALDYLHNQCASPIVHCDLKPSNILLDLDMIAYVSDFGLARCLNITANEYEGSSKSLTCLKGSIGYIPPEYGMSEVISTKGDVYSFGVLLLEVVTGSSPTDEKFNNGTSLHEHVARAFPKNTSEIVDPTMLQGEIKLVSDQGQLGICRSWIWVRLQNLEFGHDPDTKSDDRSSR >KN539721.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539721.1:65675:69121:-1 gene:KN539721.1_FG004 transcript:KN539721.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNFSSPGFLCLLYLLKFFCLLPLVISNETENDRQALLCFKSRLTSSAEVLASWSNASMEFCSWHGVTCSTQYPRRVTALDLSSEGITGCISPCIANLTYLTRLQLSNNSFHSSIPSELGFLRQLRILDISMNSLEGNIPSQLTSCSKLQEIDLSKNKLQGSIPSAFGDLTKLKTLVLARNRFSGDIPQSLGSNLSLAFVDLGGNALTGEIPESLASSTSLQVLMLMSNALSGELPTGLFNSSSLTYLNLQQNSFVGSIPPVTAISPKMYYLDLRDNHLIGTIPSSLGNLSSLTYLCLMENNLVGSIPENLGHFPTLGTLAVDVNNLSGPVPPSIFNVSSLTHLGIANNSLTGRLPSNIGYTLPNIQELILLNNKFSGSIPVSLLNASHLQKLSLANNSLSGPIPFFGSLQNLTKLDMAYNMLEANDWSFVSSLSNCSRLTELMLDGNNLQGNLPSSIGNLSSRLEHLWLRNNQISGLIPPGIGNLKSLNMLYMDYNYLTGNIPPTIGHLHNMVILSFAQNRLSGQIPGTIGNLVQLNELNLDGNNLSGSIPESIHHCAQLKTLNLAHNSLHGTIPVHIFKIFSLSEHLDLSHNYLSGGIPQEVGNLINLNKLSISNNRLSGNIPSALGQCVILESLELQSNFLEGIIPESFAKLQSIKKLDISHNKLSGKIPEFLASVKSLINLNLSFNNFYGPLPSSGVFLDTSLISIEGNDHLCARAPLKGIPFCSALVDRGRVHRSLVLALKIVTPVVVVIAILCFLMILSRKRVPLNSRKSMQFKPHLRLFNGDMEKITYQDIVKATNGFSSANLIGSGSFGTVYKGNLEFRQDQVAIKIFNLSTYGAHRSFAAECEALKNVRHRNLVKVITVCSSVDSTGADFRALVFEYIQNGNLQMWLHPKEHEHSQRNFLTLSQRINIALDIAFALDYLHNRCATPLVHCDLKPCNILLGLDMVAYVSDFGLARFLSTRSNSDQDSLTSLSCLKGSIGYIPPEYGMSEERSTKGDVYSFGVLLLEMVTSISPTEEIFNDGTSLRDLVASNFPKDTFKVVDPTMLQDEIDATEVLQSCVIPLVRIGLSCSMTSPKDRCEMGQVCTEILRIKDALSKIDDE >KN539721.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539721.1:14650:15933:-1 gene:KN539721.1_FG005 transcript:KN539721.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRDDLAGAMWNNRGSSRADSGLGPLDGTIGDGIAAGPSGVGAEGPGIAARASGVGPTGEDSTSDKKRKRASALNEGEVALISNMTNLVNNMASAIGATAHTEVHPDLCNTVMDLPGFSEDQLDLVLAYLTKEKAESLVYIQKNEARRARWVRKFLNEHHPESI >KN538851.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538851.1:212525:212857:1 gene:KN538851.1_FG001 transcript:KN538851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSLINGTNGGTGLSARRRMQDTGVASRGFGDGSARRLTALGGGDLRGSRDGDSAGCDDDDGGCGPAAAPDGSGGFARQWLGGSFVLPSIDAVPKAQAAAEQDGLDGR >KN539721.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539721.1:53075:56531:-1 gene:KN539721.1_FG006 transcript:KN539721.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFSSPGFLRLLYILKFFCFLPLVISNETENDRQALLCFKSQITGSAEVLASWSNASMEFCSWHGITCSIQSPRRVIVLDLSSEGITGCISPCIANLTDLTRLQLSNNSFRGSIPSEIGFLSKLSILDISMNSLEGNIPSELTSCSKLQEIDLSNNKLQGRIPSAFGDLTELQTLELASNKLSGYIPPSLGSNLSLTYVDLGRNALTGEIPESLASSKSLQVLVLMNNALSGQLPVALFNCSSLIDLDLKHNSFLGSIPPITAISLQMKYLDLEDNHFTGTIPSSLGNLSSLIYLSLIANNLVGTIPDIFDHVPTLQTLAVNLNNLSGPVPPSIFNISSLAYLGMANNSLTGRLPSNIGYMLPNIQELILLNNKFSGSIPVSLLNASHLQKLSLANNSLSGPIPFFGSLQNLTKLDMAYNMLEANDWSFVSSLSNCSKLTKLLLDGNNLQGNLPSSIGNLSSSLEYLWLRNNQISGLIPPGIGNLKSLNMLYMDYNYLTGNIPPTIGYLHNMVILSFAQNRLFGQIPGTVGNLVQLNELNLDGNNLSGSIPESIHHCTQLKTLNLAHNSLHGTIPVHIFKIFSLSEHLDLSHNYLSGGIPQEVGNLINLNKLSISNNRLSGNIPSALGQCVILESLELQSNFLEGIIPESFAKLESIKKLDISHNKLSGEIPEFLASFKSLINLNLSFNNFYGPLPSGGVFLDTSVISVEGNDHLCARAPLKGIPFCSALVDRGRVHRKRVPQNSRKSMQQEPHLRLYNGDMEKITYQDIVKATNGFSSANLIGSGSFGTVYKGNLEFRQDQVAIKIFNLSTYGAHRSFAAECEALKNVRHRNLVKVITVCSSVDSTGAEFRALVFEYIQNGNLQMWLHPKEHEHSQRKFLTLSQRINIALDIAFALDYLHNRCATPLVHCDLKPSNILLGPDMVAYVSDFGLARFICTRSNSDQDSLTSLYCLKGSIGYIPPEYGMSEERSTKGDVYSFGVLLLEMVTSISPTEEIFNDGTSLRDLVASNFPKDTFKVVDPTMLQDEIDATEVLQSCVIPLVRIGLSCSMTSPKHRCEMGQVCTEILGIKHALSKIDGE >KN539721.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539721.1:1102:4595:-1 gene:KN539721.1_FG007 transcript:KN539721.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGVLSSGIVWLCLSIIFMILPIAISDEHENDRQALLCFKSQLSGPPGVLASWSNASQEFCNWHGVTCSTPSPRRVTAIDLASEGISGSISPCIANLTSLTMLQLSNNSFNGSIPSVLGLLGQLNNLNLSMNSLEGNIPSELSSCSQLEILDLSNNFIQGEIPASLSQCNRLKKIHLSKNKLQGRIPYAFGNLPKLEKVVLASNRLTSDIPASLGSSLSLTYVNLESNALTGSIPQSLLNSSSLKVLVLTRNTLTGEIPKPLFTSSTLTDIYLDENNFVGSIPHVTATPLPLQYLYLGGNKLSGTIPSSLGNLSSLLDLSLTRNNLTGSIPDSLGHIPTLELLNLNVNKLTGHVPSSIFNLSSLKSLAMANNSLTGELPSNLGYTLPNIKTLILSNNRFKGPIPPTLVNASNLKSLYLRNNSLTGLIPFFGSLPNLEEVMLSYNKLEAADCSFISSLSNCSKLTKLLIDGNNLKGKLPRSIGNLSSSLKWLWLRDNKISGHIPPELGNLKGLEMLYMDYNLLTGNIPPAIGNLNNLVVLAMAQNNLSGQIPDTIGNLVKLTDLKLDRNYFSGGIPATLEHCTQLAILNLAHNSLDGRIPNQIFKILSLSQELDLSHNYLFGGIPEEVGNLINLKNLSISNNRLSGNIPSSLGKCVALESLEMQSNLFVGSIPKSFEKLVGIWNMDISRNNLTGKIPDFLSNFSLLYDLNLSFNNFEGEVPAGGIFRNASVVSIEGNNGLCARTSMGGIPLCSVQVHRNRRHKSLVLVLMIVIPIVSITIILLSFAAFLWRKRMQVTPKLPQCNEHVFKNITYENIAKATNKFSSDNLIESGSFAMVYKGNLELQEDEVAIKIFNLGTYGAHRGFIAECETLRNVRHRNLVKIITLCSSVDATGADFKALVFQYMQNGNLDTWLHPKSQELSQGKVLTISQRVNIALDVAFALDYLHNQCATPLIHCDLKPSNILLDLDMVAYVSDFGLARFVYNRLTAHEDTSTSLACLKGSIGYIPPEYGMRKDISTKGDVYSFGILLLEIITGSRPTDEKFNGSTTLHEFVHGAFPNNIYEVVDPTMLQNDLVATDVMENCIIPLVKIGLCCSVPLPNERPEMGQVATMILEIKHAASNRHVRLS >KN539721.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539721.1:28889:29263:1 gene:KN539721.1_FG008 transcript:KN539721.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADSSAASAFPASASAGDPPAAPVAVPGGNLPAAPVVNPPAAKSPAAAPDPPMDPSDEGRYFPTHRLPEYLKNHP >KN539721.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539721.1:74408:76855:1 gene:KN539721.1_FG009 transcript:KN539721.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRMATTSGLRHFRQFRFPHQFEGNDQQGLQQQQQNNQADMPQDHLDGNNFNNNFQQHLAASVVSGLLPPQPDECIILLNDLHLQQKLPITSALILVWSVYSISKLRGKKGTMRLYMGFTAQE >KN539721.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539721.1:31990:35490:-1 gene:KN539721.1_FG010 transcript:KN539721.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGVLSSGLVWLCLSTIFLSLPLAISDEHEDDRQALLCFKSQLSGPTGVLATWSNASQEFCNWHGVSCSTRSPRRVTAIDLASEGFSGSISPCIANLTTLTRLQLSDNSLYGSIPSEIGQLGQLNNLNLSMNSLEGNIPSELSSCSKLEILDLSNNSIQGEIPASLSRCNHLKYVDLSKNKLHGRIPSGFGELPRLEVIVLTTNRLTGDIPASLGSSLSLTYVNLESNALTGIIPESIGNSSSLEVLVLTSNNLTGEIPKPLFNSSSLTAIYLDENSFVGYIPPVTATSPPLQYLYLGGNKLSGTIPSSLGNLSSLLDLSLTENNLIGSIPDSLGHIPTLRLLSLDTNNLTGHVPSSIFNLSSLKIISMVNNSLTGELPSYLGYTLPNIEALALSNNRFKGSIPPTLLNASHLSSLYLRNNSLTGLIPFFGSLPNMEKLMLSYNKLEADDWSFMSSLSNCSKLTKLLIDGNNLKGNIPSEIGNLNNLVVLAMAQNNLNNFSGGIPTTLEHCTQLEILNLAHNSLDGKLPNQIFKLATLSQELDLSHNYLFEGIPEEVGNLINLKKLSISNNRMSGNIPSTMGQCVVLESLEMQCNLFTGSIPKSFVNLAGIQKMDISRNNLSGKIPDFLANFSLLYDLNLSFNNFEGEVPAGGIFRNASVVSIEGNNGLCATTSVEGIPLCSVQAHKNRRHKSLVLVLVIVIPIISIAIISLVFAVFLWRKRIQVKTNFPQYNEHRLKNITYEDIVKATNKFSSDNLIGSGSFAMVYKGNLELQEDEVAIKVFNLGTYGAHKSFIAECETLRNVRHRNLVKIITLCSSVDSTGADFKALVFQYMRNGNLDTWLHPKAHELSQRKALTISQRVNITLDVAFALDYLHNQCATPLIHSDLKPSNVLLDLDMVAYVSDFGLARFVCSRLTAHEDTSTSLACLKGSIGYIPPEYSMSKDISTKGDVYSFGILLLEIITGSSPTDGKFNGSTTLHDFVARAFPNNIYQVVDPTMLHADLDATNLMDNCIIPFVKIGLSCSMSLPKDRPEMGQVASMILEIKHATSNRHDRLN >KN541164.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541164.1:2271:3633:1 gene:KN541164.1_FG001 transcript:KN541164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRSAGVQGKLAGDGTIRRPAQRRRKFSSDVWVNSSSPFEYIFDDITGEEKAMCINCGLCMSAKSKNGTSHLRRHLETDGCKKKRQQGPISPAADSAAGPSPAGDGDQQQEAVDEDDDDAFVASICACYDKLLADDLVDVVKRNDVQQMPPVPSLTMTRFFGKRRERYYYYNRKIMMKVTR >KN541164.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541164.1:25837:26439:-1 gene:KN541164.1_FG002 transcript:KN541164.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLIYVIDELMMTTDVHFVFIVPILRVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFLKPELFVLIANFATTI >KN541164.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541164.1:17246:20073:1 gene:KN541164.1_FG003 transcript:KN541164.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSPSPDTHHRLLRPPPSPPLQPSPPANLSSPTLEVFQPASSEDPGVEALRPFRHGKQAILFPSLPDLSSHRSSLLERNSERLDPGRDNVLNALNGTDGSTRQVFSISDAVVCESSHDNCSLGNREKSRFPVHARLTRSFKSRLGAPSLRSTATGRLLKSGYPEDFFVTFSHAEDRDLILTSPRNTHACPDGCGGGEAVSPKCAMHYIEEYSRRGNDNSTFDVWVYTNDPRSILKMAWFGLPNPDMEPIPRDMPLPEFNPMHNPPPPMLYNQYEWSFGVYDEVSRSRGPPLPIPCRPGMNPSRDQDDPDDTEDDHRPRHRSRAQSVWERLFSSRARNREPRSERGFFQATGRGRNRKRIASRGSGDLTVKDDDVTQGCGDDPMEVQVAINYSPEGDGSRKACPEYTPLATGLDAVQETSIDMVDQLVNSLSTPAKEEP >KN541164.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541164.1:13280:13723:1 gene:KN541164.1_FG004 transcript:KN541164.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MECEIRGGAGEDEHEVDLVSNCVLLTPVVGVVVAAAVDPSSSPSLLLDRAADWGDLAPPSLDPATVTAISIAGSSAALPAPWSLSPQGLVVIFLAVTVASSSESSVESWRVAQRMAEARDHLEWPVLLDPVTSFSSLSLHSFRGVSV >KN540292.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540292.1:7540:8017:-1 gene:KN540292.1_FG001 transcript:KN540292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEELILLFGEDLEDDGHMMCPSDADNSDDNHGIENTPIGMSHENVHGFACCWAGKEQVVDSPPKRKGKKTFAEYQLQRICEGVAERSESSSFIKGVVPRRKLTIVLRSWQRMVSIGLQSHILRPPNCCAA >AMDW01038776.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038776.1:397:852:1 gene:AMDW01038776.1_FG001 transcript:AMDW01038776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLRLNITHLTLRKALPGL >KN540292.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540292.1:26614:27242:-1 gene:KN540292.1_FG002 transcript:KN540292.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFAAEIFPRCESKGDVALCAIALAGALLMGPILGLAMTACAAADDEAAARIPSRYTRSEENMGRAAIMAVALLGLYVIYLAAVRGGDSGRFLDAACYGMMGLGLIVGHSVTWIEGCFLRRD >KN540292.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540292.1:15511:24180:1 gene:KN540292.1_FG003 transcript:KN540292.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMELPEYNRMLWLDKPTEHGTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRDGYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVREYDSAKRSRSRSRGRSHSRSISRSRSRSRSISRSRSRSYSRSRSPRSRSASRSHSPVKERSRSASQSRSPVKERSPSRSPSPATSPPREKSASKSPVKSRSLSRSPSPVRDINQKLCIFRLLYMLSVLLAITNLSDLVAHGARTSCYTYSTMNSAIF >KN540292.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540292.1:14040:15143:-1 gene:KN540292.1_FG004 transcript:KN540292.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEALRSVKLAETALASGDRQRAEKFLRIAQRLDPSLPIDDMLGTPKKYDTLNGAARQYRARSGEVGESQNLRKESVGPSNVDKGYTEENVRVVRNITKNKDYYAILGVERSCSVEEIRKAYRKLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGAIEDHEFNYQYSNVMRQRTTRRQRQARSSFYGYEEDLDPDEIFRSFFYGTHDNMFQSRNAYRARGTVRQQQQQRREHPIQGGSGINLTMLVHLAGVLFFILFAFIPARHPEYSLKRTSYFSISKVTEKHGVEYFVSKQEFDQQFPRGSSSRDNLEQYVFKDYKSMLGRFCHVELQRRQWAKDYPTPHCDKLRSLSVA >KN540292.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540292.1:48693:51799:1 gene:KN540292.1_FG005 transcript:KN540292.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGMGGNGNDADDKARDVTDQSKALGGNSCEDRALPSALRVTVSGDPVGTFGSFGNMADDNVYLQPDGGDDHGDSTECSSSFGPSCSAASDDDDMKSDMMDGMEVDSPFLGPTRTGADRASSAPRMVRLILFQTETGDSRVEKDCWANNVAMPVVGVAYEESLITENKNSGVQTDGPLVNGGFDSSVVEDIENTDDALVENDRVFEQYSLREILLTVDDVQSRILSLQGRLSNARSKYKKLSQCLDRKQIKVPQKIQKVQNQMTCCKKDGRRSHQKTKCMHTLLQKDDLDRSLAVVPPVFGRSTDCVLECMKKNDAQEDAVQSDPNGITIEMFCGKDNFLSNAHVGELYKESADDALIDNQAAKEEGYQLFEKVKPEEHSELVMPPSKVQKASADIMDYEQVQETAPVAKQIVSGDKRGQKPNKKHGLPVLAKKIKTEKDPGNMKNEKPVLVAVDPRRSTRVRKPKTY >KN538688.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538688.1:402188:404612:-1 gene:KN538688.1_FG001 transcript:KN538688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPPPFAPSPFGDLGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRTDLLPDLIRALKALRLRALKAEITTLGGRVKNYCFVCVNLGAVYLHGISQQSIQGHTLCSSGLA >KN538688.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538688.1:331534:342596:-1 gene:KN538688.1_FG002 transcript:KN538688.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPLFRMMTPPPPRPQRGDGEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQKLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPSVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKSEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERKIDETTTLKDLDLQYAFRLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDYSVSKIGISQHIAFPKSTGKRKSFEGGSSFCELCFVESGCKDRDLIDSRKPFIQLGINMPAQAERSSKKILDNFLLNERHLFITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALDAKPKTKAAKRNERRKEKRQQASSTNDKGKGLHIEDDAGETDNPKDAVDSVTKQISGIAISESPIRLAEAQVQGDPENLKPEQLEKMKKIEGWKEELKLLENKSSPAAS >KN538688.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538688.1:286236:286543:1 gene:KN538688.1_FG003 transcript:KN538688.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGAFDMRGKAADRKVSSSTLKNTNSQHSLSRSHHKLQKAKGLQVMQIIGYAARIREQ >KN538688.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538688.1:452303:453751:1 gene:KN538688.1_FG004 transcript:KN538688.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQEPLLQEVPQPRRTGAALIVGATGYIGRFVAEACLDSGRDTFILVRPGNACPARAASVDALRQKGAVVIEGCVGGKEGRKSVEAALRARGVEVVISVMGGASILDQLGLIEAIRAAGTVKRFLPSEFGHDVDRARPVGAGLRFYEEKRLVRRAAEASGVPYTFICCNSIAGWPYHDSTHPSELPPPLDRFQIYGDGDVRAFFVAGSDIGKFTIRAAYDARSINKIVHFRPACNLLSTNEMASLWESKIGRTLPRVTLTEEDLIAMAADDIIPESIVASLTHDIFINGCQTHFYIDGPRDIEISSLYPDIPFRTIDECFDDYIHVLNLAEEAKEEEEKKNAPTVGRLAIPPTCA >KN538688.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538688.1:281532:284494:-1 gene:KN538688.1_FG005 transcript:KN538688.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKSEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIK >KN538688.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538688.1:454993:463277:-1 gene:KN538688.1_FG006 transcript:KN538688.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQGLALGLLLVCLLVGSDVAAAATYNVDWSFGADSWSKGKNFRAGDVLVFSYDPSVHNVVAVDAGGYSGCRESGTNSWHPRSAAAASALLPCASAVRPFVLVLSRDDFLKDTAGAHPSLPSADADSDEWDDFDDESPATDPLLSPSSWVPLLDPASASPSGDEPDSPSDALFVAGVRAMLSAASAGDDAAFATAAAQIEAAATGGHPGAQSALAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMALAYSFFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNTVAMHKLGLLYYYGLRGVRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQQYSAYNGLGYLYVKGYGVEKKNLTKAKEFFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNFFLRAVNAGQPKAIYQVAKLFQKGVGLKRNLQMAAVMYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGDESICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDPAAKLPVMLALTSLWIRKNYDGSFLVHFIDSLPEVYPVVEEWVEDVLMDEGNATIFTLFACLVTVLYLRERQRRQAAAANPQQPDGAPN >KN538688.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538688.1:446502:448667:-1 gene:KN538688.1_FG007 transcript:KN538688.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYVKTLKGRIISLEVARSDTVASVKDKIYAERGIHPRDQRFVFNARQLDDNQSLADCNITHNSTIHFVFGIPCFYATPAYEQFNRLPRSESSDSSSTSKGDSSDSSSTSKRDIAPANVKTVHCPDCQVQANVYYCNTEDDNEGCVFYRCPYFSAGGCQFVQCADTVDEGLQKRVEHATQETSCPQGNNMSLMEERLQRILDHMKWMEQFLIAQATVNKDYNDYRCSSGNKELLQLCTIKHKLHRK >KN538688.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538688.1:429161:431716:-1 gene:KN538688.1_FG008 transcript:KN538688.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPYRRSRDRVAAHRPIEDLFGDSKALVAASDVVTGSAIAESYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVAATSEAKVADAINAAMAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPDDIYDQIAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKAPEGLLEKVTMDDVNIGLGYVCVRNRIGEETYDQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQATIIAKCLPDIVKQINDRLSRHSSELDQMPPDLNNVADAVRAFFHIVKQVCASLVKVLVRGEFDEFPDDRHFHGTARIADKMDGYKRRLPAECPKSIDDDALFLMEEVRVLEETKGINLPNFLPRSAFLVLLKKKVETVMHVPHELVNEVWGYVEDVVMNILLKHSENFPQVQPSCRRAVQTLMDKARARSAQHVKELIEMELVSDYTANPDYMRTWTEIMEGHDTFMEAVEDGSKPARITLEGFGEVDVSHLRAHAGLAGQAFDLRARLTAYWRSIVLRLVDGLALHVLRGVKRLVEHDLEAELADELLGNKMAGVERMLAPSPSTGTKRERLKKSIVLLRQSKEVVANIMDRISAAGEV >KN538688.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538688.1:326807:330053:1 gene:KN538688.1_FG009 transcript:KN538688.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAVCVVESTWDILRSFAPEEDSHAHAPASRSGGDSACQDAGEEEEAAAAVLTLEELRLGETSEEFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGMLLGSGSFGTVFEGISDEGVFFAVKEVCLCDQGSNAQQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNVLKSCKGTVYWMAPEVVNPKTTYGPEADIWSLGCTVLEMLTRQLPYPGLEWVNAVDKVYEDIFTLKFIGARHKWIELRTASEYRWNDISSANHVELAGEDDSLPGSNIVNSTVVNPNHCVSFVDSFS >KN538688.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538688.1:289191:289671:-1 gene:KN538688.1_FG010 transcript:KN538688.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAISDRVDLRITGDVGAKILVLGFSSPKVQSEEAVTDIQAMWRGWPKRLAATTTTTTPSQQQKKKMQKA >KN538688.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538688.1:382360:386339:-1 gene:KN538688.1_FG011 transcript:KN538688.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSADEVKKQYRKLSLLVHPDKCKHPKAQEAFTALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQYERSEEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEKWEETRDQRVSSWRDFMKTGKKARKGEIKPPKLKTEDPNKSYVQRPVKRA >KN538688.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538688.1:424073:428442:1 gene:KN538688.1_FG012 transcript:KN538688.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRSPLLLPLLLALQQLQVELVFVVAKYCLKRSNDTVTQSPWLHAMKLQNSSLVNARRKTTSRQDEREWITYKKAIDAIVGVGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSIYSVNSQLDRLSTISSILLQGRRNYVFLGIDDTMSIGIRYPANLFGHPTDKRIDAVNSELQYWSNHSNVPITKVVFGHYPMSFTTSSQKGQRYESIFAKQSISAYVCGHLHAKISKQLWRFHEISATTQEQKSSYWEWELGDWKESRLMRILAIDGGAISFIDHTLKQAFQTSILITYPTDSRSMNTLESMNWSTRNDINVLVFSNQVIRNVSARVFDSHNEFKIVEEIPLQLVATPSVHKPLFHAEWNAENYKSPSPTRYWLQVFAQDSQGGKTSSERRPFSVEGKVSIQSRPCLNYLIFEVQWEDMYQVLLWSNLAFIIVLLFAPKLLYHFMKKSLTYQRWTVSVMPSPIQPRKAYFWLVWFLMEGARSRPIWFSLLIYVIWLIEMPWFWGHATSEKGEIAQMYLSGWSIPSLGEDLTWNKSSNPDVLVITLPFLYLVVVPVVVVIYSLFAEKAVACLRHSRRTENTVNPTNSNPESGYLLPSASVSLANLSDKKILSMEGAPIVVLYHCGDTFEAFLKANVSLWSQTSSLFSSSNVDAVVIIKCYCLLYNAQHILELSAIFEARVY >KN538688.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538688.1:433429:436751:-1 gene:KN538688.1_FG013 transcript:KN538688.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNESELALSWGPMARTFSLKRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKAAEAEEDGARWLRSVLCGAHAAEISGLGYCLHHGRLAEAGDMCEACLSSSKEERTQDAGEESAMACSCCYAVVKTSSPKLSDTGEGHREKKATEEEKDQCYAPLAQEEHGEEEDQHEEDDQGYVLLAQEEHDEEDEEEHGEFQEEEQQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLVSVAAIDEMTIADESGLHQACCEKEKEMDHIDGEHELRDLDIVVVLEEKRMLDSSAATADVAIEDDFVVPVPCAEPVTSSSDPHENIITHDNELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVIEEVSEDDNQLSSLVIWYASLIVFLSVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTVQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKTLSALYSELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDEYCELGESPDGSNLQSPSDAAFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADSLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAGDALAANSA >KN538688.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538688.1:443167:445714:1 gene:KN538688.1_FG014 transcript:KN538688.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVGAPSPPQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSPGSGNGVNGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLCLMTEHKEALWSTYVSTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGAGKFLSKRMKSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSKLSELERIDSFNAIERYLLREMENSRTGATESVTVCEKLKGDLRAAFSVLPKDMQQLLLSNPKRALLLQGSNEKVPGLDGIVSQTSL >KN538688.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538688.1:346156:355013:1 gene:KN538688.1_FG015 transcript:KN538688.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRPARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMEIILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRVRNISWLTIIADILTAIRAIPPAHADVLEKQKDILDTVMNIYIKTMREDDDKEVVAQACTGLADIVRDCGFAIIEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFTKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >KN538688.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538688.1:298929:301446:1 gene:KN538688.1_FG016 transcript:KN538688.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAEKREAEQEELQQQHDEPAVPSADDDEAEAEENERRNRELKAGFHPLRRRFVLWYTRRTPGARSQSYEDNIKKIVDFSTVESFWVCYCHLTRPVSLPSPTDLHLFKEGIRPLWEDPANRSGGKWIIRFKKTVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >KN538688.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538688.1:392585:392856:-1 gene:KN538688.1_FG017 transcript:KN538688.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLELAPRCSVLLLLLLVTASRGLNIGDLLGSTPALLVIDQLIDFRLWLCLFSAQKDQGCSRTCESQFCTST >KN538688.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538688.1:303623:317403:1 gene:KN538688.1_FG018 transcript:KN538688.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGGEAGGAGLPPLAAGDGTPEKVKKRGRPRKSEAGKKPSSNRETTGLEQDSKDEVILVDESPQKKQRKGRGKNQGAALKVPNQKHCKALESTDGHESCQQLRNSQTQAVLPQTSPTSVDIDLMTGPSEASPVNDNVDALENEDKPQLIVDLRSEAKIAAEENRRLSSGKKMHPFFASRKIHKGAGQDILNVEDEDMDSLRAFERDPPLCPVHVLYELEIDVDDECLLTSSSCFHASLFESKQHERVQHELPEVTPKGCQTANLWTDKYRPETAAQVCGNTEHVKFLSEWLKGWDERGHRNKQNIVTNGSMNGRSCQDGSDTDYSEDASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVFFWNSIFVYLVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEIIGLPISDSLDPASGTPGTAEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPPLPHLLAQLVLDFTYPSSAELLSHVDMICKYEGVEITVPQQKHIIDAFLERLNKCLSCPSLLDLDAVHSTVPRIMPWDFPCKLSETIYMEIDKTIVTAEEKKKQMEVSEFEGLELQIMTPLTKGRSAGKTRKPKKSKLKRGRSADCNDASPCKNDLDDFHDSPDIPLPSNHQRMRNRRGVVLFPESDDDLADAHTAKDATFTVQESRLLPQSSELPCLYGHGISNIVPESAFFQQSSVPHLHREVISNQLCFPSESRAFEPASSFQNQLESNMPGSISQICDTFMSQGISCVPESSFMVGGTSASISSDDLLSSLVSNGLSALRNESTYTASVVALEDTNKVENQMTDEPQKCMEDEVGETCEAYVELADRNDHASCSITGYQLMDECSRAESVWLLSGKKNNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNRSVSGALKRVSRVSDLISESDLMLTSCHPFSNDISDPSLTPYTESDGFSFSKQLEMGSIYAQHGLCIFLQDSQATNDGFVDLSQELLFSGTTTTSLGKFVSSGISCGDGSGNISLIKYPTSCISKRREQQARLREVLLPVVPPKLSQSLRGPAFVDYLSSMSQISQLENMQLSECKASSKQRRCRQPRHYLSSGALSLSAEDIELLAQCSTFSDRRESETIIEQAIS >AMDW01034697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034697.1:5:502:-1 gene:AMDW01034697.1_FG001 transcript:AMDW01034697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNVVQA >AMDW01047874.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047874.1:34:198:1 gene:AMDW01047874.1_FG001 transcript:AMDW01047874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDRETLKRYRKKSSYWFADFLKR >AMDW01035275.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035275.1:49:443:1 gene:AMDW01035275.1_FG001 transcript:AMDW01035275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLASKSPVPVNTKEHGENAKKPAN >KN539423.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539423.1:80718:86081:-1 gene:KN539423.1_FG001 transcript:KN539423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDVLQKIKAEHDATLAFRRSCREGICGSCSMCIDGVNTVACLRPVDTDTSSATTVTPLPHMYVVRDLVVDLTGFYQQYKSVEPWLKRKTKTNTARRREYAQSPEERKRLDGLYECILVFPVRSNHSRGYSSEGGSKYNRPMRQFAEENEANPQPLIYYVVPSALLVFAGLVTFVHYNDEKRAVTQEAKQTSVPKRCTTNRPAIGGPFKLYDTENNEVTESKLRGNWTLMYFGYTSCPDIGPAEVQKMADVVNLLESKYGTKITPLFITIDPQRDSPAQLKAYLSEFDPRIIGLTGSINAVRQIAQEYRVFFKKVDDIGQDYLVESSHNMYLLDPCLETARCFGAEYEASDLAEAITMEIQKASKSSTN >KN539423.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539423.1:2340:2920:1 gene:KN539423.1_FG002 transcript:KN539423.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRDFAKRYEAECRHLNQFFSGNSSPNNARPVLEIFTARSSQEMKQICRAYSSMYRQDLIQLLSQQKTTFAVILPSY >KN539423.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539423.1:58246:60034:-1 gene:KN539423.1_FG003 transcript:KN539423.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEGHPVSMASCAVGDHHWMLSRDALVARLDARVFVFQMPGFFYAVVVPSDAGAERKCATLAEIFSRFCSYHDLSTTQQGEDEAGGDMNQHSNPWVLMLGHSVSCSASNNIHEIGV >KN539423.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539423.1:46848:48566:1 gene:KN539423.1_FG004 transcript:KN539423.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPPPAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAVKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETTASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >KN539423.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539423.1:7613:12691:1 gene:KN539423.1_FG005 transcript:KN539423.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSKQDEDTALLICKDRLRHIEQAIDARYALSAAQLAYEQSLRGLGIALRQFVEAHKDDDDIERYPRSSCAVVQSSPPHRSDVNHMKSETSNSLTVTINTSLGSVQKEQSVTAFLPPPLQLEFCSSWDFFDPTVVSENVASDASVNSQTFELRTLEDLSNPNEMGLASSIGNTSEIVEVQEVFGAPGWKQVHKNGNLPDLHHSNSNEIQMSGTHLPNDSSLEEELDQVQTQAIGGQNSNDVSDNIKSEANHINVNAPKNEDAKAIFITDSDSSKDFLSCVKDLERQFSRAAVSCHEVSRMLETKKIRLSISSQTKGKSSDVLFRPTFLIGCKAGTAASDGSEKRVTKAITWNRSLSSRSSASKNPLTPAQMDDEFSEICSDFVEEFCMISGSHASSLDRLYAWEMKLYNELKGTESLKKIYDKKCVQLRHQFERDASARQVDKTRVIVKDLYSRLKVGTEVLYSISKIIEKLRDEELQPQLLELLKGLTRMWAMMHEIHRVQQTIVSSSDIVYVLRSPRGEPYKQPLVNLVNEMGFFYSSLTNWIVAHKRYVDGLHSWLQKCVLQPYDHTRGRRLTLSPRRHLAPPMFVLLDDWSSAIASLPAEETLGSIKNIMSDLKKMFKNHQAEGNKPETGSKLATLQAGLATMFDQLSKFSIAMSSLSESVKNSTEAAREAYAVGRSGHAAE >KN539423.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539423.1:71353:73360:-1 gene:KN539423.1_FG006 transcript:KN539423.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQVLMVAVVSSVQLAAIQNGVGVAISSSFVLLFCPVSCSTSYKCLGDGDWMVRRDDNYNKEQHWQDEGKLVGNASDGWGATLDLGPKCCCIVSEYALIKLITPFLTKARIINEIIIDWRLKFAALYWQRSPLRILVALFMLIGSRTDKLWQTCNGSEEYSTQTENSVT >AMDW01039591.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039591.1:256:932:-1 gene:AMDW01039591.1_FG001 transcript:AMDW01039591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECRDLIARIFVANPATRISIPEIRNHPWFLKNLPADLMDDSKMSSQYEEPEQPMQSMDEIMQILAEATIPAAGSGGINQFLNDGLDLDDDMEDLDSDPDLDVESSGEIVYAM >KN539438.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539438.1:71538:80641:1 gene:KN539438.1_FG001 transcript:KN539438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGPGRWYLGIWFTVSPDAVVWVANRDRPLSGQSSGVVAVSGARGGLVLLDVASNETSIVWSSSNSSGTAARAQLFDTGNLVLTDKDGNVLWQSFEHPTNTFLPGIRVGKDLRTGAEWSLSSWRGADDPSPGDFRYVMDTSGSPELHVWSRGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFEFRVATGGEVSYQFRNRDGSPMSRVLLNESGVMQRMVWDRSAMSWSNFWSGPRDQCDNYGRCGAFGVCNVVDATVCGCIRGFAPRSPAEWYMRNTSGGGGGGGEDGFYLLRGVKLPETHGCAVDAAATLEECRRRCLSNCSCTAYAGADIRGGGSGCIQWFGDLMDTRFVDGGQELYVRLAKSELGAIQQLQTNANSMGNSYNAIKNTNFAVVIALVITGFVLAILSLGFLMWRKARRGKKVRVLDETGDFITGCPTYPFEIIRAATNGFSQENEIGRGGFGIVYKGQLPNGQEIAVKKLSKENTVQGLKEFMNEVDIILDTCHQSMQWMTWRLWEDGRNLELLDPAVRGECTASELEQATTSIQVGLLCVQESPDQRPPMADVIHMLSREKALGQPRRPVVCTPMRRSPAAVVDDLGVQQQTTTCGHSGRLTITDLEAR >AMDW01067216.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067216.1:5:2011:1 gene:AMDW01067216.1_FG001 transcript:AMDW01067216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HVAQPHNPQQQQLLALWAPLLLLHLAGPDNITAYSLDDTALAGRQVLTVAVQIDGAAYVLYRQIYSSSSSTAGGGDGGSGLMWVSVVMFVIGVAKYVERAVAMRQADLGSMRSSSKKSKLERRRFFFSDVREELGNEHALLIAHNLLYITKGAFVDHLDDEHPLDREAVRSEIFRHGWKEMCEVVEMELSLTYDILYTKAGVVHTWFGYGIRIVSPAVSATSLMLFWFHGKEGQRRADIFITYILMAGTILLDIRWLLRAAVSTWTYAFLIDRPCCWLHHGLPARWRVLRRFVLSLDPCRLLRKEPTCSYRMWPGTIGQYNLFRECTRDRRSWMLISSLVKKLASEEEWMEYEYHYSRGIRVSPDIRKVLFDCIWEYMKLAYPVDGISDEKVKMCSAYVESVRELDEALAFLPEFQESVLILHIATNVFYGLCIESDQNAASFKQLEAIKTLSDYMVFLIAVRPGMLPGLKLRSLYEATQQALGKIWSEQRRSCNCKRTKERCLAEILRCLEKKPGERVLKNHLYCNWRPGYRTRNREPGFISKLYDSSIILSDGVKLAEVILRWLSSGYRDNILYIKSEDKFQPIFPELMKIMKCKMYDDPTYDKRLSKLLEHIFKEWVRLLINSSVKCTRDSHAKQLSRGGELTTVVWILVEHAGVFHVDRARFAA >KN539438.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539438.1:98815:105696:1 gene:KN539438.1_FG002 transcript:KN539438.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 5 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/Swiss-Prot;Acc:Q9C551] MGRSMLPEQQEDVSRKSKKAKKSKKDKKRKLEAEAEVVVVEAAAATSTDEATKSSKKKRAKGDLGQGEEAENGGGKVVAVTGKGSADAKYAPLSSFAATALPPQVLDCCKGFERPSPIQAYAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRRKMGEKSAKKGVPRVLVLSPTRELAQQIADVLCEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGICRLNDVSFVVLDEADRMLDMGFEPEVRAILSQTASVRQTVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLVALLDKYHKAQRGWSAVSVHGDKAQHDRTKALSLFKEGSCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFGDSDED >AMDW01020851.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020851.1:98:286:1 gene:AMDW01020851.1_FG001 transcript:AMDW01020851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRGQVVYTPGQLCYAGRGYPMYPLPRCRALVKRQCAGGAVDEQVRQDCCRQLAAVDDSWC >AMDW01035374.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035374.1:111:516:-1 gene:AMDW01035374.1_FG001 transcript:AMDW01035374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSE >KN538699.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538699.1:283014:286294:-1 gene:KN538699.1_FG033 transcript:KN538699.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVVLLKNSLATCRYPKKSHSILIASGADDIGSQAAAEVVNVVGSDEDGASLFGQRQQRHVVGFAVLEEDEGLAHSLLRWVTIVFLAQLSNEVEVCSNNGPLAPHRTVLSIGLRLKLAHDRTALLFSMHGRFRGLGLRGGGGGGGRRSHRGGAAARTPSRLASGIAARRHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHRRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVTIDISGVSMVTTFLAEVPLAKSLTGVLKICGQDWLLLVNCHIITSPMLFLSPSRFVTALSTLPTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQPVISFNPMIPLMLNLSGMKFDCVLQTMIQAKIFLLISIFGDE >KN538699.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538699.1:243512:247244:1 gene:KN538699.1_FG034 transcript:KN538699.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVKFKEEVLVDDILQGMTKLVSEMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASSEDLTTYMSHERHQEFAGTTLVTCTLGIDPREKAKQNSEFQIVKENQYQRSQLQQLSRTVCMRCINRARDFDGTVQELKLWLPFVELQICSTRIETTKFSQWRQTVTRAVNRFDLLEKKKKKKMAVVRVAT >KN538699.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538699.1:195253:197816:1 gene:KN538699.1_FG035 transcript:KN538699.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWGARCAGLAFFAFSVCLAALGVVLLVARRWPWCSCHVCRAYLTGSWAREFTNLGDWYAHLLRRSPTGTVHVHVLGCTVTANPANVEHMLRTRFDNFPKGRPFAALLGDLLGDGIFNVDGHAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPVLADAADRGAVLDLQDVFRRFAFDNICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWRAKRFLNVGSERELRKAIKFLRDIVVSFLLAGRDTVSTALTTLFMLLSKNPEVAAAMRAEAEAGAGGETGAAITYEHLKGLHYTHAVLHENMRLFPPVQFDSKFCAAADVLPDGTYVGGDARVMYHPYAMGRMPHIWGADYAAFRPARVIDSSLMLLHGESLQNTHNNSQNPSIANYCHAPALEWD >KN538699.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538699.1:163471:171509:1 gene:KN538699.1_FG037 transcript:KN538699.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRSKRRKKCRGGDKVNMEEKVGGDVRVLKPLMHLLLGLVMYWVAEEMTVPVLVDVTTRALCPCADIACPEAIYLTGLHQTVGGIFRAVGYSLMGQLADEYGRKPLLLLTASTSIIPYGVLACNKSKIAVYIFLILRTLSFMIGQGTITSLAVTYTADVVDPSKRAFAFGCITGILSASHALGNGFSRFLPERWIFQVSVALLISSVIYMKISLVETLQRASSGSFEHMSFSSLVVRLPLRRWESIKENINIIRRSETLSRITYISFFYELGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGIGSIFSQILVLPVIINTVGEKGVLCVGILASVAYAVLYGLAWSYWVPYLTSSLGVIYVLVKPATYAIISGEVDSSDQGKAQGFISTVKSTAVLLAPLFMSPLTSYFISEQAPFNCKGFSFLVAGFFLAISLGISFMLKPEAKCTRVAVPDQLDHEAVQAPLLAQP >KN538699.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538699.1:325097:333608:-1 gene:KN538699.1_FG039 transcript:KN538699.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEEGSPPAAAAAADPASSGSSDNEITVEQASFVHTEPPQDGSAPPVVSSNMEVLHDKVKKQVIKEGHGKKPSKFATCFFHYRAWVQGSLHKFEDTWQEQHPIELVIGKEKKQMAGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLAEDENNVKALFRRGKARAELGQTESAREDFLKAKKHSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFIVRFWQWLVSLIGSSRDGRSSAAAPAEKPPSWEKPRGPLPLSLFGADEEEEEEEGPAELPPTAADQRGASHASSNGSKPADLKDLIAGLYGSQPQPSSTDAAEVGTQEGSAAAAAAEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEDWSLFTSVSENLNNVQTTDHVGTHENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNEVMTETEREIQALEDMSSAVKLYKHSMSILRTLEIASKEEQCDYVSAWYSILLSCAQELQHGAMIWQESCHANVGETVISQGAHYFIALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDPPQFSVSRVA >KN538699.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538699.1:301833:303827:1 gene:KN538699.1_FG040 transcript:KN538699.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding METLPTRGRSNTKKVKVSGGSTDEARQLEAKRLSLYSRRNHKRRTTQEITFFGLIWRRSKNDLRSSKLKADDVILRSRDGVGSPMKPTCFLCFKPYRSDLMYIRCESCRNWFHGDALELEEGRIAQLISYRCCRCRRRPLPKCPHSDFYYSKVPEPQPVSQENADDMLSSEEAAGADGDPPLDSSGGVEPTVEETVGANFSVNMKSSVPGSVQETIYMDGSSHPTHPVSKGVAKYDGFRAIAAETGSLYEHLRQKDHQTSDDIMITLDKLQQIALHHMKDIACHQANNVVQPSDQSNSRAPVPDTDAAPP >KN538699.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538699.1:226765:231722:-1 gene:KN538699.1_FG041 transcript:KN538699.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKELEGRNKEGRARTEREILEAVDHPFLPRLFGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSESAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHSSSSSSSSSCIIPSCIVPAVSCFQLFPGRGRRRRHRRKKKTASGGVGGISGSSSSSFPAGGLELEFVAEPW >KN538699.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538699.1:206620:207081:1 gene:KN538699.1_FG042 transcript:KN538699.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPVRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGAAGASLQEID >KN538699.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538699.1:254238:262815:-1 gene:KN538699.1_FG043 transcript:KN538699.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDKGDPAIAGVVAALCVASALMMVAVVDGAKGDGRVTQLSNGFTARHSPDAPAPFEPTLNAIGDTVVLLNSSNLVVRRFAETRPAWQSFDNPSDTLVLDQNLTVSSPPLISGNRRFALRLAKTYMSLHMEFYGGGATPMYWQRTALEAQPENATQPPVYGCLDGRGFFGLYLQGSGEKVDVLSFDTFVQNLTGAFRRMTLEDDGNLRAYYWTDDAKAWTADYKAITAPCELPTSCGAYGLCVPGGGEAKCQCLTNSTATSPPCSAEETTDLCGDGDKDGGQVFDEVRLKRVSVAYKERLPFETNATAEQCEQACAGNCSCWGAVHSGASGYCYLLDFPVETMVYEADDRKVGYFKVRRPPRSSTRRGMSAGAKAVTAALSLILASLAVAGALLELNEYSESMILQKCRSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQVGMLLALLGLLKNEGKERYGVIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVATSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSMEYRTLEGLWNQSKAAWQTLLNELKDDTSERILIRAEAVSVSEITIASTAQTNMIKGSPWLSLCHKKTGLIRLLLQSRAASVQTIFHGQRAWCLPLSKLSTLFFLKVAYESQLASVHLQSNNGLPMHG >KN538699.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538699.1:290419:292976:-1 gene:KN538699.1_FG044 transcript:KN538699.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGIAVAGAGGGHEAGFGLFRAADVTMTEAQEAAKEYQSSPSSPSTSPTPSPPPVAASGHGGEAAATPTMWSLGGEKMPSEAAGDNGMQMSGHREWYALSAGGSFTPHVIIVGTGEDVAGRIMSFSQKGPRSICILSANGTISNVALSQPGSSGSTFTYEGRFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLQQQPSAAPALPPPMAPPPVLTAAMPISQAAPGTNGCHAPQVSSMHPQAHTGVMEHSATASGAMNLNSSSSTGFTMVGWPVSSQSMGHRPSPDINVCLTPQE >KN538699.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538699.1:307768:315087:1 gene:KN538699.1_FG045 transcript:KN538699.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEVGTADAEQAVAPAAGEVKAEVNGGSIPDKEQDAAACALTQVKTEVYENGVQEQVHTVAAVASEVKMEGCEGGVVDQKPTTTPADGCQMKEEGECLVGRYISRSVAGHGRILLGKVASYDGSTGVYSVVFEDGQGEDLELAQLQSQLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPSTRQRVNESEVSTRPDESKESGSGSDASEDVESSSNSSNCTKELPVEHCPPVQVLELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSVNCTTQNTLLDAVHVSLLRALRRHLETKSSEGLKLASNCLKYLDWTLLDSLTWPAFLLEYLYVMGIIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVIDSEELKTELEEREGYSEEMEYEMDSSTFAEVGSRSVLTRGSKASACKKLDALQNLETAPNENNPESASTHASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQLFGIDMCGRSFLGCCNYLLVIGTSSDVEFCARYYNHCDVVKVVQILASSDAYTDICRRMTEYWSHLLDIFQNERSKISKEVGGSLMSQSNILSTATPVKANNGSVQATLKDGQDSKMAVLSQTNAHQVMDNQFTLCSANNNEAFRQTPLAKTYVDNAYRNGAFGPSGTSSISHQSTSIVTVMPNKTQAQPAHGLIRPDLSCGSVIGNGMSRENIRSSISARADLISPPYKSKPPVQLITENMSGGKPAKFSSFRPQAYMNLYNHGNVAASAAANLAVLKSDEGKAPTSHLTTNLRKKLAADCALQVKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRIIKNSDSHFPSIVTYLSHMEESLRGLLVGSLQDVQRRQQWYNQLKDASNCGNIIPLLLELESNIRGVAFSTSWLKLIDDWPVESPSASAGASRPAAYQKRGTGGRRGRKRSMASESAPVTDDDNSWKEVNWWSGGNVSKRILQRGALPILTIRKAARQGGKKRMFSVSYHEGSNFPRRTRQLAWRACVGLSQSSSQLALQVRYLDAHIRWKEFIPPDQIPSEGKSVDSDYSVLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLREFEQKAGVSSLPTPGTLDSNCFANFYQRRVKASIGDVFFYLLHKGDVYPCTSCKKDVSFRDIVKCSSCQGNCHKECTLRSVGSKEGNAASSITCKLCLQKRSLMLTNYNTNASFILPQQKSNVHQAVAAPKIIFKVGSSHSAEPATKVEAPPIVKVEAQPVVKKETWPVVKMETQPTANVEAQPTAKVEAFPISNLATQNNAGAQVQPKTKSKKPKPEKPRKSKKTEEIKYFGLVWKKSTNDKNNNENSGEVFRANDVILKGKDGVGSSIKPTCCLCNKPYCPDFLCRRRAIPKCPHSDDYKKPEPEYSEQTVATSSQSTMLSSEENFAVADQDPLLASYGRVEPFGAQTMDADLSMNMMSFNPGNQKLSVRRGQNKNCEYVDQSSIHVDDYYIQNQPQGNANINFSHSNEFSLSEADGVDASELLGWDFSQGNTSAAPSDFAANCPWNDISCGSVAGDEYEPQTYFSFTELLEADDTQFDNTFGMSNSVQDDGDQQGIGFDEMAFMMEDGASNMPFPAIDSASDEVACDRCKNPQPPPDLKCAVCGLQMHRHCSPWEDGEQPSNSADWSCGACREWR >KN538699.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538699.1:319733:323402:1 gene:KN538699.1_FG046 transcript:KN538699.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKPIFAGFGSGWNWIDGTLTRLINFASKSFFPAMTKIVGTLGPKSRAVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEAAISLEANGTVVLTPDQGQEASSELLPINFSGLAKALKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRRWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >KN538699.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538699.1:251288:252952:1 gene:KN538699.1_FG047 transcript:KN538699.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSALGCESVIHSSGTENQALDPRGITKLEQGPLISNDLTDRERRYQEKGIKMVDQCGDVVGGGPETVWRRGRTGGAPARRPSERGVDDRKPSAQDCELTAAVPTVGAGVDDREPSAQDCELAAAIPAVGASSASSADPFQASVGLELEVAEAEWERRWRTGSPSFGACVYDGDLGAHDDELAAAGPAVSALGPSSPSVGGIAGGGGGDSHGLPFRRSPRPHAPARRSPRPHAP >KN538699.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538699.1:208568:210819:-1 gene:KN538699.1_FG048 transcript:KN538699.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSAVALAALLLLAVVVAAAAQPKGKPGKGAGEPKEGETPGKGKPEEKEKPEEKKKKPPMKVKCQESRKLYPYCSAKMMECPATCPTSCYVDCDACKPVCVCNVPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAKWMHGVTNKSPETDASGFM >KN538699.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538699.1:273298:273710:-1 gene:KN538699.1_FG049 transcript:KN538699.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKVASYSTWIIDSVCKLADVLELFFSENGGVKAVVAADQPVLQLEGDTGNGDEVSELMGAAEEEAAACEEGKNNDECIQRRLISEAHLDYIYTQHKNKP >KN538699.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538699.1:219150:223462:1 gene:KN538699.1_FG051 transcript:KN538699.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATAAAGLDHAGSSRLDAEVSSPVAGSGGGGGGEDGAATKLQKMYRSYRTRRKLADTAVVVEELWWQALDYARLSYSTISFFHDPNPETVASRWSRVSIIASKVGQGLSRDAKARKLAFQHWIEAIDPRHRYGHNLQCYYDVWCQSQAGQPFFYWLDIGEGKDVDLPECPRAQLKKQCIKYLGPQEREQYEYIITEGKIIHKYSEEPLDTSQGSKWIFVMSTTKRLYAGKKEKGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLSNFMNFLEENGVDLNNVVRPSDDDAWYEEPVPNKVQSPITAIIESNPPQLILPQNMVLENKASGSSSQVEGAEGDNAATEQAKPTYQRTLSGGLQSPRATIDVPRKAILERVKSKRESRSYQLGHKLSLKWSTGAGPRIGCVKDYPRQLRMQALEMVNLSPRASAPSTSRRLQASLSLSPNLPTSPEFTTTQMAAPTKLEPAMSI >KN541644.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541644.1:684:4217:1 gene:KN541644.1_FG001 transcript:KN541644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFPEGIDIYFENVGGPMLDVVLLNMSTHGRIAVCGMVSQNALTDPAFPSVSLASMETAPTEDLDTAEVSAFFDRSSVKRSLIDPSGILRSSWNFSQDGTTNSICNFMGVICWNPDENRILGLSLGSLGLQGQFPRGLEHCTSLVRLDLSNNSLSGPIPSGISWQLPDLSSLNLSYNRFSGEIPVNISEMTYLYSIGLQHNKLTASDDTECLRTLQKSLVDPRGELESTWNFSGNGFNGFICSFTGVNCWNPSDSTVRSLHLVSLGLQGQFPEGLQGCSMIEDLNLSGPIPSNISLLVPQLSSLDLSYNSFSGPIPVSITDMAYLKLKTLNLRHNKLNGEIPWQFCSLAHSVSFSVAENLFSGLVPSCFENFTASIFAGNRRLCGAPLGNCRLRRVNDETSIGAAVGFVVGFVLAFYFPHWFVFSTSLHSYIFRI >KN541644.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541644.1:23881:25321:-1 gene:KN541644.1_FG002 transcript:KN541644.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDILCSSEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFLHCQTKYSILWSSQFSPVLGNKTIAKAVGDWYFERSKTVKEIDCEYPCNPTCKLTG >KN541644.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541644.1:15209:16045:1 gene:KN541644.1_FG003 transcript:KN541644.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAKLLLWLLLLSSSPWCFCSELDVQCLETLYRSVIDSNGILQSSWTFVDNGIDGYICNFTGVECWHPDENRVFSLFLGNLGLQGPFPQGLQNCTSMTVLDLSNNNFSGPIPTDISRQVPYLASLDLSYNSFSGPIPANISNMTYLNTLNLQHNQFSSEIPRQFDSIGRLSSFNVAENLLSGPIPSSLQKFSASNFAENQGLCGAPLDNCPRKRWRLRLHRINDESSIGAAVGFVVGFVVAFYFPHWFVFSKRLHPYIFQIWSIPSGNLSANLRRT >KN546655.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546655.1:466:765:-1 gene:KN546655.1_FG001 transcript:KN546655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSSKSKGKPFFGGDAVGYLDVALGGLLSWLHGTEELCGAKILDAAKTPLLSAWARRFGELDAAKVALPDVCKLVEFAKMRRVQLEAAMAAATVSRN >AMDW01126350.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126350.1:61:1290:-1 gene:AMDW01126350.1_FG001 transcript:AMDW01126350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKAMEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLDNNWLSENTMQQLYCNSCQRFLADRLVEGYCPTEGCNYDSARGDQCEKCGKLLNSTELVCGSTPCVRDTDHLFLELPLLREKLE >AMDW01014945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014945.1:27:185:-1 gene:AMDW01014945.1_FG001 transcript:AMDW01014945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGP >AMDW01040913.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040913.1:275:3350:-1 gene:AMDW01040913.1_FG001 transcript:AMDW01040913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YIIHGDDPCLLPDGTDAYALAKKFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMLCMHSSKIEHDIYATPAKALLTAIIDFRYRLTDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFVNGV >KN539243.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539243.1:32864:35935:-1 gene:KN539243.1_FG001 transcript:KN539243.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDWDQELLDILDDKLRGAPLDRALDAVFHDTRILDFHPAKLYGMYAEDEENGYIYFFSTIEFKAAKPKQKKWPRRAAQGGRWKTVLGSSQLVEVGGVPVGRKLSMEFYVKGVRTNWGMHEFVRIIGPNIEVADLAVYRLHKLWTNGEKPGDLAADVAKSTNQSGQASAADYYQTYQYAVSHVQPINFTLFLSIGYYFTCSIRETETAIVICISATACNLDDQ >KN539243.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539243.1:104930:105788:1 gene:KN539243.1_FG002 transcript:KN539243.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGKQQHQPPPPSQPQPQQEKRGSRDDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEVHPSHPEYDTSQGSEVKEKEKSRHHKDDKHAT >KN539243.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539243.1:8457:9067:-1 gene:KN539243.1_FG003 transcript:KN539243.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGQNKFVGARFLPKDLELLAILDAKLRGSPLGPVEAIFHDTQILDFHPYKLYEMYAEDEEEEGYIYFFSTMQFRCRKIVERAAQGGRWKVNNCETLEVGGVAVGRKFTMNFYEHIGGDNDLIWTNWGMQEFARIIGPNKEVFHSEIHF >KN539243.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539243.1:39150:43581:1 gene:KN539243.1_FG004 transcript:KN539243.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLHKGFLTDAECEHLISLAKDKLEKSMVADNESGKNVMSEVRTSSGMFLEKKQDEVVARIEERIAAWTFLPPDNGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSDVGKGGETIFPEAEGKLLQPKDDTWSDCAKNGYSVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDISAKQGASTDGCEDENVLCPQWAAVGECTKNPNYMVGTNEAPGFCRKSCNVCAHCRIEWSTYALAYV >KN539243.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539243.1:90823:94082:1 gene:KN539243.1_FG005 transcript:KN539243.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGARGAARRHGAGGAEGRRGDLRNRTDQRSGWRGNMCGPACAVGGGGREDLLGRLACGTGAPPPAAPDARFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPTRIRITGVPSPLLGPQPAASLAATNTRLRDFAKLLGVDFEFVPLLRPVHELNKSDFLVEPDEAVAVNFMLQLYHLLGDSDELVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSLFESLDVAMTRDSPERARVERWMFGERIQRAVGPEEGADRTERMAGSSEWQTLMEWCGFEPVPLSNYARSQADLLLWNYDSKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >KN539243.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539243.1:4255:6389:-1 gene:KN539243.1_FG006 transcript:KN539243.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDGEDGNFGKNKRGLPIGFYFEPTDQDLLAILEAKRLGRPLSRAHDAFFHDIRILDFHPAELYEKYAKDEEKGYIYFFSKREFPTSSKKRPLRVAEGGAWNSSGAVYKVVKSSKSGGGYDVGHKKTLVFHQRFPGDKEAVKTNWAIQEFTRIIGPQNEAYATSQSSSSQLQQGAAAPPNAVGPSNYYGYFASMVPRPSLDRKGKGKAPMDCTEQAGGGGCHAASTSTPAPPKGAEYYGCSVAVEDDDEELQKFLQAMVRGEEVEGDGDHAMADERGPQQDSSPVAAASGSAPADEQSYLPYLGDG >KN539243.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539243.1:111452:114776:1 gene:KN539243.1_FG007 transcript:KN539243.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSTLSSSPAPHAAAAAASASSPPLSFPNADLVLRLHLDPCPDDDADLDAGEDHRPSLDLHVSSASLLRSRYFAALLSDRWSPAPTSAAGGHGHLSLAVAAPRSASHPFHAHVEVVRLLHTLDFAGAIHSPADALDILPVALQLLFDACVEACTRFLEAVPWSPDEEARVLEIAPLLPADEAADLLARITPPPAASASASAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDERDAIQRLNLQSAVLNVRHLLWLIERMVEMRVADNAVKLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFANSVVNGETIVPRQVRTKLVRSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLIAAFKTWFRRAGRAPQGAEN >KN539243.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539243.1:77734:84202:-1 gene:KN539243.1_FG008 transcript:KN539243.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLDISLFRTGRGGKKEDGNPDAVRESQRGRFASVDTVDEVIYLDELWRSYLRRTSIWLAAFSSLHAVQFDLDNIRKELNATSRNTGKLKMSKLGVHEEQIKLSIRLNELNESIAEYCSMMRNNELKVKELMESTNQIKERLAVTEAEARRIKNMLDTKLMAIGNIVHESVPISDNEENNVVLRTWGERRMERNLKNHVDLCIKLDIVAFEEGVDVAGGRDYFLKGYGDRIHPDELPIRWVTPQIGLAYQVVSIVSGALNDAASKKCLKYNMFSGNDLEQLAHGHDRFSLMEENDWK >KN539243.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539243.1:21818:22402:-1 gene:KN539243.1_FG009 transcript:KN539243.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIGSLVEQKDTIYLMLEGSPNAFVELVTQLISALEFMLVMNSRTLTLQGQQQLFLLNNVHFMLEQAKKFNDLGLILGQSWLIQRQEQLTQLITGYMEDSWEPVMSSLFEKKTLVSVILWSNHLFDEFISSLDKIYSMQTTWKVSDPLIRQKLREAIIQKVIPLFRQQLEKKHKPSYDRVEHLESQLLEMFEG >KN539243.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539243.1:1621:3242:-1 gene:KN539243.1_FG010 transcript:KN539243.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTKSVSWAPGTGHARETVSFAGDPASAANDTDGIALADLPGQNIGGWESRGATSRSSSKHAAELIAKFDAEKIELVRSIGFGGLLQLHQINGIDRRFTTWLLSRINCERRALMVGNNLELKISPRNVNKVLGIPFEGLESNALKGAEEVIKRTFPDGMNNWARDHFRTAFVVWIVGTFLAPKTSHKSGSNDFWGALLNVEQIKNYNWAKYVIDHLIDAAAKAQHDIKHKDKVANVAGCSLLLQILHLDNAALEGELSIPQNVEPRLAMFDQKLLNRMVDADTLGGRPKHGETPVYGISQGRDVWNTTYEARGVDSAPYVPRAQRSSHSHPITGGGTDFGQNFPYLGPRQDFGVFLRNKYPDLMDEAIVDDLKYHRRKTVFCISVLSLCLCVIMVQWTRSKFEMDKLYSVFLF >KN539243.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539243.1:97577:97993:1 gene:KN539243.1_FG011 transcript:KN539243.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRVVRSSAWCATPPSRTVVSVHASAFPVPSHTAAPPSLFPVAVATAGNLRVNPVSYAVELVRAAKGEVNVEYMRSVVDLMVKRGRPHFTVVSAYLVFGVMKAGFSDLNFGWGKAAYGSLAKGGVDAISGVASFLVP >KN539243.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539243.1:25801:26613:-1 gene:KN539243.1_FG012 transcript:KN539243.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCVYLSLEINRTSLPSRVEVDAYESSGTLEQGMTSRVTKHQERRSSTTWDDATDPLACEGQWGNLEYRVHGNNSFRNAISPTPFHPLDDMERKLTSYVDDIEKSIVLPGYTQGSISVYLPNKLDRFLVAAENLTRILRHPVMAEREDLHDRVRCLHSTTMSALATEFCHLRIWRSAAGPISNESVRCSNDDCLVSSMVSWSSSSSFTRSSTYGFSNDGQPEKILVNYMRSIDTKSVYLMDAIARVMTDGGCEQVLRAAFDRHHTELVR >KN542327.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542327.1:11565:12823:-1 gene:KN542327.1_FG001 transcript:KN542327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGGRVGDDVDESIRKLLFKLGGNPFAASPAPPCIPPPPMYEEAPSFVPPLAHGVPLNEGGMQCSSVLPALELDESFHFNHVKLDGLECLFGMGDHQNMRWNEVSPLVCPNNAVASSSQGMQQYCLVEEPADLGMQ >KN540418.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540418.1:14753:15884:1 gene:KN540418.1_FG001 transcript:KN540418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLASWLGLAGFISIDCGLSGKASYVDNATKLPYSPDAGFTDAGTNHNISAEYVSPASSRIYDNVRSFPGGAIRSCYTLRPLVPGLKYLVRANFKYGNYDGIGKPPVFDIYAGVNFWTTVNVTDPAFSYTVEAIVVVPGDSLQVCLVNTGTGTPFISGLDLRPLKKSIYPHANETNGLVLYARVNFGPSADSSIIR >AMDW01022594.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022594.1:25:219:-1 gene:AMDW01022594.1_FG001 transcript:AMDW01022594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPKLLPTESSRSEESSASELASESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSF >KN540418.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540418.1:29913:34340:1 gene:KN540418.1_FG002 transcript:KN540418.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDGEGGGPNLSFNGLHGDISSSFTNLKSVQYLNLSNNFLTGSIPEALSQLSSLAVLYGNNPNLCINNTCPSSNGKSKLAVYISVPVVVVTMILVLLVFFCFQRRKKRGSANNIVVNPQNEATSHAQRNDSYGHDSSVQLVNRRFSYKDLQMITNNFQRVLGRGGFGYVYYGILEDSTQVAVKLRSQSSVQGVKEFLGEAQTLTRIHHKNLVSMIGYCKDGEFMALVYEYTSEGTLEEHIRGRDHNRKNLTWRDRLRIALESAQGLDYLHKGCSPPVIHRDIKATNILLNTKLEAKIADFGLSKSFNCDNDTHVSASMLVGTLGYVDPEYQATMMPTTKSDVYSFGIVLLELVTGKPPILRAQDSINIIQWVRQRLARGNIEGVVDTNMHGGYDVNCVWKVLEIALKCTAQTSMQRPTMTDVVAQLEECLDLEHGYACGGIETSIDSFKQTSTIIEQVEGVPLPTMSRGPAAR >KN540418.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540418.1:18035:18886:1 gene:KN540418.1_FG003 transcript:KN540418.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRYPEDPHDRVWLAFNDREAKYVEISTTKTVQNLENDMFEAPSAVLQTAFAPRNSSDNISLYWLSNLRSEDSPGYIAILHFSELQLLQGNAVRQFDIDINGIRGNMTPEYLYADASYIRHPIRGFDRYNISIKATAKSTLPPIINAIEIFSVISTTNVATDSMDVSTITAIKKQYQVKENWMGDPCVPKTFAWDWLTCSYAISGPPTLTGV >AMDW01019372.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019372.1:13:165:-1 gene:AMDW01019372.1_FG001 transcript:AMDW01019372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EDNPLYDYPEELSEDEDDDSNSENPFSELDGSDPEYEKEEVEEERDEDGR >AMDW01069844.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069844.1:23:595:-1 gene:AMDW01069844.1_FG001 transcript:AMDW01069844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSGDPLFLEPYWKRHAAASAVVFSGWHRLSYITTDGHFKSVELDRQIRRLHRAVGNAVVDDKHLVFGTGSTHLINALVYALSPEGNNAASPPASVVATVPYFAMYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEP >AMDW01037641.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037641.1:439:633:-1 gene:AMDW01037641.1_FG001 transcript:AMDW01037641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKIELCTVLLSNCFHEICGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELS >AMDW01019234.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019234.1:4:267:-1 gene:AMDW01019234.1_FG001 transcript:AMDW01019234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFTASLGVTYLTCVLGVFVMLVGTVPDPAMESTDDQGDRSAKVCYFAELLNATLSVAFAVVVVLITAAPLREQAWLVFVPLILSF >AMDW01064621.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064621.1:6:179:1 gene:AMDW01064621.1_FG001 transcript:AMDW01064621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFMRAWSTASREGSPPPATAATIPVVFDRKPVLRHPNDEEIARVFLRVFAPALPLV >KN541368.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541368.1:2104:5355:-1 gene:KN541368.1_FG001 transcript:KN541368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMETLISTGINIHEATKLNNELFRLQATLPKARFLINRGEWGMFKNADLKTLLSQLKDTTYDAEDLLRESDDQALRQKMEDADRSWAGQLLSSSLNLAKTLIRGSKTRIKEAQEKLDKAVADLEGAINSVGLSIEAVQHMPETSSVIGVPQVFGRDKERDLVIEKLGVCSMIGRDSQRDHVIELLGVPLITWVSTARAKGKREAATVTGTKSASSKTKKLKGESSRAPRLDEAKCIGNVSVLPIFGIGGVGKTTLAQFIYNDPRVQAHFGNRRVWVCVSDLFDKRRITKEIIESFTREEYKSLFSLDALQVELMEQMGRQKFLLVLDDIWPNANDDWESFYAPFKNGPKGSMILVTTRSQNVADFVATNNCKPIQLEGLDRDIFWEFFSKCAFGEERPESCPQLQDIGQSIASRLCGSPLAAKTIGRLLNMKLTVQHWESVQNSELWELPHRENEILPALQLSYLYLPQELKRCFAFCCMFPKDYSFERDEIVDIWVAEGFVASRGSTRLEDMGIRYLDDLRSRFLFQTDPKYPDQTRYVMHDLIHDMAQSVSVDECLLMQDLRSRNERRMLYAVRHMSVEVANESLNSGMRGIQDLYKLHSLRFGTKLDVEITWFNQLSNILYLSLKGCKLVKLPESIGQLNSLRYLDISRSSVQELPEKFCCLYSLQVVDASRSSLKAISPDIIKLINLRRLALPVGCSPKLSEISRLGNLSRLRNLKQFTVGTRDGRKIGELRGMNQLSGTLTIRSICNVKNEEEAAEANLVEKQYLQELSSENRVLEALRPPPRIERLKVQGFGGDNFSPSWFRPESLPTLRSLELFNCCYLRTISIPSIAPVRWLTLTAAGIEAVPILSGSTGGERTQHASSSSSNDSACLRGLTTIRLVNCYQLQNLDGCLSPEYLPSIECIEINKSSHLGLSMPVNSFVGFEQLQELKIWHCELVCPWGMVLPPSLRRLSIMFGRKLDFPACLQSLTSLDVLHLRLCNDMESIPLGTNLQVKCLLLEWCSELSSIGGSRALSSMQLVSIWNCPKLHEVEQPFTKGLLTKEEKVELFKFTGPVYGCNIAADS >KN541368.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541368.1:23538:26744:-1 gene:KN541368.1_FG002 transcript:KN541368.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQTIISTGINIHEATQLSDELSRLQATLPKARFLINRGEWGRFKNKDMAILLSQLKDTTYDAEDLLRESDDQALRQKMEDADRSWAGQLLSSSLNLAKTLIRGSKTRIKEAQEKLDKAVADLEGAINSVGLSIEAVQHMPETSSVIGVSQVFGRDKERDLVIEKLGVCSMIGRDSQRDQVIELLGVPLITMGGAARARAKGKRAAVAVNIGTTSASSRGKRLKGESSRAKPRIAEAKCIGNVSVLPIFGIGGVGKTTLAQFIYHDPRVQAHFGKRRVWVCVSDLFDKRRITKEIIESFTREEYKSLFSLDALQVELMEQLGRQKFLLVLDDIWPNANDDWESFYAPFKNGPKGSMILVTTRSQNVADFVATNNCKPIQLEGLDRDIFWEFFSKCAFGEERPESCPQLQDIGQSIASRLCGSPLAAKTIGRLLNMKLTVQHWESVQNSELWELPHRENEILPALQLSYLYLPQELKRCFAFCCMFPKDYSFERDEIVDIWVAEGFVASRGSTRLEDMGIRYLDDLRSRFLFQTDPKYPDQTRYVMHDLIHDMAQSVSVDECLLMQDLRSRNERRMLYAVRHMSVEVANESLNSGMRGIQDLYKLHSLRFGTKLDVEITWFNQLSNILYLSLKGCKLVKLPESIGQLNSLRYLDISRSSVQELPEKFCCLYSLQVVDASRSSLKAISPDIIKLINLRRLALPVGCSPKLSQISRLGNLSRLRNLKQFTVGTRDGRKIGELRGMNQLSGTLTIRSICNVKNEEEAAEASLVEKQYLQELVLLWRERGTWKVKSSENGVVEVLRPPPRIERLKVQGFRGDSFSPSWFRPKSLPTLRSLELSNCRCINTISIPSLPSLERLMLTYVGIDAVTIVGERTQHACLRGLTTIRLVNCYQLQNLDGCLSPEYLPSIECIEIDGSSDLGLSMPVDSFVGFKHLQELKIWYCKLVCSQGMVLPPSLRRLSIACGHKLNFPACLQSLTSLDVLHLKFCKEMESIPLGTNLQVKCLLLEWCSELSSIGGSRALSSMQLVSIWNCPKLHEVEQPFTKGLLTKEEKVELLKFTGSMYELM >KN544726.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544726.1:7:2469:1 gene:KN544726.1_FG001 transcript:KN544726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPLLFLLLLQQLVPYTGLSEEESTNDSFKNQDLFDVVASCVKQTADAGHQQPSFSYPAASTPISVLQVLRPRDPNLNFAAVLVGEGSRKRKDEPNGCGAHHLGKENMPIGEGNLPKESKSPVWEHMEKDQPSKDMATCVHCSKVYTAKSTNGTSHLRRHLTSKCLKRKGLTEELAKLTSTKKARKIR >AMDW01046839.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046839.1:1043:2248:1 gene:AMDW01046839.1_FG001 transcript:AMDW01046839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGWWDEQLVNDLFWPEDAATILATHVDENLEDWPAWHFDSKGLFSVKSAYKLAVQIREKEKCRDASGSSLNTSLADTLQWEKIWNMEVPNKIKMFVWRLAHNSLPVRCNIRRRGMEVDTLCPMCSRLDEDCGHLFLKCKGVKECWRSLNLEEVRLRLVQCQSGEETVKEILSMTAKDQLKAVVLLWKWWYARNKANMGDKKLTSLEVCDAVLYHIMDFEKLHQGRTPCMKADGRWKPPPADIYKINSDASFDASTKTGGWGFLARGSNGEFLEGGYGYILRASSPLQAEATAALQSLERVAQLGMTRIILETDAVELQRALTSTDFDRHQDGCLFRQIKAFVSSHFASCLIRHCPRSCNKVADCLAKYGASSVNSGMTMYVSQVPEFVSHLVSGDLPGALG >KN541258.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541258.1:1122:2188:-1 gene:KN541258.1_FG001 transcript:KN541258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTVKLKTEEIRGRPVFAARFIGIRVCLRECRDTVWSLSSLKSKATCTH >KN541258.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541258.1:21866:28011:-1 gene:KN541258.1_FG002 transcript:KN541258.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSASGLLAMLQEPAAELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFEQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDISEDSDYAHALLAKALDEYASFRTKASKGAEEEENVDPRLETIVERMLEKCILDGKYQQAMGMAVECRRLDKLESAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASQTPESNPDSGSALPDDQAANAGTGSTEPAGDVQMRDDTATPNGSSHTVDPNEVARADRLAKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSSSSKATKTQEKDADAMQVDNAVEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAELRSDYHLLQNTPFRLPPFAIGCLLSLRGKRHS >KN543822.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543822.1:930:4406:1 gene:KN543822.1_FG001 transcript:KN543822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] LQGILSTPGDGIGGGAAEWEEAERKVLVARKAAFGLPTACPTCLPVLLYLRMCNVPFDIHIDSSFPDADHIPYVEFGECVAFNNEKGGVIEYLKEEKIVDLNSKHPSVSYSDVLSTKAMVLTWLSDALQYELWLASDGSIPHDIYFSDLSWPIGKILYWKKTREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFENSPTDVDALFLGHALFVLNVLPDTSVLRSCLQKYDNLVNFTKHLKVQLLEADSDSSATGLGSTDPSSSSTPRKRTSSGRSYKPKPRAKKERTEEEKKFRRKAKYFLATQLVAVLLFLSLMGGADSSELDDEDGVDYED >KN541258.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541258.1:15435:20942:1 gene:KN541258.1_FG003 transcript:KN541258.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MSCHYGLDRFTSLQKPKSPKAHLFVSKAHGQVGLTCHPKPQTPLSLSPMLMPETDLGYKVTHLSLNTDGSSLLLAGSHNISVLYVHERVSEDGDTVICRTVPVASQILPSNNDGIKVLQTSWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYSKKHIQEIYEDVNSFGLKSSNQNVVTNSRLAIAWLEATFPDLSRQPADTSALMSRAHPYASLDDSLTLQGPLCSVCEENNEPESKSNSCEGKAVGFVYSSVGKDSILVTAWGSGQLQIDALADEIQPQWSIGIPTRLNVDSHGQIKSVAMICDSNSEDYWAMRSYRPSSTGSNVKSNTDAVWMGHSPPLLRLAIVDLALAKTSNDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNNEANSPFLSGFVTIADAYGHVQLVSITCPGECFVVEMKGWKEPTPLQLDIDSKSIKDVESFTTGMISKELIAGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYLKTEIEDKQKRLQAVKQSLLSIEAKDQDINNRINRAFKVYDLLEKRIEGFKILPAANKKPLSQAEQEFKAQLDRFADVELDALRSSITALSARMKRFAHPAIGSAAGTGMSTWQTPKVGRSHVSDSQMSLLKSSLEKLSLLNEENNVKLRLIEHELKNQGQ >KN541258.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541258.1:5271:5841:1 gene:KN541258.1_FG004 transcript:KN541258.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHLNPGGGKKSNKITEIRFVIPTDYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILKAVEKNKKDNAAALCYCSYEYAADEVALGAPNNPLCR >KN542171.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542171.1:2553:4611:1 gene:KN542171.1_FG001 transcript:KN542171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRGKDAVKFKVRCSKYLYTLCVHDTDKTNKLKQSLPPDSPLYGLNLDRMRCLTIQEV >KN541258.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541258.1:29023:31153:-1 gene:KN541258.1_FG005 transcript:KN541258.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding LLGPFDTDLRQKLCVQFDIEHIPALIPLSTTLSDGFRVEEDAVKLVEEYGVDAYPFGAKRRSELEGMDDARRQGGNLLQLLGCKEREYVISADGIKTPISDLNGKTIGLYFGAHWCPPCRAFTKQLREVYDELKALRPGNFQVIFISMDRNEEEFQASLSAMPWFAIPYSDTTVQELSRIFTIKGIPTLLILGPDGKVFKTDGRRIISKYGAMAFPFTESRAYELEEVLKKERDSLPHRVRDHRHEHELELDMAKAYLSTQLFHQTPSVQPCRLNLKTLREEYHLIFTNSNRKTSRPQSSYTRQQRDLNNLYSDPKHLPQLHKPFDQSNVATAENSLRFLNGEPENSDLSSIHVAFADLAGKIRGEDDKRD >KN542171.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542171.1:5940:9851:1 gene:KN542171.1_FG002 transcript:KN542171.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRRGGVGLLRGAVVLASLLLVVSGEVIFEERFDDDWGSRWVKSDWKKSEGKAGTFKHTAGSYSGDPDDRGIQTTSDAKHFAISAKFPEFSNKNRTLVVQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKESNAKKPNDWDDREYIEDPDEVKPKGYDSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYKGRWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARSVVDEVRAANKEAEKEAFEEAEKRRKAREDEGHHRRYDYHVSYKPSSFACISRTAKGRAMRSPEYPILSRNFRKTGS >KN542038.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542038.1:1921:2488:-1 gene:KN542038.1_FG001 transcript:KN542038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQWEVGNSYFLSDLNIISLVGCNAQVILRDGGDTFVNSCITSCPLSLESRNGSCSGIGCCQASIAMRLPVYGISATLVEDWGAGPDPSEPNFFVYIVDQASFHFYSNMLINGTRNTPEALPAMLDWLILSDSSACSASTNASAPLSAPECRSANSFCKSHDNTIADYAGYRCHCSDGYEGNPYVDGGCR >KN542038.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542038.1:17606:19558:-1 gene:KN542038.1_FG002 transcript:KN542038.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASTGSGLRCSPNPMPKQQHERRSCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNALRELRVDFVLLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRIVWREDGVPLIRSHTNPDEYT >KN542038.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542038.1:11244:14817:-1 gene:KN542038.1_FG003 transcript:KN542038.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERFASMRVVFSLSVLAVLQLQLLGVAAAAATAANCSTRCGNIRIPYPFGVEPGCYHEGFNLTCDRSHKPPKLFLGDGSVEVLEISIPSGTVRINSSSIVPISSAVGTGNVNNTGKYHTWGGLRKGGPFFISPYKNKFLVLSCSNVQVLLLGGDNSTVNACRKIPHMGWTEKGRPPFFISPYKNKFLVLSCSNAQVLLLGGDNSTVNACATYCPPAPQKGQPFQFPMRNECSGIGCCSAAIPKGYTSYSIQIQPANEISEFDAESSVYIAEEGSYNATRLIFETASALPALLDWAISNSTCGTKPSAAPACRSRNSYCQNYTSYVYNGYQCRCNAGYQGNPYIPNGCQDIDECSHWKLHSYYGTCVNMPGTFHCRCPDGTYGNPLMEGGCIKIKNSSQGLSIGLVVSGGTVLLLLALCAPLATRKINLRKMKKTKERFFKQNHGLLLQQLMSQKVDIGERMIITLSDLEKATDNFDKSREVGGGGLGIVYKGILDLNVVAIEKSKIVVQQEIDQFINEVAVLSQINHRNVVKLLGCCLETEVPLLVYEFVSNGTLYDHLHVEGPMSLPWDDRLRIALEVARAVAYVHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFRYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVHTSSNGDALVLHFVSLHTENNLVDILDPQVMEEGDGEVQEVAALAATCIKLKGDDRPTMREVEMALENLRLRVKKKHATLGTTSNIYDGDQITADYLSTRGITDESIRQYTMEEEILSSGTYPR >KN542038.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542038.1:9745:9999:-1 gene:KN542038.1_FG004 transcript:KN542038.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQAVALAAFTFLAAALLLQPRSFVAVAAATAGNGSSCTSRCGNISIEYPFGVEAGCYRPGGGFNLTCNTSGAPPVEIFTQI >KN540141.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540141.1:29921:32422:1 gene:KN540141.1_FG001 transcript:KN540141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGAAVLVALAVTCGLIWSRSRRLSKEMRDIPGTMGWPVVGETFSFISGFSSPAGILSFMRDRQKRFGKVFKTYVLGRMTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHKKLRRLIGEPLSIDALKKYFDFINELAIQTLDTWLDRRVLVLEEASSVIKLFTLKVIANMLISLEPEGEEQEKFRANFKIISSSFASLPLKIPGTAFHRGLKKARNRMYAMLDSVIARRRDGGELKDNILTLLVAGHDTTTAGLTWLIKFLGENPEALQKLRVMNETLRRATILPWFSRKAAQDFSIDGYEIKKGTSVNLDVVSIHHDPSVFADPYKFDPNRFDETLKPYSFLGFGSGPRMCPGMSLARLEICVFIHHLVCRYSWTPLEDDDSVQPTLVRMLRNKYPIVAAAI >KN540141.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540141.1:55434:56021:1 gene:KN540141.1_FG002 transcript:KN540141.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGMSYLMKRIREVIEGQAAVNRCTAAVDFMEDKLPPYPATVNDEEMYAHAKAVAEGMLGEANVKLSPQGMGAEDFGFYAQRIPAAFFVIGVGSDGGGMAETTTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGRSA >KN540141.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540141.1:13524:18076:1 gene:KN540141.1_FG003 transcript:KN540141.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDVENRLWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLVFGGRGGAGPIMGDLWALKGVTEEGDPIAKRDLVPNVDSDSKPSNVTGGAQHSASQESQAGESPMIDLAKRLGISLSLEASASFVDEINDKELIELSSMLFGESPPTGDQHACIQALRDHWTSIPANSIQLQELGPLLRDYQRLILRRYL >KN540141.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540141.1:33797:34968:-1 gene:KN540141.1_FG004 transcript:KN540141.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGRYGSDRGGDRYSGRSRDGGGYGGGGGDRYSRDRSGPY >KN540141.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540141.1:42079:42456:1 gene:KN540141.1_FG005 transcript:KN540141.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTYRSLEASYLFHGKRFDGAAAVVSSGYEQAARKTAVFRLSSGSDAAPAALGRDGEREYRKESDNGGVFDVEVDLSGEVKYALHSAWCRVEARCPLKLQLAAAGSVAFQETTCDMLRSSQSGC >KN540141.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540141.1:3187:11956:-1 gene:KN540141.1_FG006 transcript:KN540141.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSAAWRWTFALVEPIVDADDTIDAGHDRPNVRQCHVGVPRRAVEVAGNREGADMLRDAMEDNKRGEDAVKVMVEDDMLVIREEHSKEDGEGKGGSDDGWWKERSMSSYNMRLALPRCGRQEQGLRRAKEWSPATGKVRICYGTPWRTTNEVKMRFDMPALSREEVKVMVEDDMLVIREEHSKEDGEGKGGSDDGWWKERSMSSYNMRLALPRCGRQEQGNSGALQCAETQGMEPCGAEQMDKLAWAWKYAIGRGDAPVCQNLRGMTIYMEEDPGWYSRVPEFNPEPVVDIEMHKPKEDPKVILASTNGTSIPEPKTISENGSSVETQDKVVILEGLSAVSVHEEWTPLSVNGQRPKPRYEHGATVVQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKFQAGSTDSSKSAQVSSCAGHSLISWGTKFFSVAGHTKDPSENITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLAPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSSVEGRVPLASEGMTLVHSNYNGDDYLISFGGYNGRYSNEVFALKLTLKSDLQSKTKEHAPDGTSSVLEPEVELSHDGKIREIAMDSADSDLKKDDANELLIALKAEKEELEAALNREQVQTMHLKEEIAEAEARNAELTKELQTVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEREVELLRRQKAASEQAALEAKQRQSSSGMWGWLVGTPPDKSES >AMDW01029253.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029253.1:60:402:-1 gene:AMDW01029253.1_FG001 transcript:AMDW01029253.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAAGSCRKVKTSIYVFVLVLAVLLCIAFQVALVVSGSLRRLVDSLLSKLGAPLEEDAGERLPHTAADGDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIV >KN540141.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540141.1:56734:59866:-1 gene:KN540141.1_FG007 transcript:KN540141.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKSQEFGSMILRNVNKLDIFSVGDMLRFSVFCESWYLSGKQCCQTIKLIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMVPDNAEYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPQSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGMIHSLTHASHLAIPPQSPALHGLHPSQISSVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIPPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQNDEFIDMIYREFVKRQLP >KN540141.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540141.1:38938:39582:1 gene:KN540141.1_FG008 transcript:KN540141.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWEDWGKGDCDCDCGWKKSLIWTAAIAGVGGLIVLLVFAFALVFPPKATADDAVLLRLALSPGSPPSNSTVSYNATVTLSLRNPNLYRGISYDPVAVAFSFNGTRFDESATVPAFYHRPRKTATFHVTVGGAGKPVPKLTAAGVAAFRAENATGRFEVEVRLDTVMQYKARKARCPLAVICPLQLQLVDPDVAATAFQKTKCTVLRAKKSGC >KN540141.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540141.1:21770:22231:1 gene:KN540141.1_FG009 transcript:KN540141.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTFATSCVSLNNVRTQASKVAAVKSPSSLSFFSQGVQFPSLKASSKKLDVSAMATYKVKLITPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDAQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >KN540141.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540141.1:314:2579:1 gene:KN540141.1_FG010 transcript:KN540141.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding EPWAELLRSVQHKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTSISEPRVFVRPIYAGNALCTVRYTGEDPCMMSIRSTSFSPTEAMSEAKVAPITQVDLSFLSEGSSGKSAWVNLKSQDTERPDLANAPVVVTGGRGLKNAENFKLLEQLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVADLFEVLDELLKKLPDKK >KN540141.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN540141.1:46625:48180:1 gene:KN540141.1_FG011 transcript:KN540141.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATASASSSMSPLVPLLSIRTTLARLPRCPQSETQQGIFDAVLKGLIDFQSVLKISNSEKYLIGKMLTQCPSERLNFEGP >KN540141.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN540141.1:23951:25690:-1 gene:KN540141.1_FG012 transcript:KN540141.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >AMDW01040543.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040543.1:727:1665:-1 gene:AMDW01040543.1_FG001 transcript:AMDW01040543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAADADGNGIIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNVGLRFMFGLAHCPG >KN540230.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540230.1:49693:55218:-1 gene:KN540230.1_FG001 transcript:KN540230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRSSPVVAMEWAMATPSSARWVTDGAPPAPSCCFLLLLAILSPERIIQHGEEALELYPFLNIAPPTRGSCGWSREGAPARQLNTVTLYLEGNEEEFVENFRLCQAHYSDYVGSYMNSSQCKKLQFKGSHGEISPEGTENTRLNLHFFRKCFHHLSSYEATNRRDPVGFRSSVGVMVVMILESKFKMVHQKICHAMENAIESRLGDRFEWVKDLIINWSSISQQVMLALDNEKYNPHNCGIKEMQTLNDLLEVSYYLHVDGYCYGMFKHEALPPPPRDLDQDKTIDELISYLRDKLGLDFYKTCRPTSDCANRLVDHHPPLAEHPDQSQQAQIDCVSVDQEPEDHIGSDAKRQLEVENKKSIQNLPTCIEVEQLHCFGNCTVLAEQEQQSSQTNTIIKASTFQRLEEHDAVQSTETDIRNTYASALLTEDELMSLQLPDKFISHRTESEEILLAPPSEVPVEIIVSGSAVSTTSIHGKEVYTDYSVTRISRDGRCLFRSVIHGACIRAGRPTPNEDQERKLADELRAMVADEFVKRKEESEWFIEGDFNTYVSHIRQQHVWGGEPELLMASHVLKMPITVYMKDGNAIGLIAIVEYGQQYVKKDPIHILYDGFGHYDVVCVPGKKC >KN540230.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540230.1:305:936:1 gene:KN540230.1_FG002 transcript:KN540230.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding NPQIDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >KN540230.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540230.1:36936:39481:1 gene:KN540230.1_FG003 transcript:KN540230.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWRSFMCCGGGAAVHDDDDSAPRRRRIARRGDSPRSSSRMSFTSLSSSGTLSPEDLSLTLSGSNLYAFTYAELRAATGSFSRANYLGCGGVGPDVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEYMSGESLEKHLFKTVNGSLPWMTRMKIALGAAKGLAFLHDADPPVIYRDFKASNILLDLDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRKSVDRSRRPREQSLVDWARPYLKWADKLYKVMDPALECQYSCQGAEVAALVAYKCLSENPKSRPTMREVVKALEPVLGMDDFFPVGPFVFTVIVEDEKVVNMKVDMKVEVEEKKNTHQNHQDRHRQKYPDSAIHAGIVLHDRDGVIGGGYTGALRRHRRTASYNKERGA >AMDW01038759.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038759.1:144:441:-1 gene:AMDW01038759.1_FG001 transcript:AMDW01038759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEAEQQQPLSDMGSFSHQKHSISVYLVLVSAVLLLNSTLGLCGCYKRIFSFGDSIIDSGNFVHIAGDHPCPFKEPPFGMTYFKHPSGRISDGRVVIDFY >KN540230.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540230.1:18338:21855:-1 gene:KN540230.1_FG004 transcript:KN540230.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETLLQKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPANVGVKVLDSVNITLGAEDELKNAVGLVRPVSVAFQVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >KN540230.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540230.1:4593:15546:-1 gene:KN540230.1_FG005 transcript:KN540230.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEMHSRLAWHLSSCFQEDSGRPPFPRCGEVSDMVHCRKRLGVSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIQESRKVQETISSIEMQADHLAEASKNVGDQIDDVLAHSKAIFEQSKEIADSQAKLREGQTEMRETIDAGMTRIQESYESLGNGMDKLKEEAVDIQREIKTVGDSMSTKMQDLQSTANDIGSVAGKSLENQMKLLDGQSKAMDGLNNLYSFQAQALEESRETVQKLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESHFIKAFLFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATIQMLHAIFTFRDYELLNHHLLQTLVEKVRALEETAAAGEKMLPYGGGGAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQVAAVVAPRRRHCASPEEVVGENSITTSAGRSVSLVLEETRASGDPYLQHCVETAVLLAKIGANSTVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRIVEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLGKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEHKDLSSKLTKSFDEVLITSAVDKLDRGLRDAGLSYHNLSGRHKSLYSIHNKMLKKNLTMDEIHDIHGLRLVFEKEEDCYRALDVVHELWPQVPGRFKDYISRPKLNGYRSLHTVVMSENVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERPASLGDSDAIRPPCPFPMHSEDCPYSYTRQCDHDGPIFVILLEHDKMSVQEFQANSTVMNLMDRVGTNTPRWSPYRIPMKEDLRPKVNHEPISDLNRKLSMGDVVELTPALPHESLPNYREEIQRMYDRGGFALATRGGSSRR >KN540573.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540573.1:8535:12594:1 gene:KN540573.1_FG001 transcript:KN540573.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLLGLRSCQLLHDLRTGQGNAVVRYAKIAMNLPDKTVRDVALRCRWMAKKESNKKRKEESSKKNKEKKERANDSSSKGPAHLVARPNATPYSLPVLPMDDDDVSYKTIGGQTGQILEHNAQILNQIYTNVSNMQVQENIPLLCQTRDNILAVLKEIGDVPEIMRQMPPLPVKLNEELANSMLPRPPHT >KN540230.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540230.1:42185:43339:1 gene:KN540230.1_FG006 transcript:KN540230.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDAGDRRWPESQGNEEKSQVPCSLDPNNTEDEHQEESNSEDEEEEQEEFLYDIDDDHYVPETYGFVGCKHSDGSIYRPDSHPFHRHYRLGDTRETRLWPKRLTSPTDRCRPCWNACEVHVGCRMMQIFSVKIAALSAAADDNSGAPVQIYGFMAARDLYEPLRNYVFNRSRDDPFVLPGHYSDPDSLIKMSGPKRGISLQNPALIEYDLKIKKGEEEKDDLQLIDGVTSFSDLTPFHGVYSRRIHGIHGAVDISLALIRNGKEGTIQVRILRLINDGIHLSLSCFVHQIPEEIKLFDGIIAKPLDLEKFVVAAPLRTVLILDFKITPVGAAVAVNDKNDDSKHIRRFCNFKVTAHLGTIERIHHDFATIDTVLQWSNLME >KN540573.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540573.1:27550:27756:1 gene:KN540573.1_FG002 transcript:KN540573.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVWCDDHVTGGSAVDGLGKDGSATCGLGGGGEEAEGRRNDNGSVGVEARDNDDLDLDRATISTSTA >KN540573.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540573.1:14669:18466:-1 gene:KN540573.1_FG003 transcript:KN540573.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASGLLKVAGDKLVSLIGSEFAAIARVAEDLSELLGIHGEITSSLSTVRDGSIECEPQFHWVIKLKDIAYDIDDLLHEVQLESEKHEMHSNGDKHAIFDTLREKPKSFMFRHKLVRKIKDIKVKYNEIVRQRTDANTIRSSLQVDQPIPVSNRIVGELSVLSKVEESKIPIRDQEMDRIVSTLVDPNEGENCWIASVVGLGGSGKTTLAKHICHVNKIKELFKERIFWVHVSREFDVQKLMGKLYETMFNQKPDCQQKMVRDISKQLSGNKFLLVLDDAWHTDGYEWGQFMVHLQDSSPGSRILLTTRDRKVAEAVKSKQIHELLSLPESDSWSLFLKSSGWVEDDLGPEFIQVGNEIVKRCGGVPLAIRTIASVLHGKREICTWRAIRWSDLWNVGSVNDRVFASLKLSYIHLADKLKQCFKFCSIFPKGYVINKDRLVAQWIAHGFITPMKEEQPEDIARTEKVKRGLLDKVRALYMSDGNLSFDKPVKKSCYIRSVVLNNENYTPFPPVLLKFEYLGYLEIHKVACKKIPEAISGCWNLQSLHFNRCRVVMLPESVGKLKKLRTLALNTVFNLESLPLSIGDCQDLQSLQLYNCPGIRDIPTSIGKIENLRVLRITCCTSLQNVSEPCGKFNNLQIIDLADCCRFEELPTRFACCALRTLNLSSTNITMLPQWVTLIDSLECLDLQCCNKLMELPKGIANLRRLEVLNVEDCWELCCMPSGFRQLTRLTKLGLFVVGCGGDDARISELETLDMLSGDMKITNLKYMQDPTDADRASLKRKNNIKRLVLDWSRDETEKELVSNMVMEQDMAVLNALEPPSKIEEISIYDYGGPCLPRWMRKQTDSSCWEGTMLKQTSPCHLLYLTRMILDEIPNLKHMQGLVQLPLLNFLRLSGLPNLEDMWTTTTGSEIRDDELQAQYCFPVLSTLLIEDCPRLNVVPHFPSSLEKLHLEKSNEQLLSSGSFSHLLPPLAHESSPCSHAHSAVPQLKELFLREMTGSSCGWEFLQYLDALERLDICFSNDLTKLPESMRSLTSLQELSISHCPTFGMLPEWLGELCSLRHLTITGTPMMDSLPQSIGRLTSLTTLTIGCDNLKQLPETFHHLTSLRELDLSGCGALTALPECIGKLSALEELNVGSCSAIQCLPESIKHLTNLWRLNISDCPDLIKRYEQGVGEDWQLVSHILDLIIRD >AMDW01034438.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034438.1:82:501:-1 gene:AMDW01034438.1_FG001 transcript:AMDW01034438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVKILALGLAIPLVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQ >KN538810.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538810.1:46673:52399:1 gene:KN538810.1_FG001 transcript:KN538810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSPMGCAVGVADGGAHHGGLWNIKEPFKLQNGWLLWAGVGLFGAIISIALVGVAMTYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILGVALGFSYAQTHNLLTPITIHAFWNSGVILLLTFLQRPIYSSEATKCISLKKSLVFYKGRAAKGFKTDWMMHEFRLPSLTEPSLPKIPIDKKPAKDIWAICKIFKKPNSMAQRALSHPWGPQSTATTNSQFASESSSCSEEVAIPITQLNSQQCLQGRQQKPNNRQDGSSSKVINFKCSPSLTHQSDKDNHNCPVTLPFRTQTLQHMSGATSLLLSITPGIINSIYEASRNIRFGQTEPCNGYEVDWVIGTNGGIENSGEDPYTRTGTEYSTGSECGIQQKIKFPFDLLGDPSDNWTSNINMPCEFPLTPNSYSHVQ >KN538810.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538810.1:142696:143829:-1 gene:KN538810.1_FG002 transcript:KN538810.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKPLVFPNGAIKKAAKPAAVAPAVGGGGGGGETVYRECLKNHAASLGGHALDGSLIQEINPKKGRRGCRSVLDA >KN538810.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538810.1:31432:32943:1 gene:KN538810.1_FG003 transcript:KN538810.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36730 [Source:Projected from Arabidopsis thaliana (AT2G36730) UniProtKB/Swiss-Prot;Acc:Q9ZQA1] MAALHLHALIAGGGAATTVPHLRQIHAHLLTSGRFPSLGPVLLRRLISLPNPHLHLAHRLLLSLPSPSLDLFNLLLPPLASSPDPSTAAALFLRLRRAGLRPDAHTLPHVLKALARLAPGSLPVVGSVHSEAVKDGLASAVVYVPNALMAAYSACGQLERAVQVFDEMPRRTVVSWNTALTACAGNGRHELCVELFAEMLEAGSVPDPTTFVVMLSAAAELGNLALGKWAHGQVFARRLDMTLQLGTAAVNMYSKCGAVSYALRLFERMPARNVWTWTAMIVGFAQNGLAQEALELFDRMKLSKITPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIVPMMTHYSAMVDVLGRNGLLQEAYDFVVGMPVEADPVVWRTLLAACQLHSSKDCIEIIDKVQGKLLQLEPRRSGNYVMVSNIYCEIGSWDEAAKARRVMREGGMKKVAGESCVEVGGRVHRFVFGDDSCPEFHGACWILHELNLNMRKCEPIDPILFTDDAD >KN538810.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538810.1:53022:55127:-1 gene:KN538810.1_FG004 transcript:KN538810.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MVDLLAKSGRLRDALRAFADGDPSSAVCWNAAVSGAVRNGEGGLAVEMFRDMVWGSCEPNSFTYSGALSACAAGEELSVGRAVHGLVLRRDPEYDVFVGTSLVNMYAKCGDMGAAMREFWRMPVRNVVSWTTAIAGFVQEDEPVSAMLLLREMVRNGVAINKYTATSILLACAQMSMVREASQIHGMVLKTEMYLDCVVKEALISTYTNFGSIELSEKVFEEAGTVSNRSIWSAFISGVSNHSLLRSVQLLRRMFHQGLRPNDKCYASVFSSVNSIEFGGQLHSSAIKDGFIHGILVGSALSTMYSRCDNVQDSYKVFEEMQERDGVSWTAMVVGFATHGHSVEAFLTFRNMILDGFKPDHVSLTAILSACNRPECLLKGKEVHGHTLRVYGETTFINDCFISMYSKCRVVQTARRIFDATPCKDQVMWSSMISGYATNGCGEEAISLFQLMVAASIRIDSYICSSILSLCADIARPFYCKPLHGYAIKAGILSDQSVSSSLVKVYSRSGNMDDSRKVFDEISVPDLVAWTTIIDGYAQHGSSQNALAIFDLMVQLGVRPDTVVLVSVLSACSRNGLVEQGFNYFNSMRTAYGVEPELQHYCCMVDLLGRSGRLAEAKYFVDSMPMKPDLMVWSTLLAACRVHDDTVLGRFVENKIREGNYDSGSFATLSNILANSGDWEEVARIRKTMKGVNKEPGWSMV >KN538810.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538810.1:76975:84858:1 gene:KN538810.1_FG005 transcript:KN538810.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDCLMNSAKQCLNSTKQCRLDNKIALASVPRFGHAGPSRSRVLDLRDRRGAALPSRGTDGPTFWSYGTVTGGEKCVLKCVVMQTGKWQWTFEDKPINIYYEEHEHETAENVKNILMIPTISDVSTVEEWRVVAKDIVARKGELGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLINSPNSPVANTDGEVVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIVESRYELTKRKGARFVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVASTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEFPSPVAEELYSFLKESFSSGTTLSCFVVPKGVVLANEPDARSSELDGTTTTAWGEMQKNVRTEVKKSATDMIN >KN538810.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538810.1:27654:29063:1 gene:KN538810.1_FG006 transcript:KN538810.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPALPLYYLLITLLAFYFLIVPSNAIPISRVQRLHLQESSEMPVVRGSTAKPKMEMERPVVPLEEDAMINARMALETQDYAPSGPNNHHKPPGWS >KN538810.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538810.1:160411:161582:1 gene:KN538810.1_FG007 transcript:KN538810.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRLLVLFAIALLAISIAEHKALAKRSTSEHDDNVYQVSKGGQGSLKIYQCSPQCAYRCSQTQYKKPCLFFCNKCCNACLCVPSGLYGNKAECPCYNNWKTKRGGPKCP >KN538810.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538810.1:56716:64144:-1 gene:KN538810.1_FG008 transcript:KN538810.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGGGAPAAAAAADGFIHAVVRWCFSPLFFWLFTVALVATIHLASTYISPSRDEEDKEKKARRGGEERGEEEVGRNDDKILEMMRSFSFMHASEEDFMEGMATFRFQHQLPEILRETAVVSGEIPVQVLQEHEPEKKPAIVVESKQEREREAEEREVVMEEEEEEEEQSREVVEAAAAAPMIVSTTHNYRFLTERDFRGFVKEPEAITEDSPGAAAAAAAAGHDAGSLRGYYKAKVLKALEALDASASNLERSFQDSATTVSPGSVVGQASPDIIGAADDPAKYPEDMWSRSPSPDVEYNEDEHKGNEATNMAEEVSFDMSDDERPPASGGKKTAAMAAAAASSSPVHDAESEDENSLDHSEKETITIHDHSYESVSDVKRRSPEAISDAELDDASSRHAAVLDAKVRSPDVHSSEPIFDNYEEHEVSNDQSQAAVSDEKRSTPHSEREFAGADDHSHELISDVWKEIASANDQSLASPHDEERSPEPSEKEFVGTDDQSAAIISDDHRAIVSATNDPSNGEVSDHNSTPETAEQEFSGNDDPYELVTDAKIISEPTEEDDIPDEHPGNATRHVTFSVAEKGKVLDVVEEDQEDKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSTNSLKSLSPTVTSILSHNFRSSRRKSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQAHDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEECMRVFWEFIRSDRVETTSVLKGLSNTHVELQDPLDHDLMMHIHSMLQKKEKRLKDLLRTGNCIVKKFKKPKEDTLNQSLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKIALQPGCNLRHLTFAVGLEVTRQQPDGPRGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSASK >KN538810.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538810.1:38379:41575:1 gene:KN538810.1_FG009 transcript:KN538810.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDGLMAPECFETTGAAKNSSGEATAQAPTTVHTFLAVGESMAPEEEPLLQLVECRICQEEDSIKNLESPCACTGSLKPYEHGYTAPTRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAVSILQRRRQRQEAAALAATEVAFILQSGQGTGVHFTIAPDSPATPQHEPQP >KN538810.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538810.1:17415:17779:-1 gene:KN538810.1_FG010 transcript:KN538810.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLAALLLALSFSHGEARDVQLVKPVRRYGRWRSALQAGDGMVSTVADYSDPKPNTNPRGGVLPPTDPNSPPAH >KN538810.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538810.1:104387:105701:1 gene:KN538810.1_FG011 transcript:KN538810.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGAGNWRAVPTNTAIASYLPERTDNDIKNYWNTHLKKKLKKMQAAGGF >KN538810.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538810.1:43039:45908:-1 gene:KN538810.1_FG012 transcript:KN538810.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTSGEWLKGALQELRERMGGALELDADLISGLVSFCELAPPPDAADYLANIIGVEAAQDLIQEYLQRRGYIDPLKGNENLQSSNLQPYVKPSAEAGPTQTKKQTRTQKDAAASSSGQSSKSQPETTEPRVASKRGSKKKAVKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLSDVGIPLSEAEAEAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKSDLKKQHGEAQEAAEKDKGKVVVTFDLVGRKVILNKDGATVLESEHRILGPPEEKDQIHRIQANPTIREQPVFIETGPVKPKTDRARQSKRLAKNGLCLEVTGRLQHDDKDPQSFLGGKMKKGDHLAYSSFGQPREGDDFDCSQDFD >AMDW01040400.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040400.1:169:1224:-1 gene:AMDW01040400.1_FG001 transcript:AMDW01040400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LFCKEAFWNADNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLELQLTNNVILDVDIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVYKGSEACSIENTRRLSIQNASIQHLSGSSAPCLRSLHVFSSNRRIDSLEAFLKSFKFLSTLDLQGISIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLMILPVEVATLRKLKYLYVVRVPEGSSDRVLAFDGIQVPMGLCNLIDLLALQLIEASTE >AMDW01039424.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039424.1:446:829:1 gene:AMDW01039424.1_FG001 transcript:AMDW01039424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVELQQLLDILELTHQAISKFLELDSYSLMLSEMQENLSLVSYSSRISSQV >KN539540.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539540.1:79664:83546:1 gene:KN539540.1_FG001 transcript:KN539540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKLVGFCFWAVFWLGGAAPVSTNAGGEAAAAAVVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDVLIYDTGDPRQPCTPFTKNSSAMFGFTQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRVPMSDGSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARVDADQYAQDVLALKQIIDNSYQGHASKPLVIAPGGFFDAAWFTELISRTKPNQMDVMTHHIYNLGPGVDTHLIDKILDPSYLDGEAGTFSSLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWNRLMGTKVLSATFNGTNKIRAYAHCARDSRGITLLLINLSGNNTNHIYVTSEGVQPYSTKKEGRRRFGRIPGLGEEAQLIREEYHLTPKDGSLQSQHVLLNGNVLAPDANGEIPKLEPVQVEGTQPITVAPYSIVFAHIPRFYAPACRFRVIVGERQSMLTCCKMKWKKG >KN539540.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539540.1:9235:21096:-1 gene:KN539540.1_FG002 transcript:KN539540.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVKKEIGGNHDVVRFGVNDSVKGDLAPPHPLQASVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFADYLNFLWHLGIGSLEVLGKQRKKKKKDSSTKKQPAREAAAANGESRAMVAEREKEYDRLSKFLVQVQRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETIGEWPRYIDVPLPIARANGHGTSMFLFLLQGLSHLLKADRLGFFFQYSKPLMKADSVITLWGPGNNITFVGMIKEALFSGAIQGKRINKILSSSTWTVVVNSVAFMTIEIGNGWGDRVNDEEKFLLGHLSKKIVKYIASHFPGHIKDCVNHVENQCGWAPAPGCLKEALATCAK >KN539540.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539540.1:85552:86703:-1 gene:KN539540.1_FG003 transcript:KN539540.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVSFLSTFSAKASVQQKIECNA >KN539540.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539540.1:93844:96358:1 gene:KN539540.1_FG004 transcript:KN539540.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTCVMLLPCWCREACCIWLVGEQHTADTSELVMNNHIMCGIGVKKSVSRQHLKAPVWNRLANCSLREGAGAIDLSSKTRTATTTGFAWMATHTTRACNAAYSYLISSFYSKLVPNEEHSTKGQNTHNLSSFEGCFELFTNPDELHSQATTVHEAIEMQTQHCNEDANANGRSPWATYWAHQERMMLKA >KN539540.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539540.1:3825:4208:1 gene:KN539540.1_FG005 transcript:KN539540.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTMALLLATLVAVVAVVRATEEKDIVEAGCLEHCNEEEKEGTIDHKHCVDICILTNRQLFGALERGIKPSMEQFSALCNEGCSKEFKEDPATNKKCVDSCIVDAKELNGHLAKGGASSVPARA >KN539540.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539540.1:87802:91833:-1 gene:KN539540.1_FG006 transcript:KN539540.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDVATEVLIPIAAIIGIGFSIAQWVLVARVKLAPSQPGASRSKDGYGDSLIEEEEGLNDHNVVAKCAEIQNAIAEGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKTHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSMGIIVCLITTLFATDFFEIKAVKEIEPSLKKQLIISTALMTVGIALVSWLALPYKFTIFNFGEQKEVTNWGLFLCVSIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIALGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLIVGTLFGVQTLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >KN539540.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539540.1:1110:2437:-1 gene:KN539540.1_FG007 transcript:KN539540.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSVGAQAGAEEMVSMSALGRNGICNTKSAYKEVVKRENQNTNQMWTVGI >KN539540.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539540.1:36082:36474:1 gene:KN539540.1_FG008 transcript:KN539540.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTIAVALLLATLVAVMAEVPPTGDTLEEAIRSGCKEDCSDLKKKAPIDEKQCVDFCFIKTKYMLDAHKEVTHPTADRYRDFCNKGCNTEYKEDPATSKKCAESCDVDAKELAEFFANLRPSDLFKQ >KN539540.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539540.1:38475:38867:1 gene:KN539540.1_FG009 transcript:KN539540.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTIAVALLLATLVAVMAEVPPTGDTLEEAIRSGCKEDCSDLKKKAPIDEKQCVDFCFIKTKYMLDAHKEVTHPTADRYRDFCNKGCNTEYKEDPATSKKCAESCDVDAKELAEFFANLRPSDLFKQ >AMDW01036735.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036735.1:65:595:1 gene:AMDW01036735.1_FG001 transcript:AMDW01036735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DASTHQQTGDIHMLDRLPDEKSKRLFNERIFSGDDSCPDEFRETKDKILKKCGGLPLAIVAVAGLLARDPRSKSHWTKVQDSLSSELEMNLTPEGVTQILNLCYNDLSADQKNCLLYLSIFPKGCSINRKRLVRRWIAEGFIVEKHGKTVEEVADDYFNELISRNIIRQVDHSSNGK >KN538883.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538883.1:169257:170940:-1 gene:KN538883.1_FG001 transcript:KN538883.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWLPLKSRLPLVVSLLCLDNVLGKTVRVRFVLKRECTFGQSFHLVGDDPALGLWDPSKAVPLDWSEGHDWTVEKDLPANRLIEYKFVLQDLSGKLHWQNGRNRSVQTGETANILVVYEDWGNANSQTIEEEGKVSIGMEEGKLSIGMEEAVVPDDSESRDDIIVADELQVDDNLAVMQNESSVRGDDKKSTVGAVTSVQAESMKLHEANQPELIVDEPQIQEALPETADTEPENGGVATCADDRYAESTDDDGVPVGGTDDDGVPLGGTDDDGVPVENRWTGAFEHELLWGWKALQQLLMSLGFKMDTS >AMDW01039572.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039572.1:258:560:-1 gene:AMDW01039572.1_FG001 transcript:AMDW01039572.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NNKGDSLTASYYHIVNHSATAVGAELTHSFSSNENSLTFGTQHTLDPLTVVKARFNNSGKASALLQHEWRPKSVWTISAEVDTKAIDKSSKVGIAVALKP >KN538883.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538883.1:137972:140671:1 gene:KN538883.1_FG002 transcript:KN538883.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQVRAVTAQRRKAERAAGEVLAILESQGLARFSDAADSGSGSDDEDGLESAESGGKARGEAEDALSGFHTEGDEGKDNAMESTEGHERSDCTAEQLDIDGEVSQDAQGSCGDGRDGDNDDRYTVEYEKDGEMERVLEKQAELIEQYEAEEYAQREWERKFNNSRDSTAGDVELGNKLNQIEKACEQRDKAAQMKDKEVSEVGGPSDKNLFANDSPSECLSTDSVSGLPPNAPEENAIKHCKVTECDRDFGEATSTVVSVDSGPQARKDELVNKSFTEIIEGSGNNIAKSSSSLQGNYESSQNARHNEDQVDESSDTGPSYHVNACSYEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSTDGVGGVLEALQRAKMSLREKLSKPSPPSQEMLALPAPEYHYATDDSPVRDTEFSLCMSTFPSQEILALPEPAEYSSRIIPRDDMKVPVGLAGLFRLPTDSFGQNELCSADGYRSRFCLTATSRENLSNHFRANLSLSRYGSDFSPDPCFSARNSMLLSTPTFGGCSNPVSDFRVGDASFPSEVPRSSNRRGMPSGDQGMLFHYAGDYSSNKGTL >KN538883.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538883.1:175166:175636:-1 gene:KN538883.1_FG003 transcript:KN538883.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAAAADTDTTGGVEFWRSPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSGVTRASVPRGVIPVATCLTVKGAEDTLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPNSKPPPQPKTSEESEPSA >KN538883.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538883.1:180282:185448:1 gene:KN538883.1_FG004 transcript:KN538883.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFVWCSPTTTAITPVASQAPKLPSEIVGKNVEQIIRDWNNELQDRTAKFRKHATAISEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKVALVTYRRLTASQFVRANEFSSRIQRLPNNSAAAERDSGMPRSKPVNMLFFGGCVAPQQKFHCSSFKYMMYRTDVIRWDFNC >KN538883.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538883.1:134808:135840:1 gene:KN538883.1_FG005 transcript:KN538883.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >KN538883.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538883.1:176463:179865:-1 gene:KN538883.1_FG006 transcript:KN538883.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIFNCSLPVNQAEAEAGVVLCWLNSVAVVVVEGAGVFMAADVDALVTVVVVAVVAAADDDDGATVKLKPPAEEAGAGTENNDPDVAGEAVAADGVDALVAEAEANAGAEAEDEPNGKLREVADELAAALVLENNEGAGAACEVAKEKPVDGADAGVVGAAELLFVKEKAGAEDAEENKAGAVLAVVVVADDGVKPNDGAEAAVAGDDEKPKDAVVVAVVAGDEAVVVLKSGAEVVDPNSAEPVPAPNPRAGEEAEVVVVLDAAAPVFNPKPKDGVEAAAVAAVVVPDAAEPKPKPVAAPEKRLGAEAADEAAPNRPGAAAAEEVAPKGLGAKDKSHNHQKRLSVRVLANFVMGGVAAKRLSVYTKVNGEIPVINVEKTFHVKEKKPRKP >KN538883.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538883.1:121152:122393:1 gene:KN538883.1_FG007 transcript:KN538883.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCRFDNYPKGAPFSAILADFLGRGIFNVDGDGWLFQRKLAAAELASPAIRAFAANVVASELRCRLIPLLRSASSYGSERLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPISAFADAFDTASMLSARRATVPMHVVWKLKRLLNIGEERELRDAIRLVDALAAEVIRQRRKLGSAASGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPEVAAAIRDEVFRVAGGRDPTAASFDSDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTLVAKGTRVTYHAYAMGRMESLWGPDCAVFRPERWLSGGRFVPESPYRYPVFQGGVRVCIGKDLAIMEMKAVIVAVVLSFDMEAVDRSSRRPKFAPGLTATFAGGVPVRVRRRAHCAPS >KN538883.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538883.1:142441:153051:-1 gene:KN538883.1_FG008 transcript:KN538883.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESYLHLIFLSSRIRVFILDPPHSLSLSNGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGMDVAGRSCPPSTLFPGSSLSSTALLNAMHEGSFPQAALVAGSVSRADEQHDAPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSKRRSFMLAPALSGVSEDGASGPIPQSDFLSLRGPSEVFAGDLAMNHSEPATSYGYNSEHAPMLLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESIGLVAEGSADDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTLTQIEMQIADAERTEALKSEDAPAQKLKTRRRKHRPKVVREDRPAKKQMATTSKEKPLNQKPKRKYVRKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSRTNSWYRNQEKPVNAESSLCSVTKLSVQVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEEIPLAASGHVSEELARKQDDVRHIHDHNESSGLITMKGNKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGSMTKVSKMDKNQKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKSINLDIHCKVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQLHKTEMHLSQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSVNGNCNDGIAGQDNEEVISTSAVGDRGTFEFFYNGSRPDIGLNFEELSMACEKIHMEPKGNATVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMKNLHATQFQKEISLSQSVVTSESILQPGLPLSSGMDHAPINFVGGISDTASQQVGSNFDDGKSLTGNDVTAKETEYHGIKAAATNNYVVDEPGIPSGYNMYPFFSATDCHQLDERNDTHVSSTSPNSSIGSASSNFKIGTIEENSSLFMPFDAHLAQRNGNMIADTNLSSALASTELPVKLLHCGKRSCYEASEFQDHESLYATGGAIPETATKADDSTLKSGFASFNGLPDTSAQASKPKKPRTTSKKNSENFGWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPSPQDKRLVNMSNQFAFQNGTMPTPHSTPLPQLEGSIHARDVHANNTNPIIEEPASPREEECRELLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVYELPDSHPLMQQSCGYCADELKDTREAPKPCCNPQTEGGLCSNEMCHSCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >KN543078.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543078.1:3842:5033:1 gene:KN543078.1_FG001 transcript:KN543078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTALPSAVLALRLLALALLAAYVLAIAVIGCAYTLLQIPFVAVSIAKRKKMIGGSENVALFLIFADVVFALLVATGAGAGFGLTYDAKKAFGGSKLQGEVARFFNMAYAAAGLMLLAAAAMALIIMLSIYSLVR >KN538883.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538883.1:187557:192666:-1 gene:KN538883.1_FG009 transcript:KN538883.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEEAIVADGNGKEEEVGVMGVSAGEHGADEHHGGGGKFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFSLLPKTAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAPALAQSPVPLPHH >KN538883.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538883.1:157713:160640:-1 gene:KN538883.1_FG010 transcript:KN538883.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNLPVSKISKILTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMCFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEPVQDLLYTCNISFQIVAAIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWLCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTGLSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >KN541500.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541500.1:5378:10135:1 gene:KN541500.1_FG001 transcript:KN541500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVNAARWVVGKALSPLSGGLVEAWAASTELGPNIGAIKTELLYAQGMLHNARGRETSNPALQQLLLELRGLAYNAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLQGLVLNTRHTVRNIKKKACSCGDNGEEKRSRFLCCAYSCKALHIEHTTKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVATILNLELLESNRSIGQCIAMSLNSEFSGKMGHAVVLPSSIAMNRPVTTSDFIEPKFYGREGEKSTIINDVIEGDYCDVDLTVIPIVGPGGIGKTTLTQQIYKEVQNHFDVNIWVCVSLNFNVYRLKEEIAKSIPKVNEENSGWPDDLIEQRLKSKRFLLVLDDIWNLVYEDEWRQLLAPLKKAQSKGNIIVVTTRFPAVAEMVKTTNCSIQLEGLEPKMFWELFKAYAFGDEKTVNDHGNLQETGKMIAKKLKGSPLAAKTVGRLLRKHLDIDHWTGILDSKEWELQTGKNDIMPALKLSYDYLPFHLQQCFTYCALFPEDYIFDSEQLIHLWIGLDILHSHDQNTRTEDIGLNYLNDLVSYGFFKKDEQNDGSPYYVMPDLLHELALKVSSYECLAISSSNVRSIQVPPSIRHLSIVIDDVDVNDRVTFENIKKDFSTLHKQLDIEKLHSVMLFGQYHGSFVIPLGNLLSKAKALRVVLLYAPSYVVENMLHNFSNLIHLRYLRINKGYFPEMSLPNTISRFYHLRILDLQQCRGHFGLPRDMNNLVRLRHFLVPYDNLHSDITSVGKLKCLQELRRFEVKRQVEAFALRQLGQLEDLKGSLGIYNLENVKAAKEAELLNKSRLHKLILDWDIKRSTRDPSHEEHILENLKPHSNLLELHINGHGGATCPSWLGVNLSMKGLKSLRLNCVDWNSFPPIGELSLVNEHGHKSLDCTTDRSFPNLKRLELVAIPRLSKWAGNDACHVFSLLEVLIVRDCPELMELPFSHPTTCSRPEQGTNLTQFPTLKKLEIVNCQKLSSLPPIPWTSCPCHAYIEEVGSDFQQLDYSTNNQSELCLLVKGKDDNLDSAFWRLLVFSNLTELKELTLTKCPPLPLEHLQSLSSLRMLCMQDLSNVLLQDKAENTVRYQFPVEQLRIFNCSCSGKELTLLSSHFPKLSVFVIRGCENIRGLGVAKQGMTAMSASSLPSAGSKLEDECLRQEQQEPGEEDEKAAADGGLLLLPQQLQYLTIGEMSELALVFDTAGGLRGVGEGLQGLHSIKNLNIWNCPNFLSSYSSSSHHSPFPSSLQELFLSYMSGMNTLSPLSNLNSLAKLAIWDFGDLRADGLGSLIAQGQLKELDVRRSPNFFVGSDLSLLLQLKTDDITWLLAAPVCNLLASSLTELTIGWNDEVEHFTKEQNKALLLLSSLQDLRFWCYSKLQFLPAGLHRLTSLKRLEIAFCPAIRLLPKGGLPRSLKVSSWIDMGLILAPLHNLLHPTQFCVDKCEDVNLFRFHRLH >KN541500.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541500.1:23452:27513:1 gene:KN541500.1_FG002 transcript:KN541500.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVNAARWVVGKALSPLSEGFVEAWAASTELGPNIGAIKTELLYAQGMLHNARGRETSNLALQQLLLQLRGLAYDAEDVLDELDYFRIQDELDGTYEAADEHGLLRNARHTARNVKKALLPAACSCAGGGQASRHADEEEAATRIGCTHKLGKRLPCLSFAPERDGGGYGSSTTPAPTKGCRFLCCSGPCRSSQTPKLKFDRVDLSRRMKHIVEQLKPVCAKVSTILNLELLESNRGIGQYIAMSLNAEFSKKPRQAPVLPSSTAMSRPVTTSEFIDPAFYGRVNESTKIFSDITQGEYCDKDLIIVPIVGPGGIGKTTLTQHIYKQVQDRFDVKIWVCVSLNFDVHRLKEEIAKSIPEVSDEKPGGPDDLIEQRLKSKKFLLVLDDMWNCGNEGEWERLKALLRKAQTKGNIILVTTRFPTVAETVERIDRPIQLEGLETEEFRKLFQAYVFDDDKTINDHADLKETGEKIAKKLKGSPLAAKTVGRLLRNHLDLDHWTSVLESKEWELQSGENDIMPALKLSYDYLPFHLQQCFVYCALYPEDYRFDSDEMIYLWIGLDILQSDDQNKRIEDIGMSYLNDLVNYGFFKQDKKTDGSPCYVMHDLLHDLALKVSSYECLSLSSSTVRSIQILPSIRHLSIVMDDGDVNDRVTFEKIKKDFSTLHKRLEVDKLHSLMLFGQYHGSFVIPFGKLLSKAKSLRVILLSSAAYAVQSMLHNFSKFVHLRYLSIRGGYFPELSLPNIISRFYHLRILDVKQCVGEFRLPRDMDNLVRLRHFLVRDDGLHSHIANVGKLKCLQELRRFEVKGQAEAFALRQIGQLEVLKGSLGIYNLENAETGEEANLLNKRHLHKLVLVWSDDSSRTEHVLENLKPHDNLQELHIKGHGGTTCPSWLGVNLSTKNLQSLCLDGIQWNKFPPLGELWLVNACGEESLSCTTSQSFQKLKRLELVGIPRLAKWAGNDASHVFSLLEVFIIRDCPELMELPFSHSTCPRSGPEMNLTQFPTLRELEIVNCPKVSSFPHIPWTSSPCRVLIDKVGSDFQQLKYSKNDQSEFCLVVEGKDGHLDMSFWNVLAFSNLTELKELNLKKCPPLPLKHLLVLSCLRSLTIRDSSNVLSNVEAENTVGYQFPIEHLRIFDSRCSGKELTLLLSLFPKLLRFSLGGFVIIRGLGVAKEQTMAMSASSSSLYGHKLEDARLGEEQEQLRGEDEKAAADAGLLLLPHQLQELFIVDISELILQFDSLVDGTAGGLRGIGGGLQGLHSLRALTIWHCPNFLSYYSSTSSSCFPFPSSLQYLYLDGVGGMETLAPLSNLSSLTRLIIEGCMDLRGEDLSSLLAHGQLTNLNIFETPKFFV >KN541500.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541500.1:22231:22554:1 gene:KN541500.1_FG003 transcript:KN541500.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFGDWMLSSSIRIDHLIVDLEHRPAPPNRALDNQIGRHIVDIKRVLRPLFLEEREEEVWVECEGGGMWTAADEAAGLHYAKGESDGEAVGGEEKRGREDDAAPP >AMDW01030171.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030171.1:20:412:1 gene:AMDW01030171.1_FG001 transcript:AMDW01030171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQESISELKASIQDMQLAIKRADDATVQAKVQSLIRLTKKAQKQSKKISKKSASDDQEGCTVLKLSAEAREAAISMVESSLHLLLKQIVKPNSSRWSLVSKAFQKARIACQEEQLLAVELDISDIESGVET >KN541598.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541598.1:3058:3549:-1 gene:KN541598.1_FG001 transcript:KN541598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHAHSALDADDDDGAVSDADHHSSSSYAVVRSSSSMAASSLQPPPR >KN541598.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541598.1:25367:26088:-1 gene:KN541598.1_FG002 transcript:KN541598.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNITDNIPGWGVLFHNKVGDGTVTIMMATLLFIIPSGKREGEKLMDWNKCKKIQWSIILLLGAGFAIADGFKTSGLTDILSNGLRFLKGAPTLVIVPVACVFSGIMTEFTSDDSTTTLVLPLFAELAKSIEVHPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGYITIKDLVATGLPLKIVAIAALTVLLPTLGNIPAQINIKD >KN541598.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541598.1:18201:22536:-1 gene:KN541598.1_FG003 transcript:KN541598.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-(1,2)-xylosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/Swiss-Prot;Acc:Q9LDH0] MSRGGEPLDQVMGRAEEEELPKYEPGALQVEAAAKRAGPLVEAGFLDAYVPTGGIGMHTMRSLLDSGRVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAIISPLGYETALFKGLSESFSCEGASVESLREKPDHQKTARLSEFGEMILASFDLLRDDILSSKTSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIEDWAKGQKCKINVINGLFAHMNMKEQLRAIQEASVVIGAHGAGLTHLVSATQDTEVLEIISSMYRRPHFALISHWKSLEYHAINLPGSYARVTDVIRGGQHKLRGRKRERKKKE >KN538818.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538818.1:219078:219967:1 gene:KN538818.1_FG048 transcript:KN538818.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEEQEKGPCMNMKKPLAVDHTELAMDPWWDGVCCKDHPAFFDHLSMVMTCVRFVWEKKLDLDFKPVVSKKEMESFCQAHRSTKVGIESL >KN538818.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538818.1:173602:178386:-1 gene:KN538818.1_FG050 transcript:KN538818.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSSSPAKLERDERAPVVSVGAAAYEGPDRISSLADALLHHILVFLPVVEAIRTRVLSYAANLRWMKVKDCFDIDAAETEGSAMSLSTPAMEEFYWEDCCPEEYGSINALDYYKDRAQRMIIITKQESEEQKKFLHTVDLPYCLELELIVEKKEHTLAPTIVHLLKKSRWIKRFSLEICPKKNHIQCEPNCTCRQPPNWRDQEISLGSLEELSINGFWGTYDEKQLVLFIVENSKLLRKVSLVSSVNLHSYKSFLDNLRQLCASDCTIELNNNNLV >KN538818.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538818.1:190608:192134:1 gene:KN538818.1_FG051 transcript:KN538818.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEYCFDETRSCCPWNGGGAAAVMRLSAPALEELGWEDACPDEVERIRLPTCLRELVVSELPSHIIRSLGQSDFTKILELFSGADVLKLTSPMTATLDSEEQESLIYSVQLPYYSELDLSVITKGHKSYGSSVVHFLKRNSSIRNLTLTLHPYHPEDKRCAPCCMSNCNCHEPLKWWDEDISLDSLEQLCVKHIWGECEGKKLIYFIMRNSNVLKKIIVVFSSWLCSYRRFLRNLRKLSTSGCIIELNFPCRENSCYSGMETITVTKD >KN538818.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538818.1:220151:222476:-1 gene:KN538818.1_FG053 transcript:KN538818.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MADATGVFDRVIGALVSHVVITSGDATPTSSSPESSVFRFSCDTKSSCLSARCTSRTWWFEDLAVVLGPGMVERVAGALLARGTDHGVVSRFLFYYLKCRVAGAATADDKRAMLEAAIAVMSGLDRSSVSCRGLFGILRISSPLKLPTSCHDSLVAMIGAKLDHATLDNLLVPAPPGTTSSLYDVALILRFLDAFLHHAAAAGARGETPRLKKVGKLVDLYLAEVAPDPSLRPAMFVELATALPAAARDCHDALYRAIDVYFQVHTRLTEEEKMRICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLLRGGGGPDEHLRPCLESKDSSDSADMATSLVVKEHGHLSHFLGLGTFSPLMSFLDAL >KN538818.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538818.1:182062:183581:-1 gene:KN538818.1_FG054 transcript:KN538818.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAPALEELGWEDAYPDKVELISLPSCLMELAVVELPSHIIHEIGQSDFTKILKLFSRAHVLRLTSPMTATATLDSEEQESLIHSVQLPYYSELDLGVITNGHSSFGSTVVHFLKRNSSIRNLTLTLNPYHPKENKFAPCCMSNCTCHEPLKWWDQDIPLDSLEQLAIKHISGHREAKKLTVSVQCTKTINDWMYHRVELSV >KN538818.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538818.1:203899:209464:1 gene:KN538818.1_FG057 transcript:KN538818.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQETWVNTVQSASSGSGGQSSVAESSEATEWAMQDFYALRKDVELALARVGEVRQSAGLDQLEEEIASLEKKSADSSLWDDPSKAQEILVALTEVKDRVKLLNDLKSQVEEAETIVKLTEELDSIDTGLLEEASKIITALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATIELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDITGVMDGELDTFIRAYLKYKLSAAAEEQGVK >KN539068.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539068.1:73317:73910:1 gene:KN539068.1_FG001 transcript:KN539068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCAACDILKLLPTGTVLAFHELAPSFTNHGGACGAASRYTTAALIAACAASCVLLSFTDSLVSHVDGRRLYYGVATLRGFRPFNFEGTREEMEERFGDLPGMKVRALDFVHALVSAVVFVVVALGNADVQGCLFPDAGTGFTEMFRNLPMGLGLLASMVFMIFPTTRKSIGYTDMMPHKEDYGKGGNNTPGQTTPSV >KN539068.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539068.1:108299:112983:-1 gene:KN539068.1_FG002 transcript:KN539068.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVADCESGLSPADVTGAGAANRNPGHWRSYYRHVLLLAYQSCGVVYGDLSTSPLYVYKSTFIIGSLRRFQDEEIVFGVFSLVFWTLTLIPLLKYVFIVLAADDNGEGGTFALYSLLVRHAKFSLMPNQEAADEELTSYYRPGYAPQETPILTALRRFLENHRKSRTFLLVTVLFGASLVIGDGVLTPPMSVLSSFSGLQVHSTALTSGEVEILSCTVLVCLFMVQHWGTHRVAFLFAPVVIIWLLLLGALGVYNIVVWNPRVLRALSPYYLVRDRSHVAFVGLIYPCLVLQYMGQAAFLSKSPHCDIHFVFFESIPTGIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWILMLLCIAVTMGLRDTTLIGNAYGMACAGVMLVTTLLMALVIVFVWQYSCLVAALFLVAFGVVEAVYLSAALMKVPQGGWLPLVLSLVFVAVMYVWHYGTRRKHQFDVQNKVSLRWIHALGPSLGIVRVPGIGIIYSELATGVPAIFSHFVTNLPAFHQVLVFICVKAVPVPHVRDEERHLVGRIGPREFRMYRCVVRHGYKDVLAEDTDFENDLVLRIAEFVQMEADFDQRCSISDDGVVASVEVEGRMAVVPRPSDLARTGLLMREPGEEESVAESPGFASRRRVRFEVANQHTDPRVKEELSALVEAKHAGVAYIMGHSYIKARKSSSVFKKFAVNVAYAFLRKNCRGPGLVLNIPHISLIEVGMIYYV >KN539068.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539068.1:43655:50731:-1 gene:KN539068.1_FG003 transcript:KN539068.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDEEEEEVSSSGEEEEEQSDGAGSGSGEEEDEEEEEAPAAGAGEAAGGEEEEVDEEEIEAVTTGAGADEEEEEGGAAAAAPGEGDEESQSTEDDEAVAGEDDDGDEAEGGAVVGKREKARLKEMQKLKKQKIQEILDTQNAAVDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGSGGTRLLSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRENLLQPGKFDVCVTSFEMAIKEKTTLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLVENAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKFGSLLNSIYILDFLKEENKLDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFATWTRRDFNTFIRACEKYGRNDIRSIAAEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKDKRMAKNMTPTKRSALRVSEGETTPSNSFKRRRQSLMDDYVGSGRRKRG >KN539068.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539068.1:78736:79049:-1 gene:KN539068.1_FG004 transcript:KN539068.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQELLSRMSRIRISQKARSSHLIRYGEVRVLARKSAFCMDKEHLKEGRDSRQSGYQEICYVAACNLLVKRKEQCYTWV >KN539068.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539068.1:87122:95223:-1 gene:KN539068.1_FG005 transcript:KN539068.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLTYFLEQIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >KN539068.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539068.1:30442:36906:1 gene:KN539068.1_FG006 transcript:KN539068.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAREILILRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYVQQLLSGLEHCHNNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLHGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIREAFKDFPPSSLPLVETLLAIDPAERQTATSALQSEFFATEPYACDPSSLPTYPPSKEMDAKMRDEEARRLRAAAKAKGEGVKRTRTRDRSQRAGPAPEANAELQANLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSSRHMEPMYEHQDASFSTVVPIQKGSSQTWSGPLVDPAALGQSRRKKQTALDAKAAAYSKQLQKDKGGTRAR >KN539068.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539068.1:116327:118911:1 gene:KN539068.1_FG007 transcript:KN539068.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSNSRRTRTLPPQATQNSLPSSSTTSTPTILLLILCRPAMAYRLLELTLVSASDLKKVTLFSRMHVYAVASISGSNVPMPMHGTHADRNGGSNPAWNTVLHFPVPARFDTRGLALHGSNG >KN539068.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539068.1:139982:143652:-1 gene:KN539068.1_FG008 transcript:KN539068.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPLLTPYKMGQFDLAHRVVLAPLTRCRSYGNVPGPHNAAYYAQRAARGALLVAEACAVSETARGYPDVPGIWSAEQVEAWRHVVDAVHAKGAVFFCQIWHTGRVSPTGRKVLQPNTADDLSLIDAGSGGARSSRRVGLKLKAKVSMTIHTLKHEFQPNGQAPISSTDKQVTPQVSHDGQVLEFAPPRRLKTEEIPNIVDDFRIAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRSDAYGGGIENRCRFAAEVITAVADEAGAHRLGVRLSPYADYMDCHDSDPEALALRVVGLMNDLGVLYCHMIEPRMCVGAGEDGSKPVIAHGRLFPFRKAFRGTFMVNGGYDREEGDKAVADGYADLVAYGRLFLANPDLPERFRRKAGLNKYDRSTFYTSDPVVGYTDYPFLDDQN >KN539068.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539068.1:147202:148589:-1 gene:KN539068.1_FG009 transcript:KN539068.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAEEIGADRVGVRLSPFADHCHEEGTDPEEVALHLIGVMNGLGVLYCHVIEPRPTEDLVIGLPKAPPVMIVASW >KN539068.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539068.1:80721:81032:1 gene:KN539068.1_FG010 transcript:KN539068.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNRLAAQRAVVIFSMSSCCMCHTVTRLFCELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >KN539068.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539068.1:53403:54452:1 gene:KN539068.1_FG011 transcript:KN539068.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDGSLRWTVRSRHNGDAETEEVFDAVVVATGHYSQPRLPSIDGMDKWRRRQLHSHSYRVPDSFAGEVVVIVGCNLSGKEVALELLCVAKEVHLSSKSTKEAMTPSMSKMLARYDNLHLQPLVEHLCEDGRVVFDDGSFVVADAVIYCTGYNYSFPFLETEGKVTVDDNRVGPLYEHVFPPALAPSLSFVGIPVKVILPRFAEVQARWVAQVLSGRRTLPAPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSSISDMCDDIENFRDDYHDSDIVRDGRRLHGWASSPPLAPRPQEKDDDHDKEEDKHLGFGPSKFSLR >KN539068.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539068.1:3691:7819:-1 gene:KN539068.1_FG012 transcript:KN539068.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASEGVGQYDLLIVGPGVLGRIVAERWQQEHPGCKIFGHTATTDHHSELTQLGIIPSLKGPAVQKVPYVIFCAPPYRTDDYPGDLRVAASNWNGQGSFLFTSSTAVYDCSDNGLCSEDSPCVPIGRSPRTDVLLKAENAVLGAGGSVLRLDAASLAIAIMKRRLRARVFVGCDNQPLSRQEIMDLVNRSGKFDTKFQGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSN >KN539068.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539068.1:102377:107774:1 gene:KN539068.1_FG013 transcript:KN539068.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSPRIPVVDLEDGWRDVLAGVAKLKCILDGSNVVHFVPDEYMHLYTTVYNMCTQKPPNDYSQVLYDRYKQALDDHIESVVLPSLNEKHGEFLLREIVQRWEKHKLMVRWLRRFFDYLDRYYVTRRSLDSLKDLGCSSFRDLVFDKLKSTVATILIGMIDDEREGNLIDRALLKNALDIYVEIGDSQLNYYSDDFEQSFLNGTTDYYSKKAQTWILENSCPEYMLKAALFELIDKRAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFKEHVIAEGMSLLKHATDAANSRKDEKKGVVVGLPEQDFVRSVIELHDKYMAYVTNCFQSNSVFHKALKEAFEVFCNKDVVGCSSAELFAAYCDSILKRGGSEKLSDEAIDESLEKVVKLLTYLSDKDLFVEFHRKKLGRRLLFDKNTNDEHERILLSKLKQFFGGQFTSKMEGMLKDITLAKEHQSSFEEYVSNNPESNPLIDLNVTVLTTGYWPTYKNSDINLPLEMVKCVEVFKEYYRSDKQHRKLTWIFSLGNCVVIGNFDAKPVEFVLNTYQAALLLLFNEADKLSYSDIVSQLKLSDDDAVPLPQIDEKKKVVDDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKIIKRRIEDLISREYLERDSENAQTYKYLA >KN539068.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539068.1:125674:126967:-1 gene:KN539068.1_FG014 transcript:KN539068.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMWLDVEAHQHEAAVRPILQHCIVNKFEGRDRDQGVVDESVRKLEKVLEVYEARLSGSRYLAGDRISLADLSHFSFMRYFMATEYAGVVDAYPHVKAWWEALLARPTVQKVMAGMPPDFGFGSGNIPYLLGYRCYCVVDEENVAGDGRRHPAPIVPAYARSSGREGRDHLGAIGSADFVVLMLKILDPPCYVELRVCGGQCRRIPRSDLEILPRFVPLQHVEIELVVVGSGGVEV >KN539068.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539068.1:66508:67702:1 gene:KN539068.1_FG015 transcript:KN539068.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWPFPLLASGSLPPGGWLPINAGCSIYMQPCRNEAVARSCDCTTHQHLDPCEFSLAARTPKFMGTNGAQGSKGASSTTTNPTNDDKKKKPAQAPGADADADADADADGGGKKNNSDYVDKTMCLAINRYISGGLIAFCCAICALLSFTDSIIDRKGRPYYGLAFPADEDTGKGGFVPFNYEKPRRPSNGGADDDSWEVYFVDFDPEELYKRRVRPLDFLHATLRVFVFLALAFSDAGIQTCLFPQESATWREALVNMPLGVGFVASFVFMIFPSTRKGVGYPREAESGTKEGGADADKDGKAEPPKTTTNGGSGGVDAERSKQKNNDDCPKTTTTNDGSGGGDGAGSSVQKNSANQVVPIQPSTKEISNRADEKIANIV >AMDW01022916.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022916.1:157:315:-1 gene:AMDW01022916.1_FG001 transcript:AMDW01022916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWWGIVESEGPGRYNFDGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSC >KN542222.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542222.1:65:1514:-1 gene:KN542222.1_FG001 transcript:KN542222.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGVRLKAGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPAIKAALGYTQQQLAVLGVAKDVGENFGIVAGVLCNSFPPWVVLLVGAAFCFVGYGALWLAVSGAVVAMPYWLLWIVLAMATNSNAWFLTAVLVTNMRNFPLRRGVVAGLLKGYIGVSAALFTQVFSGVLHRSPTSLLLLLATGLPTICLATMYFVRPCTPATLDAATTDADTEEDGHFAFTQAVSVVLAVYLVTTTVLGNAIKLSDATSYTLFIVTVLLLLAPLAIP >KN542222.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542222.1:13413:18014:-1 gene:KN542222.1_FG002 transcript:KN542222.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FRIDYIAWAIQKLSGFCIALFMVQSVDRLVLCLGCFWIKLRGIKPVANTSISNDDIEATAGDGSGGYFPMVLIQMPMCNEKEVYETSISHVCQIDWPRERMLVQVLDDSDDETCQMLIKAEVTKWSQRGVNIIYRHRLNRTGYKAGNLKSAMSCDYVRDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLSFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKISTWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTI >KN542222.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542222.1:11558:11797:1 gene:KN542222.1_FG003 transcript:KN542222.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPFYNTRSVKVAYVLDGEGEPEIVYPHMSPGGRGGESEERRRERGKGNWREEEEEEEEQKGQEDEEEEQVGHTGGSS >KN542222.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542222.1:6954:7466:-1 gene:KN542222.1_FG004 transcript:KN542222.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVRGQHWGTFDHINASPLPSSDRKKNRSTSSLERGSNSSSMTSTTAGREQERRAATTAQEEVEWSLIGEGGVEVNTERVVTSQDPLVHKASSTPPRRSSSHGEPAWRVGGRGLSRRGWVLSTTAQGEPIGAKLDPKQPQRGSRTQLSRWQVEASLYARPSTSSADNH >AMDW01011854.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011854.1:46:123:-1 gene:AMDW01011854.1_FG001 transcript:AMDW01011854.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLARNVLLTGKVLLNGKKRRLDYGVL >AMDW01040911.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040911.1:2522:3460:-1 gene:AMDW01040911.1_FG001 transcript:AMDW01040911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLACVCLLHLLFLATSRVAAQASSPARALDAMLQDHAYRAFLHPHTGIVYNATVPANLTGVALSAVRLRSGSLRRKGFSDYFEFTIPTGIVVQPYVERVVLVYHNLGNWSDHYYPLPGYTYLSPVLGLLLYDAANLSAVGLQELSFVASGSPISITFTDVRSVPAGGPAPRCVWFDLDGVPQFRDLEASNVCSTYRRGHFSIVVNSSAIPPGPVPSGNITPPIPTPTGRSKGSSNGWKIAVGVVGGIIALVLLASLVVCFARYKRDKKLALMERNAETGETLRMAQVGRSQAPVALGTRTQPVIESEYVA >KN539822.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539822.1:34605:36625:1 gene:KN539822.1_FG001 transcript:KN539822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERENRVETISRLAQWRIDTFGPSSYRRSDSFKIGIWNWFPSQSQSPTTFFYSVLTDLPNFLPINSIQFLQLLLNNRALLHFPYQLSTLVVPFTIQAAESSTSIWPNESIAVQNIASKSSLGCLSRMLAESIHADVTINTTDGVLKAHKAILASCSPVFESMFLHDLKEKESSTININDMCLESCSALIGFIYGTIKLDQFWKHRLSLLAAANKYSISDIKDCCEESLLEDINSSNVLERLHVAWLYQLQKLKKGCLTYLFVFGKIYDVREEINSFFQHADRELMLEMFQEVITAWKPI >AMDW01020718.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020718.1:3:138:1 gene:AMDW01020718.1_FG001 transcript:AMDW01020718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVGKERKRPCCAFVGVGDHGGGVANEPGGARGGGREGQAQQ >KN539822.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539822.1:61337:63505:-1 gene:KN539822.1_FG002 transcript:KN539822.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVFLLLVLVITSQFEWKQQIGDAAADPADARRRHQGLAKEDAVKEKIILSQEKNIQQLNELIESLQRQLLHCRGSNNTVHTTTVSHTEVSEVDGQESIDD >KN539822.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539822.1:8841:13006:-1 gene:KN539822.1_FG003 transcript:KN539822.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSPEENGENNTGGSKNCRGIEIDDDREGRTINLMQMDGINSRLKREANLSFHFASLSVSASPSSPPLISHAHAHGSRRLHLHAASLLSALPPHLLILLPLPFSACKSAKAPEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALVIRGGCQFDDKVRNAQNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTTLSSFRSTVAVSPPRPIRRHPSSQSTSRAYSISSAPRNYNPQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASMHSLRSGHLSLPINIRYTMPHVSGSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >KN539822.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539822.1:38332:42652:1 gene:KN539822.1_FG004 transcript:KN539822.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLALALALVLLASGASAASVGDTCSSEGDCGAGLHCSDCGDKTCTRAKPIDPLTHGTGLPFNNYSWLTTHNSYALAGSSSATGSALITQTNQEDTITAQLKNGVRGLMLDTYDFNNDVWLCHSFQGKCFNFTAFQPAINVLKEIRTFLDGNPSEVITIFLEDYTASGSLPKVFNASGLMKYWFPVAKMPKSGGDWPLLKDMISQNERLLVFTSKKSKEASEGIAYEWSYVVENQYGNEGMVEGKCPNRAESPAMDSKSQSLVLMNFFTTDPSQTGVCTNNSAPLVSMLKTCHDLSGNRWPNYIAVDFYMRSDGGGAPLATDIANGHLVCGCDNIAYCKANSTFGTCVIPPPSPPPSPRKAPNGGKGTSAGGSSSSSGAMARVVLPKLSFFFGVALLVLVILSY >KN539822.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539822.1:48381:52140:-1 gene:KN539822.1_FG005 transcript:KN539822.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKEIQGYFSGGDVSICKSKQDYLRSSAVAGVLSCAYAVLLSSIGSGRSWSRGISIVRDVRDSFGSLSRRSFEVRISGLPGLSGHHRGKSLGSLSELRDRPVVVDQSRWVGLPPELLRDVMKRLEEGESNWPSRKDVVACAAVCRTWREICKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFIVYDTQPPYNAGSLMSCQHGSRRISSRRVSPKLPTGSYPIAHVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSFMDRSLDFSSSRFSEISGSANQQGEDDIPEAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAASSESSQLEQQQQNHASSSSSASDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >AMDW01033023.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033023.1:12:302:1 gene:AMDW01033023.1_FG001 transcript:AMDW01033023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSDEMVDVIRKTSPAFNLLVVGRRSESPESPLTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTMAAAGESCRLPELPAKHKSDGPV >KN539822.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539822.1:5693:5983:1 gene:KN539822.1_FG006 transcript:KN539822.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKEVRHGTAQAKVNGDDEMLRTGLFNGTPLEAGKVADSQPVDLFDQARRISQQQQHRPEDEAQAGRKIAESEPVDVFSDAGRVAHQQQHRQA >KN539822.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539822.1:67481:67966:1 gene:KN539822.1_FG007 transcript:KN539822.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNHDDASLRQALLDVAGAGLACVVPWAPQRDVLRHRAVGCFLTHSGWNSTAEGVAEGVPMVCWPFFADQQINSRLVGAVWGNGVDIKDACERGVVERSVKETMESGEIRRSARRLAEQVKRDTGDGGSSALEFERLVGFIRELSKVDDEPTLGKPLSK >KN539822.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539822.1:44387:47629:1 gene:KN539822.1_FG008 transcript:KN539822.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKQQRVYQVWKGNNIILCSGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEILPTGNAALPSSPEKKQHPDVLC >KN539822.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539822.1:14054:16408:1 gene:KN539822.1_FG009 transcript:KN539822.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAASSSAAEMVGRAVGEVREALNEHADVVAELFGRVSSDLRSGFRPALDSFLGFFHAVDWKEPWLISMLIFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLGQHWKSFSSQNYFDPQGLFISVVWSGPLLLITILILVNTLVTLCLLMVRWKRAELRHRAREVRNKQD >KN539822.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539822.1:614:1033:1 gene:KN539822.1_FG010 transcript:KN539822.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALSSQVRLPMSLRVAAAPAPARVSVLPASSKLGDRLRMQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGEIDQSDQSFLDDDQVAAGWVLTCHAYPKSDVVIETHKEDDLI >KN539822.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539822.1:53831:57215:1 gene:KN539822.1_FG011 transcript:KN539822.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIYERLVESGRRVQKQHDDQLLQRQLRLHFRRMPARYLVDMCSGGGGGGGCKMEEVLIHLQLLADCADPANRPVVHARFLITIIPSSSSSTIRVHVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVIVWLADQMLRATD >KN539822.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539822.1:73217:79924:-1 gene:KN539822.1_FG012 transcript:KN539822.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVANMEVGKEELQAAVTADLQGQASGCAAAVPEADGVRPNGAPILDGGAGGSGSSDRMADMMARLHLTAAEAKAVNKKSSSAKSGNASNSAGGGTSGFDSRGSSGGAAQPGTSKSRGKKPASQDLERGTEVTSPMRSQGRGKGPGRGVSRATRGRGRGRANGPGRELFPEQEGTLVVAGQKRKSGKSADPKDSPASQNNMMDCLALVTSSKEDAQSAMILEVEEVGDIDSCKKQRRGLEADMA >KN539822.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539822.1:26454:28230:1 gene:KN539822.1_FG013 transcript:KN539822.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRHEEEAGESPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQELDLYRIEPWDLQERCKYGGHGGDEQTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKAIYNAVHRIGMRKTLVFYKGRAPHGQKSDWIMHD >KN540548.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540548.1:12386:17860:-1 gene:KN540548.1_FG001 transcript:KN540548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERDGEEQRRPLLSSSSPPPPPPGRRTATAFAVRPRSLPDPPFRAPSRDRLTLPRFVEFRSLQRRNISISTSSSTSTWAAAARRRCAAAAAAAEEEEERAGAWGRRSAPRRSGGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYDGGKIDGRDKGPVLAVWELDLRCGMCSGKGMLPCIACGSRGLVTCKTCSGYGSLLAKSTAHVRWKTLSARKVSATRGAASVPDEVFHRAQGVQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >KN540548.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540548.1:34421:37979:1 gene:KN540548.1_FG002 transcript:KN540548.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMNDDLLRPLFMLPPKEIPPFWHAIFIIMLAFLTKCHLQIQWFARQQWPLSACYLCTIRIAEVVTTGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQDTPLNSHSILFKELVFCWNLVQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >AMDW01012156.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012156.1:12:197:1 gene:AMDW01012156.1_FG001 transcript:AMDW01012156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHRTLADYG >KN538689.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538689.1:512901:515106:-1 gene:KN538689.1_FG045 transcript:KN538689.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGISLVSSAQLLTNYTQILPPTLGELHVLDHFGFLFGVGINPLPEQLKLNDLASTEDVNYTACPASPYGDMFCPVSGNAMDLARTARHNEEDDTGNESNFISGCMYI >KN540548.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540548.1:29977:30621:-1 gene:KN540548.1_FG003 transcript:KN540548.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANGEGKGMTVAKILKGGMMSGILKYGIMTKSGGRTTFLMTYRYGPKDSFLGGEQKHWRRMQIMEPAAAAVAPYLASHQAQPLYLASHRRQRRPALVESPATCQMRRIPAKLEAAGQLPVSIDPWYDKIVLV >KN540548.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540548.1:24184:28495:1 gene:KN540548.1_FG004 transcript:KN540548.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVGVLLFCLLFVLVRDVSAVAETEVGVVRVVQEAPDRKLEGAGRQDGFKSGKVPVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHSSEDTAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILQLVNRKKSSVNVITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYSGLDYWMVIACGALIPSFGRVFKRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >KN540548.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540548.1:42249:43666:-1 gene:KN540548.1_FG005 transcript:KN540548.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTSLGFSVRRRERELVAPARPTPYEFKMLSDIDDQDILRFNRSGISFYRHNPNQDGVDPVTVIRAALSEALVHFYPLAGRLRELRPTRKLVVECTGEGVVFVEADASFRMDDLGDGTSSPLLAPPVPCYDMLLCEAESPTADVVDRPLLFVQVTRLACGGFVFGMHICHCMADGSGIVQFLTALTEFARGVHGAPTVRPVWEREVLTARWPPTVTRDHVEYAPLPVDDDDDVLLSLSPSTNAYAHHAFFFGDREIAALRSQVVAAACSRFDLVGANRPVPRGYYGNAIVFATASATAGELCGRPLGHALRLLVEAKARAWEDGYVQSVASFNAARRRPAFPKGARTYLISDMTRAGMTGIDFGWGKPVYGGPATTMLATFHLQGRNEAGEAGIVVPIRLPSPVMERLIQEVDKGLNAGAAAVLDDAKARVVPDEDYVLAKL >KN538689.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538689.1:555406:559689:1 gene:KN538689.1_FG046 transcript:KN538689.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLLHSSLPPRASHPEFFSESDERPCLWRGLLSDFDGLLFLSSVSLIVERYAFTCKNGAGFAHTLHNHELMSDETRLLESRDQCWLPIARVNYQPSRTDSAIYVSGIPCLTLLNLQPEAQNQNLSATSAKNASAFDCNLCLIMLPRFPRLMEADESGVPPRPVAGDVHIPASRSAKEPIFMERHGGDLLIAMASSPDTTPAFHAARPVSISLPASPTGFDVARSGVELQRHAMTDAPCMLPLPGWPAMMAVAQPDRMIFRSQPIAVLGGAAALPQQSRSSQQSSEALVLPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMCAVSALYACKVAFYFEAVRREYYHPVRVNFFFAPWIACLFLAIGVPPMVAASLPHWLWYLLMAPIAVRINLFRGFRFSLAWWAYTFPMTSAAIASIRYSSEVKNAFTQSLCIALSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITERKLKPIAEIHEQQQRDKNATTAADIHPDSACIKDLEAGVPPPPPPSYAGDRSTTTTSTDPCPLGAGQF >KN538689.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538689.1:490144:491999:-1 gene:KN538689.1_FG047 transcript:KN538689.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHGHDTTLPISLDVMLEHCRAVARGATRPLLVGDLPFGCYESSSTRAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGHVINKALSEYKQEVETRSFPGPSHTPYKIAAADVDGFANALQKMGLDEAANAAAAAAENAEKDGKQPENK >KN538689.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538689.1:520883:522690:-1 gene:KN538689.1_FG048 transcript:KN538689.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding METFTFLKFLRGGAVAGNKGGAVAATTIAASACEDGGGGGGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFDFSVAGDVASGGGGVAVDDAVAVAPGGVCGEAKNLCMRWGHVGGVARHTV >KN538689.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538689.1:537530:542049:-1 gene:KN538689.1_FG049 transcript:KN538689.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFVSLFPQTTICSEQTRVLLTSAAYVHLKQADISQYTRNLAPASRAILLSGPADFSSSQIHSKYGGGSSTDSSFKRSISETTLEKMSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESTSSFPKLKRNASTSSDMSSLASQGPPNNPASLRRASSWTFDEKILVQAVYKVLHSVSKKNPIVLYIRDVEKFLHKSKKMYVMFEKLLNKLEGPVLVLGSRIVDMDFDEELDERLTALFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMVLGRYIEEIVVSAVSYHLMNKKDPEYRNGKLLLSAKSLSHALEIFQENKMYDKDSMKLEAKRDASKVADRGIAPFAAKSETKPATLLPPVPPTAAAAPPVESKAEPEKFENKDNPSPAAKAPEMPPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRELILRSLLSKEKVDGGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNASDASKMKEKDETIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >KN538689.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538689.1:576899:577291:-1 gene:KN538689.1_FG050 transcript:KN538689.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAWRMTGWWRGAFRERYDRSSRSSSAAPNSCRPTTISTMDDRKSEYGSRDRFTTANPRRTCSSAADTTGRNPSLTPHVSTQLLALAARRLRLVWCLVGGGGGGGGAALDDAVEGDFFLGLFFFFFLV >KN538689.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538689.1:477438:488824:1 gene:KN538689.1_FG051 transcript:KN538689.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAILRAALRRSRPAAATLLHHHPLPSSRSLPPLPSLGSAGFGYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRVHCLPLSCSLEMFASDLEVLIIETRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKIDLSQGSSQIHPSQGRSTCRVECWIHSESAGKERRKRRRACARKKKRHQGGAVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDISDQDGSRLLIVEKKGKQSNRDVVILISPENRGKVHPLLRLSKPEGNGCGSVFDKAQWMEYDIELICQDEIIFCIVQRIDKRTPMWFKRILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPQMFQFVPSEKQVKAANKLLKSLPQRRRRKKLDGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSMVQNRHTRRRRDIVDDSLTSEMMEESADSFLEPPEVQELMNEIGPVGIPLNVVTKAAEIQFLDVVDKMLLGNKWLRKATGIQPQFPYVVDSFEERTAAAIDRAATASSSFTASKDADCFQISKQPETLESDVDNSNRGKHENSNNGQSHFPFSNLLPNIWPGHGRSFKAQGRDKAFRRCDASINNDLQANPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQAGEKTIFSDEKDPLFVANVGDYSRITKISST >KN538689.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538689.1:608531:615444:1 gene:KN538689.1_FG052 transcript:KN538689.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMINFACIRTDSSLNKTVNYRDHYGGVYQNWQQNGPPPPPDHVLKKVPSHPSPPPPPAPLNVHSGGSGSNYSGGDNSQPLVSPGAALGFSRCTFTYEDLSAATDGFSDANLLGQGGFGYVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVTLVGYCISGGKRLLVYEYVPNNTLELHLHGRGRPTMEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQSQMDDSLVDWARPLMMRASDDGNYDTLVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSVSMGDARQIPETEMEMGSLKKDDDSNGTRDSQAS >KN538689.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538689.1:591931:600633:1 gene:KN538689.1_FG053 transcript:KN538689.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGANSVLEEHCKAGPRNVVITGSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFVKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNAMQYQEKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSVARLHRMLQPPIELKTHWGGVKKDIAKFCGAYSRARRTWSSGFSDDMIMEKAHALYKSENNDKTFTLEYMWRELKDQPKWRRILEEDSKNKRTKISESGAYTSSSNQETEEETSRKEKRPEGQQKAKAKLKGKGKKSAPSPLGDQPSQDFVLFNEVVKLRAEAVLKSEEATTKSVEAKKEQTRVEKYQTYLKLLDKDTANFSDAKLKRHEAVLEKLATELAEE >KN538689.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538689.1:535486:536222:1 gene:KN538689.1_FG054 transcript:KN538689.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSLWVFSFSGLTPHHLLTSKIVFHLYHNLFKFWQSIIMFHRSPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPILYEKYEDQIDSYGEKGWVEIKKQYAVFDAKVLSKVPRGPLKDKKH >KN538689.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538689.1:493202:494902:-1 gene:KN538689.1_FG055 transcript:KN538689.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAYDYPSGVHVDAAGFDICLVGDSAAMVAHGHDNTLPISLDLMIEHCRAVARGAARTFLVGDLPFGSYEASTAQAVGSAVRVMKEGGVNSIKLEGSAPSRISAARAIVDAGIAVMGHIGLTPQSVSALGGFRPQGKTVESAVKVVEAALALQEAGCFAVVLECVPAPVAAAATSALTIPTIGIGAGPFCSGQVLVYHDLLGTFQTSHAKVSPKFCKQYGNIGDEINRALSKYKQEVETQSFPGPNHTPYKLAATDVDAFLNALKMKGLNVAADAAADAVEYTDEKEINGTPQLKVYA >KN538689.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538689.1:471049:475556:1 gene:KN538689.1_FG056 transcript:KN538689.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding QYGCDVEKALDALLDISGMSSLQNMERCFPNAQINGMSSPNIFLGNGLSEEVSTAGIEKSSYQFTDEMRNMSYNRSDNEHGFFWGELQRSYLKVACEEPHSTTSSTRSTSSISKTPQQVLDSLFKIPEQRTYEPSSMDWKKAAMAYSKGEKSYASYLAEEGKHYRELARMEDERASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICVCMPTTFLRVITGCGVEGTGKGKIKRAVAELVEKEGVEWREENAGTIVLRLGGPREYRFLEHDSDSD >KN538689.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538689.1:545326:551731:1 gene:KN538689.1_FG057 transcript:KN538689.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MPKASDAELRKEKNVLCGELTNLREGILREAARHVPTTGINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSHIQNLLAPIYPAVNQGGPWEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPIFKVITRQDFSFSPMMSHIDGPEKKMANEKIVDTIRRKQEVISDYTDQQLNPKARNDKFDDTCNYGKISYCFHEKIMLL >KN541990.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541990.1:201:2472:1 gene:KN541990.1_FG001 transcript:KN541990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FNLAMILFSKNNIKFATARPHDGSGTQSVTCRQSSRQVWPSHVMATDFDHLPRILATAIKTCKSYLKKSEFIEATKQPSLILSWLQNLMAFLGSDFKKYLLEMQYLCVEAKVLFCINWLYLYDPFTISNYSSSMHRTVRIEVPRRF >KN538689.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538689.1:616877:619843:1 gene:KN538689.1_FG058 transcript:KN538689.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFERLNSWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEEIYLPDTIDVGGAGRQQRSSGCEC >KN538689.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538689.1:583381:585627:1 gene:KN538689.1_FG059 transcript:KN538689.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLKFSCAHISCFILDSSTCVRDRMHRSGSDPDSDRAHWYMSNSDDESFVDKDKDCRRGTVAPLLKKGPWTSWENSILEKYIKKHGERNWKLVQKNTGLLSFYITSMFGDLYMEGSNILDRRLASKDYDSIEDQRNRIDVAAEYEISLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFVYSRAYATHLTNLALLNDQSEELLNNIDVLNYVVMKEELSGGSLSPTINMPCEAHTSMTPSNELVVPRYEGDVPPLQDDFTPYLYLNGANLSIFEDIK >KN538689.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538689.1:501132:501587:-1 gene:KN538689.1_FG060 transcript:KN538689.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVDKAKGFVADKVARVEKPEAELAELSFQGVGRGGATLAGRVDVRNPYSHSIPICEVSYSLKSAGREVASGTMPDPGSLTAGDTTRLDIPVKVPYDFLVSLARDAGRDWDIDYEMRVGLTVDLPILGNFTLPLTKSGELKLPTLSDVF >KN538951.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538951.1:143335:155139:-1 gene:KN538951.1_FG001 transcript:KN538951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MEGEGVKGLCEPGGWVVMGIEDYHVIVLVGEGSFGKVYMGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLQISLFQVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSAHFKSFLKGLLNKSPQSRLTWPALLEHPFVKDDSVEPAADNGTVPYEVKGSEATWKADEIQTSRKQPPVTDPQSRNTVENREIVYDKQNNKKVEGPKHAAEDHHGSATGPECSALDKLEKTSQTVKGANAIIEDSEALSTALSPIKIWLSNTSTSPRELNIDDANQSLRIVKNLIEAGSYQSCAAIDDIICMFLECTSVIIKTKISDAYSFAVKILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDEKEGSIHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLSDTHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMAQYIQVLLEVSVPGCILHCFDHVNMEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSSLATNKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSNILCEDIVSQGAIQALLKMVSSYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDPAISEYASAIATKACQP >KN542843.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542843.1:6493:9940:-1 gene:KN542843.1_FG001 transcript:KN542843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGAGSCEMCASCCTLLVLLVAALLILLYGVVFNVGVTVEDATLTRLDLTGANGTDLAYGISLTVAVYNPNMAVRVEYTRPLAAELRLATGDELLLRAVRLADAGQRVEAAETDRQYVSA >KN538951.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538951.1:103584:103987:1 gene:KN538951.1_FG002 transcript:KN538951.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTEMGKTKVGTQILEEGSECTLQDLMEKDIRQLEAIAGNGEPSGAEDGFTQSDKKRRKKKKSTDPAVATRQSMRIIRDGVPVAMKAQKRTSEKNDISGYYL >KN538951.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538951.1:57789:58757:-1 gene:KN538951.1_FG003 transcript:KN538951.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASSVVISRFVKLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFDREVAAMEACRGHPYIVQPRAHGRCDDGEAVLVMEFVGPTLRQVLRRERGGRTRRSELEVRVAMRQLLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTASPPPYSNPIGTRWYCAPEILLGSTDYDERVDAWSLGCIMAELLARKPLFRGSSDREQLGEIVDVLGVNDIKRWRGYKGQRLLGGCGPDSFLRDFFPSPADARMLRRPPLSEAGFEVLSGLLTCNPEKRMTVAQALRHRWFKEADSASLRHRR >KN538951.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538951.1:4997:5960:-1 gene:KN538951.1_FG004 transcript:KN538951.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEGSIPRHFHKLQSPHEDVGLFRVSGVFPTISKDGSMLAFVDNEFKAVWVADNQGLRIIHKCTGANSVFSPMWNQKKDTLYVCMGPSFSAKDPLEIHAFFDVSQRTGRRHRHRRLTEGGFNNAFPSSSPDGSRFVFRSTRDHMDDDPKKRSKNLYIMEDGQLG >KN538951.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538951.1:53934:54188:1 gene:KN538951.1_FG005 transcript:KN538951.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGEVEDVRLFATPRMDKYLAQAKLFDEDPAAPIPSADEDAKEELAANPLAPLAGPLAFYIKAAIQALQSLEKIISVAATPR >KN539636.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539636.1:62534:62815:-1 gene:KN539636.1_FG001 transcript:KN539636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPPTRPEGYSTSDSEADRQGWYFSKEEEAVEEVVEDIAAGKEWEGFTLEFLQTCL >KN538951.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538951.1:130512:136399:-1 gene:KN538951.1_FG006 transcript:KN538951.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAGSAPNGCTSTVKGSEERHSLEHRHDDGSCISEIKLISNMVVKKKRGRRAPPSSRRLSGNKVISGEDAVQNRNHAKEEDQAGNSSDVALSPSSRKTEGQDQLTNPKDLFEKACHQATEMVTESTTGCKKSFWEEKGSDNRRGRQATLCVKQDGLDIETTGKDVSASEAYEKSSTLEDTSVGHAAAKSVNPEDNSLDPTDNVSDTHVNATSSEDKSSEEVEDVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMRVMMEEVPEGEWLCEECENELEYEKKKKLEKSQLKVGASKSQFFERKTNKIANASKSNSYEDETSKALEGKISKPDTALKNRSSFENEVENENGDKKELNSTNQCNNSNSKRKEEGAGIISSIKQSITERCGLSMGAESRKRLPLSRESSFRLDVEKGKQAATKVPTSLAFDAAKNLGPPLRDLGKENLHRKVPRVKQLVNEVPQKPNNLKDHIPFLAKKEGPVGILAKSPFFKKPKSCESANKAKSSILPPTEESKVVNPPVSHNVTSDRDTSILGCPSVTASMTTQVSSKEESKAQHLTTGYSEVNKQLVAKAPGSTTVSSAEKSSGILGSGAQRKVIQNTDPAHRDDKVKDPTSLRPGGSSINRSMRCQRCNEAGHSTQFCSVDKLSLSAVKPMSERNMKDSSAKRNKTFEATNMIAAEKAASRPADQSEHIVKCGPSHNPMCRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSSNKASTDGSKLKPNECQTVSVKTGRLVDGSLTMPDALMDKSSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQENGPSYENIGLFFFARDTDSYENYYSKLVENMLKNDLALRGNIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNTDRMDVDESISVLTSGPSFSEGQNNGAKSDHDLVKSVSCVDYQCPQSTETNYQRCSNGETESNQPVSRNESEDHHQVPITASSSTNNSTDLATEQQKLSCSGDEDTKDSSNSIACEAIIDVNTVPVTCSVSSVYEIGKGIRVINLNEADNLVDVDINSSEVNSGTVDLISHTTATPHKRNIEVANWTDEVNGKLEQKKIKLDNVGSANSSLSENTSDGRLSSKVHPLVSSSFDDSVDQSLAGSSKCNGKRIFPLDLNTVDAGNVVNISDDEEMPERDAPDFELELTDNNSPRKTMFSFLSPKVEENRSKEHSLPTDSPGSLSLSLAFPASREHAGVLTSSYIQGAELLNCKEQSSGRECYFAGNSDMLFKT >KN538951.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538951.1:50685:52440:1 gene:KN538951.1_FG007 transcript:KN538951.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFNDDELTAPSNYQLVSRLEIWITDECYVCVGEGSTTTRPTAVEVSEAGKVKLAVYKNDEAPLEENTQQALLELTGADSTVNRPGLDLVAVLDVSGSMKEHGKLEKMKTALLFVVKKLSDVCPLRFVTESSMDGFKVLVDGLSAHGWTNIRGGLEMGIQVVAGRRLNAGRVAAMMLMSDGVQNRGGDAREVNLGSLPVYTFGFGADHDPTELQLTVTPIPGNSTIVKVDPGSYPQIQNNAAGSFTITFGNLYGREVRKVLVDLVLPAVKEDGIDCDILEAKYTYIRVQIIVFDI >KN538951.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538951.1:64201:81981:1 gene:KN538951.1_FG008 transcript:KN538951.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPHRPPACYNFLRSTAVRRTHIGASALLRLRGGVRESGGEPVTRGSSVVGGAFPVGGGAALAGVSVPLPAGAGCGVHAQAGAAYPVGGGGGSGAVLGGDAVPGGAAFSAAVASLPKVGGVQPSGSANAALGGAIAQVTGGSGDSTVKGVATLGDGKGSTAVAFGAEGSVLSQMPALGFTKALCISTLNTTQMITGDSNPDKEVESIAHRLGCVLILAVSSGVEEHTTQGVLISPLAVGTHSNRSGPGTGSKCPPMGQSGHDLHLEQGLGWVCSFTCG >KN539636.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539636.1:12167:12490:-1 gene:KN539636.1_FG002 transcript:KN539636.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRHQLLYVQETVLRKRKVNEDCAVKSREQKAARRQCHCQDDGNAHQEAAGLRQGVLQQGGPRSDGDSPQGPQAASRHRWPRLQAHPCDTNSWVITSSSSSTKL >KN539636.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539636.1:45068:48094:1 gene:KN539636.1_FG003 transcript:KN539636.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLPTLRRKPEVDAAIRDTLDKVLVLRFGRADDAACLHLDDILAKSSWDISRFVTVALVDMDSEEMQVYIDYFDITLVPATIFFFNAQHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPKFQLLFKDV >KN539636.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539636.1:43051:43650:-1 gene:KN539636.1_FG004 transcript:KN539636.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTAAAQFVHNIQVDNKASSNNRFQEPSASVVPADNSKNIPNASAAQFTDDLGLVEQPASTSSNAQTVQPSFARAGMISNEVPNSAKVMGRSSNTPNVNPGIATGVSNSNGSQVASMPSKPHQSSSSSSGQQYQHQVNNQDRRSRVTQKTGAVSEWQRRSGYQGRNQNSGSDKNLGTGRMKQIYVAKSSSASGHAPSG >KN539636.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539636.1:78224:80561:-1 gene:KN539636.1_FG005 transcript:KN539636.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPNVNIFRDPRWGRGQETPGEDPATASKYGAAFVKGLQGSSLTNLQTSACCKHITAYDIEEWKGVSRYNFNAKVTPQDLADTYNPPFRSCVVDGKASCIMCAYTLINGVPACASSDLLTKTVRGEWKLDGYTASDCDAVAILHKSEHFTKTAEEAVAVALKAGLDINCGVYMQQNAASALQQGKMTEKDVDKALKNLFAIRMRLGHFDGDPRGNKLYGRLGAADVCTPVHKALALEAARRGVVLLKNDARLLPLRAPTVASAAVIGHNANDILALLGNYYGLPCETTTPFGGIQKYVKSAKFLPGCGAAACDVAATDQATALAKSSDYVFLVMGLSQKQEQEGLDRTSLLLPGKQQALITAVAAASKRPVILILLTGGPVDITFAQTNPKIGAILWAGYPGQAGGQAIAEVLFGEFNPSGKLPVTWYPEEFTKFTMTDMRMRPDPATGYPGRSYRFYKGKTVYKFGYGLSYSKFACRIVSGAGNSSSYGKAALAGLRAATTPEGDAVYRVDEIGDDRCERLRFPVMVEVQNHGPMDGKHTVLMFVRWSSTDGGRPVRQLIGFRNQHLKVGEKKKLKMEISPCEHLSRARVDGEKVIDRGSHFLMVEEDELEIRFQD >KN539636.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539636.1:14366:30757:-1 gene:KN539636.1_FG006 transcript:KN539636.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGWWCGLSLCAKPKQLSTPYALENGGWASVFLLVGLGVMCAYTAHLIGKCLDDDPASKTYQDIGERAFGGRGRVVASAFIYLEIFFALVSYTISLSDNLPLVFAGAASHLHLPWVRLTATQLLTVAAVLVALPSLWLRDLSTISFLSFAGIVMSLLIFGTVVCAAAFGGVGLGGGYIPAPLLERIPAVSGLYMFSYAGHIVFPNIHAAMKDPSAFTRVSVASFAVVTALYTALAFVGASMFGPGVSSQITLSMPPGLAVTRIALWATVLTPVTKSVYTLQCISFEREHIRDQKRAALLKEKRSSVGSSSAPRVVVLFGLSSSANVRSLAKDLLTIASGDEEKPTSSTVASPTYKLRTTVLEAPYGDLTSCMELAKVADLLAFVLSANSLYDCDSSSPIDDFGSQCLSVFRAMGLPSTAVFIRDLPSENKSRQELKKTAISFVSPELPEDCKFYAADTKDDLHKFMWLFKEQHLSCPHWRNQRPYVMSEEACIKPDDSSGLCTLLVSGYLRAHNLSVNQLVGVGDFQLGQIDILKDPFPINERKNSNAMDSEDSGIQIVDTFVPDPSSQEPLLVENTPDPLEGEQTWPTEAEMEEAYLNNKQRKLKRKLPRGTSEYQAAWIVDDTDDEDGDSENDNQDGAGMVIDEQDHSDNGGDGSDMDAVSHFTEKFDEETIGGTEMADDENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKRRFAKYRGLKSFRTSSWDPKESLPQDYARIFAFDNFTRTQKHVLAKMAERDEGTLKDCAQRGSFVRLHLKNVPTEIASKLVHPSRRLPVVVSGLLQHESKISVLHFSIKKHDSYEAPIKSKDSLIFNVGFRQFTARPLFSTDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKSRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAVVRYMFHNPEDVKWFKASAMKCIFNSSVQQHDTVCMSLYKRAYPKWPEQLYQI >KN539636.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539636.1:48807:54717:-1 gene:KN539636.1_FG007 transcript:KN539636.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSCCHGAGMVMVVVVVVAVGTEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPTLASKYAVAFVKGMQGNSSAILQTSACCKHVTAYDLEDWNGVQRYNFNAKVTAQDLEDTYNPPFRSCVVDAKATCIMCAYTGINGVPACANADLLTKTVRGDWGLDGYIASDCDAVAIMRDAQRYTQTPEDAVAVALKAGLDMNCGTYVQQHATAAIQQGKLTEEDIDKALKNLFAIRMRLGHFDGDPRSNSVYGGLGAADICTPEHRSLALEAAMDGIVLLKNDAGILPLDRTAVASAAVIGPNANDGLALIGNYFGPPCESTTSLNGILGYIKNVRFLTGCNSAACDIAATDQAAAVASSSDYVFLFMGLSQKQESEGRDRTSLLLPGEQQSLITAVADAAKRPVILVLLTGGPVDITFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSYSRQLVSGGKPAESYTNLLASLRTTTTSEGDESYHIEEIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMYLRWPNAKGGRPTTQLIGFRSQHLKVGEKANIRFDISPCEHFSRVRKDGKKVIDRGSHYLMVDKDELEIRFEA >AMDW01039928.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039928.1:1:957:1 gene:AMDW01039928.1_FG001 transcript:AMDW01039928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLENVESKEDALEAKLTDKKFLTSLSLEWSQSSSVQHSCPPDLQVEILEGLSPPSQLTELEIQQYNGLRCPSWLSSENQNGLFTNLQDLQLCRCYNLEHLPEIGKLFVSLRRLKLVVFPKLKRMPRLPGTLKNLHIQQCKALVMTCTEDVNMIRSLFVETATQIEPSLNITATEVAEIDRFAGEQPDRFEKILCDIFSRCGSLPGELIRGHIREDDYSELTLPAAVVDRLIISYCFVTNTVLHRCLTGSANLVSLNLRCLPFLTEIPSEVMESMAKLSDLSIEDCIQFTHLEGLNNLSRLQHLTIAKCPNLRALGEDQK >AMDW01035471.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035471.1:23:580:-1 gene:AMDW01035471.1_FG001 transcript:AMDW01035471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHQLRGLGNRIIAIVSAFLYAVLTERALLVAPYNGDVAALFCEPFPGTTWLLPDGGRRFPLRRLRDLDGKSKESLGTLLKSNAVSVDAGGNGTSSWSGRPPPYVYLHLDGSADYHDKLFYCDEQQRLLRGVPWLLMKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAIT >KN540152.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540152.1:27574:29738:1 gene:KN540152.1_FG001 transcript:KN540152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFYQFSDQLRLQTASFSAVSLGDSIWSSPSDRRNEPAFDGEYHHFSSPSPAKNAIANINGVAGNLDGPGLIGSGKLAFGATKADRYNSASDKRFKTLPASEALPRDEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVAEALSLLDIFADKDDA >KN540152.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540152.1:53927:56660:-1 gene:KN540152.1_FG002 transcript:KN540152.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVSIIDHFNFVA >KN540152.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540152.1:41998:49761:1 gene:KN540152.1_FG003 transcript:KN540152.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKETFPGIEIDTSQPPVVFKTQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALEWQLGMGAWPLRITMPAFCSRAEVSFIEVPLKIALNYSDNVRGNGVDQASHNLTVATRNTFGELDQSVRPVAPLLFLQTLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSETRVHCAESGEESLERESVYSLKCHISHDVNHLHEGLKHGLKSELEKASPSLGRTALYTRENYKYANSVFRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQMLRDAENAKFGLKAQGKASSSKQNEGSSVSIQVACCDPYFNTFNLKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >KN540152.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540152.1:30828:33514:-1 gene:KN540152.1_FG004 transcript:KN540152.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATKAIMNVNTEFYNIIREGSLPAMSHFWLNADYVKCIHATGELFTGYNAVMDSWGLLFNWGQDGGQGIAFQLRDVRARVLGEVAWVNMKAHVDVDPGPFHVTNVYEFRNGRWYMVHHHSSLMADPAPHNLFA >AMDW01065218.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065218.1:111:485:1 gene:AMDW01065218.1_FG001 transcript:AMDW01065218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGWSGLVAACSVQPARNSRLGGSRHQLGGLQAVLLDSGCLHRGFCSGNSWGGLMIQRQYCLKGVNEGPGCDNVVLRTCGALKTTFLPGHTAEWYHDPNAIRCKHMEDRRLIDSMTMSISASP >KN542873.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542873.1:11143:11619:-1 gene:KN542873.1_FG001 transcript:KN542873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDVMPLAAQLPSSPVCQTSAPLMLPKAPIKKRDGKTLLYNPYRRQSARLQLNKGDSKLKVDPRMGIGKPRGKSARKLKELAGIAKLFDDTSIKESDFNANVYDDIHSDSSPSDCSISLLQKMGVDMCGLAPEEVAESSLGGQRRKKMPRPNMEEK >KN543493.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543493.1:4228:5821:1 gene:KN543493.1_FG001 transcript:KN543493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGVRPNRHTFPSLLKSSASFDAATPALHAQCLRRGLDEDRFVACSLLSAYGRDGHLVRDARKVFDDMATPDLATCNAMLDVLCLSGDMYGARCLFDRMVVRDVVSWTTIISGLTRIGCHWDAVEMFRAFLLQNKGRLSEATLVSVLSACANLDAAEGLAAGMAVHGYVVRHEVQFTAFLGTALIDMYGKYGKLSCCCHIFHLVRDKEVCTWNALLSALANHGKETEALVKFERMRSEGFLPNHITFVAVMTACARAGLVETGLDFFEELFTEYKVIPMMVHYGCVVDLLGRAGRFLEAIQIIERMPFMADDSVWGALLGACKIHGNIELSAQIREKLIALGGQQPGRYVTVRNMYLEEGNWFAATRMGEVMQEAGIKKIVVENGKVAQSTEAYPGPRATASFAHAPVPSVD >AMDW01040420.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040420.1:60:1200:-1 gene:AMDW01040420.1_FG001 transcript:AMDW01040420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIGTWDDHDYGLNDAGKEFGGKVTSQRLLLDFLDEAEDSSRRQQAGVYASYMFGPEGKRVKVILLDTRYHRDPLSSDGAVLGDPQWQWLERELHGPRSEITIIGSSIQ >KN543731.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543731.1:196:4289:-1 gene:KN543731.1_FG001 transcript:KN543731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALGYAKSAFAEEVALQLGIQKDHTFVADELEMMRSFMMEAHEEQDNSKVVKTWVKQVRDTAYDVEDSLQDFAVHLKRPSWWRFPRTLLERRRVAKQMKELRNKVEDVSQRNARYHLIKGSGSKATITSAEQSSVIAAAIFGIDDARRAAKQDDQRVDLVQLINNEDQDLKVIAVWGTSGDMGQTTIIRTAYENPDVQIRFPCRAWVRVMHPFSPRNFVQSLVNQLHATQGVEALLEKEKIEQDLAKEFNECVNERSCLIVLNDLSTIEEWDQIKKCFQNCKKGSRIIVSSTQVEVASLCAGQESQASELKQLSADQTLYAFYDKGSQIIEDSVKPVSISDVAITSTNNHTVAHGEIIDDQSMDADEKKVARKSLTRIRTSVGASEESQLIGREKEISEITHLILNNDSQQVQVISVWGMGGLGKTTLVSGVYQSPRLSDKFDKYVFVTIMRPFILVELLRSLAEQLHKGSSKKEELLENRVSSKKSLASMEVTELTGQLKRLLEKKSCLIVLDDFSDTSEWDQIKPTLFPLLEKTSRIIVTTRKENIANHCSGKNGNVHNLKVLKHNDALCLLSEK >AMDW01039003.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039003.1:246:861:-1 gene:AMDW01039003.1_FG001 transcript:AMDW01039003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YSALEDYENSIKLYRSALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPCSSVLMCYLGMALHALKRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPDALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVAIIK >KN540391.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540391.1:38142:41406:1 gene:KN540391.1_FG001 transcript:KN540391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHSHAPGEHQCSSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVRENYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEMVRMISLVLPFMLVDRISGITCESHLETTLVRCGEYAVLAHV >KN540391.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540391.1:50913:51931:-1 gene:KN540391.1_FG002 transcript:KN540391.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 2-1 [Source:Projected from Arabidopsis thaliana (AT5G47180) UniProtKB/Swiss-Prot;Acc:Q9LVU1] MGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIIQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEIDKVIEEMKLKVVYTVPSGSSDDSGITSLGSRSFKLGSDDLTMLKNASIEEVMQLILKAVPFYWETTPK >KN540391.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540391.1:47718:48792:-1 gene:KN540391.1_FG003 transcript:KN540391.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAICLAVFFLLAVISSAASDGPLTTVQQPISQVSHGATMEEKRSSPVKQDEGEEKAASKAVQAGDRRDGEPASLTSVEDQAKILEHHRGMEIKKLDNDDDVLNDRYISSKKTKQKNYNLKFKIDRYQLVVSLE >KN540685.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540685.1:5292:5672:1 gene:KN540685.1_FG001 transcript:KN540685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQRAAWSWSTGIDRPGGDGVATEDGQRLVATSNRAMQRDREGGEATVIWREMERDARGRVTCCGLAALRGIWRRSRHWRGWGGNCGNTGGMDAEWERGRCGTGGSGREERMTLDRWMCDMMNDE >KN541085.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541085.1:25651:28758:1 gene:KN541085.1_FG001 transcript:KN541085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMSCKRRKVSPASGAGAPVVLPEEMMIEVLQWLPVESVLRFQAVRRSWATALSSDQFRGFHTAKNKIKPSPPKLFFVAQTAGFGSTSVHASLPLSRSVHGGDDHRDLLFTLDNVRGDFMAMTPTLCHGLTLLHDAMGLEYYVLNAATRSISRLPPCQTVPSGSAGLGFDARTGEYKVVRLFREIISGESHTKCQIYTLGGKHGDSWRPASGGVPFKFRTAGTYSISTSQQHKLLPVFVDGFLHWLTGSLFSFLRPHAAILSFSVTEETFRLVRSPPFQVSGVHLVDLYGNLCMVRDLRRTSTTLEIWKLNDLYSSDWSLEHRIDLSTEHVARDLMKPDFIRVIGSAGSSGMSGKKNVIIATSNRKAIAYDPTSETLETILEIKGTPLPYQTAHSALGLISLFEDSLAPVCKTNEEIALSYPLARVIKEALLRLPGDYAVQFKLVSKQWHRFIESGGFARGYDMYNNRDRRPKIRLVGKGTGGSSGFSFASIEKLLQESPSKDTWLDAKVVCSKPCHGMNLISTELEDYLYNPCTGYRYVSGTRGALVYIPNRIPSDRFRHDHAFTTGNKNVGLGFDPLMQEHVIVELFYQCWNFKTCRYNITCSLFTCKSRHTCDFLQPPLPVSDMPPAYLAGFLYWMSEPRLSQSKTRAILSFEIATKTFDVIQCPSCALTRHNRSPCESFVVELEGMLCVVLANPFEEELDIWKMEHGQWDRAYRVCLKGWPGYSLGANVVVPMAVDPKDGRILLNTGSKLGLYDPTKRVIENLYDLDEVLRVKQTDETLHVKDKEKTWQIQVHDGSQLKCQHSVRKFRIWLSPLEHDRFSYYEPAPASSRKNSACSNDTEIMPFVPILYEDSLASYPLAIKPRYYRIWFDFDKVMYVTNIVTGTSRLSYGLKEVLFAGKLDSTKIFRSFPNAMFEIWY >KN542336.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542336.1:688:4838:1 gene:KN542336.1_FG001 transcript:KN542336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLPKLGELLKEEYDLQKNVKEGIKFLKVELEMMQPALKKVSNVPLDQLDEQVKIWARDIRDLSYNIEDIIDTFMLRVDALEPTKEQRFMWLISKCRKLSMVKIHHKIGQYIKDVESQIKDISERKERYKIDNLATKLPMTTVDPRILALYEKVTNLVGIDKASDDLISKLFLEDDITKKKLRMVSIVGLGGLGKTTLAKAVFDKLKMQFDCVSFITVGQKPDIKKVMKEILIDFKHNKGLNEETQSEKRLIDELRECLDKKRYLIVIDDVWETSTWKMINCALVDSNCGSRVIITTRNSQVAKEVAKEFGDIYTMQVLSDDNSKRLFYGRVFGVNYNGPIDNQYVEASEKILKKCGGVPLSIITIASLLVDKPMGDWSTVYDSIGFGHTDQSEVVQNTRKILSFSYYDMPSYLKSCFLYLSIYPEDHSIEKDSLIWKWVAEGFVHEEQGKTLFEVGERYFIELINKSMIQPTETDGMVGGCRIHDMVLDLIRILAIEVNFVKILDKVHEEHNSSSQSSTTRRMALHKRWNLDEKDSLTVDLTQLRSFTAIECTISMMPSPVRFQALRVLALEGCYIKGGLHLKHIGKLHQLRYIGLERTNVAELPREIGDLVHLQTLDVEWTGLKELPATIGRLNKLMRLCVDSGMRLPVGVGNLRSLQEIKMSSSYNPPFEMHKNLLVEVGKLTELRWLEIDGVKIDESIPTALVECVRGLRRIQNLVLNFSLSNDHISNWEGWVHWEPPRQLQQFHLLGVPLPRLPMWVNSMSVPHLSRLNLQLSTIEARDLDELARLPALRFLRLHTRTRFSWIVAGGGGLFANLRCCQTRVMLKFLQGAMPMLRRLILDELLVSEYGAASDIGLENLPLLKSVNVDLVCSGATVRQVLEAKAALRRATLAHPNRPAIYMNTCWNDIKTDSDGDDEEEISPSADIEVHVPK >AMDW01034895.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034895.1:22:321:-1 gene:AMDW01034895.1_FG001 transcript:AMDW01034895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVITVLGWLLSPIISLLVNRLISYLFDASPKIQELEIQTVPKLEQMLRKIEEERMHRKAKKERSAVQNLDTLAKLVKSALYEAEDVLDLIAYHQIEKD >KN541143.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541143.1:4847:8799:-1 gene:KN541143.1_FG001 transcript:KN541143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQQGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KN541143.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541143.1:26764:29482:1 gene:KN541143.1_FG002 transcript:KN541143.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITIEDVDHILGVPSEGVELVEVPQAIQADVDAPKDKDENKALQATKAALFALYKEKRGTKITLSALRDSLNLNKSCDDHFKRQFVLYTIGLILCPTIERFVHSDYLNLLINIPDIKRTNWASLTLNHLKRSIIVNDTKIPIEATKEYTTKDHSGTDANQPSNMDNNKAHTTEMADVQLQLKSMNEHLIILTKEIQVNVIAEFTCTEEDEFLIDYINTSPHDRVMVRMEELLLTREQLQPLTNRFLPNGEARCIIDEVKLEISKRQLEKGYISRITNQITQNEQGDIDIFRRKLAAILVGSASNDNIEIPTYKK >KN541143.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541143.1:17874:20315:1 gene:KN541143.1_FG003 transcript:KN541143.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRRNSMASEDNKSQAWPQWTSFCQVPKMWECSSGVQIMEARFQKLAHSSSGWDLALEFSGAFLGDLLLGPTTGAGCELEPACNAAFLGDLLGGPVGWEVEHACIDGCDGVLKCWLGARAWL >KN543554.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543554.1:1926:4946:-1 gene:KN543554.1_FG001 transcript:KN543554.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALKARDIAAKKMESKDFVGAKRIALKAQRIFPELENISQMLTVCEVHCAAEAKMNGLLDFYGVLQVDVMADEATIKKQFRKLAFSLHPDKNGFAGAEAAFKLVAEAHSTLSDRTKRRAYDIKWRIASKQATQPKQGAQPAQAAQPKQCTQPPLATKRNQSAQPTHNTQQSAQPKQSTQPMQATQPKHATEPMEKTDANRASNAKEGYGSSVRPPSAGEAFWTMCVNCKTKYQYYSNVLNHKLRCQNCKKDFRAVMLNEQDVPSVFSSSAAKSAGQHCDVPKQEDCSTKFSSAANRDAKPMVNGGQHDEQMKNSASVRAGGEGTVNHTESIRKGGLEFSTLHVSSAANVGSKAGGKMTSCPTPDVAGRQNPGNRVNTSAETGAMNIPNPRRSARRKENADASIIQDTPSKKRRTILDWFSNPDSSLDQIWALYDDRDGMPRYYARIRRIDTTNFRVQFTWLEHDAKNEEEDKWTDEELPVACGNFFLGKTVVSQDALMFSHIVSWVKGRKRSSYEIYPRKGEVWALYKGWSMQWSSDADKHRTYEYEAVEILSNFTVEAGAAVGPLVKIKGFVSLFAKVKEKPSFVIPPSEMLRFSHSIPFFRTKGDEKVGVAGGFLELDTASLPSNLDVAFPSVTLDSCMPVCKTMNSGFNDITGYEQGALKENLMNEGKRKDHSLERTPVHQQSAAYSSPSTFDYPNSEFHNFEEYRSYSKFERGQIWALYSDLDQFPKYYGWVTKVDTDPFRVHLTWLEVCPQLEQENMWLEQNIPVSCGTFKIRNWRIKLDTNDAFSHLVETSQVGWKRYFEIHPQVGEIWAIYNNWAPGWVPSSKDTFEYTIGEITDRTEASTKVLLLTRVDGYRAVFKPDSVRGTLEIPTNENIRFSHLIPSFRLTKENGGKLCGFYELDPASVPDTFLFRSGR >AMDW01037147.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037147.1:210:683:-1 gene:AMDW01037147.1_FG001 transcript:AMDW01037147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSPSPAGARVHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQ >KN541262.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541262.1:2997:4908:-1 gene:KN541262.1_FG001 transcript:KN541262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEERALQSGLGTDVLRDAIVTLQTKDLKTNNFAIGECLMKLMDNLFVTDDAVVTFGLLVAAASALMAFGSQATPAYLLGKPQQELVERYFHPDHMSSAEKMKQELQSVRDEFKMSENDCGSARVQVAQLTTKIKHLSTTLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKPPDYKSKSSSSSKTKAKRKIKRKMKA >KN539659.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539659.1:80055:82576:-1 gene:KN539659.1_FG001 transcript:KN539659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYVVLGPYLGSTVAGNTLLALFSSSVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMEHRLHIRLPKGAHAALSMVFVIFTLYSTVALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPERPSFLSRIFCRKDELSESTRKLSIRIENEPSDGTRRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSTTTSPMKPLPVETKRGPLNQERKHIMTGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHQSNFDLRLAEVSRELESHISKQVLCSVVMKGVEDEDSPS >AMDW01016387.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016387.1:15:263:-1 gene:AMDW01016387.1_FG001 transcript:AMDW01016387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWSAVSHPTLSGEE >KN541262.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541262.1:19954:26208:1 gene:KN541262.1_FG002 transcript:KN541262.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQGQMERRLEFWPEQPAALRGLLEEYTRRSEQVFRRVLAATARSLGFEEEFFADKVGERVATYARFTYYPPCPRPELVHGLKPHTDNSVLTVLLLDKHVGGLQLLKDGRWLDIPVLTNELLVVAGDEIEIMSNGVFMAPVHRVVTSERERMSVVMFYQPEPHKELAPSEELVGEERPAMYKKLKAKAFGDGFWDAAEKSTNDDEILLEMESFSNLVATFSIFLCQELAGMRSKAVPRQYIVQREDQLNIAATASVPIVDLGRLSQPDGNANEAVKLRQAMESWGLFMVTNHGIEDALMDKMMNVSREFFQQPLGEKQKYTNLIDGKHFQLEGYGNDQVKSDTQILDWLDRLYLKVDPADERNLSIWPKHPESFRSVNKSIIWILIPTLHLKVETFVTDGQLNKLGFHQ >KN541262.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541262.1:13214:14748:-1 gene:KN541262.1_FG003 transcript:KN541262.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPFLDEILAATRGFFHLPPEEKEKYSNVVVADDGDGDGDGGDKFQAEGYGVDRVDTDEQILDWCDRLYLQVQPEEERRLEFWPEQPAALRGLLEEYTRRSEQVFRRVLAATARSLGFEEEFFADKVGERVATYARFTYYPPCPRPELVHGLKPHTDNSVLTVLLLDKHVGGLQLLKDGRWLDIPVLTNELLVVAGDEIEIMSNGVFMAPVHRVVTSERERMSVVMFYQPEPHKELAPSEELVGEERPALYKKIKAKDFGDGVWDAFAAGERTIDMLKVKIEQEEEANVSNTYL >KN541262.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541262.1:8194:11728:1 gene:KN541262.1_FG004 transcript:KN541262.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKSSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKSEGYLWGNGTATGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIHFVIGRSANRGDSLDLNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEARRGSQGLYMGCMKSGGVVLPLLDIEKTCTLHTLNCIASLLYSVHWIALPFVNPSLKPLDINFNFRGQQWYEPEWWKFGDSKTYFRHASGALFILSNNLARYININSASLQSYAHDDISVGSWMMGLNTTYVDDDRLCCGSSRQEKVCSHA >KN539659.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539659.1:86:2051:1 gene:KN539659.1_FG002 transcript:KN539659.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRNGCEKDPSSVRGRNLVTFAVTMMKESSSSSSPSSGDYSSGARILDKLLSQAGLRKQHELIRQLVGSSTDLMEKLLQTLRCTGTRDRGVREHAARIVAHLAGEITLARFPQGIRCIYSLLDVTTTTPRNQQQDDDDDDSAQSSDHYKKLMVQGLVILHKLAAAEHNRRIIINSTQGRQLLSMAMAPVSSDLLHRIDHEAWNDIVACSLQLMCRLVTAPGETGDKLRSQVLNDKDAIGTMERILNCDGCNEKRLYILAINILTQLPISSKNKVVDEASSMSVESRKKFTKLLLLIYTDEARDASMRQMAGEALAMLSERSKSDATIILKASDSALRDLTAMLLDVNSNRGYRICAAEILEHLYIRYTEQDGYLNNLTEAMKDVLPKVLGEIFLVSWTHKEKQPGMTEKGTEGVNFSAQKADIESQDPVASQHEKVKEENEKVKEENEKVKEQDEKFKEQTVDMKLYAALLSLSAAIFQRLINDDKDLAELTDKIAPGGGTAFSFAGKLKEMVEGNSEQATANCLRMLKITTRMIISLINLNGAKVGADLESLMRSLLKASEKMLELEGFMIFYSSDRTESTNPANILASLVKEAQELLEKKRQAQTTPAPSMETS >KN539659.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539659.1:53377:56808:1 gene:KN539659.1_FG003 transcript:KN539659.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGFKYQEEYVTNKRGMKLFACQWSPLDHEPKALIFLCHGYAMECSISMRGTGVRLAKAGFTVHGLDYEGHGKSEGLQGYINSFDDVVVDCSNYFASVCERGECKGKKKFLLGESMGGAIVLMLHRKEPTNWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWKIIPTEDVIDKAIKSEEWRQEVRNNPYCYKGRPRLKTGYELFMASLDIESTLDKVTLPFIIVHGGDDAVTDPSVSEELYTSAQSKDKTLKLYPGMCHALTSGEPASNIDIVFLDIIKWLDERVSVS >KN539659.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539659.1:29340:30830:-1 gene:KN539659.1_FG004 transcript:KN539659.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDMKDIACTRRISRFGGIYHDWQLIHDGTTQSLSYMLAERRSPTAGLARVKGRFARNSTTGDAADGSQSTGLAEVVSPPLAATNAMCNDDDDGGRSDLPEWWPEMQEALARQEDDDEDLLAAYLGVSSINLYSPRGHSS >KN539659.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539659.1:85113:88990:-1 gene:KN539659.1_FG005 transcript:KN539659.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLHDHEGLVAAVRQVDVVVSAMSGVHLRSHNLLLQLKLIEAIKEAGNVKRFLPSEFGMDPSRMGNALKPGRVTFDEKMEIRRAIEDAKIPHTYVSSNCFAAYFCPNLSQLTSLLPPKERVNVYGDGNVKAFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTHNELIAMWEKLSGKSLTKFHIPAEEFLAPMKDMQFAFQVGITHFYHIFYEGCLTNFDIGDNGAEATMLYPEVRYTRIDEFLKRYL >KN539659.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539659.1:24321:25907:1 gene:KN539659.1_FG006 transcript:KN539659.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQSFCPQFERIDNAVTIRDILVFHEDHVAGLKEEFTGGNLSFALSCEMWGTSYHRMSYLCVAAHYVDGDYILNKRVIGFKLIDGAPTAEAIARRILDVAMEYGIENRIVSVTLGDGLADGETMDALAPLLGWYTGGFVFLQRCIFSILNDIVRAGLTEMAEPLDAIRSAIAYVSNSEANFAEFENCCVERGQKARKLWPDSKVRWDSTYDMLKEVLPYKEVLTDFVNGSMQQEFLSEHNWSLLSIFDKFLAKFYNAATKLSAVYSQTSSLTLIYICDMAVLFRSYRTDPVFSAILKPMEAVFVKYFQRIPRLYCYALILDPRLKLHGLEVALKMLGEALNIDYSSTFTQIADEFLEVLSMYEEKFGSTLRPPPSPPSSLVFRLFAARLKELELKELELQKDSSPSSSVHQRKAKNEFNKKYIETPCSLRLNSRGPDPNIIMWWKDNKLFHVVLAQLARDVLASPVSTVSSADAFNINGRVVEDQRSCLAPDILEAIMCLKDFENAATQEQDRKVNAEFASVVCLDM >KN539659.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539659.1:68370:71261:-1 gene:KN539659.1_FG007 transcript:KN539659.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKVYWDQAVELAPLFNELVDRVSLDGDFLLETLARTKEVDSFTGRLLDIHAKMMKLNKKEDVRLGLTRSDYMVDGATDQLLQVELNTISTSSNGLACGVCELHRNLISQHEKELGLDPKSVVGNTAIAQHAEALAGAWAEFNNQSSVVLVVVQPEERDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKADIEKVRKCFAGLWSLENDSIVMSAIESPELFVLKPQREGGGNNIYGDNLRETLISLKKDGSNELAAYILMQRIFPPASLCYLVRDGTCIRENAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >KN539293.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539293.1:119314:119508:-1 gene:KN539293.1_FG001 transcript:KN539293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSLAPKTKNIVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEGMKKKDAGNSSTAGS >KN539293.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539293.1:72314:73501:-1 gene:KN539293.1_FG002 transcript:KN539293.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKGKKPSEQAAACRIMQVKDKLITLQPVVRACVFLATAVAAVIMGLNKQSYTTVVAIVGTRPVTQTFTAKFKDTPAFVFFVIANAIASGYNLMVLVTRRILQRRAQSLSVHLLDMVILTLLATGSATAASMAQLGKNGNLHARWNPICDKFGSFCNHGGIALVSSFIGVALMLALNLLSAAANSPRSNVTGQ >KN539293.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539293.1:53689:61379:1 gene:KN539293.1_FG003 transcript:KN539293.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPASGGAGYSCETAEQTREWMEAIAAFLRLHRPLLEAHVVNFFKEHWPSSLRDFVLTAKSLVLPREQKSPRSLLPDLHVASINTVLAQGMNSKKKHEVGCLMQIETLAGLVHAITKSCGAKTVIDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKKYYAAKCVGKQQLRVPRTVTCHVLSSDTLAAVTLEACQDDHAEHVPESKNFNESSPQIEKPNDSIPPLVLAGLHACGDLSVNMLRLFVSCEQVKALISIGCCYNLLSEECHEDTNTCPGFPMSKAAKLSNLVLGKSTRDLGCQTFYFGGGPYLKSAERWRSLTKDIALQNFDIHAFRAAFQMVLEKHFPEVSRSNPSIGRQGKALRRQRLRKVMESPMAMAETDALSYSTQKEQIMTKDDPLPTGPNNFKEVHVDFLPELSTGFVDSAVSGAAVVPDDIYLDKSQKFTLFKDFTVSGLGRLGCDFVENVSLLEIWKDVQPFTEFIGPFWCLRVALGPLVETYILLDRICSQMAVRMTVPVLPMMDQHQGGHSEPSRLFPSPNPYPDLYTRRCHAKQQAKSDGTGGNRREAVQRKGRNGGRIAEGIFPAGEVQKPRATVYYSTLDWNSTTVHPNMHLLYPTSGSSSSSINLCRPSSIRRARFLCRVAAGAVLRWTGTGD >KN539293.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539293.1:9328:11178:-1 gene:KN539293.1_FG004 transcript:KN539293.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGASRGIGLEFVRQLLKRSDEGRVVATCRAPDSAVELQKLRQEHAQRLAVLPLDVTDESTIEAAAASIGETHGSLNLLINATGILSIPNVIHPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGRSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >KN539293.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539293.1:25790:26065:-1 gene:KN539293.1_FG005 transcript:KN539293.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPRLQRLCLDGCYLPRHELLAVIHGCLELESLSAKHCVGFDEGDEEVAREAAMIGRLEVGGSRLVDKFDQRDVDGLDDDTSSYVDVM >KN539293.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539293.1:77554:92624:-1 gene:KN539293.1_FG006 transcript:KN539293.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKDMVGRAKTGTGKTLAFGIPILDAIIRHNEKNSPGKFPLAIVLAPTRELAKQVEREFSDSSNVETICVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALNLSEVRFVVLDEADQMLSVGFDEDVETILDRVPPKRQTLMFSATMPTWIRRLTQKYLKSPVTIDLVGEDDQKLAEGISLYSIASEGHAKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYTMGRSFQCQALHGDITQAQRERTLKGFREGHFNILIATDVAARGLDIPNVDLVIHFELPNSSELFVHRSGRTGRAGKKGKAIVMHSYQQSRAIRMVENDVGCKFTELPKINVEGSDLMSGGFDSFGGGGFGREGGGSYGRRGGFGNSSSRGGGFGDSGFGRSGGGFGRSGGGGFGRSSGGGFGDSGFGRSGGGGFGDSGFGRSGGGGYGDSGFGSSGGGSGRSGFGRSGGFGDSASGRFGGGFGNSGSGSFGNFGGNNSGQSEYIVQKYNPMHTFSVVLRGSSCSLILVLIMEQRLQASSLSAGELTDLQACCKNFIVLVFTEYFNSSGESFSWKPFSGKDIPTIRNVKIYSSKELRKATKNFCSGHKLGQGSFGCVYLGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNINHHNLVKLHGCCVDGDQKMLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGMQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGCKHWPEFAGLAEGLGRPNFIRIGGFTGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGPTAQSQNVTVVVENPMTVDAKGNLVSNVVVGVTTGGKK >KN539293.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539293.1:19890:24807:1 gene:KN539293.1_FG007 transcript:KN539293.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPNIARISRGAEIYTPFAFVSVEDTSKPSNEKLRGQLRIYDLTKGRQVGSLLAETRKPEMIVASSSGEFLGITNKRKLHVWRIPTKNFKPDKIRKIKLSHTKNLNTLAFHPSERIVASGDATGRILIWRGFGNAKFSGSDGTKSELDEDRGDVRGNGDADTCTTWHWHSSGVSCMNNQVHLLKMPNMEVMKSIAGIKLPISSPNLGGCYRDVYGFDYSNKLVAVPTEDYCIQFYDLFENTEVSEVQVCERNFQPVDDITMYISLVSLSIDGKSMCTVDVKLPEEELGGLVTLKFWNQGSRAGQYFLSTVIYEPHSDAGISAIAFRPGKNMAVSSSFGGNFKVWVQSMLSQPSDEKNQSGWRCQSVGSYKNKPMTAATFSSDGSVLAVAAENVVTLWDPDNNTLVGVIAEALSPITKLSFIGTSPFLMSLSQSSKPQVAMWNVPNLSMQWSYSLFAEAACCSSSRSEFAVLALLSCPDGETLAEQDGVILLFDAENPKPVSSWSVKKARGGSVAFLKGDSSLDANRKDMIDGEASSLVYVNGSHEYVIFDPRSNEELHIGKSAHKSIQTEEPGPIGYASIFGELPKLESKKDVPEILFIPSERPWETIFSGSTHVLPPLTKLCPAFLASLLEKRPVANE >KN539293.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539293.1:64209:70458:1 gene:KN539293.1_FG008 transcript:KN539293.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSFLSMVEAELPPGFRFHPRDDELICDYLAPKVAGKVGFSGRRPPMVDVDLNKVEPWDLPEVASVGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKHEDWVLCRVICKRKSGGGSNNNGMSYLDHGLPDFGSFLDTQSCDKKMLKAVLSQLNSIGGEVLPGLPPPSEMAAAVSSSWMNHF >KN540552.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540552.1:34438:34755:1 gene:KN540552.1_FG001 transcript:KN540552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYFSVLYATTSYAQLFLPRTPVRVYDNIFDAGTWGGFFIICIMVCVVLGLRVDDARVLVACTCVVAAFVVGVVVVWVWLARTYGGDEDEDEASSESTSARLPV >KN540552.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540552.1:36798:42365:-1 gene:KN540552.1_FG002 transcript:KN540552.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYPAKSLKPRDFYTNRFQFRRFSVLPSSSCRLLLSSTPHGSVIAFGSVPLKSYLPDGDVDITVLGNTALDGACISDVHSILESEEQDSGAELEIKGLHFIDAEVKLIKCVIENIVVDISFNQIGGVSTLCFLELADRKVGKNHLFKRSIMLIKAWCYHESRILGAHHGLLSTYALETLVLYIFNIFHKSLHGPLEALYKFLEYFSKFDWHKYCISLNGPVLLSSLPSPAGSFYRIRGALSFGAQKLGQILMLHSDLIPTEIFGFFANTLKRHGRGERSDVGNNDSIESLLDPEYALGKDAPDFTNSDRNQDENRNPNLQTTSYRYFHGDAKDRPWNKIWLTNFDIQYYNMVSGASLMSHSTSSTENGNHNIKQRCSRSLMEQQIYASNQPHILTPSTHKNTLDVINSCRAELNRSDLHEEKVILSPFSPSNLLDLSGDLDLHLRCLQKIQYHLESMFDGLVQLIQEAFLSGLLDEDSFKIPTRSFSNSTDERPTGLLPVASVDTGTRNLCPVSYFPSTGDVSHKSHTEDQVNAVCQKNVVLSSGTCIISNGFASSPSPPADLESYPVSWFHNTQEIMAHGTGMQTLKNVSLLPGTDVLSNVVGQLPFLAADSENYSFSRSHNTREKHVMRGTGTFLPRMSYYTYKERILSEKGRRERERLPDRPWKIKTNPTGYLHQHTSPEVGCSGASTGGITIDQNSSQQDYSRSSVPAEGGVFQKRALINHVSEQIQTSLPWDVHNNQHGYVYSDMNMVDNQNPGTTSEGLVGHNSESRELPIHHPPEVQFSETMASSPCILLPHCIGNGQGNLLQESNTCQPFPPATDVFHSIKTKQDENLEVESFRPIPFSSPCAKFGEAFPLPTSKGPAETESRPDGLYQLRDEADFPPLQTRSC >KN542007.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542007.1:1930:7199:1 gene:KN542007.1_FG001 transcript:KN542007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARLLLARSYAMAAPSWPTVSKNLPLLGHVRSHHPMYARQDEIKMSSRRWCHGSPDNQAEELDEVGGAGTTVDESSPRAVARVQAGSASHIISELAKKSCVPCNSKDIHAMPEDSAKKMLEQVLFLYDFVVKSLIKVAGWELAAEGDILKLHRAWKVKNFVKGLEFLQLVAAVAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATVQK >KN542007.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542007.1:10263:16275:-1 gene:KN542007.1_FG002 transcript:KN542007.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSKIVAGIADTFQEVKSKRDKKKEVRTSFYFQFDKFNVVSVGLVNKEALEPKSRGAVNSNSRATRAGADRSGRSSSVQSGSSGADNMSSRSSILGPGVLATNSTQKQTVPSSSVNKDVLHDGSFGAMQPSSGFQNSWCGVPGQMSMADIVKMGRPQVRSSSKPVASTDKAYSGQTSSFSSVVNQNPNKSASTAPPTTFEQRFPVLQDPIPQVKNSSHASADNHETQESDWFQQDGTLPGSQLTVPESRDISLPVASLESSTLVADDANSYNNSHVGESSSAIPSDRHLEIIEGNNHFNNVEVSNVDVESASANIQHLSLQTEDLVASKSTEDNPAVIIPDHLQLANADCGHLSFGSFGSGAFSGLLPSKVHKNGVEEVPVTDESPSVDQEDVRNQDNNVALNSSTNGDVEARIGTNMENTDEPSVSQPDILTQGAVDVSSLQYNLPSVSDHVYSNTTQPSTMESSQGDIQVQHLPQFSSLLQANTLHNNLLGSNLPNLRDFDFSPLLSTQLATKYNPPVPTTSLPAISMQETLKPGGFSNAQPTQNLPSASIPSGPPLPQQLSVHPYPQPTLPLGPFSNLVGYPYLPQNYYLPSAAFQQSFSSNGPFHQSAATTGVPGVSMKYSMPQYKSSLPATSPPQPSSVVSGFGGFGSSNNIPGNFGLNQNVPSAPTTMGFEEALSTQFKDNSQYIALQQNDNSAMWLHGAAGSRAVSAVPPGNFYGFQGQNQPGGFRQGQQPSQYGGLGYPSFYQSQAGLPQEHPQNLTEGTLNSSQTTPSQPSHQIWQHIY >AMDW01009838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009838.1:37:117:1 gene:AMDW01009838.1_FG001 transcript:AMDW01009838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGVTIAVKKFRSCDEASIEAFAGE >KN542925.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542925.1:5574:6125:1 gene:KN542925.1_FG001 transcript:KN542925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQYALIDNEHRLRVWFLNKSCGQMTWELKHDKDLSFLLGCQEICIQNDGPWTLHYKNYFGNSSQNGTEEYYEAYKEYIVRRYCYEYDSASYKNQCEDIKKDVVVRVNNFEWVSDNDDILDTANPNEERCRRNLSILGFHPYKEAIFLNQEMKRGLVYHFNSSKVQNLGSTFPKPYDGLYI >AMDW01039938.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039938.1:662:1108:-1 gene:AMDW01039938.1_FG001 transcript:AMDW01039938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PYEIIAVATCKGIAIWHIGLSAESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGMVKLWQANLNGVWHEQAVLDCNVSH >KN544010.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544010.1:347:589:-1 gene:KN544010.1_FG001 transcript:KN544010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSVEKDNSSATPPKKPRTAVVKKAAPEKDQTAATTMPDLSNVSLDPETLQCNICFLPFQPPIYQASICTRSLSYLSL >KN544010.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544010.1:3539:4539:-1 gene:KN544010.1_FG002 transcript:KN544010.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding CVAGHLACGVCHGKLADVHCQACGDGGAAYAHNPALDAIARSTKIRCPNDRIDE >KN540961.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540961.1:1888:4136:1 gene:KN540961.1_FG001 transcript:KN540961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCDFLPGKKAGVEVSDPVVACIADLAYKTVGSSKLLATYITEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSQQLKGKEPSCERKRKKSSKKDDNSLVLARDHVLSLSDNPVPPADVCSTELKCAQKKKTSVEKKKLMALKLYKMNLSNFDAVKLSLKKGFYRYRGISV >KN541281.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541281.1:136:2177:1 gene:KN541281.1_FG001 transcript:KN541281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FHRAMSGMNRSSFLPLFFIIIIIIKLGIGLLPSFTTAIDDGQFVFNGFLNSSLTIDGAATVLPGGLLQLTNGTGMVKGHAFHPTPFRFRESPGTTLHSFSVSIVFGIMSAYREVGTDGMAFLVTPSSNFSDANAAQHLGLFDYRNNGNMSNHVFAVEIDTVRNNEFMDINSNHIGIDISDLRSVNSSSAGYYDDNTGEFRNLSLISGKTIQIRIDFDARAMRIDVALAPFKMAKPTKPLLSMSYNLSTVLTDVAYVGLSAATGPLETSHYILGWSFSMNGSAPSFLNAQLPNLPRRGTTQKGSRRSKVLLIIVPIATATSAVADLYFATEGFKNRHLLGTGGFGRVYKGFLSESKLQIAVKRVSHESRQGIREFIEEIVSIGRLRHRNIVQSLGYCRRKGELLLVYDYMPNGSLDKYLHCDSTRPSLDWNQRFRIIKGVASGLWYLHGEWEQVVIHRDVKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELANTGKASPATDVFSFGIFVLEVTCGRRPIEHGMNSEYKFTLVDWVIDCWHEGSLLEAMDPKLQNNYDDDEACLALKLGLLCSHPSSIARPTMWHVMQYLNHDLPFPELMATDMVRNRCVDSPISYCQLVVSDGTMSGLSEGR >KN539117.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539117.1:66846:75000:-1 gene:KN539117.1_FG001 transcript:KN539117.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKSDCILTSASIGTEMEGDPDPTSAAAAMIPKASIRRIKLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKKSTLKGSKFTSCSHCQELPPLCVAEVKKSNGARGLELRASIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIPEETRRWLSVRGYIPQDGFILSYLCVPPNCLRVSNVLDGNTFSSSGTSTNLLRKVVRKIQQIRGSRIGSSNIQVDQVGDDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQRMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEQKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNPNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICGLLGADFDGDCVQIFYPRSLSARAEAKELYTVDKQLVSSHNGKLNFQFKNDFSLALKIMCGREYYEREANQITNAMFSSGLYPQKPLIGGPYWTFPQILETTNAITLADHLDRESIGALATGTTISSILSTKGPREATEFLNLLQPLLMESLLIDGFSINLADFTVPSPILEAIQNNPLELNKYREPIMDFITHSSAIGLLVDPKSDSNMNKVVEQLGFLGPQLQHNGILYSSRKYCLPGQALKLMPIIERYQQQATQAAHHLVGHIHLDKKQLNQIETTMDSVLHKCQETFRNNIKKKGSMREILKTVTFISSTSLCDQHTDDDQEFQVSCLQFFLPASITTKISESNERVINFMTNAIFPIILDTVIKGDPRVEEANLVRIEPESTYWVQSSGAEQKGEVALEITVEKAAAAESGNAWGVAMDACIPVMDLIDTTRSVPYDIQQVRQVFGISSVFEKVTQVQLFPFLLLAEIQHLSKSVGMVTKSVLQEHLTTVASSMTCTGDLHGFNSSGYKATCQSLKVQTPFMEATLSRSIQCFEKAAAKACSDQLDNVVSACSWGNNAEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATEDKAIVPHNYCLYDVDCIPEDKVCLEGNNQITWTDKPKAEFLTESEGRRAGMHSTGQKHPRKPNWHEGNTWSSPNSTAVEFTGQVFQRRQLKTKSNWNSGAPQQDDKPSWYSSNSAGTHNFTIAGSSRPGEWNRKNNNRGRGGGRAVWKSEASHRGGSNSNRNQGGGRAVWKSEASHRGSSSNRNRGGGRAAWKSEASRHGGSMRQVASCAFTPVERQIFEQIEPITKNVKRIIRESRDGIKLPQDDEKFIVTNVLMYHPERKKKIAGNGNYITMNQKMENHEDSYAAYRSIGIRYSMVVGAYMSCPQMDPEKISPTRSAWRTTSELSTQMLQIHSAESTSNDEP >KN541281.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541281.1:15288:17189:1 gene:KN541281.1_FG002 transcript:KN541281.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKLILCFLLLFLTLNLSASSTGGDHERFMYAGFTGANLTMDGSAKIIPTGLLALTKDTFRAQGHALHPAPLRFGQSNGMVTSFSVSFVFGILSPIIRGHGFAFFIAPTNNFSSAFPIQFLGLLNDKNNGSLSNHLFAIEFDTIRNDEFGDIDNNHVGIDINSLNSVQSYHAGFYDDKGGTFTNLSLIGGGPIQVWIEYDGYTTLTNVTIAPLGMARPIRPLLSVTRDLSTVFTNQSYLGFSSSTGLSTGHHYVLGWSFGMNSPAPIIDSTKLPKLPEPPNSGPRTQSILLILPLIGSIILVLIIGIVVLLVRRQLVYKEVREDWEVEYGPRRFAYQDLFRATRGFKNNNLVGIGGFGKVYRGVLPISKLQVAVKRVSYDSKQGYLAPELVHTGKATTLSDVFGFGIFLLEVACGQKPTRQNSEGKHLILVDWVVENWHKGSLLDTMDRRLQGNYNIDEACLALKLGLLCSHPFSNARPNMRQVLQYLDGDVQLPELNVSVM >AMDW01028329.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028329.1:71:337:1 gene:AMDW01028329.1_FG001 transcript:AMDW01028329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGVLYDWHTKLDIK >KN541281.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541281.1:12199:13832:-1 gene:KN541281.1_FG003 transcript:KN541281.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPEIQELIHHPYDGRVTSFVVLSCVTACLGGIIFGYDIGVSGGVTSMDAFLERFFPEMTGINAIAFYAPVLLRTVGMGESAALLAVVIKQVVGIGATLASMLAVDRFGRRTLFLAGGAQMVISQLLIGAIMAAQLGDDGELSQASALLLIVLVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCHMKAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVGKLWARHWFWRRFVVTDSGDGEEEGEAIDADKL >KN541281.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541281.1:9856:11877:1 gene:KN541281.1_FG004 transcript:KN541281.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVNRWCFLPILLFIFILLLVIILPSLATTLGDGQFVYYGFANSNLIVDGAATVSPEGLLELTNGTINQKGHAFHPTPFRLRKSPNSAVQSFSASLVFGIVSPLLHASTEGMAFFLAPSSNFSDALPAQYLGLFNYSNNGNLSNHVFAVEIHTAQNNEFMDIDGNHVGIDICDLHSATSSSAGYYDDITGSFRNLSLISGEAMQIWINYDGEATWIDVALAPFKMAKPTKTLLSMSYNLSAVLTNVAYVGLSAATGQIESRHYILGWSFSMNGPAPPFFTAHLPNLPKARVDGKATQLILLPLISPLATPTFVFLVILAIFFFVRRRLRYAELREDWEIEFGPHRFSFKDLYLATEGFKNSHLLGTGGFGRVYKGLLSKSKSQIAVKRVSHESRQGIREFVAEVVSIGRLRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDNYLYGHSNRPILDWIQRFRIIKGVASGLWYLHGEWEQVVIHRDIKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTRLVGTIGYLAPELLQNGKASPLSDVFAFGIFVLEVTCGRRPIEHKMNSDQLKLVDWVIDCWNERSLLEAMDPKLQNEYDADEAFLALKLGLLCSHQSPAARPSMWHVMQYLNHDLPFPELAPMDMVQNRQVGSPVAYCQSVVSDGTITGLSEGR >KN541281.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541281.1:25094:27061:1 gene:KN541281.1_FG005 transcript:KN541281.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLACFFLFLTLKLTSFTMASSGQDQFIYNNGFSGSNLILSGTAMVTPNGILELTNGTNTYNASYALYPTPWQFRRVPFGAVQPFSVNFVLNMVPGNMCANTMAFMIFPSKDLEYGQSSSKLAINLVSCQDKRFLENNENDISISINNSFSRPLETHPAGFYDDKNGIFNDFPLVGGKSVQIWVDYDGDATKIKVTIAPLKLTKPLRPMLSTIVNLSTILDEGVSYIGFSSDANRVGTLNYILGWSFGMNSPAPTIDITKLPKLPRFGPKVQSKTLKIILPIVITTVILLMGAAVTALVWRRKRYAELYEDWEVEFGPYRFSYKYLFNATEGFKNEKILGVGGFGKVYKGVLPDSKLEVAIKRVSHESKQGIKEFIAEIVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNRSLDKYLHCKEGKYTLDWAKRFQIIRGVASGLFYLHEKWEKIVIHRDIKASNVLLDAEMNGHLGDFGLARLYEHGNDPQTTHVAGTFGYIAPEMARTGKASPLTDVYAFGIFILEVTCGRRPINNYTHDSPTILVDWVVEHWQKGSLTSTLDVRLQGDHNADEVNLVLKLGLLCANPICSRRPGMRQVMQYLDNEMPLPELMPTNLSYSMLGYLQNDGFDQYKSVPSTVCSNNLTSSLTSGR >KN539117.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539117.1:104971:112135:-1 gene:KN539117.1_FG002 transcript:KN539117.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MALSWGPRFWEYLEVRMGSSEKFRFCIDRGGTFTDIYAEVPGRREGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGERIPRSSKIPTGKIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVVEVDERVELVGGGDGERDDGLSVEGISGELVRVAKPVDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPQHELLIEKLSLEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGGEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFQLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPDSVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDLPLDYDAAKKAFESLAVEINSHRKSQDPSAKDMTVEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAIYNVDSAAEASRRVDLLVKQVKEKLIEQGFGEDSIRTHSYLNLRYEGTDTAIMVKQPERESGSDYADEFVKLFQQEYGFKLLNRKILICDVRVQGVGATNILQPHELTPVSTKPVPESSCRIYFSYGWQETPLYKLQNLGYGHVLKGPAVIMNGNSTVIVEKDCKAIITKYGNIKIEISAAPSSVEVSETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLKYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNEGKVIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIIHLLQSPSSDELTNHKIPGTRKIQDNLSDLHAQVAANQRGITLIKELINQYGLITVQSYMNHVQHNAEEAVREMLKVVASRVEKENGSCVIEDEDYMDDGSVLHLKLTLDSSKGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGSGFHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGRNGARGANYLVKKDGRRVYLGGKNTVMFYMMDFRCKCIPSVKWIPTFFGKAAHLADSTEVVGHLQKVSGGRRRVPGAQVRDREDHLTGGPPVLTGNGVNDALALKKADFGIAVSDATDTARGAANIARASAEEGRQLHRRL >KN539117.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539117.1:34716:36302:-1 gene:KN539117.1_FG003 transcript:KN539117.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >KN539117.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539117.1:76402:86656:1 gene:KN539117.1_FG004 transcript:KN539117.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSACEMREMRRSSVDHPSLSRAEAGGLKLWAASRPPSLQKSSPLQNGQLNSDKGKRMKFELYNELIQYKATGALKQIQYQSIEKRRWIEGCLEGDDDPEGRPLMPGEALNGCSFHQVDTTKALSSSNSKPSNGCYLYSQVEWIEVNTLMIAMKSTPQWVSAVSVSWDTPRRRRDLREEVAVAGRQGSGRRDREDSLQRYACQEGEGDRWKFWKRKRHGGKDGLLPVRLTLPLKSEVNSVTIGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKRNPNARLLEAVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGFPMTQTKIVDFFRIQKGAEDAEAEKCGLFQDVKKRRKRSLVMHKKRRRILPYVPTEDKVQRLKQMASLATAMTSSKMKFSNELTYMPGMARRSCNQATLEEGGMQILPKEDKETIELCRTMQKRGECPPLLVVFDSREGFTVQADADIKDMTFIAEYTGDVDFLENRANDDGDSIMTLLLTEDPSKRLVICPDKRGNISRFINGINNHTLDGKKKKNIKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHEYPTHHFI >KN539117.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539117.1:95446:99040:1 gene:KN539117.1_FG005 transcript:KN539117.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGAAGKGKEEAAAAAPSPNGRGRKRAPPKVSNDPAEGSDKKPRKRAKKAPASGSKVTVPGDVIIVDDDVNQPSSNTDAQSNADDDLKKAAVASPAATRSKTRSKRKTSNSAAAASPSARPTAIAARKKNNATVAGTTATPPPPPAKKHTVLTWLIDTGFLKDKAKVFYVPGDAGAAEKVISGMVTKTGIRCRCCNTVVPVPVFETHAGCERPGQPWEKLLLMSGKPLSKCMQEAWAQERVTAMRAREKAMASLEQEKEKSSQAKRKLAKTKKMQLLDGVVVVSTSSPHRVKKNGGGKDCSDDACGVCADGGQLLCCDTCPSTFHPDCLAIQHVQFMIKSWLLFYIFQFMVKSWFQTAPGAAISADHQYCRPLQGPGFEIGAYCSETCKKMSSHLSDMIGVMNHTEDGFSWALLKIQKDELVTSEDMPVILESNVKLAVALGVLNECFNPVQDRRTKIDMLHQAVYSLGSEFKRVNYEGFYTMVLEKDGEIISVALLRFHGRKLAEMPFAGTLPAYQKQGMMRRLVKAVEKVLASFQVENLVIPAVADLVETWKRSFSFRPMQAEVRDEAKKLSLVAITGTTLLQKPISAHQQQPVPSRKGSSSSRSKRASAPATVTGSSKEEEEQMTTTAARLTDDELAFLEMAPSCSFTDLLAGGVYPTGLQLLRGMK >KN539117.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539117.1:113960:124237:1 gene:KN539117.1_FG006 transcript:KN539117.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLLAVGEYFSSVSQWNSGLHNNAISSVIATDRARTQPFVFEKEVDHLVLISCSLFFTNINMRALAVVSGVLLAGGTLAYAHSARRQKRQEEYSHSDASTQTTSNQSICQNGVDGKLVKTRKKKNGLKSLQFLAAILLKKIGPNGTNHLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKLSHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVRDRSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRGNALMGPKDLSYSTQLIATSPNMEIEHTERSNLVPQLQCSPRPLPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGWLLSSCTGDRSDGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISFHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >KN539117.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539117.1:30153:33326:-1 gene:KN539117.1_FG007 transcript:KN539117.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGDGDGDSSSEYKVHCYNQNGLCAIAFTDDHYPVRSAFSLLNTVLEEYHKTFGETWRTAKTDNTQPWQYLDDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLSRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >KN539117.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539117.1:130941:133768:-1 gene:KN539117.1_FG008 transcript:KN539117.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTVEQAKREAGSAGTLATSLNLSHRALSDVGVCVASASSIGGLSNCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLKTMDEVKSLTSLGALILNDNNISSICKLDQLHQLNTLVLSKNPIFTIGDALVKAKAMKKLSLSHCQIEKIGSSLTACVELKELRLAHNKITTIPSDLAKNVKILNLDLGNNLIERRSDLEVLSELHYLRNLNLQGNPIAEKDGLAKKVKKLVPNLRIFNSKPMEASSKSKNSREENLPINDADTPDDGPTDIYTKKKGKGKHSKQQIKSPEEPAGQSTRPDVTIAAPAKSELLDGKEMKKEKTAVEHVKNKKSKRKDDNSSVDHTDKKVSKGAKRTKSANKEEKNADGIDDTEMPFADLVFSGEGNNPEPELKGKNQEIAPDGKFGGLVIDHTKKKKKAKGTVFGSSALEQLSSVPEVGSGALSGWD >KN539117.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539117.1:126990:130160:1 gene:KN539117.1_FG009 transcript:KN539117.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRSSARLLRELREASRSSGCRREWLSGGAVASAARTTSLLHPLPGLDVPQCLPDQLGVQPTRVTTLPNGVRVASEDLPGPSACVGVFVDSGSVYETAETAGVSHLLERLSFKDTAHRSHLQIVQDVEATGGNIGASASREQTVYSYETLKAYLPQAIEVLIDCVRNPLFLQDEVERQVAFAREEVQELQKNPERFLQESLNLVGYTGALANPLVAPEESLTRINGSIIQKFYHENFTADRLVVAASGVDHQYLLDVAEPLLSDWHKGSPVERPESKYIGGDFRHRADSEMTHVALAFEVPGGWLEERDATIMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHTVESFSAFSNAFDRSGLFGIYLTTPSDFVAKAVDIATKELIAIATPGQVTDIELARAKNSTISAVLMNLESRVIVAEDIGRQILTYGCRKPVDHFLQCMDEMTLDDITAFAKKMLSSPPTMASWGDVDKVPPYEFVCKRF >KN539117.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539117.1:90464:93084:-1 gene:KN539117.1_FG010 transcript:KN539117.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPDKWAPLTTTGGLSAPSIHPSGLADPHHLRRRAASPASGCFRRILDSVVFSAFTLGGGAMGSKDSQVEATVQDGNKEDEEDDWEAIADRGENDETLTLARSLEQQAKVSPSSSSEKISTPSSGPKRRGRGSFLYDKSVLYSDQCGLENDMDEEESNDQSGSKGRVDEQKHKSSAAAKQYGTRHVLVLYDFPPSTLAADLEKIFEKFGDHGVAIRWVNDTVALAVFRTPSAANEAQACIPPRYKVRPLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLRQFTNFGSDELKKQEEARRSRIAARQALRDDAWGSD >KN539117.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539117.1:40594:42555:-1 gene:KN539117.1_FG011 transcript:KN539117.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGNLVLYAANATVVWSTFAAPTDTLLAGQDLAPGAQLFSSVSATSRATGKYRLTNQLNDGNLVMYPVGTLNVAAAAYWDTGTFQIGFPLTLRLDASGVLYLVGNNGSYTKNLTKASAAQAVEQAHYHRVTLDPDGVLRSYRHGLLSSGGWKTDVEWIGPSDRCHVKGACGFNSYCVLDRDAQPSCLCPPGFDLIDAGDAAGGCTTSSGAGECTAGQRADPGLSMATMQNVSWADTPYGVLAAGTSAADCQAACMSDCFCAAALLDTNDGTLGRRRVALADAEALDEEAPLRSYSYEELEHATYSFRDPLGRGAFGTVFKGTLRRGGERAVAVKRLEKLVEDGEREFQREVRAIGRTSHRNLPHNILMDAAGTAKISDFGLAKLLQPDQTRTFTGVRGTRGYLAPEWYRGAGPVTVKADVYSYGVVLLEMVACRRSMEMEEAGEERTLAEWEYEWLLVKGEAKSAMSSDEVVETAEVERVVKVAMWCVQAEPQSRPSMDSVILMLQGRLEVPFPPPPASS >KN539117.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539117.1:4321:8008:-1 gene:KN539117.1_FG012 transcript:KN539117.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYIGPNYADRPVSVHPSCDLGCLLCLAMPPKGLRVQICRHTIDKLQTTFHRWEKTPSNTGEHVHLTKELNTSCESIEWQEEAAVASNILELLIGISVLFFLHSCSGITGVLLCWKVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSTFSAHQDMGTSRSNHYTAQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLVFLT >KN539117.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539117.1:102986:103962:-1 gene:KN539117.1_FG013 transcript:KN539117.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRRATAAAAVDGLWFSFFSTTTTAGGAMEEEKPASPVSRHIMPHLLNIYGSCATARDFEIYAAHATFEDPLMRAHGVKQIKSAFYTLPKVFGESKIVEYTITENETAPGKVEILIDNKQHYKFLGRAIDLASLITLDVEDGKVVKHQDWWDKKPLKSRDTVSFPVVGRLAEATRRGAMLLTHALMGCGKDP >KN539117.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539117.1:135231:142132:1 gene:KN539117.1_FG014 transcript:KN539117.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLFSCNWFESFTNLMLLVFAFIPFCWMMMMMSLIIDVCLDSVMPSSLFSCNWFESFTNLMLLVFAFIPFCWVMMMSLIIGVCLDSACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDWNGVEATQRVFLYPQSPKVSSIVSKGYRTGYHFQPPKNWINGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILTGDQPVIIYTGADTEKRQVQNIVLPKNRSDPYLREWTKPKNNPLIEPVGPGLNSNQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFMQWTRVDHPLYSSNASIMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKRDMFVPDTVLDDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSTSDDVAKGWAGIHADVEIDFELTSIDAADPFDPSWLLDTEKHCQEADASVHGGLGPFGLVVLASDNMDEHTTVHFRVYKSDQKYMVLLCSDLRRSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRVCIMARVYPAAVVDGATHMYAFNNGSSTVKVSQLKAWSMTRAQVNVKKG >KN539117.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539117.1:126014:126696:-1 gene:KN539117.1_FG015 transcript:KN539117.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36120) UniProtKB/Swiss-Prot;Acc:Q8RWM7] MAMEASMSRTVSMLFSARRCIVASAAKDIEIAQGGRRRRSNDVKQQRGPHGQVAVAAATTAAVMTGQCHAAAAAPERQWQEVAEGVCRAVAVVGELDPATAKAAIGVAGPALSAFGFLFIARIVMSWYPRLPVREFPYVVAYAPTEPLLAVTRKVIPPLGGVDVTPVVWFGLVSFASEILVGPQGLLVLLSQQKP >KN539117.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539117.1:58096:65978:1 gene:KN539117.1_FG016 transcript:KN539117.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSQSIYNSYQQFIYFSILNQPCYSCNNSSWRSDVINMHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNIVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIMGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDARLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAIYSSTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSPGSISEHSEVSTHSHRVSSPHDNELSNRRARISSDELFSASGKSDDSSNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGVGAA >AMDW01036869.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036869.1:58:631:1 gene:AMDW01036869.1_FG001 transcript:AMDW01036869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYLIGLPILGYSLDIGKEHVNLIDDQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLQYDKVVGQSADLFTLQRFINRTKPPLTKDQQQNLTRWA >AMDW01018781.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018781.1:5:262:1 gene:AMDW01018781.1_FG001 transcript:AMDW01018781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEHIPLVRCPKGANAGPQAVIPCIDLRAPGAAAAVADACRTLGFFKATNHGVPAGLADALETSAMAFFALPHQEKLD >KN542442.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542442.1:1388:5079:1 gene:KN542442.1_FG001 transcript:KN542442.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASRPKTPAFFGRFPEFFGNATLFPALESLSLARNQLCCAISDLFGQNSKIRFLDVSGQVHDADKLTLASPVRFLAVAGMTNLVEIHMGENDLYGPLPDVSGLVSLKVFDAPDNDLCGTVSFPPGVAVNVDASTASTATSIPVLPAPLPRLLSLDLRSNSFYSIPDGFFAGFPALQSFAFDDNALLIKDIPNDVVTCSSLRSFTANNASIYGTFPDYFGNATLFPRLERLSLASLVEARVDHNAFTGPVPDATRLVNLRVFDASYNDLCGVPVFADARRVAANFDGNPSIGTECPR >KN542442.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542442.1:6901:8416:1 gene:KN542442.1_FG002 transcript:KN542442.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVPPLLVILVLLRIIRIDVRGLLRGGGAPPELDRQAGSLSHLQELDLGDNNLTGRVPALFLGRLRTLFLDGNAFSGLPPSFFRGMPELHYFSISDNPRLEEWGPVVFLGNIGAFPALAEVSLAGNRLTGVVPETFASQSIAKLDLRGNGLSGSISFINNLASSITDLRLDHNHFSGPFPADLSGLYLLRAIILAGNNLTGTIPASILQMPSLRVLDVSNNALEGTVLSARDDVLILADGNR >KN542831.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542831.1:10624:11251:-1 gene:KN542831.1_FG001 transcript:KN542831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSTAAVTPGLLVRLALLLVVFTTGARALVHKTIESDDGDVIDCVDINDQPALNHLPDHDRQKVQVSPYSKNKKEILIFLYSWSRHEN >AMDW01040404.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040404.1:92:838:1 gene:AMDW01040404.1_FG001 transcript:AMDW01040404.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYYHQKYKKQLRSRSDVKLFLKTTLINGTDMFKGQKLQKKRTMDSYGEGSGTEASVFLSPIILKSGGSKSNKGEKKNTSSKKSKKALSNGDYPVMPKKLTLPHGFV >AMDW01040001.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040001.1:100:1189:1 gene:AMDW01040001.1_FG001 transcript:AMDW01040001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVRVELQGAAAFLYCRLVPLILLLVEGSTPIDITEHGWEMLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLHLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKSMDNFRACIYDRIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >KN539804.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539804.1:4168:10078:1 gene:KN539804.1_FG001 transcript:KN539804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G01420) UniProtKB/Swiss-Prot;Acc:Q9SGH6] MGSGLFKPRVHPDLRDVFSKMSFFDKIGFLFIHAFDKRNLWHKVPVPIGLLYLNTRRTLLEKYNLLAVGRSSHGALFDPKEFLYRTEDGKYNDPHNAEAGSQNTFFGRNMEPVDQQDELTSPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTGQIEITAPKEVANECPLKSFKFHPTKELPTNSDGIKIGHYNVRTAWWDGSAVYGNNEERAEKLRTYVDGKLVIGDDGLLLHKENGVALSGDIRNSWAGVSILQALFVKEHNAEEHPNLSDEELYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANCIDIGEMIGLKGEEQLSKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIETIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFFTSNFNEETYTKKGMQWVKTTEGLRDVINRHYPEITAKWMKSSSAFSVWDADY >KN539804.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539804.1:48802:53606:1 gene:KN539804.1_FG002 transcript:KN539804.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIAIDQQHIQHTQGTGNKLFAELLIITSLPHKAIQLKHRIRASPLSPSNDGGGFEHRKLLDKLSGNLVAAFFASSQLGTSVQGIKRELLYTQGLLHAAQGSFDTDSASSSNNPGLLGLLQQLSAAADEAEDMLDELDYFLIQDELDGTNTAAMKDGIALHGGHAIHHAFGKWFPCLSCSVKHDGSSDDAASKLVFDRVGMANRITSVMGQMQPLCAAVSDLLKLNPCRRGNGLPEGGLKRPMTTSLSTEDKLYGRDSIFDPLVKDMISAKYVDEKLSVLPIVGPGGIGKTTFVQHLYNDTRIQHHFQIKIWICVSVTFNVYRLTQEIFKCVPPTEIVKTVSPLKLDGLDPEEFLTFFLELARLKELGGSLTLQNLANVKTKREADEAKLMSKKNITELKLIWDSNRPQKEPAIEADILESLQPYPNIKHLCIKNNGSTDCPAWLRSNICIQMLQSLHLQGISWTRLPPFGQIPLLKKLKLENIACMNQFGEAEFSHLSDQSFRNLKVIVFHSMPEFKKWSSGDYCSLFNGLEALEISKCPKLNELPFTASLDSTTQDNPSMSWFPNLHKLVIDDCPQLLPLAPLPHTAALEHVQFRTDDSDILYTNGGLTVSGETRSWEVHSSAQQQKVHYHEYCPMLVKDLQKLIPLKILRVDHCGKQISSMESMAPLSSLTSLTHLEIVDCENVQMDGFDPLILQNLKKLVVYNRDDHYSIAANIMSRATNMILEKTRLMLTNTFKLEELVVDSISAILVASLCIHLSDSLHMLSLSYDHGRHQIESFTEEQEQAFLKLTSLRQLHFVSCKGIHSLPVGLQCLPSLEMLKLQHCTRLQELTIENLPSSLQQLVVYDYRRTMKEQLKRLREKFPELVIEYRG >AMDW01124304.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01124304.1:87:813:-1 gene:AMDW01124304.1_FG001 transcript:AMDW01124304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIKFFEPEIKGPSPEMVEYIGMQNLINAVKNSVGLSEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETGGPTGLFK >AMDW01019792.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019792.1:14:289:-1 gene:AMDW01019792.1_FG001 transcript:AMDW01019792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEKQIREKLGLKVTVFDLGGNEYGLTCRYLESNGGYRFIEGWGKFVETNGMAISDSQRWTRDVVVKLLAFRSRRLARGAEQSDHPDGPIGFI >KN542671.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542671.1:982:2071:-1 gene:KN542671.1_FG001 transcript:KN542671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGPLKARHRQSVAPLLDRSSSGGQHCCLALLGFSTRSNNESFVHSLRITILMSGQRPGGGGWFGGGGDGIGAGGGGVGGIGPGDGGCVGGGGDGIGAGGGGIGGMGPGDGGCVGGGGDGIGAGGGGIGGMGPGDGGCVGGGGDGIGAGGGGIGGMGPGDGGCVGGGGDGIGAGGGGIGGMGPGDGGCVGGGGDGIGAGGGGIGGMGPGDGGCVGGGGDGIGAGGGGIGGMGPGDGGCVGGGGDGIGAGGGGIGGMGPGDGGCVGGGAYAQSQKAAL >KN542671.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542671.1:5779:10903:1 gene:KN542671.1_FG002 transcript:KN542671.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHRQSLQHNHTEGEEKPKPDDDSTVASGGKDVGEDDGRIPLPGPPLFYNVSLIMSRRSRCVLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQRSRQQPSQEPQQPKQQQVPVQQQPPQKQAPTVLRRSASIAARQAAMAQQSQDAKTVPSSPKIKRQASTKAAPVASTKLTGAAAPSTPKSDADAPKKNEAAPASLQVPTPATKADVPASEPSAPLPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >AMDW01082805.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082805.1:337:933:-1 gene:AMDW01082805.1_FG001 transcript:AMDW01082805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQPKIRRLGSTAELSGVDLEARFAASESDRLAGVVPVKHRCITDDVAAPPPRHDDHRNDGVSEKDPDDDEDSLLDLLADTVSVGVVDDVTERLLLDFFVEAKCSSRNAPTSLLRERRRRENGETMRLAKAWLEGTGTPWTLNDVLYHGEDVMAEMERSRRWMHAGEEEREAGVVVAAMAMDELLHELVSDLIALPK >KN543817.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543817.1:2001:3218:-1 gene:KN543817.1_FG001 transcript:KN543817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFRCIHVLIALALLLFTPIISNEASGNANSTGGCIPSERSALISFKSGLLDPGNLLSSWEGDDCCQWNGVWCNNKNGHIVELNLPGGSCYRMPQPGLGGSIGPSLLGLKQLEHLDLSCNNFSGTLPEFLGSLQNLRYLDLSWSTFVGTVPPQLGNLSNLRYFNLGSNDNSSLYSTDISWLSRLSSLEHLDMSLVNLSAVVDWVSVVNKLPSLRFLRLFGCQLSSTIDSVPHNNLTSLETLYLKFNNFDKRIAPNWFWDLTSLKLLDISYSGFYGPFPNEIGNMTSIVDIDLSGNNLVGMIPFNLKKLCNLEKFNVTGTNINGNITEVFNRLPRCSWNKLQVLSLRNCNLTGSLPTILEPLSNLSILELGNNNITGPIPLWIGDLSKLEILGLGSNNLDGVMHA >KN538694.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538694.1:80458:81261:1 gene:KN538694.1_FG001 transcript:KN538694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSDRCFSYNTQEKIRPLLIPSAIVVVAVIGIFLTISFAVTPRMKANDARLNAFDYLAVDGGGNNTASSSFSYNLSVALAIRNPNKAIGIKHTKALVAVVAFHDRRLHNSTVVVADEGYKQRPGKVKLICLTIDGEISSDLLGTAAADDFKKQNATGLFQVDLRLSGEITNHPLVIPRKHELGTSCPLSLQLAPPGPEVVVFHQVNCNPVKPDKIYF >KN538694.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538694.1:130605:130895:1 gene:KN538694.1_FG002 transcript:KN538694.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQWDGMERVATIAQLTGVDALGFISTILQTAQAVRRNKETCQELVQEISLIRDLLRMLQDPEMMCREEIVNALSGLEPGGDAQGGACPCHFLQ >KN538694.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538694.1:36818:38422:-1 gene:KN538694.1_FG003 transcript:KN538694.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPSPSSASHSHQVELQENVVLHGDEGEKFTFAELATATNNFAADREIGKGGFGTVYIGYLLPDGRDEVAIKRTHKDETYGTTAKEFMAELRAGTGEAPASSTVPEDEEGGGAPDRLRVHQQRLASRPPARYNAAAVVLVVAGEDVMELEDAHRDTAGRVASHRIPVVLQRATGDHPPRHQLVKHAVRRHLGATLWRLADFGASVRCDHSTRSVPADAFYGKFGYIDLEYATTAIAKPTIDVYSFGVVMLEVLTGMRALFYQEEDVHKVFDCSEEDRNAIPAVLAEVTPPFIEVGEGEDRPAISEVVASLEAALELARYVAFHGKGYDIWKCLQFGLVKRLRFGLWKCFGYQLAAMVLRPTYMSVSTRTTATNEVLDRSCCADNHARCYSDSTLILSGHVMLCDCMPTENPFR >KN538694.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538694.1:82088:85910:-1 gene:KN538694.1_FG004 transcript:KN538694.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGPIIAGLASKVLPEFVSWLRKEGKKFEGLQRDVDSIRDELELIDAAIPDHRSNSGSNSQKVWISQVKRLANDIEDWIDQFRVAETKEARQELAGQILGLKQRSEKIGKEPPATSNNPPTTGQKMVAMDGALDHKLPLPESRHKMVGMKGALEELRELVVRQSDRQSERKLRVICIVGFGGIGKTFLADKLYTSVSKEFFSRHAWVNASGKRADEVLKEILEELGKQVDKGKGKGIDGASSSSNIPTIDVHGASTSKFNQQVIRKVGVHGGSSSNEGPKINNASTETPQAENVDLKSCLGNNRYLIVMDDVQRREVLHGIIREFPADMEDSRIIVTTSVQSVAYDISSDSRHMYKVKTLSCDDPKELFFQVASMEKYPEVDRNETLSAIDRCDGLPLALVSIAEFMKRNVAENTVSAKEKVIAKICEEALQACRDCDDRCRDDRLARMQRRRSGCAEGLQAGCRNCCCSDKDNPLARMQRVLFDNYHSLHNDAIVQYCLLYFSMFPRGHPVRRNSLIRRWMAEELIQDGLSGTDPVDVAARNLSVLIDRNVIQPIDENVKRCQLPGMMLEYISHKSMCESFMRVLHCHQQPRTDEYIRRLSLRNYSGKNIAERDGTLLRRLRTLAVFPAKGKDGTVGLGVKLADYKLLRVLDLEECNGLDNSHLQEICDTPLLLLRYLSLGGSITAVPRKIARLKRLQTLDLRGSNANTVEAPVEAILLPELKHLLGVFRLSRFDFLVKGLKKKLSKTELETLAGFVIGKSRGVSRLLFHMSMLRKIKIQCKPTADKANLTHVSRAIEKFIRNVHNTPGHRSLSIDLVGGCKTEFLDFLRAAPGTLNSLKLQGKLKEFPRFIVDLTGLTELCFWLTNLRGQDIISGVRGLGVLKYLKLVEDNLDHVMREEAKKDRKMGGCAKVKPVDL >KN538694.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538694.1:111635:113244:1 gene:KN538694.1_FG005 transcript:KN538694.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGLGQAATVAQLAGADVGGLISMIMQAAVTAQQNKKECERLTRRVFMIAELLPHLQDPEVIRRPEVWRLLAGLDEALREAHELVTSCHCQEKSAVYRFVMAGRLADRFRDVERKIDSYLLIFPLISHIGITRRLDRIYKVLLPDDTILASPSADSSQSHALGIAEQMAFHGDDGGKVFTMAELAAATNNFSSDKQIGNGGFGRIYMGMLPDGREVAVKRKSVDSYPGEEEFMAEITILSQIRHKNIIRLLGWCRATEKRLFRKNKEERLIVYEYMENGSLDCHLHDPLSSSPVVTSWKTRMEILLGVSRAIEHLQSYGERPVIHRDIKPSNILLDDTWAPCLSDFGLSLTWDEAADHETTPVYGTYGYIDPEYYIKGRLMPTNDMYNFGVVMLEVLTGKRAYFGEPKEGKERGKQYIFGRQSLASFAMPLIEAGELWKVLDRRPAEDPTPRQLQAVDLVAQTAALCLNLEGKNRPAISEVVANLETAVELVRCDG >KN538694.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538694.1:24117:24865:-1 gene:KN538694.1_FG006 transcript:KN538694.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGSIVGAVPKVASAIAKAVKTARRNKTRCRELAQRVKEVDDILREYKKVAMGDAAATTRKILGRLKDALDDALKLVESCGRSRDGLLFRLHRFVASDGLAAKLDDVNSRINNCLIALQAVNVAHLQKKMDRHLAVAAGGGDHRRTNNPREINAGKSGNKGNKGGQQHKQNGGKGGKRRKGKKAAGPPPQPQPCTPTGAVFPYYLVHSMEEDPTSCSVM >KN538694.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538694.1:150709:151407:1 gene:KN538694.1_FG007 transcript:KN538694.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEHRSLFRKKNMVEERMLIFECMKNGSLSDHLHGPSTSSSSSYSPVVSAWKTRIEILLGVSRAIEYLHSYAVPPVIHRDIKSSNILLDSSWSPRLSGFDVAIGCFGEAECGEISISTSVVGGTRGYLDPGYLCTGQVRPASDVYSFGIVMLEVLSGRRTILQSKEEHGDGDGDGDGPMDLVSHTLPLIDAGQVLHVLDRRPAEEPTPRQLEAADLVARTAALPAREWGE >KN538694.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538694.1:165201:174254:1 gene:KN538694.1_FG008 transcript:KN538694.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAIASGEAQKLRLELLMAARGGKWEKLNELLNSQDEDAPSSTLVVVHIDDTSTPATANARRPTGESAAVGPPADSILHVVASSGDGANFLKSATVIYAKDAQLLYALNSNGDTPLQCAARAGNIGMVSHLIHLATNTDVGGNARLKEMLKNQNGKRKTTDSSQPVPGDTALHDALRLGDKKILKKMVHMLLQYNVELTSIDSTDGTSPLYLAVMLGHYDIAETLYQNNKRLSYSGPDGQNVLHVAVLRSPGAWQWRWGLLDQRSSGSGAGGEGVVTDMTDKLLKWNIDLTKQRDRTTGSTPLHFAATWGKDYGRVIKLLLDKDESLAFQSDVNGSFPIHAAAVTMMSSSVVNIFLKKHPSCARLQDAKGRTFLHIAVEKECSFVVRYACWREKPAMTAVLNIQDNAGNTALHLAAKVCNQWSFYFLIQNPHVQLNLVNNRGLTPLDIAWKHRPQGIIYGLDPRVRIHLLLKGAGAKNGSYKWDWFVDKHVRSKVDQSKLDKMIRDSSQIIGVGSVLIVTVTMAAAITIPGGFRAAEDTYKGTAMLSDSIVFQLFIVANTLALVCSGLATMNVMFAGVATVDIRTRMSNFLLSILFVYCSSKALVASFLFGLYAVLPPTAVTIAYISSAIAAPFLVLDVLWFIFAVAFGEVMLLRRLGCITWLRNFSLARFPNFILEIKDVLEMPLPPKKAAREKQGSGSDN >KN538694.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538694.1:182007:183611:1 gene:KN538694.1_FG009 transcript:KN538694.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALGQASTLLEMVGVFVDRGLISKIIQGAATARQNKEECNQLARCVFMIAEQLLHPQDPEVIQRELEVWRPLEGTLREAHELVMSCQCQDKSMVYRMVMAGRQAERSRDVQSRSERFRDVHSRINSYLVFPFISDIDITGRLDRIYKLLVTSDRTVQSEGVVLPRGGECEKSITLAELMAATKNLASDSMLGRGGSNGVMKKCRLPDGREVAIKHFSKTRLGNEFLTELTIVSHLRRHDHIVRLLGWRMVEQRKKRLLPFRRKEEGMSVSVSLLVYEHMKKGSLDRHLHGPSSSSTVMASWKTRIEVLLGVSRAIEHLHSSQPPVIHCGINPSNILLDSICAPRLSGFGSAIRCSGEVVLMGKNCIDGGMRVYLDPQLVNTGTVEPKSDVYSFGVVMLEALTGKVPTHRSVEGGSISTVHLVDVTLRLIEAGKLGKVLDRRPVAEPTARHSSRRWSWWHSRRRAAAWSLIRRTGRPCRTS >KN538694.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538694.1:93068:100965:1 gene:KN538694.1_FG010 transcript:KN538694.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEISISATVVDEAMPVTASRSEMSPLLRQLHSLTDTINNLPGGEGISEDEIQDFKIVLEGLRTKIKDLSAVERTSLTVKRWMQEVRDFCYDTEDFLDRVMNYGAGVGKSALIKPFNRIQSKTKKRHRQIAADFSGLKARAKGAGERFIRCVLPAQTIKPNCEEASSSQQHPLPAQLSSVLGVRGRIADRFDGLMDHLVKLLAFNAQQQLKVVSILGFAGVGKTTLARSLYHNYGARFECRAFLQVSRNPDLRGFLISMLSQIKAPRTHASSDVQDLFDNVKKHLQGKRYLIVIDDLWASTTWDIISRALPRDNCYSRIITTTQTEDVATACCSYNSTDIFKMRPLNNIQFSGLPFETTIMVANLLECNPMTVAQWRHLQNSLPSLGTNSTAEGMNELLSLIYHILPHDLKTCLLHFNMYPEDYTIRKDDLVKQWVAEGFVHEVNGQDADVVAKIYFDELINRGLIQPVDINYNNEVLSCTVHHIVLDFIRYTSKEENFITIVDYFQARPGNLDKVRRLSVQFGSVKGAKIPAGSIRMSQIRSLVYFGFFKCVPSVAEYGLLRVLNLHVWADKDKICFDLSSIQELFRLRYLKVACNVGVKLPSKIGRLQYLETLDLDARVVGFPLDIVQLQNLLHVRLPRETNMPHGIDEMTSLRSLAYFQLSDSSRDNGLNLGKLTNLQDLRLTCSSIQTDRVVVNLECLWSIMEKFSKLTSLILDGGASTTSIPCDGLSILSSPPPNLQKLELSPQTFVFPSLPEWIGELSKLCNLKIAVRDLPRNEIDILKRLTTLTVLSLSVRTTPADRIVFDKGFQAIRYFKFACTTPCLSFVEGAMVNAVRLKLVFNASSIEQYDLTSVCFQYLTSLKDISVKFGDTSSYLPSGRNAAEGALVAAVSKHPSTPIINVQWQEGTSSCDKGNSVSAKRGKLQALERLETAGKDSDELEIQEKKEWSLSAQRKRTHEQQGLEENISSEDTGKQDNSMCSMVDVALGSFKPSESSFRTKSTATAMQLVEADIGVLISKIIQAAGTARQNKMKCRELAHRVLVIADLLPHLQDPEMMQRPEMQRPFDGLDDTLREAHELVMSCQKRSAVYRLVMARRQAERFRDVLSRIDSYLLIFPFTSHIDITRRPDRSYSDTSVPSRPASAEYESQDAAKIAWKEPHKVEEFTFEELVAATDNFIADREIGRGGFGSVYMGILSNGWQVAIKRIPGSHHGMKELRAHITILSTLHHNNIIPLVGYCKVEKEKRRLLFQRKNTVEKELLQRKNSVEEEYLLIFDYMKNGSLSDHLHGPSSLSSYYSPVAESWKMRIEILLGVSCAIDYLHSSAVPPVIHRDISTSNILLDSSWAPCLSGFGLAVSQNEGNLTCAGKVGYLDPEYILTATPMPTNDVYSFGVVMLEVLTGRKHFFQWEEEEEEEVKQVMFYRKGEYTSLVQFALPFIKAGALSKVLDKRLAATLTSRQLGAADLVASTAERCLEEKGVDRPAMSEVMANLKWALELIHSDELID >KN538694.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538694.1:47306:50741:-1 gene:KN538694.1_FG011 transcript:KN538694.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQQNKKECEQLARRVFTIAELLQHLQDPDVLRRPEIRRPLTGLDDTLREAHELVLSCQDKSAVYRLVMAGRQAERFRDVQSRIDSYLLVFPFISHIDITGRLDRIYNILLPTNTPGPSTPAFPVPPNPVPAAQVYIEMGRLKHSIVCTWKIDLIRELSILRSFSHEHIVRVFGSCVKEKRQLQPPFRKKQEELLLVLEYMENGSLNSHLHGPRSSSPVITSWKMHIEILLGVSRAIEYLQSYAERPVIHRDIKTSNILLDISWAPHLTDFELALTWEGPDHVVDLLVQGTLGYLAPECIIDGTLNPTVDVYSLGVVMLEVLTGKKPYFSEEWKEKKTEECVEEEKREECDQQERKNTEEDKEESEEDGKTTKQRWYEWLEQDGIGHQSLVSLALILIEAGELCKFLDRRPAPEPTPRQLEAAELVAQIAARCLRLQWEERPAISEVVANLEKALELARCDG >KN538694.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538694.1:1310:2487:1 gene:KN538694.1_FG012 transcript:KN538694.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPAPANLPVSENHTEQIDIQLSKQHASRFLQQIMDCHLVHHGEYLNKAKFHPNTLDQSVKAYGEFQTMQASQEVSTIENEVLSRVSEEQLSQVSTSAGDTMEEFLASLEKDLLQDDSRSDFTETYWGDAYNAVKQTGGLPRVNQAHNMSRGGISPASEQCCCALKPSKDEKFKEI >KN538694.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538694.1:116603:118653:1 gene:KN538694.1_FG013 transcript:KN538694.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADVGGLISMIMQAAVTAQQNKEECEQLARRVIMIADLLPHLQDAEEKSAMHRLVMAGRQAEKFREVQSRIDSYLFVFPIIGYIDGALIEYTEFCFRMTRPWSELAEEAAQEVVFHGEEGQKFTFAELATATNNFASDKLIGRGGVSFVYMGRLPDGREVAIKRFSEAIEDDEFNADHTILSHIRLKHIIRLFGYCMERQYKQEIKRSIWFWKKKVVDVAPILVFEYMKNTSLDKHLHGSLSSSSPVTTSWCMRLEILLGVSRAIEYLHTHPTRPVIHRDIKTSNILLDSNWVPRLSDFGLSLTWDEMECDNFDIKGTPGYVDPEYVVTARAKPTIDVYSFGVVMLEVLTGRRAIDLGQDKAKILVHFALPLIEAGELLDRHLATEPTAKQLQAAELVAHTAGRCVQLEGKDRPAMSEVVAKLQEAVELVRGEE >KN538694.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538694.1:159341:159412:-1 gene:KN538694.1_FG014 transcript:KN538694.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MADSASSAHRDEDVEINLVAASS >KN538694.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538694.1:51935:58084:-1 gene:KN538694.1_FG015 transcript:KN538694.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGGLGHAATVAQLVGADVGGLITKIIQAAATARQNKKEYDQLARRVFTIAELLQHLQDPEVLRPLTGLDDTLREAHELVMACQDKSAVYRLVMAGRQAEKFRDVQSRIDSYLLLFPVISHMDITRRLERIYNILLQNNMAGPSMSPISMPQIPVPVSQDAAKMYWKEVPHGIQKFSFKELAKASINFAPDRKIGEGRFRSVYMGRLPDGREVAIKLYMYSRQVVREFLAEITILSTIRYKHIVSIYGYCVLVEEKWRHLLRPFRKEKQENKFLLVFEYMENGSLAHHLHGTTSSSSPVVASWKTRMEILLGVSRAIEYLQSSGEQQIIHRDVKPSNILFDVNWAPRLTDFGCALTGEEGDTNIICGTFGYMAPEYLMRGILNLTTDVYSFGVVMLVVLTGKEPYLFGEEWEERTREKRDEGEKTEECDEEEKREEGENTEEEESEREEEEEKTTEERHEWQKRDGGLGAMLYQQPPDPLLSACALNSIIKLRASQSLPVHLFAAQFEMHMSVVDRLLWLGLAVIFTKAIISNKEQNPDLSAMAPWDVASHAAMVAELVGADVSGLITMIMQAVMTAQQNKKECEQLARRVFTIAELLLHLQDPDVLRRPEVRRPLAGLDETLREAHELVMSCQEKSAVYQLVMARRQAYRFRDVQSRIDSYLLLFPVISHMDITRRLERIYNILLTNDTPGPSTPAFSVPLNPVPAAQVATKFVWREIHGVKEFTFEELATATNNFSLDRKIGQGSSATVYMGRLADGREVAIKRMLETSDIMKTEFMTQLSILRSFSHEHIVRVFGSCVKEKRQLQPPFRKKQEELLLVLEYMENGSLDSHLHGPRSSSPVKTSWKTRIEILLGVSRAIEYMQSYGERPVIHRDVKPSNILLDGNWAPRLTDFGLALTWEDPGHQDAVIIGTIGYLAPECLIAGTLNPTADVYSLGVVMLEVLTGKRPYFSKEEWEEEKTEECVEDEKREECVAWRETACTICSTAPPLAPPPRPRRRRGILF >KN541750.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541750.1:4168:5031:1 gene:KN541750.1_FG001 transcript:KN541750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRRRMTSSLSSFVAVLFLIVVAAVQSQELLKQPKGSKISLGVGHSIDRCSAAKKWQQRRLLAKGRIEKTAKEHELS >KN541750.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541750.1:16872:17482:1 gene:KN541750.1_FG002 transcript:KN541750.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASHADDDDN >KN544178.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544178.1:489:839:1 gene:KN544178.1_FG001 transcript:KN544178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVALLVVLVAMSVVLLETQAKTKPKAEKPPKTKEHKLPRHPDKPPPYRNSHTKTNTPRTPLYAPPPPLPHTSPTPEPTPPTYSPISKTTMRADRWRRRSEGEACVRVWGGVPC >AMDW01048703.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048703.1:904:1298:-1 gene:AMDW01048703.1_FG001 transcript:AMDW01048703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EPIDERMAL PATTERNING FACTOR 2 [Source:Projected from Arabidopsis thaliana (AT1G34245) UniProtKB/Swiss-Prot;Acc:Q8LC53] STDDVVTPVHGAQDEKIKATATLVISPQQATAGDNVGRGVLQEEVHATGSSLPDCSHACGACSPCSRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >AMDW01013002.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013002.1:3:239:1 gene:AMDW01013002.1_FG001 transcript:AMDW01013002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGLPRDADGFVAAVHEATPVQFLAGVFRYLGEDMVGELLRSDDDDVHCPSIAASSSPEELLACAARAYTGRDRERCGGS >KN545316.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545316.1:714:1588:1 gene:KN545316.1_FG001 transcript:KN545316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSKPHLIVAPNAGVAAYPNWMPTIEIIRKVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPLMEYEYQTNTFIIYHLLSRFRISSLYHREIFRDSTLDK >AMDW01021964.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021964.1:167:293:1 gene:AMDW01021964.1_FG001 transcript:AMDW01021964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPPSSDPSLETVAPHAAVTGERKLNPNLQEQLPKP >AMDW01028548.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028548.1:135:308:1 gene:AMDW01028548.1_FG001 transcript:AMDW01028548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVSQMKPFLAKFFPKVLRRMADAKRDQYCVFDSHALTAFTSSLYVAGLVASLAAGRVT >KN538860.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538860.1:83338:85530:1 gene:KN538860.1_FG001 transcript:KN538860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVWCANRANPVGENATLELTGDGDLVLREKANGRLVWSSNTSVQSVAGMEITEHGNLVLFDQRNATVWKSFDHPTDALVPGQSLLQGMRLRANTSNTNWTESKLYMTILSDGLYGYVESTPPQLYLKYNSTQPGNPDDIIPLPEAKSTQYIRLESDGHLKLYDTTEGKWTMVYDLTKQLLLDDCAFPTVCGGYGICTGGQCSCPLQSDPSSRYFQPIDYRRQNLGCAPVTPISCQEMKNHQLLTLTDVSYFDMGQIIMNAKNRDDCKQACLKNCSCRAVAFRYGQNDSNGECQSVTEVFSLQSIQPEKVNYNSSAYLKVQINPSSDPTQKKLKTILGATLAATITLVLVVIIAIYVRRSKYQELDEEFEEFDILPGMPVRFSFEKLRQCTEDFSKKLGEGGFGSVFEGKIDEERVAVKRLEGASQGKKEFMAEVETIGSIEHINLVRLIGFCAEKSHRLLVYEYMPRGSLDRWIYYHHNNAPLDWCTRCRIILDIAKGLCYLHEECRRKITHLDIKPQNILLGENFNAKLADFGLSKLIDRDQSNVMTVMRGTPGYLAPEWLTSPITEKVDIYSFGVVLMEIISRRKNIDISLPKESVQLINLLREKAQNNQLIDMIDKHGNDMVSHKDEVIQMMKLAIWCLQNDSSRRPSMSTVVKVLEGAMSVENCLDYGFFNASSVISVQDNPSSCSAPPASSILSGPR >KN538860.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538860.1:111075:113634:1 gene:KN538860.1_FG002 transcript:KN538860.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHPANLFLEIVVVLLLLATAAPFVSGQRYDYPMANLSTRWVNNAAMLKHNSYSDGSAVHAIVLRSQKQLPGISFAAGFFCAPPCQAFLFAVFIVYTNSGAGITLWVNGMAQVIWSANRASLVGENATIELTGDGNLVLHEANGRLVWSSNTSVQSVTGMEITEHGNLVLFNQRNETVWQSFDHPTDVLVPGQSLLQGMKLRANTSTTNWTESKLYMTVLPDGLYGYVGSKPPQLYYTYLVDTNKSRKDPTRVTFTNGSLSIFLQSTQAGKPEAIIALPEAKSTQYIRLEYDGYLRLYEWSDEKWTMVSDVIKKYPDDCAFPTVCGEYGICAGGQCICPLQTNTSSGYFHPVDERKANLGCAPMNPISCQEKQNHQFLTLTDVSCFDGSQTIANAKNREDCKQACLKNCSCRAVMFRYDQNVSDGECQLVTEVFSLQSIQPEIIHYNSTAYLKVQLTASSSAPKQTSSSAPTQKKSYKIKTILGSTVAAIITLVLVVIVGIYAQMRRKYPEIDEELDFDIMPGMPMRFSFQKLRECTEDFSKKLGEGGFGSVFEGKISEERVAVKRLESARQGNKEFLAEVETIGSIEHINLVRLIGFCVEKSNRILVYEYMPRGSLDKWIYYRHNNTPLDWNTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLMDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDFSQPEESVQLIKLLCEKAQNNQLIDMVDKHSNDMISRQEEVIQMMKLAMWCLQNDSCQRPSMSMVVKSCLLKAIHRYIQLHLLHQYYLVQDEMGG >KN538860.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538860.1:40028:45137:1 gene:KN538860.1_FG003 transcript:KN538860.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSVLNLDAEDDREEAAEPPTSSKAEAAAVTATETDKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSK >KN538860.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538860.1:86121:87906:-1 gene:KN538860.1_FG004 transcript:KN538860.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGMAKGSGGFGGRKATGRRRQQAAVGTIGFAADAAGFGALEPDPGTPSMARASPFDVLCYWETQGTIGRCSGTPDLCWIMGADRNADAWNNNFYRALTTHFSHVIIGDYSITPSASMFYCHSPVK >KN538860.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538860.1:103068:105739:1 gene:KN538860.1_FG005 transcript:KN538860.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MCPANLLSFDIAAVAAVVVLMLATAAPAISVQQLYDYPTANLSTRWVNNAAVLQHSVDFTDGSAVRSIILRSPKTIFGPSFAAGFFCAPPCKAFLFAVFIVYTDSGASITSVRNGIPQVVWSANRGHPVGENATLELTGDGILVLREADGRLVWSSGTSGRSVAGMQITEQGNLVLFDQRNATVWQSFDHPTDALVPGQSLLQGMRLRANTSNTNWTESKLYMTVLSDGLYGYVESTPPQLYYEQTTNKRGKYPTRVTFMNGSLSIFIHTTQEGKPEAIIALPEGKSTQYIRLESDGHLRLYEWFDAGSNWTMVSDVIQKFPDDCAFPTVCEDYGICTGGQCICPLQANSSSSYFHPVDERKANLGCAPVTPISCQEMQYHQFLTLTDVSYFDEGQTIANAKNRDDCKEACLKNCSCRAVMFRYYGQNDSDGECQSVTEVFSLQSIQPEIVHYNSSAYLKVQLTPSSPAPTQNSSSAPTQTSSFAPTQNKSNKKKAILGSTLAASITLVLVAIIVVYVRRRRKYQETDEELDFDILPGMPLRFSLEKLRECTEDFSKKIGEGGFGSVFEGKLSEERVAVKRLESARQGKKEFLAEVETIGSIEHINLVRMIGFCAEKSNRLLNILLDENFNAKLADFGLSKLIDRDHSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDISQPEEAVQLINLLREKAQNNQLIDMIDKHSSDMVSHQEEVIQMMKLAMWCLQNDSGRRPSMSTVVKVLEGAMRVENCLDYSFFNANSVISVQGNPSTYSAPPHASILSSPR >KN538860.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538860.1:30700:32810:1 gene:KN538860.1_FG006 transcript:KN538860.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPVRSPPPSAPAPRDEGFEDEEEEEESARAIAVSDQRAIYLVNMFIANTVEFLNSFAAQCNDKLSLLHRKIVKLDSSLNLLEAKLRSIDDTNAFGHSTNQKAHGLFTQDGRFEPTNLLGESSR >KN538860.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538860.1:121519:124044:1 gene:KN538860.1_FG007 transcript:KN538860.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHPANLFAGFTVLVAVVLVVAAGTLPRQRSDYPMANLSTLWVNNRNRLPDSITYDDGSMVRSILLLSPQTFYGPSFAAGFFCTPPCREFVFAVFIVFTSSGALFPVADATLELTGDGDLVLRENANGRLVWSSGTSGRSVQGMEITENGNLVLFDQRNGTVWQSFDHPTDALVPGQSLLQGMMLRANTSPTNWTESKIYITILQDGVYGYVESTPPQLYYNYVVSTNKSKTVPTTVTFTNGCLSIFVQSTQPGNPDGRIALPEAKSTQYIRLEPDGHLRLYKWSSEENWTVVSDVTKLSLDDCDFPKVCGEYGICTDGQCICPPESNSSSSYFQPVDEWKLNLGCVPVTPISCQETQNHQLLTLSDVSYFDVSQPIANPTNKDDCKQACLKNCSCRAVMFMYFHNDSHGTCHSLTEVFSLKTIQPQTATYNSTAYLKVQLTPSSSAPTQKKSYKTKTILGSILAAIGALILVVIVAIYVQKRRKYRERDEELDFDIMPGMPMRFSFQKLRKSTEDFSKKLGEGGFGSVYEGKISEEKVAVKRLESARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMSRGSLDRWIYYHHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDISQPEEAVQLINLLREKAQNNQLIDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSDRRPSMSMVVKVLEGAMRVENCLDYSFFNANSVISVQVIPSTYSAPPRASILSSPR >KN538860.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538860.1:167395:169150:1 gene:KN538860.1_FG008 transcript:KN538860.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQRLQAEEQQMLRDKRRDAMARVCRALEELRLRVITANITSVAGCLMHTLFVEVDHMDSVQMKQMVEAALSQLVATGSPLSSMSY >KN538860.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538860.1:128523:134029:-1 gene:KN538860.1_FG009 transcript:KN538860.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPRPRFLAASVSFRLWFCSRRPGRPPPPMTGSPGRCSTKWARVTTGCCLFGVLGWEARRIFYYRASCILMLRASVVLTVPGCGAVEPTDGAVASGVNLILWVMGADQNADPLNNIFDQSLNISPAAQVPIIPWHRAIQIAADDADADGVGGGLGGVEGQRRRLGQHIDRRVAEGNEHDAVGAHLHDDADRLCHAGSLAPGEPHREDLGFDQDRERGRKKRTWKGTKGWEERLRWLCVATMAEISTGVGDGAEWIRDAEAAAAAAAAWIVDAAAQHVEIITKNPTYLSVGARGCTQAPQLIVVLDRMDLDHTHKFDI >KN538860.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538860.1:151556:153704:1 gene:KN538860.1_FG010 transcript:KN538860.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAIAVGQVVRTGPFGLKAKHCDLLYAFSSVPRDLNFIDHTSDLGWKEHERFEKLIVDPSLYMDRNSEILPATEPRQMPDAFKIFTGSPWVILSRNFTEHCVHGWDNLPRKLLMYFANTAYSMESYFQTVICNSSKFRNTTVNGDLRYFVWDDPPGLEPLVLDESHFDDMVNSSAAFARRFMDDSPVLKKIDKEILNRSSAVCASLSRRRGMDVDSCSKWGDVNVLRPARAGEQLRRFISEISQTRGCS >KN538860.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538860.1:93174:95717:1 gene:KN538860.1_FG011 transcript:KN538860.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRSANLFFDFTLLVVVVVVFATAAPLVTGQPSDYPMAKANLSTRWVNNAAALQHSVSYSDGSAVRAIVLRSPKTIYGPSFAASFFCSPPCKAFLFAVFIVYTNSGAGITLSVNGMAQNAIVWQSFDHPTDALVPGQSLQQGMRLTANTSATNWTESKLYMTVLPDGLYGYVESTPPQLYYKAQVRINKSGQNLTRVTFTNGSLSIFVPSTQPGNTDNSIALPSAKSTQYIRLESDGHLRLYEWSGTESKWTMVSDVIKILPDDCAFPTVCGEYGICTSGGGQCICPVENNSSTSYFHPVDERKANLGCAPVTPISCQEMKNHKFLTLTDVSYFDESQIIMNAKNKDDCKAVAFRYGQNDSDGQCQSVTEVFSLQSIQPETVHYNSSAYLKVQITPSASDPTQNKKKTILAATLAAITTLVLVVIVAIYVRRRRKYQELDEELDFDILPGMPTRFSFEKLRECTDDFSKKLGEGGFGSVFEGKIGEESVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCKIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIVSGRKNIDISQPEESVQLINLLREKAQNDQLLDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGAISVENCLDYSFFNANSVISAQGNPSTYSAPPQASILSGPR >KN538860.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538860.1:45830:49840:-1 gene:KN538860.1_FG012 transcript:KN538860.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRHPEADTLYVEEVDVGEEQPRTICSGLVNYLSIDQLQNSDMSLLSVVYRYMDQDVCWRDSNVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGTEDGKDRQSEAASPNQVQKKKIWESVQPHLRTSENCTAFLGEHPMRTSAGVVFCKTLQGARVS >KN538860.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538860.1:6350:19491:1 gene:KN538860.1_FG013 transcript:KN538860.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIGEPAAGKANLSTAGLGSCGLFCSKNILASSLSWRSNLYQQVAIKKKKKRRKTTPACAAFIHPATGPDTDAGEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGFLQGPETDQGTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATKVGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHPFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDVPLNLDTF >KN538860.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538860.1:107101:107432:-1 gene:KN538860.1_FG016 transcript:KN538860.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGSPGRCSTKCAGSQHRELLARCRAGKVCGEAENWNSLRLHERSFMNPDPLGHGR >KN538860.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538860.1:127561:127926:1 gene:KN538860.1_FG017 transcript:KN538860.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDDVNFSPHQATPKESMNSKNDVKVHPSSGQTPNKGNYYMHHANAIMMLFQYICDGCRRRVESSMEDYKCKKVEEGHGEKRKVGEGYDPRWSSLIEITMKICNDDAFDEATEKEP >KN538860.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538860.1:58009:60515:1 gene:KN538860.1_FG019 transcript:KN538860.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVVLATAAPSVSGQRPDYPTANLSTRWVNNAAVLPHSIAYTDGGKQYGTGYLVGQPGKSFGREWNATLELTGDGDLVLREIDGRLVWSSNTSGQSVAGMRITEHGNLVLFDQRNATVWQSFDHPTDVLVPGQSLLQGMKLRANTSTINWTESQFYLAVLPDGLYGYIESTPPQLYYKHGVRTKQKGKDLTKITLTNGSLSFFVQSTQAGKPDDRIALPEAKSTQYIRLEYDGHLRLYEWSGFEWTMVSDVIHMDDVIDVDNCSFPTVCGEYAICTGGQCICPLQTNSSSSYFQPVDERKANLGCAPVTPISCQEMKNHQFLTLTDVYYFDGSIITNAKSRDDCKQACLKNCSCRAVLFRYYDNDSDGECKSVTEVFSLQSIQPEKLHYNSSVYLKVQLPPSASAPTQKRIKVILGATLAAISSLVLVIIVGIYVRRRRKYQKLDEELDFDILPGMPMRFSFEKLRECTEDFSKKLGEGGFGSVFEGKIGEKRVAVKRLDGARQGKKEFLAEVETIGSIEHINLVKVIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLLEIICGRKNIDISQPEESVQLINLLREKAKDNELNDIIDKKSTDMVSHHQEEVIKMLKLAMWCLQNESSRRPSMSMVVKVLEGAVSVENCLDCSFVNANSEISAQDNPSIYSAPPSASILSRPR >KN538860.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538860.1:216164:216379:1 gene:KN538860.1_FG020 transcript:KN538860.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSGFGMRKNRRGASNGDSDVEMEQEEIAATGMRGDGGSDREGRRVEEEPRRGRRHRWMWRWDVEEAAAA >AMDW01040846.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040846.1:356:2280:1 gene:AMDW01040846.1_FG001 transcript:AMDW01040846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEVGEDASPMYKEQIALTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIMTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIIDEIMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKAFNLFSARKL >KN539845.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539845.1:71599:77459:-1 gene:KN539845.1_FG001 transcript:KN539845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIQYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQKSFASKVYHKSLFQQASVDYLNHIYFKKKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEDGGRGRFKSHTNAPARISDREKKAPLKT >KN539845.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539845.1:61558:65449:1 gene:KN539845.1_FG002 transcript:KN539845.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVFGFYWIREYDCRFPDAEDEHQEQSKELGRPGAVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNNLEVIHLPVYYPSEQEKEDPKLYAYNVRKLMAVEGNLILSDLGLAEKRVYHAALNGLLCQS >KN539845.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539845.1:37840:41369:1 gene:KN539845.1_FG003 transcript:KN539845.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDEKADAMEIDGQHQQVATTAVPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIGGENTMKKVSLTGAVLHPFLARSYTDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDANATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPDNCDDFDPTTVPTLSQLLDWERTSLEKSIRFFRTSFLQPLLKACKEELESSYNAKLQQSKNTLTW >KN539845.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539845.1:23944:27517:1 gene:KN539845.1_FG004 transcript:KN539845.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLQGLARSLSVGKERKGGDGDGDDGKAATATAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVCEFVGVWDFKAAFEERERIMEYNGRVQCLADEPGVHRVWRPDREGPGLAMSRAFGDYCVKDYGVISAPEVTHRRITAQDHFVILATDGVWDVVSNEEAVQIVASAPEREKAAKRLVEFAVRAWRRKRRGIAVDDCSAICLFFHSPPS >KN539845.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539845.1:30401:30547:1 gene:KN539845.1_FG005 transcript:KN539845.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSKKIGAEWAKTRRNPRSAATRNPSSSGNVLLALRSATQEGAGV >KN539845.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539845.1:68990:70360:-1 gene:KN539845.1_FG006 transcript:KN539845.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYVAVLYAPTALLRLPPPTSLRVFLHRRFLCAAFSSSASVLATASLLRVWSIGDSSVVLAVFGIRRDHLLQAVAIPLLLTSLVYAGSFVARLWLLAISCGGGDDELEIGWAQRLAHWIRASVGDVMVWRNCVVAPITEELVFRACMSKVV >KN539845.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539845.1:28167:28817:-1 gene:KN539845.1_FG007 transcript:KN539845.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTLIEYGEMIFYPVVLILDIVGTAHRWHVKARCISNQNAIALGHLKVRCISEAKGNHCFELSISSTFSIEMSLSAITLLGMLNLGHSAIRVAFHDTDCLICSVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGAGIMEEEPPESLKSRYGG >KN539845.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539845.1:52928:54326:1 gene:KN539845.1_FG008 transcript:KN539845.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSAAAAARRLQSRYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVVKLIEIAGIFMFYCFRRNVYIIFGFVRYNL >KN539482.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539482.1:102414:103136:-1 gene:KN539482.1_FG001 transcript:KN539482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKSAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIGSTGGYVARSCLASIVSPKTKFQIVDTGR >KN539482.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539482.1:75992:78881:1 gene:KN539482.1_FG002 transcript:KN539482.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAELLVLVLFLALLVVSLVEEKGSPGVIDVMKLRKMSQEKVSAWTMKGLMAAIGSSRLSTISNTIESFDDVDGMEQKDKEINNEWEAKAAASAIFKNVARPGYKHIEEVDLLRFFNKEEVDLVLQRYIDSKPTHWCPIHTVNLKDILDVNKINMSLCVQHTMNFQNIRERNLRRSELVMELKKLFEEMSITYHLLPQKVELSFVGPNPVPIALPQSR >KN539482.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539482.1:52330:55335:-1 gene:KN539482.1_FG003 transcript:KN539482.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTMNPGLGSARCPRCLSLLTPNSSGEGDWAITSVLHDATAVAGSGAGAMLSAVHGFNTGIPFVQKHVKGPKWLQLLVGCYASAALCEKLMLAVDPPTWLNICSSSMQVPPLLLFSGTSALFGAYALPTFAQLTVTSYYAASSASHYAVSQITRQIEGSHLSDTNGNSR >KN539482.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539482.1:5017:5539:-1 gene:KN539482.1_FG004 transcript:KN539482.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASMSPELAAALAKVAVFAVVQALVYLILRKSSGVFSPDRTAAAAGSRSIN >KN539482.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539482.1:24941:36315:-1 gene:KN539482.1_FG005 transcript:KN539482.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLFIASIIWDEWQTSASCSLPQKPFISEVLHLHLFNEQGDQISPGVIPLAVKDIFNIIQEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLNLIDLAGSESSRVETVGVHQKEGSYINKSLLTLGKLICTVTPASSNSEETHNTLKFAHRAKHIEIQATQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKGDFGLIALLVLHGFVGFGEKFKSSPKEETENHKGILNWLKLRKCDSGSTNLTSSDGENPGSTKSLPALSTPLGIEFFNVTSEQRMSDYMLAENVPANLLCVGHGEFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSDSRGIHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRESHTKPRRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLERELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >KN539482.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539482.1:94230:95838:-1 gene:KN539482.1_FG006 transcript:KN539482.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEQKKVVVHFRSTGNAPQLKQSKFKIGGNEKFLKIIDFLRRQIHQDTVFLYVNSAFSPNPDELIIDLYNNFGIDGQLVVNYASSMAWG >KN539482.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539482.1:8701:15377:-1 gene:KN539482.1_FG007 transcript:KN539482.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVATIVAPAEEDAAAWERAIAATVKNVPKTLTLDGIVKSTMGRLLLAVVPLSLLVPRGALRRRGTLIKLVYTASCGGGGAELRFAKFERRKMQECFDFVRAQGLVRRNGSTMGSSKENIALKASGGGAYKYTEDFREKLGVCLDKVDEMDSVVSGANFLLQSVPGAAFTHMNGKKSSVDISPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKKLTDYRPEDLASTLLSAFTYNIAQIMLEVDNISFLVASILRLRRVFFGGSYIRGHKSTMQNISYAIDFWSQSNMQAVFLQHEGYLGALGALMSYGDSGDKNMNLEEMKEEENIHESATPIDETSADEHNDGNIFPYLLVNIGSGVSMIEVTGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLSASTTAASFGKVSSSRLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYICGHEKTMDKISHSLKYWSKGQVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAQDVIREVLLGAPYTGQLPSVPLTHQQDNGEDTTFEGEVERLRHDNAVLKAELERLQRENTELKAKLDAVVKHDSMATREGI >KN539482.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539482.1:57145:67253:-1 gene:KN539482.1_FG008 transcript:KN539482.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVAARRRITIVGGSIPERSSGRLFNTCCVIGPDGQIKAKHRKLHLFEIDIPGDITFRESDTFTAGQEPTIVDTDVGRIGIGICHDIRFPELAMLYRSRGAHLICYPSAFNMSTGQLLWDLMQKSRAVDNKLFVVTCSPARDPNAESDYMIWGHSSLIGPFGEVITAAGHEEATVIGEIDHAMIQTIRAGTEKAAAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKREIAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPSTTSEGQITQGAKHNYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVPSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNTDTDNNNGTDVITPSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLSVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTSTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTAFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPSQSFLTIRFPICKQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIKWFSNFDIGPAGVVYFDMKL >KN538708.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538708.1:241794:244699:1 gene:KN538708.1_FG033 transcript:KN538708.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQRSEETNDVTYSLEHKMLSHGVQVLPARGHEAIDTALKADLVILNTAVAGKWLDAVLKDHVPQVLPKILWWIHEMRGHYFKLEYVKYLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIRESLGVRSEDLVFAIINSVSRGKGQDLFLQAFYQGVQVIEQKKLKVPTMHAVVVGSDINAQTKFETQLRDFVVKNGIQDRVHFVNKTLAVAPYLAATDVLVQNSQFSGVL >KN538708.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538708.1:323238:326252:-1 gene:KN538708.1_FG034 transcript:KN538708.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGNKYLLVLDDVWSESHDEWERLRLLLRGGKRGSKIIVTTRSRRIGMMVGTVPPLMLKSLSDEDCWELFKRKAFEEADEELYPKLVRIGKEIVPKCGGVLLAAKALGSMLRFKRNEESWIAVRDSEIWQLDKEETILPSLKLSYDQMPPVLKQCFAYCSVFPRNHEIDKGKLIQQWVALGFVEPSKYGCQPVSDKADDCFEHLLWMSFLQEVDQHDLSKKGLEVDGRVKYKIHDLVHDLAQSVAGDEVQIISAKRVNGRTEACRYASLHDDMGSTDVLWSMLRKVRAFHSWGRSLDINIFLHSRFLRVLDLRGSQIMELPQSVGRIKSLHILDLSHCSSLSELPGSIGGLHELQILILSHHASSLALPVSTSHLPNLQTLDLSWNLGLEELPESIGNLHSLKTLILFQCWSLRDKHSSITELKDLNNLTGELRIECWSHKMDLTTAAKRANWRNKKKLSKLTLLWTIPCSADDFENVETFLEVLVPPENLEVLEIDGYMGTRFPSWMMKSMESWLPNLVSLDLSNIPNCSCLPPLGHIPYLQSLHLRYMAGVHSMSSEILVKRQKCVLYQSLKELHFEDMPNLETWPTSAATDDRATQPEGSMFPVLKTVTATGCPKLRPKPCLPDAITDLSISDSSEILSVRKMFGSSSSTSASLLRRLWIRKSDVSSSEWKLLQHRPKLEELTIEYCEMLRVLPEPIRYLTTLRKLKISNCTELDALPEWIGDLVALESLQISCCPKLVSIPKGLQHLTALEELTVTACSSELN >KN538708.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538708.1:206616:208584:-1 gene:KN538708.1_FG035 transcript:KN538708.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMGCLLMLCLVSPLLLATAVHGNPWYGYGYGLFPQFYDHSCPKAKEIVQSIVAQAVARETRMAASLVRLHFHDCFVKVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNIVDVVALSGGHTIGMSRCTSFRQRLYNQSGNGMADYTLDVSYAAQLRQGCPRSGGDNNLFPLDFVSPAKFDNFYFKNILSGKGLLSSDQVLLTKSAETAALVKAYADDVNLFFKHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNYYH >KN538708.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538708.1:348498:348599:1 gene:KN538708.1_FG036 transcript:KN538708.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MANDERKKKKKEEEKNGEFRLQRAIPHALMGLI >KN538708.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538708.1:289508:292534:1 gene:KN538708.1_FG038 transcript:KN538708.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRDMVLPPGFGFHPKDTELISHYLKKKIHGQKIEYEIIPEVDIYKHEPWDLPAKCDVPTQDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKMGKQTIGTKKTLVFHEGRPPTGRRTEWIMHEYYIDERECQACPDMKDAYVLCRITKRNDWIPGNGNELDNSDPHPEPCDAPPSVISTEQLNPDAEPVVGVEAAPVTVAEPDGVTTSAITANIPSPSDGINLDDWLNELFDPFFDPEQSLASADLSPDEQNVESSKPNTTLHYSNVCEPSTALVTAWKLILTMKHVTVLSLCILAHRAIFLLNLQNLGALAPKVEQDYSSPNENVVDDTEYLLPEDVYNILHPGTDDFNMLQNPLDQYHIQYATDVWSEIQKEELWLPQANAEPSQSNEAADNGIIRRYRSMKTLETCVPQCMGKTQAKMRVGINKMATSSSESINQTIKFENSGRLVEHQKNQAHDVASTKRSDAGKPSTELSSNRGFLRGIRNAFAGCSDARWNMTLVAVFAIGVAVVALHNI >KN538708.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538708.1:328531:329298:-1 gene:KN538708.1_FG039 transcript:KN538708.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQPPLTLRRHPQKPKTSLPTMPTKCSTASFNDGCTRMAASSSHTNKVPIPTVTLELGYGEDKAHTPCIDTTDCSKETHAKCLMAALNVNGGTNQAVVVFLTMTGMFKIIPTYVEPMDIFSARSAIDLKENIPMPHRRRMHLMVNGLAGRLLASSSPSSLSFPYLPLLVGRTAVREVVARSEDEELRAESQEMAVVPPPHLFGGRIAPFTPEVRHHPLPPLLVVEGFPRSQPPTTSSLSCWGLGGREREMEGK >KN538708.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538708.1:293769:297154:-1 gene:KN538708.1_FG041 transcript:KN538708.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEMPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSKIARSDLRGRSTTSNSSPEAKLSRKSLDTVSVASDSKMSISVPSTPPAISRADPSSSSRGHSLPTDADSMRKARRSPGYQLYRQVSDSKIPSLRSLNEGASPEGRPSSSMLSVCSNDLSAVGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNNPTTHSPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKENRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSQSISESESARKKGFWARHWRE >KN538708.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538708.1:264501:265746:1 gene:KN538708.1_FG042 transcript:KN538708.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MASCINGGELHVRVVHRRLVQASDESLHPHVLAVSNLDLLPQTIQVSMFCIYPKLNTSGGGFHDVVAAFAAGLPSLLNHFFPLAGRIRVSPCSGLPEIHCYNQGAELVVGEADVALASLDYGTVGASVGKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVVVDGSALSRLVSAWSELARSGTLSPGSWPNHDRSVFRPRAPPSYGASLDEAFTPLDGERQVNVLTRDESFVDVRLYYIEAADIARLREKARATRVQAVSAYLWKALATVVGARDARCRMK >KN538708.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538708.1:279633:282759:-1 gene:KN538708.1_FG043 transcript:KN538708.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRDVHQPCQEDGARDAGHGCLGLRCRKQQSDKSPVLVLVPCSLTNLRSLQDATHLLISIPPIPGIGDPLLSSHSDLQTTLSNSNLQWLCYLSSTNIELVKCCTFAAKNTAISPSLATRMTQVWNFLYTKHTNDQCGIKNEFIHTGILPWTCELTPALYQGVYGDCGGAWVDEDHTVNPKSESAKLRYAAEKGWLNVIDDLDLSAFIFRLGGIYGPGRSAVDTIAKSKSLSRRQKLRESKQYTARIHVADICQAILASMSIRSARRIYNVVDDDPAPRSEVFAFARSLVERKHPGLIMDSVVPATQDRIVAAEKRVSNVRLKEELGVRLLHSTYKSGLQSILESWSVESSFPNRTGDV >KN538708.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538708.1:332244:335714:-1 gene:KN538708.1_FG044 transcript:KN538708.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISITIRRYLQLVPAQASLPYPVKCLRTARARRMLGVGAASGERIHCLNPSWGSKVSITSQEKGHRVKQGGSDDELMIDYIPWHMATTFNGWMWCLANENHSRSAVIRKGSPTMCHAELFSEATGGTISRNAFVIHKTVQCGIQARGYMIVLISGSQQQKEKAWRFSSVDGHVRSGSLGDEDNEVLACSLLEKKWVFIWTLNHKTSNYAL >KN538708.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538708.1:342675:343655:-1 gene:KN538708.1_FG045 transcript:KN538708.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAYAQMKQPEDAIEVFRRMQVEGIEPDGVALLSVLSACGDLGVVDLGEWVHRFVLRRGLCQEVPLMNAIIDMYVKCGSVKKALEVFEGMEQKSIVTWTTMIAGFALHGLGLEAVELFRRMEKENVSPNDITFLAVLSACSHVGLTDLGRWYFKTMVSQYKIKPRVEHYGCMIDLLGRAGCLMEARGLVQDMPFKANAAIWGALLAAARTHGDTELGEQALLHLIELEPHNSGNYILLSNIYAEQERWDAVRELRISMRDRGLRNVPGASSIDVDGMVHEFTSRDGSHPSLHKIREVLCAINSNIKSVGHIALLPESLQDVEEG >KN538708.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538708.1:202013:204844:1 gene:KN538708.1_FG046 transcript:KN538708.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding METALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVWREFNGFEPGLITLTAVVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRAMLDKGIAADVVSYTTVVDGLCREGSVEKAMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKEGYLDRAFSLLEEMDKKGIKIGIVTYNSVINGLCKVGHTKKAVEISEGIAADNFTYSTLLHGYIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLGETDRALQLFDDYKEDILFSTTIVHNCLIIALCNEGKINMAEQIFNDLIQRNLRPDSCTYKKLIHTHFKEGGEHGVLNFILKVDELEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQTIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAIGFSNYMDNGRVPVSVLREAVYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKNGYLKKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIRPTTRVYNLLISGYCNYGLTEKALELISHFEEIFLLPDAFTLGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYSKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSMLGHLKNVETPVACDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRKSFHDDFDTYYPVIASLCLKGELIKANKAIEAMIQNSS >KN538708.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538708.1:226605:228378:1 gene:KN538708.1_FG048 transcript:KN538708.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 9 [Source:Projected from Arabidopsis thaliana (AT1G44970) UniProtKB/Swiss-Prot;Acc:Q96512] MASSKIVLSAIFTASMCFTVALAFPAHHEDLHPVVQSPPKPVLSPDYYKATCPQADEIVVSVLKKAIAKEQRIAASLLRLLFHDCFVQGCDASVLLDDSEEFVSEKKAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARGSTVLSGGPYWELPLGRKDSKAAYMKLANKNLPPPNATLHRLVKFFERQGLDKVDLVALSGSHTIGMARCVSFKQRLYNQHRDNQPDKTLERMFYSTLASTCPRSGGDNNLRPLEFATPSKFDNTYYKLLIEGRGLLNSDEVLWTGRDPQIAGLVRSYAENEPLFFEHYVNSITKMGNINPLTGYDGEIRKNCRVVNKKI >KN538708.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538708.1:247686:249076:-1 gene:KN538708.1_FG049 transcript:KN538708.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAVTLSYMVYDAACCYLNDDVRVDNTVHHLVSIVGIAAGLAYRRCGTEMVASLFVTEISSPLLHLREILKEFGIKDTDLNLLVDILFAVIFSVARMGFGPYLTYVTVTADNPILIKAMATGLQLVSAYWFLRILRMVRHKLGKKRPAPKVAGD >KN538708.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538708.1:275782:277332:1 gene:KN538708.1_FG050 transcript:KN538708.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASCANGGELHVRVVSRRLVKASDESLRPRVIAVSNLDLFPQQNLHISMLCIYPNPKARGDFRDVVAAFASGLPDFLNHFFPFAGRVAANPVSGVPEIYYNNQGAELVVGEVGVALASLDYGTMGASLRKIQLPHDRDVALSVQVVSFACGGFTVAWATDHALVDGHALCMIVTACPLVNVTAFSSFRLDTDFGFGDAAMAMPLTMSGGARVSTGFWLISFTFASV >KN538708.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538708.1:271776:273177:1 gene:KN538708.1_FG051 transcript:KN538708.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVNAAGDLHVRVVSRRLVQASDESLVPRVLAVSNLDLLPQSMQVSMLCVYPKPSSIAGGFDFDDVVAAFVSGLPDFLNHFFPFAGRLVANPVSGLPEIHCNNQGAELVVGEVGVALASLDYGTMGASLRKIQLPHGKDVALSVQVVSFACGGFTVAWATDHALVDGHALCMIVTACPMVGVTAFAAFSLDTDFGFGDAAMAMPTTAAGRARLCSAFVQIVARPGGGDGSWIASALIWPRLAAALETDERHIFKPVTAKYLGL >KN538708.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538708.1:315207:320700:-1 gene:KN538708.1_FG052 transcript:KN538708.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor Pur-alpha 1 [Source:Projected from Arabidopsis thaliana (AT2G32080) UniProtKB/Swiss-Prot;Acc:Q9SKZ1] MSAEENPRGRYLKISEKTSATRSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >KN538708.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538708.1:221465:223196:1 gene:KN538708.1_FG053 transcript:KN538708.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTVLSAIFTVSLCFTVALAFPAHHEDLHPVVQSPPKPVLSPDYYKATCPQADEIVVSVLKKAIAKEQRIAASLLRLLFHDCFVQ >KN538708.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538708.1:336043:341829:1 gene:KN538708.1_FG055 transcript:KN538708.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLPDTLSSLMGFNKHLTPSWIESVSHIIDGLSPTKPQMKVMVEKDENISDDNTESEAKVKKIQGNIRVFCRIRPFHHEESYSSRNLFTLDESNVFLKVAETKRKQYKFDKVFNQLSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGVIPRGIQTLFNQASECNNRFLFTFSMLEIYMGNIRDLLAPRSKTNGLKNVPSLSIKSDPDGGIEIEDLVAVTVNSFQEVKRLYEVGTRLRSTASTMANSTSSRSHCLIRISLTSLNATERRKATSKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTLMLVHISPDEDDLCETICTLGFATRVRSIRLESEEPPEVKARKETLLVDLEQKVNDLEHESEDIRRKIKNLEESMEHLTGNQPTIYSNFDMSHLSSEELKTDVSSNVRNSKNRREASSRLPRFMKPTASSQHRIGLNNRTPIINRLKPPVPPRRRPSSVYAESVMVPVNAAPWQSECSSECSMSLTSDMNWTPSIRDGTECSQDASEYEIKQVIFSEHEKSSHDQVTCYTDYPLAESRDIQIKIEEKGIVDIDNWLHQQIVEKTSTFRSKLVLDIPGVTEAKIYVSSIPSPTTMACSKEDSQVKDEVMGLTLQSSTDYVEDIKQSKTANQFTAKELCTPPFKEFCSNNEVKGHKNEHPVYHGRPRRSLQEELENCTLEKPNMDSKSHRSQDDKHKTGKFTKFFQALQTAWIGALLALGTKAKRMGYLSKNEGIII >KN538708.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538708.1:231799:237423:-1 gene:KN538708.1_FG056 transcript:KN538708.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVNIICGQCPNKECKGKQGCICSISYDELMCRVTVELAIQCPKSGPRVFKGFTVGFHPRSSELVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSSVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAASVETFI >KN538708.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538708.1:305728:308179:-1 gene:KN538708.1_FG057 transcript:KN538708.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLVVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLATMVHERILRQVKTNDYGTYDPTPTMAKPHAKEIPN >KN541144.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541144.1:1895:2762:-1 gene:KN541144.1_FG001 transcript:KN541144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIESFVFLVGSGVMTRVSSSSSFVSASCGSWRRCCSSATAT >KN541144.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541144.1:25366:27388:-1 gene:KN541144.1_FG002 transcript:KN541144.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVDAGAGGQPRSPTVYDCSRVPDVKSMGKFIRKLNVTVLFGLLKGGHRHPSYSIVTLKYGKFFLSWKVPLELETCSQVRKFLSSWEVLLE >KN541144.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541144.1:12601:19140:-1 gene:KN541144.1_FG003 transcript:KN541144.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVSRLGLHALRRATAPGQNSLASRRQEAEAVTSHVNHLGKTFFCSNTNASSSDRRSESEAKISVTFVDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVDYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGMRLALPSATRNFAVDGDPKEVKRNIGHNGMTLTIADSTPIVDVLALLYPRVDFNVDLASKGSGIVDDNLI >AMDW01025005.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025005.1:48:322:1 gene:AMDW01025005.1_FG001 transcript:AMDW01025005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHVLRDLLVGVPFVALTATATQ >KN544173.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544173.1:2090:3113:1 gene:KN544173.1_FG001 transcript:KN544173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSATQIMVRGVAVMELQFVRFAEATVSKMHAFADALAADKNWRPIDNLSGLMVLYSCIFECQVHLLIPAITDSEEITDSEVQCLARKVDGAFRITTSNLCTAIWRMAKDAEAVTPVLSGWNSWENFKQNEEIHKATRLVVDYARFFQGYENLWSNILEKKSESFSDPGLRYLFLLNNSNFVIEDFVGITVGSGSTRFKFMQYQEKYMLASWEPVLHRLQDNMPLRFPKHSSQLSRFKSEFQKTCRHQKLWKVPSPNLRQKLREAIIDKVITGYKRYLEDHPELEECSSDLQDMEDMVNELFEG >KN540427.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540427.1:3667:11038:-1 gene:KN540427.1_FG001 transcript:KN540427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSAVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVKFHSRINMTCVNPENGLEQLIRSPMDFGRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGRKVGVILDGKNILAGPTPNERNGTEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESWQLTRRPTEEVRGEAWHYLRRPSEDGTSDVMEGHADPKFQRDNKQLENHVDRYSIPRCIEVMNAMADLSPIEKSLAPDVFLDPSNREIFLSLTVDIRTMWLKRKMKSLV >KN543246.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543246.1:5351:5563:-1 gene:KN543246.1_FG001 transcript:KN543246.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRELTVAPPLLASNEGSEDDGSGADPERRESSLELLHSRPATRARRATTAARIRSDARARWGPSTFGW >KN540427.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540427.1:39900:51354:1 gene:KN540427.1_FG002 transcript:KN540427.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSWENVYVDDMASMNATRWEVFTGLAAECGRGLLPEECSKCPRHQLGELTTGLPNNTAGIIRGYSCYSRYDMASFPIQITSQSSPQEADGASAGFQWRLYIRRQVLAGVAAGSAAIFLCLSLSVCYILHRRRRDSKIRPVKLPSSSRDESVEPDLEHGGGPRRFSSGELAAATNDFSDDRKLGEGGFGSVYRGFLEGLNLHVAVKRISRSSQQGWKEFVSEVKIISRLRHRNLVLLIGWASVESDVYSFGVALLELACGSFILMYQTFPHWQGGGSTTHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYISSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLVDLVTRINYNHYYMSDSGSFSAIPGSRPRQEGITISQDANAFLQNV >KN543246.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543246.1:9106:9321:-1 gene:KN543246.1_FG002 transcript:KN543246.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTMARELTEAPPLLAGNEGSEDDVSGADLERRESSLVLLHSRPATRARRATARARIRSDARARWGLSTFGR >KN540427.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540427.1:22733:32383:1 gene:KN540427.1_FG003 transcript:KN540427.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNASAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQPVNCIKLQYKLDVVISNIKILYMVNRYLKVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLYDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFLVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKFIGASMGTTNLNIPKDIPDHSSRKGARTDLPERACCVVVEYLTGGTLKQHLIKHYRKNKKLPYEEVVRLALDLARGLSFLHSKKIVHRDVKSENMLLDPQLNLKIADFGVARLVEAQDPKDLTRTTGTLGYMAPEVLDGKPYNRKCDVYSFGICLWETYCCDMPYGPYSDLSFADFSSFVVHKNLRPEIPDCCPSAMASIMRRCWDANPEVRPEMEEVVRLLESLDTSNGGGMVLEKKKKKHPGGGCFCFFVPRAA >KN540427.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540427.1:12577:17880:-1 gene:KN540427.1_FG004 transcript:KN540427.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTAGYGKSCICLGKQEALIALDSPVFNFINSLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVNPAKESKIPIREDSVQLSRESHSPNSVRTRTGATSSIRMIRCKNIVSENCSITCYLNDSTSSKASQPVQLCGGSAESDTNQNTDGKKDPTTEQDRTDIEFVLLDQSGPEKMDSSQSGNNVCENQLSEQHKDELGSFDGGYMFAHQPHSDMLRLAPPFESETQLVNETLQTDNVYCESLLTDGPSGSYTQNSAPDPHLYWAGAVEGCATDYTPQMLPGACQSQLVPNDQINNKLNEPSDYMPMDHNVSSQNLRGMRRRCLFNEKSGAANKGAKNTSARHSTNSTTPRRKISSSDNNLKTLRTPPCALPGIGLHLNALATVPKDKMVPHNDIQSSLNQASNVPSAVGSSPPTDDPHTVNDDSSQTAVVAYVGESSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPSNMETVLSTREQIESRNPLAFAPKVIRTEPGQELAGGVGCSVSCRCEGCKNAFGRREGVALLGIEEAKRGCEEKDGGVKEETTDNDKQLVIYQDGINLTPAESVLATPSVVDYRPLVALPPLSSKKPRSSTKLGGYSSRLEGHLKSDILLSPFESYAEMMLGDGTSNVLKGESSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDITNEDPNTSSLAP >AMDW01023268.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023268.1:71:319:-1 gene:AMDW01023268.1_FG001 transcript:AMDW01023268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RASAPALASSPRAAPLPPWRHILACHDFRGGYRDDAAPQGGHDPGAYALWHWHLIDVFVYFSHYLVTLPPPCWVNAAHLHGVK >KN543594.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543594.1:1732:3816:1 gene:KN543594.1_FG001 transcript:KN543594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSCRRRKVKTPAHAAGMDWISDLPDEILHRTMSLLNARQAVQTCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWFRYHKLDTDTTSSADTNRWISHALQKQARVLQAVMYPCHLLDLDHSSFTSRYLRRIGFSGVRLDQGFFKQLEAGCPALEDLFLHHCTIEDDKISSQTLKVLTIDRTYFLIAINATDVQKKSISAPSVTSLTMYSPEGSLPILKDMTSLVTSSVTFSEFRVHFDANDFYQYLWSLSGVTNLEFNYQGPKYEKLKVKHCVYSCHFIIANCNSLITSENRKQFAMVFVNVVNLTLGKWYLDANFYALTVFLQNSPKLQKLTLKLAKCTSEIHQRIIGELTERSFTCEHLKIIEVICLENDPQVIRVKDFFASSGITSVQFHIKHWSQLKEDKLPAFTRIPIPSH >KN543594.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543594.1:5337:6195:1 gene:KN543594.1_FG002 transcript:KN543594.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MENFMLIAISCRDQDVRPPGGFMSYFGNEAQNSHLVGAVIHMSPLNQAHNGSSPPEVEILHGNDSVRTEKRIMWTPDEDVRVMSAWLEHSTDSTCGADKGGVQYWGEVVETYNKTTPPLRRRNAKQCKDRWHKINKWTDLFECAYAKARRVFTSGYSAEMWLDAAHKFYVDDNKECKDMVGPYMLTEVWKICRDVPKWKTYNENLKNARKRKAFHLEGESEENEDTCDEMPQRPIGQKAAKKAALAAKNGKLKGSSSSDDGHSKDSPIELDKFDRYSKFQEANNEK >AMDW01038999.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038999.1:112:687:1 gene:AMDW01038999.1_FG001 transcript:AMDW01038999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WGMSELMRSPKVMSKLQGEIREAFYGKATVGEEDIQASRLPYLGLFIKETLRLHPPVPLLVPRESIDTCEIKGYAIPARSRIIVNAWAIGRDPRYWDDAEEFKPERFEKNMVDFTGSCYKYLPFGAGRRMCPGIAYGIPILEMALVQLLYHFDWSLPKGVVDVDMEESSGLGARRKTPLLLCATPFVVPVL >KN543255.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543255.1:1302:6559:1 gene:KN543255.1_FG001 transcript:KN543255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGLPPTESTLSSVLSGCGRAGDCLRGRVLHGWVVKLEELDPDMPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGWSAMHAFVQLKAVQFDERVVPDEYTLAAVVSGSATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSARILFDSITQKDVIMWTEMVAGHSSLGEGELALRYFVSLLQEGYKVDSFSLSSALNSMAELAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFCNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISLLSACSHCGLVEKGKFYWFCMMTDGILPGFKHYTSMVSLLSRAGLLDEAVDLMMKSPFAKKYPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDVVAETRKKMRGLMVEKEPGLSWVEMKNVVHVFSADDECHSQIDDCRDELLRLKGNMELSNSCESEVLSTGHCSFIEEKTVFLISKDLDCWVCRLMLATDKSSKASVCVQALVDMDTSLGRWFAVPWCFCFCLSPLYAKGSNSRNFTCDTNDYAALADFSEHIRGGVPGWSLHGISSSGCCSLQGVSCASTTTGKRIVGLDLSGHGLTGPVSLSLAHMDQLLYLDLSNNSFQGAVPVKIFRLPKLEFLDLSGNMLTGTIPLTSSLPSVRVFNISFNTLYGKFPILSSSSNLEVLDISHNEFAGTIDSSMCNSSSQIRVVSLSFNCFSGKIPPGFGNCSYLYELSLDGNRFSGDVPDDIFKIRPMKVLGLHDNVLSGSLSPSIGNLSELVQIDISLNMFMGPIPNTLGNLMKLEFFSACSNIFVGTIPPSLSNCSQLEVLLLRNNSLSGQIELNFGALPELVGVDLGTNNFYGSIPAGLSQCLKLEFLNLARNKLFGSIPDEFRKLQSLSSLSLTRNSFSNVSTALEILQDLPNLEILVLTGSFSGGDEIPTRGIMGFNNLMVLVLANCALSGVIPPWLKTLESLEVLDLSWNNLSGNIPSWLGSLDHLFYLDLSNNSLTGPLPESLTQMKNLMTRSGSNKSRPYPGYPFSIKRNSSGHGLQYKQITSFPPSLILSCNMLIGPILPGFGNLIRLHVLDLSWNNLSGDIPGELSGMSSLEAFDLSHNSLTGSIPSSLTKLNFLSSFDVSYNNLVGNVPSGGQFSTFSCDDFVGNIGIKCQYSSSESPKVLGSENEQQYSTGPTMRTYVMVEAGFVFGLSIVWNALFFARVWRAAYFDMVDRLFDMLYGIAIQNLDRFRRRREARVHP >AMDW01036081.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036081.1:40:589:-1 gene:AMDW01036081.1_FG001 transcript:AMDW01036081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRSLFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQ >AMDW01039457.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039457.1:28:420:1 gene:AMDW01039457.1_FG001 transcript:AMDW01039457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSVVEAFTLSPLPYPVILILLMVMLLLGVSWFFTYEDFMEEAAEQLSWALLLVPVALVLLIRWISSVDTFDGYFSFYPTERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWKP >KN539838.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539838.1:54954:55691:1 gene:KN539838.1_FG001 transcript:KN539838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSSNSVVGGAGAGAGDVCCMCGDRGLPEELFRCRLCRVRLQHRYCSDLYPRATAYRRCNWCLVREPAPAAAAGAAGHVHAMVDKPTTRRLQEAAGWSASRRAPDTDGLGRPVKKQKAAADDDEGEEAPGARAAPAAKGNNGGNKKEMQAAGKKTGVKVRVRRYKLLAEVISC >AMDW01051603.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051603.1:89:283:-1 gene:AMDW01051603.1_FG001 transcript:AMDW01051603.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDVGIDSDYGQAAFLACLAVTTIGVGVLMVKYYRSHHGALAVFLLALAIFLFLMASGCGTKA >KN539838.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539838.1:19365:31451:-1 gene:KN539838.1_FG002 transcript:KN539838.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKAWAGANYAEPMGSVEQSLRVAYVVLSFCAAFFLGGIKAAVVGPVAAALMVLGNVGVILLLFPAHVWWTIYSLIKTERINAGLKLAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWIATFEAFRQESESKKFVHGVVDGTWGTIKGSCTVVRDFADLCFHSYPVYLKELRESSQNCEPHSIRVLDVPSCIAVGLLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVVVYQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSSSTEFSVRTNASVKGGDHPSSNEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKNCELRGRDLLNLNVITTVDLTEWLRTKESGHEAIGLGVPSYSLLCMILHSIKAGSGGLLIGNGIEINQYNRPQDRLIDWFLHPVLVLKDQIQALKMTEEEARFLEKLTLFIGNSERANGWDNGAEIPQDPVRAAQIQAISRRLVGILFDGHNGSGAAVYAKENLLSNVMCCVPADLSGDEWLAALPRALVAGFVKTDKDFQTRGTTVTFVIIDGYVVTVASVGDSRCVLEAEGTIYHLSADHRFDASEEDQAKELSIVEVHVCSVHCVGIVVAIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRIIISSDGVWDALTADTAFSCARGLPPEAAADQIVKEAIASKGLRDDTTCIVIDIIPPEKLSPTVQPAKKAGKGLFKNIFYKKATSDSPCHADKDQCTQPDLVEEVFEDGCPSLSRRLDSEYPVRNMFKLFICAICQVELESGQGISIHEGLSKSGKLRPWDGPFLCHSCQEKKEAMEGKRHSRAVSSLPSILHECCCEDWYEFTTCLLVLEYRKMRSP >KN539838.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539838.1:58307:64089:1 gene:KN539838.1_FG003 transcript:KN539838.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGEEGARPAEGARRPRFLCLHGFRTSGEIMRKQVVGKWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKNFTEYRNFDECLNYIEELMIKEGPFDGLMGFSQGSILSGALPGLQEQGLALTRVPKIKYLIIIGGAKFQSPTVAEKAYANNIKCPSVHFLGDTDFLKTHGEKLIESYVDPFIIRHPKGHTVPRLDEKSLEIMLHFLDKIEKETALEHSSTDVDEKEITIGRFRADLVHASVICSFYSLKLLGNVGQILPFHHFIHVYHSVSLHLVAEWMKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPAGAQSLEAYTSLLHSYARSKMTDKAERLFKRMKDANLSMNILVYNEMMTLYISVGELDKVPVIAEELKRQKFSPDLFTYNLRISASAASMDLEGFKGILDEMSKDPNSNEGWKLYQNLAVIYVDAGQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVVDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSFRELMLQKSCILTSRQERSS >KN539838.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539838.1:77802:79128:1 gene:KN539838.1_FG004 transcript:KN539838.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSLVACKGLALCAPAIAQRHRNTPLSASAHRRQILITGLTMNSSGINSALPVRGAAQIPAVGSGPPAPSGGNLPIPSMPSCFFNVISSISISSCIPTYAPVRAKWVVGAIIVAIPIYRKIRTLEDTVEKTAEVAIEVVDTVAEATEKVAGELADAFPGNENLKEVASKIKTVADVIEDDAQKAEALIQKEILEKQAAKHRLTGYLTIKNGESHCKWLKENFRWQLTS >KN539838.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539838.1:10439:12328:-1 gene:KN539838.1_FG005 transcript:KN539838.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSPLATAGHGKIKSGRSSSSAVRPALLAIAVSVMVVLLMAVLFGARWTPSGGHGGGADTSWVSAGARVVLNAGTCDTSMQARCSMHTAVCIWMVLLLVPFFTTKRRKRRTKRFLPQVHAVSSQQGADPVVKVAQPHDRLLGGLLSPDFNDTSCLSRYRASLYRRRSLHVLSSHLVSALRRYESLHRLCGPGTSAYERAVARLRSPSSSSNTTSDAPSECRYLVWTPHAGLGNRMLSLTSAFLYALLTGRVLLFHRPGDDMKDLFCETFPGATWILPEKDFPIRGMERFGVRTRESLGNALGRGEGGRAPPPPWMYVHLRHDYTRPGASDRLFFCDDGQDALRRVGWVVLLSDNYFVPGLFLIPRYERELSRMFPRRDAVFHHLGRYLFHPSNTVWGMVMRYHGSYLAKAEERVGVQVRTFSWAPISTDELYGQIVSCAHGENILPRVRESSSSSGNATAIPGSGRQQQQQRPARRKAVLVVSLHGAYSERIKDLYYEHGAAGGESVSVFQPTHLDRQRSGEQLHNQKALAEMMLLSFSDVALTSAASTFGYVSHGLAGLRPWVLMVPVRRKAPNPPCQLAATVEPCFHTPPHYDCQARTKGDNGKTVRHVRHCEDLKDGIQLVD >KN539838.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539838.1:73737:76315:1 gene:KN539838.1_FG006 transcript:KN539838.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSWYGPLIDLSAAAGHLGGFVQLLASVRRVLPHQEQNAADGRAFQKTMLEVGDDTRSRFCVSLWPKHGSNVFAGDVLLLQNIKIVEFRNGLEGRASQISAVQVLLNSKDLVRLKNWKDEKEKESGNFLSISELLSQSKLHGVGVYASISKMVLTGSLTSHFNRKFSVIERFSLKEHDDIFRDLVTAGCTLCGSPLYPKNLHGENTYPIDCPKSPKYLHVIGQIYKPFMIYVRDQSGQIPVLVRNKAAEILFANIIADDVSECYKNHHPMLLDTCDCGSLNTSGGETGIIKRKRTKEKPDWHLIWLIIIKCLLNQHKNSPFCLQISVNPEKTVEDGRFELVSLTMTIP >KN539838.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539838.1:3403:10002:1 gene:KN539838.1_FG007 transcript:KN539838.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGCITGNRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVIDLISATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESVKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRQWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGNRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAQQAAEAATFPIDAVKTRLQLHRGTGGSGGGVMRVAGELVRDGGIYRGLSPAVLRHLFYTPLRIVGYEHLRSTFASGGRDAGLLEKALAGGVSGVVAQVVASPADLMKVRMQADSRLLSQGIQPRYTGIFDAFTKIVRAEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKHFIIRKQICGDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGEDAKVLYRNSYDCLVKTVKHEGLTALWKGFLPTWARLGPWQFVFWVSYEKLRQASGSIQNKILLIV >KN539838.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539838.1:37279:41964:1 gene:KN539838.1_FG008 transcript:KN539838.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNGRVWKIVTLNSEERTVHGMFVSGKGYAMVRAVDSWGFLCGDEVGERWWSEEQPATEMPTTCSMKCRAVQELTQLLSFASLHDAERARSATHGRDIYDGGCLLDVQHVQMFPGDGATATHTTCSTMVPSSATARPVAKSTAAAPEHVFPATTASSVPSITSAAMVTSVPFNETKKAETDMDKAVENLDKTIQDLCTKIDRMLEAFRDTKVSTEAGSTNLVDTAKLGMGTTIECSMKCENQLADDDDGKDMAKEEWMELMEVDTMFTAMYLCFRDPFLIINAIPPRNWSRCLSRDYFGVVGLSFVSSKLEVLYGCFDRSLEYTASPPPVPPWRAEIPWNKAEMTSGSRPLPWPDPQLCQGSGARGVVIMLMVQSKNNGVIGDTSWTQFRSNNGDAVLLVPQPWPQPILFPVHIKVELEVSLKGIIVSTKKLVNLQSLAPPSLWRNEVTTMRGVTLVLPINSVMVGLNIWERVGGEAEAAGEHKGLWEFVCPAAADGADDPSMNPTAAGAPGLENLACEKVMVCVAEGDTLRWRGRAYAEAVVRARGGEAAAVELLESEGVGHVFYLFEPGHEKADELLRRIAAFISAK >KN539838.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539838.1:44219:47819:1 gene:KN539838.1_FG009 transcript:KN539838.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRADSGNRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPVYGSQQLVS >AMDW01036335.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036335.1:80:559:-1 gene:AMDW01036335.1_FG001 transcript:AMDW01036335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTHNSFILQQFENPANPKIHYETTGPEIWKSTGGKVDGLVSGIGTGGTITGAGRYLREQNPDIK >KN542653.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542653.1:1008:2359:1 gene:KN542653.1_FG001 transcript:KN542653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTEAHTPSCMPAAKAVAMSASVWMEFSGNSMLCKKKWNTQEEIGVKTQDTYALLTMINHCRSILYIETQLLKYVTEKESDSE >KN542653.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542653.1:12070:12592:1 gene:KN542653.1_FG002 transcript:KN542653.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKLTETEQLEKALSQQLQLHHSSHNNSLKFMVELLISDMVNTMNLSFAVKKHGMFRLTSGNCSWANDLECPRRNQSSSAMCGAKGESNRTKDSITDFGWVSHFQFEYSRHPIGFY >AMDW01035940.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035940.1:203:604:-1 gene:AMDW01035940.1_FG001 transcript:AMDW01035940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSSSSPPGSNLDGLAATTTGGASTGDSSRIASHLVELEDTGRSRSASFVPSRHDPIYVPSGRPNPLPPIGYREDYYSGSGSATAFFPSSRDPVHVPISRDTALPPIGHPSQGRVKSGSSSASAGDDMINSSSAA >AMDW01051878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051878.1:174:1115:1 gene:AMDW01051878.1_FG001 transcript:AMDW01051878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTMFLRKRHPINLFLLALFTICMSCAIGLGCLSAKAGIAIIEAASLTFGVVFGLTLYTFWAAKRGHDFSFLRPFLVAAFLVLVLYGLIQMLVPTGKVATTVYGCVAALVFSGFIIYDTDNLIKRHAYDEYVTAAISLYLDTVNIFMAIFTALDASDS >KN540366.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540366.1:36004:38793:-1 gene:KN540366.1_FG001 transcript:KN540366.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGEPSSSSSAAAAATSSSNDDEKPPPSLEGKELRREVEEERASAAAATARPEELTEASSRAAEAEEEEVEEEDEEDDDEEDEGKVAEAIDLGPRVSIKEQLEMDKEDESLRRWKEQLLGSVDLNSVGESLEPDVRITSLCILSPGRPDVLLPLPVEPSNSKEPWFTLKEGSTYRLKFTFSVSSNIVSGLRYTNTVWKAGIRVDKTKEMLGTFSPQLEPYTYVTPEETTPSGVFARGSYSAKTKFVDDDRKCYLEINYTFDIRRDWPCKS >KN540366.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540366.1:3127:4096:1 gene:KN540366.1_FG002 transcript:KN540366.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLLLGVVVASLLLVAQEVAAARELTEANGLQLLSDSSKGRKNMEPEVVHVPQDEKIAYHGDGYGHGGGYGGGYGSGYGGGNGGGYGGGYDGYGGGYGGGYGGGGGGGGYGGYGGYGGGGYEGYGGGYGGGGGGGGYGGGGYPGGGYYGGGGGGGWH >KN540366.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540366.1:23318:25799:-1 gene:KN540366.1_FG003 transcript:KN540366.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATHESSGLHPRERPSNVTTGMRASGLQDQVALVSIGGWSPNQGVPQPAIILNPSFSRHRRGLCPGRPYWAAAMGLSNRTLSTLTGSRQVEPRGKSMGTAIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREVLTSPSVEDGQQAKTSIEDVSKVLRKSNTFLRNVGI >KN540366.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540366.1:20602:20895:1 gene:KN540366.1_FG004 transcript:KN540366.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKYENGASFTDEKLGYGGGGYGGGYGGGFGGGYGGYVPGRGWYGGGYGGYPGYGGGYGHGGGARYAGGYGVGAGYGGGYGGYGGNGGGGGGGGGGWH >KN540366.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540366.1:44552:48299:1 gene:KN540366.1_FG005 transcript:KN540366.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MAWWWWWSEAMVGGGTGGGSSGTVVSICVFTAVLCVCLVAGHLLEENKWVNESITALLIGCVVGAIIFLLSEGKNSRILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGIFGVFISVAIVSTGCYWLFPKVGFGDLDAVDYLDLILFTTTALGAIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDITQLKGGVALKVISDFLYLFFTSTMLGVTIGLSTAYALKALYFGRHSTDREVALMALMAYLSYMLAEDKGIHNELKYVLLIPQTFKVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHATIITSTIIVVFFTTLVFGFLTRPLISAILPHQHRQSTTPGTGGGGRSTGSNSPKDDFIMPFLSPDEEASGSGSGFLQAKRSISMLLERPVHTVHIYWRKFDDRFMRPIFGGPMERDRGNCY >KN540366.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540366.1:16462:17183:1 gene:KN540366.1_FG006 transcript:KN540366.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLFLLGVVLASLLLVAQDVSAARELAEANGLHLFSDLSKGKNMKQEVAYGPQDEKLAHHADGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGYGGYGGYGGYGGGGYGGYGKGYGGGGGGGYGKGFGGGYGGGGYPGGGYYSGGGGGGGGWH >KN540366.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540366.1:31678:33577:1 gene:KN540366.1_FG007 transcript:KN540366.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWTAGSEAAALAAAAGFVPTDNPSSTSLFPPDITFYVDGKPIEAHRAILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDGSQKRFILHGQSLPEEDRLPSALRRILEECLANSREQECYNDESNEMSRDSGVDAAADLYIKVCDKVFHCHQVILASRSDYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQTEELFDIASRYLIFPLKRVVADILLPYLEHVSPAELCHWLMLSDMYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >AMDW01037916.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037916.1:55:569:1 gene:AMDW01037916.1_FG001 transcript:AMDW01037916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPE >AMDW01037657.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037657.1:143:346:1 gene:AMDW01037657.1_FG001 transcript:AMDW01037657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAAREIAVVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDTAALSRAVASISSSLRRLVAKGQLSQ >KN539283.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539283.1:69963:72739:1 gene:KN539283.1_FG001 transcript:KN539283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSGGSKPDLGAGTLSLISPTDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEHLGVRTPVIPAPGAFYGVFDGHGGTDAACFVRKNLLRFIIEDGHFPSSIEKAIRSAFVRADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCRSEKIRIENLGGTVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMTHNDPERCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >KN539283.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539283.1:108534:108887:1 gene:KN539283.1_FG002 transcript:KN539283.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCDDKCGCAVPCPGGAGCRCASSARSGGDHTTCSCGDHCGCNPCRCGRESQPTGRENRRAGCSCGDSCACASCGSTTTTAPAPTA >KN539283.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539283.1:22667:26185:1 gene:KN539283.1_FG003 transcript:KN539283.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATIITTFSGAVADSFGRRPMLIASAVLYFVSGLVMLWAPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPQPDWRIMLGVLSIPSLIYFALTIFYLPESPRWLVSKGRMAEAKRVLQGLRGREDVSGEMALLVEGLGVGKDTKIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVHAQSALGSALGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKGDWDAESQREGEDYGSDHGGDDIEDSLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLMQGGEAVSSMGIGGGWQLAWKWTEREGADGEKEGGFQRIYLHEEGVTGDRRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELMEQRLAGPAMVHPSQAVAKGPKWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLANIGLSSSSASILISGLTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILILVNILDVGTMVHASLSTVSVILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFLFVFMKVPETKGMPLEVITEFFSVGAKQAKED >KN539283.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539283.1:74601:79095:-1 gene:KN539283.1_FG004 transcript:KN539283.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRERIEGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQHKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDDLGMQVRHTMPLLIKTCKGLTSAATPPPAAATAAKEHKATPPPENGAIRHGRKARRTTAAAEEEERDGDGDGDELSLSLTLDSGLSCRSSAGAGAYCCSEGSSSNNGLISTPSPTTSLVAGGCSRRSTPAMLSNVVSLDLSL >KN539283.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539283.1:96543:97948:1 gene:KN539283.1_FG005 transcript:KN539283.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVGAGEEAGTSLWMSKLLDTKYVTVDKEIMQCVIHLIYNYSPFGPAGTDDQRWPNLGPLKKLLLDSDMNFGYAIVYFHIFTEVHLSNYSLHEVLASKSKANMHAAQLSKGGELLTFAWLLMAHLQLGDVGEQFEFLFGSVPGPESKEGNRVQTKERFPRRGGVRIPMFLSYIFTEEGQETYEYFLMLI >KN539283.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539283.1:8159:10793:1 gene:KN539283.1_FG006 transcript:KN539283.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGEAMASSGLDLGEYLDRPDAIHRRAASVAIVRSGGGDGPKIVDGGRDDRRARSSRRLSLSSWRRPASRTPDGGVTSAASAAAAEVGGKTRAAIWEWKPVRALSRIGKRRCNIYFAGGAGTGKPLKLEPRRFVVSVVPAEAPGVRLGAHAVDVSSLVLDSLQKTSEGRRVRWFDTAVALSGKATGGELLLKLGFQLMDDAGLCLYTQAATEKVVVDDDVSPARARAHNKNSFSVARTSGPKLSASDAAISPSMRAYKQLIDRLSVDEHGDPYEVVDKGVETVKEVVHYHAHRDVLKELDSIAEQIEAIEALMTNGGKKSPPSPKKVDQRQCLDADEEMVTVEFLRKLEVVDDKGRKLKQPMTPRSDSEKKAVTAAPLVVPDLGPGLGTAVQTRDGGFLVSMNPFDLPLASGDAPPKLAMQVSRPFVLPSSMAATGFDVLQKMAAAGGADEVRDKVARLGGMDNLTGKTPEQVGFEGIAEAVIGGRRTEGASSSAARNFIEFLEIR >KN539283.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539283.1:109899:116056:-1 gene:KN539283.1_FG007 transcript:KN539283.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSLQLGGMDRDGKMQSNVDMQCVETDHTIHMVRGAGPPAGSAAPAAASPQASAAPSSGPTGGLGSLFPGLGGTGTAGTRPSGLFGSGFPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFSALLGNQGSNQPRDPATNAPNTGSESTTGTPAPNTNPLPNPWSSNAGGAQGATRAGSTGNARTGATGGLGGLGSADLSSLFGGLAGNTGTGAPGGLGGLGSADLGSLLGGSPDSSSLSQILQNPVMMQMMQNIMSDPQSMNQLLNFNPNTRNLMESNTQLREMFQNPEFIRQLTSPETMQQLLSFQQTLLSQLGQNQPRQDGSQGGNATGMRGNVSLDTLMGMLSGLGAGGGIGVPNTSNVPPEELYATQLTQLREMGFIDTAENIQALVATAGNVNAAVERLLGNLGQ >KN539283.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539283.1:84646:87798:-1 gene:KN539283.1_FG008 transcript:KN539283.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQTSSPCLLLLLGSLALVTLTLCGPAASARPETGSLDASAAAAMELQELDRVMSLPGQPAYSPEFRQYSGYVTTDEYLGKALFYWFLEATDKPDEKPLVLWLNGGPGCSSIGFGQAQELGPFLVKKDVAELELNPYAWNQAYGSYTFLIKWFQRFPQHKMKEFYIAGESYAGHYVPQLANVIVDQNKIAPKENYINLKGIMIGNAYMDGDTDLLGIVDSAWHHALISDKLYSDFQKFCNFSLVDLSKECNAAIDQFNALYSIIDIYSLYTPRCELGYPNFNSSFAAQIGRTSSRFDFLKIPMGYDPCSQTNSINRAWNDSDMTVLPIVKKLTQSGLRIWIYSGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQVGGWSVVFDGLTFVTVRGAGHMVPSIRPEQALELFKYFLANQNLPSKPFY >KN539283.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539283.1:45686:48851:1 gene:KN539283.1_FG009 transcript:KN539283.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDANAGRKSSTHSAQSPELRGAASLESHELSDREITKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETRKQLKQFWDDEFNAISSGETTIERDLKWMRKEVVDYELEDLSRQCLIFDDCGHCHGCRNSGIDLKHPSNGGYEEGNADSGLSFDDEFNAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFDDCGHCHGCRNSGIDLKHPSNGGYEEGNADSGLSFDHEFNAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFDDCGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >KN539283.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539283.1:485:4759:1 gene:KN539283.1_FG010 transcript:KN539283.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGWVDWRRTYIFAGMGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNPKSPVLGYKTPNVRELYTLGWELGQGQFGKTYLCTEISTGCEYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDAYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWADTQSGIYEKVLDGRIDFKSNRWPRISDSAKDLIKKMLCPYPSERLKAHEVLKHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKKRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMTAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNVGLGWQTVESSLNVALREAPQVY >KN539283.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539283.1:106165:107067:1 gene:KN539283.1_FG011 transcript:KN539283.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWEVRMNPAWKRSFRPPDTEHASTGDEQFRIKINFLWYSIFLESDLQFSQFLRFAVHVPANTPPAAASTDDDGMLIMMYHPVQGDTGMVFCRPGDAAWTKLDNPIDDDKHVYNLVDFAYLDGKVLDLVDAPPGTCNVSNKLLGTANGDDTVMSLDYLHLVALPSKLLVVRVRVKKPSSEPESFDVFELGRQDRRDGEGELAWREVAGDDVGGNYDLFLDDHHATFGGGGGDSGSRIYYVHDGKEAYCYSKRDGELKCVYSSPEGSEEQCSTMPSWFVP >KN539283.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539283.1:118983:120341:1 gene:KN539283.1_FG012 transcript:KN539283.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVVLFFVVVGMSASMVSGCDSRFLRVRCKDGKLCSTGGAKVVVTDEARSTNRTDLVLTAAAYAAMARPGMAAQLRTRRAVDVEYKRVPCEYAAGRNLSIRVEEKSRPPRELSIRFLYQGGQTDIVAVDVATVGSSNWKFMTRDYGPAWSTAQAPAGPLQFRVVVTGGYDGKWVWADGEVLPRRWTAGRVYDAGVQIADVAQEGCYPCDTQEWK >KN539283.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539283.1:54863:58801:-1 gene:KN539283.1_FG013 transcript:KN539283.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRSSSEQEVPGGGHGRRHLSGDPTNFKCAKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKVRHVYGRSGRRRLAPLPTSFHMGGPYFILFIYEFVSHFNFTDPSKFRLTHQTSFVRQHASCWSKSTILLYFVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAIMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAMMAVEIKERHTVIQGMPVNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAAVVKKKQQKGSSHEPGSETPGTETTTTTTTATEESQRERDAAAVPVRHLHRYKTIAHVGATGTLSDSDCSDTDTPFASPTRLLIPPTKQRSLDAGRVEVRVDVGVDSTPMPTPPERHDSFSFPRLPAHNLQQK >AMDW01016772.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016772.1:19:174:-1 gene:AMDW01016772.1_FG001 transcript:AMDW01016772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGGSSYEVLLGRRDATTASIDDANDDIPNPFMDLPDLVDNFESHGLSLQDLV >AMDW01058436.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058436.1:208:751:-1 gene:AMDW01058436.1_FG001 transcript:AMDW01058436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHS >KN542723.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542723.1:225:3647:1 gene:KN542723.1_FG001 transcript:KN542723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSELPLSFPQENQGSKFFDGMDDDGNMRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSRPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKSASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNGKINYEVTYGQDHTVKNSRTILSQLCCCFEELALLALALYGSLPGGPQFCGG >KN538731.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538731.1:286711:293999:1 gene:KN538731.1_FG059 transcript:KN538731.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQEKNTRLENMTWRIWNLARKKKELEKEEANRLLKRRLETERPRVETTSDMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVAELAKALSSCPGVYRVDLFTRQILAPNFDRSYGEPVEPLASTSFKNFKQERGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVKMSRAIGEEIGCGHPAWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMTYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLHARVKRGANCYGRYMPRMVIIPPGVEFGHMIHDFDMDVLTLCTNNLYGWQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKRHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSKCRENGLKNIHQFSWPEHCKNYLSRISTLGPRHPAFASNEDRIKAPIKGRKHVTVIAVDSVSKEDLIRIVRNSIEAARKENLSGSTGFVLSTSLTIGEIHSLLMSAGMLPTDFDAFICNSGSDLYYPSCTGDTPSNSRVTFALDRSYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIELQNVVVLVGETGDSDYEELFGGLHKTVILKGEFNTSANRIHSVRRYPLQDVVALDSPNIIGIEGYGTDDMRSALKQLDIRTQ >KN538731.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538731.1:321251:321868:-1 gene:KN538731.1_FG060 transcript:KN538731.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMANIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKEKEKAVDEDDLSRRLAELKARG >KN538731.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538731.1:298516:299972:1 gene:KN538731.1_FG062 transcript:KN538731.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESAYHELAPAAAPAPAKGGGGGSKKKRKQQKREEKRKECRLVSYHELPDYMKENEFILDYYRSEWPILNALLSLFSWHNETINIWTHLLGFVLFFGLTVLHLGQYFPQVADFIGHLSWPISKVAENVSSNIGDVLSIDKIHHATTKGKILRLEGKILGG >KN538731.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538731.1:309255:310014:-1 gene:KN538731.1_FG063 transcript:KN538731.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTKLRPFLLTLLLLLSTTVAPILAECPVDSLKIGACVDLLGGLVHVGIGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINIYLPLALQLLLTCGKNPPPGYTCSI >KN538731.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538731.1:322852:334645:1 gene:KN538731.1_FG065 transcript:KN538731.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQSSVDRDCLSGWGGFVLLVTEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASATADNFLKSVVSIWIDVQIGNVVSLDSFTGYMLVYNLQKASALATEFFEGSQTSDTLKHTVIINLDLVYTLKQEFPRKGIPPAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPVAAFLELEAAARSYYQDWNQRPSEVGSSAKSSADSSPHPDEHGSGVLSESSQMNSAFAHGNGPTGATGNGMLGESILDQPNERVSGMSNHRKKNHVFLEHGVRNGSIDTYKGVVSEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGVSSSLWDGPVDLPSGIDGHSQTEQFTGLDMQLLYDMDAQIILPADQRPKLTRLLITMDRRQVTAKTDMEDLIARLNQEVAVKEYLATKVKDLEVELEATKQKDKEILHQALLTEREKITQLQWDKDELYRKYSEMESNLKIEQVGFPLCHHGLNEKTRVQSEKTTASGEKEMLLEELETKLKEVESLQQHIGEFEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSTRARLSREKILHECRLLRERLQECTAKFVADEQDTMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLSRDDEQGSSDDGDNSDGKSSVTMSSEDAYVTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGTVPKKTVLNWLLDR >KN538731.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538731.1:304146:306861:1 gene:KN538731.1_FG066 transcript:KN538731.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRIARCAVADDPKVVVAAAGGRVAFERAMVGRTIVAARRRGKNLWLHLDAPPFPSFQFGMAGAIYIKGVPVTKSVVSSTDEWPSKYSKFFVQPETVPPISELGPDALFEPMSSDSFADSLSRKKIGIKALLLDQSFISGIGNWIADEVLYQSRTHPLQIASSLSRESCEALHQSIQEVVKYAVEVDADCDRFPVEWLFHHRWGKKPGKVNGQKIEFITAGGRTTAYVPQLQKLTGMQSSKVVVANPEQVAENDDAKEIETDPEDADNLNARKLGATSRVARGKQKRDAIGALSRKTRKNGGGKEKPGSDYSEDDAETAKPNKVSTSSKGEKADPVRRSSRNVSKPPPGK >KN538731.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538731.1:236300:241520:-1 gene:KN538731.1_FG068 transcript:KN538731.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGELLASAVLKEVCGKLCSVVWKEIASQLKFTQDLEGLHSMLTVIQAVLADAERRSVREESVCLWLKKLKAVAYDIEDILLDFESAIPPCNPEVQDDIPRQFTMACKMKKMRERVQQIKHEMELGNFNFKQDTSSHEQDVIKERRTVASLDEDIVGRVAEKERIMDLLQTEDDPFIIPIHALGGLGKTTLVRMVYNDSQTEKAFDVRAWIYVSTKFDLEAIGKCIISQLDGKSYRVHADLQSVHNHVKDILTGKRFLIVLDDIWGKDQDMLAKIKSLLNGGKTGSKVIITTRSKKIAKIMNADLQIELEHLPDSDCWSLFKKIALEPDTVGTCFEDIGKVIVGKCKGVSLAVKSLASILRGKELKVWKSVRDSSIWVEDATSSDENQVLPSLKLSYYYMPFHLRLCFAYCSVFPKGYQIEKNSLIQQWIALGFVQSTISGELEDCAESYFNELLDMSFFHPSSPPSMVNYLILPLFDSLFDLTKYSSFYSYKEFWVCSVALAAAVLQLRCCSTYSGCSSQPTAVIAARHYKSPREFIMHDMVHALATFVSRDEVFILNVPMKSNYHVEMDYCRYALVESTIDPSTLQKTVPVRARALHFNVFNLMKLPIHTFRFCKFLRVLDLSGCTLVEFPRSISKLRQLKYLCAKGMQIQKLSKPISGLQNLQTLMLIDYHSLLKLPSYFSDFLKLHYLDLHGCSSLVELTGGIGNLTGVRHMDLSGCSSLVVLPSTIGRLKNLSYLNLSNCSKLQRLPESFGELTSLEDLNFSFCYELGSLPDEFSRKNQQLRFLNLSSCTNIKSLPEFCSENNMLEILDLSGCHNLEVLPVSVGHLRALKRLDLSKCFHLRQLPPLDQHHVLQFLNLSGCSQIEGTLKFLAHSTLHNLEYLNLSGVGEGLQTESAEGNITLMASSSSNLMHLEALQTNSAEGDITQMPSSSRNLIHFEAQLNKLIEGMARLKYLSIDGFTLFSEQRIASVKGLLTLPDFDVNERYDPMEGRLCSNIIILDQILDLTHSCLYIRNLERLSSPEDAKKARLAEKHQLHTLSLMWTVHYEEDNAAAAEHPAVAVLEALRPPQKIKEFRMEGYMAATFPRWLMNIGSALPHVVNLTLNHLPGCTVLPPLGQLQKLKVLHISCLRSIQKVGINVYGSTARPFPMLREVLLNDMEMLEEWMTEGVMFPSLEHLEMTNCPLLKFKPYIPMSSKYSIENCRYIFSVEGVTMGLTTWTGHYEEDNAAAAEHLAVAVLQALWPPRKIEEFCMKGYMAATFPGWLMNIGSALPHVVNLTLDHLPGCTVLPPLGQLQKLKVLHISSIRSIRKVGTDVYGNFARPFPMLREVKLNKMETLEEWMTEDVMFPSLKHLEVKDCPLLKFKPYIPMSSRYTIGNCRYIFSDEGVTMGPPCTSSSFTSVMNVTTSDSVSDIDWSGLQHLVNLEIMIIHDSNCLRSLPDGIRGLRHLKKLEITKCRSFVMLPSCIGDLANLEELIVYKCGRLATLPESMQLLVHLQKLVITSDADGGGVLSRLGTYHGALVKWKIDSALEKT >KN538731.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538731.1:310491:310745:-1 gene:KN538731.1_FG069 transcript:KN538731.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSSLLEMGEGHQQQFEERKKRLYLPLSAAELIVVHPSLITELVDAPIRVGEPPNSRGPWEDEIRAAMRSLPWEESRREPRE >KN538731.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538731.1:338775:341599:1 gene:KN538731.1_FG070 transcript:KN538731.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSAIFADDLLPPSPPSPHSHHHPAKRSRRSPHRRREAHLHHLSSLFPGMDPQLLEGALDASGDDLDSAIKSLNNLRLESTEAILSATGCKSENGLPTAVYPSVEGIVNNGGVSTANEHPPAADSSQTGNSGSEWVELFVREMTNASDMGDARARASRALEVLEKSIVERTGADAAQNLQKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVVQYQEQLRTLEVATTILSPFASFFFFCLTYILMKNLLGSKMLQINNYALTMHLKQAQQNSSIPGHFNPDVF >AMDW01127471.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01127471.1:122:562:-1 gene:AMDW01127471.1_FG001 transcript:AMDW01127471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAEYTMSRIWANNDTVREALGIHQGTVPSWQRCNYDILYTYDIKSSIRYHLDLTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVAG >KN541493.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541493.1:9963:10325:1 gene:KN541493.1_FG001 transcript:KN541493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDDEDFSPHQATTKESTNSEDDAKVQPSSGQTANKGNYYMGHVNAIMMMFQFICDGCQRVESSMEDYKCKKVEEGQGEKRKVGEGYDPRWSSPIETTMKICNDNVSDEPTENEP >KN541493.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541493.1:13125:18575:1 gene:KN541493.1_FG002 transcript:KN541493.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGLSLARRAVEAARRTPRWQKRLLVLTAGVGSLTYACQDNQVLQINDGTGKKRVVIVGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTNKKIHCRSDSDGNSEFVVDYDYLVVSVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVLKCFERASLPNLTEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYANISVIEAGDHILTMFDKRITQFAEDKFKRTGIDLKTNFKVVKVSDKAITMTNSATGEIAVPYGMAVWSTGIGTRPIIMDFMKQVGQANRRVLATDEWLRVHGCDDVYALGDCATITQRKVMVELYLKTNQMKDFHDLLKDSDGNAIKESKELNIEEFKKALARVDSQVKMLPATAQVASQEGAYLANCFNKMKYCEENPEGIGTLASLLHWVESKQPRNCRVIGYMLVTAPNGYGILSMPGINNATLLNMLVFLSALHLPSHVWTCRVEFLMAFCSYGMQQAIQLAHQDAGSI >AMDW01040063.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040063.1:66:941:1 gene:AMDW01040063.1_FG001 transcript:AMDW01040063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGGSKTKGKLEDECKTKGNADDLQMEGGSWKWLKSARVLLIIQFWAVLVSLLPYYISQIPGAIPIQYAVIWAVLSITILIILYAMFGSPSRAGVEWKLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLRAQIEMNFLPRTVLLASNILLTILGFPPAAFLIMKGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCYQPESKMKQE >KN539480.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539480.1:39061:41119:1 gene:KN539480.1_FG001 transcript:KN539480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKKLRVRFPGLGKGNKGGRQTPTILQEEDTSLQRAPMNSSDGYDAAFAATIAAAAYAIAAQEEKLAAQKKLVPIQGQSTAPPVQSPVKRGESMKKPTGGSKISRWFSGKEPAEDNDDGPANVSVRRPLKPAQRKQEDIASDQKVPPKMVDSSLSAKKGSGSSSKLQDKKGSKKFEQEQAIQKTPSTVRPATSYHSRRNGDGTVGLTAVGTADTKTNEWEKAKLASITEEYKNMMETIAEWENEKKVKAKRQKEQKEKVLDQKRAKALEEYNQEITRINKIAGGARSMAEERKYNDEKKIKEKANKRRSSEKAPRACACF >KN539480.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539480.1:76340:80143:1 gene:KN539480.1_FG002 transcript:KN539480.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTDDIDVEDSGDFVCAL >KN539480.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539480.1:19643:27023:1 gene:KN539480.1_FG003 transcript:KN539480.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDDGSLPMRRRSGTVQFKDRWVGIGRIGSGAVTQVAPSGNGQNVNWERESQWLELSSNQWGSEHCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESPDDKRIPESFRDFLLEMKDSHYDARTFAVRLKATMENMDKEVKKSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSASDSPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRETYHFWLKENLKSGLTLWKFGTLPPALIAFRGHLHGIDPSWHMLGLGYQENTDIEGVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKHVGDDCSRDAIVCE >KN539480.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539480.1:42072:44378:-1 gene:KN539480.1_FG004 transcript:KN539480.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFGGSTPTSSASGSRKDEKTTPHYLRASTGSCHDLCKYGHKNPFEEEKKLSTSGGRRKKLPSHLNNLTLHRSAILDRSKDVRQRNLSLAKSSISLGESDCIAPKKTQANLKGSSDHLITRPADHKNVNLDGRKKHATVAQKTSADSGSSNGVPKFDKKTAMPVKGTIVPAKLKLAEMPQLEESRTMEKGELTSSPATVTGVRRSNSGKTGRSSMNSSKPSINGKEGLHMARSSFSVESKMDVSVVIQEHDVQDSFIRGRHVESTLAGVSSDTTECVDESRSAPEEIIRPVSGDDGMESNRKNEASGGNEEPLQSSIVTGLLQSSDDQQLKNALSNLEAEENQTDDASPCQLSKNSIAVENAVLGDSLSTENSSEIEADGVKANASMESQVAEGNEEEEAHEGLQESIEQLALGEKHAKEPGSFLGSTSGNTVEDVKADEIFEGWTNNSPSHCQPISETSSDGELLGEPKSVQIQPCDSTLQTDGLVISSTGNTFEQDELKPGFFLQQSPEELSEDEFYEEYDFELSELDESGTENEGPTINKNSDDHLKADGQRPKRISALEQDDDSATPYKLKFKRGKIVELQPDSNGPRKLKFRRRSASEFSSSEGLSARRIYKMNSTIDAGPSNLDVESPGVKLRHQDTQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGKPASTPQAGNSPHLFHDDEGNASEQAA >KN539480.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539480.1:55845:56111:-1 gene:KN539480.1_FG005 transcript:KN539480.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPISNPKTNHRHILPQIQSKILRSSHHHQHMNIDLNIPVFEGGEDVLPDLNHGAHQDEDLPDLNLANEDNEEPEQAVADEVHEAEEQV >KN539480.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539480.1:9445:15061:1 gene:KN539480.1_FG006 transcript:KN539480.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEASRRLWVAGRPEIAKLACSLARPLCGPLKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRFNPLNHVDNDYSNYRDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLIGTLRDLIGNGNGFPSLRNLYLNNNDLTGVLPDQIANLTNLEILYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGSKSKGTHKVLELPDADILV >KN539480.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539480.1:81276:83324:1 gene:KN539480.1_FG007 transcript:KN539480.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGKKSLFLLLTWGYSCPSSDHIMLLVWQAHLASVGMAGVMRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >KN539480.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539480.1:99080:99223:1 gene:KN539480.1_FG008 transcript:KN539480.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVHVTKEMGIPTYLFFTHSACGLLAYLNFDQLVKRGYVPLKGNN >AMDW01020634.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020634.1:129:254:-1 gene:AMDW01020634.1_FG001 transcript:AMDW01020634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAK >KN539480.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539480.1:102475:102789:1 gene:KN539480.1_FG009 transcript:KN539480.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVSNVGEETTGNEEVEGKKTTTTTKKLRKWRMPQEQIDRILSRAPLPFPRPRYREDLAASEAEKEALRQMDAYGAEAVRHMNEYRKEQQEWVKAELAAHGYV >AMDW01033167.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033167.1:3:173:1 gene:AMDW01033167.1_FG001 transcript:AMDW01033167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWDEEKVNMELHKYMNNSFQHIKAMCKSHDCNLRMGAFTLGVNRVARATLLRGWEA >KN539480.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539480.1:50282:53084:1 gene:KN539480.1_FG010 transcript:KN539480.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHRSDDDGGELESRRPHSRHRRRRRRRHLYIVLDDWSKGYSVYKVDVDGFDGDPDADLDDEAVRLPEPPVFRLETADYGRFGIFVAVGSRIFATHYSEDTNARAPVLMFDTVTRGLAVGPGVPAELCNQPMIFPAGDKVYAMGRSKIKTDARGESRNESEDDDIVFIPDSDEDNRNEDLDSGDVLFVPDSQPQPEGLFSQSNETLFVSESKALPGCLLSESDLQPVPESEPLSDGLLSKADMQPCGGSGGRVQWQRDGVEDVAVADDDSMQQDLGCFAQASEVEASKQQGRMEENVDAKKEEGNMQDIRRPSHAERVAQNDACGGMLRIMLPLYFVKRTPK >KN539480.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539480.1:28801:31834:-1 gene:KN539480.1_FG011 transcript:KN539480.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALHWAASAQQPEEATDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCTPFTNMSNGLFGFSDGCLSMDRWDKLNGLFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYDFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYRKQQVQVARIFFLDFTNYGVPVTADCFAKTKKCRISMSRLATLPSRHHPPIDQPEQYHRIQCHPPE >KN539480.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539480.1:203:6143:-1 gene:KN539480.1_FG012 transcript:KN539480.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNRISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQLPQNLLKDCKALQNISLHDNPISMDQFQQVSGMKLNTDSSLAHSACCPDLLQILAQIMEDQMANLRLTDFEFFRIILPGSSKTKLKLPNKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLVFRYDGAAAFTVTVFDETMCRRDYRRHHDAAGGVILQ >KN539480.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539480.1:58183:64215:-1 gene:KN539480.1_FG013 transcript:KN539480.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSLTGRVVPSTAACSGGEQGGRAAAGDGGGGGGAAAGARGPDQDPLHLALPHGHHLLAYGYCLIKFRCDPSRIESNQELFCFSMMSVVESVGEHVQEVAVGDMVVPVFAAQCSECPDCLSDRSNLCTKMPNVAGLMPRDRTTRFSSVSTGEPIRHFLSVSSFAEYTVVDITHIVKLDASFPPAMACLLSCGISTGVGAAWKVAAVDPGSSVAVFGLGAVGLAVAQGARMRGAKRIIGVDLNPDKFDVGKRLGMTDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSTSVMAEAFQSTRNGWGKTILLGVSGNKAPIGIPSHEILRGRSVIGSLFGGIKPKNDIPMLAQKYLDKELELEEFITHEMGFEEINRAFELLTQGKSLRCIIWMDGAKEIDSGV >KN539480.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539480.1:85647:88486:-1 gene:KN539480.1_FG014 transcript:KN539480.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDTFDEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGVNSNLPLPPLAF >KN548306.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548306.1:186:320:1 gene:KN548306.1_FG001 transcript:KN548306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYLLPKQAVSKHPALVSLYQPKRTEKDDKSESIIDVIMGIVTAL >KN539480.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539480.1:34868:37454:-1 gene:KN539480.1_FG015 transcript:KN539480.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWSGCRTSFSQELPPHPRGRRGGDGARIHPWSGGASRDATTRHAEAARTVVVFARGRVRVCRAAAPCVREPDVAGKEEVGVAVWGTDDEPPVADDHRRHGLRRRPVRPAAVEEGPVAARSAASASASASASAAESKSEVGGSRLHFLEERDEEMLSKRLIKLSQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRGSFADAMKVFEFMKGKGMATGHSYTLILKAVATTEGYFAALKMFDEIEESDKKNVDVIVYNTVISVCGRAKDWRQVERLWRRLGENSLSGTLMTYDLLVSTFVQCGQSELAIDAYQEMFKSGIDPSEDILKAIIASCTKEGKWEFALTTFRRMLSAGMKPSIIVFNSIINSLGKAGEDELAFRMYYLLTSSGLKPDQYTWSALLSALYRSGRCWDVLDLFQGIKIKHSALLNNHLYNIALMSCERLGQWEHGLQLLWMMERGGFQISAVSYNHVIGACEVARMPKVALKVYQRMTHRGCSPDTFTHLSVIRACIWGSLWNEVEDILEEIAPDSSVYNTVIHGLCLRGKIRLARKVYTKMRSIGLKPDGKTRSFMLQNFATDY >KN540597.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540597.1:43927:45790:-1 gene:KN540597.1_FG001 transcript:KN540597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLHACYTKVSPSAPVDNPKLVAWSQSVADILDLDHKEFERPDFPQLFSGASPLVGSSPYAQCYGGHQFGSWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYEYVMTSLFSELHHARSIFLSKFTLETDRYISEVVYLIVEIFLPSI >KN540597.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540597.1:28519:28956:-1 gene:KN540597.1_FG002 transcript:KN540597.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKLTNGRSHEDKGSSGEEVVPARKRRGRPQKRIVDKVVDQAEAKNLAEGDDGDADYQQGEGEDGGVKPKVSRTEKSSAGKGNKRNRLPKEEESSNLDLEENSSCTRSSNDESTRSNGFRQNGSRRKSTPRRAAEAGI >KN540597.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540597.1:14563:19334:1 gene:KN540597.1_FG003 transcript:KN540597.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFGGGRPGGQAKWATSCRREIGYLEAKKIYGILLESNTESRNIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGINVRQELIESAKTLPSTFTKILEVLNSDPVSKATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLYISVCNEVESSLEETSKAQVSNVTGAENIDSNISADDIDWDISLDDNGIDWDIGAVEQPVEESGNGFGSYEIIDANVELAGSENYNFGISDDPSVNKSSSSEPGICWDITDVNPEENASIQNAPESGQSQSLAEERSQLLEKEYRNNILDDLLEVKAFLTQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPENLENMLAEVSSAISSLTNQKTLDLIMILNSKRFLDRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSSVFDGRPVHIIGEINTLLSSSVSQLAG >KN540597.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540597.1:4327:6626:1 gene:KN540597.1_FG004 transcript:KN540597.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTIDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKLVEDDE >KN540597.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540597.1:11703:12072:1 gene:KN540597.1_FG005 transcript:KN540597.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSWACRSLPNCPSGKSSWPELVGKKGSEAMAVILRERPDITRAILVPQDAIITDDYCCNRVRILVDCGDGGGDGDDATVTAVPMIG >KN540597.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540597.1:36677:37699:1 gene:KN540597.1_FG006 transcript:KN540597.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGLRSCSAVGVPSLLAPSSNRSRLPVCAYATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGVLVPEALGLGNWVQAQEWAAEPGGQATYLGNPVPWGTLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLASHLSDPWHNNIGDIIIPRTIYP >KN540597.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540597.1:7611:7910:-1 gene:KN540597.1_FG007 transcript:KN540597.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGVSVGAPLAVGVAFLPAFYYLKKAAKVDVPTWIPFGFSFVFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWDSLRGGGGPSSPRRR >KN540597.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540597.1:20013:24622:-1 gene:KN540597.1_FG008 transcript:KN540597.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWLLLLLLMASSSSSRSEMKAGEVIRRSQFPEGFFFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSNGDIAIDSYHRYKEDVGIMKDLGLNAYRFSVSWPRILPNGKLSGGVNLEGIKYYNNLIDELISKGVEPFVTLFHWDSPQALEQQYGGFLSHLIVEDFRDYADVCFREFGDRVKYWITFNEPWSFSIGGYSSGILAPGRCSSEEKSGCSKGDSGREPYIVAHNQLLAHAAAVQMYRDRYQGEQKGKIGITIVSNWMIPYEDSKEDKHATKRALDFMYGWFMDPLAKGDYPVSMRTLVGNRLPRFTKEQSKVINGSFDFIGLNYYTARYIQGTKQDSNSHKSYSTDSLTNQKVERNGTDIGPKAGSSWLYIYPKGIEELLLYTKRTYNNPTIYITENGVDEVNNEKLSLKEALIDNTRIEFYRQHLFHVQRALREGVDVRGYFAWSLFDNFEWMDGYSVRFGINYIDYKDGLKRYPKRSSQWFQNFLRN >AMDW01136851.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01136851.1:1217:3515:-1 gene:AMDW01136851.1_FG001 transcript:AMDW01136851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGHGYPRSYLWAFTAKEEEAYSGENDERQQILQLLIEEKQPEISELPGGGIQVHHEIDAAVAELIEQEALDELEQLVGAQLEAPADQQNLVEQHQDEDDKGKDEAMTHMENTCQAIHDYLKLYFPAYRPQIGGKVRGHQVSRVWEFGERLGKDGGRCLACHKKVKGFNVTKL >AMDW01056348.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056348.1:338:738:-1 gene:AMDW01056348.1_FG001 transcript:AMDW01056348.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSFSVDDLQMMERELMSEIKLIEHNFEISESALDEYMDDLANYAFDLTGPANSSSP >KN542696.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542696.1:11122:12712:1 gene:KN542696.1_FG001 transcript:KN542696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGPLTVSVTHTESFEKEKRTPKANQLYQNSEFLLAKDKFPPSDSHGRKKPKHHKKKHRSLASHGAGYDAEQRLYSHAFKKSMSLLSRLMKHKFGWVFNKPVDAVALGLHDYFAIIKHPMDLGTIKTRLTHGQYRNPREFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVQYLASCPPLPNKFPPPPIDVRFLDRSDSVKHHMALDSKSRPLSHTPTYSARTPSMKKPKAKDPDKRDMTIDEKRKLSNNLQNLPPEKLDVVVQIIKNKNLSVRQHDDEIEVEIDSMDTETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELHAQHVAPQQPSQEPNIGVKSPKQNLIVDEKLATSVPEQTDNNGQNASRSSSSSSSSSDTGSSSSDSDSDSSSSDGSDAANSS >AMDW01039690.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039690.1:220:531:1 gene:AMDW01039690.1_FG001 transcript:AMDW01039690.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGRYEDSGVSVSFRRKAAYTAASLLVFLVAGQLPLYGVKKYNGDKDVPDPLYWMNCMFASTNNTLMTLGIIPLLLSEMAVRIFSALIITRWPPFHHVRL >AMDW01015989.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015989.1:3:251:1 gene:AMDW01015989.1_FG001 transcript:AMDW01015989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GISIGSLGKESEEGGVENVTVSGAAFVGTENGLRIKTWGRAARSGAYVRGVVFEHALMRDVSNPIIIDQSYCPNDGGQGCPHQ >KN542806.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542806.1:8720:10387:-1 gene:KN542806.1_FG001 transcript:KN542806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGFASVLAALTLLVVFLAPSIQAVQPYQCDAHLYYPIAGVLRALRGTNISVIIGAPNVSSLASNDVNVSLSSAHTWVQTNIQPYYPDVNIKYIAVGNEVLHDDKPKILPAMKNIKDALSAAGLNHIKVSTAIEMNMVDSSSLPSGSTFADPSIMDPIIKFLASNGSPLLANVYPYYAYKNDNGVDLNFALFQPSSTTIDDNGHTYTNLFDAMVDSIYSAIEKVGEPDVAVILSETGWPSAGSRGANKDNARIYNQNLINHVRKGTPKRPGALETYIFAMFTENQKEDGIERHFGLFNPDKSPVYSINFSGTSDSGPQPQPSMSWASRPVFYGLVIVSLSLVLLAWPISR >AMDW01010488.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010488.1:67:229:-1 gene:AMDW01010488.1_FG001 transcript:AMDW01010488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RKVIYVKAGRYEESVSITSKQKGVMLLGDGKGKTVISGHRSVAGGYTTYASATV >KN540546.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540546.1:47024:47946:1 gene:KN540546.1_FG001 transcript:KN540546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVKCGGSSIRYPRPDGNRVAAEHTKGTEPVADGVGSSKQTVPTDASAMAIDEPGSLKVEPDNSNKLQDQPSVHQKP >KN545549.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545549.1:315:1147:-1 gene:KN545549.1_FG001 transcript:KN545549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCNPATQELVVLPPGSGPCPRSTESTAAVGFDPWRNRYIVVKCFYRKSHNDPPVYNIGHEIFTLDTCASDGWQRMQDPSRAISPSGRRPAACTLRDEAFDAVPSSPGCTACDNDDRLADLAGELHYVHRVRTSVATHEVWMAAAVDDDDQEWWLRYRVNNPWGVRRRRGGGNGQRWFHNFGATAAGDDGVEEEATLVAMLYKELWWHRERSKPVVKDVNVRGSRYSCEPTPTIHHVIRYVESLVSITAPNY >KN540546.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540546.1:2454:5950:-1 gene:KN540546.1_FG002 transcript:KN540546.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGLWSPCFLWLLCIFEFCCFLPLVISKTGDDRHALLCFKSQLSGPAGVLASWSNTSMEVCNWHGVTCSTQFPHRVTALDLSSEGITGYISPCISNLTSLTRLQLSNNTFHGRIPSEIGLLSQLRILNISMNSLEGNIPSELTSCSKLQILSLSENSLDGEIPPSLSQCSHLQEIDLSNNKLEGSIPPVLGNLPELRILILADNRLTGEIPLSLGSKLSLTHVDLGSNSLMGRIPKSLANSLSLQVLRLMSNNLTGELPKDLFNTSSLIAIYLQKNNFVGPIPPVTSTSPQVKYLSLEYNTLTGTIPSSLGNFSSLLGLHLTGNYLFGSIPESLGRLEKLEILSLQVNNLSGSVPLSLFNMSSLTFLGVANNSLIGQLPLDIGYTLPNIQELSFSGNRLSGSIPASLLNASHLETLSLTNCSLTGSIPFFGSLPNLQCLDLAYNMLQADDWSFLSSLSNCSILTELMLDENNLHGKLPSSIGNLSNSLDKLYLSNNQISGFIPPGIGHLKSLTQLYMDFNLFSGNIPPNIGNLHNLVILAFGKNELSGQIPDAIGNLVKLIDLYLDGNNLSGSIPESIGHCSQLRKLNLAHNSLNGGIPRNIFRIYSLSEDLDLSHNHLSGRIPEEVGSLINLKKLSVSNNRLTGNVPPTLGQCVVLETLEMQSNFLVGKIPQSLASLVGIKKIDISQNKLSGKIPEFLTSFKYLDNLNLSFNNFDGQVPRGGLFGNASAVSIDGNTHLCTWAPIKGVPVCSALVDRKRVHKSLILALKIVIPVIAVTITFSFLLIIHSKKPKLVKPHLQQFNGLIKRITYEDVVKATNRFSSANLIVSGSFGMVYKGNLELQPDQVAIKIFNLDTYGAHRSFFAECEAIRNVRHRNLVKIITSCSSVDSSGADFKALVFEYMQNGNLEMWLHAKKHDHSERNVLTLSQRINIALDIASALDYLHNQCASPLIHCDLKPSNVLLDLDMVAYVSDVGLAKFMRNGSNVHEDISTSLACLKGSIGYIPPEYGVGNEISTKGDVYSFGMLLIEMITGISPTDQIFNDGTSLRELVSKEFPKNTYQIVDPVIMQDEIDTTQVMQNCIAPLLRIGLSCSMTSPKDRWEMGQVCAEILRIKHIFSEIEGDQ >KN543852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543852.1:1870:2112:1 gene:KN543852.1_FG001 transcript:KN543852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDGPFGSKAFFPWRSARPKPLGSASFYGGRHTLRLLLRMKSELLAVGVRRRLATMTCCSLFQRVGAGHVKEVALWCLG >KN540546.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540546.1:32156:33722:-1 gene:KN540546.1_FG003 transcript:KN540546.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIRWSKLPAAGSLVLYAVFLLLSAAATSEANIGEYDEYWQKRKLMADAAAEATYKRDPFEVTNSFNRAVHRHADRSFNQSIDLTCACRSEEESGRRELAMTKRKKFAGPCKATNPIDRCWRCRADWVTDRKRLARCAQGFGRNTTGGLAGKFYLVTDGTDDDVENPRPGTLRWGVIQDEPLWIIFAKDMIINLKEEMMINSDKTIDGRGAQVRITNGAQVTVQNSNNVIIHNIHIHDILQGKGGMIRDSPEHFGFRTQSDGDGISIFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHLTNHNDVMLFGSSDSFSEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKRITKQLGATEEEWKNWVWHSEEDLFMEGAYFTTSGGPIQKQFSNKDLIKPKPGSYVTRLTRFAGSIPCVAGKPC >KN540546.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540546.1:28703:29949:-1 gene:KN540546.1_FG004 transcript:KN540546.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKKFAGPCKATFSIDRCWRCRTDWATDRKRLAQCAQGFGRNTTGGLTGKFYLVTDGTDDDADEPLWIIFAKEMIINLKEGMMINSDKTIDGRGAQVRITNGAQVTVQNSNNVIIHNIHIHDIVLGKLGMIRDSLEQCRWGYFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYTAPPNLTAKQITKHLGAAEEEWKNWVYMALGEGPVHGGDLLHHVRRCDPEAVQQQGPDQAQAWILRREAHALRRLHPVHSRQEVLDRARRAASQRDDSYL >KN540546.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540546.1:15978:25183:-1 gene:KN540546.1_FG005 transcript:KN540546.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVLQLLGGKSMLAPRAAAAVRAHLDSSAAPFHAAALSSVPYSVVDDLVAEDYRALVDTGSAPSVYIYLLNLGPQPRPYAYTAASSPADAHSPGFSRCLAPVWAGKERYIWIDLGAGPVDYGPALSGEGVLPRGEFHPLAALHGRPRSEKALVADLASLVLSAYKSLLVPSLRIPVHYESSLLVQVFHIHGHERDTSGLDWGSIEQSIRDGNLAYEGQRLKFDLNRIRFSDCPICSFAVARSTTSFTSRFLFDNYTLIVSEYLDSKRMRQVLSDSLEELHKVAGVHDNDDYDKVVPVFVFDLDYDKLLLLDRYHQAVAFRDMVISVRTRSSQTVSDYSCNGQHVITMTRNLDRPIIASVLQSMWGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSAIDVLESMAAHGGESILLRRKRRVEFIQRWNLLTYKLEKVVSAMSRLDYNKAMYFLRSSDHDLFAVHTLVYQASQELEASLDILSWFFKNYDCGLYSQSWLFMWFIMYGVQRSTACTTCTFCGCAVHSMSNRACWSWPYSEDIPFNTRGSGENNLAKPMIQRKDRFQAGQQCGSIHFCNALYFSLDLAPLLGLCSRLCSFFGIYAAFSVREGAIGYIRWERGLRRLVRDLEGYDLESGKRVMMKQMQLFEVGKKGIRVGVVGPTRAKSFNPQMIGLRGTGALDDGPWMFGKDFMILVDYDETKVLEEVMFASIPLQQETIVFHKQHGSY >KN540546.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540546.1:36991:40882:-1 gene:KN540546.1_FG006 transcript:KN540546.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGFTTSYALETQKLFKTGASWAVSFLLAAALLSNAPSTTDASMLKAPTANTASNSSDRHVLLSFKSLITKDPSGALTSWGNRSLHHCRWQGVMCGKRGRRRGRVIAIDLNNLGLVGSISPSISNLTYLRKLHLPQNQFGGHIPHELGLLDDLKFLNLSINSLEGEIPTSLSQCSCLQTISLWYNNLQGRIPSNLSHCSYLRTIEVFANYLEGEIPSELGSLQRLELLNLYNNNLTGSIPSYIGNLKNLILIDISDNGLTGSIPPEIGNLQNLQFMDFGKNKLSGSIPASLGNLFSLNWLDLGNNSLVGTIPPSLGGLPYLSTFILARNKLVGNIPPSLGNLSSLTELNFARNNLTGIIPHSLGNIYGLHSLRLTENMLTGTIPSSLGKLINLVYIGLQFNNLIGEIPLSLFNLSSLQKLDLQNNKLSGSLQNYFGDKFPLLQGLALNDNKFHGPIPLSLSNCSMLELIQLDSNSFSGTIPSNLGNLKRLSKLRLDYNKLEANYNSDWDFLNALTNCTQLQVLQLSFNRLRGVLPHSLSNLSISLEHLAILNNEVGGNIPEGIGRLSNLMALYMGPNLLTGSIPASLGKLSKLNVISLAQNRLSGEIPPTLGNLTQLSELYLSMNAFTGEIPSALGKCPLGVLALAYNKLSGNIPKEIFSSSRLRSISLLSNMLVGPMPSELGLLKNLQGLDFSQNKLTGEIPISIGGCQSLEFLLVSQNFLHGSIPSTMNKLTGLQELDLSSNNISGIIPVFLGSFIGLTYLNLCFNNLIGEVPDDGIFRNATAFSIVGNVGLCGGIPVLSLPSCTNQQAREHKFPKLAVAMSVSITCLFLVIGIGLISVLCKKHKSSSGQTSTRAVRNQLPRVSYTELSMGTNGFSSSNLIGEGRFGSVYKANMSFDQYSVVAVKVLKLQERGASHSFLAECEALRYLRHRNLVKILTACSSIDPRGHDFKALIFEYLPNGSLEKWLHTHIDEQSDQSVLNIYQKLSIATDVGSAVEYLHDYKPVPIVHCDLKPSNILLDSDMMAHVGDFGLARFTNQGDNNASQVSSSWAAFRGTIGYAAPEYGIGNEVTTSGDVYSYGIILLEMFTGRRPTEQNFEENTNLHRFVEEALPDSVEDVVDQNLILPREDTEMDHNTLLNKEAALACITSILRVGILCSKQLPTERVQIRDAVIELYKIKEKFFP >KN542713.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542713.1:1123:9040:1 gene:KN542713.1_FG001 transcript:KN542713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESWDTSTLLPYVFGNVLSHGVLAASSYSTNSTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEATNIEAFQRYIDAMGFDRQAKAPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATDDYNAMASALSEMGATGNDINVNEFAKDLEKIFSSIQDLDTEVIVATARTPDATAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINIGMNRGAVDKENLDEYNWTFS >KN542965.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542965.1:3416:5056:-1 gene:KN542965.1_FG001 transcript:KN542965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33680 [Source:Projected from Arabidopsis thaliana (AT2G33680) UniProtKB/Swiss-Prot;Acc:P93005] MVAGYAAEKCSEEAFDLFRLMLEECSSEKSEFVATAVLSAVSVPLGLLMGEQMHGLIVKDGLLDFVSVENSLVTMYAKAGCMGAAFHVFESSRERNSITWSAMITGYAQNGEADSAVSMFSQMHAAGFTPTEFTFVGVLNSTSDLGALAVGKQAHGLMVKLGFEVQIYVKSALVDMYAKCGCIADAKEGFDQLYEVDIVLWTAMVSGHVQNGEHEEALTLYARMDKEGVIPSKSTIASGLRACAGIAALEPGKQLHTQIVKYGLGLGAPVGSALSTMYSKCGNLEDGMSVFRRIPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKMEGTIPDHITFINILCACSHMGLVDRGWEYFSLMTKDYGLIPRLDHYACMVDILSRAGMLKEAKDFIESITIDHGTCLWRIVLGACRSLRDFDVGAYAGERLMELGTGDSSAYILLSNIYASQRKWNDVERVRHLMRLRGVNKDPGCSWVELNSRVHVFVVGEQQHPEAENINAQLRRLAKHMKDEGYHPSSKLSFDEELGPLAESHEEDQLEWISAAYS >KN540417.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540417.1:38959:41733:-1 gene:KN540417.1_FG001 transcript:KN540417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGSAPNKLSNIKVRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVILGDVPCKFRNGTIVCEATQQHCHSKSACGDEDLIGPVSEDDDQFTEGFDRLPHVILEGGCHS >KN539361.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539361.1:73903:83714:-1 gene:KN539361.1_FG001 transcript:KN539361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGAASWLLRKVVTHLSDGMVAAYVASSELGLNVEQIKIDLAYTQGLLDAAEERDVRINSGLGMLLEILTKQADEAEDVLDELQYFIIQDQIDGTHEATPVVDDGICGQVLHGLHALHHIVSNWLLRFCFLEKWLSCFCCSSAQDDADYPHDIPSSHSDVPDHVNKLTFNRVDMSNKIKLVIKRIHASCTPVSDLLKIIHPLVGRALPPKRPPISSTITQDKLYGRENIFNQTLDAMTNFGIHNRTLSVLPIVGPGGIGKTTFAQHLYNDKRIEANFSVKIWICVSTNFDVVRVTQEIFKCTHHIENEGSSGVHESSNFDQLQKTIARRLESKRFLLVFDDMWNCGSEAEWGSLLAPLCKGDAKGSMVLVTTRFPSIAQMVKTTKPIELQGLEDSEFFTFFEECIFGHDKPEYYEDNLIDIARKISKKLKGFPLAAKSVGRLLKNGISEERWIEILERNEWQHQRNDDDIMPALKISYDYLPFYLKRCFSYCALYPEDYRFNNLEITHFWEAIGIIDSGGQSGKAKDIGLKYLDELVDKYGQSFESEMEKLKDKIDIENLRTLMFYGEYNEDMDIFFKNIFKDTKSLRVLFMFANSLESFPYNFSKLIHLRYLKLKLEVPYNSEFTLPSTFCRFYHLKFLDLGHGNYILPKDINRLVNLRHLHSERELCSNISGIGKMKNLERLKKFHVKKRDVGFDLRELGELTEIGGELSIYNLGKVATREEANSAKLMLKKNLKTLTLHCWFVDTTGSDVLDGFQPHPNLRELDISNHSGSTGPGWLCSDVCVKGLKSLYLRNVSWGTLPPFGQLMHLEKLTLINITKICQFGADFSGVTQMSFLPKLRFLQIENCPKLSLPPMPHTTNLTRITVNYLKRRVNFEENKLSLDGYGGRRGVQEEGEEEAVLRVPSSSSLSYALIYGYNKLVLPVEDGGGLHDLSSLQTLVISGCDNLFSRWSMVESQTSKPFPASLKELDISEGSNMPSMALLSNLTSLTRLQLLDCFQLTVDSFDPLITCNLKLLAANLYKLEFNYDWWMESFTAEQEQALQLLTSLRYLGFRDCHRTQSLPEGLHRLSSLEELSIWGSPQIRSLPKQGIPASLKYLRVTDCSSDLDDEVNKLEASNPKLHVWRDCCEIYLKPANTDLRSFAGLATFIDIQLYVLRSTDFNSQCQTSKKAKPVQIYLFKKFAMEHLEVVRKVGQQNEGHCLKQRQNM >KN540417.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540417.1:566:2269:1 gene:KN540417.1_FG002 transcript:KN540417.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding YTILAVVMMLLEAALAADLFFNKHWIQDLPYDRTGELDNLLSFIQTNLDLCKWAALAIVATQALSLLLATILRSMLSTPSADYDSDEDFVVIRRPLLVAQGAPAYLPTTADTRGFHPDLWSSRMRHKGSIGPLTSISYASNLKTVLKYIMHDMYGLNSSNYTYNTLDPNAPPPQ >KN540417.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540417.1:24247:26779:-1 gene:KN540417.1_FG003 transcript:KN540417.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFDSPTASPAASPFDDDSFLRFDAAAPAPAPADAFPPSPEPYAFRPDAPSPFGMPEANGSLHDDPFAAPDNDNGPVLPPPNQMGADEGFLLREWRRKLGMVAILNVSSFCVTIEQMLTPILNSQNAILLEEKEKKEKEMRNQIILDAEEFKKAFVEKRKLNVETSKDQNREREKLYLANQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAATGKDGAAGKDGAKVAAAASKDASANGSVPEMEKAAAAAAPAAAATEPIAAA >KN540417.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540417.1:19798:22045:-1 gene:KN540417.1_FG004 transcript:KN540417.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDAVKEAAGYFAAFSSPRKKPKTAAAAALSLETPSPQDDGNGGLLASATNATTKRTQGKPLDSPSASVERLVSKHRYGRHTDSFQQGRLATESMESPLLERTLEIRDRSYKLKIESCRGNKSQSNEAQQRPSHHTTTSDNIDDESNAVDSDGDEFSHNVIEAAEILRKARECMMARDDEETADALLYKSARLLSTAVALRPSSLVAVGQLGNTYLLHGELKLKVSRELRTLLANTGALLNGRDRVSRSRKLDRRILSRENISSALVDVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAIDADRVYLAAIDKFDAMLSKSNTYAPEALYRWGIALQQRSYLRSGNNKEKMRLLEQAKSMFEDVLYVEADNKTEAPGCIVLVKK >KN539361.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539361.1:10844:15652:1 gene:KN539361.1_FG002 transcript:KN539361.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 29 [Source:Projected from Arabidopsis thaliana (AT3G47810) UniProtKB/Swiss-Prot;Acc:Q9STT2] MVLVLALGDLHVPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >KN539179.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539179.1:71296:77417:-1 gene:KN539179.1_FG001 transcript:KN539179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPIFRYLGHSVFLFRLHSFPQSISSDNVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDNGTRKTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTEDRFAQEFGIKLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSSNPNNIENALRDVHRRTSELLAREGKGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVGKDPVKVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >KN540417.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540417.1:45226:47752:1 gene:KN540417.1_FG005 transcript:KN540417.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPPLGGWDFTPGDRIRDEVFSSHSRKSYIKTVIAVKPINPPKFSSSKLLNLSKVSAAKLINPPKVSAVNKSSNFKRPTACSSKGPISNAESLNVVPVKSVFDRLRFPEIAAGKALARDQGDSDPPFKAANQDMAIVKRPMIPPFCDRCLMHGHREFECVNRVRYASCHKLDHRALACWNKKKAQVWRPKKDSMPAAKKSIVERAGLGKEIICFKNQGSPSSSSQQLQSVPLLLSTSSPSAPMAVEDPPKRNEGMAACIEPPPTPEQYEGFRHLVINYVQNVLGYHVLEASRHPIEFMYVRVASALLRDTLIAGAPYEVDNQFMLRFVPHDLTSSCRNSPFTSEGWIMFLDFPLDFKTPAIIDKVVSLFGKVIKVQLDDNVPRRIVIKRAIAFGGVGRSWTVSVFLCHGELPDVMPGDEDLPPVNHIPIPDPVQLLAPQVDAFGDNMEEDAPAVEQNSQPLSAVSVVAGNQNVMLQSQGSITLEQLTQPRFLLFCWWLGMNETEVEQAEGDLRHVYMNEAHMFEDAEMVEPISCVLREQQVLPQDPFQQSASSCLPSLKKSRKTKAKIVAIVDTDRRRSSRINKLTGGYMSPDPK >KN539361.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539361.1:60525:61337:-1 gene:KN539361.1_FG003 transcript:KN539361.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDVAVIAGKEEVGDEATAAAALIADKEEVGDEATASATVNGPDKGTRRKVRVMVSVVHEVKAAETVGEGHPPVPQGKIRLSQQTIDAILATKTMHYSTDALEYYRIKKDYEMLRLMHSCMRTHDEFQKRIVKRKAWIRSELEKKGYIDIDESNAATFTPIHWREELVTEDEESDNDGDDEDDFSEGEKESEEDDNDNGDDEEEVSNDDTTEEVSDEEEVSNDDTTEEVSDEKEVSNDDTTEEEDDLEDDDKSSSDDDEAPNESSTTY >AMDW01039453.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039453.1:18:950:1 gene:AMDW01039453.1_FG001 transcript:AMDW01039453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIQAVLRGGEKMKFDDVQRHWFSDLKDVGYDAMDVLDEYFYEVQRREVIHLPRLRNHTLSSALNPSRLKFMSNMERKIKYIAGKIDGLKNKRLTFQVEVHDQTDQQHEGSMCNRSTSLPPISPCGRENDQERIVNMLLQRDLKPNIAVLPILGEAYIGKTTVAQLIINDKRVSRHFDVRIWAHVSPDFNIKRISASILESIYDKSHYDNLDTLQKHIQKRLRGKRFLLVLDDYWTEDWHDWEELKRPLLKASAGSKVIVTTRSGAVAKLLGMDLTYQLKPLSSEDCWSLFRRCALGVEVKEYNSGDFLD >KN539361.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539361.1:55523:58105:-1 gene:KN539361.1_FG004 transcript:KN539361.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAPIFAMDPGSLPHIFLMTAMASHDAQKLPPPLSIGSLTFSFRVTPQVDRTPVQWHHMQLEVLRMMKGRYCAKFIPNQNHPFPIRIVMLSALSKHNPTIDAGQYPQGPYMQYSLIDSFLAYEQKGLRADTLSAAKVGSGGRAMGAPEGGAGARAAMFPASAQAQMVVLRELAAVGRLPRCRSAPSPFLELLPFSVGSF >KN540417.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540417.1:3672:16134:-1 gene:KN540417.1_FG006 transcript:KN540417.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAARGSGVGSSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPALDVSTLSFPTKRQPIHRLLARLAPADPDTFLLDYRRFLHRGRKKPKPKLPALSDGRPLDLCRLFHAVKRFGGYDGACAGKRWADVLRLVDDKAPRHASSVSEHVIAQLYYEHLYQYEHFTNRLPSQSHDDQPPVSASSANISIRRQKKRLRKTSSTMGHCGGSTSVKTAASAPKQKRRKVDATATVVNEAMDQVCEQCNSGLHGEVMLLCDRCDKGWHLYCLSPPLKSVPPGNWYCSECMNSDRNCFGFVHRRKTCQVETFRRFEERVRKRWFGHKNPSCVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRLCDPVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLQTVRDNIAGHLMSNHRAAVSASLFHLHSYSMHVGEPKCWYGVPGAEANAFEKVMRNALPDLFDAQPDLLFHLVTMLSPSILQANGVPVYSVIQEPGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAKNGVDNESLPYLQGEIERLFVKEKKCREELWITGIVKSNLMPPKNNPNFIGSEEVDLLVYRHLMSCDIDSALIPLILAKFIKSIFSFSQHWKHLCECSPEKHRLLYRHTLAELGDLVCEVSKANLPRENVKQNSLLHSDVFLPTRKDKDQYMSYTQLAEVWLSKADHILQMPFLDTAYATALEDAEQFLWGDHNMDSVRNMSAKLIEGRKWASSVRKCLSQIDGFLHCKENCSEKVNYVEIKELAAVRCKPCYEPSLAQLQVYVDKGEIMINEINNALSSRSKVDYLETLYSRALEFPVELTETSALSCEISSAKSWLKKACDCLEQNKRGIVDIDFLNELKSEELESFLLVVDGANFSIPELNILKQRYSGACSWVNHANNIVGKLLERNDYDNIVEELTGILKDGESLGVKGMLFEEFSVVEEELKKSFCRKQASEALATRTSMEVVKEVLKEASILTIEEEQPFVDLSHNLKAAITWEEKASFILEHSAALPEFENHILCSENIHVILPSELDMKAEVATAKLWMDKCQAYLRPRSDKPASGGFLNVDDLKDLIGQPASMKVILDTSAINSVLNNFIEWEHNSLSLIHSSRTLLDSNVIDSTIDPLKRKLEELQDKINAEIEKGLSLGFEFKVVHELKDSFFTLGWILNALSFCGATPLLQDAEKLIQQAVNLPASLSDCSLAELLVRGSSWLRKALMFLPGSEMSETSRLLNVENILAEYKASISKFKLIYLIFHALVGDQEIAVPYPMMIAKLEDAINKHNSWAEQCNAFFMFPDHQSWDGLLSLRDSGQSVAFDCTEMDKVVAEIKKIEEWLTHCHCTLFPDGNNSDSLLSALLKIRGSMDNACMLYSDCNQKGLCDICSCDVGDHITPRCMICQARYHSSCVEPLLASTQVTREWTCPFCFHLESGDPLQNRLQEKISKGNRPALPALIGLRSFAKGFYSGIEELDLLEEIAEKAHKFKSYLMQILHDADSYNGEDLSVMHRSLLVALKATSAAGLYDHQISCSIESMLSRYSWKKRIHILLCGGKKIPIQQVLMLDNEGSSLEICGEDFFKLEINKIKETSLQWLAKAEKTTLDSGKLALDLVYGLIIEGESLTVHVEKELKLLRDRSVLYCICHQRPKQDLTPLRHLVKKQEEWELSSPTVVANGRKHM >KN539361.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539361.1:103969:108061:-1 gene:KN539361.1_FG005 transcript:KN539361.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKGQAEKDFRVEAVTIGCVQHKNLEAGEIELTNLD >KN539361.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539361.1:22845:25136:-1 gene:KN539361.1_FG006 transcript:KN539361.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELCGNNWDLDAVVRLGCCRRTISPAAVAQQVDPFASFLQQGVAMEVAAEKEVGVEAAWSFPELTVRDGGGGRGLGRDADELLKAFCAAFPSSSSSKSSPLPTPPPPPPPPPTQPEQQQKPVTTVQENPPAPTTASPARASAARQVPAGGVPRSKRRKNQQKKVVRHVPADGVSADVWAWRKYGQKPIKGSPYPSRGALLFLALPFSVQQPNLSACRSLGMFDWDLNSHHSSLFLHPQLLMRSVPMESMKALGKVKEKQGRVYC >KN540417.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540417.1:28036:32671:1 gene:KN540417.1_FG007 transcript:KN540417.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRRYGLLAVVVTLIVLASLQIQFHHLKEDRLTGDRTFATTTTDPVHWRTGAEGLPRGIVHSNSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWHNLEWSDKAIHILARNQTKWWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFDPRRFLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRRIYDNRASMNCSDGSKGPPCTGWVEGMAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQHMLIENESNQNINECSAMGFQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKIRRQSSAELEKFKERWNRAVREDEEWTDPFDT >KN539179.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539179.1:41305:43293:1 gene:KN539179.1_FG002 transcript:KN539179.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVRSLTSRSRMGIRALTQLQPKPAATVISPRLLSHGGLLRREALPPPLSPYRFFSSGGFESPRTGPSPSSTEPGTDTESCTNKQGPVTAEENNKKCCPRKQASMALGTEIEERKKELLKLMLQMKNIPHCDECFPNKEEQWIRFLQGSQASEQQQQPAFGKSVDVLVIVLYVLNIGFMLRD >KN539361.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539361.1:66141:67748:1 gene:KN539361.1_FG007 transcript:KN539361.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARSLHAIRTALEDLEEHLEFLHTVQSQQRAEQDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALAFVGEVGDKSRFISPEDVRATHNQSGEDVMDSGSNGSSIMGNVLSCSLSLAKNSFQVDKIGSALGNAAAFAVSMFAFLQLHQVAFGSKSPAMDYRRHSYHSGSSLQSGKGKQLEVYLARG >KN539179.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539179.1:10910:17966:1 gene:KN539179.1_FG003 transcript:KN539179.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAVEMSTDEESDGVVICPPDGNNDDREEAISSNNHDNCQEGEVTCVKDPVIDSETQEDKCVNQDSLKLIDQEKSGPPKSPSKPGVSGSDRSKRTVPQPFALSSQRKSHGGNSKAAHPSGNGENSGDKSNSSPASLTKKFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDAPPTPEAANTTAASSRSHRHSIANPKDANRVQCSPKNGVAAKTRAVKPPAYWKLVIIRPQFWTVQSSATLILAKTLDPDSLYKMGLLSYLVTTFASLCKLHPPCPMCTRLDHVFGTVQPGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHSMCESCLLSFATDKKSNLATYRSLVGKLGVGIGNEGFRPSFSLDNSSEASVIKEDTTNTLCSCCSSPLKVKSYPSMVLQNIASAIDTEVNTRHVSRDQLVEEISHVRYNELKTSDSESEPWQHGGVASLLDDAVDNLKEDFTLSHPQTNFAGVIPTDDIAQDQVAKNSDLIQLQNGGSDSKNSQVSAELHHFRADGNANLQSTDFSSKTVQHPTEDSDTTDKSEDDVWHNALDSISELSVTDKPAETSTAENEPKAEFTDRTAMKDSFKAHEDLQLLLSQVSPNDAINIPGVQEQAILNNITRALSLDRNYSGSISESMAIDEAEEHCTVDQLKKQIELDRKSISLLWKELKEERNASAIATNQTMAMITRLQEEKAAMQMETLQYQRMMEEQSQYDGEDLQKMAAMVQELEAEIEGYKTKLRDQSLVTEIRDAMRISCSEECETSMSRTALSLSFFEDEKAYLSKHLKKLRQKLHQFSNNGKFIDPKKIDDKEDIFDVTDSEDVYQDADEDSEMTNSENSEMANVIRNGRNFRYLSNGTEGLTNGKDDPKGQYYAMVSENDLVNFEDEISELTAKLKALEADRSFLEHSINSLKNGQEGKELIHGIACSLRELRKMGITWKDCD >KN539179.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539179.1:132825:135514:1 gene:KN539179.1_FG004 transcript:KN539179.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDEKINTETSLCCQSSLSFSAPLHKVEGRAIYYHIADDKGDVDDDEETRSFTFNGSNLEELAHKLQEETGLDDIIICTRSPITGKLAPLRLQLPPNNAAMHIVLVQESSKDGAYTKSSATFLLIESYRTEDGGIILLAANIVQFRSWVFLKQ >KN539179.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539179.1:2796:3758:1 gene:KN539179.1_FG005 transcript:KN539179.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAATEPEVAAEEAAAAAPETTATAGDSKPAKESKAKKAAAPRKARSTATHPPYAEMISEAIATLKERTGSSQYAIGKFLEDKHKDHLPSNFRKQLLVQIKKLVAAGKLTKVKNSYKLPPTRAPAAAKPKAKPAAAAKPKPKPKAAAKPKAAAKPKAKAPAKSKAAAKPKAAAKPAAKPKAAAKPKSPAKPAAKPKAAPKAKAKPAAKPKAKAAPKPKAAAVTKTKATSAPARRPAKAAKTSAKDTPSKKAAPAAKKPAAAAKKAPAKKAAPAKKAAAPARKVPARKAKK >KN539179.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539179.1:123159:130048:1 gene:KN539179.1_FG006 transcript:KN539179.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGVQRGRAGEAMLHGRAERVRDEQRLWVRAIPISATVQDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAVQAKDADATNEAKDANATNEADATSTAKHKETSHKTDTEPLQLLKKETTLSKEVSISNTAMVKSSEKQRVAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVSYPLPKEEMELHGLERDGCTTDAAAVLFASVTSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAKSSTKDDELANVSDRGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTLFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTPEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKAKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPVIRKVSLQCLCMCTCLEQVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENVEKVRLQKIWKDRRDKLREAAQDKARPLGDQSATDGPDANNRRAFNKGNKRKSHDRSSKLPYPGGGPAEDLSAAGDGGTVESMVEDKRKDQRPAKRARKSNKGTTALDGDRQDETPTADRNRTLSSKHNPADALAKRKNRNDSHSEQKRGRAQRKTKKELAGEGSVDKSLVEQYRSKFLQHGLNKTKG >KN539179.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539179.1:92401:102798:-1 gene:KN539179.1_FG007 transcript:KN539179.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASLEALAKGAVCFSRKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCSMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEDSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCAATDFGQNSEHNTCLVSPATSPASNVEHSNVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAGTLVHCHVDTNDANSCASSLPSISAAVFAEGSPCHLEQQNNFDDSLSFPLPNSVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPEGVSRFQHPESRKSLIRLEQGARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQLETGGFNVLAVIKMDEAGSFHIKNIGKCSIFVNSKEVPSCKRIILSSDSLIEHKGYEVHFSCKPGCRNAIRHTHPEARALDPNWFCSASPYEKLNATLSLIVIDFSATWCGPCRFIEPAFKDMAERFADAVFFKIDVDELSEVARQWKVEAMPTFVLIKGGKEVSRVVGAKKDELERKVNMFISSSSS >KN539179.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539179.1:36848:40192:1 gene:KN539179.1_FG008 transcript:KN539179.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSTDAPKSPRRRSDKGKRSGVKKVTYKRNYDGSVALPMGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGATGTLSSRMHNGFAGETLRIPVPVGTVVKRKKGAVLADLAHPGDEVIVARGGQGGISLIDVPEYRRRKAMALSPNIMRDVSDKVLIHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVDDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAQDRLSSLAFEISSIGCEECDGNNTSEGNLNGNTSEHNTSSETKVEGGEKELRDYPRPQAVVGASVLKHIGIDEMLKEIRAALRKCSNHRLPEP >KN539179.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539179.1:21253:21709:1 gene:KN539179.1_FG009 transcript:KN539179.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDDISGLVFTETNPANYLIKWGQKLSDQLRGRADDSVEVDEAVEQRAAGLIRRHRADLGLNEAEHVGAHAQLQHVACNDDDPVLGVGVGLGLDAGGQSES >KN539179.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539179.1:60800:62569:1 gene:KN539179.1_FG010 transcript:KN539179.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVFACLLILSASGATYIFGIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVISGLINEVTPPWVVLAMGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYVAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPYLPSRRRRADGEASAATSNDAFFCFLYISIALATYLLTMIVVQNQTNFSHTAYVVSATALLLILFLPLVVVIKQEYQIKKELDDSLREPPTVTIEKPAAAAMQMSAITTKPKTETPSSSSPAPAPPSCCLGSCLKHMFNPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSIKTFISLISIWNYAGRVTSGFASEMFLARYRFPRPLMLTAVLLLACVGHLLIAFGVAQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPVGAYVLNVRVAGYLYDVEAARQHGGSLAGGDKTCLGVQCFRKAFLIITAATVAGALISLVLVWRTRNFYKGDIYAKFRENAAVDEATTNGNSADIAAEKKSTLVNDEDSKKG >KN539179.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539179.1:86464:87782:-1 gene:KN539179.1_FG011 transcript:KN539179.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPSYVVLDRVVHLDKEAVKEESEWAIMECRDRKTYLRNDHVGDEVVYGLSLLAQIAEPPDLSKLSIRLSEPPPVQVAARPEEILDDGSSVLDLPKRALNLHTSVQSVADDLIVFTSCLRNRTHRYLVYDAIGKSLSMIPCLPNRCDPSATFQPLPLRAGAGADYTIALLGRDMRCHDVLTGGYDVPFRYIPLPPECHLDPMKNHLRLCRTMNCVKDSIKFASIDMVPPDPDEAMMTTWTLTLATRQWHKDGELRVASLWELEGFKKAGLPKVKPSSPVLSMDENGVICFMLNAAEEGVYMVSLNMHTKNIMSSTRLSSCPTQPLGVEFPKHIQNPVPPKRDRADLEECSTAIKRRLSRT >KN539179.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539179.1:105198:118032:1 gene:KN539179.1_FG012 transcript:KN539179.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAKKVLKTAAGSEVIATAGKRRGSELFCPSPPLNFAMHHRFLLPGSTRSPSPFCTEPGKDTTNKQGPLTVEEKNQKKQEASRAPRQTEEGEELFRMQDEEKLKLLSLIKNLKESEAIKREDPLFDITMKLDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQNDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVIGEEVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENTVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >KN539179.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539179.1:119280:121833:-1 gene:KN539179.1_FG013 transcript:KN539179.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQVASSLLSLVPFSLSSLYCSQSKLLGMNKGQNRCSLATFSSFGQPGISLNNEDLVKDKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLLSFVGIAEVLGWEYRPPIVAQCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTTRSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLVNGGHLGLCRVIINSETIEIEMKDENILLPNTIQENQQLGIEFG >KN539179.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539179.1:48549:52944:1 gene:KN539179.1_FG014 transcript:KN539179.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEEDDAPRAIAVHPSGEEFVCATAKGCRLFKLMTEESSVHLISKDAPPLQSIGPQKCLSFSTDGAKFAVGGEDGHLRIFHWPDLNLLLGEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWNIDEGAPLVNLSRSSDEKIECCCFSRDGNKPFLFCTLVKGHNVVTVVLDISNWKRIGYKRLLEKHISTLSVSLDGKYLALGSHDGDFCVVDVKKMEVLHLSKKVHLGSPISSIEFCPTERVVISTSHKWGAEVTKLDVPTDWKVWQMWSSDTVRCEQFSAVPQPGRAHVWSQHCHTGIRMKQRSAFDWFCNLVKFIAYLSVLDFIDNDDIRVEGEKGQCSGKVPYFW >KN539179.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539179.1:26528:29238:1 gene:KN539179.1_FG015 transcript:KN539179.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MKPAPSNATLAAAACCCFVLAHGDAAAPLSSNPSLHSTNVFKLLTTFTCSLPKIWCIGFIQDACAYSVLMETDRSQLGPIDPRRARFPCCIVWTPLPLISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVARYIQVNSDECYKLLEPEGASTWDDALRKGVQEFQHRSYSLFTCNCHSFVVNNLNRLSYSGHDKWNVVSLAAVMFLRGRWVSTASVVKTFLPFALVITIGTLLGGATFLIGLLAFAAVMTGWFLVGTYCIKSLVEL >KN539179.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539179.1:64295:70026:1 gene:KN539179.1_FG016 transcript:KN539179.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKGEKKKQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSEEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQINVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPDVLAEERGLHASIEGDVKDLLEGKTSTELEEMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPDSIEQDKESEDEIDAKEKDATHVDDDYDDEEDDKRYSPEPIMEQTESHLDEEDGSFSPELMHGNEDEDAIDPEEDKAELTYYLLDTILCKKMNKPMDRKREAVVMEHQKKVQQAIAVKTRVPDEMEMKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >KN539179.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539179.1:30647:34978:1 gene:KN539179.1_FG017 transcript:KN539179.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKVRWYYRPEESIGGRRPFHGSKEVFLSDHYDSQSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEARKLEHFYCKSCIAENGKDLQKSNGATAQSEEKLNQKAPYIYDVCQEVAVEHKHFRCLKLAQN >KN539842.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539842.1:53531:57100:1 gene:KN539842.1_FG001 transcript:KN539842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGSQNGRPRPAKAETIHGLARAGDLAGVQRKLRENPALINDRNPVMSQTPLHVAAGYNNTGIVKFLLDFQGTDKVELEAKNMYGETPLHMAVKNSSCESAKLLLERGAHIEAKANNGMAPLHLAVWHALQSGDCSTVNVLLSYNADCYAKDDCTEYCSYHTLPHQEGKIPSNHIPGGAGNEKLQKLLIRHMEEQRKRKALMSCREGKAMAEFEEAISQIVGLQDLKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGVEALEEIMSVMDNGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFTTTELAEILHLKMNNPTESSLLYGFKLDPSCSIEVVGELIARETTEERRKQMNGGLVDTLLVNARENLDLRLDFNCDDANTMITITLEDLEAGLKQISKQRQLQ >KN539842.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539842.1:27911:29404:-1 gene:KN539842.1_FG002 transcript:KN539842.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVTYASAATASMELVTAQEAIGAMYSDTSDHSKVMHTKCLTVVLDAIGDTGQAMVVFQTWTDAFKDDPTSVQFMDFFSSSMMANIKWNTPMPTKCSVQCLGHGSMALMPTNPFDVNPWPPPTLEKYRSQAVVHMLLFETLFNEELRLERIELKPWPPPTYDGVISGWDSQPMAGPEFKLYWARVRRLPPWPPPIEVSCLALVCHDNVMIFTELKDINLHWGELKPWPPPSQTNFKNIMVQPEQCKYWEIRVEMSIFARKEKWNLLNQKSCTMVAISSLKEHVNGQEQIWCRPWNPGDYKDSLDIIMLITSSILHPYHLDHIVSLTYPEGLDTLVSHEVVQFGRADTACSHQNRHTIVRTTGTFVRPELGTGNGTHILLVSEAEAGYVSMRNLLELTRNARQWHNSMVEYLSWFVDAWMVAKHASNFGWYLGWASQIKNMVKNLLRQANRTSNFDTSILEMNTHVQKHSAYTQVHSRAEVVTVKPETKELLNPEL >KN539842.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539842.1:59132:61649:-1 gene:KN539842.1_FG003 transcript:KN539842.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGCLLAARGIASSPHLARRLKQTENEIVQMFRTPSPRSEDAVEAFLPRYTNSVRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYQHDTSTYMALIHCLELVEQYGEMWKMIQEMVRNPICVVTPMELSQVIRMLGNAKMIGKAITIFYQIKARKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCLPDTVTYSALISAFCKLSRQDSAIRLLNEMKENRMQPTAKIYTMIISLFFKLDNVHGALSLFEEMRYMYCQPDVFTYTELIRGLGKAGRIDEAYHFYHEMQREGCKPDTVVMNNMINFLGKAGRLDDALKLFEEMGVSQCIPSVVTYNTIIKALFESKSRVSEVFSWFERMKGSGISPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLARACMLDEALTTMRKMQEHGCLPDINSYNIILNGLAKTGGPHRAMEMLTNMKSSTIKPDDVSYNTVLSALSHAGMFEEAAELMKEMNALGFEYDLITYSSILEAIGKVDQE >KN539842.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539842.1:66604:68929:-1 gene:KN539842.1_FG004 transcript:KN539842.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGNSKFPLFKTSCLPMSHCLVSNTAVASRPNDGAAKIIQPVVKMCGITSAKDAETALEAGAKLIGMILWPNSKRSVALSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCDLNLVQLHGDESRSLLHVLSKNNRIIYVLNADDDGKLINAPPDEKYELDWFLVDSAKGGSGKGFNWQKFQMPSVRSKNGWLLAGGLHADNVCEAFYALKPNGVDVSSGICAPDDHNEYSGVWRLISFRLLNVENEAVSLLKQAVHLFSMVVVNAIGVKVEIMTKFL >KN539842.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539842.1:20999:25445:-1 gene:KN539842.1_FG005 transcript:KN539842.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast processing peptidase [Source:Projected from Arabidopsis thaliana (AT3G24590) UniProtKB/Swiss-Prot;Acc:Q8H0W1] MVACPRAPLVIFMTTLSFTRGGADGGGGGDDEEDEGTRKGLLPEWLSVTTDDAKTVLAAIAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCINDIVIFKSPPVLQEVGYTDNDVFIKRIVAREGDVVEICDLSIFLCSPCAMSCFMVSSTWHDTPHQLHQAQRQGPLWQLLSHMAVLLWGCWHHSLAGTALMFEILSVDVNAGAISLPLAVARAVHKGKLVVNGEVRNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSIFRYWPPGRIGSTTTDCLIPETNPSSLIDVKLAK >KN539842.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539842.1:63269:65426:1 gene:KN539842.1_FG006 transcript:KN539842.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGGVPRPRLPILPTPGMPLGVPQVPGAPLMPGVRPPILPAPGIPGYPGAPTIPQTGAPPGSMPPGSMQPGSMPPGSMPMQMAPLPRPPTLPPPTSGAPGAPIPNSGAPPAMYQTNPPQPAGPTSGAPPPVAAPPPAAPPQAPFSYAQPPEGNH >KN539842.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539842.1:18069:19276:-1 gene:KN539842.1_FG007 transcript:KN539842.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARMLAAVVCAIMAAAAMAAGGVGALEPSGWVRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTAALSTALFDGGLACGQCYKLVCDRKTDRTWVPCMKKGGVRFTINGHDYFQLVLLTNVGAAGSIKAMDVKGSKSADWMAMAHNWGAQWHSLAYLTGQGLSFRVTITDGQTLVFPNVVRPGWRFGQTFASNLQFK >KN539842.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539842.1:34356:36813:1 gene:KN539842.1_FG008 transcript:KN539842.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELDFEAFFHGERGEDDADAAADQKPGGGAHPPPFAMFSAADLSSFGFADSVTQSTITGVIPNHIWPQSQSLNARHPAVYTIESQSSICAAASPTSATTLNMKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQGACRVPDVLTGLDYASDDPFTGLSPPEQVQMPGGGEVGDAWGWDNHSNGAMSK >KN539842.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539842.1:73138:74366:-1 gene:KN539842.1_FG009 transcript:KN539842.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRMTIVNCRKAADVLLWKDKKTSAAVIGGATVLWVLFEVVDYHFLTLISHVLIGVLAILFLWSKATIFIKKSPPDIPEVKISEDLAVNVALALRTDINQALHLLREISLGHDLMKFLGVIVVLWILSEIGSLCDFLTLFYVAVLMLHTVPILYHKYQDKVDHFAGKAHVELSRQYSVLDAKVLSKIPRGPAKDKKQN >KN539842.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539842.1:40938:48633:-1 gene:KN539842.1_FG010 transcript:KN539842.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHGWFAAVGVSGGPDSMALCVLAAAWKKAGEGRKEEGEGEGEGDASGFVDGLLGVVVDHGLRPESADEAQLVRDRVRGMGKSYLWLNTVFSLFFVTQIYPAPAHIENCDDDWLPSGVVCEIATCEWPDGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKLLLIDMSYLVLHRYVKEHGYAIIDLEKLDPHNVHDIFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFLQNCPNIPFLSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHEQEQYLEKTSFPYLKVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEEHKNYSNALCGKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGSVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >KN538998.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538998.1:109631:109945:-1 gene:KN538998.1_FG001 transcript:KN538998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPCLPPSLFLLHLTHHLAAAATLLVLIDPPPRPISASVPIAIAVGDGGAALPVSWAAPAAIHHVSTTSFSLGDDHTGEAATMDNDDNDEGRPYISSRDSLPH >KN538998.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538998.1:54056:66029:-1 gene:KN538998.1_FG002 transcript:KN538998.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAEETTARLTDGGVLPTHERHASSMGRAQVVPVAEGAAVEGDSPRRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVSLPSDLLCKEGEAGMKGWLVGGFFLRGGEWGAHGSENGNVVRSLVLWSINGGAIVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVSSSMVLSEDSYTPYAVVYGFHNGDIEVIRFLNLLPAAKFGSGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSTIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGVKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTNLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHELHGNSSGKVASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNNSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLEGSSNTTATILITALSFSPEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKLTRHGQELGTFQL >KN538998.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538998.1:114168:115140:-1 gene:KN538998.1_FG003 transcript:KN538998.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPGLQTGGGWGDKAPMVVDELCGALVVAPPPPLPKQQEALQDQQPPPPAAEKTQPTTDQQRSGGDGEGARAGGSRSARRKYAAAAIYIVSVCFSDSCIMWIRSLADDRGVRHACRKKQAKKEVLHGEDDAEAELQVEEDDMAIDDEDNDDVADETISTVPWGTPNSDAIIAASYEWR >KN538998.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538998.1:146109:171083:-1 gene:KN538998.1_FG004 transcript:KN538998.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMKYGTPDSELQNYAVQVTEILQGNASPDPHALACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALTETLSFDKGKIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLSNPMVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDNSLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDGEMSNFPQEKLQGCYIITKEYLINIPRVKPDESGKKVQRIEGSGSRYGQGGLKEFLTLRGAQSLSTDILSMVQSTFKGILLESEISTAQRRAACEGLGLLARIGNDAFTARMARSLLGELITPIDLSYTASVTLSLGCIHRAAGGMALSTLVTPTLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAVSTLRHLIERDPAAMINQNIEENLFSMLDEETDSEIAALVRSTIIRLLYTSCPLRPSRWLAVLRNMGHCRCGGTFHPAAGAEFSSLFSVSYTLAMVMGVMDCLAEVLATSIARNTSEGLSSSGHDPVDSNAENDIYYGADEDNMISSSKQEKTNWSANKFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVAEGVHLSNDWLILKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVLKCYTYQFLRMKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSRINHKPFLDGIQSFLVSSKAKEYLDEVWALILQATALDAAPLEFEMDDSEDTLGQTFISGRSMVKLDLTEFKFLWGLSVLVLCHTQPSMSNSAIKINLDRNNEKKIGGLVVCPGLDNPRPCDQMLLVLSSLTSQVFFSMNFLTVDTCQELLQALTYADCSSAPVVCLFSQIIRLCPDKFFEVEEFVFVALEFYSRYLATILQSRCGSSQECLSNSLISELSVATETMACRMKNEHWWKLMMLLVSTSYQSFQQVPSNLCLSNIISFLQNTLPIMKKYLQERAEPGDECANCEVALGALVSLVAYLCTLCSNRISMLDNKISDSYKLLAKILYFCLGEAIALAKLVDEIGYHGENCTSNELMSGSFRHCTQVVQASLCSTTIQVQMLGVHVLKVSAQRELAEGSQTATHSFMVLFVELLADVFSVIQTALKIRWHSLTTLFLQGCSSKDSVSVIDECLKLLFLFHTLAQSKKSPQEATMLLLDALLMVFYSSSATGSQELTEVNNISKMLFSHFIQIPSAAIHIKDIMLSAAPTKRQQLQDMIRASVTQGQTIVPGHISANSEQNAQGGFSQEPGLNATDADEEKNEKEVSDDDWDDDWDNFQSLPAHGTNNGADSATAASPLPEQGSVASPHDEQIPQVNINQEVGDVDVSDGTTEGLSSFDKDLEEPSTSHFSDTAQQVESKSQEFSCKDHEESPKHPKVHCTGSSVHVTKEGTDDESQQIHGDQFVSKESKNNDLYLSNEIAGSAGEEENDTSGEIRRAAGDALDENIASIDDSNLNNISDGTEDESNKACDKVLVANEKSETVITDSGEKVSASSDEVKSDLYPENVDTKPESSGGEIAESGS >KN538998.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538998.1:47173:49601:-1 gene:KN538998.1_FG005 transcript:KN538998.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDEVVALAHPQYRAARMVVGVSQEHKLEENPRSWPHGRGEGKEERLTGDKVTFTKRRAGLFRKASELSILTGAAVAVLVFSKANRPYALADPSSSLVDDAVLRSRCYAPALGVHDCEPEALRRAADEAKVEVARLRDVVGRWFWWWWEATNVEALGEAELPEFTRVLGRVRAAVVRRRAL >KN538998.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538998.1:135094:138708:-1 gene:KN538998.1_FG006 transcript:KN538998.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMLSTVPSWLPVGMDPLTTYLLEIKLAGDRKNARVEYDWFIFSKVIDSNAMCYKDFIDDIAKSYPWGPNETVTVGYVDMVHKITHHVTTDQDMLAMFEKFVDIKVIPMIIRIHGINESIDELDHTLVKASACVPDTPSLATPSQVDFSQPSSSTLPSHVIVPLDTYLVNPFPMVEHVGVDEEGIYLDEEEAVVGHADETRGEGAVNEVSEDESWATSEDESEDASEDDGPPKKRTKKTNEATSETSIMAAPIVTPIMAYPPRSTSLTGSNQLEQSNMIVALPCLGEETPTVAPKMTKSKTKGKPSCSSTPGSPAMSTRSKNKSPAMGTRSKRKLMD >KN538998.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538998.1:9121:10411:-1 gene:KN538998.1_FG007 transcript:KN538998.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEVEGEGKGKHWMEDNKVGHVSYSPSSLTERHFALRSSSRTPRPSRCGGTLSISTSRGQPRFPRRRYVLLPPGSLAEPPPLLDRICLAHSHSGGVALTSASLVEPFLVEEQRNSPSQDMQPRVRNQGALETGRLEQALRWLSARLLAIDKCAKNQLNREKVQFQQRTGSGSYIAHAYVVVFRSSGDIYLHIVSGSA >KN538998.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538998.1:84832:85476:-1 gene:KN538998.1_FG008 transcript:KN538998.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRGRPSLGRQRIEIRRIDNSGRRQVTFSKRRNGLFKKASELSTLCGASVAVVAFSSAGNVFAFGQPTVDAVLRRFDPLHADPAPAAVEDGGGDVVVADPEELDALRRAEEQTKAQVAAEQARMRDVGDKVTQAMAGRPLWWEADVEALGEAELPEFVRALERLRDSVCYYRMLLKPH >KN538998.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538998.1:96012:96224:-1 gene:KN538998.1_FG009 transcript:KN538998.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIHHAGPLISQWLRSRCLGTESAPWNEVGTTASGGTAGVEAEWRLAANHVCIWRDVMGSDPLRPPLT >AMDW01067814.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067814.1:48:428:-1 gene:AMDW01067814.1_FG001 transcript:AMDW01067814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWRKAWLSVLDRGGGGGGSSGSLHVHLHGLLSPSSSSSSLNGYKRGGGKHGGGGGCGGGHVMTSSKAVLACFSVALVVAFFYVSVASGPAADAASFPSPTGASSSSSLLSWLSSNSTSAAALPR >KN539655.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539655.1:75705:81500:-1 gene:KN539655.1_FG001 transcript:KN539655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAATAAAAAYSFYGSHLAGDHQHEIQAAAAAAGGGGSNKSQLSQMLMASSPRSCITTSLGSNMLDFSNTAAPPELRSHHHNSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKGPVGERNPGLFPEYPGQLLNHNGNTGAQQPAAQPEQQGANDDGKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >KN539655.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539655.1:5755:6921:-1 gene:KN539655.1_FG002 transcript:KN539655.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLAAAVLLLGALAVASAFVDEAAAAGRGLGHGARFMSKQGQPKPEPKPHPEPKPELKPEPKPHPKPEPKPKPKPHPEPEPEPKPKPEPKPEPKPEHEPKPEPKHEPKPEPKPYPKPKPEPKPVPKPEPIPHPGPKPKPKPSPKPEPKPHPKPKPDPIPEPKPKPKPEPKPEPKPYPEPKPEPKPEPKPKPKPIAPPKKHKPPHIPPATEQ >KN539655.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539655.1:50017:51171:1 gene:KN539655.1_FG003 transcript:KN539655.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPPEEQHSPVEEVVVVRRNQKRTKNFSGKEDEGLVSAWLNVSKDVVQGIEQSRCAYWKRIYDYFHANKDFTSDRSQNSLMHRWSTIQENVTKFEGCLSWIGDRKQSWVSSQDKDLSYRRLQKVLMLLQDVSYLRDQKVGRGKVGMLLQDDLDDLLAKKKEADAEKELKKDERYKQSHALEQEKVALEQAKVANETRNLEMRSKELELKTKEIDLKRMLEEERIMTMDISAMSGLQQQYYKSLQEEIVTRRFNSSG >KN539655.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539655.1:43704:49093:-1 gene:KN539655.1_FG004 transcript:KN539655.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAAFYRDREDAAPPSSGPGGGGAMAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFTRLSDRHFRDTPWPPAEAVAAHCDGDHVFLLLYRELWFRHAHARVQGLTPAQRAESWDNYCSLFSVVLQGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTHEEIALLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDINQQGVYTTVIGSHISTIYHYGFASLMMRRYIDGIREFNKILLYILKCKQYHQNSPQYDQLLKKNEQMYALLAICLSLCPQDKLIDENVGTQLKEKYGDKMTKMHRYDDEAYAIYDELFSYACPKFITASPPVLREPYTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSIDSDGKVISSADFDFYIDEDIIHVIESKLTKNHGDYFLRQILKFEEMITQLDKVQFD >KN539655.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539655.1:21704:22966:-1 gene:KN539655.1_FG005 transcript:KN539655.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLAFAVLLLGALAVASAFVDEAAAAGRGLGHGARFMSKQGQPEPKPEPKPEPKPHPEPEPKPEPKPEPKPEPKPEPKPEPKPEPKPEPKPKPEPKPYPEPKPKPEPKPEPKPEPKPEPKPEPKPEPKPEPKPKPEPKPHPEPEPKPKPEPKPEPKPEPKPEPKPEPKPEPEPKPEPEPEPKPEPKPYPEPKPEPKPEPKPHPEPKPEPKPQPEPKPEPKPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEAPPKKHKPPHIPPATDQ >KN539655.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539655.1:57115:62759:-1 gene:KN539655.1_FG006 transcript:KN539655.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLRSPAFSPAKKLPYLDVAGRRFRFGTIGEKKVIIVMTGLGMLNSGVTTQLLLTLFDVDGIVHFGIAGNADPDLHIGDVTVPRYWAHTGLWNWQRYGDGPEKELALESNGDYTRKGQKRN >AMDW01038597.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038597.1:240:697:1 gene:AMDW01038597.1_FG001 transcript:AMDW01038597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWCPPPLPSEHVQQLKSLGLL >KN546027.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546027.1:360:1181:1 gene:KN546027.1_FG001 transcript:KN546027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSSSSDDGGAGGELGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRQVSTPAPPRLLAGLNQQRP >KN543941.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543941.1:176:1635:-1 gene:KN543941.1_FG001 transcript:KN543941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRQKGGRILGVRCIIRYETDDKFFEMDNQTLFLHMPKSSSCKHDLVLPLLQRARLELQRLSMAVQNVVTLWRLEEGNSGFSLYDFSQIRAATDDFASGNKLGQGGFGSVYKGQLPNGSEVAAKRLAACSGQGLLEFKNEIQLVAKLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDMFIF >KN541072.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541072.1:6534:8981:-1 gene:KN541072.1_FG001 transcript:KN541072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLLLLLLPALAAAQAQQMRTFSANDTNWSPAETNRTLVSNNGDFAAGFRPSPSSPAKFWFAVWVNANANESRPVVIWYAYNKDHSAVEGDANSVLSIDAAGKLSWSDNGNTTTLCFGEPTDTLMASQAIPSISNGTTNITLQSQNGRFQLVNALTLQHGSSAYANITGNNALRNLTADGTLQLAGAQLIASDQGSTRRLRRLTLDDDGNLRLYSLQSKKGQWRVVWQLVQELCTIRGACQGEANICVPQGADNITCVCPPGYRPLGQAQGCDPKLNYSGKGNDDKFVRMDFVSFSGGADTGVSVPGKYMTSLTPQNLADCQSKCRANASCVAFGYKLGGDRTCLHYTRLVDGYWSPATEMSTYLRVVESNNDPNNFTGMTTMIDTVCPVRLALPVPPKQGRTTIRNIAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKEFSDVVGRGAYGMVYRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKEQRMLVYEYVPNGSLDKYLFAPGTGTQGEEEENNKRPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFRPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAFEKVYVERRIDDIIDPRIVQAEAYDDDPASLATVERMVKTAMWCLQDRADMRPSMGKVTKMLEGTVEITEPVKPTIFCVQDD >KN541072.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541072.1:29861:30767:1 gene:KN541072.1_FG002 transcript:KN541072.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGTDVVALYGGANGLSHKSGSFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHLVEAQILEAIGVDYVDESEVLTLADDAHHINKNNFRVPFRIAAPYELVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPALRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKIHVERFAARSD >KN541922.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541922.1:58:3056:1 gene:KN541922.1_FG001 transcript:KN541922.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVCSGFFEPGRPGWDSSLENVQENDRSGEDPLKPWLEERGLLRPAGGDLRANDDLYKDDLCCNMNCADSDVIFRFLETTRSPAGFYVRLSRVSFFASQGSDVAKHNVLGLHNQTADAVMFFCWIQKPPLSEQKISQQKQWQMALGVQWQVCTNKIMCYDYANSCHMTHLQTHLNGGEQWHFPYRFHDNRVEFWHHVTMNSLRWLPWLLLRLAPFLCPDTVRTKNFMEGTLLLYGGHEELQMVHEMELLTPYC >KN541922.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541922.1:20487:21780:1 gene:KN541922.1_FG002 transcript:KN541922.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGPATMRAVQYGGYGGGGAALKFVEIPVPSLKKNEILIKIEAASLNQADWRIQKGLMRPFHPKFPFIPVTDVSGEVIEVGSAIHEFKVGDK >KN541922.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541922.1:12347:19950:1 gene:KN541922.1_FG003 transcript:KN541922.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREQKAAAAAGQKKRKAAAVRATARRKLDFDGDRNFSPWGGSVVTSVVGTFLTQNVSDNLSSNAFMTIAARFPLKNRRNAGHHSDNVPLLAQNSGNVPLLLADGHDEQEQCHCQLQSIAQCSSGSKSGVAEPGDVSQRAEQTECPDKDLEAIMSAIRSGDISNFDDDHIQKVLKARFKDSTPPPPSESSSKKKTISTAETIFKDIKSIKKNDTSRWHSLYDEARNRGYIRDDDIPDMVDWEALMNAPFADVVDCIKDRGQHSQMAFRILAFLIRMKRDHGNIDLEWLRFIPRAKAKQYLHSVIGLGHKSVDCIRLLSLRHRAFPVDTNIAHIVTRLGWVQLRPLPSSQEFHRVDNKTAICRKSKPNCGACPFTSECKYYKSQFGRAALALPEYSQQDATKDANMDDLAKIYDLTFKAHQYQIEYGKNTEMNYCEPVIEIPPTPLHENRGETSDEDDENEYYFDDDMEDIGRHDYDMEDIEHDYDMVVDLRSAKPTTNTSQAGATPGKEMIPINPRAKSTPMVKKFSLRTEYTACIIPDGHIILKKVFADHSSSRSPIEINRDLVWELRRQTCIVHFGTRVHSVTKGYICTREFDRRTKFPKQLCVEIHATNVNKDIGKKRARPSTTRFYSEEDSGDEWSDW >AMDW01037087.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037087.1:4:601:1 gene:AMDW01037087.1_FG001 transcript:AMDW01037087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRILDLEGTSGLKDRDLSQIGNFLHLKYLSLRGCADIYHLPNSLGNLWDIQVLDVSGTSVIKLPKTITKLKKLHYLRAGNIRKDDATSSIELKESSDLSKMEHKPIDDLEIPDVEAKSVQFGTEVLDRTTSYCTKTTQNTDNVKKRDIFHKYCKVLLPSILQGLDMYGVKAPKGIGQLNDLHTLGVVNVAAGKVIL >KN542307.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542307.1:14588:14896:1 gene:KN542307.1_FG001 transcript:KN542307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPPRLRLCRSIPSETLAAGDASWRCAIIEMLGVLTVLAAVAASTSRRDQHLTVAGASRYNRRAARGEHRSAIAWCPHVIAKSSHDPFASAGRGRRAIRP >KN539623.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539623.1:35480:44940:1 gene:KN539623.1_FG001 transcript:KN539623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRRRDDEFVAVWVALRHGLSGRIRQIRKRLDEISANRAAYNIEHTPSPAWATHPSSAAATALAAWDDLEEYTVGFDKYSNMLKEQLIDDAVTGRAIVSIVGESSIGKTTLGRKVYQSPEVRNHFEIRTWTVLPPNIAASDWNSLRASLPDEGNGSRVVLITDMAGLEVVTYAAGPTMNSPIKLERLSPENTYEVFRRRVFGRGGDCPRQHRSRYYQKIFQITRGLPLSIVVLAGVLRSKEPPAEWDESKNGNSGRIMSLAFDDLPHHLKSCFLYFAAMRESATVDAQRLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVDKDEFGVVQTVVVDDGLHAFAQDEAQEASFIESHDSTDVLAPATVRRLAVQNSSERYVHLSNALPKLRSVVCDLVDGRNGGGGGKCIQCTDLGFLHASKFLRVIDIHGLELKKLPNEIGSMIHIRYLGLQCGQLEKLPPSVSNLVNLQSLILKGRNAGHVLDVTAAFWRIATLRHVVAPFALPKVLGDLHSLQTLHGVQHLCWDTRGGGNPLGTSTNLRSLELSGLLAKHAAALTAALESLDLLVHLMLDGESLPSTVFTIPSLRRLQSLKLRGSMDSPEGPGGGDDDDDDEATADDGVVRYIRPNLTRLSMWSTMVGQKFVDMLGELPSLSELTLMLGLPELEEWTVRAGAMASLARLTLFGCLKMRMLPEALAGIPELEEVVLYRMPIMVERIKKHGGEDHHKVKHVPVIQTICFYVYTFYGNISWANGHNERVDLSRPLSDPLALSMFLTSGIWGILNAQARLHNMNAGFSPQILAQKLLKLNNSRQTPRERRVSLLYLANDIVQNSKKDSGRYVNEFWRVIPAALNDVFVNGDDFGRNVVQRLFAALVNPFSWPYIALRTPWCPPKCHMASWAS >KN539623.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539623.1:22731:23548:-1 gene:KN539623.1_FG002 transcript:KN539623.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPPGFRFHPTDEELLLHYLRRKVMSRPLPADVIPVADLARLHPWDLPAPFFCSTNVF >KN539623.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539623.1:50266:56188:1 gene:KN539623.1_FG003 transcript:KN539623.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCVMTTGDVWEGGEELEQGEAMTGLKVDIWEERNIFGSHGQSLKEDYSRRFKEFKSKSRNSSGELLEKVISCYKHMLNAHVDDDTLMRKCQNALSFVDNLSNEYENNSILGEDIFTRRFCIIPFSISVLMHCRSLDTSFSKNFPGVVGMSIRHLSYCSNGSGFVEELQEQHNILRDSIEQFKTSELLRGNLISCLKEALHEQEFKMERARSQIKEVQSRYKKADDLCQKLGIHVERQEQPNHGPKNSGSEMHGSFGPDSANASSFEKGQSSAVMYSQENGGEHEIPNGVFSSRATKDNIEQKLEEHSTNKRQKLQNDVYVSRPRSPPPPLPSDAFEQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTGSFMPIPSAPFAGLPVPAGPMTAVPYNSYPVFPPMNYPMVNIPHPFPSAPNTPPGFQGLAGPFYGPPFPAPPPPPPPPMNRK >KN539623.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539623.1:87230:88064:1 gene:KN539623.1_FG004 transcript:KN539623.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYRPEDKAAKKERLLKRAQAEAEEKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHNKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >KN539623.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539623.1:8309:11898:1 gene:KN539623.1_FG005 transcript:KN539623.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIALLRRLGDHPNIVRLRGLVTSRLATAPSLYLVFDYMDHDLTGLAAAALAADQRFSLPQHAYGKKKNNCLLIGKKITTLAFCKEANKSDRQNNHNSVDPGVKCYMKQLLTGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSFDPDNKEQPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVLHKYFREFHDLEMKLLVIQVEQLHKIFKLCGSPSDDYWEKMKFPHASFRTYERCIAEKFKDVAPSALSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKEIDVKLKYEKHKRKLRANGSVERQTTTRKPMSQNPGRRVFTPDVNNKPQTKPNIPRLLTSTSTTKLERFPPPHLDASIGFSLDSSADVSTEQFFTSSVVELKKMPSLIFGHMKSYLNSPKKNTHKAKPGLSMPPSAVLIGAFKPYSLGHPMEVRRKNRDQFRTKGRNSVGAVK >KN539623.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539623.1:76591:83285:-1 gene:KN539623.1_FG006 transcript:KN539623.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSKLKDIPNIQFKLSKRKADENLQSLHVLMYGRKSNVHFLKRNISQFSGFVWTDNQEKQRTRIKEKLDKFNKEKLLDFCEILDIHVSRAATKKEEVSAKLLEFLESPCITRDVVLTDDKKGKKRGRRSKGNGQATEGASDGKKRRKSRKQSTEAAKENDDEDDEGPAGSEDASMGEEDDEDSEAKDNAGSDEEPDEPPAKKKATDDKQTKKAKEKDATAKKASTRPAKGVSKPSQDTEEDDEPEVELESKKVVKKVSKSSKESDVTVDKTTKKASKSKKDEGKDGQNNNSGALNTKARKKDAAKTTNKNKGKGKGSTEAGAAPTTEELHAVVSDILKEVDFNTATLADILRQLGTHFKMDLMDRKSEVKHIIEEVINSMSDDEEGEEDNAEDDKDKNAKEENSKEDADGDENEFGIFGSCVNSHLPTLPGCSGGFVEEMISGISGTNMGSGAKGHLYRGAVLQFMQC >KN539623.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539623.1:686:976:1 gene:KN539623.1_FG007 transcript:KN539623.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding YLRDYSTFSATARYWTEAFAKSSSTGMEEKVQKLVEMGFPEDMVRSVLKSVNGDENMALEKLCSG >KN539623.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539623.1:27508:30008:1 gene:KN539623.1_FG008 transcript:KN539623.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASSGGGGGAGAGGDGPLVYQRWKGNNVFVLQGRFIFGPDARSLYVTMFLIIAPASIFCVFVAKELMNNFSYGLGLPVMISAVVFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGLDGNAEVGSNQTPPMRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNSEQITIWKAMAKTPASIALLVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKDIFFSAIPASKNNFRARVPIPQEQGLRPRPTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLGNLLEDKDGRFRSASPDLSRDALAIGGLDDQGSSVMNPGRTSWGVEAGR >KN539623.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539623.1:88841:89856:-1 gene:KN539623.1_FG009 transcript:KN539623.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPPTAGVRVFGGLRYAPPDGLVVDNPLLAALIRAVYDLWQMTMEMLRVVGTLYMVSTVLLYIFGMVTALKEYEMLVALSIVVVMQPFFVLMWIAAPFLRTVAIVKYALGLPDDSNNVKKITTGRMPALA >AMDW01040853.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040853.1:1020:2198:1 gene:AMDW01040853.1_FG001 transcript:AMDW01040853.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGESLNIGYLSPYLKALGSDYSNGANFAIAGSATLPRDTLFSLHIQVKQFLFFRDRSLELISQGLPGPVDAEGFRNALYMIDIGQNDVNALLSYLSYDEVVARFPPILAEIKDAIQTLYDNGSRNFWVHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVTFNAALGSLCDQLSAQMKDATIVYTDLFPLKYDLIANRTKYGFDKPLMTCCGYGGPPYNYNITIGCQDKNASVCDDGSKFVSWDGVHLTEAANAIVAKGILSSDYSRPKIKFDQFCKV >KN539623.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539623.1:31172:32680:-1 gene:KN539623.1_FG010 transcript:KN539623.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFNSKQELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNAAMLRSNDLEEAIKAFLEKRKPVFSKL >KN540592.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540592.1:6294:10908:1 gene:KN540592.1_FG001 transcript:KN540592.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPHVSSDSDDDRPVIRLFHRQKPVHKILGGRKVADIMLWRDRNLSAGILAGATLIWFLFDVAEYNLVTLLCHIALLGMLVLFIWSNAAPLFDRAPPRIPEVIVSEHAFRELALTLHSKVAHFSAILYDISCGKELRKFLAVIGSLWILAVIGETCSFTTLLYVAVIIGYGHRKAWNCHATLVTVTYILDQSYSFSPTPIEHISPPGYKRFYMMKESAMEENSVGSGSGGNAMDIFGQSIDVRRPSKSRRRVVSHKNLSPEMEESVGSSRHKLHRRKAIAEDQEQARAESELSRAMNMAMELDRQSEQTNAKARSRRSELQRQRTRASGGSSRRKTARGLAAEAAGGAPAHHQEGVGTAYGEVMQELDRVKEELRKLQREVMAAMAAKGTAGRRDAEAEASTSSAVVRAVAEMKAAAAEAWAEARRASEKEIAMRAEAIERELGEASAADAEATNTSRRMPFSSAATSRMAKSRRMPSSSAAAAARKPRSPSSSVKRRTRRVLTLNCLKLLAGKCRGQN >KN540592.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540592.1:46727:47749:-1 gene:KN540592.1_FG002 transcript:KN540592.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFTPQVAEPTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >KN540270.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540270.1:11404:11703:-1 gene:KN540270.1_FG001 transcript:KN540270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQPLNAIHAVDELRIGVRVPAAPTTGHGVVSSEEIARVARELMGMDMDGEGESGEAARNAAALAAKAREAVAEGGSSWKTLEEMLATVCLPTEPSE >KN540592.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540592.1:17613:20841:1 gene:KN540592.1_FG003 transcript:KN540592.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFQGISLLRLSPLFLYQDAATAAGDVAGAGSHSAGSPAPVPENPRDQGLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIPKYNNITRGVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVVLPLLIAAMVGVSRVDDYWHHWQDVFTGGILGLVVASFCYLQFFPPPAGEQGFWPHAYFEHILHPEVENQVQLTATSNHHQGLDMRTNNQSLDSMEEGRRAR >KN540592.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540592.1:11233:13225:-1 gene:KN540592.1_FG004 transcript:KN540592.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MREHISSSLMDSPPFPDLLPPPPPSGRHRHGYRHPHVGAAEEEIMMLRTRLLWGRFGMHDQHQDWRLDVDNMTYEELLDLEDRIGYVSTGLHDDEITRSLRMVKYSAFNPKHFATEVERNCSICQEEFEANEETGRLICGHSYHVQCIKQWLSRKNTCPVCKTAISKT >KN540270.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540270.1:48680:52569:1 gene:KN540270.1_FG002 transcript:KN540270.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] MLYYGCFAGFPSATPPAWTPDPEEAVLTNVGRVLEARGREFLGDKYKDPIASFTDFHKFSIENPEAYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVLNAAANCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRLKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMTIVIPVRGSTPIKGLRADDLSWEDFLAKVNHAKADNYTAVEQPAYTFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIRRGDVVAWLTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFAQDAKVTMLGLVPSIARSWKSTDCTAGFDWSTIRCFSSSGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNNGNPLPQDSVGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTPDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDSILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >KN540270.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540270.1:36498:37703:-1 gene:KN540270.1_FG003 transcript:KN540270.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVGDSWVLRTDPVSPTWHSMRGISDDGVGEVAAALRKDVDALASSPITTTSSYFYGKAIARAARFAVIAEEVGCPDVIPAVQRFLKATVTPWLDGSFQGNGFLYEPKWGGLVTLQGSKDTGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMPQAYSMVADFMTLSRKHGASYTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLSYGDTHLVSIGATLTALEMLAAQTWWHVREGDTIYEDDFTGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDISFVKELVDWTMPALSREGVGEGWKGFVYALEGIYNKESALQKTRALTGHDDGNSLTNLLWWLHSRGTADDGVVGFSRCCWYRQYCH >KN540270.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540270.1:15071:15550:-1 gene:KN540270.1_FG004 transcript:KN540270.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEGGREEGEAGEQHREQRRKRGEGRVEDVVGIELGRQRAGSGTPTPTRPSSSYHPPPRRAPMPPHSCNEEPASPPPFTPSRLVVLPPKDVAACLTELRNQIHFGHIISATTDATTRHALATESSPRPRRLQHCATSCRCRSTARIRREGRGAGDGGG >KN540270.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540270.1:56639:56914:1 gene:KN540270.1_FG005 transcript:KN540270.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAKLVGGIKARLRRRKMLTAAAAAESSSSSSSSSCYDKMEKTNSMKVEITSRRAQKLIAKNLAIVDAMVAGSSSSNNSSKAKKRAFFP >KN541956.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541956.1:519:1719:1 gene:KN541956.1_FG001 transcript:KN541956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQVEAWKPIVDAVHRKGALFFLQIWHVGRVSNTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDFMDCFDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFELNAPLNKYDRSTFYTQDPVVGYTDYPFLEEIDEESTTTYA >KN541956.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541956.1:18255:20225:1 gene:KN541956.1_FG002 transcript:KN541956.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTPYKQGGGKLDLSHRVVLSPMTRCRSYGNVPQPHAALYYTQRATSGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRADEYGGSLENRCRFAVEVIDAVVGEIGAHRVGIRLSPFLDFMDCVDSDPEALGSYMVEQLNKHEGFLYCHMVEPRMAIVDGRRQIQHGLLPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSYGRLFLANPDLPRRGKSREKGYLCNFPL >KN541956.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541956.1:7737:12365:1 gene:KN541956.1_FG003 transcript:KN541956.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQAATEAAIPLMAPYKMGRFELSHRVVLAPLTRCRSYGHVPQPHAAVYYSQRATNGGLLISEATGVSATGEGYPEIPGVWTRQQVEAWKPIVDAVHRNGALLFCQLAHVGRASTNDFQPNGQAPISSTDKQITPDDSHMVYSKPRRLRTDEIPQIVDDFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDSANDRTDQYGGSLENRCRFAVEVIDAVVAEVGADHVGVRLSPYVDFMDCFDSDPEALGSYMVQRLNKYPGLLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLGNPDLPRRFELNAPLNKYDRSTFYTHNSVVGYTDYPFLEEKKEDSATGYPETPGIYTQQQVEAWKPIVDAVHRKGALFFCQLWHVGMVSTNEYQPDGQAPISSTDRQITPDDSGIVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFVVEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNRVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNRSTFYIQDPVVGYTDYPFFDEKDEGAATYA >KN541956.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541956.1:4050:5811:1 gene:KN541956.1_FG004 transcript:KN541956.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRSKSSPRLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNLARLPPVSPAAATRVDLVALPLPRVDGLPDGTECTNDVPSGKFELLWKAFDALAAPFAEFLGAACDAAGERPDWIIADTFHHWAPLVALQHKKNAGRACHVTSGGRRQASSSTMARRACPMSVAKRCALAMERCTLAAMRSCPEWEPDAFHQVAAGLKNNKPLIPLGLVPSSPDGGRRRAGMTDNSTMRWLDVQPAKSVVYVALGSEVPLPLEQVHELALGLELAGTRFLWALRKPHGVDPSDVLPPGYQERTKGHGHVAMGWVPQITILAHAVVGAFLTHCGRNSLVEGLLFGNPLVMLPIFGDQGPNARLMESNKVGSQVRRDDMDGSFDHHGVAAAVRAVMVEEETRRVFVANAIRLQELVTDKELHERYRRVYTTACFSRRGWKLQYCRPSSFQLRLFARGFCLCCCNSCRKIYVHHILM >KN538968.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538968.1:100553:107761:1 gene:KN538968.1_FG001 transcript:KN538968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLVVVVVSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHDWTLMRSGNQGVPTTSEWLNEVLPSGCRVGIDPFLFSFDAAEELKDAISEKNHELVLIKDLNLVDEIWGESRPEPPKERTRVHGIKYAGVDVPSKLSFVRSQLAENGCNAVVISLLDEVAWLLNMRGSDVPNSPVFYSYLIVEDTAATLFVDNNKVSEDVLEHLEKAGVKLKPYEAILSDVERLAENGAKLWLDSSSINAAIVNVFRSSCERYVKKRGKAGRQIGKESSQGDPATGSSGVQNGTVNALYKVSPATLAKAVKNEAEVEGMKSSHLRDAAALAEFWCWLEGQVRESVPLTEVQVAEKLLEFRQKQDGFIDTSFDTIRGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPTPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLVKEVNLPNSFGGVSYLGFEKLTFVPIQSKLVDLSLLSPSEINWINEYHDEVWKKVSPLLSGHSLDWLRKNTRPL >KN538968.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538968.1:53104:54962:1 gene:KN538968.1_FG002 transcript:KN538968.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASVFFLGGTALCAGAANLAMLIVGRICLGVGVGFGNQAVPLYLSEMAPPSRRGAFSNGMKAFIFFFFAIWIVIMAAFVFWLLPETKGVPIDEMVDTVWRRHWFWKRFFADAGDGRIGNC >KN538968.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538968.1:9191:10935:1 gene:KN538968.1_FG003 transcript:KN538968.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVLIFSAYVVVMSFFLCSVHAKVNMDAASHLTSGDDSPRGKSLESKKVVFDVRKHGAYGDGQHDDTKALAKAWAAACSSSQPSIVLIPKGKRYLTKHITLSGPCKSSITFMIEGDLVAPPRRSDWSKETIRHWIMFNGVSGLTVAGGGTVDGNGKIWWQNSCKTNAKLPCTEAPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTDVRISSLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIDTVRLYGTTNGARIKTWQGGRGYAKNIVFQNMIMENVWNPIIIDQNYCDSATPCKEQTSAVQVSNVVFKNIRGTSASKEAIKLDCSRNVPCQGITLKDVKLTIKGGGSDAKSTCRNAKWKKSGTVIPQPCAFSN >KN538968.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538968.1:175234:175609:-1 gene:KN538968.1_FG004 transcript:KN538968.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRLWVRAHSERRNRRGMYILYKDVQSCQDEDVHVLWSILIDSHRHPALMKLKL >KN538968.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538968.1:425:4987:-1 gene:KN538968.1_FG005 transcript:KN538968.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQSDDNVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVGSVEERTTHAQGRQEVTTSRSESTSHAVKTCVGSNHHTLNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYADPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVDDVRPYIARKFNLREKVVISINAEGLVW >KN538968.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538968.1:168401:173802:1 gene:KN538968.1_FG006 transcript:KN538968.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAASAAAAPVVLVTNDDGIDAPGLRFLVGQLVAARRYRVLVCAPDTDRSGVSHSITWRPALRCKRVDIDGATAFAASGLVVSGINVGNNCGCHVIYSGTVGGAREAFLYGIPSLAMSYDWVASQSSVNDLKVAAEVVMPLINTVMTEIKNGTYPQGSFLNIDIPTDAAHHKGYKITKQGRYMARIGWEQTVYKKPAVESYQTANMDVDSEKDSEVDTSSENDLLFKRVLVRRSYDEEEGDDIDHKCLVDGYMKEDERGLSPKVHLGPTSFSSDQDTFVAH >KN538968.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538968.1:136588:144177:-1 gene:KN538968.1_FG007 transcript:KN538968.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVKRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSVWDMSKIGQPSKAFRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >KN538968.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538968.1:46557:51202:1 gene:KN538968.1_FG008 transcript:KN538968.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol-C-24-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13710) UniProtKB/Swiss-Prot;Acc:Q9LM02] MSRSGAMDLASGLGGKITKDEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGVKPGMKVLDVGCGIGGPLREIAKFSLASVTGLNNNEYQITRGKELNRVAGVSGTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTQQCLQAAKDAGFEVIWEKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLVRKPISE >KN538968.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538968.1:13857:18925:-1 gene:KN538968.1_FG009 transcript:KN538968.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKEFGDGGSTPPRTPTARCMREGKVGDHRSAPPPAAVAGSVREGQASDSGSAPPLAAIAGFVREGEADDDRMGTSVMTNVEGSKADEDGDEVGEEGRAGSLCSIVADVKEPEADEVDNDGIEAGRAQDDGVAEDSNEVGGESDDENNGGDNEGVLTTEAGECVRDAEEEEKWVPVTKLGRLVKEGRFSKIEEISPHSLPVKEHQIVEQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGGGAGLVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPTEKIEA >KN538968.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538968.1:64306:64776:-1 gene:KN538968.1_FG010 transcript:KN538968.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIVACNATARFDPLSQSYRQYQQQSHLLLQQQVLSPCNEFVRQQYSIVATPFWQPATFQLINNQVMQQQCCQQLRLVAQQSHYQAISIVQAIVQQLQLQQFSGVYIDQTQAQAQALLALNLPSICGIYPNYYSAPRRIATVGGVCY >KN538968.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538968.1:121092:123767:-1 gene:KN538968.1_FG011 transcript:KN538968.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWVMAAAAALALWAAAAEGARSPAARVHRHLKRLNKPAVKSIESPDGDIIDCVHLSHQPAFDHPLLKNHTLQMRPAYHPEGLYDDDKRSVASDNAGEKPMLQLWHQKGRCPEGTVPIRRTKKDDLLRASSLRRYGRKRHTATANPLSIDPNMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNIAGSQYDISILIWKDPKEGNWWMQFGREYVLGYWPSFLFSYLADSASMVEWGGEVVNSEPDGTHTSTQMGSGRFPEEGFGKASYFKNIQVVDSSNQLKAPKGVGTYTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >KN538968.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538968.1:148154:150187:-1 gene:KN538968.1_FG012 transcript:KN538968.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPIGPSPSNVPQASRPNPNYPKPSNRTVSSLVKPNPSKAPTTSEKRESVEASRSFSKMLSSLSAWLVNPRRNPLARLHMNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPADAQVEQDFAIILGSSGSVPHSVCMYAKQTPFRGYLSDMLALVKKESAEREALGALPLYQRTIP >KN538968.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538968.1:151392:159541:-1 gene:KN538968.1_FG013 transcript:KN538968.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT3G04870) UniProtKB/Swiss-Prot;Acc:Q38893] MAATSRAPSTAPASFSAAGGSRRRRRCPNPRVRVGVGVRCSLDSNVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHSHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGSRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSRGLELTWSSVVKIGQSLYRESPGNDPFRPDQKTPVKNFFLSGSYTKQILSRKDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLIVDDSEKAMGCRVVDDDLQKGDEGLAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDGI >KN538968.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538968.1:58869:59339:-1 gene:KN538968.1_FG014 transcript:KN538968.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIVACNASARFDALSQSYRQYQLQSHLLLQQQVLSPCSEFVRQQHSIVATPFWQPATFQLINNQVMQQQCCQQLRLVAQQSHYQAISSVQAIVQQLQLQQVGAVYFDQTQAQAQALLALNLPSICGIYPNYHIAPRSIPTVGGVWY >KN538968.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538968.1:23186:26995:1 gene:KN538968.1_FG015 transcript:KN538968.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MLGLVGSALVPALCPAPCCAVAAASFLNLSNNALSGGIPDELRALRALTELQISGNNLTGAIPPELAALPALRVLSAYENSLSGPIPSGLGLSSKLQVLNLHSNALEGAIPSSLFDLGNLQVLILTVNRLNGTIPDTIGRCSALSNVRIGNNRLTGAIPASIGDATSLTYFEADSNELNGGIPAQLARCANLTLLNLAYNRLAGEVPDVLGELRSLQELIVSSNGLSGEFPRSILRCRNLSKLDLSYNAFRGGLPESVCNGSRLQFLLLDHNVFSGGIPVGIGGCGRLLELQLGNNNLTGEIPAEIGRVKSLQIALNLSFNHLVGPLPRELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIEVNLSNNRLSGAIPVFAPFQKSAASSFSGNTKLCGNPLVVDCGPIYGSSYGMDHRKISYRVALAVVGSCVLIFSVVSLVVALFMWRERQEKEAEAKMAEAGEVVVAAPQVMASNMFIDSLQQAIDFQSCVKATFKDANVVSNGTFSITYKAVMPSGMVVCVKKLKSVDRAVIHHQTKMIRELECLSHINHPNLVRPIGYVIYEDVALLLHHHMPNGTLLQLLHNVDNPDGDNQKPDWPRLLSIAIDVAEGLAFLHHVATIHLDISSGNVFLDSHYNALLGEVEISKLLDPLKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPVDEEFGEGMDLVKWVHSAPARGETPEQIMDPKLSTVSFAWRKQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS >KN538968.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538968.1:89125:96877:1 gene:KN538968.1_FG016 transcript:KN538968.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKVLPACGSLCYFCPGLRARSRQPVKRYKSILAEIFPKTQDEEPNERRIGKLCEYCSRNPLRVPKITVSLEQRIYKELRSEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCELSQEVGEDEQTIALRAAALQALSAMIWFMGELSHISSEFDNVVQVVLENYRPQKMQNDGQVTKDSSDQLEQEAPKTEDSKAEDSKTEDSSPFVISAVPLWENIVNHIEHKTMVKQQEMQLSIVEVAATLAEQSIAQASAATIGAISDLVRHLKRTFHITLGSKDSELVKWNEKFRKAIDDCLGQLAKKKVYQGYFVEQVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNITYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVAPSIQASVSGQAKKHDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGDSSGLHSISEESSNAKRSNLPVSQSRRRSMKIPNFSMKRGPSMAMRAPSVALRAPSISLRVTSMSLRAPSMSIKADHGSSSHPEDEMESVLLKLSSRQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGAKASVFEALTQSFQVAFSLRGYSLTEPAMIIFSSRTFNVLPLIPICKQMLNERTGDPFLRLVDESKLQAVKDSVDDPSKIYGSPEDNTNALKSLSAIELSESQSRECIVSTIMNNITNMLEAELHSVKNQLLSDFSPDDMCPTSTHFFEATGDNSSPGSHDNDHHPEAVLIDLGNDHDIFGEASESTAASANAVPVTDLLSIDQLLETVCLALLNSFSMFLLYSSDEVVTDPAPHTERVSVSTDMPFKEMSSQCEALTVGKHQKMASFMSFSQDMTMDPMATNQPFQTDLSLFHDPYPPQVGVPNTNPFVDDNLYGYPQYMNMNEANPQPTYEQAQQHFLRLPASSPYDNFRRAAGC >KN538968.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538968.1:30295:31968:-1 gene:KN538968.1_FG017 transcript:KN538968.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFLLLLLPLFSSAATAIITPPPPPRAPTQTADGVLVNGNFAMSPRKMNATVIVGRDSLPGWALRGRVEYVSGGPQPGGM >AMDW01020075.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020075.1:51:255:-1 gene:AMDW01020075.1_FG001 transcript:AMDW01020075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RELAEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSA >KN547763.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547763.1:87:460:1 gene:KN547763.1_FG001 transcript:KN547763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVAIRVLGVADSSSLLVADDLHSNGFDDALLADLCAAKSAGSPLSSLLARGQCQLFNNPEARRKVIDTASVLGKTTGL >KN542274.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542274.1:582:10710:1 gene:KN542274.1_FG001 transcript:KN542274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative GTP diphosphokinase RSH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/Swiss-Prot;Acc:P0DKG8] SSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSSNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFRSWSTSADPTGRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVRSVCKELYSIYKTALKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDYEQSIPSSENKDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKVNGKHNKTVKELGIKINGSTFRGDSFTDFIHPGVSSSKEVLPSVDNWKAGMVAEVSSALTACGITICSCVAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >KN543027.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543027.1:8348:10977:1 gene:KN543027.1_FG001 transcript:KN543027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGVAGESNDDDGSTKRGRDATPSIQKRLTLFALRLAVLEKAASGLGMLDFMWATVVLLGGFASALKITDFWCVTVILVGSGARVFGRSHELEWQGEATWRSPVSSAAGLVFYWMQLLSASACVALSLVRLDARDRAAQFQRQIVAFMKQRAWHAPDVSLLPYTGWVFVSKKIGRLLNWLQVLSAFTCVALSVMRLWRHDFGDEPNKRPALLLFYTLALIEALLFLLEKAYWTWKISVRKLLEKVSGECELGAYGHVSLTRFFYDAYSRCINGSIFDGIKMDLVTFAEELILSEFPDEQLIGVRILQQFADAKGSARDTVRKVGTSARSIERLVEMLNWKRPEEEEVRWCAAQVVSKLAGKRQNALRVSGIPGAIESVMSLLYAGWSAPASAAPHDVSPAARRSYDHPQFNLLGLLILKRLARDHDNCGKIGNTRGLLAKIIEFTHASPALLRNTLAPESQVRAVSLALKVVKILVSTTGGTGKILRREVAENVFTVSSLRVVLQHGQQHRALQKLATDILSGLAMDDKGKQVIVGTGGVVKQLLSIFVDAETELGGEAGEALAMLALESEASCAAILKRDDVLDHLVSALEGDGGARRLNAARVLRNLCAYAGEKHRPRLSAVIKAMPVVLKATMTGSDKILEVSVGLTTEICKFIDGDRFADELRGAGIDERAYVERLASILREHRYPVIRVPRMRRFVVQQ >KN541050.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541050.1:9941:11467:1 gene:KN541050.1_FG001 transcript:KN541050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVKIGTWGGNGGGRVDLSVLPRSLKSVTIRSGAAIDAIAFTYVGTDGKEHLAGPWGGGGGNPTTGISGTFTNVVTNLKIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRAGALVDAIGVYVHI >KN541050.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541050.1:27281:28507:1 gene:KN541050.1_FG002 transcript:KN541050.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSDHDEWDRVEQFDMSNQFSNRLNELDAAVGFRYYWVFVRHPRWRPDELPWEQWTLSAEVAIQASEEQWLDKWNLMGRLGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQKNFYSQLRPLVDPVTENQFLFDLEHDGQVIRTTYFGGLCRIVKASPKAYVDDVVNAYSKLSDADKNRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDDNESDDEGGDESGTEVVDWVEDDGFDEGGDTDDDEEPGYDDDKVIDVREEVETEEVESDNESEKYWDEQWKQAMKSSDKMEKLVKTSIEASNEYNRRQMQQAKEMELRMARANTMVMKQEQTEDEDEQQEQIEDEGEQQESPRGRSAKDKRKSKAPGHFLRAAVRPFTYRNLVKEIVLMRHFIVDGEID >KN541050.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541050.1:18875:21447:-1 gene:KN541050.1_FG003 transcript:KN541050.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGIRLKLMWGKPQAPKPEEDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGTEGQQQAPSGSYYFNIPAPPGAERTLYPSMDPQRMGALVKSQEGDGKPGPQQAAQAQASSSSGQSYPMPPPYYHGQYPPYYPPYGGYMPPPRMPYPPPPQYPPYQPMLAPPAQSQASSSQQPGPSMQQQAQAPPQQQTTQN >KN541050.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541050.1:12867:16547:-1 gene:KN541050.1_FG004 transcript:KN541050.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKITEIVMQHTKAMKLCYNKLSSETQPMLLLLLFSLAATLQFHAKAVALPGSSCPKKCGDVEIEYPFGIGNDCAREGFKLDCNKTEDGRNSTPFFSNMPVLNISLQKGQVRMKKYISIMCYNRSSGKVEPKPIGLDLRYTPFTFASMENTFTVIGVNTLAYMLGSTYVAGCKSQCSPHSNATVTARDGVCTGAGCCQSSLTGNMSYHQVYFNIEYNTSEFYTNTSATDHAEYCGYAVMMESASFTFRTVYLNTTAFWDENNGRVPVILNWVVGNETCDVAKKKAASYACRSNNSRCIDSTSGPGYLCNCTEGYHGNPYLPGGCEGLSLGAVILVIIIACTYLIYERKKLANIKKKYFQQHGGMLLLQEIGLKQGTAFTVFTAAELMEATNKYDEKNILGRGGHGTVYKGMLKHGQPIAIKRCLSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVPNGTLFQFIHFNDGSCNIPLFTRLQIAHESAQALDYLHSWASPPILHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLSFLCAVKESRLMDIIDYHINTDENAGVFEEVADLASQCLEMIGDNRPSMRDVADKLGRLRKVMQHPWAQHDPEEMESLLGESSVAGLEMVSTGNFSMEGGAVRGILESGR >AMDW01032624.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032624.1:76:462:1 gene:AMDW01032624.1_FG001 transcript:AMDW01032624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HTERATDVAFSPVDDCLATASADKTAKLWKTDGSLLMSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKP >KN541050.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541050.1:30619:32530:1 gene:KN541050.1_FG005 transcript:KN541050.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRSLIACEEPPSEPMDLLSSAWCSSAIQVLQTGPMDCSMALVENPVMAPDNDRRDLLPKNDRSLVVDSSGFSTTQWKYDDLKSWIWLQKAIHPELYYDLKKKWDDLDFDFNYARSKAALAKGDEMFVAMPDGKWKLHTVSAATSKGGKVVMRIKKMNLVMAFSNAKESVIHDVQPCAPEKPSRDEDATYPIEVTTSKGKVELRADDYGVYKRWVTALTHMLAAPTAICKAHELPPPPPPHRRN >KN540469.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540469.1:1181:3027:-1 gene:KN540469.1_FG001 transcript:KN540469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGPMCAADAGEEGITRLQDHRDEQQWVRSYGSLNGSSRRQIPICMLALLNVQQDLSLLLSLTPRPLRLLWSGVEISESYMKIRMWTIQGTEGKDSSTDEYDQALVNHAHALESNTVL >AMDW01016945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016945.1:16:96:-1 gene:AMDW01016945.1_FG001 transcript:AMDW01016945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAAMCGAAEERVVGTHKAPGACP >KN540469.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540469.1:39618:43637:-1 gene:KN540469.1_FG002 transcript:KN540469.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNKYYDLATSFYEYVWGESLHFGTRPIPSIATGEDYDSLREILYSKYSTWHGETLRESLKRREHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSKTIIPMLPKFSSASVTGLNNNDYQISRGQELNFSVDLSETCNYVKVISAKDMAEESPLPWYQPLDPSQFSLTHFQFTRIGRFLGQTLVSKQFITQTDEATEFLACSWERLYSTIDFFLPAKNPQLKSLEFLHLAPEGSLRICRFLATSVDSLVKGGKEGIFTPLFFVLARKPLQKQEEQI >KN540469.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540469.1:32992:36774:1 gene:KN540469.1_FG003 transcript:KN540469.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGEPVPLHSGRGFPVEGDCGTDTAGILLEMGGYEYATNGYHRGMEDDYEDEYYSEDPYDEEGEGAGQEYAEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASAAGRSSSSHDRKPQSTFGSFFGPSKPVISQRVIEERKSLKELQNTASMSRDRRPSAKEIPSSSKVQSKTNGHHHKQKIVNEAKRKAEALKDNRDYSFLLSDDADISPSPMEKPVARSSLSQKSDRESTHSAVKSRAPTGQTARLSNGYGLKNTSSSQRHTEGRVDSNRKVAGANRERVVLPDNGRMHSVVRNGSTQATTSKAASQKLPSKAPIANRPHIKSVSDQSLRANHSASKQLLSQNGRPQSSQSQRMQLTSHGQRPHQPVQNQRPLQSLQGRRPQQSPQNTRPQLMSKSQRPQQSLQRQRPQLSSQNERLESSERQRPPSQSYRPQSSQGQRPSSVQGRQYSEQRRIQANDRVKSAERQIRPPSKPMPSRQIPSNGMRDAHAKKKQPMKRRFNDLSEDEEDPLAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEQRSARIAKEEDDEQLRLIEEEERREQERKRRKMARGR >KN540469.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540469.1:24964:26899:1 gene:KN540469.1_FG004 transcript:KN540469.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSEQLENVLALGTPTASYLCRNNCLNTLRLSHVLIGRFPSQESKGPFSRMERVANWHSGFSMARDGGSIMKRSYACHHETSSHVRTHKGGKPLSHEALCNDLLEDGNACLPDISEQLENALTLGRPTASELESCISPFDLM >AMDW01143074.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01143074.1:11:262:1 gene:AMDW01143074.1_FG001 transcript:AMDW01143074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHKPKEDPLSLFIPSAAIGQNKADFLTDEEEEHDEDCVGTLFVMERSPNMELRGYKDHQTSDQFEAYTYGNHQQPKKSPFK >KN543543.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543543.1:103:1045:1 gene:KN543543.1_FG001 transcript:KN543543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKASFDWIVESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >KN543543.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543543.1:2182:5989:1 gene:KN543543.1_FG002 transcript:KN543543.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSARAPDRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDPDLKLKT >KN541010.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541010.1:14468:14701:-1 gene:KN541010.1_FG001 transcript:KN541010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTTSSLGLLFFGILLASCAYSSILVLIFMLILFLIVDSVIVFFAVDFVVDINNSWDVDRSWGDGTSMICMFALD >KN541010.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541010.1:20335:25428:-1 gene:KN541010.1_FG002 transcript:KN541010.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIREYFIHIYAYIKHQQSLIKYLSIKQLFVRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFIMKSPCCKQEIVIQTDPKNTEYVIISGAQRKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLKKKKEAEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEEKRSARKMGLGVRLLPPSAEDATAAASVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKLDLALKRRNIKAGAASALMAGRVKPSSWQSAGSGSSRTQMPIMATRK >AMDW01085484.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085484.1:21:577:-1 gene:AMDW01085484.1_FG001 transcript:AMDW01085484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGLLPSFFSDVNQRTQVPVKSTIVTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGSPVDANHEQLSSVVESINDTLIEKKQDT >KN542593.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542593.1:11506:12763:1 gene:KN542593.1_FG001 transcript:KN542593.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRGDFLDALLELMSTGKIAREYLTNILFDVFTAGSDTMSLTVVWAMAELLRNPGAMAKARAEIEAALGGREAVVEEADVARMPYVQAVLKEAMRLHPVAPVMLPRKAAEDGVEVGKAAMLTLTAAAAEAFEFQR >KN540062.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540062.1:59708:67274:-1 gene:KN540062.1_FG001 transcript:KN540062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGVVERATDANDITISPACSRIILPEHEVSFTFSLYDRGYLIAKSAAMDPCQPSIQDGKTLHPYDKASEKLFSAIESGRLPEDILDEIPSKYYNGSVICEIRDYRKHASNQAPAPSAELGLPVVNKVRLQMTFENVVRDIPRLSDDSWSYRDFMEAEARIVKVLQPALCLDPTPKLDRLCQDPVPHKLNLGIGKKRRLRQNPEVVVTSNNMSHGKKVCIDRVSENMKSDEMGISGGNAVHQGLDNTAIQNMSGGSQTFRPANFSMLSQTGIQQTVNYPAIGNDRGAGTPMNYAGINSSISSPQNLMAYNETTNGLLSVKREMADAPLQDPKRVKTTVSVDDMQQQQQTRHQPAGLGGQEMQWKNQQLQQLDVKGMQYAASVGQRYTHPHVQEPASIYSNQLGMRYGAKQEQMDGMDKSKDTLQAMAPENSVLDQQQPQAPHLSQQAGPRNMQQWQNPRFSGEKDLKKEEMLQRRKIAATSRVSSVPMVQSPVSSKSGEISSSSMSAQFGAAVTSAVMGSQKDKFPANSNPAVVGYPPVASSPSDSMHRMQQPSVAPSKRKSNSVPKTQPPVSGVGSPASVSNMHAVLNASSPSIGTAPMGDQAILERFVKIDAISQRCKLHSKKNKVDNIPQRKPIINASQEKVATVLSNCFHAEDFRDEIKPLCNSMLGGTMNSFKTRILNFVVNNRTYQGPTKPFRIIFKEKHDGTVAMQYGDPEDFDNQNSYECTLILPTKYHADLLAKQLIIRMDREGNTKADDQVALSTPPGNLSALSGILPDNTMLGNTGSNLPMASSHMGNKVAPNSVQLQMMQQQQQSRKMMMGLGSTANMGNMVNNVVGLNNIGNVMGMGNVRPMSSPMGNMSGLGNNPNQMSLGMVSSLSAPGIRPGMTHAAIAKMRMGLIQQQRAAGIYPQTSMVGMPGSSSPILPGSANLSMMNQLNRSNINPLQRAMMGPPKMPGSINIGSDQHFFLFVVVNDVKVRCPKCAVLFMQHRMPKNDSVSIISQLVFADNDL >KN540062.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540062.1:4644:5145:1 gene:KN540062.1_FG002 transcript:KN540062.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDDVPAEKAAELMRMAGSACSPPPQPAHAAAIPEMPIARKASLQRFLQKRKHRITTTSEPYKKAAVASPAPEKSFAVAPVKDEPATWLGL >KN540062.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540062.1:51452:55771:1 gene:KN540062.1_FG003 transcript:KN540062.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADDDDHRTALPREEDDGEEEEEVESDDEEEEEGEGDGWKGSRMPKMGKDEMDLLAMVDKLMHDGQLEKLKVYECKAYLRMHKLRLSGNKEVLLTRIREHIEYELYPLCNLSFFFICYLSTLLIFCNANELLLFDHFLVLQNQGENYGRGDSCKGDVVVFEQNIYKRKKGAPRGVKGHLCGQRTNAGRIIKESYGTKKQQHTFTPWLDEEERNRALQEKHARGYVARKTREVRIKEKDNERMRRLNRNKENKSKGQDSMNKKSSQEVLPQHTVTTNTVQKRAEKIIPSLQHGESGNSSQQHLSSKQTPTEQLLHYLPQFPHPQQHNEVLLQKGTSRTSTAQLTNHQAPSLQHAVKAETTQQQQQLPKSIKPAPIQQSSAYPQQYPKNQHHNQELPRVPPSQEQRAAVSQTSAARQDFTNHKAPPSRQHGGSENMRRQEISSRPTLTPTPQQAVSYTQQQPPNHQYRNEAFRQQGGTSTSGTGFMDRQSNNWGSTDHDKPAFQPRMTFTQKAKTYQHGSNGSGHHQARIDRETHQPLRSRNQDYYWEDQSYHHQQNHHQNYYYGHRQMSQDQYHHQQNHHQNYNGRQGMNRNQYHDRQNQNPQRFRPWKPCFIYQQQGWCPYGENCKFVHDLR >KN540062.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540062.1:45010:45357:1 gene:KN540062.1_FG004 transcript:KN540062.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVGMVVVSAAKDVVAAGRARRWRPACSVPDDGGGLEGLPVFSYGVLSGDRTETAKPTPHAEARRKVVGPTYLPMAETLNRAMDFFFPDCDARGKWRRRTSVVLLVGTTVLF >KN540062.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540062.1:18530:20729:1 gene:KN540062.1_FG005 transcript:KN540062.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGMVVVSVATDVVASVRRAGGRGQEMAASVRRARRRRRRRLNSRRRRAAYKPSVRRARRREENPANGLAHIVSEIKNKHELNLCLSIFNQIHLRHNKFCVKNFSSKRSAVVRASDDFWPREHASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMVEYHAAAKAVSGCAIYVRWQQLFSGVTYPINHGKNDLSMDH >KN540062.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540062.1:57314:58348:1 gene:KN540062.1_FG006 transcript:KN540062.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCEILERLPARSVLRCRAVCTAWRRLTSDPAFLRAHHRRQPDLPLIYFRRGGGDRVGAIDLHAAQLRPVVDYTWSPLGYTVIASCDGLLLLSSGRFYICNPATNHWAEIPQLVDADFLGLYPHNPSGEYRVLYGEFHGEEECAYHILALGSDEPRRITMTMGSETVEQPLAREFLMHARGDRSVLVRGNLHWYLRHRDEGCKIMVFDTVSESFQWMRHPVIPGWVSLLEMDNTLVFSAVECTTRIDLWVLQDYERSTWACEHRIELPMAQIRRFPECNLEHLGWSAMVVSVEGDVLVRCSNRIFHCDRKGNVLASFQFDGQLPMNCLHRLKENLVVHPFFQM >AMDW01040401.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040401.1:1:1097:1 gene:AMDW01040401.1_FG001 transcript:AMDW01040401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTVDFVFGNSATVLRDTALIVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLRGCVVNGSDDYMALYREKPDVHHVYLGRPWKEYSRTVYVGCTLSEIVQPRGWMAWNGDFALKTLYYGEYESAGPGGDGASGSRIGWSSQVPKDHVDVYSVASFIQDVRNRPYDPTSRSTEPRPTNFGVVLCASAYPLRKCTGQQMRRDAPVNVK >AMDW01025085.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025085.1:49:303:-1 gene:AMDW01025085.1_FG001 transcript:AMDW01025085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVPDAALRGGFILEKVLGPQSTGRLALRNLDPDDNPTVSFNYFSHPDDLRRCAAGIATIERVIRSRAFSRFAYPNFAFPATIN >AMDW01027343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027343.1:27:332:1 gene:AMDW01027343.1_FG001 transcript:AMDW01027343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDARRRVYEFLDTVDGDPTRARGRAVRCCEMALNLYAEYGDMAEARGLLRGGLMQRDADYGSIYRGWIAMEADHAGNVDFARALFAEWRALCGDEDGGF >AMDW01035109.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035109.1:182:466:-1 gene:AMDW01035109.1_FG001 transcript:AMDW01035109.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METEVTLSEGEFLDLRLLVLDQVSVKMTKLVFKARAAPKLEKIVCNLDKTTMSVEIAADNISGIDNLTGLKKLKINDVAYPKPFPFSTTSPASK >KN540594.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540594.1:1561:8513:1 gene:KN540594.1_FG001 transcript:KN540594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRFLQAKVRVPLTRVRGPPAAFTHPCKDAVPSDVARIFALGGATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEEFAGGGGQAASGGGDSMDPWLSSNSCNMDAHRSVGLSSPIENLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD >KN540594.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540594.1:45565:46525:1 gene:KN540594.1_FG002 transcript:KN540594.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGEGGKADASWFHHAPGVPIVFAVGATTMTVFIDCISTSVAAAVSASEIDDDDVDMVELEALIYGDEVGGGCIRHEFHDNFFFKTIYTYTLNAMFIQCNAKHDNIPT >KN540594.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540594.1:36231:37751:1 gene:KN540594.1_FG003 transcript:KN540594.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQTTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >AMDW01033367.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033367.1:3:473:1 gene:AMDW01033367.1_FG001 transcript:AMDW01033367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHVAVKFAKAFGMRVTVISTSPGKRREALEHLGADEFLVSRDAGQMAAAAGTMDGILNTVSAWHPIVPLFALMKPMAQMVFVGGPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVID >KN539853.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539853.1:28951:31201:1 gene:KN539853.1_FG001 transcript:KN539853.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLSLLISILLVAIEARGLVGVERVELPNPASMVTAYWKEMLPHSPMPTAILELLNPPTDVNQGVYGNGYAQVYGNGYDEGYLNGYSHSYGNGYSNGYFHKANLHFLEDALKPGSIITPYITGIATRAPFLRRDIADSISMSTKNFADILKMFSPISLVMADGIQSALDTCEHHHPIEGEERACATSIESVVEFVMSVLGTHDLRAFSPDVPTDGVMSGNKYKVAAVRTVAGSKGDTVTCHTMRFPFAVFYCHAINPTRVYAVVLESEEDGSGTPEKMEALAVCHLDTSRFDPKTPLFVEHNLRPGDASVCHFVSRDSVIWAPVAAVITHGDEQVSIAE >KN539853.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539853.1:55465:57281:1 gene:KN539853.1_FG002 transcript:KN539853.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQPPPAPGSSSSGEAVYTLTPASRLLAGAGGGEHDMSPMLSVLDLPQVVRKAPPDAGDVRFVAGDMFEYVPPADAVLLKYVLHCFGDDDCVKILRRCKDAIPARDAGGKVIIINMVVGSGSQRDIFKETQALFDLYMMYIDGVEREEKEWESIFSKAGFSAYKIMPILGFLSIIEVYP >KN539853.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539853.1:35841:50973:1 gene:KN539853.1_FG003 transcript:KN539853.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGRAAAFALPPPPGAPPAMLQFGVSQRCSYNFIPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACDTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQDESKSDISKSKKAADSSKEKKTSNRSKKSSKPKESAASNATAKAEICTTTFVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGYDNAVWAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVVFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLQSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVAQKLYEGINLSSEEATGLITYIRTDGFHRLSAAQATIIAAHNNRIVANSIFISDGAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYTLIWKRTMACQMEASRTDLIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAGHQDNFEALSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERKSLLISRKVQLPLKVERMLEEKFGSILFSDLDNDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTGKNVRKFGRPKGKTKKEAEPLEWH >KN538755.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538755.1:202607:205927:1 gene:KN538755.1_FG001 transcript:KN538755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGVAPPPAGVPEKKLLEVKESRKAAAAAPSTSMAAKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSRNTVALTPKSVNDIHKRGGTVLGTSRGGHDTGKIVDSINDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKRNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKDSSKCNGTAAPV >KN538755.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538755.1:286589:288261:-1 gene:KN538755.1_FG002 transcript:KN538755.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLPAAAFAGSAPPLSQVATSAAHGEDSPYFAGWKAYDEDPYHAVDNPDGVIQMGLAENQVSFDLLEAYLRDHPEAAGWSTGGAGAGSFRDNALFQDYHGLQSFRKAMASFMGKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVRCDSANGFQVTVAALQAAISRFMDTWNGTKQQATCQQQEQQ >KN538755.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538755.1:169181:170173:-1 gene:KN538755.1_FG003 transcript:KN538755.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLIAGRASALPNTVTLYKKLLLLGVKIVFLSDRPDTPELRNATATNLIKEGFDCWDELILRSENSTATGSVVEYKSGERKKLEEEKGMVIIGNIGDQWSDLLGSPEGHRTFKLPNPAYYIDNYKRAGAAVRTAVVTTAASSSSWWWRW >KN538755.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538755.1:207067:211290:-1 gene:KN538755.1_FG004 transcript:KN538755.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESRCEEEKASPHSLEEKRWVLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGSQTRVYLILEYALKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGSQKHGLFGIYKAAFFHEQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKLLEHPWIVQNADPTGVYRG >KN538755.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538755.1:257002:258525:-1 gene:KN538755.1_FG005 transcript:KN538755.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCTGQRSLESGSGGAGGVRLFGVQLHVGGAPLKKCFSMECLSSPSPAYYAAVAAAASNSSPTVSSSSSLVSVEEAGEKMANGYLSDGLMARAQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVIEDAEKAPSVNERLKLRHETTSVPAEMGFPALSLGISSMAQPEAMLLPPPSLTLTPSCSSPAVSSSSSEQPRTIHPSLMVAKPQVQLQLQPPDLELKISTVRQNDQPGSSPRTPFLGTIRVT >KN538755.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538755.1:157442:158459:-1 gene:KN538755.1_FG006 transcript:KN538755.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSNPTAEDLTTILESVGCEIDNAKMELLLSQDMGFSLFD >KN538755.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538755.1:233224:234393:-1 gene:KN538755.1_FG007 transcript:KN538755.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVSSVPPWSHLPVSGVDVLGGGGGGGGGGDEMTPYLIATLRDYLPANDVGVGADDEEEAAAMAAAVDATLMSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRLNKVKSSPSGGWSYPSSSAVYGSPKAATGLYSLPTTPLASTATVTTASSFMPNLEPLDLGLIGDEEPVQRVESGRALREKVFERLSRDGAISGDATAFAAAATAGVGLDVDWVSDLIN >KN538755.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538755.1:176736:186653:-1 gene:KN538755.1_FG008 transcript:KN538755.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRGTCAACALSMTAAGASHTVNGQLVRKRSVEVVCLNATASINGIQQKTGIDQESCMSVQDLFTAPGVRRKRRRPREERVRDTEGEEDDAVPVQLYSQLATRKRPTHACARPLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGAAAAAAVTTAAAAQQDQQRRRDSGSGSCSSTRDHEVSATSYSTAGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQQQKLDRRSAGLEELIMGCTSSSTKGVCTCTFRKLQFLTPKRQNGLTSHTGLLTTRIIMDSSNKAAFDMH >KN538755.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538755.1:156031:156717:-1 gene:KN538755.1_FG009 transcript:KN538755.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKNILRMLVSISHIIRNEAITKEIKFARNFDDYCFRMMRNTTMVKHYMLNNSHETVPARLQESGNEITNHQNFSHFSRNFSDNNLPNIQSLATQDAFCVQQYNFPSALGSNHQIASLHKMVKAVIATFERQLSNSLIGLNILENVHRITGCSTTIQALSPVTHRSLVIKS >KN538755.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538755.1:266737:269269:-1 gene:KN538755.1_FG010 transcript:KN538755.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERISFGGKEHRVRTRYGSVSVSVFGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPISSDVPVPSVDELVDQVANVLDFFGLGSVMCLGVTAGAYILTLFATKYRDRVIGLMLVSPLCKAPSWSEWLYNKLLLNFLYYYGSRGLVKECLLQRYFSTEVRGNGQDPESEIVQACRSLLHERQGSNVWRFLQAINERHDLTEALKKLQCRTLIFVGENSQFHDDAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLKV >KN538755.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538755.1:188720:194632:1 gene:KN538755.1_FG011 transcript:KN538755.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAFTTTTNRSHLRVVREIEAVGGNVKASANREMMSYSYAALKTYMPEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSVSRLNTNVLEYFLAENYTAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQVLAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNAGDGSVRWCIVWMSSRTNFLRRWKMVVAAAEISEKVYRLEGNDTPLVDFWAVYEQFGHEWPMGLERGWEGGPGREATPSAKTTATPPV >KN538909.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538909.1:101767:102529:-1 gene:KN538909.1_FG001 transcript:KN538909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNSGHLVVDELSSSSGGGSGSAAVSASSAGKRGFREAFQETLPLFDDGSCCNASDDDDDCSRRKKPVVGWPPVSSARRACGGANYVKVKKEGDAIGRKVDLALHSSYDELVATLARMFPTNDHQGEKKMANDDHGDAAGPV >KN538909.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538909.1:175512:176402:1 gene:KN538909.1_FG002 transcript:KN538909.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >KN538909.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538909.1:77758:79304:1 gene:KN538909.1_FG003 transcript:KN538909.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAVALLMGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKNKQMQDELLKAKASLSASTKRFSLLKKDNEVLKRQIQIMKQQCNCTVPSNLTQE >KN538909.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538909.1:16866:20709:1 gene:KN538909.1_FG004 transcript:KN538909.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLNLCVYVPRTLDDGDSPSTGSSTAALVSPVASSSSAATSANTTPTAEQRAKGAGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTKWKELPFRGPLPGEFPQGSARINPVNGHQNGGQMTILRPLPRARSSGRLHHMTSLLPDTDRSIFNDDEPLDSLSEANEGSQQGCLRTVEIKTYPEFTEVPESTSERNFTVLVHLKAPLAQTLQTSSKLEDGNSLGTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTETGRQQSLQAVYSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKAAPEYCALLPYTSNGCQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRLADDKRNGSIDVGDMYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETINMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARLSAERGDLTHAVSLLEDCRRMIMGSTSGQSGDRLCQALDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRQMRHVKSFPARPQPR >KN538909.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538909.1:143112:144587:-1 gene:KN538909.1_FG005 transcript:KN538909.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRIIFSAQSRHPLVNTNTATSSQLLHHNLSSFKGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNGKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >KN538909.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538909.1:177179:180633:-1 gene:KN538909.1_FG006 transcript:KN538909.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTDLDIAIVKATNHVENLPKEKYIRDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYRRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPEPVKEVSPVHEPTDLLGMNEPIPDASEIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSYKLAGGLDLLTLDSLYNEAHRQAQQNASFNPWEAAAPASSGTMMQQPMQNPFYASNAIAPPLNEFTRMVRGCSSMPAIRTHTLGQE >KN538909.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538909.1:299:3715:-1 gene:KN538909.1_FG007 transcript:KN538909.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAMFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDEWQPEPLCPPIKEGARIEAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKILGRNLAILLFSDVHLDCETKIAKFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLHKELSDVQGLEIASNILSPIVFLKLKTSTGSAVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >KN538909.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538909.1:164438:164848:1 gene:KN538909.1_FG008 transcript:KN538909.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGGWPSSHCKTSERSSSVTRLVVGGRLPAGTVGFRKRSVRKSNSWGGSSSWQLRLMMRRHRSSVRQKRSTSGRPDALGLKAPAIHSTSRRRKAMVEGKGIHLGGTVKSSGAARGYWAFAQRGPNMAFMAAGMM >KN538909.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538909.1:119109:119327:1 gene:KN538909.1_FG009 transcript:KN538909.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEMAVNLELLAMGVESLECDGCDAAVLRSPDLARSRLRFPGAQQYVDSLVDGLRHGINTSARIEILGFWE >KN538909.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538909.1:135244:141191:1 gene:KN538909.1_FG010 transcript:KN538909.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVDEWFERAMLEQPTLVPAAATLYHPDVNKEPGATDKFKEISAAYEVLSDEKKRALYDQYGEAGVKSAVGGSAGAYTSNPFDLFETFFGASMGGFSGMDQGTFRTRRRSTAVQGEDIRYDVNLGFSEAIFGTEKDIILSHLETCDACAGSGSKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCKKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFICLDIEEPADIKRDGINLYSTVSVSYIEAILGTVKKVRTVDGTSELRIPPGTQPGDVVVLAKQGVPSLNKPSVRGDHLFTIKVTIPKRISGREKELLEELASLSNGGFARAPVKPKPIRQENETTVAQENSDQPNEGEGDWLKKLQDFAGSIANGAAKWLKDNL >KN538909.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538909.1:185550:196781:-1 gene:KN538909.1_FG011 transcript:KN538909.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding STPSHLPSRRIPTAPPPRPSGNAEPVASIDDIRRQLESYRGSLAARGATPGSSPDGAAPSLLDLVRSTSSPTSPQGPNSGHFSSLAESLRNLPSGRQPQQRRQPRSTTPFLSPTAHPIFGRELGENARKAEGKEENSAIELKKEYSYAELGKKLGQLRPSGAGKDGKEWFSLEELQGRIAKLANLDIADDMRLGGQYVALRKSLLGIQADQKTKDDIKKTRSMQGLSFLANIGGPATPQYLQHPPQEELLERYFHPDHMSSEEKMKLELQREILKDELCSSRIVMKISQADGIFCLEILNHLVAQLTVKIKHLSTVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKNKSTNLQDGELIHGENFSLFGAMSALEIMDPKMDCGIEKSGYYSIDEAIEDGIAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETIARQLRACKAQALSKKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRRPHGRGLELARKHVASCLTELSLMLKSRDFLRSQSNNTQQQGDEICTTASGVRPVGFDASLNSRLLSPAPPRAVKLLSWSDAIRYFEKLLRDLDIICSSPLDPVLENVLRFVVQFQKSVPDLVSRAFLQFLSCIDNNAKMTLKQTLLVQDGKLYGRDLSCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLEFALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASNENLACIDELMQRAQAEEEINQNKIKHEEKGKRKRIIQKTPQGIQRFHPPVYCFSVMFYFQKDFQCIFPVIHIKSHWNMKLEFIALRGMLAALRNESNSFQLPSIFNSEQERFIQHFDLLQKARLPEHITYYSFRESASHASIADLTKYNFFKEIHKITPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVGAGDPSLRVSFEFTHHPHFAVAVVKRS >KN538909.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538909.1:66150:77067:1 gene:KN538909.1_FG012 transcript:KN538909.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKDGNNSTAGLAQQTPENKVDILMGACQLGEINPDKFTVSSYKTNIQNTECSVYRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTTLMFNSCAALRPYRAHLDDIYKDFTHYKFRVPVCGAIILDDTYEKLGNNAKVILCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKLEDYIEVSMWQQRVRLYIITGVKGDTVFAPQTKKEISEISWHKIDELLPAGDDAISRGVNGMKLYMVAPFLQGLKAWIATHRHPQYQKSDTSARGTVWKAKNSSTGAAPVENPVITRTGSDPQTLDSRPVVSVILNATDASTIVIISLHFVHMNVFSSVHSDLCLKCCSKWRLSCMDLMTADFEALKLYIGYSKHAIEQQFLKGKRQPQHQRTPKPKLQQQQQQKKKQQQEQQNQTLQPPAFPPPTYPPGLSCMELMMADIEALKLYINYYVAILTTPLPQHYDPEILSRYFTSRPHILAFRTIQIIFAFVSAAVKMQISKRTNMITDATYNGNSTGSKGFNASQYMVGLLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEVSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLSFVRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEYHSRYSFMLVPKVLKQLTRRRVLTMEWVSGENPTDLLSLSKGISSEIAESSEKQKIEAKARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIIHIVNGDWASLVYDLTAMDVVPPRTNLRRVTMVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNKRKEFQWNKITVFLKLASARGDFRHNTGVLPERKGLDVAKLVEISDSSSSLDLNRATPERALHTATLCLRLLLSKESIVIRRLVMTANAKSLARDLISKDASIYRVLLSRVLADVIFQWMVKVTGFKRAEQLEPHPRTTAGKNDEGLGLSKEAPALVTFQTAVRDRRLKVIFSKFVRDIREDPILMVRVSWNMFAISVVSAAIGVHRFIVVLSEEFLYTLPPPVPPPRLVQIQSI >KN538909.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538909.1:27889:32411:1 gene:KN538909.1_FG013 transcript:KN538909.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATQNYHEYQMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGGYDNDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGGAGTTPNREGDRYSRDSNEQRYSRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGPTQDERPMERKPSNQQIASPPPNYEDVTRDAQDNNHDGRNGGTVPVPVAAAKVSSPPRTSVPPGQVNGVHDNTVEDVPAPPPTHPEVNGFDEFDPRGSVPDTSPPVNPSQAVNSLEMDLFGPDPISSLALVSVPQPTASPNVEPSANPGFESNSFMGMPPASTGFNEAFDATNPFGDPTPFKAVHEETPAVSQTNAAPAGSFHATEPAADANPFQPASATSFGFGDTLGDLSFGSNAAPGQQDIFVPTSSHSEVPHANPPVHPEQAVPSYVSSQAPQPAAAGPQTHAAPASFASQAPPTSFAPQAPQAGAPYPQAASTFPHSQAPHPAATNPSTFPQNVATPFAPSQMPQPVPSGQSNYFMQPVPGTGINGMSGAPSQNGAPSYIPSQASQFAAPTNLQPSQPTFPPQTAMAASQATSISRGASQPLAVPNSMPSGVNFPLQSSSSAPPETILSALQVSQSEPVKKFESKSTVWADTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGTGSGIGRAGASAMAPPANPMGASRGIGMGMGAAGSGYGGGMGMNRPMGMGMGMNQQMGMGMGMNQQAMGMGMNQQPMGMNMGMGMNQGMGMNMRPPMGMGPGSGYNPMGTGYGGQQPYGGYR >KN538909.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538909.1:85312:97582:1 gene:KN538909.1_FG014 transcript:KN538909.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVRVVQAACTLCQRVQSSLLLPASASASGSVHSKLDRSPVTVADWGVQAIVSWLLSDCFQDENISIVAEEDDETLSSSDGAALLESVVAAVNGCLIEAPKYGLRSPEKELKAHDILQAIRRCSSIGGPKGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVSPPTSGSWHKGCVMYAHRGCGQAWMQPLVHDFGKLDWRNSREVRVSTVSDPISATFCEPVEKANTSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDVEIFMKFARAGYKEKIWDHAAGVVIIREAGGVITDAGGRPLDFSRVGWTNVGHRMQSVILQLELHGAALAEQFFAAVFHAVLIHGGLEASPWRHQPGVCTGVEAVHQGDGPEAAVAVVFAFAARRHLVAGEEVELIADDGHGVEGSGVSNRYEGLALLTTIVEGGGNCNPASDPLPNHSLLSTILINKILHLIHCIGSVAFGYPGSAEDHIPKAFVRLVRIPQYHFIYTTELKQLGNSGSDKHTATKETKAVVLFSGERVIEMLDPCDSICERNVEFHGELQELNTSLAGDPSDITADVGTPCKNGGAARTMDQGICSNQGGQSELPPPTPTGDYNVLLQTTPSSVSAPPPSPIPVESLPMLLLSWEAMQDGNPAVATVVPSSAQPVEAAASAAQLGTIVAMDNDGDDDLPMPGSELAGVAQWRRCRSWHGRCIGRDDQEEALGSSESEQPTRPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQSLVPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYVPPVNLSGAPEHLPIPQMSAALDQNSAKASDPSVVLQPVNQTSGAHLPFELANHHKPLFLPGVPNATALEPRFLVESSRSNLQSLRFTEPAEMIYPDEMMLKHRLTSANESTIVPGTDEKSVRQNTYMMNADRFDRYALSKDQLQHIMPKNTESVLDMPHLHSKTTVQQPSSASAFHETAIVRLCKEQISLIKTSKSVCDTVPLFSKILTKVLIVSDYKLTIQLPILDRLQTGDTEVRVEGRMKVNP >KN538909.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538909.1:108873:116486:1 gene:KN538909.1_FG015 transcript:KN538909.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAIGENEQGIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQYTTRLYYLCNAAAASSVFRIDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYVNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITIIIAIFFSAIIYLAVLGSWFCTYFGQLVEDNWRIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLKRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPTITTASTTTAPNDFLQDLLGFGGINSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTLAQAITELFKINVPDTKPVSTISQVGAIVPEAMDLLSSLSSSTSVSGTKSAPAVPQDMDLLHGLPSNTSVSGLDNHSSITAFQSATLKINFDFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQSSPFAYLVLRGSLFSVSLGGGG >KN538909.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538909.1:129280:132675:1 gene:KN538909.1_FG016 transcript:KN538909.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAADMIRRYKRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGCLGIVDGDRVELDNLHRQIIHIEAYVGEPKVKSASASCRAYDIVVDATNNLPSRYMISDCCVLMNKPLISGSAVGLEGQLTVYHHNGSPCYRCLYPNPPSSPTSQSCSDNGILGILPGVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKICGENPVFTKEDFVNFDYESFTQSPMSKNSTRRSLNLLPENARVSCRDYKRVLDSGRPHLLVDVRPSHHFQIASMAHSINVPLSLLEEKLPLLRDSAREVAAATAAAEETTSRRDGQQRCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >KN538909.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538909.1:171906:173408:-1 gene:KN538909.1_FG017 transcript:KN538909.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIQYAAVARGAVDLHVFHVKRTDGVTALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLGQQMDYYSNDPNADRISRMRGEMDQVRSVMIDNIDKVLERGDRLELLVDKTATMQGNTMRFKRQARRFRNTVWWRNVKLTAASVFILLVIIYIVLVYMCNGFTLPSCI >KN538909.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538909.1:120067:124527:-1 gene:KN538909.1_FG018 transcript:KN538909.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKRLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLGELAAEAAAAMTASHPDYASLAARIAISNLHKTTMKSFSETIKVMYMHYDERSGLLAPLIADDVYEIIMKNTTRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLIFMKDDSIEGIYDTLSECATISKCAGGIGLSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNENWSLFCPNEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIGLPRFVREKGVPMESHPAKIVGSNGSKNRYFDFDKLAEITSIVTRNLNKIIDTNYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSTEAQQLNKDIFETIYYHALKASAEIAAKEGPYETYSGSPVSKGILQPDMWNVVPSDRWNWSDLREMISKVGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNKIVYEDGSVQKITEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQQNAEEEDVQSKMAEVVCSLNNRDECLACGS >KN538909.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538909.1:80165:82120:-1 gene:KN538909.1_FG019 transcript:KN538909.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVIARAGGPEVLEERDVGEGLPPPGEGEIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVARVKEETNGKGVDVILDNIGGLYLQRNLNSLAVDGRLFIIGFQGGAVTEVNLQPMLARRLTIQAAGLRNRSLDNKALIVSEVEKNVWPAVVEGKVKPVIYKTFPLSEAAEAHKLMEESSHIGKILLIP >KN538909.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538909.1:36332:38916:1 gene:KN538909.1_FG020 transcript:KN538909.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDGGGMAVSPEEVCEHESIDFEQKKSTDAVMIRLKRELYDESESDFVYVLDDDMIPGARMLEILTHVAGTDKYRNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDIAVDRIVQVDFLSSSWFLAADLVKALFIEVPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDAGDKETWGDSEHRLAYVAETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTAGRKAYLVVSGGGHCPCEEAAAVLKWPKVVCKERRFKIFDLAVGALSGPSHSDVPVLHAVYSSMRGIVRMHNPSVIVAVADVDPKIKDALRMAADAAVNRTALVLLPRNSISKVLWMATLRPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRDAYYVGDEVPISFNMDSRVDAATLNVVNAFDWPHGGKTLRRRIIQGGLIRAVSESWYPATDDDYGLLLEDDIEVSPYYYLWIKYALLAYRYDPQVSLPELSSISLYTPRLVEVVKERPRWNATAFFGRSKNHHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVALYPNFPDQSSFSTNHMEPGAHISAKGNVVKHDKRDFEVPLVADDFSPLLPAGRMPPASKLPVLNLFNQPVSFKALKAAGAKLRQDVIGCAATQLVAVDHVTGLPKNCTAF >KN538909.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538909.1:98404:100117:-1 gene:KN538909.1_FG021 transcript:KN538909.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSEQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKS >KN538909.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538909.1:167743:171274:1 gene:KN538909.1_FG022 transcript:KN538909.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEDIVRATRLLDNETRVLKDELQRTNLEVESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >KN538909.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538909.1:40573:43063:-1 gene:KN538909.1_FG023 transcript:KN538909.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEDMLREQSLLEATCGDLFDHIDDLLDFPKEESAADCDELDMDMAQLEWLSGLFDDGTIPHEPSFPGVNCAAPIKASALTANAGVVLPDKAEEALFRSSSPISFIYV >KN543260.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543260.1:2060:4167:1 gene:KN543260.1_FG001 transcript:KN543260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGGPARDETRASNSIRENMDVVSDDEGENATETQVFRIRGLSSLPPPIPNEADRPLIQPNGDTQWTELPKLGKDRRPASVLTSILRDHHPGIVEYNGKSVAAMKWVHYQAKVDSNGRSKADEVDEEFWTMARDQGYSSADIPPPLSPPPEPPTSPVIVLDDSQVSGVREETGDDRVNVIAEGMFGGYLTNSSANGATTFLPALDELDPF >AMDW01029307.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029307.1:219:371:1 gene:AMDW01029307.1_FG001 transcript:AMDW01029307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QIHQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKIIDKDNN >AMDW01028592.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028592.1:69:353:1 gene:AMDW01028592.1_FG001 transcript:AMDW01028592.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRRYYQPRKSHRLVTAVVHGNKVPLYGAGGGLMLSSSGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >KN540589.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540589.1:22502:25719:1 gene:KN540589.1_FG001 transcript:KN540589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPIHRLSTKIPDEGSAHLESNANMLSVCNCRLWFEMMINEIAEQTLAIRKMMDHTLNQVDDLMLLFRGLNYRRISIVERQYTQRWFQSELIQILDV >KN540589.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540589.1:45666:46766:-1 gene:KN540589.1_FG002 transcript:KN540589.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIKMDELHGEKRSETLQLLHDACAQWGFFWLENHGINEDLMYKIKGLVNKHYEQSMEKNFYNSETAKSLGPDNVVSNVDWECSFMYRHQPESNIHDIPELVRTTLPEYAEEVIKLAERLAEVMSENLGLDKDYLKKAFSNPSVGIKAAKYPRCSHPEFVMGLRGHTDAGGIILLLQDDLVPGLEFLKDGRWMPIPPTQGNRIFVNLGDQVEVISNGIYKSICHQVVPNKNGSRLSIATFYNPGPDAIVFPAQKLTYPSQYRFKDYLEFYSTTKFTDKVSRFQTTKMIFK >KN540589.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540589.1:42593:43459:1 gene:KN540589.1_FG003 transcript:KN540589.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAECVPSPSPPAALGTLSVQRALVLQKEILMAESILVAQRVAKDLQQLCVESGNAEAVLSIVQPWKADDDTVRVLLSSLVLDGDGMHRKGPALMLCSLFLPKLLEIQRPVSSVLLSAALDLCKRHPAAALEAILLPLVLRKEGLNVPQCDVLTRIVKDCMHPLHVTAFCHRLLSGDEREWRPVCMPEHRSNISSNLVWTESLFALLYSILNQDICLTSSSTENLVSVIDEMASKLPRSLKFGNFLLCFISKCWRESKIHSVLLERAAEKTDTFLTKAILAKLRTAN >KN540589.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540589.1:32063:37162:1 gene:KN540589.1_FG004 transcript:KN540589.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAVAFLLLVAAAAAAVANAAVTYDHRSLTINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVNLRIGPYVCAEWNYGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGAKSYVDWAAKMAVATNAGVPWIMCKQDDAPDPVINTCNGFYCDDFTPNSKNKPSMWTEAWSGWFTAFGGTVPQRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTNLHKAIKQAEPALVAGDPTVQNIGNYEKAYVFRSSSGDCAAFLSNFHTSAAARVAFNGRRYDLPAWSISVLPDCKTAVYNTATVTAASSPAKMNPAGGFTWQSYGEATNSLDETAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSGEQFLKSGQWPQLTVYSAGHTVQVFVNGQYFGNAYGGYDGPKLTYSGYVKMWQGSNKISILSSAVGLPNVGTHYETWNIGVLGPVTLSGLNEGKRDLSKQKWTYQIGLKGEKLGVHSVSGSSSVEWGGAAGKQPVTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHLIGRYWSYKASGNCGGCSYAGTYTEKKCQANCGDASQRWYHVPRSWLNPSGNLVVLLEEFGGDLSGVTLMTRTT >AMDW01038254.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038254.1:166:759:-1 gene:AMDW01038254.1_FG001 transcript:AMDW01038254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPQSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >KN539860.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539860.1:7045:21964:1 gene:KN539860.1_FG001 transcript:KN539860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKLADTAIMRILDLYHRASIEFDWVIRNNKSKALESHSASELRNVLIMDKLTVKIMSFSCKMADVMEEGVSLVEDLYKRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKKAYVFFSSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMRYRVSNKFAKIALHGYTFIHCVERLAAASLSVGMGGGQRPAGNQEFPRVHYRVARTIDASTLTTLQDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPTWLELRHASERLHEKMTNFVSKNKVAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSAGDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSLAHQPAQSMRSRRTGGTWARPRDTDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITKLKMLSTDDLTLDDLQI >KN539860.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539860.1:55815:62894:1 gene:KN539860.1_FG002 transcript:KN539860.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFEQQQQQNSQQAVRRGGTDHGGRIQALAKHAQLPCCPAKLTNSVASEAGALPDPSMGDTIPVASSMGNVQGKEVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAQSCPWEDSCLLCMGSVIGSYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >KN539860.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539860.1:36193:53682:1 gene:KN539860.1_FG003 transcript:KN539860.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGVDHCAAANVLEVLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMETCIGSFLGMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVIQVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCSLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPSGHWETKSTIPMQLFENALTVRIVTLHNTITKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVLRGSIIAIEKKVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVLST >KN539860.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539860.1:22379:22771:1 gene:KN539860.1_FG004 transcript:KN539860.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSEEELVVPDSMDAAGEEVLVVTNSMDDEAREEVHVIVDYIDDEASEEGHVVVVAAEVAGEEVHVVADSMDVAAGEEVHGVADSMDDEAGEEVLVVADSMDEEDEECPGTGDTNIEVVEVEQKKVAF >AMDW01082745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082745.1:276:425:-1 gene:AMDW01082745.1_FG001 transcript:AMDW01082745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTLTDVQRRTTARRTGYGDEEARRGTSKATDHRRPAAATKKIPLPSI >KN543119.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543119.1:2380:3867:-1 gene:KN543119.1_FG001 transcript:KN543119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDNMVSKPSVRGIPSQETRWVTHGGSGDFLWQGLCHGGVGAGCAHQVFDEMPSKLGGDATTALHVQVSHLIYLVAGEVLHQVYDPFASCDVERARAASHGLNIYDGGCLLDAQQSQPCLRYGAEVTHTMCSTYGPGCTTTKPGADSMPAAPEHVFPATTASYVPSISSAAMVTPVPFNETKEVEADMGKVEDKSEKTFHDLCVEINDMINQMLETHCNSKVEPIVGNDSTVVAVVPCSVTNSVPIALEVSQEIDADEGDGDDLAREEDCVEKTAVGPSFGEHLTFCMSPKVDVSILDLFADQDMSSFIHKVYLEPWPNPRPCQGSGGVVVELLQPWPPPIKASVQAEMEALNLHGESHEVSLNHCFSQFMAFNSIEGLLQNLVLRLCICCKLHLSSTFWNSHQHKQLELWPSFLCNQGRVYCVQALPWSSLCLSFGDSCMPTLHLSTLWPILDMWFCEGLLIYGNTAVLV >KN539313.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539313.1:116337:118678:-1 gene:KN539313.1_FG001 transcript:KN539313.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMTFVTIIRRRRGSFSEKSDRVGVEGQRLSKFLCFGLVNFAKELKAHGPNMSMIYIHPFHPYQFQLWKSGYCGSFDGVLT >KN539313.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539313.1:106668:109769:1 gene:KN539313.1_FG002 transcript:KN539313.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDGAAGPEPKILRRHDSLYGDAEKVSNDKRHGAGGSWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPNGIKHPDDLVGVLSLILYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFVLFSVQRYGTDKVGYTFAPVISVWFLLIAGIGMYNLTVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPENVSDTFYKSIPAPLFWPVFVVAIMGAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVAVTLAFQTTANIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGLAEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDRVVPAAETTALLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFLFMSIKHLPVPRVAPAERQRRGGGGDDADAMQRAQAMAEEEKRLIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNYVYTFLRKNLREGHKALSVPKDQLLKVGITYEI >KN539313.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539313.1:71328:78096:1 gene:KN539313.1_FG003 transcript:KN539313.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRGRKRAAAAAEEEDAATRGRGKRVKASPRPETETEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYEKTVYLFGSTEHKIGINSVQRENEEILPMKAMKMAWVPYVPLEDRLSRNDSLKTKIFTLGCTQRRSALRHLKTEQVKLFDYCMPYYMPLNPPENEDDTVINILYPFESPIFCEFNWEMDDYEDFADEKVKEEGLPEDEREKLKEFLKEKVRERKRELKQAKEARKKVIDDMDPKIKEAFENIQFYKFYPVKTLDTPDVSNLKARYINRYYRNAHHLM >KN539313.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539313.1:10532:19491:1 gene:KN539313.1_FG004 transcript:KN539313.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMHSFSSETLVPRNQDVSLTELPATVSAVKNPSAKIVYDEYNHERYQPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDINLANSVDIGSLRDPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVAKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEQADWFYAPVYTTCDLTHAGLPLPFKSPRMMRSAIQFLSRKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVDEEDVPRLDSILTSIPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPDSVYLKPGEKHLNWTAGPVADLKPWK >KN539313.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539313.1:58414:66745:-1 gene:KN539313.1_FG005 transcript:KN539313.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKRKKRCQAITLSEFSFSFMFVISESDEAPSHRREFKYEGDFGVVWIFFFDRLKPNSVTASVWYLEEFVFVALFAKLVKMVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDEFKMMERLWGENFFDPATKKWTNKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQRYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKESDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVQDIRKRKGLKEQMTPLSDFEDKL >KN539313.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539313.1:112331:115811:1 gene:KN539313.1_FG006 transcript:KN539313.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNLQQQPKLEACAAGSSKGDTVMPVVKKRRGHPGNPDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEITCPHHDATRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDTSRVNHSLATMVGSLHGQQQDMFSHGVPSFSSSPTDMITNLASNDHNSDSHLRSLSPYALVTRNTALFSNQISPKESGFPLDGSVTSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPNNLTSPRDHMNISSRSQGDSLGNSTVNSACMKTTEDENSYMIGRGNILINAPWSSGIMRPGTVPLIGLMNHPFSMRAEKDSPGIFPENQTQHNRQDNISGVVDADAGLTQDFLGLGGSGTLDMSSETYNADVTALSYSDEQEKPQEHIYSYHQSSLDPTALEKPIWDS >KN539313.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539313.1:39400:41714:1 gene:KN539313.1_FG007 transcript:KN539313.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVVARTGRLRQRYDNEYRLVAGCVPYRVKKDEANPRILGDVPGQVEVLMVSTPNRADMVFPKGGWEDDEEVYEAASREAMEEAGVKGIVNRTTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYEWMREALTALLEQLSMIEPVASAQELSDQTSMYMMLQASSDSAVALC >KN539313.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539313.1:88092:92905:1 gene:KN539313.1_FG008 transcript:KN539313.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDDDAALPEPDRLRRHDSFYGDAEKVSNDKSHGTGENWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGVKHPDDLVGVLSLMLYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQLQVVWISVPILIVLFSVQRFGTDKVGYSFAPVISVWFVLIAGIGAYNLAVHEITILRAFNPMYIIDYFRRNGKEAWVSLGGAVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPEDVGDTFYKSLPAPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGVASVAITVAFQTTANIGNAYGICVVMVFSITTHLMTVVMLLIWKVRLPFIAAFYVVFTFTEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDHIVPPDEMAALLARRDVRRVPGVGLLYTELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPIPRVAPAERFIFQRVGPDAGHRIFRCVARYGYTDPLEGAKEFAAFLLDRLKVFVYDEAVFACQCAEDGGGDDDGVLRRAEEMAAEEKRLIDAEAERGLVYLMGEANVEAAPGSSLMKQIVVNYIYTRLRKNLREEHKALSIPKDQLLKVGITYEI >KN543094.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543094.1:6474:9075:-1 gene:KN543094.1_FG001 transcript:KN543094.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTLSYDLSASAEPTLDLHSHLPPPDPAHQLTSQQAGRRPSRSCIDVKGGGAAAGGHPGAAFAPLCITDGRSAQLKTTNFSQYIKRKIKLKMNQNKSKHSCIGLAANNSMHVKPLLLEGTDQIIEASVAEDEDGRTETGIPLGAPFSSASGKPWSSICYAWTGSWMDGCADGVGKAKWPALEGEGESEPARRVALGGGGEAEPGAQRDVVVGTRREMRWGAAERDAGEERSDAGERGRRAWGRRSSEMWERRGAMREGSIA >KN543094.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543094.1:10431:10691:-1 gene:KN543094.1_FG002 transcript:KN543094.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTIQEHQEGTPSMLPPIPNLEPPAATIGNSSLNGTTAAAAAAADGHGRLEEEDTSAYTFTDQEMEQMLMDLMDQDFFGNDQPQE >KN543014.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543014.1:4781:11032:1 gene:KN543014.1_FG001 transcript:KN543014.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLMFTVLTAEAAVAIALLFKTPVRKLAMLGLDRLKGGRGPVMVRTVAATVLVVLASSVHSMNKIRGRAAGELDGAGAGMLTPTDQVLLARHLLEASLMALKLVNLLTSAKYLNLYGGTIKGYSLFLALVIDRLHNYIREVRGLKRNLEAASKLNKTLDEAKLLGSSDESKTYQKDIASLNEEIKKMKRQLKEKANEAKDAEAKALAAQKQSEGLMIEYNHLVEDNKHLHDQLESADLTVSRSDGKKNT >AMDW01040674.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040674.1:66:1709:1 gene:AMDW01040674.1_FG001 transcript:AMDW01040674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKSKAAVTVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGKVLKVSVSRPTGAPSQQAPTNNSISVYVYVNLMPHVYDASLMDKHDLLFQVIRYILPLIELRTFAYADVRYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNM >KN540109.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540109.1:3916:5931:1 gene:KN540109.1_FG001 transcript:KN540109.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMVGRGGYGEVYRGVLEDGSAVAVKRLSPAAAADEKKEKDFLTELGTVGHVRHPNVTALLGCCVDRGLHLIFEFSARGSVSANLHDERLPAMPWRRRHGIAVGTARGLRYLHKGCARRIIHRDIKASNVLLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLLAWAKPYLNDGVAQGLVDPRLGDGGYDGAQLRRLMFVASLCVRPAAAWRPTMTQVLHSGTSTRRNGPFIIVKGFFADISSEMAWFNSSIKPYNDMKNLNRNQENRYTGIIVNGWWIN >KN540109.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540109.1:26233:27936:1 gene:KN540109.1_FG002 transcript:KN540109.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGVMRRLTLDYDGNLRLYSLDVAAGRWRVTWVAVGRQCYVHGLCGSNGICSFRPGPTCSCPVGYVPNDASDWSKGCRSSPDVRCGGDDVVDFVEMPHTDFWGFDVNYTAGVTFDACRRLCLDDCNCKAFGYRPGTGRCYPKIALWNGRIPIKPDQTIYLKVARSVKNQMINQSSSFLHFDGHACTVDERDASVGSSYLHGRSNEINFIYFYCFLAAVFVVEAIFVVVGYLFVFRADPVAAGRVRDEGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGRGSVYKGVLEDGRSIAVKRLGELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDKALFCDDGESSGVVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDYEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRPINGKADVYSFGVVLLELLRGHRVCDWVASAADGAWDLQRLAAWLKEKLKRDDDDDEVSTWLEELVDARLRGDFNHVQAAAMLELAVCCVDGEPNRRPSMNAVAQKLISLHDTR >KN540109.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540109.1:17755:19449:-1 gene:KN540109.1_FG003 transcript:KN540109.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGVMRRLTLDYDGNLRLYSLDATDGRWRATWAAIPRQCDVHGVCGRYGICTYSPGPTCSCPDGYVPHDASDWSKGCRRTFDVRCGEDVAFAEMRHTDYWGFDLNYTAGISFDACRRLCLADCRCEAFGYRQGTGECYPKISLWNGRVRSIPYQTIYLKFPTGVKNLINPSLLHFDGHACTMDERDATVSSSYLHGRRNTINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADSVAAGRVGDDGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGRGSVYKGVLEDGRSIAVKRLGELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDRALFFDGENSGVVVTDFGLVKLLSHDAGSHMALSRVQGTRGYIAPECWTVGRPITGKADVYSFGVVLLELLRGQRVSDWVAAADGACDLQRLAALLREKLKCDDGELPAWLEELVDARLRGDFHHLQAAGLLELAVSCVDGEPSRRPSMSTVVHKLISLDDRTSSLRHA >KN540109.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540109.1:12806:15667:1 gene:KN540109.1_FG004 transcript:KN540109.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAMARQTWELENNIPAAASDPDALDAIYRYDEAAQARGKCEGDAIVVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQIGSLHAIDHNVSEVSVFANALMTDLAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKASTSAPDSSGPEPMVEA >KN540109.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540109.1:39522:40908:1 gene:KN540109.1_FG005 transcript:KN540109.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRFRRKMKKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMECVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSGTNLSSGGTTTGSSEVAVSPPAKKSTDVMVE >AMDW01082018.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082018.1:83:589:-1 gene:AMDW01082018.1_FG001 transcript:AMDW01082018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPSYRRGSPLPAAALIQQEAAAAAAAAVSDSEDSEGPGDNNNHNAQRSPSQSVSSRSGNSNKRSRQEVDGGFRELARAIEAFAEMYERVESAKQKQALEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRIKKHAGTAPDGIGAAELVSSVAALPFLSTSTYI >AMDW01030634.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030634.1:60:347:1 gene:AMDW01030634.1_FG001 transcript:AMDW01030634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPDGLAHGDDRSDLGRLTESFLSAMPGELEKLVGRINASASAAGGGGREVTWMVADVNMAWAFPVAKKLGLRVAGFCPSSAAMFVTRIRIPEL >KN541882.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541882.1:5991:6874:-1 gene:KN541882.1_FG001 transcript:KN541882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVVHSEPANNVDAEPRDDLGQSRMQVDGPVVLNQSAELEPSDSMAIDDVPAQASSQPVPEKQSPPALMDTIVEVQKQLKRKRASSGPALAAADKDALVAGCRQELEGLLEYYKEVSGHRMQFEVGNLPTNAAIGCLLEESSLGLSKLVDEIYEKLKGMEGVSVTSVRSSVLLIGQRMMYGQSSPDADVLEDESEKALWCWEVRDLKVIPLRMRGPLSTRRTARKKIHERITAIYCKPDLLKSTSISQYVVVVL >KN541882.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541882.1:18227:21714:1 gene:KN541882.1_FG002 transcript:KN541882.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLLPVSILGAAAFPCRPLGLCEYCIQGLYDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPQYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDRYKRRHRDHWDDDYHDEL >AMDW01031515.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031515.1:91:323:-1 gene:AMDW01031515.1_FG001 transcript:AMDW01031515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSQQLPQYPESLSSLSRCSISLSSSSTERPPSPKLDLDQNLRIYLR >AMDW01031997.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031997.1:40:459:-1 gene:AMDW01031997.1_FG001 transcript:AMDW01031997.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATSLPSYASSSPSLFASGSSGTVPDAIFALALCRGDTNSSSCATCVAAAIQSAQKLCPLVKTVIVYDDTCILRFSNEAFPISPTSNSQGMVVDWNAQSVSAAVAPAFEAAVVRLINTTADYAATDSVRRFGTGEEAFDDT >KN539014.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539014.1:86013:99442:-1 gene:KN539014.1_FG001 transcript:KN539014.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MDSAAAAGEGQRFKRIPRQSLAGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDCKYGRYESVAPPYFQNQIFEGPDTDLETELQLSNDRQSKPDEVTEDDMPSTSGRQLYETEVPASSSKKHCSLSPLPAYEPAFDWENERSLIFGQRVPESVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQISLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTDKEKQKLQVWSRIMPYRESFAWAMIPLFENNQAGGAASPSSPLAPSMSGSSSQDSIVEPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDMDNISEGGSMANDLNDAGELNNGRYNRSSFDGIHGSLNSSAVAQKDAHQNGQASNAESGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTISLGRKRNLFVRVELRKDDSDIRKPPLEAVHPRDQNTTLQKWAHTQIAVGTRMACYHDEVKISLPALLTPQHHLLFTFYHVDLQMKPEAPKPVCFLFLIIKVVVGYAVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDYAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKDGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSILHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRKNISAGNMPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSITTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVNALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTLDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSSEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLKDIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >KN539014.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539014.1:161806:166102:1 gene:KN539014.1_FG002 transcript:KN539014.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MASFPPPPPPHVRPAPATPSPAPLPPPPQQQQPPPGSAPPAATLLVRRLPEAIPQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEVVASQAQSLLNRLRFLGKVLIVERANQPNSKNANEKQQEQESQGTLQVPSMNSLNQKNPISSAEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPQPSAANKPHLTDLSSDESELESSDEDVDKRKVKRTKHEAIVGPAVDKSIAHEAVGVKPAALVSSELQVIKKKNPVLQINIAPKAAQKELTVQSTTDKELVPTAEQLQGKHFVTPQDIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGSVFENMDIARSSLSIKLMQEGRMRGQAFVTFPSVELAQRALNLVHGYVFKGKPMIIQFGRNPAANKSS >KN539014.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539014.1:46484:50259:-1 gene:KN539014.1_FG003 transcript:KN539014.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPPPAQPFSRSNGSVDEVVGAGEELISPANSGGGAAANAPPFFPRGGDVLLDDFQLQEQLAFLNEGGVNPSHPLQGFDGAECRSPGPGEGGGMFPAAAAAALMLGGSDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKHQGDFSGCTTPTGLDGRDPFDLHQLGARMLQHSNSTNEMMLRRKLEEQQQAAELQQAIELHSRRLMDLQLLDLKNRAAAAVTTAMAMTIPTANAFGSSQPLATTMVESPPDSGEQLKGTGYFTEERKMVNGGGDKEESAGEASLNADSDQSLEHNLPDSPFASPTKSSVSAHQSFTTTDTGVIATSSCSASHVGISAGTNAGGGINHLRPSTLDIPSPRDFFSVSRSC >KN539014.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539014.1:116228:118009:1 gene:KN539014.1_FG004 transcript:KN539014.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNPAMLPPGFRFHPTDEELIVHYLRNRAASSPCPVSIIADVDIYKFDPWDLPSKANYGDREWYFFSPRDRNSGGGGGGAATNESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAAADAHAANTYRPMKFRNTSMRLDDWVLCRIYRKSSHASPLAVPPLSDHEQDEPCALEENAPLQRLAGIITGTEPPDAAPL >KN539014.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539014.1:134604:135946:1 gene:KN539014.1_FG005 transcript:KN539014.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQDQPPQHHGGGGGGAHQPTFKIFCRADEGYCVAVREGNVVLAPTNPRDEHQHWYKDMRFSAKIKDEEGNPAFALVNKATGLAIKHSLGQGHPVKLAPFNPEYPDESVLWTESGDVGKSFRCIRMLNNIRLNFDAFHGDKDHGGVHDGTTIVLWEWAKGDNQCWKILPWGDEAYAGGSANAPRGGNEPTVRIFCKADEGFSVTVRGGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPGYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTVALWKWCEGDNQRWKIVPWCKLPHPNIARCNLFTSTIINFLDEKE >KN539014.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539014.1:24344:27085:-1 gene:KN539014.1_FG006 transcript:KN539014.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPPPVPPFVHGGLSDDASIDAATAEQQQCQDFLLRSKSQRLGPAAFPFTPTGSLPASPSATSKCLSLLLQQQQQHNDNQRAAAAALMLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSSSANELMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAAHAAETTTMSLPTPIANAFTSGQPGATTIVESPPSSTGQLMASCGSPPEGKVVNGGNKADSAGEVTRNADSDQSGEHNLPDSPFASSTKSTAFSTATAAAATGSEGDFATGNSCNVGGSAVGGANHLRPPTLDIPSPRTCFFPMPRNT >KN539014.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539014.1:122598:123125:1 gene:KN539014.1_FG007 transcript:KN539014.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEEASGSRWMLIVVTTTRSGGRERRRRNAALAHVEKHYFSGVVHFTDAAGVYDAHFFDKIRQTQYSIHPSHLVSHFLFFCLIISTILFRLQIGLC >KN539014.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539014.1:70514:75200:1 gene:KN539014.1_FG008 transcript:KN539014.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPASATVEGSAGELVAAAGRRWGPRAVSGLGDGSTRYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQHLVLTLLVGNNALLHIQPGNIKKPGLSAYAMDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINYLLGNLGKPYSHTVGVVDLGGGSVQMAYAISEKDAGKAPPVAEGEDSYVKELLLKGTTYYLYVHSYLRYGLLAARAEILKAGEGNDYRNCLSSGASYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAPFAKVKPSDFEEAARRVCKLNVKDAQATYPDVSEENVPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >KN539014.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539014.1:5985:9289:1 gene:KN539014.1_FG009 transcript:KN539014.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDLGCLVVSRKLFVWTVGVLLAAAVFAGLVAGIAKAIPRHHRPPPPPDDFTVALRKALMFFNAQKSGKLPKNNNVHWRGNSCMKDGLSDPAVGRSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHIRDTIKWGADYFLKTFNSTADTIDRVVMQVGSGATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAASLAAASIVFKDNKAYSQKLVHGATTLFKFARQNRGRYSAGGSDAAKFYNSTSYWDEFVWGGSWMYLATGNSSYLQLATHPKLAKHAGAYWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPIFKSFNRTKGGLIQLNHGRPQPLQYVVNAAFLASLYSDYLEAADTPGWYCGPHFYPIETLRSFARTQIEYILGKNPLKMSYVVGYGNRYPKRVHHRGASIPKNGVHYGCKGGWKWRETKKPNPNIIVGAMVAGPDRHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >KN539014.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539014.1:153956:155254:1 gene:KN539014.1_FG010 transcript:KN539014.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVMAEVEETAAAAPPLDLPPGFRFHPTDEEIVSHYLTPKALNHRFSSGVIGDVDLNKCEPWHLPAMAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPSQLPRSAKDQWAVCKVFNKELALAAKNGPMALPPLMDPSFIADIDGVDDAKVSASTSGQAAVAAGFHVASQGMSYQQVKMEKPLPLPYLHQQPPRMLHSGQYFSLPAVHPGDLTPSAIRRYCKAEQVSGQTSALSASRDTGLSTDPNAAGCAEISSAPTSQPFPEFDDPILGLDDFWN >KN539014.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539014.1:81510:84756:1 gene:KN539014.1_FG011 transcript:KN539014.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHFSSLLGLPRPPLLAARPSCLGGGGSNSSASSAVTVAAADEVGRLAPPAVPRRTSLVAISTGQYKACLCLNGVYKSNGYLLISCNGGLNQMRAAICDMVTVARYMNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFSRKVPFSMQPISWSSEKYYLRQILPLVRKHKVVRFSRTDSRLANNGLPLKLQKLRCRVNYNALQFAPSIEALGKKMVSALRKTGSFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRFRSILNFITVCTYFSQTLINLHCYLLFGHQIRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHKTIQLDRKKLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPHECLGAARKRREKLKHTEI >KN542452.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542452.1:4781:7750:1 gene:KN542452.1_FG001 transcript:KN542452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVSSAKGAVRSLLGRISTMLVNEAQLLGRVRRDMQFIKDEMEMMDAFLLLLLHQQAGTLDHHYHNQCTPWIRQAMELARDCQDCVEQYAQCIAAGPSSKSLLLGRLRRVSRLVRSLPERHRLAVQIQDIKVRLGEEDEAAWRRYLASAEPPADLKNTVNELVRWLMEDQPAGLRIIPVVGICVDTSAIIAERVYQHSSVTSLFDCKAWITVRDCQSHLQILKDILCQLVLPLNKFRSEMIGWNEEQLVEKLRCYLRGKIFLIVLHDVRDESIWSHIKLAFPDDCSAGSAIIITTDDDKVAESFSAYKIFNPDSPGYVLNFFLSKAIALLKHENEKQLRKILPCMLIHLEPETFFMKMLLRYLYYGRYATLRLRDALQHTSSLHDYWPKNMVYLCYNYLPDKYRSCMLYLSIFPPGCSIRRTSLVRRWIVEGLITDEQERSALKQADHCFDALVGRLILCPRDIDASGKFRTCIVPDLVHDALTDLVARGSNITSVDTVLAPPELARHLSIRFSTKLHMSPSEPINSILSFLKSLHSSSRLGLLKVLDLDGCKGLKRRHLKNICGIYLLKYLSLRDTDITRLPKEIENLIYLETLDIRQTKISVFPRKSLVLPMLKHLFSGHTVYPSEDIFRQQESFSAIHIPHQIGRMTNMEILSHVKVSHGGMELIDVSKLLKLRKLGVVIHGADKDGYDCLLRVISRLHKCLRSLSIRIRLYSPDDGSRGFDMSMMDVTLPRFLESLTISGIRSGLPQWIEHLHQLTKVTLRDTSLTESAIHVLGKLVSLRYLRLRHRSYIRGDLTISTREFKNLRFIFIEGPEIVSISFDEGAAPRLERMVWHFTRMVSLVGIGHLLSIRELELEGDCDLEKIGVILRDIKAHPNDPSLKHIPAAGN >KN542452.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542452.1:9771:12514:1 gene:KN542452.1_FG002 transcript:KN542452.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAISAKGAVDSLLGHISAVLVSEAQLLGRVRGDMQFIKDEMELMNDLLMLLSTQHRHRLPWMKQATELARDTQDCIDQYVQCVAAGALPKGILGRLRQVSRLVLRLSVRHHLATQIQDIKVRICQVNDRRRAYDIAPITDPYGRIMRDIDIVFTDSRDAWSFSPSFDEPQADLKMSSVNELIKWLMEDQSAGLSIIPVTAVCMEASKVIAERVYKNSSITGLFDCQAWINVHGAESHLDILKDILSQVVLPLNKFKSDMDGWNEEQLVEKIHCYLRGKIFLVVLHDVRDESIWSHIKLAFPDDCSARSAIIITPNNDEVTESFSLYKIFNPDISGYVLTFFLKRAIALLKHQGEDMLWPSVPCMLIHLEPQIFFMKMFLRYLYYNGRRCPFRLEEEQHNAGLLHDYWPRKMVELCFYDLPVKCQNCMLYLSIFPPGCGIRRTSLVRRWVVEGLITDRQESSALEQADRCFDALVGRLLLCPSDIDASGKVKTCTVPDLVHDVIIDLVARGSGTTLVGTILAPKELACHLSIRFSMKLHMSPSEPIDNVLTFLKSLPSSSLLGLLKVLDLDGCRGLKRHHLKNICGIYLLKYLSLRDTDITRLPREIENLVHLETLDIRQTKISVFPRKSLVLPMLKHLFSGHTVYPISHGGMELIDVGQLLKLRKLGVVIHDTDKDGFDRLRHVISRLHKCLRSLSIRIRLYSPDDGSRGFDMSMVDATLPRLLESLTISGIRSGLPQWIEHLHQLTKVTLCDTSLTESAIHILGKLVGLRYLRLRHRSYIPGNLTISGREFKNLQLLLIENSDIVSIRFDEGAAPRLERLVWRFTVMDSLVGIDHLLSFRELQLHGDCDTEKIGVIMHDINAHPNDPSLTHIPAAGN >AMDW01046425.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046425.1:290:1205:-1 gene:AMDW01046425.1_FG001 transcript:AMDW01046425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQDGL >KN540914.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540914.1:16993:17967:1 gene:KN540914.1_FG001 transcript:KN540914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQILCAHPEFHDPQLPSLEARIDLAAAKVPKLAASAAEKAIAEWGRPATDITHLIFSTYSGGRAPSADLHLASLLGLRPSVCRTIINLHGCYGGGRALQLAKEVAENNHGARVLVACSEATLISFGGPDGSNLVGHGLFGDGAGTIIVGADPVANVERPLFGIVSASQTTIPNTEHAIGMEFTKGGLDFNMSIHVPALLRQNIERCLLDVFGIGPAVGVHIDGHIVEWNDLFWAVHPGGPPILDGIEAELKLQPEKLAASRHVLREYGNMSGATIVFVLDELRRRWRNEGGEQRPQWGVLLAFGPGITIETIVLHSAATQFP >KN542306.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542306.1:7445:11768:-1 gene:KN542306.1_FG001 transcript:KN542306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHLLLRFFLLLLLAFSLDDAPHLHYCTEAAPTTPPPPPPPPPFSFKFDFSNTYTYRLEDLRFEGTAAVHGATVDLTCNVAQCTTGRMSYGRAVPLWDRATNEVASFATDFVFKIVTPDNVARGDGMAFFLSSYPSRVPPKPSGQSFGLIAGDANDAGDGPDRFVAVEFDTYDDTFERPKQIGDHIGIDVSTINSTSLNFSRNGAMRASITFDNVTRMLVATVQFTEPPGSRSAPAVQVSAKLGDPRALLPSEVAVGFSTANGATFQLDQILSWSFNSTLASPHPVTKGHHKKKGAAGKFAIVGAPIFLLLVWSILSWWKWRSSSRDIDKRTGGVRQFKYNELAAATNQFSSENRLIGAGPFGEGYKGFFKEMGLHVAIKKISKESRSEGRNKDFYDEVKTISSAKHKNLVELVGWEKAYTIFLVYEFVDNSNLRVHLHEKEAVLPWTMRYKIVKDICAALVYLHHERRPFVLHRNIKPNNILLDKEFNAKVADFGLSRTADKVGKARYLDPECRKTGKFKRSSDVYSFGIVLLEIACKKDENSFAKVWSRYLEKSLMQVADDRLRGEFDERQMERVIILGLWCCQPNIDMRPTMQQAMDFLESDGPLPELAEPETSSSKIGN >KN540914.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540914.1:29157:29564:1 gene:KN540914.1_FG002 transcript:KN540914.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVLVSSDLYADAVLCLFMSQHHGHCLITTRKIIVIDEALPFFQAGSKAVGVHMVAELIIVIKVAGVHMEAGMHHQIKEDTVLNLPTETTNVDVRDLVSARREETSGQGSRAMAVMSNLEGMQAIKVSLFIKP >KN541864.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541864.1:2299:2812:-1 gene:KN541864.1_FG001 transcript:KN541864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVDEEERGGGGLGGVDAALAFGLDARLWAVESEHARVVNPEQRCMSERPTRDCAEGGGHVQPAGWEEGMGNRLQGEREWAGPSEEGAGPGRKMTEERELGYGKGKVVQRNRDLSQFFWGISEMDFWRVFDGIVNGLWDYNFVETLYVELE >KN541864.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541864.1:6602:8545:-1 gene:KN541864.1_FG002 transcript:KN541864.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPRSLNASTAGSFPFLLVLVVTSSFLSGRCLATTDSHHRRPPAGRHGPPRPVSPPSPPPPPPATTFSVLQYGAVGDGDKDDTKASAECIHMHAFVDAWSAACAVRSSTVVVPAGYRFVVGPVTFTGDSCQPNTVFQLDGTIVANTDSGAWCSGNAVQQWLEFRSCTGLTIQGSGTVDGQGSHWWSGGAPATDIDADRVGTNNRPTALRVYESTNVAVTGITIQNSARFHLTFDTCRAVEDVSLVGTSAGVRIKTWQGGSGSVRGVLFSGVRVSAVKTPIVIDQYYCDHATCANQTAAVAVSGVAYSGITGTYTQRPVYLACSDAAPCAGLRLEDIKLAPVKDGGYGRLYGPFCWKAYGDEVRPVVPPVDCLMAGEP >KN540363.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540363.1:2389:2688:-1 gene:KN540363.1_FG001 transcript:KN540363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQSLLVVVVVMISILHMVASSTVYDYLEKNNLPRGMIPLGVTSYVVHPNGHLEVTIPGMCDFFVTVDGKQYRARYGSNFGGVVQPGSINEVHGVRV >AMDW01037938.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037938.1:569:731:1 gene:AMDW01037938.1_FG001 transcript:AMDW01037938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTIPVIFGILFYVLAGTATATDAPDYVVQGRVYCDTCRAGFETNVTEYIK >KN540363.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540363.1:39917:40333:1 gene:KN540363.1_FG002 transcript:KN540363.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLLIVLVASILHAASSATVYDVLQQNNLPRGLIPQGVTSYVLHPDGHLEATLPAECNFVVTVGGSPYKFRFDSKFVGLIKSGSISEVIGVRVQFKYAFEAIVQVDHAGNQLTFKVGTSSISFPISDFTSSPVCG >KN540363.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540363.1:38049:38465:-1 gene:KN540363.1_FG003 transcript:KN540363.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLLIVLVASILHAASSATVYDVLQQNNLPRGLIPQGVTSYVLHPDGHLEVTLPGECNFVVTVGGSPYKFRFDSKFVGLIKSGSISEINGVRVQVKYLFQAIVQVDQAGNQLTFKVGTSSISFPISDFTSSPVCG >KN540363.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540363.1:10951:17552:-1 gene:KN540363.1_FG004 transcript:KN540363.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALCILTLLQLSGGVAAISGGTNDGSERWGYVQVRPTLSLSPDSSIAFKAHLFWWYYRSPHRVSSPGKPWPTILWLQGGPGGSGVGRGNFLEIGPLDVDLKPRNTSWLKKADLIFVDHPVGVGYSYADDPSALATTDLQAATDAAELIRALPGEIPALKRSPLYLVGESYGGKLAAIIGVSLTKSIRAGDLDLTLVGVVIGDGWISPADFSLTYARLLDDVSRLDENAIDDANNSSLIKSHSTHIRLAEKVSEQSAAGQFAASLQTLTGLLDLIDKSSGGVNIFNFLFNTSGVDLRVLAAEDKQKEVRGSPLMRFVGQDLSGSSGPNTIEGIMNGVIKEKLKIIPNNLVWQLATIAVFNALENEFMKPAINEVDELLSLGVNVTVYNGQRYTHTIEQCELLICAMGLVGWNGLNDFFRVLREPMHYFCYPGHDSTAFRRIYKNLQYYWILEAGHVVPADQPCVALNMIGNILQSEDV >AMDW01036659.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036659.1:30:612:-1 gene:AMDW01036659.1_FG001 transcript:AMDW01036659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSAAGRALALEFFSFPSLPVPALPPDPHFLPFTSADELPAATVADDGLDPLPIASALSDFLAAVIPQALPVPTVPAADEVLDDFLYDRGGYGEDFSSGESGAFRIPKASEILCLDYVG >AMDW01061327.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061327.1:61:546:1 gene:AMDW01061327.1_FG001 transcript:AMDW01061327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDM >KN540247.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540247.1:4647:7709:1 gene:KN540247.1_FG001 transcript:KN540247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFALLLFSYGVDNIRCATVTENSTDMVSLLDFQKAIESDPTGFLKNWNGSTPFCKWEGVTCSRNHSGRVVALELPGLRLSGQISPSVGNLTFLKTLDLSSNSFSGLLPPLNRLHRLQVLDLSSNSLQDTIPDTLANFSNLATLNLSYNSLVGEIPRKLGLLPNLQILWVSMNNLTGTIPPIFSNNSRIQSLALSYNQLSGGIPDDLGKLSNLQFLALGGNNLSGGFPHALFNLSNSLEALGLEKNNLGNELPSNIGDALPNLVLLFLNRNMFEGRIPSSLGNASGIQRLELGNNKLNGEIPSTFGKLRELSYLNLEGNNLEARENRGWEFLDALTNCSLLGMLSLAKNQLHGSIPNSVGNLSTGLYMLLLGGNGLSGIVPPSVGNLRSLIQLTLDHNNLSGTIDEWIGNLKELQQLGLQGNNFVGSIPSSVGNFNVLEQLFLQDNQFDGSIPSNLGNLSGLSSLDLSNNNLQGNIPLEIGKLTQLTDLDLSSNKLTGEIPNTFDNLPTIQTIQLANNFLTGNISNFFGNLKSLVMLNLSHNNLSGVIPTSLNGLQLNSLDLSYNHLQGVVPRNGVFENATAVSLEGNWGLCGGAMDLHIPPCAAVSRKTTRQNYLIKILIPIFGFMSLVLLVYFLLLEKKRPRTYDTQPFGDNFIKVSYNDLAQATTNFSESNLIGRGSYGTVYRGKLKETKMEVAVKVFDLEMRGAEKSFLSECEALRSIQHRNLLPIITACSTVDNSGRVFKALVYEFMPNGSLDTWLHHKGDGRAPKHLDLLQRLSIAINVADALDYLHHDCGRPTIHCDLKPSNILLDDDMNALLGDFGIASFYLDSLSTSSDSSSVGLKGTIGYIAPEYAGGGRHASTTGDVYSFGIILMEMMTGKRPTDPMFKDELDIVNFVGRNFPNQILSVVDANIAEEHKDFAHAKTHSENAIYQCLMAVIQIAISCTNGLPSERMNMKEVATKMNAIKTAYLGRRAKQNI >KN540247.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540247.1:42260:43698:1 gene:KN540247.1_FG002 transcript:KN540247.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLKFPVPQRLLLLLSYVVVAVADPALLTHETIESSASYNPQPLEDFPNEQLYRAYLVIQRFKNTITSDPKNITTTWTGRDICGETAYLGFYCATPTGRAKNLTVTAFVMNGFNLHAPKLQGFIDELPDLAFFHAASNNFGGDIPLLSGLPYLYELNVGTKVHTQAKGDVIVHGDGGLPCITTSIDFSFHFNTDHKKKGGGGGGGYIPAGATDSKALLLNYNNLSGELPANLGFSKLSYLALANNKLTGPIPQSIGHLQDSLLEVLLLNNQLSGCLPQELGMLYNTI >KN538740.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538740.1:224423:230739:1 gene:KN538740.1_FG001 transcript:KN538740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATETETVRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALREKIVAGERKFEDVATEESDCNSAKRGGDLGPFERGKMQKAFEKAVLALKVGEISDVVDTDSGFHPDEDGAGGEGEVVGELAPGEIAVLLWMCWVMAWSGSAPGVSGGQEGGGKGEPVLLPDVTHLVLSALVSAGAVADDAGVWGWEVSRGGKGVKVQEFTSWVLSTAAGLGNCLSRYVQERFRSCAADPVEERSVSTGNTSSCNPDVYLLTRGRAWAISLSLRNTLSEKFLSASVIGMDTEDLLYRSSVHGKGLSRFWSCVEGYKGPVLILLSAFSRSGGDNVDADQRWGIGILTEEGLQNKDTFYGSSASLCSTYPIFRMLPPSADSSLRPYCASDLAGKEKNFIYCHLHPQIRVYEAKPKPVGLGFGGTIGNERIFLDEDFSKLTVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDMYKKREDIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREDR >KN538740.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538740.1:321295:322398:1 gene:KN538740.1_FG002 transcript:KN538740.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRFHEQKLLKKTNFLDFKREKGHRDAIVTQRYLLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPYRAEMTDMLLDKLLTKFVKFQ >KN538740.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538740.1:186945:187964:-1 gene:KN538740.1_FG003 transcript:KN538740.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPTAEVLSLHPSGDDAVELMLIQVTRFACGSLVVGFTTQHIVADGRATNNFFLAWSQATRGAAFHPVPVHDRVSFFAPRDTPKVEFEHRGVEFKPYDDDEDVHASGGGDDDDEVVINKVHLSREFISKLKSQASAGAHRPYSTLQCVVAHLWRCMTKARGLDGRESTSVCIAVDGRARMSPPVPDGYTGNVVLWARPTATAGELVTRPLKHAVELINREVTRINDGYFKSFIDFANSGAVEEERLVASADAAEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFFGDGSVDAYVPLFSRDMDVFKNCCYSFDKDHTTSLA >KN538740.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538740.1:166832:168091:1 gene:KN538740.1_FG004 transcript:KN538740.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAMFLACLGRSSGDPADQGGAGLLFGTHFQDAWDDLVQEGVVEGEKRDSFNIPVYAPSLQEFRDVVRADGAFAIDRLELVRGGSPLVVDRPDDAAEQICRSRYKIMQSFWKYHLFGKFGHDQTVNYRLKPYISH >KN538827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538827.1:167270:173730:-1 gene:KN538827.1_FG001 transcript:KN538827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNTTVDLWFEQCTFTAWDGGRAEGFLSMEVTEEEAEAKLQDTIRDSHLLASLSDAILGVQNYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTMARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEVLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKDWQKSDVRFILFVKIYTTQVVQLSHDE >KN538740.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538740.1:278594:280538:1 gene:KN538740.1_FG005 transcript:KN538740.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCIRKHHARCFESLTSFCYITAKYQEMTSLPVHHPMVKRKMH >KN538740.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538740.1:165381:165612:1 gene:KN538740.1_FG006 transcript:KN538740.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGENVTVSAAAAPRMKKLASMLCMKGGTGDGSYLNNSQAQQRKS >KN538740.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538740.1:281461:287151:-1 gene:KN538740.1_FG007 transcript:KN538740.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVVGGAQGNLVSPTRMRSLMGVDIRFVASGCSTLIEMNYLWVLNVFRYKVIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIETSPMPCLVTEATNAVCGADFTVWLSSVEGSTILTAGLPQYGQLGHGTDNEYNIKDSSVKLAYDPQPRPRAIASLSGKTIVKAACGTNHTVAVDSSGYVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAIISAGAASSACTAGGGQLYMWGKLKNTGDDWMYPKPLLDLSGWNIRCMASGNMHHIVGADDSCISWGTAQNGELGYGPNGQKSSANPKKVDILEGMHVISISFSSRSTNCTDLDIYDGDTSTEVEDRVEVQVAKKASASTNARSNKRKKTKDVSESEEDDDEEDDSDDDENGEIKGGKGRRGRKPSNRGRGRGAKKATPEPKPSARGRGRPKKTESPAQKTGSSGRGGKRGKRGRARK >KN538740.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538740.1:257209:261924:-1 gene:KN538740.1_FG008 transcript:KN538740.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKNGCLKILACAGGGSDPSAGSDGDADDHVDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNIYSSTYSYASEKPLQQEKPDEKILQQEKSDEKILQQDEPAEKILQQEKPDEKILQQDKPDEEPLNEETPDEKLIEKPIDQPGDESIEKPADEPIEKSADQITERSIEQPAERVTEVPIQEPAERVTETPIVKPNDNDVEEHTDKMDESIFVSSTEVKQEETVSLFDGSSEDHQEDRAETPAAVIQSGIRVHTEEQELPNDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRAHQAQQYGGKFQDSLICSSSEKLLNNGFALKLMDSMSTSKSIHIKCDLSEPDVAWKRMERWTSMIPPNTGGHLLEDRENNESVDEKIKGDAQHEENTLPLDSDISFPKLVPDDAEETLRPSDSHPLEVSACIPDRTSGMEIEDVPEPDLIEKFKEDVEKLTEPETENVAEQPLEVSVEQSTQTDTSREPIPLPEKPESSFDDTMDAYKTEQTLEMEGKKFMARKSCNPAFAAAQLKFEELTSNSTVSRSNSLDGANKPKVHTPRSQDNVSPKQSNDRSIPESSVGHDPKIVVAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNHVGDNAEKDNSVLHSEVNTSGGAIELEKEVQTDDTAIAANAIDPVPVEQPHLGQEKPDLHDELEKSVGSYVKTPEGTPLSRTTFAESHGTPSSEVSVNTKKSKSKRPKSHVSKRSLTSPSSDSVGRSSMDNLSKDYRLGRRESSGKVKSDHVDQEPRISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSQAQQNVKSNGAVPVPHNSSGK >KN538827.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538827.1:149415:150193:-1 gene:KN538827.1_FG002 transcript:KN538827.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFTFNALLTLVIDQLISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSGKAFFHS >KN538740.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538740.1:242184:244294:-1 gene:KN538740.1_FG009 transcript:KN538740.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 25 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/Swiss-Prot;Acc:Q84WS8] MGHTIVAIPSGPTSTGRREFVLPGGGGNAMDPGKSFSPAAEEEATDSVSRKPEEVAAYQSSEAKQAKLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRLTVVKLDGTSFDVAMLNSATVKDLKMAIRKKTDEIEQEKMGHRHISWFIFSFMAFFSRTIRSLDSSIAR >KN538827.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538827.1:181386:182678:-1 gene:KN538827.1_FG003 transcript:KN538827.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYLDSENDHEGQEEDAKEANKAAGKIAIALQRAAPGPKVNPLDDEPKVKFGFEEEDELSARDKEKEELAKKKGKDAINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEYEDICKIFH >KN538740.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538740.1:183574:184943:1 gene:KN538740.1_FG010 transcript:KN538740.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPAYGDAVAAPSTADVVPLSVFDRANFDTYVSVIYAFRPPAPANAALEAGLAKALHIVAGAGATNNFFLAWSQATRGVAIHPVPVHDRASFFTPRDPPRVDYEHRGVEFKTCEMLDRNENDDDGRGHGHDGEVVVTHKVHFSREFISKLKALASAGGGQRSYSTLQCVVAHLWRCITMARGLEGSVATSVSIAVDGRARMSPPVPDGYTGNVVLWARPTATARELVTMPLQHAVGLINRAVARINDGYFKSFVDFASSGAVEAERLVSSADAAEMVLSPNIEVDSWLRIPFYELDFGSGQPFLFTPSYLPVEGLLILLPSFSGDGSVDAYVPLFSHDMDTFKNCCYVLPELS >KN538740.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538740.1:169665:170168:-1 gene:KN538740.1_FG011 transcript:KN538740.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKAATAPPTHRAPSPAAASPPYPSAARIADSDCFPQYTASLKCLEANQDKSKCQQQFDDYKECKKRESGHMP >KN538827.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538827.1:201161:206073:1 gene:KN538827.1_FG004 transcript:KN538827.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNIASAGGDDGSGEACRKAARGIDLNVMPQLEGKEIQRQSTSAKRRIDDESNRDEEPRKKVARGIDLNVMPDRDDEENQRQSTIAALKIDLNESPVNEGEPQKEQNISQLDENAKAFISELRKIKCRNNHFANRNVIFEHLMIHGVNKDYTTWVHHGETIPESDEDANSEMEDQAGFRMDEMTNDFWNAANASNGSSVNITTLDAQEDMGINTIINEETTKFQPLLGNKYCQMDMNELEKAQIYVLKNCEEISEYADIHKDQLSIQNSKGVEKRHDKEFFVWFKNHIFEKHSKGSPDISEELFALARGPDARVTHYTSYMINGWRFNTRDRDKLVQSQNSGVFVKEEEENDPTLSNKSSSEACQENEHITAALNYTTIDDGDFPTVLSKDGVECEPIEAELVTYFEDDMFEEAEEEEEDSESDGAEYTDIDDESDIQSEEDVDDS >KN538740.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538740.1:218560:218907:-1 gene:KN538740.1_FG012 transcript:KN538740.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTLTSLATLVSSGDGGYGGSCLFLPPPTSTKAQRAFLTATSSLFFFVPELGMKMAVEHRQAKGGEDGVASTTTIPRQQRHQGEEAKVPPTQQAVKGDDVEKRNERSWPVKG >KN538827.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538827.1:139326:142307:-1 gene:KN538827.1_FG005 transcript:KN538827.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHRCGRGATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPPPQQPPQQVKAL >KN538740.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538740.1:239241:240132:1 gene:KN538740.1_FG013 transcript:KN538740.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQYGRIEGKNVFYWFQNHKARERQKKRLTTLDVTTTTAAAAADADASHLAVLSLSPTAAGAAAPSFPGFYVGNGGAVQTDHTNWDCTAMATEKTFLQGPYDRAVLPSCHDANCLMRRTV >KN538740.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538740.1:295303:298891:1 gene:KN538740.1_FG014 transcript:KN538740.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVATTFLPTASNEATLRPSFVRDEDERPRVAYNQFSDAVPVISLQGIDGGEAARAEILARVAAACEEWGIFQVVDHGVDAGLVADMARLARDFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVKSRDYSRWPDKPAGWRAVVEQYSERLMGLACKLLGVLSEAMGLDTNALADACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDAGKTWITVQPIPGAFVVNLGDHAHIMHLLGNVNLQYLSNGRFKNADHQAVVNSDCCRLSIATFQNPAPDARVYPLASCLFSISAK >KN538683.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538683.1:545982:547073:-1 gene:KN538683.1_FG065 transcript:KN538683.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRPGGARRRCQLLDGDTAAFCASLVDGLAQLESTLLREEDDGDGGGGGGAVSMRGCADAMRLVKRMQRELLVMFKKADVPALTSPILPLAADVDDGHCTLGRAAAVPVPELQPWRESLSVITDRFPRRPGVAEHERVAMVVKSMMINTKMEGEEETRINGKQEQEDDHVELLRTRSGELREGVEMFDCVLDEVFDEVIKGRNEMLGIFRDKALTLG >KN538827.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538827.1:197273:198724:-1 gene:KN538827.1_FG006 transcript:KN538827.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVRASVNQMETSDDVARQVVPNTRNEGRMGPTGNTGLNHRKGRGLTINGTLAKLRARGVPLDIQFAAQFGKVCGRHASVFKSEVPLKVKKWKVIEKAFPGTMSSIWNLLKCPSHVAPEDWQWLIDNLWSDEQFQKRSKQNSINRSKQEMKSHVGTKSIVQIAHELRNLVTGEWPSAIDVWKATYLKNGTWSVPNGEEILNNLQTAAETNQERIAAAQIPTVEHVALVLGRKPNHSTRVELVLVQSMRELKRGTELMHKQKLHNSKPMKHINKLLLCSKKFKN >KN538740.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538740.1:245881:246213:1 gene:KN538740.1_FG015 transcript:KN538740.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KN538740.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538740.1:250476:252499:1 gene:KN538740.1_FG016 transcript:KN538740.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRLFLSEDSPSQAGLRPQDNLQAKGSWLLHAAGPSSDDSLPPGFESLPPSNDLKIDISQIPLIRWKCPPHIVLEQDWHIVAGEESREIEIQNERINGALEAIYPRPSNIPPNPFLSLDVKDAHYDDSKTLLVPLIPLEDDDASDQLEGPTLDLPSHYNITGVSNTPVSAEQQPPCGGAISSGLTIEPQAAVSATVTAIMQTIQSNQNGSMADQNGSTIDQELLFKILSDPSQLQRLMKECGPVRHEQSASSSVVAPLVSIPPPQITASSPAPFSDHVGTFHGTNPTLPPPPPMMNRPPSTIPSVAMNHPPGSSPAMNFGSALPSSSPSVNFGSVPGRGVGYYKTLIHQHGGERLEQPFEQHGMQFGMYRQPGPPQNGGIDAMNGAAAMVSRDGKVRPMKPCAYFNSPKGCRNGASCTFLHDASAPTRKDHQKQKGSKRIKLDNTMGGRN >KN538827.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538827.1:132463:137259:1 gene:KN538827.1_FG007 transcript:KN538827.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHRRLQLLRSSSTTMRTHVPSCIASNDHNHSSSSNVEADERLALWAGMSVDELAEVRNATPPWFLSMEEIMELSWIADALEVLDEMSFKGCAPIPPMYHVILEAACRSGGFRNSVRVLEAMHAKGCTLDTGNCNLVLNAICEQGCVDEAVGLLRKLAFFGCEADIVSYNAVLKGLCMAKRWGDVEELMDEMVRVDCPPNIVTFNTLIGYLCRNGLFERVHEVLAQMSEHGCTPDIRMYATIIDGICKEGHLEVANEILNRMPSYGLKPNVVCYNTVLKGLCSAERWKEAEELLSEMFQKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLSHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSSCGCKPNTVSYTIVLKGLCSAGRWVDAEELMSQMIQQGCPPNPVTFNTLINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMVNKGINPNTIIYSSIACALSREGRVNKVIQMFDNIEDTTIRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCMPNESTYTMLIKGLASEGFAKEAQELLSELCSRGALRKHLMRHFGISVQVSDVATENEYFVTLSG >KN538740.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538740.1:210568:217933:1 gene:KN538740.1_FG017 transcript:KN538740.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKAKTGVLRNAAALLDEMQLMGETQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPNYPNLPSQLLCQVHNITLHADKDTDEVYAQMTLQPVNSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFISSNVNMTAIGHHTFYSLGLTTAYEMPFLTEVPDCRDEKSQLLLGVRRATRQQTMLSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVIPVARYNKATYMQPSVGMRFAMMFETEESSKRRYTGTVVGISDYDPMRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFPSSTLNSKRQCLPGYGVSVPGMELGSANMSSFPRAQGNPYGSLQHIPAVGSELAIMLLNQSGQTLGSPLSFHQSSYSSIIQNAKQNFIPPLTVSTSACLTKQESMPSDDAQHQFHMANMQNGDLEGSEVQPVIDSISESKLNATSRDPRNTDSYTSRSTSEQNSKGEPRGKTRKSKKGLPHKTVSEKSDLSSAPSWICDNQQVGLESKLVGCDEQVNCGNIEDSSGALTQGNFVGQPRGHQVEQKGVLSPPKVESSKSPDEGKSVNSFPNQGCFSQFIDGLDWMTQPSYYQDSNVIQPAGVPENIFSSSVDIPPSMIADTMETFQASCLSDCLPNSIQEFISSPDLNSLTFLSPDMQNLEVQLQHDGSNLPSTSNSFVQMSFSEESASQSANLSGLHMESTHRSINTTSCSQPMSTGGFDAGMYSKLPRLKESQILSLPEIHTNSMGTSACSMDATEYSLDRSTKPMKPPVRTYTKVQKQGSVGRSIDVTGFRNYHELRSAIACMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSENDIYDLEKSETTSKLPGGRGGARKDGRDPSLETAAEGGGAAALAVVDEVCVESRTVGGDGTIGSGRARELADAGGEKVGEGGDGTIGGGRARELADAGGEKVGEGENPGNDELEQLSHTVDELVGESSRSGGGVGGDEVGGAETFVEGGDKEGERAAPRRRRVGADVASRVCGGADEERVPLPRRAEVAAAGEIIVGDVLVIGVEWRKTKVGDEEPSRGLAMLRRRRQGECTPSFARVLRRKGRTVPRRFGRNWI >KN538827.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538827.1:156229:160762:-1 gene:KN538827.1_FG008 transcript:KN538827.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMRAPALVAMLVVVLVALVRRRRHRSKGAGGRLESLPPGPVGLPVIGNMHQMLVNKPVFRWVHRLLADAGGEIVCVRLGPVHVVAVTSPEMAREVLRKNDAVFADRPTTFAAESFSVGYRSASISPHGDQHFCGNVIRRLTLGRRHFREPPRANDDEGAAAPGIDEAEHVEALFATLNYLDAFCVSDYFPALVGLDLDGHEKVIKKVMRTLNRLHDPVVEERVEEWRLLRKAGERRDVADFLDVLASLDDAAGRPLLTVEEIKAQTIDIMIATVDNPSNAVEWALAEMMNKPEESDVRDLNYLKACIREAFRLHPYHPFNPPRVAMADTTIAGYTIPKGSQVILSRVGLGRNPRVWDDPLEFRPERHLSSSYPGDAGVVALTEAELRFVSFSTGRRGCPGVSLGTLITVTLFARLLQGFEWSKPAGVERVELREEAASLVLAQPLVLQATPRLAAHLYGAAK >KN538827.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538827.1:162718:163429:-1 gene:KN538827.1_FG009 transcript:KN538827.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPFTETPDPVVVAPNSEAHAGGSPSQPLPCKLSAVFLAQRVKGSSMHRLEIDFNFELMHQADFFFVVCTLKAPVPKPYRYRF >KN538683.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538683.1:487302:490702:-1 gene:KN538683.1_FG066 transcript:KN538683.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMTDSTGPSLPTNRSKSASQNRFSIEVVPLKYRDSVDEETLPLSLPKADNRYLMEDFPVRYKDLNIA >KN538740.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538740.1:171035:173392:1 gene:KN538740.1_FG018 transcript:KN538740.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVNGKLQLHDGRTAYHFQPAKFWQNGPMYHNGLYHFFYQYNPHGPLWDTGKLSWGHSVSGDLVNWAFLGTAIDPTDPFDVNGCWSGSATVLAGGRPAFLYTGRDADEVQVAGAGSALVYRSADFLRWERNAAPMHSSAAVPVLECPDFFPVAEHGTDGLDTSANGAGTGVKHVLKLSEFDTHQDFYMVGRYDDEGDTFSPEEPDRGDNCRRWRCLDYGQAYAAKSFFDARRNRRVQWLWVNEYDSRDDDVAKGWAGVQAFPRKVWLDGDGKQLLQWPVDEIETLRTKRVGLQGTEVKAGGLHEIVGVASSQADVEVVFEIPNLEDEAESFDPDWLDPHKLCKEKGAAAAHGGVGPFGLIVMASGDLQEQTAVFFRVFKHHGKYKVFMCTDLTRSSTKADVYKDAYGGFVDVDIEKDKSISLRTLIDHSMIESFGGGGRACITTRVYPEHAATSSSHLYVFNNGSGTVNVSKLEAWEMATATVNSADALDAVRVAETS >KN538740.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538740.1:221484:221921:-1 gene:KN538740.1_FG019 transcript:KN538740.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTQIIPVLCLGNGGSGPNRAVSEDPGSEHTAAEDETAREIVDVEVRRQVARYKHKLREFKLTVIGTAVFLVFWVVLLLIGTLDHHMSVSWRRFFVTCGVFECLFWLYLVVGHLREYGLSIPKISDFSNEEHQLPPVGHDRC >KN538740.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538740.1:291486:293525:1 gene:KN538740.1_FG020 transcript:KN538740.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MPFLPVMWVNSQEQNPYNSNMVIATLNTQTGYKQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLASEVCGALHASIQPAGVAVALQCWHIPLPENLKCKTLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSHSASIAWCPLRSHDVPVCNGHCKKATTNGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNLSVYQSPAGDAADHRAIHSELHLPFCAQCEHHLLPFYGVVHIGYLDGGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKARFLQNVVDTTGLAV >KN538683.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538683.1:599896:601044:-1 gene:KN538683.1_FG068 transcript:KN538683.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDRPNAKGDTPLHCAARAGNAAMVRCLLEMAREEEERGGARFRVADVLEKQNSRRETALHDAVRLGDEHLVGHLMTEHPRLARLPGGDGMSPLYLAISLGHDRIAELLHQQGGDELSYSGPAGQTALHAAVLRGAGKVEVMISDPEVLV >KN538740.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538740.1:174180:176501:1 gene:KN538740.1_FG021 transcript:KN538740.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGLPLSVFAFAVHFCLVFSSSTSPPVCPANARHRDRTAYHFQPAKNWQNGPVYYNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWAALDNALDPTAPFDANGCASGSVTILPDGVPVIMYSGIDARRRQVQNVAFPKNPRDPLLREWTKPGYNPSFPRAVWLDEGGRQLVQWPVEEIETLRRKRGVLLGGNEVEAGGLREIGGIAGSQADVEVAFEIASLADADADRLDPDHLRDPDALCGENGAAVHGGIGPFGLLVMASGDLRERTAVFFRVFRLSHGYTVLMCTDLTRSTSRAGVYKPSHGGFVDIDIEKDKAISLRTLIDHSIVESFGGGGRTCMTARVYPEHVATGSSHMYVFNNASDAVKVSKLEAWELATASVNAGDDGLISYGGSVCAAQGQ >KN538683.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538683.1:582656:587893:-1 gene:KN538683.1_FG070 transcript:KN538683.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKIMEWNDGLSGEADASGSTALHFAASADGPKIDIENSSLLRWLRLPCPCQCRRTSTELLLETDPSLACQPDNDGDYPIHVAASVGNLRLVALLLDKCPECAGLRDARGRTFLHVAVDRMRQEIVEFATDDYGRRYLAAILNAQDDNGNTALHLAVVAGVLRVFWCLFRNRKVCLDLANNDGLTPADLARSTIPAGLYYNRTNARTWILWCLTIANAFSGGYIRRDHFLQQHVPKVDESAESKKMTESTQVLGVGSVLVATVAFAVTFSPPGGYAAGDGVGVPALAGRYAFDAFMYAVALAFTCSMLATFSLMYAGRAAVELYIRHRYFKNSVWWMRLSMRSLLVAFALGVYLVLAPVSRATTAAGVCALTAGTMLFRNRELVLMLSCAYVVCKRMGIRVVVRIGAPIAIDLFISNLVYLIIFGAPFCTPLCVLIFVWRVAPTEVLRYVSRKRI >KN538683.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538683.1:570391:572094:1 gene:KN538683.1_FG071 transcript:KN538683.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKLVALLLHRCPECAGLRDARGRTFLHVAVDRGREEIVGFATDDRRRRDGSQLATPILNAQDDDGNTALHLAVASGVLNVFCYLLRNRRVCLDLANNDGLTPADLSRCTIPAGLNYKTNARTWILWSLVVAKALSGNIRRDHFQQQYVPKLDEIAESKKMTESTQILGVGSVLVATVAFAAAFSPPGGYAAGDGNNNNGRGNVVVVAGSPALSGRYAFDAFMYAVTVAFTCSMLATFSLIYAGTAAVDWKIRHRYFKHSLSWMRKSTRSLLVAFALGVYLVLAPVSRATAVGICVFTAGTLLFRNREVVRMLICAYVLQRRMGITVLAKIGVPIAVDLVKSNLVYLVIFGGPLCTPLCVLFFVWRLAPVIMRYVHRKLI >KN538683.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538683.1:483061:484992:1 gene:KN538683.1_FG072 transcript:KN538683.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISRHRAPASSSSLCLLFLTFLLSVSMAAATEKSPMQLNKAQENIMRDILGLVSSAMDSSLTKSWNTSSNPCEWSGVHCTSAASSSFVTRLSLPGYGLSNATILASICLLDTLHSLNLSRNSFTDLPSQFSPCPMKAELQVLDLSYNRLSSHLGNFSGFHELEVLDLSFNSLNDNISTQLNYLPKLRSLNLSSNGFEGPIPTSMVTSLEELVFSGNNFSGRIPMGLFRYGNITLLDLSQNNLVDDVPDGFLSFPKLRILLLSENNLTGKIPQSLLNVTTLFRFASNENKLSGSIPQGITKNIRMLDLSYNMLNGEMPSDLLSPDSLETIDLTANRLEGLIPGNFSRSLYRLRLGCNMLSGSIPESIGNAIRLAYLELDDNQLSGPIPSQLGKCNNMVLMDLSTNKLQGVVPDELRNLQQLEVIKLQTNNFSGYIPRIFSGMTNMEVLNLSANSFSGEIPSTLVLLSKLCYLDLHGNNFSGVIPPSISSLQFLSTLDLGNNQLTGTIPTMPTKIGALILSHNHLQGSIPSSIGALSNLLLLDLSDNHLSGQVPSSFANLKGLIYLSLCYNQLSGPMPELPRGVKVDVSGNPGLTICTEDSDSQYNMASTEDDFRSTTWVATVSSVVGFIISFYWAGIRKYCY >KN538683.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538683.1:517286:519276:-1 gene:KN538683.1_FG074 transcript:KN538683.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKRSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGRCVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPK >KN538683.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538683.1:559269:559769:1 gene:KN538683.1_FG075 transcript:KN538683.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVAIAFAYSMLATFSLMYASTAAVDWKLRRAYFDRSLAWMRQSTRSLLLAFGLGVYLVLAPVSPAAAIGIFVSASGTLFLRNREVLRMLMCAYVLHKRMGIVVLARIGVPMAVVQLQSSLIFVVIFGAPLCPPLCLLVFVGKLVHEDVSFLRYVNGKVGSLPFQ >KN538683.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538683.1:574635:576495:-1 gene:KN538683.1_FG076 transcript:KN538683.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKIMEWNAGLSGEADASGSTALRFAASADGPKIDIENSSLLRWLLLPCPCPCQCRRTSTELLLETDPSLACQPDGDGDYPIHVAASAGNLRLVALLLDKCPECAGLRDARGRTFLHVAVDRRRQEVVGFASDDDGRGFPAAAILNARDDDGNTALHLAVVAGVLRVFWCLFRNRKVCLDLANNDGLTPADLARSTIPAGLYSNRTNARTWILWCLTIANGLSGVNIRRDHFLQRHVPKLDESAESKKMTESAQMNVRHRYFKNSVWWMRLSMRSLLVAFALGVYLVLAPVSRATAAGVCALAAGTLLFRNRELVLMVSCAHVVCRRMGIRVVLRIGAPIGIDLLISNIVYLIIFGAPFCTPLCVLILFWRVAPTEVLRYVLRKRI >KN538683.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538683.1:580059:581825:1 gene:KN538683.1_FG077 transcript:KN538683.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQPCKREQELGWGSFHPSNAHQLFDGMPSQPEMSKKDQSISDPVPINSTMKKEEKWLDEALDRILEKFEQMEVKRRCDEKIDRILKKLDEIDANRNKFFEEMGASIKATTAVLNAGSSLPPMAPSPLAPTKCLTECSNNITWVAANSSHIATDGTTGNTNIDAPVCFKETHAMCSMVGLDVNGGNDQAVVAFQTKTVVSKDVSASIYSMGNLATKLFVDKMMAQCSTSVNEANNCHVVTETMELSTGSRCHRPRLCLPS >KN538683.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538683.1:514559:515671:1 gene:KN538683.1_FG078 transcript:KN538683.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFFPAATSPRRDHRLGLVGSPPPLLFAAVVEVDDGDDGEEEEMDLLWEDFNEELARAPPVCPLSPLNIKGGGLTATTAMAKDDGGGGEKQARRMYSGSVYYMFDVSVTGQSNPRSCIWFVVTNLVDADALPSKPTEMRELLNVKEQARFSGEFTRNPLYVRQCQMIPSLQLHKCCN >KN538683.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538683.1:541478:545581:1 gene:KN538683.1_FG080 transcript:KN538683.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGATDKEAAEVAEGGKGGTLYNEGGRKELEQYEAAAMGAAGTGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGDSGHESIESKENIAHDNTGNKESIALERRTETGAGISDGVDVIDAANVNQKKVSATGDKKHASKKKSKRKKTGSTCEMRFLNSTAQLVEPAKNEKFASFNLEYVEVEDKPLGSEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENKKYMSKCHIAVSSCIFGNSDRLRTPFGKTKLMEIWFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFRLNMFKLAAIEHSFNNEEVII >KN538683.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538683.1:477437:479314:1 gene:KN538683.1_FG081 transcript:KN538683.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSRHHAPDSSLCLLLMFLLLGVPMAASTEQSPARLNKAQEDIMRDILSSVSSTKNWDTSSNPCQWSGVHCSSVASSAFVTRLSLPGCGLSNATILASICNLHTLRSLNLSRNFFTDLPSQVSPCPMKAELQVLDLSSNMLSGQLGDFSGFHKLEVLDLSSNSLNGNISTQLSDLPKLRSLNLSSNGFEGPIPTSITTSLEDLVLSGNNFSDHILMGLFRYGNLTLLDLCRNNLRGDVPDGFLSFPKLRILVLSENNLTGKIPRSLLNVTTLFRFGGNQNNFVGSIPQGITRNIRMLDLSYNMLNGDIPSELLSPDTLETIDLTANRLEGFIPGNVSRSLHSIRLGRNLLGGSIPESIGNAIDLVNLLLDGNKLVGYIPWQLSRCKNLALIDLSSNQVQGNIPIGLGNLEQLVVLKLQKNNLSGDIPSSFSDMSALEILNLSHNSFTGELPFTNSTQSLKLCYLGLHGNKLNGVIPSSISLLQSLITIDLGNNELTGIIPTNIGTFLKLERLDLSKNYLSGQVPSSVANLERLMCLFLSDNNLSGPLPELPKWVMVNVTGNPGIILDTEENRTSGSMKGSQDDFRSAIWVAAASFVLGFSLSFYRAGPGEKLMPRLETLHCDD >AMDW01038203.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038203.1:289:537:-1 gene:AMDW01038203.1_FG001 transcript:AMDW01038203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >AMDW01036976.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036976.1:129:662:-1 gene:AMDW01036976.1_FG001 transcript:AMDW01036976.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLRDDVGEMMKGIEDPDEHKKLGLPYMLSWLTCHRWQRATTKNSPSNADSFQRLPVGSTTPTTPWTPWWKTMTATRWSRYALMSGGFLLAAAFLYFAVAGVVLMLGPSLPAMNHRNGNGNVTMDITRQAGWAKMEHELEAVITTAKSDGETGSLFRRAAASSEWSIDGEIDRYLYM >AMDW01035365.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035365.1:39:514:-1 gene:AMDW01035365.1_FG001 transcript:AMDW01035365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRLCLPAVFKSKISTWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPVIMSVLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSS >AMDW01035217.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035217.1:8:450:-1 gene:AMDW01035217.1_FG001 transcript:AMDW01035217.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQ >KN541642.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541642.1:795:16046:1 gene:KN541642.1_FG001 transcript:KN541642.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYEAPSRPPAQRQVATTAMAPVSALPATPHVAAERAIPASMRPTEVERALKRHRQPQSQETSTTTALHWHHGRQQLKLPVDDQVNIFTRESGQLKQPSTICHSLRSCLDGKANVPLLPWSKAMWNRQKRVLHACYTKVSPSAPVDNPKLVAWSQSVADILDLDHKEFERPDFPQLFSGANPLVGSSPYAQCYGGHQFGSWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIVRHLADYTIRHHYPHLENIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAFLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTSPLTAAELISKDEANYVMERKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADHNIPEKELLVPLKAALLDIGPERKEAWISWVQTYIEELVSSGVPDEERKAAMNSVNPKYVLRNYLCQTAIDAAEQGDYDEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >KN547091.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547091.1:236:427:1 gene:KN547091.1_FG001 transcript:KN547091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRGSLCRRRGSAARATSPIGFRIYDCIVAMKLHTSGSRSPHGEELAAATAKRDNLAASVK >KN541642.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541642.1:17660:20413:-1 gene:KN541642.1_FG002 transcript:KN541642.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGLRSCSAVGVPSLLAPSSNRSRLPVCAYATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESESPSPLLRRPSHSLLHFASPSSSGRHTSPPLPAAAAAQSGRDEVAFEAADRSGSTSGAGEVMVASGDERSGGVHPFRVKRGSTSLGQKLSSYGSSSLALDRPQWRGLDLELLLSSSLPAASPPPTMTGVAAIISPLSPTSLHPHRKH >KN544181.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544181.1:2171:2410:-1 gene:KN544181.1_FG001 transcript:KN544181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAAVRGGEIVSIKTAWPEVVGWVELNAAFQINRDRPDVHVAFYMVGTQLPTDHDDLRVIIVSDAGTVVVRTPVVG >KN541739.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541739.1:7511:9030:1 gene:KN541739.1_FG001 transcript:KN541739.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFTSGSFKKYADLKDQAALDDMESGGGGGEGANLEQFFEEGRLQALDRANAASRKLPGRGLGSSTDRTRSSVVSGLGTKLKDLMDDFQGLRSRMAEEYKETVARRYYTVTGEKAEESTVEALISSGESETFLQKAIQEQGRGQVLDTISEIQERHDAVKEIERGLLDLHQVFLDMAALVEAQGHQLNDIESHVARANSFVRRGAVELETAREYQRSGRKWACIAILAGVVLVVVIVLPIIVNLHLLTIR >KN541739.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541739.1:10643:10959:1 gene:KN541739.1_FG002 transcript:KN541739.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIKVQAVILTYVLLAVLLHPLLCQGSPAASLLEAQTSDGKTIDQGIAYILMIVALVVTYLVG >KN540910.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540910.1:13679:18001:1 gene:KN540910.1_FG001 transcript:KN540910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLQSLVLHHDESDDVPPVPEDTLLLLIDPVWHLSVTFNFTSTVFSGAENITRYSYKELAKATLNFDQSNKIGEGGFGPVYKGTLKDGTDVAVKLLSLQSRQGVKEFLNELMAISDISHENLVKLHGCCVEGRHRILVYNYLENNSLAHTLLGSRQSNIQFNWRARVNICIGVAKGLAFLHDGVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDASHVSTRIAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLVEIVSGRCNTDTKLPYEDQILLEKTWKCYDQGCLEKAIDSSMVDDVDVDEACRFLKVGLLCTQDISKRRPTMSMVISMLTGEMEVDKEKISKPDVIRDFRDLKLRSKATSSSSLLTSIMARSTPSSSQETTRTSITVGPWRQCDNGRWQTPERHEQLGAQLRKGALESQDGMQHSSGFWISELCSYTFSYSVKTFLTSIRPRQCSGDD >KN542150.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542150.1:149:2202:-1 gene:KN542150.1_FG001 transcript:KN542150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAAAAAATATGFYGKAVATPVISYMLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNTKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDCELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQNCFDKCIWVSVSSHFDALTITEGIIEAISKEAPPANTLEALHAILEDRLISKRFLLILDNVWNDNDMDGWEKLLAPLRIGGAGSTILLTTRLKSVGDMAGYALGLK >KN542087.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542087.1:14398:15960:1 gene:KN542087.1_FG001 transcript:KN542087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSVLIKGKGPNTINGLDHLAGDITDSRAINLTALIKGEWQMICHLLVTVSSSSGRSVTNSAAENFIDGVNKQIIGFQQYKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLNKKKEDVAKLNKEKTDMEKEIRGLQQRIKELDGGCCVIL >KN542150.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542150.1:16577:17784:-1 gene:KN542150.1_FG002 transcript:KN542150.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGCWFRQPVRHGSTAVTLDTDGGFARFSVGGDAGTKQSAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTTSSDTE >KN542150.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542150.1:12176:13287:1 gene:KN542150.1_FG003 transcript:KN542150.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDTGSSLTWLQCSPCSVSCHRQAGPVFDPRASGTYAAVQCSSSECGELQAATLNPSACSVSNVCIYQASYGDSSFSVGYLSKDTVSFGSSSFPGFYYGCGQDNEGLFGRSAGLIGLAKNKLSLLYQLAPSLGYAFSYCLPTSSAAAGYLSIGSYNPGQYSYTPMATTSLDASLYFVTLSGISVAGAPLAVSPSEYGRLPTIIDSGTAQK >KN542150.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542150.1:6318:6556:1 gene:KN542150.1_FG004 transcript:KN542150.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLLLACSLLFTIATPIRDITDVCASQISDSLI >AMDW01040052.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040052.1:356:1139:-1 gene:AMDW01040052.1_FG001 transcript:AMDW01040052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RHAVEIDGVHYKFSSFGGSFNFGHEVSNFVVSVFCRYLFHLSHPSKSKKHYFFSSIGDDLLKHPSRTDFSSVRKCFDGASKARPVQSCEMLFFPILYKRHWFVFIVHLKDEMFVFLDSLHEEGSEYQDEVKNRLTSNFALAWNSIMEEYQINFDAFKIVYPPVPRQNNL >AMDW01017468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017468.1:75:254:1 gene:AMDW01017468.1_FG001 transcript:AMDW01017468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQK >AMDW01036410.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036410.1:135:563:-1 gene:AMDW01036410.1_FG001 transcript:AMDW01036410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLLPIYLFTTPLLIVLGQDPKIAAMAGTISLWYIPVMISNVGNFTLQMYLQAQSKNMIVTYLAMLNLGLHLFLSWLLTVKFHLGLAGVMGSMIIAYWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIVKLSLSSGVMLW >KN541169.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541169.1:30659:32491:-1 gene:KN541169.1_FG001 transcript:KN541169.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQLQLQLQHAPLFPRPPAARAPHHHHQRRLLLAVSDHVARPVAVPVGRTRVRAATAMDTQEEAAATAVEEQQEEEEVEEEQLQEEDGAAVQEEEQGGVLEGSSGGGEAEGTTTKLYFGNLPYNCDSAQLAGIVQDYATPEMVEVLYDRATGRSRGFAFVTMSTVEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEMFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKDEMDEALSSLNGTELEGREIRVNLALGKK >KN541169.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541169.1:4102:12714:1 gene:KN541169.1_FG002 transcript:KN541169.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGFFVLLMLGTVYTLHEDYLQMGSDACHGVGELGLCVWRCAYGLGFHLQGCPRENLGVGGGVLEADSEPACLDLTLKGFHARIPPDYGNAGKSRMHTTCTHPTHATTRTCSHTHPQHISGALLRHCLVQLHIHQVPLIQEFLGPEFLQHFLRGQCLQNPLAVRCTLLHTSTTEDHITLIRHPHLPFHKVLLGLRKLPHAYLYYYTKNFDIILFVSCICIVVLLSSSCTDNCSDPMTTTPIGSPCGCVLPICVIVDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTIYLVPLREQFDGYTASLISDRFWNNKIQINSSIFGDYEVINVTYPGLRSPPPSLPETSHGSDPTGTGEDPITADVHHGKNKKLDSWIIVVVAGSSITLIAACIGLGILLLKWYKLRQLQEAVSPATTPAVNRRYGGRSTLSVSRVSSASASMLSTVATCTTSVKTFSLSQLEKATDGFDSKRVLGQGGFGRVYHGTMDGGDEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEHNKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGIQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDTNGPQNLVTWARPLLCHKEGLERLIDPSLNGNFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDAEEACDDSYSHRDSSCDQYDDYHGALALDSGSGSWWNRSSNPSGFFDNRNPLPVITMEYSSGRIEGARDPRFALSTGGHAQSPALQNRSGPIRMKKKLASFYRSRVALVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMFQSYRPGDIVRALVVSLRGKYGAPI >KN541169.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541169.1:21062:27686:-1 gene:KN541169.1_FG003 transcript:KN541169.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLAAAAAATSSSYRLSRFHLRRCRYPPPVFAVSSDSPKPDCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDEVAFGKRLVSAGRRFTSMGQYGKGELKKIAETMSKTGKLLSKRPVVQSEVEAMKVKRKLKFLELEFELTAEKANIGAAVGLVFGFLSWQLARGIQNIPDGSMQYANDNALQMAKWGILGVVVGAAAVAWEANKPMTIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLNKEIKVDEYVTHSMNLTDINKAFDLLHEGGCLRCVLATDK >KN541169.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541169.1:17649:19641:1 gene:KN541169.1_FG004 transcript:KN541169.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRERAVTACRRTRGEPRRLAAGDGEAAAAEAGTKSGREHVAIDLPFSAPDELSNGEENWRPPFFCRLTQLPEGLLLFSLPLSHLLAPFLEPDPSNDVLILADPTYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIRVDAQRLADAVRAAFPDPADAPRLAIAGTVQFISAVHAAREILSHDGYQGIVVPQAKPLSAGEVLGCTAPALKRSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPMLTTFEFDVALGYVPGWWEKGSRECGSGDGTGCCSGSGTSTDCGCSNGGCADRDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKLRVRIGNYSNS >KN541169.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541169.1:14051:15865:-1 gene:KN541169.1_FG005 transcript:KN541169.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGSPSGLSVMSATPGGPAENSGILPGDVILAIDNRSTEDMDIYDAAQRLQGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNRFGLAETGLCYTSFYGNLNIVMFHAAVAFFLKESKLQRFASICCSWIVRMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNKGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >KN541169.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541169.1:28749:30262:1 gene:KN541169.1_FG006 transcript:KN541169.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRWRFAQLSSARLLRVVRQTNAESWKPPAPNVLKINTDGAYRCSTKQGGWGYVIRDRFGDVVQAGAGAADHLIDAFHAELLANAVAIKTAKEKGMARVELETDSLMLCNALQSNSFNLSVMGGAILEIKHVIASCFHSFSVNYCPRNCNKVAHELAALGCNLQDVSSWTGCPPGMERLVTSDLAGSVI >KN542300.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542300.1:7346:17190:1 gene:KN542300.1_FG001 transcript:KN542300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRIAILFSCWDCPNCVVKFPGSSGTGAKSPAVKEEEEERAAAIDISSDSDAGSESGSEEDDEESTSDEDYYIDISDSDGEEGGGAGSKEEESESESEAEAEQSGVDRGEASCRKIADLLRAGRNLDGIKLVDCKAYLKKNGLSQNGDLATCIERIVLHWRFKDRDPEKIYPRSSFCINCKGATSVGNKPLSVCIWNYPGDVCRGDAVLFKQKVYEKSGKRHSKCIGKRIVAGSVIKESYGKEKQQHTFTIQVFWSKGVGKLPPLYLLLVKGRNLYRMMTFRQPWLNEADRLKALDEKHSRGDAARRVRALSRPDAAGNSKKSTQKGKHQSEAGRPDSGTSIKKGKKRVMQSSNPDLPTKRSRNEENQASSAKQFAGGRNAKASRARLDRSDRSTNRARMRERKADSQQILAGGNHARFGERNVDSGSNMQASRGYLVGVQQPPSDIVRPQRPPPFREVGNASQPHRDGRSTACPHPRIGFQHPNAALA >KN541305.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541305.1:5980:7152:-1 gene:KN541305.1_FG001 transcript:KN541305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGESVPEIKKDSSDDGAAVNESKKPKSSGGLTTLSVDDSQSEPADSIAEPMEDGTDDGEDESEKKKKKKKKKSKSKSSDDDDDDAKKKSKKKSKNSDDDEDDKKKSKKKPKNPDDDEDDKKKSKKKSSDEDNDGAKKKKKKKSKGKSSDEEDDEKPKKKSKSKSKSSSSDEEDEKKSKSESQAAAKPKEEDEEGGSASASASASASTSAPKNEHHSGTMSLPDPDMIAQPVMQALNPVVKALCGKTDHADLCESSIGQLPQQPPAQLDDIGVLRLSMNALRAKVQEAISVATNRMGAASGDEVSKDAMGDCLQMYDDMKSNLDSADAALKKGDKDTAHTMLDSARTDVDTCEDGFSEREGLKPIMGDLDKILAELSSNTIAIASAIIE >KN541305.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541305.1:29450:29755:1 gene:KN541305.1_FG002 transcript:KN541305.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQGQRQRETRVADGKEARWSGSWQLGIDVGADRGGGAGTGIATDLGRRPDSTRQAVIVCKREATEEKELVAVVRLPRCRSAPYPFLELLPSFMGVFRLG >KN541305.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541305.1:8012:19674:-1 gene:KN541305.1_FG003 transcript:KN541305.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHHVEEFHEKYLSSSVMEAVTDKSSLVSGDNVKTGCSVNRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTRGRGSRTVLKWLKNGTVVHDASYFTPVELDGPEDSLLSIVRMVLHPSPQDKTPGLKHLHSQVMRGVCYENAMLCRVGCPHLEIVGPVTYMWRPFLREGGELETEDVDLSNSQIRSDERNSLRRQLWIWIHPSALSEGLETLRAACHQQMQESGDTISCCSLEGKMARLEVMGSNAMQSLKSILKPVSNPSISTKLVNKNNVTTSTDPLYCSTGSHLLKRSVFDNADILQSGAILSMIVHDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADETPSGIGNILSSIWLNPGNHDLALSDCRELWDSSLKINPPVDEKILCMEKHHRRMKFFCLDSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLLFVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMPCFPYDYPDSKAYSLFMAEEAAVLDKATNCRPSSMRPPRVPVPPLWHCVIASFRKGDGILSNFRKGDGILSNLEGDDLMSVATVLSESIPVNYNSGDAESSQTSAPTSFQLLVPRTIQVLRQCVKIFDEKYLNSSDTETVTDKSSLVSGDNVKMRCSMNGLCLVRVLIRAFKEGSFEEGAVICAPLSSDLSAWNCKTRSEEEEEECLEKWELQLPQSHVSSYFSWMDPSTSNLQLPNGDSTRKAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWRTHESLKRKDICVLNLLQLSDSCSRSEKQQTNRVANFQLPRDGIVSTLEVDDLKSIATALSESLPVNSNSGDAESSPIDAPTSFQLLVPRTIHMLRHHVEEFHEKYLSSSVMEAVTDKSSLVSGDNVKTGCSVNRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTRSEEDEEECLEKWELQLPQSHVTSYFSWLDPSTSNLQLPNDDSTRKAFRWPIGFVTTGFVHGSSGQDAVAIAFCEAKLLTVLRRQQWTHENLKHKDICVLVRNARSAAYRRALATIVLEQQEDDLKFL >KN540185.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540185.1:49122:54366:-1 gene:KN540185.1_FG001 transcript:KN540185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRVGRRFLAGDILSSEASPHAVGRLFAGIVSHLDAPSEASPLPPPQALIGILSASSEPPLPSPLEGVRSPSPLPLPRAIKQPFIGNFSPFKSYPLQAHHQSLSRNAIGPSYRWPWTQLTSAVALPKLLGTRWASTNTSSTTGFGPCFTSAGELIDAFTYARANPLMRKVKIMGREDKILWIPNDNLRRLVRSLNKTYALHHAKKKCLSSLTSSNILVGEDGSAVIQGVIEIPYSEEEACCRYNETASILKELITESVGSEAIGVDCIGDFRRLLRQMESMTSVYQEYIISNHASLIPDANRTAVFLLFYNHIMGKLAQEQPRLKNQIISKLPYDGIWLGIVTSNRFLRRWLNSHREYVSTGDDDMSFNMNVRSHFYVHLWIFAYSQLEVEECLYGEFPELLLEIEILLWEANEIDGLGFEDKF >KN540185.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540185.1:116:1779:1 gene:KN540185.1_FG002 transcript:KN540185.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QSQEGQSISSSRSLKTKLSVSARAVSSCEASMVYTVKSFIDQFIGYNILILRNTFIRINLRITCCANQTQTARRKSFSGPTSPPSGSVKEKVRSPKLDDGGTGFPPFRFGGGGGGGGGGGSNSAGGFILFVIVLLLDYLREFERNLQNGTRRGSDYDNGLAPQ >KN540185.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540185.1:36754:37745:-1 gene:KN540185.1_FG003 transcript:KN540185.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPLPSQVHRCHLLPAPPHSPSLVTLLSSPLLNLFTPPPATTTTLYSGDIMFINTASFVAGAVVASPEQPAKLVRDQRRVVPGSCRARRGAASNGFRTYCMQTWSPFTNRRYEAMSYLPPLSAESISKEIEFIMSKGWVPCLEFDKEGEIHRSNSRMPGYYDGRYWTLWKLPMFGCSDAAAVLREVEECRREYPDAFIRLIAFDSSRQCQCMSFVVHKPPSAAASPATVAGAE >KN540185.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540185.1:31081:35452:1 gene:KN540185.1_FG004 transcript:KN540185.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLVSDSPLHAVMGTRIAPPLYRGRWQRSGFSGFTSFSWIYVAAGVGSSSIPSFGTGKYYGVRLFEASNIMALGCLRPPVHALGVALVGSRLWKAGLLFVRRRVCLLLVDGVPIDPAKCRLMSVDEKRELVRELSKRPESAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLEYLFRVVTGKSSGGGAVEHVQEKEPTPEPNTANHQSPAKRQRKSDNPSRLPIVASSPTTEIPRPASNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCSSDQPLQKDSCVFSCHLECALKDGRTGIMQSGQCKKLDGGYYCTRCRKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILVSTEKYLVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIETMESLFCGSPSNSQFQRSRMIPSNFVKFEAITQTSVTVVLDLGPILAQEVTCFNVWHRVAATGSFSSSPTGIILAPLKTLVVTQLAPATSYIFKVVAFSNSKEFGSWEARMKTSCQKEVDLKGLMPGGSGLDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPNEPTNNSQSMPMVVARVTEVSGLEEAPGLSASALDEEPNSAVQTQLLRESSNSMEQNQRSEVPGSQDASNAPAGNEVVIVPPRYSGSIPPTAPRYMENGKDISGRSLKAKPGDNILQNGSSKPEREPGNSSNKRTSGKCEEIGHKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSEAIYSKRPPSVRSGFCMELWH >KN540185.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540185.1:6737:24913:1 gene:KN540185.1_FG005 transcript:KN540185.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPKALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPFEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYTEDDALDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNAQKGSWDRGNDEAENNNDVQNKSWETLAADAHASAEKSWGNVTASPSDNAWSAAPVSQGNGSSDTKQSDSWDGWKSAGVGKAINKDKESLGNVPASPSFSAWNAAPVSQGDDVWNSAEANESRNKDWKSDGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDERGPPRRHFDLTAEEEKILGEIEPTVLSIRKIFRESIMVIWLVQCKLILRGRAESDDFVVLQGTELSLGFVKTLKLPCESAKDGFPAVNKQMNRAWIGFGSAPEGSMKNRIHRSGVFGLKLLSRVRPSEVLLKSVTKDISLLEIVHPASINPRLVRRRLRHIAVSSIYIFPHPSVSAMFLRTRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWNLQPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLE >KN540185.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540185.1:38821:46748:-1 gene:KN540185.1_FG006 transcript:KN540185.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAGLAEYVPEHKLWFGLSSSRRDKHPLCAVDLAAASPETGPELTNVWMELSVPREWIPVEAFLVHLGSSRFFVARFFQELVEVRCDFSQRFDRFAVFTGVELERTSRGWLLNNIRHPNMVQQQLHNRQTPVLPSVTLQETQASNCHVNRSGESNSPYCTCRMMLQLLLLIIWIIIPKICCSHSNASAADEGETILDGTDVWKVIIDFVLDQKVDKLVLGASSRNAITRCMWNLQEDLEAEIRKLKLELKQKNDDMHMWNKLPLGIGDRSENSNASVEHEDEHLQEFTTCSTHSYSERPNAEPSSAVRGPKHKLLKLETSSSDQCRERTIQEFKDHSSQDTVHPILRRLPPKFYSPRNDSKHGCASEKAYNLELKCKSLPRPIEMKRLLEGLPTRFQCKIYTTEEVANATDHFSPELKVGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFQQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKMAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVSKISDVGLARIIPRSMDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVTGWPVQEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVASSGDHSSIDQGHQRSVSDKELALDNDLAEILNDGLVKGASFAA >KN538700.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538700.1:385950:391294:1 gene:KN538700.1_FG001 transcript:KN538700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQQQQGFDTHRLHQEVKSRWLKPKEVLQILQNHDRFIITHKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNSTFQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNDSSTSNQNGSGSRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMAINNETNSVSQPDWVQHCNQAALRKLKVQLSLEDREDHDVDAKDIPSNSEPITVYGIQNEEPGTCRNLADVFSGLEFSKENHPEETGLPFSSTIDVLKNSDTWLEEDQIEAILQSASMTVTENQWFNIREVSPEWSYCSESIKVIIAGDFLRDPSHGSWAIVFGDVKLLFDGHVSEQFLKFGLPFPNLECRLQVSSSEIMKGTSEQLNRDTAVNCVMEVLLNNKFEEWLLSKYEQNSEGNHFLPRQYHGVIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLDAGAAAGALSDPTAQDPAAKTPASVASAYGFKGLSAYLSEAELTAHLHSLESKENGSSGDQISRVVNRISDTSSHAQSGSDDQLALKESLGAMRYAVQAAGRIQTAFRIFSFRKKQQAGLQNRGNHIISIREVGAASRGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKELLRSVGILEKVMLRWYRKGVGLRGFHPGAIAMPIDEEDEDDIAKVFRKQRVETALNEAVSRVSSIIDSPVARQQYRRMLEMHKQNKDDDEK >KN538700.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538700.1:450681:451151:1 gene:KN538700.1_FG002 transcript:KN538700.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSVLLLLVTSPVWVPRLCSLMAFFFLTTLPDLAMAFLLSPKCLFVVGNLIVAFLVGESRLAPRGEPASSSVNEIHDEHVKRNAAISAKAVTAVVAIDHNAHVGELGEEEEEQEEEEEEEEGEEEELHQRVEDFIARVKKQRRMEDKSMFDTDR >KN538700.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538700.1:364389:365684:1 gene:KN538700.1_FG003 transcript:KN538700.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYGFACPRIYTPDRSLQNNADESDANQLEKLEKLLTNALRDTKSKKTSTEGRETGPPLRSAVLMLAKQNGEGSRSRANSSGSRGQEEGSA >KN538700.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538700.1:393389:397789:-1 gene:KN538700.1_FG004 transcript:KN538700.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G27320) UniProtKB/Swiss-Prot;Acc:Q9C5U1] MDEMSCGGGGGGGGGARWKRAWVAGMGDGKAGGGGGGAAFLGLERVGMVVRMLPVPEKVSARARVVRGSLVAHFRGWRVVRETWWWVLLLWILAGSLGSFYLFLFMNAQSLDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQMTFARYAERTAFERPLTSGVAYAVRVTHGEREQFERQQGWAIKKMYSSSNKKQSSPGPGPGGAAVAEIREPAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVILTYTVYKYELPANARPHERIQAAIGYLGGIFDIQALVEKLLKQLASQESIMVNVYDTTNESPIIEDDFHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQERGLELAVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGIQNTNTLSGYPVANRRRSWESIRLFNRELHSSEKSFAPIASDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPHVGSTFTFTAVLMRAHCKGNEIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTAELNPFISKLNSGSLTAKLVLIDKETWLKESHCTPLLVNKLRNNDKPDSPKLFLLGSSASSPKGSSDTSREHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNNVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNERIERGEAPPECASIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARFFQNHDQVE >KN538700.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538700.1:434172:437107:-1 gene:KN538700.1_FG005 transcript:KN538700.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MGEVAALRQLVGEGRKDQFEETVAWYLLDFEHGSIKDDHCRARTGYNSELLKIMEANQSPPRKRSRRDKNQSFSQQYSEVPQRLPWFYTITHENASNNVAMYDPSLKKWHHPSVPLAPAKIVIPVASAGGLVCLLDLSHRNFYICNPLTQSVKEIPRRSVPWSRVAVGMVMNGGTSNEGYKVMWLGNDGNYEVYDSMKNMWSCPGTFPPSIKLPLALNFRSQPVAVGSMLYFMCAEPEGVLSYDVSTGIWRQFVIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLNKEWQKVPDCMLPCSRKKQWIACGTAFGPCPSALA >KN538700.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538700.1:330011:330265:-1 gene:KN538700.1_FG006 transcript:KN538700.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKNSARGGAKGKVVTVYSKYVKPQSSPSVSVTQAYSYQPNYSSSIDVAAAANGSYGGGNVDERATAYILAVRERFKKEWM >KN538700.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538700.1:453595:457671:-1 gene:KN538700.1_FG007 transcript:KN538700.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAAADEEVDEVAQAKAAAKALAKGAVDDVADELKELNMDNYDEEEEGLEIFSSGQGDLYYASNDLDPYLKNNDEDDDDEEIEDMTIKPTDLMVNLLEETEDGDLNMFVHHEVPLADFPLCTAWMDFNLKGGDKGNFVAVGTMDPAIEIWDLDIVDEVQPHMVLGGHSKKKKKAKKYKKGSHRSSVLGLAWNKEVRNVLASASADKTVKIWDVSVGKCAVTLEHHDDKVQSVAWSRQSPEVLLSGSFDKSVAMNDMKDGGQSCNKWSVEADVESLAWDPHNEHSFMVSLENGMVQAFDKRTASSNSNSGRPTFTLHAHEKAVSSISFSPSTPNVKLWDLSNNQPSCIASLNPKLGAIFSVSFSNDSPFLLASGGSKGKLKVWNTLTEPAVANKFGK >KN538700.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538700.1:485500:486422:1 gene:KN538700.1_FG008 transcript:KN538700.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPPTAGVRVFGGLRYAPPDGLVVDNPLLAALIRAVYTLYMVSTVLLYIFGMVTALKEYEMLVALSIVVVMQPFFVLMWIAAPFLRTVAIVKYALGLPDDSNNVKKITTGRMPALA >KN538700.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538700.1:487199:488880:-1 gene:KN538700.1_FG009 transcript:KN538700.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding WPRAVRVQRQRRVLKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHNKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >KN538700.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538700.1:430598:432832:1 gene:KN538700.1_FG010 transcript:KN538700.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAIRSKEIAERKFNENDIAGAKRFALKAKTLFDSLEGIDNMISALDIHIRAQTKIEGENDLYGILDISASDDDEKIKKQYRKLALQTHPDKNKFSGAESAFKLIQDAWDVLSDKDKKRSYDQKRFGGSSRVYQNGFAENANATPGSTMSSMNGFFWQNSGRHPSYATDTFWTYCDSCQMSFQYSREYVNRNLACSFCQTEFVAVETPPPTAPVYYNVTNLMDPSSNMDDPQGTGVPYSSNKIFDPVLQPVFGSVGGAHASRYPVQQTCKPARKEEVAEVNVARREEATKRKHEQASSSLGSSSSAAKVIHRRKAVTKEMEAEKRRCINNKSKVSGQKNNTNKVVGKSTSSAADGDSGPQMHPAKRKSASSIGTSGTKRRKMPSDHNSGNARTSFGKVFLQLETEIPGLKMEKMKLQIRDKLEEFKSRRANVENKGNVHVSLEKKKTWKWKKPATLFVYTRRNRKEHRKEPGVDAIGAGSSHKHLDGKYSCLDQVPSSDEGSCVMPVPEADFYTFGDHPETSFQNGQIWAAYDEEDGMPRYYALIQKVLSRHPFKVRLAFLKAKDCSEFVTSNWILYGYSKTCGDFIVGTPKNTDQLNTFSHVVTWEKGPGGIIRIFPRKGDIWALYQNWSPEWNTCTPDDTIYKYDLVQVLDSYNPSAGISVMPIVKVPGFVSVFTPLLDPTKSRTIPKEEMLRFSHQVPFLVLTGEEAKNSPKRCYELDPGSTPKELLQVVPQSDGV >KN538700.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538700.1:375561:375788:1 gene:KN538700.1_FG011 transcript:KN538700.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASTDWGPIIVAVILFVVLSPGLLFQLPARARVVEFGNMATSAIAILVHAVIFFCLLTIFVVAIGVHVYAA >KN538700.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538700.1:327574:328561:1 gene:KN538700.1_FG012 transcript:KN538700.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQEAKWPANVVKTEAADVFQEPYYHALHLNGAGAAAAASIFHHGGNKARKHHFPFLTTDHGDGAAAAPPLFGCQPFTITPSSESRSSSSSRHSNGKMFAHDGGLDNCALSLLSDNPTPTAQITIPQPLVAGAGGGQYGGGDVSLTGLSYVRMAGKDTG >KN538700.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538700.1:444226:445697:1 gene:KN538700.1_FG013 transcript:KN538700.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MASMAFTLVGAFKGMTLSSPCHSSSSSFLRADRVALSVGGGVEMMPARRLTIQMAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIIRQRGTRVIIIILFSLLPYLPVLGWHDNDRVPKLVLEEVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGIVEVQLVLAAADESPEVNADC >KN538700.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538700.1:474897:480901:-1 gene:KN538700.1_FG014 transcript:KN538700.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKMDRFAALGKGEHARSNRVQRGWGDLRSAALAGLGVGWGGGVQICVGFDDVLSLGIDDDRAAAAAIGFVDESKDQQHLDNSIPLSPQWLYAKPTDAKILGHGSLLDPSEKEVRMPEGAADKKERRRNVFDADSSLRWLEEERETSLPGRRERKKEVDRDMESRKNDRRSDNVSVRDGGDSRAPPSERWNDGSTRGSGNEGRRDGKWSSRWGPDDKEKDSRSEKKLDAEKDESHAEKQTFTGRLLPETDSRDKWRPRHRQESHSAGTATYRAAPGFGLEKGRAKESNVGFSAGRGRANPNSIPSFTRPSSAGPIGAPATHGKCASSAVTFRYPRGKLLDIYRQQKAMPSFDDVHCKLEEVPSVVLSSPVKPLAFVAPDTDEEAVREDIMKGKVISSEVANTTGMQRDRKKELEGLASGIDGKKDTSSVAFSGLGQEESSTLISEKDAFYDGGVISAGITFPSKDLTMEQNEFGLSGIREDAKINEVNSSADLDLGVKLPNDSSSLFLESPFEHIQQPPVLYQNSDMDTKALGQASYPEDLTLFYLDPQGGMQGPFLGADIISWYEDGYFGLELPVRLANSPDDSPFRPLFEVMPHLGQKPQPLPPVSHGETSESPDSVHNSFDDKVPASGSFGKNDQTSKRDSESYHIPESVSQDAEEILYTARPNSSTGQSLRDLENDRSDFQLASRDHRAAMGEANLPQHDVPRESELSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPAAPKDIPPVSMRHGQLGRMNEAPVVRDEWPANFGRLDNVTDTNISGRLPQLEPDLNHLNMEEQMLLQQIRREQRQQEQLMARNNLEFPGPFPGQPHHLQRQHDAAIEQLIQAKFGQGIHREHHNDLMDVLSRSNQRQMLPLEQQILLGLHHDQLQSQQLANALRQHAGREEERHLSGGWPMDESGHFIRSGTSPNQGHASRLGHFDLLQSLQRSSSVEQHEHLERSLSLHERLHRGGQGIHSLERSGSLPGGAPLPNLDVVNSLARHHGIGQLETHGDLYSLGQMPMVPSGVHPQQHRLQEQLSGNLGRLDRHWSDANGQLQNTLMEPSRISQMQMEAQKQRRNVEMNLAVDNSHAWASLRNNERSTEQDLSDLFHQKLVQSQQSRGYPDVPVPASFGRKDPSSLFAQPAADNPLRSPADRLSFDDPLAERSLFAKAGQAGQEGAANLESLTSSIENSGKLGLRSSSGSMLDMQRGDFSGIMGGGASGNQLVGLANEVPRGKRQGSSASLVGDDTSFAEEAGSNWPEAPMPKGGTHSLLKRSPSQHGSATQAVSTDISSTIRLKKAGHASSDDHKLESGGVTSAAQPVDIPVSTNKEAGSFISPSGTSVDGPSFREMVKSTKKPALQQYDASESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIHRLDD >KN538700.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538700.1:379047:381861:1 gene:KN538700.1_FG015 transcript:KN538700.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKVLNWKFIHFSLFLLTSNQDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGASVSGPNTFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLEVKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQTPECATVDTPILDALHTMHDGKFLHLPVLDKDGNVVTVVDVLHITHAAIATVGNSAGSGSEATSAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTELITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLKLHLDYAGVGRRKRGGGGTSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >KN541556.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541556.1:460:2727:-1 gene:KN541556.1_FG001 transcript:KN541556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEADLAAHRGEGEEEGGGGVLEMRGEKRAATAHDPLLPSGGLIHHRGEGSCGGDGDGDGACEKRRWASGCQIHRRGDWGHWIRRPRPPHWEIRRLIGRERRRQHGRGEGAAAATAHASGGENGYLAKICCGYTLQNIRRRVLSILMRNFAMIVIFKLITKRMNEQLIRRIHSHLEILTTKENCSHSNLKNGRTGTYQDIRIY >KN541556.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541556.1:21320:26150:1 gene:KN541556.1_FG002 transcript:KN541556.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGYEHPGFVLLAEIMKVVGKLHLYLHNSADSFKSIAKKLDVVEDKLRFLFPDSLQRVKPHKEEAVAAWLRRLENATHDATRLVDDVESELKACGSPISMAWLSSDNRNMIRISYIIGKLASVCSEGETIIDENSLEDEKKGVVSLSRVAMTGQNKSLLDDDFLIGRDEEIALVRDMILKNDQYLTTATPLEVREEAEKLHIPQKGWITETLRKIDLSERAKQATQVYPYAENEMGNKVEYIHLHRSTDAHLRNPAVIPIVGISGVGKSALAKFIFNDGNVRKHFGDRSAWVYMTDKIDQLELVKKIICSFDSKYALNYTINLEGACIKLQNIIEGKRFLLVLDDVWDEIYVIWDDLRSVLIKGAPGSVVLVTTQLYSVANYVGTAGPVILDPLQSDDAWTLFKHYAFVDPSSNLSRGLETIGRNIINILPRLPLAVKVIGVTLRSKLEESNWEMLLKSWWWNISDDNFATRVISSLGSSYSTLPGYLRQCFVYCSIFPRNYVFKKDMLVQMWVANDFVQLNSSTGTTRLEDIAGEWFEELVDRAFFQTSVPETGYIMHDLVWDFASALSSNECHGISNKSNDVSHDVRYLSVDMEGLKALPDNFNIKQLRTILFIGDIDHLSSNETYLPLGRIFDGSISLRLLAFSCSLGAEIQNDMSALKHLRYLDLSFTGIRVVPDSVCSLCQLQVLDLRGCAFDKLPGNMNCLINLRHLHASSDTIAQIDGIGKLTKLQDLQEYRVQAEDGHRITELSNMNDLRGSLCISHLEMVTDPSDALEANIVKKNYVTALELRWSDTLPEELTPDMSKWILGCLSPPKYLQELKIYGYSGLAFPDCLGQLKHIRVIEISSCGNLQVLPPLGQLEHLQKLSLHQLDSIKVIGSEFYGNSNVVFRSLEELTFGSLLYWESWTYPGSIEFIPNLKRLRIYQCYELSSVPFESLGSATREMIFYFSCHHADTVSRYLQRLTCLTHLSINGGRPGSGKLILPCKQLGSLEYLHMELFNDVCIRGGLWYIRNLKHLWIIECRTRVTELDEEFAQEDGKQAPIQIDRVMHSLTHLSLGGGSTLIDLENVIPQTPSLRNLCLDRVMSFTSITEKWLQRLTSLQELELFRCTLLPSSLSSLSSLKRFSLKDCNRIYSIPPNSLPGNLKELQIEGCSLELEARCQNPNGEVWRPEGNTIELWRKRKIDEWQNRKLEYGQRKLIEMKQKKESLEIPMSGKEKSPKNRAKSLYLKAEASSNAIQSFSSHTFKGSMHRYCMLHFFSNQERSRQSIVCVEAVDCGYDVAAAAALSADRTSSIGFR >KN541556.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541556.1:16106:19839:-1 gene:KN541556.1_FG003 transcript:KN541556.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLAIGGWFAGAVIANFVGKARSILEERHELHGDVADMLFNVEASLVHLQAVVDVAERRPIADGTFTDWLQMIKKIASEAEELLDDFEIKRIQKSQQNKVSGFLAYMMKNLGFVDDDIYRLKTLLARLEKIARFLPKIQPVLLGREAEKDKLMSIILPSLAQTEHFASSKMQEVQWGTASVRVICIVGEAGVGKTALAQVIYNHPNVKEAFDLRGWVFLSHKFDSKDFFKNIDRSFAAEQHPFDSEMGLEAFQASSEHDLSSIIHNKRFFLVLDNAEDNLQREWKTLSEKLTEGAAGSIVLVTTRSAVIAETEVITLGTMPTDALSTILKHHAFGINTKNHLESIGEEIAELIGRLLRRKLDEAHWRNVSESEWEIQWFDELFNRSLIKPTVRENKYIMNGKIKEILALITQTGCYTVEDSSRPQANLYGFNHIAIHKGDFDVSMGLREHTKARSILFFDGQRTIKLNTALATILPQPSAMRVLDLSCIESKMERPPDVIRTYSHLRYLDLSFTGITMFPDSFCGLHHLKVLGMRGCRFREMPRAMNKLVNLRYLYAEVCTLSLIQGIGQLTNLQCLEEFAVSKMEGHRITQLKNLNNLGGYLCISNLEKVSCTKEVSDTELSRKMYIQKLVLKWHPESASSDSCMQVLSQLKPNGNIEDLEIQFYMGALFPEWIADNRHFTILRYIKFSGCKILVKLPPLGQLTHLKILILQGLEQIQCIGEEFYGSYDRVFPSLEELTFSDMINWHMWLDIKQTQIIPEIRKIVIKNCRRLSDLPKSVLGSLKELELSDCKEIFRKNPNCLEYVNILRCLKVHNCLGITINFPSQLLASIKVLNLQNCDVCFQGRNECIGSLRIFLTIDCHEVREGKKIKNTYDIQFLFSPNIKDLV >KN540992.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540992.1:962:4193:1 gene:KN540992.1_FG001 transcript:KN540992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLKSPATRSKAVVARVVDLIRAESAEQQSMAEGRRTSTHSPSQALAKSPVDNLLQSQALYMQSETPNFSNLASWIPEGMDPTKAFRVTIKMGSYMAKDDSGRIEYITARSIRKVVDKEECSLLTIVDYLRDEFRWGSKQYLSCFFWDKCATELAAINSDAELIEAFEMYFVERAIQLNMFVQDIGPKWSGKLMLGMTMEAVMMSQNTSSAQNFSQPSSVVEQRTPQLSIIPVPPAAPNLSITPAAAPNLSDPSAAQNKEDASPNKEVELVLPSVAVTADDYVGVDDEGIYGVAPMVGPIPEVEIGEDPTEHLLEREDEITDSVPCQEPQIQLRLQRPARLQRGQLQGGRKQPFNQLLLLLEIQLL >KN540992.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540992.1:34236:35209:-1 gene:KN540992.1_FG002 transcript:KN540992.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRRLSCVWALWSDRNTGGDAELVVIDVAPNLHIGNECMIDADNDDKAGLVIGGETISAGDDDQEQDDLGVLKRKCRGRTARRPRSRLKRRNHGRTWRWRAGTKPWCSGVLLGPLDDECEEDCIVGDGEWMLAASQDRDCGQQGEDIRHLSDMVVPGR >KN540992.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540992.1:17468:19504:-1 gene:KN540992.1_FG003 transcript:KN540992.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLTARPVPLPPPNAPCVAAPPARPPGRRAIPSADRSLHCRSTCTTLDRREFTWVGHKNLTEFCKFGAIRPGGIQVGTRQIASTDFVSYLFLQIVANRHNDPLQITASHHPQLKFLRVSGLYGQKGWDGAL >KN540992.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540992.1:12360:16114:1 gene:KN540992.1_FG004 transcript:KN540992.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHKQRAVAQVISRSGKPRQEFIPAVEEIAEQLRGAYENLGEQWREQRFVELMVTDGCFLLEVMTDEVEDTPMTIGNTKAAKHFNPKTNLLLTLVSIDGHMQDDREINHRVLDLLSHTTTPTTTATTPADELGLHPLDVLQKSVRGTSRNCQMSIEEESPMPSATELHEAGIRFEVSTGSGFAGTVSFERGILYVPKILLYDNAEHMFLNLMAFEQLHPGAGNEVTAFVSFMDELINTAKDVRLLRAKGIIKSGLGSDKAVANLINNTLMKGAVMDADSSLNDVMVEVDACLLQEAMEKLEGHPPTHLLQ >AMDW01063067.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01063067.1:681:890:-1 gene:AMDW01063067.1_FG001 transcript:AMDW01063067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTFENMKTIRPNMALLGRIFVNKSMEWLEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >KN545439.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545439.1:1306:1542:1 gene:KN545439.1_FG001 transcript:KN545439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKKYMASGLSPEIKLKMGGNRPRKEYQPQKNGQQTTTDPD >AMDW01046569.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046569.1:1:2151:1 gene:AMDW01046569.1_FG001 transcript:AMDW01046569.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FRSPGNKCAGIGCCQQQVSIGRPSYRVELTNLDKGREFSGRVPEAVRIAELGWFDGLAADLLNESLADTSRRTPVPVVLDWAVASTGLVVTLNAGQNKQAANNWSCPTPGDGEARKSACLSSNSKCVNVADNYRSGYVCRCDKGYDGNPYVAGGCQDINECERAKEHGCFGDCINTPGDYVCRCPRGAPGDPRVAKGCPESYLGLTVGIGIGSGAGLFLLALGAVFLTRKIKHRRARLLRQKFFKQNRGHLLQQLVSQQADIAERMIIPLVELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKVTIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVDGPTSLSWEDRLRIATEATRALAYLHSAVSFPIIHRDIKSQNILLDGSLTTKVSDFGASRCIPAEQTGITTTIQGTMGYLDPMYYYTGRLTEKSDVYSFGVVLVELLTRKKPYSYRSQEDDSLVAHFTTLLAHDNLFDILDPQVIEEVGKEVKEVAMLAVACVKLKAEERPTMRQVEMTLESIRASFLQQEVLHTVGNRKKSMENHVSGSNPIIDGTNMESTRQYSLEEEYLLSSRYPR >KN541087.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541087.1:5768:7525:-1 gene:KN541087.1_FG001 transcript:KN541087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPVIISQIKPRGQKIDPHAINLHHSILGSSTAPSNPLLDLTERGREGSKGGGERQEPRIWRKAGESARDVICGEEKRVRGREDDAAPAAPQRQGKSNREKGGSKSRSIRQKRAIMGHGKEVKTRPDPKVEIQEKGEIFFFYRPKVGKDEAHGPDDVQRMYIVLRPEATGDGGHRAVEDKQAPDSGKEGHKNQQQQQNSDGDGGEGGHGKEEVNVEEQALLRLIVMGKKSLPDPAAKRGRPYWGYVELVTTDVDHIKDALKEGIQNAFKF >KN543599.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543599.1:1022:2772:1 gene:KN543599.1_FG001 transcript:KN543599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRTYNLINSAWWIGKFQGEVFDASPSRARKKGRCAIVSLFLESDVEINLRNYRAQGRVTPWEGERRRRGLGRRTAAAQEDMWGEGDDLWGRM >KN541087.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541087.1:27517:33709:1 gene:KN541087.1_FG002 transcript:KN541087.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRASGHRRAAEERWSSAPAVIVVLPKSDGAARQRSSSCCRRAMEQRASGHRRAAEERWSSAPAVIVVLPKSDGAARQRSSSCCRRAMEQRASGHRRAAEERWSSAPAILGVYEWAGNNPIFPELWLAPQFLPFHPGGSGLPADAAAERGVELAVQALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFLKNSQNKDGTVSTYECKRTSTWIEIFNPCESFPNMMVDYPYPECTSSVLQALVLFKELCPGYRTEEIEKCVRNAAMFIENTQGEDGSWLGTWGVCFTYGAFFSVKGLIAAGRTYENSSSIRKACDFIMSKQLNTGGWGESHVSNETKVYPQIFSRRDILKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQEHVGCFNCSAFFNYPNYRNLFPIWALGEYCNRLRSERRA >KN541087.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541087.1:24516:26725:1 gene:KN541087.1_FG003 transcript:KN541087.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAESGGTPLLRSTNGFLGRAVWEFDPDHGTPEDRADVERVRREFTDHRLRRRESAGLLKRMQQNKHQRRYRIPPVNKLGEKEEVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMHIMPGLNEDGGWGTLILSSSTMFGTCSNYITLRLLGEETSNEQLDKGRTWIISHGGATLVPQWGKIWLSGSVYFRNITIYINMTNIMVN >KN539993.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539993.1:3100:9153:-1 gene:KN539993.1_FG001 transcript:KN539993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHAKKQCSYAGDALPYERMDKFVDDLTLSLFAQQQKLLEGGRRSEFRRVVEREEKPRKGKRKKKKGGGGGGGRESEMPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWGRASLGIHVDTSTRSHLLLIQDQLLLALSLDQWRSSLIFKEVNPILLDIRQQMEVLSQHVFHPVTCHAMNRTLCTFQAAMQSLDVLLYPWNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >KN539993.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539993.1:52299:65495:-1 gene:KN539993.1_FG002 transcript:KN539993.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIELARRLCLQPPARRTGTGRCRPRGRLGAPAPSLRVPRTPLSLSLWSSLRCRALDSTRPVAVEGGLGDEEDEEDEEGESYFALTSSRLSRVDYLGESTKGDLNVRREHLDAFGGNGKSTLHGPIEEIAWQEAREAESLLRELGIAVIFYSISIIFLLVDVWYGLLILTSDIKKQHECFVSFHMVLVAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEQLITLIQQKEVVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLVVMQRLDEKIAPMLESDGELFNKRYADIYTSRVSNFLHYTPFMYFQSQEQVHLRSFTILEQLHVQKLVAVVKEKLSNRQEVSWSNDIKRHLHDVMSLGVGTELLITPDTTLLLELYNLKKGDRGKAIFRHKANYLDTFYAIWYLVMKLPHQNITLEASWPGLFIDKNGVYWDVPLSLSADLASVGSESGLSYHVLLQQNSGEPKCFGGGEETSDVPVALLPGLCAKAAVSIKKSIDVWRKKEDKLKNVQPYDVFLSEPHVSFTGIIGAVASGSFGDCSKRMSMQNEIQKSNAFKYFDERNKFAAFADLFASVNFTAQHGNFQRLLLDLTRLAQDFFFSRRPDLETFCDVCPDVIVSLQQQIVGPFSFRVESTVTIDPKKQDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFET >KN539993.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539993.1:40452:50752:-1 gene:KN539993.1_FG003 transcript:KN539993.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALIPLGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRKRQVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDIAKLPFPTYFSQEGESEELLVADLGDIDPFMAMGDRTKVIPDFSALRGAGGEGKAGEYWHHEATQTWFDDADQYRMISAMCRLSCSVCDSNKKEEEERTGKAAKAKRKSKIRSVDQLKGHLLDRHGLYMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSGVERRGFGGHPMCEFCKSPFYGDNELYTHMTREHFSCHICQRQHSGQYDYFRNYDDLERHNGVEHGKHMPGAVDSSSSSMQNGIAAVGHGLGGQSDSSRVPLRSLIISSSSGQSSETRQSFARNRVLQQACVPPLSRQEVHDARVGSVLQEASFPSLPAQSRKVQAHSQSSRTAARIGDQQVRPLSVTSNRNVALAQQGTRTLPENTHVSGLAQYSKRTENMHQAVQSQFLKNNALIPSGSTSRPVHVPSSAGNERQDTFSNSQVLSSVEDILAANKALVEKMRAALGMDQDMFNAFKEIAGEYRQGVINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGGTDNSKQGNQNKKGKGGVPDAIGTSNAATDPLKDKLLNTAIKFQSNYMPQEGCCGVQRKEGRTTDGSSQGLPLKGAWQSRGGQRLFMSKAKK >KN539993.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539993.1:36126:36795:1 gene:KN539993.1_FG004 transcript:KN539993.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKMMAVAAVLVLAVVGAAEARNIKTAAAESKDTVVQPTTSHLIFCVLSS >KN539993.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539993.1:69882:70285:-1 gene:KN539993.1_FG005 transcript:KN539993.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGVFSVGGALSFWVLCRLHYGPRITVPRSLRWASCGAISVSSASALLVRLFSPECEPQNIAAYDKLGHKTG >KN542272.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542272.1:976:2143:-1 gene:KN542272.1_FG001 transcript:KN542272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQHEGGGRENGERRQQQEGRMRTAEKKKSLPVTWEHHVGIAAAPRCNQARRLIRHGEDDGNRRHSAMPAPFTSASPLDPRSSHRSTAHAALLESPLRMVEEENDADGGGITTA >KN542272.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542272.1:16635:17333:1 gene:KN542272.1_FG002 transcript:KN542272.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNGNASAAAAADSAVQEPPHKIAKVAPLLKVKKLSENAVLPSRGSALAAGYDLSSAAEVVVPARGKAMVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDTDFAVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV >KN542272.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542272.1:12193:14735:1 gene:KN542272.1_FG003 transcript:KN542272.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV >KN542272.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542272.1:3251:7820:1 gene:KN542272.1_FG004 transcript:KN542272.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESRKLLLALAVSLCCFVAASRAQSYIGVNYGEVADNLPAPEETAKLLKSTTISKNLRAAAAAAGDGAAGIKFSTVNTMAVLAQSDPPSTGAFHPDISPQLTQILGFLSKTTAPFMINPYPYFAYQSDPRPETLAFCLFQPNAGRVDAGSKIKYTNMFDAQVDAVKSALGRAGYGDVEIVVAETGWPTRGDAGEAGATADNARAYVSNLVSHLRSGAGTPLMPGKPVDTYLFALYDEDLKPGPTSERSFGLYHTDLTMAYDAGLTSSSGGAASPSNGGASQQQPRGGGGGWCVASAGATEADLQADLDYACAQVGVDCGAIQAGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRSSATLTSDNPICGIAVGRPDLLAIFLRWFFLPCGNMIDLSTSFFLERYSRTQGRTPVSFNPTDLVCCRTLQGHSGKVADQLTFCLSYLNFGTAFANWGSVVRFWRLNCGRRDG >KN543350.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543350.1:633:1247:1 gene:KN543350.1_FG001 transcript:KN543350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSGLGPGDTDRFFHSLKINTEKLDSELDVAKFRAEFDKEFRPDLVAAMLMLPQSVDHYTTTFLHEPLHNNTKLIPLYLSSLDFMIRMKGLNEEDRGHLLAYIIERRVDVSYDWVHTFGNIEKLEYCFGTRDGSGVRLETDATFEKLEMEEGLVESESSKLGIAKLTIMIWLRYQLIWAEKFEDVVCSGNDFAEVLAVFSWAGQM >KN543350.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543350.1:5096:6469:-1 gene:KN543350.1_FG002 transcript:KN543350.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLDRLMEMFDATETSRSKTCEEMNTSIVRTTTVVLKAASQPPQASPSSAPTKCLTVGLDNNGGTNQALIVFMTMRGVSRGISIAVQLVVDFSPRPIVDIKLDTSMPTRCLMKCPRHDNKVLMVANFLDVNPWPPPSRDDCKELVRKQLVLCPWFERKQEVQEKQPWPPPTQMDNMVSHVELWPMPRSWSNFYLAKVHRNSFDTTGAYCELFTNEELGNYSLLEILLCMGISSKNLQTETKNMLLESVWFQGQNWISGHEFSFVYPEDPLFLLNFLQAVHKGGIEEIAEYTQVQEREVSCVAISGSNVTTIIEQEVYNTWRLYLFAFPSQLQASTKMIASIQSVATCLRALQ >AMDW01029525.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029525.1:191:379:-1 gene:AMDW01029525.1_FG001 transcript:AMDW01029525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYASYRCSKEAHAKQLSQGGELTTVLWLVAEHVGLFLVGKTGRGVEEDNWRRRKDAKRGR >KN540968.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540968.1:20410:22138:-1 gene:KN540968.1_FG001 transcript:KN540968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQRRNGYDEGQEQERPDYQPAWWESFGFRCLDVIKDGPDEIFGLRYHLRVRAELPPRHTSSPLPRYVVAFRGIIILTHPGHLIQDINIILTHPGSKRSRISRARRFIDSTMVVLRTPLQCGSRDTPLLVRRMLWTSGGRPGKIVEPHEEHMEKLFERLSLWTLELYVHESDFVCQGYIDYFEKRCQQVHAHELLPFNSSPRWE >KN540968.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540968.1:35497:36788:-1 gene:KN540968.1_FG002 transcript:KN540968.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDVDLADRFDISGPAHMMAENGAGSSSPMQIDWGKEEHRRCVVACLVKGVMVMTKDRSRRGRTTSRPLAPAWWESFGFRCRNVIKDVSDPQKDDEIFGATYEYKPPAGLPHHRGDPPSYVVAFRGTIPTRMGDLVHDIKILCNTFYNSKRCEITRKEVEGLLDGRTNSCTALDVRRSMAEKGFNLPTFLFNPPQVSPAPVINLLRLNEEAKSIIYATSSLLKVGLGKIVKPHEEHMKNLFKQLLQSQWTPELYVHDSDPICQGYIDYFEQRQLVLERFPDIGMLAMKLSYRDMVFSALGEEKERPHLLPSVLLWKNSRMDDGAHNHLSKCKLALKAHSLEQWWKPDSELSLTKKQYNYRSNDES >KN540968.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540968.1:32727:33898:-1 gene:KN540968.1_FG003 transcript:KN540968.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACIHTGRGKEEHHRCITACLVKGVYVIENDSTRRRVHPNELAPPWWKSFGFKLVHVMKDDSDDNDQFIIGAIYEHVPPPSEPAHPLSPRYVVAFRGTMMLHPNAISDLYLDLQIIVNTLKESKRSRLANTAVKKLVATIDHKNTGSFASKKNTGSYIVWLAGHSLGASVALDAGRAMMMEQGYNLPTFLFNPPQVSPTPAIDVLLSTEKAQKAKTDIYATSYFIKAGLAKVLNPHKGPVRDLICKGYVDYFKQRQQVHERFRAVPMSAMTLSYRDMFFSVFGKEKERPHLLPSARLWKSTSVDEDAHTLQQWWKPMGEQSLSVRRYSYPL >KN540968.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540968.1:25660:26423:-1 gene:KN540968.1_FG004 transcript:KN540968.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIQDIKILYNTFYNSKRCEITREEVEGLIDGCANYYSVWLAGHSLGASQALDVGWSMAEKGFNLPTFLFNPPQVGLGKIVKPHEEHMKNLFKQLLQSQWTPKLYVHDSDPICQGYINYFEQRQLVLERFCDIGMLAMKLSYRDMFFSSLGEEKERPHLLPSVLLWKNLRMDNGAEIHPSKCKLALKAHRLKKIALKAHSLEQWWKPDSELSLTKTPYHYRSK >AMDW01071456.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071456.1:135:362:1 gene:AMDW01071456.1_FG001 transcript:AMDW01071456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMKARLRAMDREFVVRKVWDGETVVDQLFFHDPDGNMIEVCNCENLPVIPLVVASTPGLPELLPPAMQTNVHG >KN538946.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538946.1:87469:90182:-1 gene:KN538946.1_FG001 transcript:KN538946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVGRLPDALAALPELVYLKLDSNNFSGPIPESFGRFKKLESLSLVYNLLGGEVPPFLGGVSTLRELNLSYNPFVAGPVPAELGNLSALRVLWLAGCNLIGAIPASLGRLGNLTDLDLSTNALTGSIPPEITRLTSVVQIELYNNSLTGPIPVGFGKLAELQGVDLAMNRLYGAIPDDFFEAPKLESVHLYANSLTGPVPESVAKAASLVELRLFANRLNGTLPADLGKNSPLVCVDMSDNSISGEIPPAICDRGELEELLMLDNKLSGRIPDGLGRCRRLRRVRLSNNRLDGDVPAAVWGLPHMSLLELNDNQLTGVISPVIGGAANLSKLVLSNNRLTGSIPPEIGSASKLYELSADGNMLSGPLPGSLGGLEELGRLVLRNNSLSGQLLRGINSWKKLSELNLADNGFTGAIPAELGDLPVLNYLDLSGNRLTGEVPMQLENLKLNQFNVSNNQLSGALPPQYATAAYRSSFLGNPGLCGDNAGLCANSQGGPRSRAGFAWMMRSIFIFAAVVLVAGVGWFYWRYRSFNNSKLSANRSKWSLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWGLKKGTDVENGGEGSAADNSFEAEVKTLGKIRHKNIVKLWCSCTHNDTKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFGARVADFGVAKVVEATVRGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEHVLDSKLDMTFKDEINRVLNIALLCSSSLPINRPAMRRVVKMLQEVRAEATRPRLDKDGKLSPYYYEDASDQGSSV >AMDW01027215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027215.1:113:253:1 gene:AMDW01027215.1_FG001 transcript:AMDW01027215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EESERPTAGSNDEDNDSGAIAKAHAKKPLSTIATALEKETEFDSGVK >KN538946.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538946.1:53216:53896:-1 gene:KN538946.1_FG002 transcript:KN538946.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAHSTFLSAPLPTTSDRGPFEHDLIGEQRQNPLEDGLANLEVWRAEAKPDREWPG >KN538946.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538946.1:6819:7611:-1 gene:KN538946.1_FG003 transcript:KN538946.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGGAGACAVMLAANGQEWVKLEYNTPS >KN538946.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538946.1:157516:157918:1 gene:KN538946.1_FG004 transcript:KN538946.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWCKSPSSAEHAGRRLGNSRGWQPSRLSPPYTSAVEMVACDGTTERQIQALGNGDQVGRGKKLMKGIDNSGGLISVRVLETHAEQKGLGATTSSACGWRNSASL >KN538946.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538946.1:4217:6023:1 gene:KN538946.1_FG005 transcript:KN538946.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADYICRKVLNMRLFPNEKTEKAWDQSVLQRKFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYTSLVEKFQKSYSADAVKDGIFGAMMKVSLVNDGPVTMQVDSPSLQNPAQSSNGDVSSVGDNEAQVPKETC >KN538946.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538946.1:159241:162984:-1 gene:KN538946.1_FG006 transcript:KN538946.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVQGEDEVPVYESGAEIVQKLQEKWKSTAAPFPAMYSSVLGGIILDPAMMSVPLDDHMVHRGHGVFDTAMILDGHLYELDPHLDRFLRSAAKARIGTPFPRDTLRSILVQMTAASNCRRGSIRYWLSAGGGDFLLSSAGCAGPAFYAVVIPTDYSQCRHGVRAVTTSVPMKPPLFATMKNVNYLPNVLSIMDAEDRGAFASVWVDGEGNVAEGPMVNVAFVTAAGELVLPAFDKILAGCTAKRLLALAPRLVESGLLKAVTTRHIAADEAKRCSAEMAFVGSGLPVLPIVEWDDQLIGDGKVGKTMMALSDLLWEDMKSGPDRIAVPYK >KN538946.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538946.1:149488:153767:-1 gene:KN538946.1_FG007 transcript:KN538946.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTGLTAGFVPSFNMSASLLSFFIIKSWTRLMARCGVASQPFTRQENVVVQTCVISCATLSIYGGFTSYLLAMNETVAKAAGGGTDGRNVYTLHIGKIVAFLFLVTFSSLFCTLPLRKTMIVDYKLTYPSGSAVAGIVNSFHTPKGATKAKLQVNAMFKSVAGSFAWAFFQWFYTGGDGCGFHAFPLFGLEAYKEKFYFDFSASLVGVGMICPHLINFSMLLGSISSSGFIWPALQAKQGEWYTDPSPTSFKGINGYKVPMGVSMVLGDCLFQLGAITVKAAQHYRKGRQEQKLAVDGAADDGGGGCVPDDDDENKWHATLTNELDGLLISWFQKQVAVILHQYQLLSKNSNSA >KN538946.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538946.1:114445:119230:-1 gene:KN538946.1_FG008 transcript:KN538946.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGAGDDDLKKAYHKLAMRWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRTIYDQVGEEGLKGQPPPGAGGPGASSFYPGGAHFNSFHFNPRSADDIFAEFFGFRGPFSSMGGMPGVSGGMRGDPRFPGFGNEYFSSRFGGEGSTSMHQPSHQLAKPPPIENRLPVSLADLYKGVTKKMKISREIIDFSGRVSQQEEILQIEVKPGWKKGTKITFEEKGNQAPNMKPADIVFIIEEKPHDIFTREGNDLVITEKISLVEALTGYTARIITLDARSLSVPINSVIHPDYVEVVPGEGMPNPKGPNKKGDLKIKFNIRFPSRLTSDQKAGFKRLLGS >KN538946.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538946.1:43020:44230:-1 gene:KN538946.1_FG009 transcript:KN538946.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGHGGGGGEGTAPALELLDEYCANQQPLVRHQSLFHDKKWKSSSDLESIEVQGFRDLGFVFDQEELRESLADVLPGLRGKPTPTGSGSASDNDDANTATTATGSDAVAAVRRPYLSEAWYHSRRPAAALRLQQADARSAAEMKDQLRMWAQAVACNVRQEC >KN538946.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538946.1:8685:16529:-1 gene:KN538946.1_FG010 transcript:KN538946.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRLSHGLSDRFIRSPACWMCSINHRVTTRIQRRIYGHATDVEITPLNEEKAVQAATDLIGEGFIFSVAVAALIFEVQRSARSEARKEEARKQELEVSFSAVAATAAAAELKQREESLSKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVLGAESSKAATPA >AMDW01014799.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014799.1:79:255:-1 gene:AMDW01014799.1_FG001 transcript:AMDW01014799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVARVNMCHGDREWHRDVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAAAAKAE >KN538946.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538946.1:80462:80779:-1 gene:KN538946.1_FG011 transcript:KN538946.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSSPTWVFLKSKARVCGGSKPVLLHGADDVSLELAAPPRESVLTVSPRVSRGDISVLALDPFAGLVLVQTPSPPDYDELLPWAPYYFVYDVAVGTASRVPY >KN538946.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538946.1:105909:112918:1 gene:KN538946.1_FG012 transcript:KN538946.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMQTRFIEPALRDVAEASQVVGVDEAYVVVQVDAAQLVQLVVFVLDRVGEAVDEATGKLRERRRRGGGGAGGVDEAVDGKVSGRDEVRVGKGDAGLEEDGAVVGGDDLGVSEVGSEASGVPGEVPCLDHRLLLLHVVWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLVSWTVGLPKRAFHLPTPLKTDMDSTNVYAYISIIALIVCIPPAVIVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >KN538946.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538946.1:133907:139534:1 gene:KN538946.1_FG013 transcript:KN538946.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSTLRSPRADQRAGVGGHHVVGFVPAPPSPADVADEVDAFIVDDSCLLEYIDFSCCDVPFFHADDGDILPDLEEKDAKNGGGDEVLSAVTTEDSSAGAAKSCSPSAEGHSKRKPSSSSSSAAAGKNSHGKRKVKVLHAELVTEEISVAQEASDGEGGGGGELDAEAADAARKWGPQAVTPGTPCMPPPLPPAAMLQRFPVPPVPGMVPHLMYRPIPPPLPPQGNKLAALQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKVPPAASGAAG >KN538946.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538946.1:141290:147735:-1 gene:KN538946.1_FG014 transcript:KN538946.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDTNDAIGDASQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >KN538946.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538946.1:63033:68278:-1 gene:KN538946.1_FG015 transcript:KN538946.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDGATTKVSMHTLVDPGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDMVYFFVKDQLVGVDLRAKEALEYETHKMIVPENARVFSYGLLPMELPPALSAGLSKEGAANNSSGFVCDVAVGTASRVPYPGEPTLNDDAALGPRRRGELHGRRVQASIRLRQGGRRHHVRLMCLIPRPLYFVCDVAAATASHVPDPERLIFNNDLGVIAAPGGRRGNYMVVELQTIVGDDEATLLCFSSVTGEWEAKDVANPLPSWIWTFYDIICHDGKLWWVDTAAGLLFCDPFADEPDMKYVPLEDKEDDLQSEDEDDDDGCGYCAERLSDGKFRCVEVSSPSHGTAPEVSMRTLVNPETAEWAPEYTVSFADIWASESYKATELPEKAPQLRNAFIHPMNPDVLYFFLKKHILGVDVRARKVVEYEARDSSESVLPWKLPPALSAVRIHVSVEQLQIISSIISVFAFNIMA >KN538946.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538946.1:30366:30932:-1 gene:KN538946.1_FG016 transcript:KN538946.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGRHDQGMALRKAEESDLFVLRSRYNSIFNLRRSYGNFETSKKQS >KN538946.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538946.1:82716:85061:-1 gene:KN538946.1_FG017 transcript:KN538946.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRITPAKVSPFAKWKSFVIAIDPSAGLVLLLAPPPPGPGPGELRSFTGADGKVHTYHVTTMPTQRYFICDIAARTAYYLPDPEGCVFNNDLSIIAAPGGGGKYLAVEFKFIVGGDKATLLCFSSETGLWEKKPVNNPLPRWIWRCFDVGSYAGKLYWVDTAAGLLFCDPFVDEPHMEYVPLPSVDLPPEHDEDCYGCDYCAERPFVSRRCVQLSDRKFRCVEMGSASDGATTKVSMHTLVDPGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDVVYFFVEDQLVGVDLRAKEVLEYETHKMTVPENARVFPYGLLPMVLPPALSAGLSKEGATSACDNQLDVGILDLSNVRILEFSSPAS >KN538946.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538946.1:37523:41280:1 gene:KN538946.1_FG018 transcript:KN538946.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEPLDFEKEDPLLPKARPAKRKKVIGLDDLLEDFFASGKDDLKASGIESKHGSKGYNSDDEDKKSKELDAGDDVPQWGQRVFGCQKSPSVLSVTGVENCQLLKSFCANEHLGYDLNIEQGEGFLEGLLVDGWLLKLVLLHGSVEDSIASWALTKFIIVRLNCTADKLSVDIGHFPSYSVLKSAILNYGYIFDNCTEVSTSESVIADDGPPQNIIEWLKITSACCKIRNVHSIFSSSEAEELLVIVISLFLDRQLEGLLLILGDCLSSLILYFNSSEWESSFLIVAQSISQRVTMDLNCLRIVDCITGTDYRSKFLRSQLALQFLKVSFGLKVANVEKILKSVMSINVKDKDCNFFSLYVYLVLMDNLLFSSDAFRDKHAIINSWRNYLRNCSTQIGCTDWRLYASKVRNKASYLLQGAVLRRSAGGASLPVQ >KN539628.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539628.1:42985:45990:-1 gene:KN539628.1_FG001 transcript:KN539628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTITKPLRAVSKKMSNLHPKNRKLICKLEELKEILAEAKSFRSLFGIQAVNGSVHMVTAPIRPHTNTTSLPTSKVIGRKKDRDLIIDTLCKHADTEASAARCYSTLAIVGIGGMGKTTLAQFVYNNEKVIKYFDARMWVCISRKLDVHRHTQEIIESAGMGECPRVSNLDTLQCKLRDMLQRSERFLLVLDDIWFDESKNEMEWDWEQLLAPLVSSRRGSKILVTSRRNALPAVLDCKKHFCLKNLKDTALLAIFKGHAFAGAETNDPQLRRKLEEIAEKISKRLGQSPLAAKAVGSQLSRKKDITTWRAALKSDSLSETRKALLWSYEKLDPRLQRCFLYCSLFPKGYWYEISNIVHLWVAEGLVDSSNSDMKMEDIGRDYFNELVSGSFFQQVSKSWNGIWYIMHDLFHDLAESLSREDFFRLEDDKVKEIPCTVRHLSVCVKSMKLHKQNICKLNHLRTVICIDPLMDDGTDVFDQVLRNQKKLRVLDLSFYNNSKLPESVCELKHLRYLNIIKTFISELPRSLCTLYLLESLKLNNKCKILPDKLCNLSKLRHLEGYIDQISSTPWEAPVPQIPNIEDSLYLESEILEGLVPPPQLEGLAIEGYRCATYPSWLKVPRFENLVSFELENCSALEDVPLNTEPLSHCAEISLKNISNLKTLPCFPAGREEGGW >KN539628.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539628.1:9854:11703:1 gene:KN539628.1_FG002 transcript:KN539628.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCAQGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >KN539628.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539628.1:48765:49406:-1 gene:KN539628.1_FG003 transcript:KN539628.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKRERELLESLADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGVVTIPRFVEASRLDCPAALNRLVQSGVPATVELRAAASSSAPASSAASAAAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGPVLPPDFEGKVKVNEWLAKLNKMGAGDELTEQQARQLNFDLDSAYSAFMASLPSTGL >KN539628.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539628.1:68594:71353:1 gene:KN539628.1_FG004 transcript:KN539628.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKPEIFVLEGLTWRCMTELESDVVVEVGEMSFYLHKQFPLLSRSGVLQRMISEYQAPQEDGGGGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNAHNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCEGVLPTAEDLHLSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQPHPGGSAIVPKGAAAAGQSDADAEADEGKGKELPAEAITDVKARVSELEKECMSMKQEIRRLGKPRRSWSLLTRKCGFGTKVQQAQPTMSGK >KN539628.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539628.1:6543:6701:1 gene:KN539628.1_FG005 transcript:KN539628.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCEVQMHDLTGAPYLAMKLWKSDVIASLDTDCALHCQHDALQDLGPRHGQ >KN539628.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539628.1:59329:63302:1 gene:KN539628.1_FG006 transcript:KN539628.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGGGAALFSEEELRDVSGVRRCEDFVEVTCGCTSHRYGDAVGRLRVYASGDLEVSCECTPGCREGPLGSSSSVVPNAHKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYSLSHKSANGSNKGRNGRLSHRDEFIHCTECGKERRFRLRSKEECRIYHDALAKPNWTCADLTTDRVTCGDEEERASRKVLRGCSRSTSCTGCVKCVCFGCEICRFTDCGCQTCVDFYHNSKE >KN539628.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539628.1:1996:2519:1 gene:KN539628.1_FG007 transcript:KN539628.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTATGLLVAFLFVAAVAIADGRSLSVAHEKFHGDGADHRYVAPEHATTPLPLICTGVHGVEAGETCDSIARRFHAGLGRAPFFRLVSLNPNINCRELFVGQWVCIQGLLPV >KN539628.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539628.1:21196:29498:1 gene:KN539628.1_FG008 transcript:KN539628.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSGSQGHNVNGGQKKVQHASPLTLNNGSKHRPLTPMRRCRGVACVVIILSTAFTLIVFIAPITTFLVRLVSVHYSRKATSVLFGMWLSLWPFLFEKINKTNVVFSGESVLPKKRVLLFANHRTEVDWMYLWDLALRKGYLGYIKYILKSSLMKLPVFSWAFHIFEFIPVERKWEIDEAIIQNKLSTFKDPRDPLWLAVFPEGTDYTEKKCIKSQEYASEHGLPILKNVLLPKTKGFLCCLQELKSSLDAVYDVTIAYKHRLPDFLDIIYGTDPSEVHIHIRTVKLCDIPTSEDEVTDWMIEKFRQKDQLLSDFFMQGHFPDEGTEGDVSTLECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKRVLSLDADVLGVEARGKEVVVKAFVLPAAAAARPVSCAAGAGKGGGGRRRRRRDYVLEMAAGEDAAAAWCDRMRGSLDSLGRPKRLFILVNPFGGKKCGKKIYEAEIKPLFEAAGVNVTMQETRYQGHAREVASSLDLARYDGIVCVSGDGVLVEVDFFTDILVYPFRGMRKRLAYDCVKRKGYTSISIGESPDLQESLAKSNKKKDLQVVNGILQRMDWEEAIKIPIGVVPAGTGNGMAKSLLHSASETYSVPNAVFAIIRGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSIQIVPAPGYEAYGDVVKQAENCTIECQEQNGKSVCSYRGPSVEFQGSEWRSLDGPFVSIWINNVPWAAESIMAAPGAKFSPSKSFPVVYCSVWSCSKFSDGYMDAVIVRDCPKADLLALLMKMGDGSHVKSPYVTYLKVRCLRLSPGQLVENPKRGGIIDVDGEAIARGEGTYGKNQKQDVMGYGPSIQMTVHRALATMYCPK >KN539628.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539628.1:71920:85011:-1 gene:KN539628.1_FG009 transcript:KN539628.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSIGYVEFDSCRVSGLLFVQSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTVAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAVDSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHTPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSEGFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDITQMAEKLDRTVSLEHQLQSNDTSESPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRLQRCSRCFNHLQKIQQAGWSISTTCPRTEPVFARLGGDLKQAGRFIHTSTTHPTTPSVPLCRPTSLNSQVSTAHRSPGPTCRPGGSVPPASNSRMPPLPHEPGFYNDRGATVDIPLDSTKDMKKKEKELQAKEAELNKRESELRRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLATCLFWNIIATTAAWIKGAGVMIWLLAIIYFISGVPGAYVLWTESALKFGWFFLFYLIFRAVLTVFAPFQIHILFCIWSAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLEALLSVVVIQQVYMYFRGSGKAAEMKREAARGAMRSAF >KN539628.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539628.1:31056:34922:-1 gene:KN539628.1_FG010 transcript:KN539628.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAARPIFKKLLVEASTYLGVDMMCEFHELETTIMPQFELVIEEAEKGNHRAKLDKWLKELKEAFYNAEDLLEEHEYNILKHKAKSNGSLGKDSTQAHASSISNILKQPLHAVSSRLSNLRPENRNLLRQLNELKTILAKAKEFRELLCLPAVNSVLDSIVPIPVVPVATSLLPPRVFGRDMDRDRIIHLLTEPTAAVSSSAGYSGLAIVAHGGAGKSTLAQYVYNDKRVQEHFDVRMWVCISRKLDVRRHTREIIESATNGECPCVENLDTLQCRLKDILQKSEKLLLVLDDVWFDKFNNETEWDQLLDPLVSLKEGSRVLVTSRQDVLPAALRCKDVVRLEDMEDTEFLALFKHHAFSGTEIQNPQLRGRLEKIAEKIVKRLGHSPLAARTVGSQLSRKKDINEWKSALNIETLSEPVKALLWSYNKLDSRLQRCFLYCSLFPKGHKYKIKEMVDLWVAEGLIDSRSPGDKRIEDVGRDYFNEMVSGSFFQPVSERYMGTWYIMHDLLHGLAESLTKEDCFRLEDDGVKEIPATVRHLSVRVESMKFHKQSICKLRYLRAVICIDPLMDDGDDVFNQILKNLKKLRVLYLSFYNSSRLPECIGELKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNKKVKCLPDKLCNLSKLRRLEAFDDRIDKLINASLPQIPYIGKLTLLQHIDAFLVQKQKGYELRQLRDMNELSGNLRVVNLENVTGKDEASESKLHKKTRLAGLHLSWNDVDGMDASYLEILEGLRPPSQLEDLTIEGYKSAMYPSWLLDCSYFENLEHFMLANCCGLESLPPNTEIFRHCVRLTLKNVPNMKTLSFLPEGLTSLSIEGCPLLVFTTNNDELEHHDYRESITRANNLETQLVLIWEVNSDSDIRSTLSSEHSSIKKLTELMDTGISGNLQTIESALEIERDEALVKEDIIKVWLCCHEERMRFIYSRKAGLPLVLPSGLCVLSLSSCSITDGALAICLGGLASLRNLFLTEIMTLTTLPPEEVFQHLGNLRYLVIRSCWCLRSFGGLRSATSLSEIRLFSCPSLQLARGAEFMPMSLEKLCVYRCVLSADFFCGDWPHLNNIGLCGCRSSASLYVGDLTSLKSFSLYHLPDLCVLEGLSYLQLHHVHLIDVPKLTTESISQFRVQRSLYISSSVMLNHMVSAEGFKVPGFLSLESCKEPSVSFEESANFTSVKCLRLCNCEMRSLPGNMKCLSSLTKLDIYDCPNITSLPDLPSSLQHICIWGCELLKKSCRAPDGESWPKIAHIRWKEFR >AMDW01035932.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035932.1:134:508:-1 gene:AMDW01035932.1_FG001 transcript:AMDW01035932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCSCRKMESDRLPCRHILRVITHRGVSRMPDCFVPRRQRRNSKAKMERVEEMKQLSSKVFDLASEDAGEFEDVMGFMERFLQERRAWLDAAWEPKRRGHVGVDDDNEGDSPSIKKIKMSDE >KN538941.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538941.1:117739:126599:-1 gene:KN538941.1_FG001 transcript:KN538941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDTGQGEAAVVAAVAGSGFGHRALHMAASGGSVDVLRYLVEDLRLGVNQFNGKGQTPLFLSSIHGRAAATRYLLDHGSNPAIDKTVLPLHGAAAKGHCEIVELFLSKGVDVDLYSIAGTPLLAAAISGQHSTMKIILEHHADVGADVNFRDSNGVTCVMIAANHGSSVIMKCLLDAGANPNIPDEFNTTPIEVAANHGRRDIVEMLFPLTSPISTLPDWSIDGIIAHVETFGLKPRDNDLSKRKSAELKLQAREAFERNDYALAVQHYTNAIELSTSAHDKATLLANRSLCWLRLSTGNGALADANMCRMLRPSWPKACYRQGAAFMFLKDYGKACEAFADGLKLDPANEDIKKALRDAEEAMKKDKMERRG >KN539984.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539984.1:68139:70997:-1 gene:KN539984.1_FG001 transcript:KN539984.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGWEKAEKEIASLKTQLNAAAAKNSTLEDRIVHLDGALKECVRQLRRAKEELDHGIQDALAQQSREWESEKADLELRVVELKAKLEAKSEFSVNAETDASSRLASLEKENSALKVQLLAMSEEVELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRRLQANARRELKRAPSSVYAESVTDCQSDCSDSWASILITELDQFKNDKSITKTFLEMEKIASSNSPSSKSEAEDAASVQLVKLEEKIKRLAMEKADKEKALHEAQRELRNTRHRAMVAEEKSVELQRQLNLAKGQKHSMETEMEAMENRRNELEGRIELAHGEITSLLDKGRVLEERLESEKALTLELAAKYQQMDALEAERRELRGHLEASQSEAKNLGDKITLLEKKLEEEKAFSTRLAVRCHGIEALEEKKKGTEHELESAREEIASLQKKVSILELKIQEERALSEKLATRSRDLEALGVQTNELRSQLQSANSEIAGLNEKVKMLEEAEEKHKPLTAGLESQLRLAQAEAMRLKDDVSSLEKKLESQKNLSSAYITALDASEAQKNKFASRFELKEAEAEELRRKIRLLEEEIRKEKAQSSELGVQCQNLKEQFTSRALSQPMKPMASKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSVIAEGWEENGLKLLNSANYPAQLGCLAVK >KN539984.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539984.1:45839:50481:1 gene:KN539984.1_FG002 transcript:KN539984.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MAPDANPEAAGSAPPQLQVDEGYEFCAPKFFDFVCDETEEEIRAAERWIKESRAEVKIESLCDFTDAEPIPKEVAVEEAAGSAANPSQNSDGNVQQNKDGSIKLVHEANPSENCVTDGDHKHQESDAMLESPPAEEDEKESPKSFEFVPSNAKSADVASSTPKIQRPPPVKPVTTVPTCPKLTVKTEALTPKVQATNSSRGLAPLTGSRAHPSALKQSMSVKRSVIKCPRELLAGKAATAANEIAQENQAVKRQKLDDGRTRQILNVKTRTLPHKGRGGGLAGSTEMSLSAMRKHRDDSHSLKEVTHYISAAEMEDAATALQRRTKLMLTRPKEPEFQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKAPQLPEFNEFHLKTMERATRHADTCSEASSVGTIRSQSSKPLTLTAPKPPQLETALRARPPRVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFPHLKAQPTAPKEFHFSTDDRLGPPAVVDLFDKLSLCSESSYHSKKDVPRLTIPNPFNLHTDERGHEKERQLAAQLLQKQLQEEKARIPKANPYPYTTDYPVIPPKPEPKPCTRPEGFQLESLVRHEMEQQRIMEERERMEREEAQRRVVKAHPIMKEDPIPLPEKERKPLTEVQPLKLHVDERAVQRSEFDNMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDQRGARRHAFIR >KN538941.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538941.1:58215:65930:1 gene:KN538941.1_FG002 transcript:KN538941.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G11520) UniProtKB/Swiss-Prot;Acc:Q9ASQ5] MASFPLFPALLLFLCSSSLVLASVSEPTLSWTCGDDQVAILDTSDGGRNLSVNGELVQDRVLGCQKLRSYYVSRCLRCGQQSEAWRGAWKHYCREGSESSNAQNIPRKLLRQPSMNDAKIEDDPCKNMGIHGNNQDDNDSLEGQDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESIASLDVSTSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVNLTVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLREHLDGQYGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNRREILDPLLEDPVDDEVLERLLNLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRWGDLLNLERRALEQLPHGLQRFSEAMCSSEVGEAVGLGEAVEASDHKRPDEDEQVEQEHHQPEHITVAPSTLPRTLATASGTGERE >KN539984.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539984.1:32508:32864:-1 gene:KN539984.1_FG003 transcript:KN539984.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSELYMWEGESHDAGHHDSVGGYGCDVGQGGVVIGFGFEAAPVLAAMTEVGIDWSDIELPAGTTGVDVRGRASNVDLLKPRQRFFFSYTRGEKRMAHIRGTHLVCATCGSGKRAK >KN539984.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539984.1:5140:12886:1 gene:KN539984.1_FG004 transcript:KN539984.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase DEAH7 [Source:Projected from Arabidopsis thaliana (AT5G13010) UniProtKB/Swiss-Prot;Acc:F4K2E9] MALPLSLSLFQGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAESDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHSRSTPRRYDDYEDRVSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNNNCHWMFDIYFYELNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQCKLFKNKWVGYFEYGFDDCSTPMQLSLRRKVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKFSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >KN538941.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538941.1:144712:153542:1 gene:KN538941.1_FG003 transcript:KN538941.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRSETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTPLHTAATHGQCSTMKILLDHHADAGADVNFRDSNGATYVMTAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLPEDLCVKKIAQMKVEGKEAFKRKEYLLAAQLYTSALGLGPSPDDSATLLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRAALQAVKNDRCVKNNQ >KN539984.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539984.1:24724:31842:1 gene:KN539984.1_FG005 transcript:KN539984.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASQGVVTQQQKPSGRRPVGSSQIWTTLNFPQRYGVLLPSQQTRKLKEWVLTDEQQQLVNASGLGHLALTTGFTIDRSLLTAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPIGDEAVRRMCLHFLGESPGNGEQLCGLIRLTWLYRKFHQLPENPTINEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGSAALAHLYRGLSVAVTPNATTQFLGSATLLMVNWNPYKDMNPAIIPEYCIAADNICYSRTWLISFNIKEVYVPDRFSRQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPAETNINSLPIESITEQDAAGCSRSTSQNFSSMVEDLRNDLPVIDRYLEGQLLPVEVASFLERVGMMIKSYSPPQSSRRKDQAGQGQDNNVRSKNPRKRGKPSFFQDPSSPPNSRADRFPAVLIPYQDSKCDMVLDGTVPLLNGAEEFKEQGVMDLWQNSHLTTPSCSSLDSSSPESRKRRQQDRDEIRLPRDTENLRRSGRLCVQLKMFKHRDGLSGSVPVSQRIFFVQMESAEAEPTNKSAIQGTHELTVCQTTERLDTWKLNEQQQRLVDASGLGNLIHTAGVVLDRVALMAFFELWSKETNTAQLNGFEMAPSLRDAAYILGIPVTGRVVTTGAVLNKSVEDLCFQYLGQVPDCRDCRGSHVKLSWLQSKFSRIPERPTNDQIMYGTRAYLLFLIGSALLPERDRGYVSPKYLPLLSDFDKVQEYAWGAAALAHLYKALSIAVAHSARKRLFGSAALLMGWIYEYIPALRPDMYDPPEHIFPRVLKWTGSTISQPAKNVSDIRKAFSLLQVSDVNWEPYKGADPASIPKHCAAPDNLCFSRTWLVSFNLKEIYAPDRFARQFGQEQHHPLNDVEGVREELPTVARFLEQHRLPAELATSLSRIRGLIEASPPAPPLKRGDAAPQPQPQPQAIAVIPPLAAMPPREQEAPHGAEDAEAPGIVRGDADDDDDAVPPKERSDEQQQQDEEEEEKLCSI >KN538941.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538941.1:178469:178915:-1 gene:KN538941.1_FG004 transcript:KN538941.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDNGEKAMPQAENKEIAAKDAQDIRRQADEFNSWLDRMEAMDSQELKQYKEQNKDMFNSQKKAAINKVWMALL >KN539984.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539984.1:56472:66075:1 gene:KN539984.1_FG006 transcript:KN539984.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGRRVKHGYHLVKGKSNHPMEDYLVAEYRLEGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQEHLTVSVLMPEFLSNPQAAIRNAYQLTDAKILESAAELGRGGSTAVTAILISSENSVNLIVANVGDSRAVISKNGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVLAVARKNGVVELLNPLNGETLAGVKAAAGRAAPADSSAEEDPLATLHLFRRHAPDSSMLGTFLACTEKGKAYVKSVAKENASSDMAVGPSSSWDVSNSGTVQFSSVDAGESYAMFGGKGIEVNLWDITSCSKIWSAKSPRGNSLQIFTAPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTASQRRPVISVDFRESPIKAVAEDPNGHAVYIGTGRGDLASFDMRTELTLACTLFPGKLLGCFVGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTAVVIDSHFSTEELEETKSKQPDPVGAEVRKERKEKKNRTSEMDEDETRMLDHDDSDSEMHTSKRKKSGEKSKGMKKKSKKQQVA >KN538941.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538941.1:43997:53062:1 gene:KN538941.1_FG005 transcript:KN538941.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTATFRPQMFSGNGSLSSQTAVPSSSHMGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPSRIQQVNDKLVSCYSEMSSTQPAASIKCLDGA >KN539984.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539984.1:14672:19379:-1 gene:KN539984.1_FG007 transcript:KN539984.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLATGRSTASYNVLAHALLSLNGLGTANIDTYPALWACEICLSLSESSGPDKKLKLFFFVKTKKYLFKPNTMTTQACKKRRAVYISSESEDSGTDSEVEGSKLSKKNGVTSVYTCGHQPTSKNKVDPMNTSKSRQCGSILKKLMDHKSGWIFNTPVDPVVYGIPDYFDVIRNPMDLGTVKRKLTNKQYSNPYEFAADVRLTFSNAMKYNPPGNDVHAIADQLNKIFDSEWKLLERKWKDRNLVQEQPSLKGLKAQPAVTPKPVLPKGVTAGTNSAVSKTLATALSSKVKIKFSVRGSELTSSKDTPLQAVGRRDGSINQSLPCTKDNAKTPRIQSSEDRSESTEEEYLPEEPLSPSKALRAAMLKSRFAGTIVKAQQKALLDHGKKIDPAKLQLEKERLEKRQQEGFEKLWDQNSPSIDFGEHNPEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKLIVDFVDGIDLPPGLGSPLERLGLFMKKDLEEEVEHEMEDSVSPSTEIDVEEGEISFCQ >KN538941.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538941.1:162855:163496:-1 gene:KN538941.1_FG006 transcript:KN538941.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSQLNPNATPFVPPSRSSFEESLSGRKASEKQVGDSEKDETADKSSEYELPDSLSLDDYGESLGKLNISVESSSKGAASTALDPSHYEENGVDNHLAVVESLSKMFPDVSADFIVEALRAHDFDTELTIDMLADLCEGDD >KN538941.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538941.1:31941:37411:1 gene:KN538941.1_FG007 transcript:KN538941.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEAPPPPPPQSPSSTEEEGMLSVTAAMARDAAVLFQSRRYADCAEVLAQLLLKKEGDPKVFASGAASAALWVELVGCLHISPVCALWYVCDMQFHVVFDACVDMDVHTGHDLFNKVLHNMAIAESFLDGCPDPKKLLEILGNVKRRSEELACASRQQTDSANGTGNSVSSGSRGSGIIPLISAANNATTYGDEFDTTIITFNTYCFSQSTMAVILYHLHDYESALSILDPLYQNIEPIDETTALHVCFLLLDITLALQDASNAADIIQYLERSFGVVSATNQNENANTAQQQSAQPKPSAKISTPPDSDSNTCAGGSENLSAGNFSDDTLEFESFYSTLDGGNQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSIQTSVWCFSKALKYSLSLRSEKPCKLTAISQDKSCLISYNCGIQHLMCGKPLLAARCFREAMPLLCNRSLFWLRFAECSLLALEKGILTSSGATSCNDEIEVDVMGSGKWRHLVINPVKPSHSSESGEEVSLDKYGNLISLRFARQCLLNAQILSDPSTKENLVIASGTEESNQTSLQGQKGSGQKNTNTDSKPPGPALTNANGEQKGISNLNVTLQSSLALYDDICRKENLKIKQAILGDLAFIELCLQNHLKALSIAKLLQQLPECSRMYVFLSHVYAAEALCALNRPKEAAEQLTVYLRDGDDIELPYSVENCEKALVEKDSDGEDSVAPVVTKLSSGESQYSESLKPEEAQGVLYIDLGMTAAVQGELEQANYMVSRGVALLPNNPRAVLASVYVDLLQGKAQEAIAKLRRCRTVRFRRHSVAVSS >KN539984.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539984.1:36638:44602:1 gene:KN539984.1_FG008 transcript:KN539984.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHFVSVEKVAKLIFDFSNIKKSKGYSRGFDKELHKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLGEQNPSKPCIILNIRLSAGKSAMPFHTSTAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAEVERATRDVVSPSSQGTVRKAAGWNLPDRSEGTGTVRGGLKPSQVTSTKDSRSDASHSPNTPKRTADRENQWRTSWTGSEESISTNLAQRDAQSEYGRLESSTEDNDQSISGSGTVVLRSPRASQVYPAATNHSSKAKAALQAAFKKGNARERHVISKHEKESHEPRISAVNSHDAPSENADMEKGRKPRQIHDGQSAPQASGSVSSPALSSLIIPSLKEATGDKFNGPVVHTFLDSLMHLEKELPGSCEVLIGRMLHRLGSSKESSLQSLKETATSVFAKKSEPPSEPPSNKKMANTPSLAAPTVSPLARFLLTRFV >KN538941.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538941.1:68568:77825:-1 gene:KN538941.1_FG008 transcript:KN538941.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQEQEKMLLQAAYDDNLRLLRKMARGLDTGQGEAAVVAAVAGRADGNRALHLAAARGSMDVLRYLVEDLHLSVNQFNAKGLGRKKHGVMCKSRQYHFTLCTFVVCIVEGSIHMTIQVPYGPYAIFFLAGHCEIIELFLSRGVDVDLDSITGTPLLTAAMNGQYSTMKILLEHHADWNHEPPGNLLTPGGDQSKQQTDPDPILPQFELVHKQKYYKTEEHSLTGLSIIMVGADVNFGDPNGVTCVMVAANHGSPVIMKCLLDADANPNIPDEFNRTPIEVAANRGRRDIVEMLFPLTSPISTLPDWRIDGVISHVQTFGFKPRDNDLSKRKSAELKLQAREAFEREEYMLAGQHYTNAIELTTNAHDKATLLANRSLCWLRLSTGNGALADANMCRMLRPSWPKACYRQGAAFMFLKDYGKACEAFADGLKLDPANEDIAKALRDAQEAMKDQMEHRG >KN538941.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538941.1:110708:111130:-1 gene:KN538941.1_FG009 transcript:KN538941.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCTSFVGEDGTGEAARREGRDEDHMTPMQSTTTDGRGREGAWVATERRPEVARARAMRRGRGDEESGGRAGDGWTRRGRRQRGGGADGVATGESGRARERSAGRCGGIDAEEEEVRNKRGGAWTIGCCGRILGEILAL >AMDW01039131.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039131.1:60:899:1 gene:AMDW01039131.1_FG001 transcript:AMDW01039131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEGGGYLHEIKRVVGMPWERLALQVSQPVVAENAATASEVLDKMTETSADDLCKFLSKMMPMKDIAGRKNPGKVIRRSARLSSGDDFLEALLFMEMDKMEGLVQQGLKIRMASTADSASSMAAGDDDDDRRHQATKDSMVSVVLIQVRDPEQGYAAIGDPMIGVMEASLEKKDGRVKLEMQGMHVAGILFGASRKRTSNGRATMWSACLGQCKGSHNGRGGAGDGCRCGYVRNTNRVFRR >KN542167.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542167.1:1350:3326:-1 gene:KN542167.1_FG001 transcript:KN542167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQQVWDKWEIQLMVLLSFMLQLFLLLTGRLRRRSINSLLRVLIWLAYVGADLVAVYALGLFSQYEEKYMLGRHSFEDTLPLLWVPFLLVHLGGQDSITAFSIEDNNLWLRHLLNLGVQVTLCFYIFWKSIDRINSRVLITAAFVFASGVIKYGERTWALKSGSRDGLGKSSMISSKKEQSPRRSNRNDDIPSRMGRASYALQTVLLARGLFVGRTVLQLGYGVQEKLGNYFKTNSQELEAEEKLKLLAMELGMMFDLLYTKTMVLQSRVGWVFRCASQVFMVVAFILFLQLQTEKHASYNHNGVVNVAISYTLFVGAIFIEACSVAMVLASPWTKAHLEEGTFVSKNACSHFNYCMAPNLSISIGQFNHTDFSISHASTSRLTCKIISVLGLRKRWISLWYLKHVEAQGIFRHMVEWFEKSPEERFGRLQPLGRKLNYTLCLPFEHAIYRLHVYTDLHISRHYDPDLFCSDTTKQLKEECEKLSNYMKYLVSAYPSMLPISSVVDDGVLEPETDLGKRNRDKVNILEENARQLFNQSEPDSACPFEPALSESDLMRSLEEIKEMWTRMLVYAAGKCSGELCARQLGDGPELLTFVWLLMIHHGLGDAATEVKLLTSDDPSLPELGAVVAASGSNWGPRPEQPRYAFNFCRRQGAI >KN542167.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542167.1:17041:18621:1 gene:KN542167.1_FG002 transcript:KN542167.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPAPRTPEEAARLVVTTLKNHQKADVEGFLVFYGLPIPNAAATASTPAPHTAHVPKPQGCKFELHTLPVDAKAVADGDTITVHIDTADPRESGNVPREIQKAAAERTRARAARDYQKADGLQKMIADAGYRQVPNARGEEVLAKKYRIRL >AMDW01036599.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036599.1:134:403:1 gene:AMDW01036599.1_FG001 transcript:AMDW01036599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALAFDMEYARWLEEHNKHINELRAAVNAHAGDNDLRSTVDSIMAHYDEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLK >KN543179.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543179.1:1869:3715:-1 gene:KN543179.1_FG001 transcript:KN543179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTRGFYELPLEEKQKYSNLANGNEFNHEGDVLHEYTARCREITSLVLTRLAKLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDAVSGLQIMSNGLLKSPVHRVVTNAERERVSVVMFYALDPEKELEPAPELVDDEKRPRQYAKMKIKDYLSGFYKTFARGTQVIDTVKMSE >AMDW01130240.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01130240.1:96:2018:-1 gene:AMDW01130240.1_FG001 transcript:AMDW01130240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTDADIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTDLKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDP >AMDW01040556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040556.1:459:1331:-1 gene:AMDW01040556.1_FG001 transcript:AMDW01040556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHVNGLSLSLYSYTSRIPEKIRLFDGVISKPCDLNRVVAVVENTPLFLIFRAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKVSWSTLK >KN542944.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542944.1:1277:10327:1 gene:KN542944.1_FG001 transcript:KN542944.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVEQVAVEDEEEAHGVRMIALLMECAAAMSVGNLAGANGALLELSQMASPGLDVGGGSVFVATASLVGLAATILCFKENTDKSGAGEEGEEEVRKKVPAARPYLSKEAAIAAGFVDKDGKIQWSSYLNYIEHGKTLPDDEAFAKEARDYQEAIKKQEVKVDEATMNARFHDWMKEYDRSYNTEE >KN544541.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544541.1:1624:2329:1 gene:KN544541.1_FG001 transcript:KN544541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGTKRKFEASEPLICSSPSSASRIDVGKLGEESVELESSEDSTKSYLSDATSGATTQDDVELVARALSSVAAKSPEAVRQFVRRLTPETVARSLDWDLLESDKLRKMAGGGHTHWTEDELHAFLESCMEEIEARNITSSCPKTQGYANLQAKMLSKAGKHVSKQQVKNFWGACRRRFQTWTWLESMATGLGTNPYTGSIDASPEWWEAMEG >KN538725.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538725.1:187093:193553:-1 gene:KN538725.1_FG043 transcript:KN538725.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRSSSSSSSENVRVRSSGSSSRSAAPPPPPPQTDSATNPLRFDARTIHFSINAWKPRAWNMPAVQGWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWRRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFSQGPSDGQNAEHRQGNRFALLPSASRGNDLSGGNTCISYMKERSNNIEEITCVQNCILPHQTGRSKHLQIGISNFRNSIANRIARAEFLCDRQFLLTILEERKGKYLARKDPNSFSFTLEIFLPVHADAERKIFDDALDRKPQIDWVEEDISSWMDKSYTSSNLEYNLLMQNIQVLESSLAGKDLVRLERDILVHIERLGALKSFNASMSRATLTQTYESEFSLPGDIINLDPEIPLKEQIDVVIVRSGKSQERKLKRMKASEKGSRVSVKTPSRKSKKSSSSQFIAEWKNYPGRRRSIVREQSALLVTIKECANLEKIRENLLKDGSEVSHASWAKAAGIDEALLRSRLQEGYCCRERLLVTTEWLVKYIAKTYAGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMEGIIRKVREARRAIRYNTGRNPPDAEIAALIGVSVANVRLARKCSRRVVSLYTEIGVGQNAKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNDDVHNELKDFCGF >KN538725.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538725.1:255263:256300:1 gene:KN538725.1_FG044 transcript:KN538725.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEEKKIMIIRLLHLCPIFQLVTLDGFNICPYHDYIFVVHLLLLGAFDHASVARLHNKLYKTFHTLIWLLNSIYLYSFVVEIFIAGLSYAAVADGVEIRQAPGSPLPKSTDLSSLLLVEIPGAESSKENSGVMWTSSPDRLEDPRKPFQYSNSSGSPCFSESGSDIYNKREVIQKLRQQLKRRDEMIVEMQAQIADLKNSLGVQVTQTTNLQSQLDAASRELFESEREIQHLRKIIANHCVAEALSHDKPLQAGHWQSDATNGHANGNVDSSVDDPELHYIGIEKRKGEVEKVEMLKREVCDLKEVIEGKDFLIQSYKEQKLELCGKIRELQEKLSAQVPNIL >KN538725.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538725.1:289007:290869:-1 gene:KN538725.1_FG046 transcript:KN538725.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MGAGSVVPWNAVVACCARLGLVDDALELAARMARSGPEPNVATWNTVLSGCSRHGRDREALGVVASMLKQGLRPDATTVSSLLKSVANTGLLRHGMEIHCFFLRNKLEPDVYTGTALVDMYAKCGRLDCAQKVFDALEHRNLTTWNSLVAGYANAGRFDRALELVELMKKNRLDPDITTWNGLITGYSMNGQSSQAVLLLRQIKAAGVTPNVVSWTSLISGSCHNGEYEDSFYFCHEMQKDGVQPSLVTMSVLLRACAGLALQKKGKELHCFALRRAYDCDMVVSTALIDMYSKAGSLVSAKLIFENIQQKNLVLCNAMLTGLAVHGQGREAIELFHDMWNSGLKPDSITFTALLTACRSMGLVTEGWEYFDNMETKYGVKPTTENYACMVDLLARCGYLDEAMDFIERSPIDPGASHWGALLTGCSVHGNLALAEVAARNLFRLEPYNSANYLLMMNLYEYEQMYDEAESLKYAMKARGVDSRPGWSWIQIEQGIHVFEVDGKPHPETAEIYEELIRLVFQIKKAGYVPDTSCIAYNVQEEEKEKLLLGHTEKLAITYGLIRSDASRAPVRVIKNTRMCNDCHEVAKHISSLCERQIILRDAVRFHHFVDGKCSCNDYW >KN539887.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539887.1:54290:59976:-1 gene:KN539887.1_FG001 transcript:KN539887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTTLNEDTEPSIPPGFGPFAALPLWGIQNDAKPAVTHSTPVQALQSIRKESEECQPSAAVSRSDTPCSTSGTQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKDVIAKWNPAGARRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKGRRGGMMKRRKLAESEENSATAHTQTGMQQSPERFGFEPGPEFTLQTFQKYADDFSKQYFRKDTSMDSGPSVEDIEGEYWRIVEVPTEEIEVIYGADLETGTFGSGFPKLSPETKSDAEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLESAMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVHVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGHNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKSICGADSTIFKALKARIETELAQRKTLGVPAQSRKMDAEFDSIDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASAVSLVSSSASNEQKDEGNKIMKIASPQTNNVCPSVEQRKSENISPLKEPCVKNELSCTTNSDTNRLQYNGGLGGHKGSAPGLPVSSSQSFSSNVATRPISTSSVSMKIVQGLVASKSCIQASSRTGDSRPLIGEHHNRSPAMIHDGTNMKSSLESSNNSCRLIASDYNATPCHSSKDQVLVTPGTNASVATLKDSSQVHSASSQQFVRTGPWTQSASHEASSPSTSALKPSLDPPAMKNLYGGFTQGSAHPGPPSFSNQQPNDGRLQRTSESLPGVEARARGHPTVTAQPALEIHSRNGGAQKGPRIANVVHRFKCSVEPLEIGVVLSGRLWSSSQAIFPKGFRSRVKYFSIVDPIQMAYYISEILDAGMQGPLFMVKLENCPGEVFINLSPTKCWNMVRERLNMEIRRQLNMGKSNLPTLQPPGSVDGLEMFGLLSPPIVQAIWARDRDHICTEYWRSRPHVLIEDPNNRHMLSQGPPLLALRGLIQRANRDELQVLWSLMTNSNNLDDSSRQQAAHIIEEEIAKQLC >KN538725.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538725.1:224117:228288:-1 gene:KN538725.1_FG048 transcript:KN538725.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MIARWSGHHDMSRLQYSEKDIRGNLFSGSVPPDVAKLAALQHLALSHNNLTGAIPFALNGLANLRSLRLAGNRFSGSLPSLTLPLLEDFNVSYNQLNGSIPASLARFPPESFAGNLQLCGKPLSRPCEPFFPSPAGAPTPTDGGGSGGGSVPVSEKKKKKKKLSGAAVAAIAVGGGAAALLALVLLVVYYSVVYAPMETKLEARFCITNNSADRDRLMRSLSRLRTVFSSLHL >KN538725.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538725.1:266185:269536:1 gene:KN538725.1_FG049 transcript:KN538725.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDDAAAGRDKPDTKRDVFADLGSPGFGMVERAREHFMLAGQVNQSDPAEFQRLQEVERHLGRCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTITSLSKLDIASLSSMSTKLSGMVADSYVHVVEAQVNMAFGRFDIAVTMAEKARVIDPGNTEVGRITNNIRLVAQARGQGNELFKAGKFAEASLAYGEGLKYEPSNPVLYCNRAACWSKLGRWMKAVEDCNEALKVHPGYTKALLRRAASYAKLDRWADCVRDYEVLRKELPNDTEVAEALFHAQVALKTARGEEVANMKFGGEVETVTSIEQLRDAIHSPGVSVVYFMATMNQQCQQITPSVDSLCSECPSVNVDESPMVARAENVRIVPSFKIYKDGARMKEMICPSLHILRYSVKHYAVSSS >KN538725.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538725.1:230026:239545:1 gene:KN538725.1_FG051 transcript:KN538725.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNHEFSTDSGALRNSVCTLEFFHMESPMLDQYRKPEAKKQQAAFSLSYTKHIFGYSIWGFGHRPAGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFETNWDCQNEGAVFSRVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVSGLANGNIFPVLFSDLALVGADSGGICNQQFACKELQSQEFLVYRVDQLSSISEAYWQSCPPFLASKSRNLYSVFLKSYFQQEHLKFHLAVTGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDTSVDGRDNIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSTVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >KN538725.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538725.1:200651:201682:1 gene:KN538725.1_FG052 transcript:KN538725.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDHSLKHSVMYDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCTIDLQQRDEGEIKRAKSTYSGEENEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTREAFRVLASNYHNVENHAMYPEIEQLIEEVLTTPAEVAEVLMRNDDVDVALQVLAEFLKAKRNEAGETKAENKNGNQKINKYEQSMV >KN538725.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538725.1:218822:220157:1 gene:KN538725.1_FG053 transcript:KN538725.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNPDAVRNVVGIIGNLISFGLFLSPLPTFVTIVKKKDVEEFVPDPYLATFLNCALWVFYGLPFVHPNSILVVTINGTGLFIEIAYLAIYFAYAPKPKRCRMLGVLTVELVFLAAVAAGVLLGAHTYDKRSLIVGTLCVFFGTLMYAAPLTIMKQVIATKSVEYMPFTLSLVSFINGICWTIYALIRFDIFITLILYFCYYDGSTAKNKGALELPKDGDSSAV >KN538725.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538725.1:272556:275786:-1 gene:KN538725.1_FG054 transcript:KN538725.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MEPADPDFYRIGYARMMRAYGIEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGDFLPSVDECTSLLLAPKHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDENPWELINFSSDAKIHLDSFLSVFNIAGLHDELYHNAALTSVENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILGKAHQDPKY >KN539887.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539887.1:242:4031:1 gene:KN539887.1_FG002 transcript:KN539887.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding NYLSSQNLRLFFDLSLSLWEKKNSVLAWVANFRQSEQQKSMRLWKLSSDAFLLFNSEELFLVCNFLSFPCFSSRAEDFSFMGVHQHAWGEVGIEIFSPRSKHIAMVNKISPWDEQEKMALRSNGALVKSLSFKEWEGGEQTKTNSVNHKNRPSRINVVVDNRRNSDIFMAESSSVVSSSPKCELDAAAVKVQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSISFFNGEKPETAASRWARARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHIYYGVWSRSESTEPFFYWLDIGEGKEVNLDRCPRNKLQSQCVKYLGPKERQEYEVVVESGRLVYKQSGVFVHTSDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKDGILKAIWPYSGHYLPTEENFREFISYLQENGVDLADVKRCPMDKDDEYPLLTKPDVTAASIAAAKNAETMYTRNSFLRRE >KN538725.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538725.1:285890:287496:1 gene:KN538725.1_FG055 transcript:KN538725.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFFYLQELSSSSCRAFVLDQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGDGLQDVFITGENGTIDGQGSVWWDMWRKRTLPFTRPHLLELISSTDVIISNVVFQDSPFWNIHPVQELFHFVNLCAKFPMPILNQDLGLNTADSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFGMGVGIHVKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDASYNPSMLPVVDGVTIKNVWGQNIRQAGLVRGIRDSVFSRICLSNVKLYGGDSVGPWKCRAVSGGALDVQPSPCAELTSTSGMSFCTN >KN539887.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539887.1:38686:40235:1 gene:KN539887.1_FG003 transcript:KN539887.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDEEGILASVEEGEMGIGYSGGCQRKRKRKEERYMGNIISFYLPKMKGNDTFASEGDKLSTLRVKRELFHKYDFTVI >KN539887.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539887.1:24161:29418:-1 gene:KN539887.1_FG004 transcript:KN539887.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLMCCLSLPAFPLGAFAVEKLAFNNVITDAVATCLHIFLSTTEIVYPVLVILKCDSAVLSGFLLIFIACIVWLKLVSFAHTNHDIRQLTMGGKKVDNELSTVDMDNLQPPTLGNLIYFMMAPTLCYQPSYPRTSCVRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYAFFHLWLSILAEILRFGDREFYKDWWNAKTIDEPVHKWVVRHIYFPCMRNGISKEVAVLISFLVSAVLHEICVAVPCRILKFWAFLGIMLQIPLIVLTAYLKSKFRDTMVGNMIFWFFFCIYGQPMCLLLYYHDVMNRIEKAR >KN539887.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539887.1:74984:76156:1 gene:KN539887.1_FG005 transcript:KN539887.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTRCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFF >KN538725.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538725.1:179134:181826:-1 gene:KN538725.1_FG058 transcript:KN538725.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAVSPKPASPAPAPAKLPTKPAPPAQAVREARNYGAPRDGAGRGGPGRGRGSGRGGRGGPRRDFGEGDANGFEGGYGGGGGFGDGGLARAEDGEGRQAERGRGPRQPYRGGGRRGGYSDGQSGDDYGRPRRAYERHSGTGRGYELKREGSGRGNWGTVTDEGLAQEVAEAVNTEEAPATAEDEKKPEDVPQSEVDKDKESPENEEEEKEPEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQAMQQLSVKKANEEVFIKLGSDKDLKKKEKDEKDERAKKSLSINEFLKPAEGERYYNPGRGRGRGRGRGDRGGFYGGYNGNGGRRQAAAPVIEDQAQFPSLGGK >KN539887.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539887.1:15466:16236:-1 gene:KN539887.1_FG006 transcript:KN539887.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGRSLLLLLVTLAAGHGVVVVVVAFDPNPLQDFCVADPTSKDNKSFN >KN538725.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538725.1:196885:198456:1 gene:KN538725.1_FG059 transcript:KN538725.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKKAITTAASVAASVMLARSVVNELVPYEVRDVLFSSLGYLRSQISSQHIIIIEETEGWSHNHVYNAVRAYLATRINTNVQRLRVSSMDESSEKMVVTMEEGEELVDMHEGTEFKWCLISRSISADPNNGNGSGQREVRSYELSFHRKHKEKALKSYLPFIIATAKAIKDQERILQIYMNEYSDSWSPIDLHHPSTFDTLAMDQKLKQSIIDDLDRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDIYDLELTEVHSNSELRRLLVGMTNRSILVVEDIDCSIELKQREAGEERTKSNSTEEDKGEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDQALLRPGRMDMHIHMGYCTPEAFRILASNYHSIDYHVTYPEIEELIKEVMVTPAEVAEALMRNDDTDVALLGLLELLKSKIKDASETKAESKDANKQTEENKDDKAMENKNDSSTDECT >KN539887.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539887.1:44520:46973:1 gene:KN539887.1_FG007 transcript:KN539887.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAENQVSFDLLEEYLREHPEASDCGAGFRENALFQDYHGLKSFRKAMASFMETIRGGKARFDRDLRWRTGVNIVPQFS >KN538725.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538725.1:214030:214896:-1 gene:KN538725.1_FG060 transcript:KN538725.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVMSEILLRLPSKSVVRYRAVCRSWRRITSCPYFLAAHSRRRPNDVIVFTGSGELGTIPVSLDPPVFSPIHLHNKPRNCKGTLADMLVGSCDGLLVLEQDHGLPGTDKMLAFDTVAETFRELPRPPTGANLNVRLCDMAGKLCVTSAPHGTRSTRQGGYTVVRRPVGPRRWWTMDMDAAASGVPAATDADA >KN538725.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538725.1:204685:211065:1 gene:KN538725.1_FG061 transcript:KN538725.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVLVKAELPDDVYSHFVRSMIKIRRQRNMSTEKCKEIILEILDGQPEAIQVFERFIQGYSPCREKMRSNAHNFVERVKACPDISREDYHALLNVLAKYYKNEIKTSEVLEKVERIIGNYPEFLEEFKIFVPRHLRAHLPNEKSCTSPKSSRVSECVTLQSSYQTELGRSILNDSLVSVTSGREDCYKFRTKNQYEENMFKCEDDLFESDMLLQRFRATADFIEDLQYRFGSNVKIQEHLTPLHKRCIEQLYDDSGIDMLDALSESENTSSALAVILSRLNQKIGDFSEARLSLNKMCSDTVANNYYRSLDHCSPSFKQLDMKRMSPKALLAEDKQISQIKSHTDIHIHEDVGVIINYAYSRSCTTEDKPMMNWTKLVKAFVSVKFQWPDLKDTVSRRNVCEHCGMSRDFLNNIPVAVLTNEFVFSSKEVESLRAKSNESTSSLDHFDAEVEEGEFIPDVENIQLRVRCLPTNNSMHSTYGHWSGSEEQYESSRDDSNNEVGSSEYFGRTSKACDANRGISCCTLAVLCRLLQVMYERLLVAKNLSEGASTHDSYAHFKEKLCSLIDGSTDNWNFEQHCLKFLGPNSYVLFTLDKLIDRVIKQICKIYPSREDSSVLQRQERSRRTFNILKDPALPARRTNSSKELLHHQNARGPSIELPKQGREEAKGGCESHHSDTGKMKQNHFQRSYFDIIDVFYRRKRALENGPPSFSQPGSGNQAHN >KN538725.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538725.1:247766:252612:1 gene:KN538725.1_FG062 transcript:KN538725.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKRLAGAALGCLSLFLLSRALLFSQDDPEPVKRPDEASSISLPPDRIAIIAAAPAPSPAAADGSPAPAPAQDEVLCDLFDGSWVYDPVGYPLYDAGECPFLSDQVTCRRNGRPDSGYEHWRWQPRRLRGGEMLEQCRDKRVVLVGDSLNRNMWESLACILYAAAPDRSRATVDDASADHKIFQALDYNCTVEFYWSPFLVDLDDQTRVLKLDRLPATTYRRLAAADVLVFNTGHWWTHTGKFRAWDHLERNGKKVEMGAEEAFNRALRTWTRWLDRNVDSHKTMQELVLQRDGSDGEGGGVRGGVPERDGVDRGEEREEGEDGGGVPGHHPAVGAPARRAPVGVHGQGREAADAGAEAAAGIHLPIPADSSSETTRERCGARPQNHGGGGGGRPLCQPSTRKREVKKLVQEHSPCQPSTLQADAYTTGLSMKEIERRRKIGAANKGKVPWTKGRKLSKEHKELIKQRTIEALRDPKVRKKMLGHRQLHRQASKDKIGAALRKIWERRMVSVKARQEVLRIWSNSIAEAAKHGDHCQDKLDWDSYDRIKSEMISMFLWNKERERIMKKLEKAEAKIVAKKLQAAERSKLQTRGIKKLQRQNLVLRKSDAQPTRVVVSTRPKLKERLTKWHDRKKELETMISSRTRKGVGLRRSTPRRKAAERRAEVDLVEELRITCKDRLPREIHHQGETQP >KN538725.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538725.1:174019:177635:1 gene:KN538725.1_FG063 transcript:KN538725.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGPDKGRGAEAVVVVAVRAAARDISKAAVVWALTHVVQHGDTILLLAVMPPPHNSGKKFWGFPLFAGGCASAHRSVLTQNSDVADLCNQMMIKLRDFYDPNKIITKLKVIPASPGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPPKESKSPLPPLPELSDSVGETESSINEQRCPIREPAVTPSSSPESETAFGSTDVGTSSVSSSDPGTSPYSASETNSTFKKEATKDNFQHSDVNVSDSESEASTPPAASSLQPWMTDILKGSASSRLAANRPRRTRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFGYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDDLIDPRLGDRFSENEVLRILEGDMVVESGCVSAPCSEAGSRSRRMLLQQEQQSSPAQQDSQIMVDGKPQSYVARRIAWDRDMQSLSHRF >KN538725.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538725.1:278924:283363:1 gene:KN538725.1_FG065 transcript:KN538725.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSANPASASAALSEASAASSEVTAAAAAGAGAGAAEEGAAVSGRPPRPPHDKRLGVRHPLKHRRFRAGGKVMVEPGDPPSAQEVADEEASEVEQEAAPVEREPPQEEGGDVEVSSAPVEMEVVEGDAMEVSPEPAVAVGESELEGRPGEEEEVSSPVVPQGERKQETAAAAPVPAVEEKKHKDQENKHKEREREKERERVDEVGYMSGGWKRNKRKNMVLMGTKRYLARFCRQKILSWYLLIDVIKIEWDFSRSSHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYKKTDSEFLDSESHTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDELEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPTGDKS >KN538725.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538725.1:183968:185242:1 gene:KN538725.1_FG066 transcript:KN538725.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKKGVPWSEQEHRLFLAGLEKLGKGDWRGISRSFVTTRTPTQVASHAQKFFLRHNSAAKKTNNKRRSSLFDMVQDCDSGGRSLASSDPATRCNNNISASLSLQVSHHKSGDSAWPSSETPSVSEAQQAMYIVSLISESFCLRYDSYPSTDRANSTSDKNVVAVSMTIGKQSVVPEALYGAQALQSLICAKKVSKCSVLNLC >KN538725.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538725.1:239925:246870:-1 gene:KN538725.1_FG067 transcript:KN538725.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFKLGGSAVRAMGALRGSPPAMLILLNSARLHQTAYNSSQQSTQVLGYKVAEWNWGIGNKQYRMGIRENRQGIGETDVGGSGSQNELENVESDRCWFWIEFLLDAIMATVFTGGWLLGSSISSWQTLKVAEQQIDALAREQEEYLNKFEAKWVEELNRLKLEMMNELEESEERLNREIDVLKMMARMAMEEKEMRMAMEEEACSPGPLHAWQTIRSGQNAAASSPAAPNYNCNCSGCYNIKYYEDAIEEISDMIQMKNPNAFDPRIIVSVQDATAADPQSSTSAISDPKNTSDAMPGNEQRKHAKGCSCRKSKCSKLYCECFKNSVGCTAKCKCQECSNSFGVKRSESSNKPDPDDKSATDGLTHEETTTENITLPGETWNSDPNKRPRYFSLKI >AMDW01039498.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039498.1:715:978:-1 gene:AMDW01039498.1_FG001 transcript:AMDW01039498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >AMDW01034593.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034593.1:151:282:-1 gene:AMDW01034593.1_FG001 transcript:AMDW01034593.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPPKAEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRNQREA >KN543943.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543943.1:2428:2844:1 gene:KN543943.1_FG001 transcript:KN543943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSREFIDELHKPLTAEAKAQMVADGKKKVQLQEKQEELTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAAMHYYHCTQMQMMPELTRTTFGVFYNCFHYFLIAIIILASYMGI >KN542994.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542994.1:10330:10542:1 gene:KN542994.1_FG001 transcript:KN542994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHGSNGGSDLRHRSGGGDRSHGMGGFDEALTVDPCPWCWRGELVHGSDRRCDGDDHSSFSSAMEERD >AMDW01040430.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040430.1:2:1096:1 gene:AMDW01040430.1_FG001 transcript:AMDW01040430.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEMEDLSDRQLWVLILLMLMGGEVFTSMLGLYFNNANANRNENSQRSLPSICLDIESNSPANNGDHKITECGQSEETMSQNQILAGNTLFSPLLRQCVWVLGKVSGKAEYAYILQHPGETGYKHLHVRRNSVYIVLSVTGLILLQLARSY >AMDW01064466.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064466.1:1601:3066:1 gene:AMDW01064466.1_FG001 transcript:AMDW01064466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDLSSPRCNAQHLQSKTPNISLFFLFFCSRKSAGELKDQNSTNKRLPRTTELPCSLIQEVQHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSVSSSCDMEINKQPARSFSGILTGSSELDFSTPRKHQLLQSSGMVMARKSTPTTLTSETSTSHYNDKTGIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEVRDDV >AMDW01027521.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027521.1:4:297:-1 gene:AMDW01027521.1_FG001 transcript:AMDW01027521.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSFEAICNESEMIAHLQSLFWSSSDADPCFGSSSFSLISSEGYDTMTTEFVNSSTNVCFDYQDDSFVSAEETTIGNKRKVQMDTENELMMNRSKE >AMDW01027894.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027894.1:46:150:-1 gene:AMDW01027894.1_FG001 transcript:AMDW01027894.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPPCYKDMSFWINEEFTENNLCEVVRGIAGDLAEE >AMDW01037703.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037703.1:76:310:1 gene:AMDW01037703.1_FG001 transcript:AMDW01037703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATVPCFPATRGLPARGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSTRMEDYNTAMKRMMRNPYEYHHDL >AMDW01040101.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040101.1:379:1212:-1 gene:AMDW01040101.1_FG001 transcript:AMDW01040101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLKGFGYAEKQFRAEVQTVGMIRHTNLVRLLGFCVKGNRKLLVYEYMPNGSLDAHIFSQKSSPLSWQVRYQIAIGIARGLAYLHEEGEHCIIHCDIKPENILLDEEFRPEIADFGMAKLLGREFNAALTTIRGTRGYLAPEWLYGQPITKKADVYSFGIVLFEMISGRWSTVTMKFGSHRYFPSYAAAQMHEGDVLCLLDSRLEGNANVEELDITCRVACWCIQDREGDRPSMGQVVRMLEGVVDTEMPPIPASFQNLVDGDDSDIYEENWRLRTQD >AMDW01036611.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036611.1:126:641:1 gene:AMDW01036611.1_FG001 transcript:AMDW01036611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAIPDLFRAGTACASWYAAYSTARRARIPIRDSAPCLLYSGEGDDDPSTATLYSPSSGDCFRVRLPDPPLRSRALVGSAHGWLATADERSDLHLVNPLTGAQVALPPVTALHHVESFVDEEGNIVYSVDESLGPDDPEANLPEFEELADREVPVEYPRRSCACSCTTG >AMDW01037912.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037912.1:68:631:1 gene:AMDW01037912.1_FG001 transcript:AMDW01037912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQNSESMQKVKDELRRVIGTRTQIEESDISHLPYLQAIIKETLRLHSNVPMSYYMSEARVEVQGYTIPKGTNIIVNIWAIHHQPNVWVDLDKFMPERFIGKDTNFFGKHPELIPFGGGRRICLGLPLAYRMVHVVLASLLFHFDWKLPEGAKKDGIDMSEKFGLVLSMATPLKALATRSCNDM >AMDW01029723.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029723.1:1:273:1 gene:AMDW01029723.1_FG001 transcript:AMDW01029723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIVRRRRNLDDGGAPGSQQQWFPMLAWSNHEAGHLAEAIEGCDAMDKQERETVERMCKVAFWCVQQQPEARPPMSAVVRMLEGEVDIDAPP >KN540345.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540345.1:32002:32405:1 gene:KN540345.1_FG001 transcript:KN540345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAATAAYFLLTADYGPDYPNPIRKAMEASPQYIKHLSIFKYGPRKNNKNQENAEPEAAKE >KN540345.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540345.1:53899:55149:-1 gene:KN540345.1_FG002 transcript:KN540345.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSDGKGRVLSEEERAKENVYVQKMERERMEKRKKKLEKEKAEADKANPAASDKMRRKRSCVVARGTVGLVGIRVNCYWAAMGCQLG >KN540345.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540345.1:22363:24154:1 gene:KN540345.1_FG003 transcript:KN540345.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRKEEKRFQSMISSLKKENQDIRGMLKIAVTDKEAAENRLCVLKGDKDQGRSAILQIAEKGLHKVGFGFLMDVIAGDSEREENMSSNSATEISTTGRESDQGVDSLTSVVGKTMENMQNEINDLRQALHKSRSDCDRLQLLAAEQAQKIVKYELHIKDLEEREIFLLHSVTEKGLMFLFAMLKVEELTVDLKEVEREAARWREACELEVEAGKDAIKQLNQEACSHQLGPFEVALLTEELRRVKADLEAANSKLQLKEKLAATAMAAQAAADECLKLADSRSAGLQLRIEELTKQIEQEDEHAGKERGSAHRRTRYACWPLRRLRVISASSSGRKWFVDQNGRLPPGTEALLQIRI >KN540345.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540345.1:32969:35217:1 gene:KN540345.1_FG004 transcript:KN540345.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MWGSADGGTPEVTLETSMGAFTIEMYYKHAPKTCRNFLELSRRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVSKGMEIVKRLGSVQTDKSDRPIHEVKILRTVVKD >KN540345.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540345.1:47435:48764:-1 gene:KN540345.1_FG005 transcript:KN540345.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAINDLMTRGLIRMVSVHSSQQIYTRATNT >KN540345.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540345.1:15264:20943:-1 gene:KN540345.1_FG006 transcript:KN540345.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLDWPQSLEISWDSQAALCKRAESCELEAEAPSIDPTLLPVLEDLLIELYAILRPKPDDYEQRHLMIDVFNKIAEEIYGKKKGFPVVEAFGSFTMDLFTSKSDLDLSVNFNADFHSQFARKDKISVIRNLAKVLYAHQRNGRCHGVLPVVTAKVPVLKVIDKGTGVECDISVENKDGMSRSMIFKLISSIDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQLLSVEGLWEQGLCASNFEGSWIFKTWERGVGNLSVEDFLDRSQNFARAVGKEEMQKISECIRVAVLNLNNFFRGKIDAPKLKNLLFEPLRRDELISNPSLKRPKRKDHPTHGPESNPQQQKKAKHIIGPESNQKQQKKVKHTVNPGPAASRSATNLHRPTAFVPQIRPIQPINQVAHIPQPLVDPMFAYGLPPQQHLHSAPLYSQGLLGQQQDCELSFFLVQVGVWMSCSRFVGYQLINWDCSRCTSSKENCSCKDKAILVKQAKL >KN540345.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540345.1:36754:43315:-1 gene:KN540345.1_FG007 transcript:KN540345.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SPINDLY [Source:Projected from Arabidopsis thaliana (AT3G11540) UniProtKB/Swiss-Prot;Acc:Q96301] MGRPGMDSTEGRESNGVVPERNGGAVPAKQQLDGKDTLRYANILRSRNKFAEALQLYNNVLEKDEANVEALIGKGICLQAQSLPMQAIECFNEAVRIDPGNACALTYCGMIYKDEGHLVEAAEAYQKARNADPSYKPAAEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDLALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERQALFFLLVQIMLIPKVLLKTNVDLSLLYPRCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQGKMDAASSMIQKAIFANSTYAEAYNNLGVLYRDAGSITSAVQAYEKCLQIDPDSRNAGQNRLLALNYIDEGSDDKLYQAHREWGKRFLKLYPQYTSWDNPKVADRPLVIGYVSPDYFTHSVSYFIEAPLAHHDYSNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQGLLLLELPAQVTWIGYPNTTGLPTIDYRITDSLADPPDTTQKHVEELVRLPESFLCYSPSPEAGPVCPTPAILNGFITFGSFNNLAKITPKVLQVWAKIFLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKSEDEYVSLALDLAADVTALQELRMSLRGLMAKSPVCDGENFTRGLESAYRNMWHRYCDGDAPALRRLDLLQEEPCSNNNKQDFDDNQVAKLADLKAQRVDAAVDGDKQSQLTAHAAVVGEVQQAPIMVNGVSSPVSSGKVEANGHISR >KN540345.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540345.1:24890:25691:-1 gene:KN540345.1_FG008 transcript:KN540345.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPASGGEKKAPLPKVVTLNKALKLAQTWVDKMSASDQDEPKAKDFEGRPPGLGLGAKVAPNVKRATPTDPVERRLLGKVNAQKRKATEEEKTIAQEANDDSDDDSGETESRTSAFSKKRTAPSVTAMPLGKKAR >KN540345.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540345.1:3728:5491:-1 gene:KN540345.1_FG009 transcript:KN540345.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLVNAGEQQQMGSKRAAAEDGDGGVDDSREYYCRRGVRHLCDSGITRLPGNYRGAVLRTLDAACREYGFFQVVNHGVGGEVVGGMLDVARRFFQLPQPERERYMSADVRAPVRYGTSFNQVRDAVLCWRDFLKLACMPLAAVVESWPTSPADLREVASRYAEANQRVFMEVMEAALEALGVGGGGVMEDLAAGTQMMTVNCYPECPQPELTLGMPPHSDYGFLTLVLQDEVAGLQVMHDGEWLTVDPLPGSFVVNVGDHLEILSNGRYRSVLHRVKLACY >KN540345.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540345.1:49888:51738:1 gene:KN540345.1_FG010 transcript:KN540345.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAASRVQARLAARLAPRRLLSSGGKVLGEEEKAAENIYIKGPSPGEQGSSTPAADVKAEGGPTAGASTVKNKNYTLIAGAVGVLGASAIAWYLLSKPEKSEEVAN >KN540345.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN540345.1:26529:30296:-1 gene:KN540345.1_FG011 transcript:KN540345.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLRLAEAVRDGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNVCIIPPPFPPFSFCSIRSRNKSPHLSIHCSILLVCFLDAIQNAICAEKSDCGIIKMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCITVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGMFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDKITIDVVKRVIDVELTEAELEERRRKWTPPPHKATRGALWKYTKLVSPASRGCVTDE >KN538836.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538836.1:59740:61181:1 gene:KN538836.1_FG001 transcript:KN538836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGGGGGGVSGRCQSFTLIGVAGSLVGSVPCFLEGCGAVVRSFFVQFRALTQTIDQAEIIKLLIEAIDMFLIGTALLTFGMGMYIMFYGSRSIQNSGMQADNSHLGSFNLKKLKEGARIQSITQAKTRIGHAILLLLQAGVLEKFKSVPLVTGIDMACFAGAVLASSAGVFLLSKLSTTAAQAQRQPRKRTAFA >KN538836.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538836.1:157235:161827:-1 gene:KN538836.1_FG002 transcript:KN538836.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVRRVRREALVACMTCPLCKGLLREATAITECLHTFCKECIMEKIDDEEVDHCPVCNIDLGCDPEEKLRPDHNVQDIRNKVFPLKVKKVGAPKAPTVTLPAKRKQRSLSSLVVDTPRVAVQTGLTGRRTKTARRTAVSHVNSPGNNGTIKLANKSEGRDHKTQKISAAQSAKMTKTGNKKKNNTDVEATIQSSSEDRKDDHTIDKEDLKKPLNSLVDTANRTKFFRSGPKGHAAKEDKIKNSIKLLAEDDTEDKVVVTGRKVMPCSNKLKVKEENNRSPSQSASSKDKTTSDYELRKGQHADSPQGQIGSTRTGALHDGITRPVWFLLVPSPDQKQDPKLPQLPTYYVRIKDGSLQTSLIQRYIMNKLDLASEDEVEITCHGEAISPSTTLQGLLELWLKSSPVEQVQASLGAQAKEFVMELGYRRPQRPPSS >KN538836.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538836.1:37545:40744:1 gene:KN538836.1_FG003 transcript:KN538836.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIIGGLTGNKNARLKGSLVLMRKSALDINDFGATVIDGISEFLGRGVTCQLVSSSLVDPNNGNRGRVGTEASLEQWLTSLPSLTTGESKFGVTFEWEVEKMGVPGAIIVKNNHAAEFFLKTITLDNVPGHGAVVFVANSWIYPASKYRYNRVFFSNDTSLPSKMPAALKPYRDDELRNLRGDDQQGPLSLLENIYVPRDERFGHLKMADFLGYSIKALVDGIVPAIRTYVDLTPGEFDSFKDILKLYEGGLKLPSIPALEELRKRFPLQLVKDLIPAGGDYLLKLPMPHVIREDKKAWMTDDEFAREILAGVNPMVIARLTEFPPRSRLDPARYGDQTSTITAAHVERGLEGLTVQQAIDGNRLYVVDHHDHFMPYLLDINSLDDNFIYATRTLLFLRGDGTLAPLAIELSLPHLQDDGLITARSAVYTPAARGGVEWWLISHWLNTHAVMEPFVIATNRQLSVAHPVHKLLLPHYRDTMTINALARQTLINGGGIFEMTVFPRKHALAMSSAFYKDWSFADQALPDDLVKRGVAVPDPASPYKVRLLIEDYPYANDGLAVWHAIEQWATEYLAIYYPNDGVLQGDAELQAWWKEVREVGHGDIKDAPWWPEMKTVAELVKACATIIWIGSALHAAVNFGQYPYAGYLPNRPSVSRRPMPEPGTKEYDELARDPEKVFVRTITKQMQAIVGISLLEILSKHSSDEVYLGQRDTPEWTSDAKALEAFKRFGARLTEIESRVVAMNKDPHRKNRVGPTNFPYTLLYPNTSDLKGDAAGLSARGIPNSISI >KN538836.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538836.1:90682:106812:1 gene:KN538836.1_FG004 transcript:KN538836.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGGGVVGGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGLLASLATTGFQASNLGDAIDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENIWTPSKVIARLGKEINDESSYLYWAYKASLCAWFSCFCIHFFSHIMLENVADIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGDALWELRHCSLCSSAVRMISDKCKRGKDINLTDRFGYETGKEGKRKTGVQADFLRPPAMLSGVKFIPREQIGEDALGGAGSAGSDSSEDRRQRKKRGRKGRDKEERRDRRRRRRRSKYGSDSEEGSDSGDSIDEEEEKGLSRSKHRRKHQRRRHEFSDDDDDDDESSGSRKSKRRGAAGDDDDDDEEEEEEGIGGEELRASDVVRKEMGLEWMLKSASSGRAESSQARGADKDEEEVAPEEVKKANPKELNPYLRDNGSGYPDESSPSNAGNQLLASSVVGDGGASWRLKALKRAKEQAAREGKQLEEVVGERWGSLGHLAASVSASRAAPSHAHLHAIRGRKAGQAGSSEEHSKENPKEGQQGGDSGRREYLKDVSSRHHAMRKPKPDSVPWKRNRQNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKTVNVSTAEVDELKSDKGSSKKAPSVSTQKLNANQLAAKILQLRMKGKHEEAEQLSREMEAVLENEDTAVEEPRHEVRSSTRNTIKPSAADRRKREEDADRHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKGKRRNKEAHEEKRGTHRLTTQKERCMYCFENPSRPKHLVVAIGNFTYLMLPQFEPVVPGHCIILPLQHESATRTVDRSVWEEIRNFKKCLLKMFLQQDKDIVFMETVISLAKQRRHCMIECIPIPSEVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGVKRRSLLLSWTFEKQGTKLEWRWKLQPAPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFSIEKAEFEQSTFSKFVAVLNSKKAKLRQLKDKLTELESADKAPKEEDENSTDKTELFEEESDKDASVNDEPSETGGGDLHSSPEKTAATSSGGRGRKRTRK >KN538836.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538836.1:134497:137874:1 gene:KN538836.1_FG005 transcript:KN538836.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMQEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFGSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAAATVAKTPNPSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHPALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNSGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERNYLVVQCDIQLLQLYVWGCGGGEENISIPILLNSEIGLTSAVTRNHSYPFYSVQSPNCASLKWYSATAPCPALSISLVGLWAVRKACFLVAPSPLGKLELMPDTHSPTAPSTP >KN538836.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538836.1:21447:25389:1 gene:KN538836.1_FG006 transcript:KN538836.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRKLSSDALRRQPLSRITPLYYMWPKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVVPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNCAKFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMLYDHAGTPALTSRGFVEEDFAKVADFFDSAVNLALKVKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KN538836.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538836.1:118030:119825:1 gene:KN538836.1_FG007 transcript:KN538836.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MMRERQRREKLSQSYADLYAMVSSRSKGDKNSIVQSAAIYIHELKVARDQLQRRNDELKAQIMGHDEQQPCVTVQFEVDEPSSSIDSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >KN538836.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538836.1:41726:43286:1 gene:KN538836.1_FG008 transcript:KN538836.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGGGLPRSHPSNLPLPAPHSDPNLQFSGTDDDFSNRHSSSSATGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSDASMAGLGGAPAMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDSAQGLLYSGSWDRTFKVWRINDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKRELQGKGTKHVAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGSLLLSGSADNTIYFQQENFHLTIGQINVMNLSDG >KN538836.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538836.1:482:17064:1 gene:KN538836.1_FG009 transcript:KN538836.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding IFKGDRLATLHFLLQKSRKLAVKVMDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAEADRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISANLDPFGTPFHDFIEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQIQLVIESRKSFCDCKNKFMHKYGKFVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKLNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRFSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWHQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRSIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFCTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSDSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLHCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIISHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNLLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITTLKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSDIAPQLAALSTSNIPMPGFEKQIFSSSESFFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFQNFNSKVKRPNQSRIFFDKFELQLGLSFYFLSPFENRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAAIDEANKLAVWVEKHGRILEAIRDNSIAGAESCMQLNSKDDSLSLISAVLVSEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDIRSKVSELSSKVTGIAKFRTDEAGLQADSGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMRGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQENEFHLRRSKALLSILTKPLADLEALDHMLSSCGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKKKLTNHVEKQVYRYIMERIAPAFILQLDKEIGDLLQLSQGRRESGQPKRDSAAVGRVAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRANLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSLTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSLRAKSALVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAAMHNSVMTNLSKVFFTVTLCSIAFPFLDQYEHFWSCNMNIWSWILSGSIGPAPFMCICCSQTSTENPIVILRLANTILLPLESSLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDDCWISPPEHSYTSSSGYTTELTQINSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLAKERKSENENTNLPFKQIRGQECDNSDPNSCPDSATRVTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >KN538836.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538836.1:142585:144291:1 gene:KN538836.1_FG010 transcript:KN538836.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFFFLQSLLLCVAAVAVLQLLGETPLVVATSKETARAVLKTHDTNFATRPRLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEMTARVEEIRAAAAPSTPVNLSVLFHSTTNDIVARAAFGRKRKSAPEFMAAIKAGVGLSSGFKIPDLFPTWTTALAAVTGMKRSLRGIHKTVDAILQEIIDERRCVRGDKIRNKNNGGAADDQNADENLVDVLIALQEKGGFGFHLDDNKIKAIILDMFAGGTGTSALALEWAMSELMWNPAASNLRYLKLVITEALRLHPPAPLLVPRESIDTCELDGYMILAKSRVIVNVWAIGRDPKYWDDAEEFKPERFDDGAIDFMGGNYEFIPFGSGRRMCPGFNYDLASMELALVAMLYHFDWSLPVGVKEVDMEEAPGLGVCRRSPLLLCATPFVPAAVSADY >KN538836.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538836.1:47973:48827:1 gene:KN538836.1_FG011 transcript:KN538836.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVRGGATRGQAWFCMTGLPSDVVFEVQDMSFHLHKFPLMSKSRKIHRMVMEHEAEQPAGQRRRRRRRRRGSEGSNAGEEGDEQTEIEEAEEEEEDEEEEEEGQAFCIAFPDFPGGPGTFETAAKFCYGVRVELTAWNVAPLRCAAEYLEMTEEHAEDNLAARAEAYLEQAVLRHPGEATKALKSCEELLPHAEELGIVGRCVEAISTFTSG >AMDW01040092.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040092.1:10:1167:1 gene:AMDW01040092.1_FG001 transcript:AMDW01040092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACFDCCQVILRLFIEISRQPTSCWTTPSSQRYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMVSHGDQPDTLVSWARPLLVRAVEEENFEELVDPRLENNYDAYDMGRLIACAAAAVRHTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTSGGTTDQMKRLRKMAFGSATGTGTVSEYTSSEFSEPTSEYGLNPSTEYTTSAAGGDTGE >AMDW01039045.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039045.1:207:879:-1 gene:AMDW01039045.1_FG001 transcript:AMDW01039045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGCDVVTTDQVEVLPLLLRNVERNKSWISQSNSDSGSFGSVTVAELDWGNKEHIRAVDPPFDYIIGTDVLFFKNTVWRDFSVDSNRHCTWSLAVIISCQFLHEESLRY >KN540048.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540048.1:44290:44745:-1 gene:KN540048.1_FG001 transcript:KN540048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESVISNGGCGEEVVVTSSGGGGGVGGMERSGGGDSDGGGGNVVQVLQQRFSEVQGILEQNSALIQEISQNREARIADGLTRNVALISKVHTNTARLVDLYTNLCGSFSNSITTSNATSTNNTKTTNSSSPSATASAKASKQPGTIDTQ >KN539810.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539810.1:33554:34601:1 gene:KN539810.1_FG001 transcript:KN539810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVILEQLQLLLLLLLLVAAAAATGATAADDELECPSSIFDHAVNSQGAIQFPVFHKKHQCLRPWSVRATQASSTGASGAGKGGGLNNLQEEEITSSSSTKIDVIEDSSINDFLFLMAVSLGKPPVVNLVAIDRGSTLSWVQCQPCAVHCHTQSAKAGPNLCDELMIRHYVITEIGVTNNKVMNFFSPQILIIFVTKSLVTNDHNNSDSLW >KN539810.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539810.1:74908:77017:-1 gene:KN539810.1_FG002 transcript:KN539810.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTMAMVVTTRTTMAMLKPMDDDGGGVAEGGRPGTEGYTTSFRSGGGGVGRRGPAGGRRGPRRGHRRPVGGGSGSDGEVLVRDVVYDALFPVAARFCFGDGIGERDVRDLQRVLREFELDVVVEGFGGSMLANLVHWWRLRRFVASGRRQAEVFLPLISRRRRTQHRGEHKFHPYVDSFLDLRVPMGDNAAAGEGKEEHRLSHRALTDDEMVGLVSEFLGSGMESAMSNTFPCRAAACGCTSSSGTSGGTARRGQIRTSSGRTGSWPVARRRG >KN539810.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539810.1:11891:14135:-1 gene:KN539810.1_FG003 transcript:KN539810.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKLGEAAAGNPPEAEKRRGVAAPGAATVLVLVALPLMLVSYFFGDLAADTVVRLHRFKESSSSSSSPAAAADRLLGGLLSPEFDEASCLSRYEASSRWKPSPFRGSPYLVERLRRSDSYFAVALFLVPAYRAELDRMFPAKGSVFHHLGRYLFHPGNRAWGIVERFYDGYLAGADERLGIQVRIVPQMAVPFDVMYEQILRCTREHGLLPQDFCSNVPAPNSVRVIELFSCKYKLDAFSDKTVTSQWLPPVSSSSTKMV >KN539810.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539810.1:39763:45088:-1 gene:KN539810.1_FG004 transcript:KN539810.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 22, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/Swiss-Prot;Acc:Q9SZB2] MAFRRYRALPQGEVTVEEFRAWLGQFDADGDGRISRDELQRALRSLNLCNEFVLVSDPATGLRSLGLLCFRSEDADALLTHVRMRQPVVGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALEVILMSVLFTEKYTFMESIETYPLRLLNSDLLVVKKQKKRYCPIYFQKVFTIFAFLAQEDIERELTKASKTSRGSALSKQIMVGSLEDVLKKMEMNERNSGWDDLIFIPPGKSLNQHINEVSA >KN539810.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539810.1:65750:66106:1 gene:KN539810.1_FG005 transcript:KN539810.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRGGGVGGSGGGGSAGSRRPLGFLKNAAKHKHGFVQLLLMGSVLMMSLRTLGQKHRRRDLIYDNADLRREHNDLTLRMRDVQDALRREADADESGALASHLRRIFAAHPASTDDK >KN539810.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539810.1:10024:11808:1 gene:KN539810.1_FG006 transcript:KN539810.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGGIGAGITNRPSQQCSAAAAEDGDDYYERPCPWIPGKKKKKKITCLAICLITSPILILLVSRRGSPFPSMSGWASPSRMYASKGSKRDVLMGGLLVPGLDERTCASRYSSAMYRKNTARSPCRHLVKRLREQEALQRRCGPGTAAYWRAAERLGSRRNGTGGAAGEGCKYLVLVPYRGLGNRMLAMASAFLYAMLTGRALLVDRGESLADLFCEPFPGTSWLLPPEFPIKNLQDLTGEAPESYRNLVQSDRPATSVSELPYVFVDLDHGCTYHDKLFYCDDERHFLHRAPWLLMRTDGYFPPALFLNPAYQDELDRLFPRKDSVFYLLAHYLLHPTNKVWGLITRFYDSYLRDSDERLGIQVRVFDGDTPFKHILDQITACTSQERLLPEVVEQEPSSFPAPAAAATAARSKAVLMTGLNSWYYDNIRSRVKRGADTGKLVPHVRHCEDMSWGLKLVHPE >KN539810.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539810.1:78524:78945:1 gene:KN539810.1_FG007 transcript:KN539810.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAPLPGGAGITGVLLMHPYFWDASNTMGPALEDRIRREWRFMRVMVAVAGDDFLAGKGRAYHAALVASRWHGEAELVDTPGEDHVFHLTRPGTAAAAKMMDLVVDFVTR >KN539810.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539810.1:50418:61554:-1 gene:KN539810.1_FG008 transcript:KN539810.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVCTQAGEDHDEESSVGDSGCGRERSATTPSSRRPPGDADSSDAESNQEAKQQMCRRSSTTSAAAFHAGATTRSFRMMAPPAAAAEIEEFLAAAERSEAERFAAKYSFDVVRGVPLDAGGAGRFEWTARFDSDSNWAALGQRVTRCDAESSRGPIKRVPDLAVLADRHSGELPGVDVFVTTVDPVDEPILYTVNTFLSILATDYPVDRYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGNCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGSVLMLCSEDDDLAPCHVVCGFARRLIELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLRKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNESLRRVANGPSDHFFLLSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNIEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >KN539810.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539810.1:18397:21193:-1 gene:KN539810.1_FG009 transcript:KN539810.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLLLSDLLLLLLIAIAFLPLAAVAGAAAAIAIPRAAPAFGVETGWPPEHCLRCFAPPDAPFVLGAAAAIHLGNTNSCIAGYDDDDAPLGAKRSYYQFCIPSWVALAHDNGTVISGEAAMNRAALSPSTAVSAFMRLLHRRQFPLPSPKFVLGLPDQLGVEDDVVKREIELVPYKFTKMLGWVSVQLDTDAEFSVDHLAGILISHLKHTAEAHLGRHINNAVITLPSRLSYSADGRQVLSSAAKEYSGFRAVKVVDEHIAAAAAYGHHTKQGDRKAILVFHLGGRTSHATIFKFVDGTARLIATRAHHFLGGKIDQCPASFLNTSDDFTARIVDHMVEHIKEQHGRDVRQEEKAMVRLRVACEHAKKALSEQQETLVQMDSLLDDGAVFSATLTRAKFEELNHDLLDRAMALVKEVVVTTGGVEVVDEVLVVGGSARIPKREIVIRATFTTVAPVRSRHSPSHRRSPTPPHIDDKRQQARQWQHWSQGRPAVTASTLFTVANSPTPPRTTISPLPRARSAFLEAPAVTSHSILVGSGLHATALDLSRHHAQHPPLVSGTGSATGDFASPPSS >KN539810.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539810.1:3781:4707:1 gene:KN539810.1_FG010 transcript:KN539810.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGELFCEPFPGTTWLLPPGFPLTNYTSFSVDTAESYGNMLKNKVITTDAAAGDVPTPHQQLPAFAYIHLDHDYTFHDKFFFCDDDQSVLRNVPWLVMRTDSYIVPGLFLVAGFQAELDSLFPETDAVFHHLARYLFHPNNHIWGLVTRYYDAYLATARQRVGVQVRVFGARQESRKVLEQITACAHMENLLPDVITTGEPAATTRRRLKPKAVLVTSLTSWYYEKLRGMMKRSAHSGKVVAHVKNCHDVPWGLKLVRRVE >KN539810.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539810.1:69294:72620:1 gene:KN539810.1_FG011 transcript:KN539810.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLGPAAAASLGGRSAARWCTYRRVTVAVCLGNLVAVLLVVKKLEKVFAREEKRRKELPLELKQKVSYEILERMRDLGENSNTTEQREALESWRLEKLKDIRSAFAQNLSMSDLSNEESRMLKRALELNWRMLMEDIGLWIPVSVWHTEHDDKPENEPEEEEIIAGPPLPPECNVQVHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSESYRDAHPSAPVVVPWMSGVITV >KN539810.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539810.1:31141:32712:-1 gene:KN539810.1_FG012 transcript:KN539810.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARARAAASWLLLLVLLHMRIGASSSQLECKLEYTSLALLSCQETTPTTPTPSCCDALLYSLDIWPVNQREKGLCCLCVYVLARQPSFDLATTYITCRGSYAASVAQWTQQLIRGVPPHDCNEPCGVDTGDHPPPLPSGKKNKTRRKKQKQQLGVGVIIAIVVCSLAAAGLLGYCLYHIFFSPAAKARRSPDPSSNNSSASSRQRRA >AMDW01040750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040750.1:60:1773:-1 gene:AMDW01040750.1_FG001 transcript:AMDW01040750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FVNTKAMLLNLPKAVKPIGVWFLSGARAACIGVDVYPSHFASLLKECKSANTVHQIHQQIIASGLLSLPTPLLSVSLPALPSEPFISPRSLGTGVVASYLACGATDYALLVLERVTPSPAVWWNLLIREHIKQGRLDSAINVSCRMLRAGTRPDHFTLPHVLKACGELPSYRCGSAFHGLICCNGFESNVFICNALVAMYSRCGSLEEASMIFDEITQRGIDDVISWNSIVSAHVKSSNAWTALDLFSKMTLIVHEKPTNERSDIISIVNILPACGSLKAVPQTKEVHGNAIRNGTFPDVFVGNALIDAYAKCGLMENAVKVFNMMEFKDVVSWNAMVAGYSQSGNFEAAFELFKNMRKENIPLDV >KN539274.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539274.1:52046:53602:1 gene:KN539274.1_FG001 transcript:KN539274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIHAILSDGTIVTDVEAFRKLYEEVGLGWIYAVTKYEPVAKVANAIYGVWAKYRMQITGRPPLEEIMESRKLAAECKDDKACKM >KN539274.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539274.1:119714:120899:-1 gene:KN539274.1_FG002 transcript:KN539274.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVRKEIAPAILLVVDFGGWYKLDSNSASSNVSHMIQHTQVSLLKDVIVPYTHLLPTMHLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMARVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >KN539274.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539274.1:37525:37731:-1 gene:KN539274.1_FG003 transcript:KN539274.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLIVILLAAIAVAPFAEASTVSAVSRVLLASEAPAESPAGPAPAPGPTEESSSTSAESPSADA >KN539274.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539274.1:8646:10452:1 gene:KN539274.1_FG004 transcript:KN539274.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKLVLKMDLHNYKDKQKAMKAISTLDGIDTISMDMKGQKLTVVGHVDPVHVTIKLRKGCPSAEILSVGPNATMRYSCRALSKRLALDLRRTWACTMSRHDVHHGRYQRRTRWTSYRAPTSNPVVLGTGRIMSC >KN539274.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539274.1:99509:100571:1 gene:KN539274.1_FG005 transcript:KN539274.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGFYCEHPPDATPPKGNTTLTIASIDFNGYGLGAPSLAGFVDAFPDLALFHANSNNFSGEVPDLTGLPYFYELDLSNNNFSGAFPATVVPLGRLLFLDLRFNRFFTGPIPRSIYNTSANLSEVLFLNNHLSGCLPYEIGLVEGLTVFDAGGNDITGPIPLSLGCLGLVEELNLAGNQLYGHIPDVLCALAKTGKLQNLSLSDNYFHSVGRRHCLELVRSKIA >KN539274.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539274.1:280:1052:-1 gene:KN539274.1_FG006 transcript:KN539274.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPSAPAPPVPVSPGERWPPLESSPDVFNQVPPPPPLAIASVLCLSDLAPRPRRAGDALARGAQLMWSLGVPEDVAEFHDVYSLDADALEMVPQPVLAVIFCFPDPTQINVQVPDFSASYAV >KN539274.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539274.1:101061:103747:-1 gene:KN539274.1_FG007 transcript:KN539274.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNNCLEFYGKGFITVVDAGCILEKSSHGVKLCKDCRFCGCAAFVFIFLKLLSWMTLVILMVTDIFGLERWDAGSCWAFSAVSTVESINQLVTGEMITLSEQELVECSTNGQNSGCNGGLMDDAFDFIIKNGGIDTEDDYPYKAVDGKCDINRENAKVVSIDGFEDVPQNDEKSLQKAVAHQPVSVAIEAGGREFQLYHSGVFSGRCGTSLDHGVVAVGYGTDNGKDYWIVRNSWGPKWGESGYVRMERNINVTTGKCGIAMMASYPTKSGANPPKPSPTPPTPPTPPPPSAPDHVCDDNFSCPAGSTCCCAFGFRNLCLVWGCCPVEGATCCKDHASCCPPDYPVCNTRAGTCSASKNSPLSVKALKRTLAKLNTA >AMDW01006785.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006785.1:17:67:-1 gene:AMDW01006785.1_FG001 transcript:AMDW01006785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRGVVVVVLALVVV >KN539274.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539274.1:94516:96349:1 gene:KN539274.1_FG008 transcript:KN539274.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRRSLHALLGGGAVADLVLWRRRNVSAAAVAGATAVWFLFERAGYSFPSVMANALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADRALVWINKVLAVGHDIAIKRDRSVFIKVILILWVVSYIGMLFNFLTLIYIVPPLYEKYQDHVDEKIGMAHSVLSRHLDTIISKTGESTKQKKTE >KN539274.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539274.1:68089:71679:1 gene:KN539274.1_FG009 transcript:KN539274.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQNTLAHADNGNGLHLRPLPVGSNYIYLALVIFLKKLLAYSPSPFTSDLETLLPPTMEVRHPSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITHFYQSLNVLRCLIQYILSLALIILLHIFHYVALRKKLIVSIFYIAKLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGYDVIFPRSFTFTYGNASINLMDLQRSHNLITDNSKLTCDSSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVEEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >KN539274.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539274.1:35007:35216:-1 gene:KN539274.1_FG010 transcript:KN539274.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVIAVILLAAIAVAPFAEASTVTIASRVLLASEAPAESPAGPAEAPGPAEESSSASAPSPSAADA >KN539274.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539274.1:18593:25567:1 gene:KN539274.1_FG011 transcript:KN539274.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MAMKKLVLKLDLHDDKDKQKAIKAVSTLHGIDMISMDMKGQKLTVVGDVDPVNVVGKLRKGWPSASLVSVGPAKEEKKPEEKKDDKKPEPPKLVFYPPPPAWHAHAAPPPYSYPPPQYVVHSAEEDPNSLYYDSSPQLSFKHVYTRRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHSDDTESARASRKQYKDTLYSVLFDMNVPAVCAVDQAVLALYAAKRTSGIVVNIGFNATSIVPIFQGRVMHEIGVETVGQGALKLTGFLKELMQQRNITFESLYTVRTIKEKLCYVAADYEAEKRKDTQASCEVDGEGWFTLSEERFKTAEILFQPQIGGVRAMGLHKAVSLCMDHCYNSEVFGDDNWYKTVVLSGGSSCLPGLSERLEKELRELLPAHISEGIRVIPPPFGTDSAWFGAKMISNVSTFTEAWCIKKKQFRQKTRRNGPSFVNVWFSFDKLHNSFGATGVSLEHGKANTDTSEFQPYETRCTK >KN539274.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539274.1:42399:43055:1 gene:KN539274.1_FG012 transcript:KN539274.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MHYNQQRQLVLAVDKKRRSFKVIAASDVYGDKTLPTEVYDSKENKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGNWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQICFYEPRFDTSIY >KN539274.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539274.1:54272:58251:-1 gene:KN539274.1_FG013 transcript:KN539274.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTAKPNKQLPHNEQWFRAHNLGDNFTIEELNVHRISQKERKKLHKKHACLKIHTELGGNSLWVDRFLARFAAFFYYFMTVVMYMVSPRMAYHFSECVERHAYSTYDKFIKLHEDHFSECVERHAYSTYDKFLKLHEDELKKLPAPEAALNYYLNEDLYLFDELKKLPAPEAALNYYLNEDLYLFDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMQKCLETDTECVIPEDDCEGIVDCVKKSLVSKE >KN539274.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539274.1:113245:119269:1 gene:KN539274.1_FG014 transcript:KN539274.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVAAALAMACFLLILAAFAPPAAAAPPDIMSIIRYNAEHGIVTGELVSLSEQELVECARNGQNSGCNGGIMDDAFAFIARNGGLDTEEDYPYTAMDGKCNLAKRSRKVVSIDGFEDVPENDELSLQKAVAHQPVSVAIDAGGREFQLYDSGVFTGRCGTNLDHGVVAVGYGTDAATGAAYWTVRNSWGPDWGETGYIRMERNVTARTGKCGIAMMASYPIKKGPNPKPSPPSPAPPSPPPSPPQQCDRYSKCPAGTTCCCNYGIRNHCIVWGCCPVEGATCCKDHSTCCPKEYPVCNAKARTCSKNLSRKIVHVLSGVLFMSSWPLFRELLRGPLYYVIVLLVSVLVFWRQSPIGIVSLSMMSGGDGFADIVGRRYGSAKLPFNEKKSWIGSISMFISGFLLSALMLFYFSCLGYFTVCWDLALGKLALVALAATVVECIPVNDVVDDNISVPLATMLAAYLLFGYSSCC >KN539274.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539274.1:78316:86252:-1 gene:KN539274.1_FG015 transcript:KN539274.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQQQQQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRCVGDSWPMTRERAYFEASALQKHRGLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMANTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNLFHKKFVELWNKHKDGNGEAYLPPIYNSSELLSLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCGEVITAWFSIPEVPITAKTARDEKEVLDAVELVHEMLNREVAAGTSPSNIFVCGLSQGGALAIASVLLYPKTLGGCVVFSGSLPLSKSFAEKVPSEARKTPVLWFHGMADEVVLFEAGHAGCAFLQEIGMPCEFKVAYPTLGHTLVDEELQYFRQWIKDRLSQGTGVPVPSLPGKMDLHNEIFFLLADGAVMPSWFDIHELPMSAGSPQDDSGVLKAVENVHAMIDKEVADGIPPENIFVCGFSQGGRTSALHCALTLASVLLYPKTLGGGAVFSGWVPFGSSVTERISPEARKTPILWSHGIADNVVLFEAGQAGPPFLQNAGFSCEFKAYPGLGHSISKEELHSLESWIKNHLKTSQEKEN >KN539274.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539274.1:89261:91893:-1 gene:KN539274.1_FG016 transcript:KN539274.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQQQQQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMANTLFFTSLLYNSTTDHKKGVAQYCDNVEMSRLTEQVVFSDPYRVAKYNRCTSPFLDNDAAEVREDAELKLEIAELKSMFIERAQAFLHGDLHTSSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFFHKKFVELWNKHKDGNGEAYLPHIYNSSELLSLVQKKYLTSLFHDSLGFGSAKMIRLRRYTRPALFNCKKLKGTMFQKTTFDDYRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIEQVIVHVQSFDRD >KN539274.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539274.1:39486:39695:-1 gene:KN539274.1_FG017 transcript:KN539274.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAPFAEASTVTGGSRVLLASEAPAESPAGPAAAPGPAEESSSESAPSPSAADA >KN539274.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539274.1:26323:28093:-1 gene:KN539274.1_FG018 transcript:KN539274.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKAMIEINISDSTSKNKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >KN539274.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539274.1:45890:47590:-1 gene:KN539274.1_FG019 transcript:KN539274.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEHKDRSGLVEMLGCSISCARVKRLVDDVVTAIRSGVDPVPIGSGLGGSYYFRNISGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPPTALVKITHSIFHINCPVNGGSPAHDQKQQVSSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQSSIPFSEEELEYIKNLDPMRDVAMLRRELPIIREACLRVLVLCTIFLKEAAASGLCLAEIGEMMTREFRGLEEEPSKLEVVCMEARRKLAEWEPYSPVIEQGEDMDFQFSLDMLGEYNDVIRSPRFNGLGAKGNGLKFPSADKSSGSNGSVHALNRSADEQLPSSVCFVRLSDMNAEEWNIFLEKFQELLKEALQERAAAAGQRMKQRLGTSCKF >KN539274.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539274.1:108558:112679:-1 gene:KN539274.1_FG020 transcript:KN539274.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKKKEEKKFGKKADMTEFRAQLDSLGLKIIEVFFSIPLPRFLPFYKKRLIDAARYVRALAMGDQLECNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFDKYCDSMLEDGTWAGHMELQAASILTRKNICIHMLNSPRWYIRNFSDCEATSMIHLSYHQGEHYNSVRLREDPCQGPAMPVIIKADANVASTSNNAQTKAKDLKKSSDRSKYDHISVKLVMAGTGCSNVAAVEQVLKDMDGDVDAAIEYMLAEQLILGSDDADGDPYLDYACDAEYVQTIEDELSMKQDESQLDEHKKEEKDCSSKGETAQKHNSSHSKKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTKGGQGKGQKGKKQNKKEQDETPTIRDHDSKVAPDLGSLCI >KN539274.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539274.1:29606:31779:1 gene:KN539274.1_FG021 transcript:KN539274.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCRYEPSARRLSSCRRAAKNERPWRSSSDEQLTDGDGTAKYTGRNFPDEFAQLSLEEEESDDEVHGISETMVKDVEKAAVELLAGRAFTVSDLRKKLRAKKFPDNAVDSVIADFKSRGLLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVQDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFEQAAKQWQRGQSLPLENRRARVVRWLQYRGFNWAVTNAIVRKLEAQKPP >KN540051.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540051.1:42102:48809:-1 gene:KN540051.1_FG001 transcript:KN540051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNGTLNDQMRGFYRSKYEYIGETKNMAVTQFEAVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISAKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAALRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTISEGVRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLPEGSVSLSVGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKENWDRISEAFSGSSLISDFIRSIVTLFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRGEAKLAQTVHELLHKP >KN540051.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540051.1:959:2951:-1 gene:KN540051.1_FG002 transcript:KN540051.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGSCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFAPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKPRPWWQVAEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGGGWQHQRNDARGGTQDSCSSDDRTPGSKPTYRSAAERAQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIAAMFPELPWIMLKEKVPPGQERKDGTRKKGRKHNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >KN540051.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540051.1:23583:27466:-1 gene:KN540051.1_FG003 transcript:KN540051.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEGEGRETGEAQLPNDTDARLSDDQGMGEVLDVMPLAVAAPVSCGANVSNGSAENVRDVASLLMDREGGKGGCEFERKEVTSDRDGRETESRVGVGQLERTNDVHDGGRKKRWLMSVLNPPPKRRAISAIRKFPRDCGRAASTLAESGASMEELPLEATPISVATGGASMEDSLARTPISVQGASLVCGLDHSSEAIDGKTIEDDESSKVENRIQEFQVATNVALDDFEGAKNGSTHPNDSIAKPSPSHGFVERVNGKGSQQEKKLVARSAGDGKMISKYEERLQKGTPETRMRDLVDVKAKKKILKSDKMNGALQNDARSSGDGKMKTKASSTQRGVVRSDMSLKQGDIARKVDATGKCKGGVNSLIKEATSGKHATTNGIEENDDRDLVGDRIIVQALMAPDKCPWTRRRKSIGGSSESRTPKLKKKFGRPRKELKDTTPREEVSSEVASCKAIKHEAIEDKEDSYFEDEGNSKASYSDGEGNSKELVRGGKALVVCGGKKELCVTLPPSAPSGTDPRSKIRNLLIKFHAACRKLVQVEEQHKGNIGRIDIEAGKALKQNGFIKPGPIVGNVAGVEVGDEFNFRIELSFVGLHRPYQGGIDSTKVNGILVAISIVASGGYHDELSSSDELIYTGSGGKAIGNKAAGDQKLERGNLALKNSIETKTPVRVIHGFKGHSKGEASHSKSKQISTYIYDGLYMVVDYWKEGPEGSMVYKYKLQRIPGQPELALHIIKATRKSKVREGVCVPDISQGRERIPIPAINTIDDTQPTAFKYTTEVIYPHSYAKEPPKGCDCTNGCSDSNKCACAVKNGGEIPFNSNGAIVEAKPLVYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGNKGWGVRSLSSISSGSFVCEYAGEVLQENGDEHVETDEYLFDIGHHYHDEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDHNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >KN540051.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540051.1:13455:17525:-1 gene:KN540051.1_FG004 transcript:KN540051.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFPPPPHALSPKPPFPSTLRSTRPLRLRRATAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQPGGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDGDGDEDEDLGSEEETLSESSDGEFSEDYAAPATNSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNHSAYIVSSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYKVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAVTWQDEEELARLKASASISFKPKVFKNPLVAGTLAETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAVILYRGKNYKMPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEDDLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYF >KN540051.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540051.1:6007:12649:-1 gene:KN540051.1_FG005 transcript:KN540051.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEPRRWAATYTKHVKQKRKAYQDGAIVLHRASGNLVLLDDAGGTVECRTLRAGEEVFPGASLAFQRHLVDVGEPEPHPGSGSSSASAAASSASRGVHRGGASARARPSAVNSRPPRAFADPNTKRGGGGGGKDEAVGSSFQEWTALYTTQLTQKAKKFHDGVVRLVQVSSHAKQIILLDEEGEVLATRYLKSGESLETGKKCHFPNYLIEICEAKSVYKLIPRRNLWCKQDQGVGRIQVTKQDQVQRVNHSNLPVHRNFMCEMFVSTSVDLEDSKSSNTAGSSKPETSKIGVVDAGSSNIMGSTDSGFKEWSALYTTQLTQKAKKYHDGVIKLVQVGSHAKQIVLMDEDGGVLGSRYLKSGESVESGMKCQLPNYLIEVCEIRKQKNDVESKHPSEVVLSQTGSANGHNTTDRTDGRNKSPKFVSPLKFNHFQKSRLQGSNGFNRPTVAESIHTNMNDTLKLHGSEAAVCLFADTHKGKPDFTVGYKTDLDKSTFSNLNDPHQFNVFADNKQDKTEYAASYNPQEVGKSSYDRVDSPLGFCDLQDGKSGSSTSFLRREVGRTTFGNTDDSLRTENKIQDKFARETFTGTFFQSSLFCCAMLRSPATFDSLELDMVDTPTSDVSNAKEQSQGSTRNHQTESSKDSAPAMCTTSPDPPSGKSETADQLSSDYRVVDKKCGSYPFLSAGDLTVSLRDSLIFLNSICSLLKENGWREGALCVPEGPGCTLKIFTSPTTGTGSRIECDTNLILKFSTGSHLSLAFRFVQLVNWARSQVCIQFKM >KN540051.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540051.1:31680:38139:-1 gene:KN540051.1_FG006 transcript:KN540051.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVNEKIAGPIKTVEYEESPLMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQLFDEQTVVSLDLLLFHSIAISHGFTSAINQLLYPYIPISSLTKTTLHVVMSLISLIRWEFPEKLSRSALGEAKKGEKLGMITIREKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGVKLKGHDVELEQDQFLLDGSSDSSMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKKTLSSLLHLLKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLTKNDILGFFPEGFVSLFIGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIFEARETAWSWFKENWDRITKTFAASAILSDYVKSIVTLVSYIFTFLFPNSVYVILIGPLLILVSWQFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTVHDLLIKL >KN540496.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540496.1:6559:11269:1 gene:KN540496.1_FG001 transcript:KN540496.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPMGGALSGGVFGTIGVEQQVNCFSFLQDLNQLYADDLYGYYARNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPSEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTEKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPAPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVTASKPAIKGIEEKKTKTATKTSRLAKSSPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPANDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDTNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSGTFSTMRGHFFCSQYSYRRNLNIVQALVFELL >KN540496.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540496.1:35184:38342:-1 gene:KN540496.1_FG002 transcript:KN540496.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPILPKSLKDIPRSHNTQNILMPGQLPNDSMPLHHSATQSSISHPRASVVRSSYSAMLGYAANPIDSVSSHEGHFMAAPFISQSSNAEMLQSLCNNNTHGGHTVPTFFPAPACGAPDYMDTITVPDNHTQSGSSTVTSDAAKQNEWWADIMNDDWKDILDATATDSQSKSMAQPSNSAASQPAFNQSTSSHSGDICPVTSPPPNNSNASASKQRMRWTPELHESFVHAVNKLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGKTQEGKTTDELSLDLKASYHYALLREWWYFMFIFLLDQSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQKMFEKQCKSSTQSVQDPSSGDTATPSEPSNTVGKDSDAALDPNRIGDNHPKNSTNVGANLKTAATESPDSPVIATDGSELPQEKRRRVHES >KN540496.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540496.1:26344:32798:1 gene:KN540496.1_FG003 transcript:KN540496.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAETARELCKAAATLDADLSRHQDSLSLFACRPCLYVTDERPQQEIDLPSFGRMGLERSGGVTVLKPEDGSGANAETKDTPEMIHRGGINSHHHSDNVHNVPSKVSANPKVPPPPKIEPLKPKAKSVPVPVQRTEVISGNNMRPAKVQNADDVEKAKACQLEFGSYCLWSIEHKEVMKDTIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKSKIEKMDQTIGRAKACTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFNVPDHRHYVILSKNVLAASVVINSTVSNSEETENVVFHILTDAQNFYAMKHWFGRNSYRESAVHVINYEHIILENLPEFSSQQLYLPEEFRVFISNLERPSEKTRMEYLSVFSHSHFFIPEILKDLKKVIVLDDDVVVQRDLSFLWNIDMGDKVNGAVKFCGLRMGQLRNLLGKATYDPQSCAWMSGVNVIDLEKWREHNVTENYLQLLKKFQHNDDEASVRAAALPISLLAFEHLIYPLDERLTISGLGYDYAIKEELVRNSVSLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNVCYLATFHAVACDDEPVCLSEPILMQDPALVLGDLLWDVKIILRYHHRFKFSSTRVIHKILSHPNFNNQSIFRLTELV >KN540496.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540496.1:12608:16901:-1 gene:KN540496.1_FG004 transcript:KN540496.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRRWELAAHRRLEKGKVVGVVVLDGWGEAAPDPFNCIHVADTPTLDALKKGGPERWRVIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDMALASGKIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVELIENDLAKLRDKGVDARIASGGGRMYNDWQVVKRGWDAQVLGEATHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFNPNLEKYEEIPSDIGIPFNEQPKMKAVEIAKKARDAILSHKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIVLDAIEQVGGIFVVTADHGNAEDMVKREKSGKPLRDKDGNVQLLTSHTLNPVPIAIGGPGLQPGVRFRSDLPSAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >KN540496.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540496.1:43819:48454:-1 gene:KN540496.1_FG005 transcript:KN540496.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPGGCARMRRVAIGVVLLLSVCVWASHAVTDSQDTSVLRALMDQWQNAPPTWGQSDDPCGDSPWDGVVYVYSLLPDCFSKISTMGIKGVLAADIGQLTELQSLDMSFNKDLGGVLTPNIGNLKQLTTLILAGCNFHGNIPDELGSLPKLSYIHFNKNQLSGPIPDTLFSPEMTLIHLLFDGNKFTGNIPDSLGLVTTLEVVRLDRNSLSGPVPENLNNLTKVNELDLSNNTFDPSPSPQWFWRLPQLSALIIQSGRLYGTVPMRLFSSPQLQQVILDGNAFNGTLDMGKYISSELSIVSLKDNQLSSVTVTASYNGTLSLAGNPVCDRLPNTQYCNVTQRAAVAPYSTSLVKCFSGTCNAVGESMSPQSCACAYPYQGVMYFRAPFFGDVTNGTAFQELESRLWTKLDLTPGSVFLQDPFFNADAYMQVQVKLFPSGSAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEERSSSRSKGAIIGIAAGCGVLVVALVGAAVYALVQRRRAQKAREELGGPFASWKRSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGTFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSAGTLRDSLSALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDTERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVKRAFDAGDAEFCGIKDMIDARIMNTNHLAAFSKFVQLALRCVEEVAGARPSMSDVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPRHPYNDPLPKKDKDMSTDSFDYSGGYSFQSKIEPK >AMDW01084689.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084689.1:6:392:1 gene:AMDW01084689.1_FG001 transcript:AMDW01084689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDYVLGTNPRGISYLVGYGAKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLNRMARQEREQEEVAAPARSTAADV >AMDW01038108.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038108.1:29:244:-1 gene:AMDW01038108.1_FG001 transcript:AMDW01038108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNDKTLDFYRSWQQGRWRFFGKHEQD >AMDW01098621.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01098621.1:178:1197:1 gene:AMDW01098621.1_FG001 transcript:AMDW01098621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSLTGGDMKPLATSDDLDTIILFLYRWPLPSAMTGCLVGGLGGGGPTGSGDLPKTSGKNLLGSGGGGLCAGARFLGRKRQRRIPFGGGNPKGDKGMGFLAEEVILDDSGRGLRFRQNMWILAEVAALGAVAGWESGRQKRILTTATTLRAAET >AMDW01005294.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005294.1:21:209:-1 gene:AMDW01005294.1_FG001 transcript:AMDW01005294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHPIVPLLIPRVARDDAAVAGYDIPKGARVLINVWTIGRDPELWDAAEEFTPERFIGSRID >KN538806.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538806.1:181032:193377:1 gene:KN538806.1_FG043 transcript:KN538806.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSITSRSPSPALIAPHASAPVATGLRAPFAGNRIVGWGWGDQTRSGTDRNRAHRASICVVLFLRVRSGEPTQAPRDPPAAYHVCLFTLLLCFIPITALAESDIKNLFALRKAIAVGKNFLHNWFELETPPCNWSGISCVGLTVVAIDLSSTPLYVDFPSQIIAFQSLVRLNVSGCGFSGELPEAMVNLQHLQHLDLSDNQLGGPLPASLFDLKMLKVMVLDNNMFSGQLSPAIAHLQQLTVLSISTNSFSGGLPPELGSLKNLEYLDIHTNAFSGSIPASFSNLSRLLYLDANNNNLTGSIFPGIRALVNLVKLDLSSNGLVGAIPKELCQLKNLQSLILSDNELTGSIPEEIGNLKQLEVLHLLKCNLMGNVPLSIGNLEILEELYISFNSFSGELPASVGELRNLTQLIAKSAGFTGSIPKELGNCKKLTTLVLSGNNFTGTIPEELADLVAVVLFDVEGNRLSGHIPDWIQNWSNVSSISLAQNMFDGPLPGLPLHLVSFSAESNQLSGSIPAKICQGTFLQILRLNDNNLTGSIDGTFKGCKNLTELSLLDNHLHGEIPEYLALLPLVSLDLSHNNFTGMIPDRLWESSTILDISFSDNQLTGMITESIGKLLSLQSLSIDRNYLQGPLPRSIGALRNLTALSLSGNMLSEDIPIQLFNCRNLVTLDLSCNNLTGHIPKAISHLTKLNTLVLSRNRLSGAIPSELCVAFSRESHSELEYVQHIGLIDLSRNRLTGHIPRAINNCSILVELHLQDNLLSGTIPVELAELRNITTIDLSSNALVGPVLPWPVPLASLQGLLLSNNRLSGSIPSGIGNILPQITMLDLSGNALTGTLPLDLLCKESLNHLDVSDNNISGQIPFSCHEDKESPIPLIFFNASSNHFSGSLDESISNFTKLTYLDLHNNSLTGRLPSAIAGVTSLYYLDLSSNDFSGTIPCGICSMFGLTFANFSGNRDNDTFTLADCATEEGGVCAANRVDRKMPDHPFHVLEATICCIATAIVIVLVVILVVYLRRRRKMLRRRQFVLVPAGDNAMADHETTLSDNLLGRRRMKKREPPSINLATFEHAPVRVTVDEIMRATGNFDGMHVVGDGGFGTVYRAELPGGRRVAVKRLHGVGRRFQGGEREFRAEMETVGKVRHPNLVPLLGYCAAGDERFLVYEYMEHGSLEDRLRDEKDDMARAGSDTFAAIITQVDCLHELVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESSEGVKTDTDRNVSVIFDILRRNKRARLENLILNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKIFNFDESTSMLGLMQEVVADGEELMPHRTPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFGNQQS >KN538806.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538806.1:219159:226299:1 gene:KN538806.1_FG044 transcript:KN538806.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAETDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCFIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERLLAAGMQLFSGLIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQGKFKAKSGKSESIFHVITDSTILRFMMETQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQPNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALYDIFQSSIGPIIST >KN538806.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538806.1:194532:195227:-1 gene:KN538806.1_FG046 transcript:KN538806.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGGPAIAPPPGRMPMGNAVPDGPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKPMLMDSSMPPPMGPRPTGHFAPPPMPNPAMSTPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTNLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPVGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >KN538806.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538806.1:207922:212208:-1 gene:KN538806.1_FG047 transcript:KN538806.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGHCHGEAWFAMGIVTAKHAWFSYDSIHETERRLMPEFFEGEAAAASGSRGPEAYKYYRDTLVRRFRARPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGASPSGAKQGRDKKEEAALSQSSLPIGATMPSKLCTGCRTVCGLAYFSCEKADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSHHVGSRSEKDCIARFTRLPFGEQFMGPKEDKIQFGNDCDLNEESGSHISKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGQAAISAQSQVDISASETDSSINISKEEESSCTNGLSANDLLKEASANAQVQLEKERKAIEQSLSDIVGVQMKEIQDKIRRFEQKELLMEKERKQLHCLKELLFSDQLAVVQHQRRPPAVTTESKDDEKPKPVISIS >KN538806.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538806.1:233617:236452:-1 gene:KN538806.1_FG049 transcript:KN538806.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/Swiss-Prot;Acc:O22806] MGCGDAPPAAPCAEWETVKENVRPLKRGRNVGLLNRALKAHADPAQRAALLAARRKMIEAIDEYSGEDPLQPWIDCIKWVQESFPTGGDCSGLVVIYEQCVRAFWHDDRYKDDLRYLKVWLEYAGNCADSEVIFRFLEANQIGQSHTNYYLSYASVMESKNKLKKANEIFNLGIARKAKPVEKLETTYRAFLQRSSKKKAHPEDDTATDDHPVRNFGTVLNRGEIRGQHAENSHLVKPRATLQRVDVNRPLSVYTDENSLPSHGLARTRSNNTAWQTLGSQADRNKENNMRPAKWTSHKIPQKVGSRAAVQQTRVSSIEVFVDDECAEEPVPQVPKSTKPSILKLRQATSRNLKQETELLMENPLRNFPLTSLR >KN538806.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538806.1:200638:206485:1 gene:KN538806.1_FG050 transcript:KN538806.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPDEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELASRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMSKSKFWEPTYEDCLNLIARLPAVASYVYRRIYKEGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLENPGVSVWPFNALGKVVPGFGHGVLRKTDPRYTCQREFALKYLTEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKVAA >KN538806.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538806.1:216092:218159:-1 gene:KN538806.1_FG053 transcript:KN538806.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/Swiss-Prot;Acc:Q9SJZ6] MECVVQGIIETQHVDALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRSIVESKASNNVLRYFYGIGYKLDHEVLKGGFAFRFHRGAQITVTVTSVSKMTKLHATNEAVPITPAIQLVEITAPAAADNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGGGGGKTL >KN538806.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538806.1:237362:237802:-1 gene:KN538806.1_FG054 transcript:KN538806.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMPRDEFATPKTHKRKVVSRPLPPSQIKAEPELLRREVPLTSGKTKKAPKITFKNEPRHSAPQSDWGTPDSVPEFGPADEYRALRKKYLLLEDENGELDKQLSQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPPRRL >KN548428.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548428.1:357:509:-1 gene:KN548428.1_FG001 transcript:KN548428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEF >KN538806.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538806.1:172425:180357:-1 gene:KN538806.1_FG055 transcript:KN538806.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MHPIASLLMLLISLAAWIKALGLWELYRTLDSPWQLSGWTSQGGDPCGRGVLSPVFNFFASLNHDDRRDVSFNNIAGEIPRNLPPNVEYLNFAANQFEGSIPPSLPWLHTLKYLNLSHNKLSGIIGDVFVNMESLGTMDLSFNSFSGDLPTSFSSLKNLHHLYLQHNEFTGSVILLADLPLSSLNIENNSFSGYVPGTFESIPELRIDGNQFQPGFKRASPSFTRSAHSPPTPHPPPSSPPPPMSPPPPAVKENLKHKPEPLKPSLSHSSMYNHNQHRKSHSRVTAAAIATVTGTAFVLLIVGLVLKSCTYSPKSTANNAKSPPANVEKVPKANEVLYSWNSLMNDCEASSSDVIKPERAMKTKVWAKTSKNFLTAKQFQAVDILAATRNFSKECFIGEGFTGQVYRGDFPGGQLLAIKKINMVDLSLSEQDELIDMLGKMSNLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEFMHSTCSPPVVHGNIKAANILLDAQLMPYLSHCGLARLSQFVSAIRTDSEALNSGKGYVAPELTDPATDSIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPHLHNLDSLERITDPRIHASMPPQAISTLGNIILLCIKKSPELRPPMTVITDKLLKLVQSTGLQKTSTTTQHLEVDAQEPSFKTTRPYFEPSFTVSQSATGGCISQR >AMDW01040559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040559.1:499:1683:-1 gene:AMDW01040559.1_FG001 transcript:AMDW01040559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LFEYLETNGLNFPVIHHIEFPKSVNRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >KN540668.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540668.1:19056:20359:1 gene:KN540668.1_FG001 transcript:KN540668.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSAKAINRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQEVVKMERDILNVLKFEMGNPTTKTFLRMFIRSSQEDDKYPSLPLEFMCSYLAELSLLEYGCVRLLPSVVAASVVFVARLTLDPDTNPWSKKLQEVTGYRASELKDCITCIHDLQLNRKGSSLMAIRDKYKQHRFKGVSTLLPPVEIPASYFEDLNE >KN540668.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540668.1:43094:44172:-1 gene:KN540668.1_FG002 transcript:KN540668.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding KYCCPMISFVGCNLHNGRSSRWVNVPTSLHEGLDGILVEMASRELLSAGLQEKDLIIRCNGKRVTTRLQLFEVLVENIGQIVEPSDGNRMEAIDGGGEAILSSSLRAFTVRHEQILSLARQLDQEQCLATCVTVQAAC >KN540668.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540668.1:29409:30514:-1 gene:KN540668.1_FG003 transcript:KN540668.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNVLPEIVGFVHTAFNFYHESHLDIHDIEVKKIPQGTLIRVVLKGLEHIEFEANAEVADDENFHHLSALDLLTKDVGELKMQITGRTNPDSVETAKEKKNDTVKTVEPHGSDYAEDAIPRRKQPKRKAERIRSSYYRRQRPPKCQIPPQCKGSSLCREGGGRGEGEEKEEEKGESENFCNFPAPTMFYAHMLTFSFVNPCGTYAYVIDLGF >KN540668.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540668.1:23765:24809:-1 gene:KN540668.1_FG004 transcript:KN540668.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDEASVNRGWLELRLGVNGGGGVETVPVTAADSSASSEAGEADTVTPSQQQQQGSPSPAASSAPNKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRTPSSSPLIYNDSRKRLVCLFRYHTSELLKVLLFSSSILSSDVKFSKYISADVLSLKSRIIMLKLCHNRGYDNKGCNSAICTA >KN540832.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540832.1:8763:9749:1 gene:KN540832.1_FG001 transcript:KN540832.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDVVSHNALVHGYVKAGRLGLAVRVFEGMPERDAVSWGTVVAGCAKAGRLEEAVRLFDRMRREGYRPDDVALAAVLSCCAQLGALDKGQEVHEYVRRTRPRPNVYLCTGIVDLYAKCGRVEVAREVFDACPEKNVFTWNALIVGLAMHGHGTVALDYFDRMLVEGFRPDGTTFLGVLIGCSHAGLVDTARRIFYEMQHNHGVPRELKHYGCMADLLGRAGLIDEAMEMISSMPMEADTYVWGGILAGCRTHGNNVEFAEVAARRLLELNPDDGGVYSAMAGIYADAGRWEDVARVRRSMDEMVGMRNVGRSSIAMELKDGIKMLS >KN540832.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540832.1:40273:40850:1 gene:KN540832.1_FG002 transcript:KN540832.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDDDAALPEPDRLRRHDSFYGDAEKVSNDKSHGTGENWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPNGIKHPDDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLIS >KN540832.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540832.1:33766:34079:-1 gene:KN540832.1_FG003 transcript:KN540832.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIDQEFSPVKLNSQQESNSFHRNDQAMPMCRAFPKLHGLFTMSYKMDLSTLPQLMHTSTEPRLFLHAGWFQ >KN540832.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540832.1:10656:18190:-1 gene:KN540832.1_FG004 transcript:KN540832.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFAWPYGGQRASFCGSFTGWRECPMGLVGAEFQVVFDLPPGVYQYRFLVDGVWRCDETKPCVRDEYGLISNEVLVDNTHPVVQPENSIRVVSMDEGTILTTMPPDQLSQNSGVQIAIFRHRVSEILLHNTIYDVVPVSSKIAVLDARLPVKQAFKIMHDEGLSLVPLWDDQQQTVTGMLTASDFVLILRKLQRNIRTLGHEELEMHSVSAWKEAKLQFYGGPDVAAIQRRPLIHVKDSDNLRDVALAIIRNEISSVPIFKPSTDSSGMPLLGLATLPGIVKFICSKLQEQPEGYSFLQNQIVSMPIGTWSPHTGKASNRQLRTSRPSTPLNSCLDLLLEDRVSSIPIVDDNGALLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRQCHTCLSTSTFLEVLEQLSAPGVRRVVVIEPRSRFVQGIISLRDAFTFLIG >AMDW01019377.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019377.1:6:200:-1 gene:AMDW01019377.1_FG001 transcript:AMDW01019377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDRTLGNYMSDRNFPFNLEGLMFGRAVRGLDDGSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIK >KN543263.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543263.1:4867:5647:-1 gene:KN543263.1_FG001 transcript:KN543263.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIACMLVLGLVLQQEKIQVEAKSCCPSTTARNVYNSCRFAGGSRDTCAKLSGCKIVEGKCKPPYIHYSLHPESEELDVLDFCKLGCTSSVCSTVNTFAGNEEGNHVSIVATMYATASVPRKLRLSPLFPKQVQHASIRGFTHRSVLKALPHWSSHK >KN543263.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543263.1:8640:9368:-1 gene:KN543263.1_FG002 transcript:KN543263.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIMCMLVLGLVLQQEKIQVEAKSCCPSTTARNIYNSCRFAGGSRDTCAKLSGCKIVDGNCKPPYVHHTLHPESEESEVVDFCKLGCASSVCSTMSTLFGNEEANHAVDRCNEACRRFCTKEAETVTVVS >KN541824.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541824.1:12497:15690:-1 gene:KN541824.1_FG001 transcript:KN541824.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEADTMTRWLAELVASVQLGGWLEYNFFVRKMIFKGNEYTCIYTGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLADHPEFASNPLYIGGDSYSGYTVPVTALQIANDEDARARLNLKGYLVGNAATDNKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALREEPSSSSSSWWRRGRSMLEMEHERLGLPVECRDNGYRLSYLWADDPEQWIRTLGYNVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGEL >KN541824.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541824.1:18531:18845:-1 gene:KN541824.1_FG002 transcript:KN541824.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLLLLVVVVVVVVVSATWAAPTTRRRNVITHVKGFQGRLPFHLETGYVEVDNTNTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIGT >AMDW01037462.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037462.1:12:583:-1 gene:AMDW01037462.1_FG001 transcript:AMDW01037462.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAK >KN542095.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542095.1:3026:7536:-1 gene:KN542095.1_FG001 transcript:KN542095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNAYVSTPTSSSIPTSDPPLGVLSDITNLSAIELRRKRARERYALLSVEDKEARNKKARDAPLGVLSDITNLSAAELRRKRATERYVLLSVEDKEVRNKKAREKRQQKKEDSQGDNQSATTADGIETRQPMITPRRLSFTVRNGVAHYDDIENNEGPLSCIVQRASQDSLKLDFVRDKSVVEGGSGGVSEDGSVGSGERSRCEGGGARLPGGADAKAVEQHSSATKSSHEAMDNDPMIMENTRVGASQSLTEEQLEWRRARDRFNYAALTPEHKQAILDRRRSQRQSMTVEQRQEMNARRRVSNISYVSARTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKIHGTLNLYTISLIFILLILYKQAPSHWIF >AMDW01040422.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040422.1:82:1474:1 gene:AMDW01040422.1_FG001 transcript:AMDW01040422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KITKLLIPSYFPTKLPLKEACARCIALIKRSPTAGARFCEFALSEGSPPRSLVELIKVSITLALAPTGMNSEQTDGLVIASANLIKSLSEERSSLASLREFFANAKLKLLFKTEISEGARSALLSMAPVVSPDDLSALHDECMNVVMNAAGVSTQQGCQEAVLAAHKLVFSSGWSDEMFEALTNVLQSKASCFAEIYDIEPPICPVATSKRKKGKSLKKTPAKSGHDIGNGSSSEDFDIVAGASWQINDILKDEEKRVAFLQSSYSDVAFSSLKIICQVYIEQCLQFDSLNVTPLLAYLSLATHSALQDVDQTDISTPESTTINHSLDHLLNCFDKLLNESVTGSTNSSKLKQNKKSARQKHHHGVPE >AMDW01024947.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024947.1:96:312:1 gene:AMDW01024947.1_FG001 transcript:AMDW01024947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QNKNANFPGFNFNTSHQGEYVGIASEPLCLVGLDIACISKPQRETALEFINNFTSYLTDHEWNCIVTASSHD >KN542086.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542086.1:9571:10992:1 gene:KN542086.1_FG001 transcript:KN542086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGAEQEGVTATSGNGEVKAVVSGFQFMAIRRRKPSSDFDDCGICHGIISGQISVLSNFVVTTAYGQLLVINTSYIIVSPVFIIIIVFMIGLWLKLGEKKLEESSRQLGTLLFVALFPYVLLLCETLMKDRGDDLVLSHFLLFLSSALGAVAVMVSNLKHVDAGSAALHFLQKTCTVLLIATLHIMAAKWLGMEGTALVCMPELTAMLLWFSDVDHSWYKKAEHIKLLFRSLKDKLPLVSLAIGILAFLMAFIVDNIHSHMQVFWYSKMAFGMVTAVALYLFDFWMIYLWPGSICNSK >KN542086.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542086.1:16287:17459:-1 gene:KN542086.1_FG002 transcript:KN542086.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVQAFTEGVVLMVCPVLLAVSLKKADLKSNGNGSLVGGGISLLAAITLEAGLLAVLFLGIDDSLPASHGLLLRASKLLVHLCALLLMALAFVILLLIDMDRHMYCLAGLVLAPLVPFTLFRCYRSARDGGDDHAEGGGAAALAPLVDFSAAVTTLLFLGLEGLALEGQRSAACRGMERLFTASLGVTYLTCALGVFVMLVGTVPDPAMESTDDQGDRSAKVCYFAELLNATLSVAFAVVVVLITAAPLREQAWLVFVPLILSFVTWMYRAIVGDGVGDIKPAASLELTKVTFTGFLAVAVPTFSNTPVGISTRGFVALSAAAVMSDLGWRLLMTHRNVRRMAPSRAMVSVANVASLCAHLCVAAAVLPFATLALNAVSSSEPGSGCH >KN540984.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540984.1:33581:34953:1 gene:KN540984.1_FG001 transcript:KN540984.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQARGLVISLPPSPKPPKKGKEVFQKAPYIAFACCNLTVFLTAVKWIDSGALGEEEVVDEKQRQRQHKVVVGLAVAGGEHGAARKQGKQSRVADAGAHAAMGYKGCRPAVVKAVDRDLYEIPPDMLCNKPRKRVTRSLWMGCLGLSCVA >KN541712.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541712.1:11986:13566:1 gene:KN541712.1_FG001 transcript:KN541712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYRKKYIPLFEKAVTPSDVGKLNRLVVPKQQAERHFPFPLRRHSSDAAGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRPGDTVAFSRSAAAWGTEKHLLIDCKKMERNNLATVDDDARVVVKLFGVDIAGDKTR >AMDW01043803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01043803.1:105:2045:-1 gene:AMDW01043803.1_FG001 transcript:AMDW01043803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRLLRCTAHREVVGIVGMGGVGKTTLASLVYNKVSAIQTGGTSLRPDSPKGTSSRSSVERYFDACAWVPVGQNADALGLLKITSAQIGVELNLTQVAEAKNDMFRFLQHKRYLIVLDDIWTTETWLELSEAFPKSTNGSKVLLTTRSKEIAVSADPSSLPYELDPLSEELSFQLFITKVFGLNHIDTRSCPPQLKDVGHQLSKKCGGLPLALVVLGGLLSGKEKQVEVWRNILKSMKWSNYEAGNQCLEILALSYNCLPYHMKLCFMYLGAFKEETEISVSKLTKLWIGDDFIPQQDGKTKEETANDYLHELIQRCLVQPLLPAHKQGFKRVRIHGLLCELARSEARESRFFYCENGDAVSKAEGKYYRRLALHTKLIAFHELSNSEKLRSLLIFPGVRESCVITVGHQALRSFSRAFCHTLFFFSLRGFQHNILEQKTSMQYIRVLELEGHERLARDLKSVQSNLNHLRYMSLRNTNLREFPFPESNFPLLQTLDIRGTSIRKLPSIFETLDTLRHIYLNWRVSLDIRRLTNLQTLHGVIILPNSQAERNLMALTNLRKLRFRTWRGVEYRPESPNGFDIDRYNAQSSMGNENHALAESLRQLGNLHSIFIMMSFASFQPITSDIVQAVTSHEQLHKLKLQGR >KN543127.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543127.1:9434:9655:-1 gene:KN543127.1_FG001 transcript:KN543127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRTEGLGINKKYKPESYTQTREGRMGNTRWEMRRGGTEGELMSDEEEEEDTFWLRKKRRKKKTYIWTSSQ >AMDW01032995.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032995.1:150:431:1 gene:AMDW01032995.1_FG001 transcript:AMDW01032995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SCSIDIQRLAADLETKLCNVDLVGGRRRHRSPECLISKVKPQVRRVDDSQYTPQVVLVGAYHHKPLDSTDQLAKWTALRRVLPDDGEQRASMLR >AMDW01009946.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009946.1:35:227:-1 gene:AMDW01009946.1_FG001 transcript:AMDW01009946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GPLQISWNFNYGPAGKNIGFDGLRDPDKVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAIN >AMDW01004012.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004012.1:58:192:1 gene:AMDW01004012.1_FG001 transcript:AMDW01004012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCRCLQDNLCSSFDYHSKIRIDGELGAVLTHSMVIQAAVEAT >KN543987.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543987.1:795:1956:1 gene:KN543987.1_FG001 transcript:KN543987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSLPYLQRKEMPTKGLELMNWPCLGAVDRESNMEWRRAPWIEVDMEDTMNLSYTWKGWPFVEWAEHLGEERPEPQPMLPTIFDTDNQECAWASGTTSSLLNSTSTFSAQRDTRLSHHRIFSDCGCTPCVALAIITVLGVAHFQHFQGITHLPAFQIWLR >KN540622.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540622.1:8595:10286:1 gene:KN540622.1_FG001 transcript:KN540622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGGKKGGKKGEAMAAALPGEAAKEKRWSFRRPVHGEKSAAAAADGVVVGEAEAGFDLSASESEFDQKRHAVAVAVRRGLY >KN540622.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540622.1:45281:47109:1 gene:KN540622.1_FG002 transcript:KN540622.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVKEEWPPSSPEEGEEGEAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGSSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYFLVRTNYLNKRSHFYSLRFQGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRRPLSYLPGSQQALGTCLEVGQFGLDEEIDRLKRDKNILLAEVVKLRHEQQSTKANMRAMEERLQHAEQKQVQMMGFLARAMQNPDFFHQLIHQQDKMKGLEDTFSKKRTRSIDIVPFLNPREVSQGDQLESTLLFDPRPFAELNDEPAKSELENLALNIQGLGKGKQD >KN540622.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540622.1:41497:43724:1 gene:KN540622.1_FG003 transcript:KN540622.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFQIRLGGQLFDLLVGLVLESTPKLGALVYVAWNLLSSPQHGVPVLFESNPKVNLKVGVKQYGISEILNGTTSRMARRRSSKLGTWMTVKRTRGFLIIVSPKNSFLLANFQMLMWCTIDTPELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGETQAVEAR >KN540622.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540622.1:18479:20875:1 gene:KN540622.1_FG004 transcript:KN540622.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAALVLLLLIVASTVATRVAVADDFFSPLSPLLAPVIGKGIFSKGMISFSSSSWRFDGFLTIWLGAGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNFVAASCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPVPPGSAGISNNDVFYWTCSLFTSWDYSEKGDFTSNSTSLADVGLTDGLSGYIDRDWSIHSTFELDYFYHGQDRAVSLLEMYTFWDI >KN547719.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547719.1:1:153:1 gene:KN547719.1_FG001 transcript:KN547719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILGKEVDGFSVRVVDAFPLPRYAGGRAFIQAIDLCYIEGMLAMLNKTD >KN539501.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539501.1:132:5248:1 gene:KN539501.1_FG001 transcript:KN539501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EADLVLGGDDGKECTYGGGYLKRQAVFSCLTCVPDGVAGVCTACSLACHDGHELGLVLMFIWVRRMSATRVILGLSVIYCGICDTVEIISRNRRTQLMFGWFFLLKVVELWTKRKFRCDCGNSKFGSHVCKLCPEKDPENPANSYNHNFIGSYCTCGRPYPDPEAEKQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPLYEDFICPKCSPQCYFLKLYPDTIWASNKQSSAPQAETTNSTVMNGNSSLGDIDKSENGALINHLNCEKTSDNENCPKDSVAPEKASLDDSSDGKCKLGMNISSNTPSADSEKKMPFFMSKSWREVICRCETCTDFYAQQGVAYLIDKEDSIEEYEKVAKQKREKKLEQQEGVEANFLNSLDHVQKIEILSGINDMKNELHSFLSISQINGDTSHVSVSGFEF >KN539501.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539501.1:79931:80821:1 gene:KN539501.1_FG002 transcript:KN539501.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGAASREQQQHDGGGGGCSGVKDRLFAIAHKSS >KN539501.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539501.1:59340:59803:-1 gene:KN539501.1_FG003 transcript:KN539501.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASVTGYRTVMKIGRYSHFKMHECSRSK >KN539501.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539501.1:51170:53046:-1 gene:KN539501.1_FG004 transcript:KN539501.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGALSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLANACRIGMRKTLVFYGRPASKRRMHPSGGALSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLANACRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDQDNVDVQIGAG >KN539501.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539501.1:82522:83403:-1 gene:KN539501.1_FG005 transcript:KN539501.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPRWKKGKDGKDFATLAAAHPMSAIVSELKASFISSKPMAILSGPGGSAVLGVGPEQAVILNRAAFGHAIENATAQKHWFQLSPEEVFYLCHALNCIRVDSLDNKQMSEIELWDYFRSGSESFPEMYKAYAHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSKCELSSPDCLEQLVVHERTITRWIPQQCREQRCEPSRDEVNREELIIEKESVVFNHWGVILGFTVLSGLLVYRLKFRQ >KN542362.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542362.1:2:2325:1 gene:KN542362.1_FG001 transcript:KN542362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FRKILSQIKNSDEELREEDWLINELRIFLENKRYLIVIDDIWSTQAWKIIKCALPESTCGSRILLTTRNGNVAKSCCYPHHDTLYQIRPLNEADSKGLFFRRIFGSEDQCPVHLKEVSVDIINKCGGLPLAIITIASLLTVKSKNREEWMSIRNSIGSGIGENCDNDEMKRILSLSYNDLAHHLKTCLLYFSMYPEDCEIDVKQLLRRWRAEGFIKVNCGRNIMEEGEFYLNELINRSLIQPEKMLFDDRMRTCRVHDIILGLIVSKAIEENFVTVFSDPNSLVSQGKVRRLLLDYRGQENVMPMCSMVTCNVGSVSIFGYREQMLPISDLNVFRVLHIESGNKMMEICGIGKLLQLRYLRIDLVTHLTEEIGELLFLETLDLPRGIGTEELPKGIVKLRRLKFLHVHDARLPDGVGNMQALEELAVSTKEDNLSSINSLEQLGTLTKLRILHLSLSITDENNHKSKHLDTLTSSLNKLLSYNLRYLDFDSYWQLGSAYINLDFSSSPSYLLQQLHIRPLLLHGIPERPASLANLTYLDIRIQQVTQETLEILGDLPALLNLMLVSAFDNTEGFSIYRNKFRCLESLDLDCSASDMMFHAGAMPTLVDIKFTIKAHSTEYRNLGIHHLSTLKALNVYIDCQGATAEEVEAVVAAIKNEASLLPNCYIQHIDIPREEGWEEGGHSIEQQEEITDPHTEHTITPAT >KN543620.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543620.1:2745:4519:1 gene:KN543620.1_FG001 transcript:KN543620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPCLIFLFMCLPAVLVKANILGDIYPCPCKCSQQNETSLHMYLHQLPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGTSANENVVGRLQGFHLQAGQTTTSWYMAHTMVFKDGRSKDEQLSDRMMPSAD >AMDW01034254.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034254.1:12:527:1 gene:AMDW01034254.1_FG001 transcript:AMDW01034254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASIAALRHLAAVLSILAHCLLLSSADVDFIYNGFRNAANLSLDGSATVLRGGALQLTNDSNNIIGHAFFDSPVQMVSDAAVVSFSTAFVFDIVTNGSVGGHGLAFVVAASKVLPGATAEQYLGLLGKSNMGDPSNHVFAVEFDTVQANGLLNETNGNHVGVDLNSLVSN >AMDW01016495.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016495.1:7:162:-1 gene:AMDW01016495.1_FG001 transcript:AMDW01016495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGK >AMDW01032134.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032134.1:138:458:1 gene:AMDW01032134.1_FG001 transcript:AMDW01032134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >KN539114.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539114.1:62418:62996:-1 gene:KN539114.1_FG001 transcript:KN539114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLAVLSFVLLMSIGLTHAARVARYANAQGTGSGGGNGGGYLNGGGVGSGNGYGVATSGHGAHAHASGGGGGGGATSYGSSSSQTSSGYYQGYTGDASAGGGGGGNGGGQGGGTIGSSGYGSGFGTGSGASEGAGGFSSPNPSYANADASANGGGTGGGQNGGNGNGVGGGSGYGDANP >KN539114.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539114.1:123295:123885:-1 gene:KN539114.1_FG002 transcript:KN539114.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANASRVARYSSAQGSGSGSGEGSGSVNGGGSGGGSGMGSGGSGGGGGGGQGNGNYGSTGQGSGSGTGSGYSDANSNYWRGPSYANANAGGYGNGNGYGQNGGSGGGGGSGSGYGDANP >KN539114.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539114.1:42672:43229:-1 gene:KN539114.1_FG003 transcript:KN539114.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVALTFVVLVSIGLANAGRVARYASAGGGGGGGGGGGGSNGGSGWGSGSGSGYGQASGSGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGQGGGGGQNGGSGSGYGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >KN539114.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539114.1:11269:11826:-1 gene:KN539114.1_FG004 transcript:KN539114.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLALAILVLLSIGMTTSARTLLGYGPGGGGGGGGGGEGGGGGYGGSGYGSGSGYGEGGGSGGAAGGGYGRGGGGGGGGGEGGGSGSGYGSGQGSGYGAGVGGAGGYGSGGGGGGGQGGGAGGYGQGSGYGSGYGSGAGGAHGGGYGSGGGGGGGGGQGGGQGGGSGSGYGSGYGGGNGHH >KN539114.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539114.1:97628:98212:-1 gene:KN539114.1_FG005 transcript:KN539114.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRVLALGFIALMTLGLANAVRVSRLSNSDGTGAGGGGGDGYLNGGGSGFGSGAGSAQSGNPFGSYATAVAGGGSSTTSQYGGSGNGAGGGSASGVGDNTNTVSTGYGGSTSAAGNGGGGGGGQAGGSYGSYGQGGGGGTGSGSGMANTYVFVPITEAEGNAKGNGGGNGTGQNGGNGNGGGGGSGYTKAHP >KN539114.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539114.1:127700:130652:-1 gene:KN539114.1_FG006 transcript:KN539114.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGIGAGSGSSNSYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSASGAGVGGGAGQAGGYWPSNGHGSGSAVFRSTFKIVFETTPKLPTDLRFGYQANQSDTKVMATSHGDSIVVAIDIVMQWKERVSPYELEPACC >KN539114.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539114.1:8175:8843:-1 gene:KN539114.1_FG007 transcript:KN539114.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHFVLLIILVLLSIGMTTSARTLLGYGIGGEGGGGGGGGGSGGGGGYGGSGYGSGSGYGEGGGAGAGGYGHGGGGGGGGGEGGGSGSGYGSGQGSGSGYGSGAFGAGGYGSGGGGGGGGAGSGYGSGEGYGSGYGSGAGGASGGGGGHGDGGGGGQGGGYGSGSGYGSGSGYGQGGGAYGGGYGSGGGGGGGGGQGGGSGYGSGSGYGYGSGGGGGHY >KN539114.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539114.1:105285:105870:-1 gene:KN539114.1_FG008 transcript:KN539114.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANAARVARYSNADGNGSGQGGGVGYVNGGGSGSGSGAGAAQSGSNNAHATAGGGGNGGGGGGGQAGGNWGSSGSGDGSGAGSGSSSANTYYGGPSYANADANGNGNGKGIGSYGGSGGGQGTGSGYGDASP >KN539114.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539114.1:70647:71216:-1 gene:KN539114.1_FG009 transcript:KN539114.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLAALSFVVLMSIGLANAARVSRLANAQGQGSGTGSGGGYVNGGGVGNGNGYGVSQSGSGTHANAGGGGWGGGGSQYNGTGFGSGSGQGSSSGSVSQGGGSASAGGGGGGVAGGQAGGVDGSGGYGTGTGSGNGSAEADGGASPTSSPPYANANATGDGNGNGGGQSGGSGSGGGGGSGYGDANP >KN539114.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539114.1:89694:90257:-1 gene:KN539114.1_FG010 transcript:KN539114.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLASLGFVMLLCIGLANAARVARFSISSATGTGGGEGAGYVNGHGLGSESGASSGENSVVEGSHAVAQGKGGGGGGAPYGGAGFGGGSGSGSVFSSLDSAGSSKAGGTGGGDGEGQAGGNPGSKGYGAGSGTGSGSSMLHEYFPSFTNARASANGGGTTDTQNGGSGAGNGGGSGYAGLGPRP >KN539114.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539114.1:135668:139495:-1 gene:KN539114.1_FG011 transcript:KN539114.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRCKAVVVVVSMVLLLLVSCLTCTEGRMHYHRRHRRAPHRKHHHRAMMSGDNHVATYRVASRLRWWGIIGRADGFAFGRRGRTAPMVFVREALSSDSSSIESAQDVAGSSQTSQNRYYSYGGSSSAGGAGAGGGAGQAGGYWPSNGQGSGSGTGSGSSSADSNYYGGPYANANAGGNGGGNGQGQYGGRGVGAGVGSGYGDANP >KN539114.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539114.1:38556:39284:-1 gene:KN539114.1_FG012 transcript:KN539114.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLVSLSFVVLLSIGLANAARVARYASAEGGGSGGGGGGGSEDGSGWGSGSGSGYGQAGGSSGGAYASGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPHGGAYAQGGGQGGGGGGGVNGGSGYGSGSGSGYGQAGSYGPGGAYAQGGGQGGGGGGGQNGGSGHGSGSGYGQAGSYGPGGAYAQGGGHGGGGGGGQYGGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGGGGGHP >KN539114.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539114.1:24505:25572:-1 gene:KN539114.1_FG013 transcript:KN539114.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKLAILGFTMLLLIIGASSATRVNRYSSTGVEVGGGGGGGGGGAGSSENGGGWGSGSGAGHGEARAYGPSSGAYASATGGGGGGGSSNGNDWGSGSGFGSGYGESVASGPSSGQYASGGGKGGGGGGGRNGGFGYCLGSGSGNSEGKKYKPYDGGYSMGSGGGKGGGGGTNGESGYGARSGSGYGETIGFGGASFANGGGGGKGGGGGQHDGFGSGSGLGSGYGETRGYSPYDGNYAMGRGGGGEGGGQNGYGDGFGSRVGFGQFNGGWPYNNGYYKAGGRGSGGDGGYGGPNRFGHGSGSGVGIGEAGNQYGDEYAKSKGDGGGAGDSARGGYGEGLGHGSGYGDTGPQQP >KN545409.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545409.1:342:1570:-1 gene:KN545409.1_FG001 transcript:KN545409.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEKAAERGGYGGERYEKVEFQKKVGEHYHSLRDSTWKVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >KN539114.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539114.1:50633:51190:-1 gene:KN539114.1_FG014 transcript:KN539114.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVALSFIVLLSVGLANATRVARYASAGGGGGGGGGGGGSNGGSGWGSGSGSGYGQASGDGSYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >KN539114.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539114.1:64033:67168:-1 gene:KN539114.1_FG015 transcript:KN539114.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEEGGEANAASSAEEVGRKKPPRHKGKHDKPKPWDDDPNIDHWKIERFDPSWNEGGMLEVEGSMTVSTTRKTRDPYIIVKAKELIKLLSRSVPAPQAIKILNDEMSCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSWKGLKQVRRVVEDCIKNIKHPVYHIKELLIERELAKNPALANESWDRFLPKFKKKNVKQKKPITKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKQEKQSEKAEENKRKREAAFVPPKEDTATPYESAKSTSNNDEIADMAKSLKKKAKEFRKSEAQENVKLESYVASNEGSRPKKKHKSSK >KN539114.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539114.1:85068:85676:1 gene:KN539114.1_FG016 transcript:KN539114.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKIVALGIFLVLCINGLSHAARVIRYSSAKGEGEGGGEGSGSVSGAGSGSGGGFGASNTSSSGGYFGKSNAHANAGGGGSGDGGGPLGSAGSGFGSGSGSSSSNSSSVMRAWYGGGEANAGGVGGGSGDGYGSGYSGSSGYGSGFGTGGGSSAVAMDGFYGAHANADGGGDGTGVGHGEAGGYGNGGGSGSGYGNGKYP >KN539114.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539114.1:115865:116434:1 gene:KN539114.1_FG017 transcript:KN539114.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFVVLLSMGLANAARVARYSSSDGNGSGGGGGTAYDNGGAAGSGSGYGVSQGGSNGAHATAGGSGGGGGSSQYGGSAYGSGNGSGSSSSQTSNGEGGYGGESDAGGGGGGGGQGQAGGYGSTGSGSGSGSGSGYSNANNNWYGSSAGAGASGNGGGNGNGENGGNGSGAGGGSGYGNASP >KN539114.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539114.1:81136:81702:-1 gene:KN539114.1_FG018 transcript:KN539114.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKFVALSFIVMLVIGLANASSTSNGNGTGWGEGGGSMNGAGGGSGSGTGSSVSIINEGGSVHGSGGGGGGGSGGGRYGGSGRGSGSGRGSSSSQVGPDPYRGSSNAGGTGGGAGGGRADGYYGSSGYGSGSGTGVGSSASMNGDFPGGVFSNANAFGRGGGNGYSQNGGSGSGKGSGSAYGNGEP >KN539114.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539114.1:47511:48068:-1 gene:KN539114.1_FG019 transcript:KN539114.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVALSFVVLLSVGLANASRVARYASAGGGGSGEGGGGGSDGGSGWGSGSGSGYGQAGGDGSYASGGGGGGGGGGGENGGSGYGSGSGSGYGQAGGSGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >KN539114.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539114.1:25911:27680:-1 gene:KN539114.1_FG020 transcript:KN539114.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKLLAITFFVLLSIGVSNARVAKYAAGGGGGGGGQTGGYVPYYGPYYAGGGGGGGGGGGGGGGGGGGGGGDSIPRDPTLSRQILSHSVCLLGRRLPYTFDI >KN539114.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539114.1:142680:143255:-1 gene:KN539114.1_FG021 transcript:KN539114.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLAALGIVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGTGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSNGHGSGSGTGYGSSSANNYYGGPYANANAGGNGGGNGQGQYGGRGVGAGVGSGYGDANP >KN539114.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539114.1:54030:54587:-1 gene:KN539114.1_FG022 transcript:KN539114.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVVLLTFLVLLVIGVAYARPKKYASAGGGGGGGGGGGSSGGGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGSGGGANGGSGYGSGSGSGYGQAGSYGPYGGGYAQGGGGGGGSGGGQNGGSGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGYP >KN539114.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539114.1:114159:114695:-1 gene:KN539114.1_FG023 transcript:KN539114.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPHAAVHVAMTLDASYLRGTIAAVLSVLRHASCPGSVHFHFLAVSSEAAVRELRDTVRASFPSLVFRVYPFDESCVAGLIFTSIRGTLDRPLNYDRSYLASTLPSCVCRVVYLDSDVVLTDDIAALTVTPLPGETAVAAPKYCGANFTAYFTPGFWASLALFEAFAGVMVLDLSR >KN539114.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN539114.1:34207:34899:-1 gene:KN539114.1_FG024 transcript:KN539114.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKFVALSFVVLLGIGLTNATRVARYVSAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSHGGAYAQGGGQGGGGGGGANGGSGYGSGSGSGYGQAGGYGPHGGAYAQGGGQGGGGGGGYNGGSGYGSGSGSGYGQAGSYGPYGGGYAHAGGQGGGGGGGQSGPGGHGYGSGSGSGSGSAYSGGHP >KN539029.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539029.1:93206:99655:1 gene:KN539029.1_FG001 transcript:KN539029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKLVGAAPAPPVSERLCVGSSDGWLVTADAASELHLLNPLTGAQVQLPSVTTLPFVDASRDADGRVASYDLRCCFGDGDNDGDEVLVPPESFAPDRLRYELYEKAILVAPPRRQTTPPGSWGGYAVLLICQPLYRLAIARAGDTKWTLLDTPSRCWVDAVRASSAPAAADGHQAVYTLDSVGRVEAWDMDVTAAGTTPSPPREIAPPCCCSGRACSMSIPCSKYLVELSPGHLLQVHRLRDKAHARSKWEPRQERVEYTTVKAELFEWNAGAGGHGEWARVCSCVQVDENDRATNWVDKWVPFLPQVSCVHYYTVKLIDPNQTTTCGARAARGESPAPMKHHHAARGRAEPRRMGNAAMVITMLLSLCVLTYIKARYCSTPFPKAAEEMEVVEIDEDYDSTRYKMTGPIGEEDFDPSRPTCYVTSKRSERCAAVGDIRVDGNHSKIYINPLDKEWRTKPGGFAGNLYHDYTDVLVPLFTSTNHFGGEVQFLLSGIKDWWLDKFTPLFRQLSRYDVIDVDNDQEVHCFPRIFIGATFHRAMGIDPARSPGGVTVADFKRLLRRTFRLERAVASRTGAPRRDKPRLLIISRKSSRRFMNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPAKDMDVNYMEYNVSFDESSLRELYPRDHFYIQHPYDVHKKGWDAIKTVYLDKQNVELNLTKLTNTLERARDFLPEP >KN539029.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539029.1:53652:57469:1 gene:KN539029.1_FG002 transcript:KN539029.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCFVLCNNLSLSDLCRIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSQVCRSVVYGEQPRNRLDLYIPTDRTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDASQGIAFVCNNIASYGGDPERIYLVGQSAGAHIAACTLLHQAIKESGEGDASTWSIAQLKAYFGISGGYNLLNLVDHFHKRGLYRSIFLSIMEGEESLQKFSPLVMVKDPAARSAVSLLPRIFLFHGTSDYSIPSAESEAFFDALQQNGAKADLFLYDGKTHTDLFLQDPLRGGRDKLLEEIVTVIHNDNPDTSAQHLAVPVARRLVPEFMLMLAGRVSPF >KN539029.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539029.1:12762:15244:-1 gene:KN539029.1_FG003 transcript:KN539029.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADGQEDAGGLDDDLVLEDELRGREEGEYGGGGEEEEEDDVDGLASFLESEILSGSSGDDPTGREEGDEKEKQIGDDAKNNKRKQESESDGDSGSGSGEEQNKRVRRAKGKEKDVASVAPQIDSGMFCNIPPELFLQIFKFLSSEDLISCALVCRFMNVVASDETLWRRLYCMRWGLSCNTKLRECAWKKLYIQQDREDMVEFVRNTPTEFKEYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSSWKSSRGLTDDAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDDTDLQQAGMTDEAEPFMGSGRFARAYQLGYSCADEKELEYALRFC >KN539029.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539029.1:133048:146460:-1 gene:KN539029.1_FG004 transcript:KN539029.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEYAEPLLQPEVEEETYTSDGSLDIDGNPALKHRSGGWRACRSILGAEFCYCLANNGIMYNLVTYHTTQLHQSNVAAAKNVSTWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPSLQSVTVSRHEFSSAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERASKASLFNCFLHKISWIGTCLNKFSANFGWGFSLLQVEEAYTTDGSLDIDGNPALKNRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMASAQEIVLFLGLYMIAFGLGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMILAVGLSCLVAASRAYRFQATRGSPLTRVCQVVVAAVCKFNVAPPDDMALLYELPEDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDAHVDALRVPPASLAAFQMLTTIVMIPLYDRVFVPAARKHTGREKGISELVRIGAGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNPAAFMSCAMKYKTRKAC >KN539029.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539029.1:10178:11893:1 gene:KN539029.1_FG005 transcript:KN539029.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCEVTKKEPPLRWVVTKFVAHHVHPVSLPLCLRPPPAGESDGLAGEHAAALDEPTQAATEPSDEPTGAPAAVGNGAPNGGPSFCNRLVRANPAGHINLKADLRECVFGAETIEEFQARWDYVLHKYNLEENTWLQSLYDSRQQWAWVYQKGSFFPELLKSQRSERLNKFFKTHFNMKTPLLVLISRFDQVMALSFEKEAQANFVTAYSKPTLKTPSVIERQAAAVYTRAVFDIFQEEFIESLGYHADKIEDGVILKYNVASEEENDRGYVVSFNQLDRKAECTCCKFEYAGILCRHVLRIFFMVGVRNLPEEYIMKRWTMDAVSSVVPDERSLETGVSFPERLVAWYNDLSLDGLTYGMRGAMSPEVYKVAKAALQKAFDDVLAAKYQQMSEHQDMPLPKSQAKRTHAKA >KN539029.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539029.1:151314:152325:1 gene:KN539029.1_FG006 transcript:KN539029.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSQLNPDASPFIPSSLSLFAYNDSKRQAGETETEINYKSAGFQLTDSLSSYGCMNRIGLNIYAESSSMDSPSARACCSSLCEENDMDPLALTKSVLLMFPNISEEFIDELLQANEFDISLTVDMLHELNSQNMLHGDAIMGFPTFPDVKKFHGNLGLPDGDLSESNSSLDQSLQKGMSLTTSGAKSASAMIPDNISLHDKMGVQKDDKPAIASTTN >KN539029.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539029.1:128310:131087:-1 gene:KN539029.1_FG007 transcript:KN539029.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAVALLDPKVEEEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVADHYGWALGLAIPAMVLAVGLSCLVAATPAYRFQRSRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDASSMKGVQRIEHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGMAQGISELVRIGAGLAMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMRYKSRKDC >KN539029.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539029.1:109831:111710:1 gene:KN539029.1_FG008 transcript:KN539029.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVRSKKSKGSFCHPPLLLLIVAIQFLVIYSPTLDQYMVMLTTGKPGFPSMLIDGRRSFKQVDEFIPEPHLRCDYRDNRSDVCEMEGAIRILGRTSEVQLLVTQKQRWWFGKYREIVEGLTRYEPVDLDAEQRVHCYRRATVGLHSHKDLSIDPRRAPNNYSMVDFKRFLMWRYALPREHAIRMDEEDKVKKPRLLVINRRSRRRFVNLDEIVAAAEGVGFEVTAAELDAHIPAAASAVNSYDAMVAVHGSGLTNLVFLPMNAVVIQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSEVYPRAHPVFLDPLPIHKQSWSLVKDIYLGQQDVRLDVRRFRPVLLKALHLLR >KN541131.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541131.1:124:7386:1 gene:KN541131.1_FG001 transcript:KN541131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDAAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASVPGNTCHLSQFLVSEIEMGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTLSLLSASHFAYGTSNVILTNNSDFTKSWNYSVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSFEDVEEIKGLYAAQAK >KN539029.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539029.1:40540:52164:-1 gene:KN539029.1_FG009 transcript:KN539029.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATTGTEVCLCLPTEADVDDLILWLVGFVRKIFVIRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLASGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMIAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECESTPNPEISYAGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFCVAFLMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQAVESEAELTKVTTKVFFDITINGKPAGRIVMGLFGNTVPKTAENFRAICTGEKGLGKSGKPLSYKGTPFHRIIPGFMIQGGDTVSGNGTGCDSIYGGMFPDENFKINHSAPGLLSMANYAKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDVVYKIEAEGSQSGTPRSKVLISDSGELK >KN539029.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539029.1:17395:24061:1 gene:KN539029.1_FG010 transcript:KN539029.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRKWIAEQIHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKDFFLFIVIFAPWMMIIHVSDCATPTFVSSKSNICLLHVSAWDISHLHASFVTHEKFTVVDIQSVSQVQMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRSGEGDATQSAAAASTSSMPDKELDKTHAGPDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPARVKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALLSNFSASKSKKRSKSKSKHRQAEPAAHEESSHSEAEKSNRTSRSKRKKEYCSDSSFSGAEVEARKSKQKSKHKKKHLPESLSDSKVDVGRDTRRHQKREHRKKKRNITESESSSDSEVDIDTRKHPKREHRKKKRNVTESDSSSDSEVEVDRDTRRHPKREHRKEKRNMTENGHLSRSREKHHYSDTSTSDNEKHSMGHKDEQYYSDSSSSRSNRHSRRSREKRYYSDSSSPEHNRHSRRSKEKRAYTDLSTHDRDRHSKRSRDKREYTESRPHESNKHSRTSKDFPQSPQLQSKVRQQSEPSPTPEDSKEGQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDYSSLAASVGASYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPRNLLLGSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMTCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPHAPNNTPVRK >KN539029.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539029.1:67712:79643:1 gene:KN539029.1_FG011 transcript:KN539029.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MERHTSVGKPDKLLHSGTGVLRLQFQDCAVTRGKCHIQLARFRLRRTSGSMESVAIEAARWMVGKALSPLSGGLVEAWLACSELGANVGAVKLELLYAQGMLDNARGRETRSPALKQLLLQLRGLAYDAEDVLDELDYFRIQDELDGTDEAADEHTRGCLHGLLLNTRHTARNIKKRYLSACCSGGGDEKAVAGSDLSLAGEHDDDDDCTDEDDNDTGSTDIDHSSTATHMPRKEKQWGSEREDTMKTPKLKFDRVDLSTRMKHIVEQLKLVCAKVSTILNLELPESNLTIRSSIAMHRPVTTSATIEPEFYGRKGEKDRIIKDITHGDCCVKDLTVIPITGPGGIGKTALTQQIYKAVKNLFDVKVWVCVSLNFNAYRLKQEIADSIPKVENEQLGDLDDLIEQRLKSKKILLVLDDMWNCSNEDDWKRLLAPLRNAQTKGNVILVTTRFPAVVEIVQKTYCPIQLEGLEFEEFWELFQAYVFGDEKSINHHAILQETGEMIAKKLKGSPLAAKTVGRLLRNHLDFNHWTSVLESKEWESQTSDNDIMPALKLSYDYLPFHLQQCFIYCALFPEDYKFDSDELIHLCIGLDILQSHQDQNKRTEDIALSCLNYLVDFGFFKKNVNEDGSAYYSMHDLLHELALKVSSCECLAVSSSNVRTVQIPPSIRHLSIVIDDKDVNDRVTFESIKTDFTTLSKRLDVEKLHSFMLFGRYHGSFISPLGDLLRKAKSFRVILLSTPSYAVENMLHNFSNLVHLRYLRIIRGYFPEMRLPNTISRFYHLRILDVRKCSGHFGSPRDMDNLVRLRHFLVPDDMDNLVRLRHFLVPDDNLHSDIANVGKLKCLQELRRFKVKRQSESFALRQLGQLELNGTLGIYDLENAQTADEARLLNKSHLHKLILHWSTKDCSQDKHILESLKPHNNLQKLQIEGHGGATCPSWLGVNLSIKGLQSLSLHGLDWNKFPPIGELWLVNQHSEKTLSCTESQSFWNLKRLELVGIPRLAKWTGNDASRVFSQLEVLIVRDCPELIELPYSKMDSTQFPTLKELEIVKCPKLSSFPPVPWTSSPCRALIEEAGSDFQLLKYSNFNQSKSQFNLTELTTLDLTKCPPLLLEHLQMLSCLKELEINNSDNALSMVEGDSAVRYQFPVKQLKIRECGASGEELTLLLSHFPKLTDLSMISWKKITMLGVAEQQTTATSASSPSLSGNKLENARFGQEQQQPRGEDGKAAASSGLLLLPPQLQRLFISCCSKLILHHDSLGENMGGGLRGMEGGLQGLRSLVSLTIIDSPDFFSSHSSSSSSFPFPSSLKYLTIHRVSGMETLSLLSNLSSLTSLGIEDCGDLRGEGLCSLLAQGQLTRLRVNKNPKFFVGINPSSLQHLVTDDIAGVLVVPICRLLSPSLTKLTIFCNDKVKRFTKEQNMALEHLSSLQELSFSFCGLQFLPSVLHRLASLKRFEISCSEFISSLPKSGLPSSLEILDVSGGSEELKRQCRKLRGTIPIIKDNWDFTACFAPTPAQLARFRLRRTSGSMESVAIEAARWMVGKALSPLSGGLVEAWLACSELGANVGAVKLELLYAQGMLDNARGRETRSPALKQLLLQLRGLAYDAEDFYCNSHSHKRKPIPLLLLSLQGVPDTGHHENTKAEVGQGGSVQKNEARRRAAEAKLLELTNRSIGQCIAMTLNAEFSGKSAHALVLPTSGVMSRPVTTSEFIEPEFYGRKGEKSKIIDGIQNDYHDKDLTVIPIVGLGGIGKTTLTQHIYKQVENHFDVKVWVCVSLNFNVYRLKEEIAKSIPKLKDEEGGGLDDLIEKRLKSKKFLLVLDDMWNCGNEDEWKRLLVPLKKAQTKGNIILVTTRFPAVAEMVKTTDHSIQLQGLEPEVFWELFQACVFGDEQSINGYANLLETGEKIVKKLKGSPLAAKTVGRLLRNHFDLGYWTSVLENKEWESQTSENDVMPALKLSYDYLPFHLKQCFTYCALFPEDHKFESEELIHLWIGLDILHSQDQNKRIEDIGLSYLNDLVNYGFLRKDENEDGSPFYIMHDLLHELALKVSSYECISVNSTNVRSVHIPPSIRHLSIVIHDMDVNDKVTFENIMTDFRTLSKRLDVEKLHSLMLFGRYHGSFVIPLGDLLKKAKSLRVILLSTASYAVENMLHNFSNLVHLRYLRISRGYFPEIRLPNTISRFYHLRILDVRKCSGHFGLTRDMDNLVRLCHFLVPDDNLHSDIANVGKLKCLQELRRFKVKRQSEPFALRQLGQLELNGTLGIYNLENAQAADEAKLLNKSHLHKLILHWSTKDCSQDEHILESLKPHNNLQELQIEGHGGATCPSWLGVNLSIKSLQSLSLHGLDWNKFPPIGELWLVNQHSEKSLSCIEGQSFWNLKRLELVGIPRLAKWTGNDASRVFSQLEVFIVRDCPELIELPYSKMDSTQFPTLKELEIVKCPTLSSLPPVPWTNSPCRALIEEVGSDFQQLKYSNLYQSKSHLWVAGKKGHLNCAFSKVLTSSNLTELKDLMLSKCPFLPLEHLRMLSCLKNLDIDGSNSALLMVEGGSAVRYQFPVKKLAIRKCGASGKELTLLLSHFPKLSDLTMYRWEKITMLGVAEQQTTATSASSPSLSGNKLENARFGQEQQQPRGEDGKAAASSGLLLLPPQLQRLFISCCSKLILHHDSLGENMGGGLRGMEGGLQGLRSLISLIIIDCPDFFSSYSSSPSSFPFPSSLEFLSIDRVSGKETLSLLSNLSSLTNLGIEDCGDLRGEGLCSLLAQGQLTRLRVNKNPKFFVGINPSSLQHLVTDDIAGVLVVPICRLLSPSLTKLTIFCNDKVKRFTKEQNMALEHLSSLQELSFSFCGLQFLPSVLHRLASLKRLEISCSEFISSLPKSGLPSSLEILDVSGGSEELKRQCRKLRGTIPIIKDNWDFTVRELWYLMVIHLCWAWLKEADQSSLDWRRKGYKVISITTGKAGSPGPVQQKKTLIPTTETTPRPWLLRRQKFFIIECKPHYCPQPLRANNSSLVDQEACLWVDDDRGSIRDAGYDLVGRMCSWAILEPGRTAIPQCTKKYQMMMAADHELGNAFSLGSL >KN539029.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539029.1:102874:106840:-1 gene:KN539029.1_FG012 transcript:KN539029.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEVEAAAVAAPLLVPSDPPPAQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCAGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPQAARLSVFISGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILAISDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGIPSAVIFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQDSDGRITLA >KN541131.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541131.1:18172:19575:-1 gene:KN541131.1_FG002 transcript:KN541131.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >KN539029.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539029.1:112544:119040:1 gene:KN539029.1_FG013 transcript:KN539029.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGGGKGGGGGGGGGKGGGGGSGGGGRSGGGGGGGGGKGGGGGGSGKSGGGYSGGHAGGGGGAGKSGGYHGRGGGDSMKAPSGDGSYISRSGFESNPQGYFQGLHGDGKLVVAVLGIDLLQGKIRIANSISWQCKDENDPTGAPLKNSSWTGLDVTAAALPYRFSDKDNRFVTVGCNVFVLLSGEEASGNPILNSCISTCFGNGSDIRNGSCTGAGCCEMAIPDGMICRNSDGGYDCFCPEGEHKSNKSGVFICEPDQKRSSLPVSVIIAIGVTGGIAIIVMSILSSYLVHQRRALADIKRSYFKRHGGLLLYEELNARKSNAFTIYTEEQLEQATNGFDESNVLGRGGHGTVYKGRVAASDDLVVAIKRCKLMDERNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEYVPNGTLYQLIHGGSAGAISFAYRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMMTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKKPLCLDGPDEGRSLSARFLAAMREDRVDLILDEQVKSEASGELLEEITMLALECLQMCGADRPAMKEVAERLGGLRKLHQHPWTQDVVELEEVRCLLSGSPESISFEVDATCSSGY >KN543612.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543612.1:2683:3366:1 gene:KN543612.1_FG001 transcript:KN543612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALSKPEPRRSIPRPLARNSGCSTCANVLLPLKRNFPKLSSCSALSWSPSSSSSSSSSSVEAPPRCVNDWIVSSVVGLERGAHLPDGGRWWWWSSSPLAPRAARFPSEKARRRPPRERSWASEPPGVSRQEMVGGWYETVGDESAKKWTAGEETAGEWLPDGRSAPRTGVEALLTSMANSLTQKVQSHATARLAIFCKSLEDPAAAAADAAGGRRSICVRENSN >KN539029.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539029.1:124459:125370:-1 gene:KN539029.1_FG014 transcript:KN539029.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHLTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAVSHLVPSISFDPIN >KN541131.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541131.1:11556:12068:-1 gene:KN541131.1_FG003 transcript:KN541131.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MGVTKEDVEAAITSSLSPSSLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKAQA >KN539029.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539029.1:59176:63612:-1 gene:KN539029.1_FG015 transcript:KN539029.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLVDGFRRLFHRRTASGSASGSNQSSNAGEEAASSDLEVVDDPDLVAFRSIRIRVPKRKMPLPVESHKKFGGEMKVSGFLVILDSWPRGVLKQDQIFVAPELLAYCTISLFLFSMICAKCNTAQVQGLTWSGLCISLVRTVSIGNKSRLAHGMEQNTVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSTMRKKHAVPFSQKFRNTDPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLTKDDVRELIYRELFKIDNYEKQTDFGVSPTDAARVYESGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVGVSKDGYNQQNTNDQERSADSVARTTVSPPMSQDAQQHGSAGQNGVTSTDLSSRSYLKSASISASKCVAVKDNKEPEDPCMD >KN541131.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541131.1:7837:9169:-1 gene:KN541131.1_FG004 transcript:KN541131.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGWEGAKPKRPAPRLKERILSSLSRRSVAAHPWHDLDIGADAPAVFNVVVEISKGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSPHRIQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSMDLYAQYIEQSLGQ >KN539029.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539029.1:31297:33217:1 gene:KN539029.1_FG016 transcript:KN539029.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLLALALLAPAAFFRERRTRRPLTPHLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGMGGGGGGGSNGVGENVLAGTWSSNSYTPQWLTSAMLQYGLETWNLGVICLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATVFMVLTGVVTTNGLHEWALTTTEIIAILYAGIVASCLNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLVEDEDPPTPKTQESSFSGSIDP >KN539029.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539029.1:82931:89586:1 gene:KN539029.1_FG017 transcript:KN539029.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDLVDLASYALLDGIFDGSTVEDDKSSKTLGQMICCGYTVCNAWNNALQLVINIDASIARSTSDGDALPYDPMQQSAKVNKLAAPMFRCNDVLMSDIFDEKMISGRQKSVKQRKIGITYLIHEHKQQPGTVSMNITDFRFPNKNMRHAVHRYSRTSTCCGIWSGDRFHISKRNQYPGSTFPELTGNDRGLKKNRAFVSTGHWPQSAHFSQPEYWSNMESVAVNAARWVIGKALSPLSGGFVEAWATSTELGANIGAIKMELLYAQGMLDNSRGRETHSPALKQLLLELRGLAYDAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLHGLLLNTRHTVRNFKKKACSCGDNGEASRHANDGEALAGSSCIHKLFSNARERSQFLCCTYPCKASHIEHTMKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVSTILNLELLESNRSIGLMSLNAALSRMPGQTPLLPSSVAMSRPVTTSEFIDPEFYGRMSEKSKIVNDITQGDYCGKDLTIIPIVGSGGIGKTTLTQHIYREVQNHFDVKVWVCVSLNFNVYRLKEEIAKLIPGLKDEKPGGPDDLIEQRLKSKRFLLVLDDMWNCGNEDEWKRLLAPLRKAQSTGNIILVTTRFLAVAEMVKTIDHSIQLEGLESDVFWELFQACVFGDEKSIDNHADLLVTGKKIAEKLKGSPLAAKTVGRLLRNHLDLEHWTSILESKEWELQTGENDIMPALKLSYDYLPFHLQHCFTYCALFPEDYRFESDEVIHLWIGLDILQSQNQNKKVEDIGLRCLNDLVNYGFFRKDMNKDGSPYYTMHDLLHELALNVSSYEYLAISSSNVRTVQIPPSIRHLSIVIDVVDVNDRVTFENVKKDFSTLHKRLDVEKLHSLMLFGQYHGSFVIPFEYVAKLSKLVHLRYLRIVKGYFQELSIPTIMSRFYQLRILDVRECGGHFNLPSEMSNLVKLRHFLVRDDSLHSAIANVGKLKCLQELRRFEVKGQAEAFALRQIGQLEVLKGSLGIYNLENAETGEEANLLNKRHLHKLVLVWSDDSSRTEHVLENLKPHDNLQELHIKGHGGTTCPSWLGVNLSTKNLQSLCLDGIRWNKFPPLGEVWLVNVSGEESLSCTSQSFQKLKRLELVGIPRLAKWVGNDASQVFSLLEVFIVRDCPELMELPFSHSTCPRSGQEMNLTQFPTLRELEIVNCPKVSSFPHIPWTSSPCHVLIDEVGSDFQQLDYSKYNQSEIFLKVVGKDVHLDMSFWNVLAFSNLTELKYLYLKKCPPLPLKHLLVLSCLRSLTIRDSSNALSNVEAENTVGYQFPIEDLRIFDSNCSGKELTLLLSLFPKLLRFSLRSCGIIRGLGVAKEQTMAMSASSSSLYGHKLEDARLGEEQEQLRGEDEKAAADAGLLLLPHQLQELFISDISELILQFDSLVDGTAGGLRGIGGGLQGLHFLRTLVIRVCPNFLSSYYSSSSSSCFPFPSSLQDLHLDGVGGMETLAPLSNLSSLTRLIIKGCMDLSGEGLSSLLAHGKLTDLRIRKTPKFFVGCGSDSLRLQCLETDDITKVLAAPICSLLASSLTSLTIRSNDEVERFTKEQSAALLLLSSLQDLSFLFCSKLQSLPAGLHRLTSLKRLKIWSCPAIRSLPKGGLPSSLEVLDVHDSANEELIRQCRKLRGTIPIIKDTYY >KN541131.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541131.1:30329:32144:1 gene:KN541131.1_FG005 transcript:KN541131.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDELDDSPAIIPESRWASLPPELLREVIRRLEADESTWPSRRNVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLAAKRHRKTTCTEYTISMVSGNISRSSRTNIGKLRSNFLGTKFIIYDTQPPYNGAVVPHIGRTSKRFNSTKVSPKVPSVTYNIAQVTYELNVLGTRGPRRMRCMMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFSSARFSDINGSIMGGDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAPSPPPAGAPTPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KN539029.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539029.1:154593:163090:1 gene:KN539029.1_FG018 transcript:KN539029.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHAHLATSGRLLHHPPSARHLLNSLVNCLEPHPLHLRYALHLFDRMPASTFLFDTALRACFRAGASSGDPELPFVLFRRMRRAGVRPDGFTFHFLFKCSSSSRPRALLCTMLHAACLRTMLPFAAPFVANSLIHMYIELGLAGDARRAFDEIPMKDAVAWTMVISGLAKMGMLCDARHLLAQAPVRDVISWTSLIAAYSRADRAKEAVDCFKNMLSEGIAPDDVTVIGVLSACSQLKDLELGSSLHLLVKEKGMLMSENLVVALIDMYAKCGDFGHAREVFDAVGRGRRPQSWNAIIDGYCKHGHVDVARSLFDQMEVRDIITFNSMITGYIHSGQLRDALLLFMNMRRHDLRVDNFTVVSLLSACASLGALPQGRALHACIELRLVETDIYIGTALLDMYMKCGRVNEATIVFQRMGKRDVHAWTAMIAGLAFNGMGKAGLEYFYQMRCDGFQPNPVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPMQPNSVIWASILSACRVHKRIDLAQCAAENLLKIEPDEDAVYVQLYNIYIDSRKWEDASKIRMLMEERQVKKTAGYSSITVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSAGYSPITSQITVDVDEEEKEQTLLAHSEKLAIAFGLISLAPNLPVHIIKNLRPTIFRPLGADDGKQKQPDRRVVFLLPPSRRDAPVLVRPIPSSPAPFSVRWMVASGIRETPHTFSTILGVCNTYEALQLHGRVLALGLCCNPFVGSALVNHYMHVESPHAALSLFRELPLQNTAMCNVVLRGLGNLKLTEELICCFLDMRRQYLELNGLSYCYAMKGCYQNGEWLEQGRQLHGVVLKAGWIPSNIFLSNSLVDLYSAIGDSVDTVKALNDILSEDVISWNSILSICSSVHVQTTLIDMYGKCCCFDHSLAICNEIPSIALECCNSLITSSLHCNMFDAALEILHCMIVEGVTPDDVTFSATMKAISLSASPSLTSCQMLHSCLVKLGFEMDMAVCSSLITAYACAGQLSSSHLIFERLLDPNVICFTAIISACARYGDGTRAMELFDQMVSSGLKPDNVTFLCAIAGCDQAGMFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVKEAMEMMEQSPLRHYTKAWSSLLQSCKAHGENVLGKRAANMLIDVGRKDPATTLQVSIFFNDIGDRETALRIKEMTNVKEVKKSGHSLIEMFVARCLFYLVGPGINGEGFRRGLGQEWRKRRRHVRSGRRQEDTVPLKFDLRAYSDSAILGFVITCQLVSRVQNLIHCCLQLYMDKKEVVDALSREAKIEPSVTQHVWQKLEENNREFFKAYYLRLMLKNQITAFNKLLEDQLRIINKEYHPGPSSMPLPNGSNSNLLKQNPCFLSESTPMPAMPDDVMCNGNSSGIVDRTQSSDQLIYAGKDIQGLHSSMDASNLLPVQNANSVLFGVENGPTIKTESGYSSNGNFGFCGNAFLESCQSIGDASGGSFSSSELNGQPLDDSILDIESSSFGFLSQLPRNFFSDLPEDFNQSTEILDNYGKSPFLPSEQNNFSDSTGGEHTG >KN539029.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539029.1:37286:39532:-1 gene:KN539029.1_FG019 transcript:KN539029.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGWRRIPAARRPPMSRPASVCLWIVLVAATLALAQAKKSKADLTEVTHKVYFDVEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKALHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGQQSGSPKSKVVIADSGELPM >KN540294.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540294.1:24109:25011:1 gene:KN540294.1_FG001 transcript:KN540294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLHLHFLAILVVVPMLGSPAAGGLCRDSCGGIPVRYPLSIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVVGADYADPHLVVTDPSMWTCERPFTSVRAAPFSLDTSTRFSLSPRNDYLFFDCDEERVIVEPRPAVCDRYPERCDSTCDSAGYLCRNLPGCRGALEENNMSCCAYRPRAAEALRLMLRHCESYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPVTRDFVCICNDARNSTTDCAGALAKFSPIQSVFIGQTSSYTMVLFGWNR >KN540294.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540294.1:51970:55250:1 gene:KN540294.1_FG002 transcript:KN540294.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MILDSSSKEQDITTSNFVHGQKPNEFISMDTSKGVIQKDKECKSSKEIEGKNTGGIQKEKQSKSSMEIEEKESELAGLEIQKFFAILIYSFYTGNKDDEGPGRSNYLDTEIISQSNLTEINTAMLSSGITIELSEGERSIQSKQIQNMKQSILTEYETTFVNSGITDHIGLGAEDATVEKQSMDNSASMTEAFVDYSNKYSYDELSLFGSMNSVANMSYTSLMEQIISSQPTISDMQEQNSAHSTFVASPSAFQ >KN548550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548550.1:72:191:1 gene:KN548550.1_FG001 transcript:KN548550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSLFELEERIAGLRVSVAEKRMEVERMRREQTLRSVVDAQ >KN542084.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542084.1:9721:13949:1 gene:KN542084.1_FG001 transcript:KN542084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFTLKMKKLFDGHNGNGAAIYTKENLLSNILTAIPSDLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPYVKQVKLSTAGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKEAVQQKGLRDDTTCIVVDILPDKANLTMPHTKKQPGMGVFKNMFRKKTPCDSSSHTDREYMDPDIVEEIFEDGCAFLSKRLDSEYPVRNMFKLFICAICQVELKPSQGISVHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRGLICSAVLYTYYEASN >KN542084.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542084.1:17000:17887:1 gene:KN542084.1_FG002 transcript:KN542084.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MITASLPPLPATSSSSSGRRSLPPPTTAFPRPPPLRRHRHRHRLLYSSASSTESDGGGGSTNGSLPGLPPVVAEEEDDEEEFCPVECVTEFKTEEELARVLERARATGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDHGSPVVFLKHNVIDEYDEQSEVADRLRIKVVPLFHFYKNGVLLEAFATRDKERIIAAIQKYTAPSSPPAE >AMDW01144505.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01144505.1:314:1786:-1 gene:AMDW01144505.1_FG001 transcript:AMDW01144505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PDDVFIKAETIQECLNLPGIAEHEVHKLENGECSSVVELGRLCCRALHVQHLENVECLEDAMKAKLRDMTELRKLILSWGLDGTQNVDNNKLVLENLLPPRTLEKFVLNGYTSKVFPNWMSGISSYLPYLTYVRLSNLATSCLPAFGQLPNLRFFSIVSAPNIRKIGKEIYGEGGIFKKLRAFWLEQMCNLEEFWTTQNGKDDEFLIPDLHFLMVIECPRLSFLPYPPRSMYWHLDNCNEVLPERGFGSLASSILPFRMTISNCNFSPDRWRRLQHLATLEMFQVDGCSGLHTLPDVIQCFISLKELYLRSWEDLEILPEWLGKLISLEDITIINCPKLKSLPTSIQNLTALRELRLEGCEGLEISPELFGHLTSLKVISIQGCPNLTDLPESMKNLTFLEEIWLGGFNSLPEWIGQFICLKEINIYDSPNMTSLPESIRNLTSLKELYILNCPRLVERCQGEDASNISHIPRIILDNEIFIPGQALEGSK >KN544047.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544047.1:2646:4442:1 gene:KN544047.1_FG001 transcript:KN544047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMKLQHLKDITDQFSPGRELGKDQSHVLEWHDRYAIIKGICQGLCYLHEELENKPIIHLDLKPSNILLDDNLLPKIADFGLSRLFGEEQTRTCTTMVTGSIGYMAPEYCHKGEISTKSDIYSLGILILEIVTGEKNHQSSVDLSGQRFIHSVRNKWSRMSKITSRYPLLDTHSLQQVHSCFKIGLNCVEIDPKRRPPARKIVNMLSWECKKAEAMASMLLPNVSNGRFTSSVVDKESNVIGLPAHQ >AMDW01013005.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013005.1:26:214:-1 gene:AMDW01013005.1_FG001 transcript:AMDW01013005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFDFIIKNGGLAAESDYPYTASDDKCATAGAGAAAATIKGYEDVPANDEAALLKAVANQP >KN540990.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540990.1:453:1684:1 gene:KN540990.1_FG001 transcript:KN540990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NEQHQENTYQSELMIPMIVLSNGMSQAGTPSHHCGFDARCTAHVYPLGFGTCENENDADADASRSCDYADDVLPAAPAETARGSDDGHREETFAFAFAFCALVGATLMVAGCIAARFFFKSGGPRSGGGARAAR >KN540990.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540990.1:29417:29743:-1 gene:KN540990.1_FG002 transcript:KN540990.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAVEDAIESTYIDNPDQPRVMLAKCSTNCSRSDAVANLTLTLVDRCAATAIALVELVDGEDKDQAPCIGTSNPPKVMPTRCSTMVLNVNDNIVQALVPTPIIAGA >KN540990.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540990.1:9130:11133:1 gene:KN540990.1_FG003 transcript:KN540990.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLQVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMSSSANKVFLDSNDLLLNLQQLTVANKSKK >KN540990.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540990.1:3909:4744:1 gene:KN540990.1_FG004 transcript:KN540990.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRWIRGRAPPRGCVTGGVVACGYVRPSSRQSHLVVHGLVLGSSLRACGGVVAHMVAFVGVVAQQADFC >KN542097.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542097.1:9019:12075:1 gene:KN542097.1_FG001 transcript:KN542097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYKCKIDPSLVAAFLAYWNVDVHTLVTSQGEMGYPLHTMYDAMGIPISGRLYDEFIPLPSTVRGHVQTLHNIYVEECPLQLNEGPGIVTIATWVNHFFGNHPVPFQSFLPDSFADPKEPLYEGPNFRVEIRNGRPTGIMCGLEMTYVHTYPLVVYRAAFIAAWLCIYCVPVEEGNFIRPEVFTMAVEIAQGSRRAIGVTSMAFLYRALDNVYHQVAARQASASDCSSFVPGHFIMGWFASFWRGVPTSATLSCPVMNPPFIIDFRDYESMDIKSAHSLFWDFNDAGTTLRSLDFLGRSSIRFPTSDQEVELFDDRSPKAHRAISMAAVDFLVSCTVGSVTYRRGEVFDNLVYCPHRFARMFNYDQHVPNFLMITINRTRGSGGRVGTPKQRTAKSVSISPEHQEESAQTEIKTTSPLPASSAKPTEIVRVGPDRSGKDIEKIRACIANPDKYLTGDFSDLATLLDGGANPETSLCLDSPIFPGATQKDNVTSHLPEDDETRTEACADTGKGGTSHHASLTGVEELSNESKKLSMDVEQIVAQIRKAPNVDSGVGENSQFKEFHGNNPVTLPKLPDDVSARGLKHVWSEIKAFQELLKQRPIPKDIVLKEISINLDLWSNFFSKLPPEIIRLMEGLRVLKGALSEEAPLPTTKIDHHIDMLRTDQDKVESSCVALAALTSQYNVERAVEEGNKRECSRQAQKIKAEIAMLQAKLQQVEDDYSRAQCHQDVVIENLNSHLERHHQAKDRGSEIAAQLEQASVHQKSLQNIVDFTKPDEFGLSQYVYNIFDFFIGCSLDE >AMDW01040660.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040660.1:1026:1865:-1 gene:AMDW01040660.1_FG001 transcript:AMDW01040660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEASPPAPPEIPTRCHHCAGPLSKDMETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHRK >KN546037.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546037.1:223:741:1 gene:KN546037.1_FG001 transcript:KN546037.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWIEQLTHLKKFYLFRSKLKEGKTMLVLAALPNLMVLYLHSNAYHGEKLVFKTGAFPNLRTFSIYNLEQLREIRFEDGSSILLEKIEIFRCRLESGIVGIIHLPRLKEISLGYGSKVARLGQLEGEVRTHPNHPVLRMREDRSDHDLACDAEGSPVEVEATDPVRARSCR >KN540522.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540522.1:4737:7070:1 gene:KN540522.1_FG001 transcript:KN540522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MISGCVRMFAINLFRVFPPKVRSGAAGAAEADEDEPFFDPSWYHLQAVYELLLRFVMSPVVDVKIARKYMDNSFVSRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDRHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALVPLHKPKTVGVYLPQLTYCIIQFIEKEPKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMAEFQKCMVPLFRRIAHCLNSSHFQVAERALFLWNNEHLFDLISQNRQTIMPIIYPALERNTRWHWNQSVLNVTMNVRKMFLEMDEGLLLTCQRNFQEEEEKRAASEERRKLIWENLERNASFRPVTGDIGFSVLPASAPLVAPTMT >KN538918.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538918.1:63887:69912:-1 gene:KN538918.1_FG001 transcript:KN538918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MPPPARSPAAGVRRRLPLAFLFLPLLLLLLLLHLTSSPARSPNSPLLRGSGDGEPRQRGACDYASGEWVPDDDDPAVSGGLRYDQTCREIFKGWNCLANGKRNGRELLRWRWRPRGCELPRLDPLRFLERHRNTSIGFVGDSLNRNMFVSLVCMLRGASGEVRKWRPAGADRGFTFLRYNLTLAYHRTNLLVRYGRWSASPNGGPLESLGYKQGYRVDVDIPDQTWAEAPSFHDVLIFNTGHWWWAPSKFNPVQSPMLFFEKGIPVIPPLLPPAGLDLALKHMIIFVNKAMRPNGVKLFRTQSPRHFEGGDWNEGGSCQRDKPLSAEEVEELFSLDNNGTNVEARLVNQHLVRALEKSTFKVLNITGMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAANLLATES >KN540522.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540522.1:39012:39203:-1 gene:KN540522.1_FG002 transcript:KN540522.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSELAGFRDFMGGEDGDESAMNRKRTGGEIGDDLTAMAMATAPATATWIRRRRRGHGCK >KN540522.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540522.1:28920:33463:-1 gene:KN540522.1_FG003 transcript:KN540522.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLDDAPKPHFVLIPFMAQGHTIPMIDMAHLLAKHGAMVSFITTPVNAARIQSTIDRARELNIPIRFVPLRLPCAEVGLPDGCENVDEVLEKDQVMKMTDAYGMLHKPLVLYLQEQSVPPSCIVSDLCQPWTGDVARELGIPRLMFNGFCAFASLCRYLIHQDKVFENVPDGDELVILPGFPHHLEVSKARSPGNFNSPGFEKFRAKILDEERRADSVVTNSFYELEPLYVDSYQKRIGKRVWTIGPMLLCNSDRSTIADRGAKASVNQQHCMEWLDSMKRGSVLYVSFGSMARTVVSQLEEIALGLEASKRPFLWVIKSDNKPSETDKLFLPEEFEERTRGRGLIIQGWAPQALIISHPSVGGFVTHCGWNSTIEGVSAGLPMITWPHCAEQFLNEELIVNVLKVGLAVGVQSITNRTMKPHEVSIVKRDQIERAVVELMGKETDAEERRTRAKELKEKARKAIDEGSSYNNRLTTKMDCFRLFSRTSGDAILRPLSKEQVGKIVGKKRNLKRDEVSVPNYQSAQHDGHVFASRTAWSVLCKNENHQLSQTPAMASSPHDDGRQGRSQAPSKGRCGSAAPARVCRIQAPPTAR >KN540522.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540522.1:14201:15268:1 gene:KN540522.1_FG004 transcript:KN540522.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYDASMRALGERLLAMFFKALGLAGDDAPGGETERKIRETLTSTIHLNMFPRCPDPDQVVGLVAHTDSGFFTFILQSPVPGLQLLRRRPDRWVTVPGTPGALIVVVGDLFHVLTNGRFHSVFHRAVVNREKDRISMPYFLGPPADMKVTPLVATGSPESKAVYQAVTWPEYMAVRDKLFGTNISALSMIRVAKEEDKES >KN538918.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538918.1:159268:172115:1 gene:KN538918.1_FG002 transcript:KN538918.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/Swiss-Prot;Acc:F4KBP5] MKHLNDVRVGSKVARMYLVQANCVGGYKGRYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDDEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHSHRDSKRSEAKKVKYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPEAYHMGIMVVVQPFSVLNAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASSAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIIKTASACSADQIVTVKDAGAVQTNVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMLKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGVKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASETKQDAANRVEENEWDKLLRVSGFKFVVAQIPTFGRRMMHYLESCETNVDKWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHVIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLPGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKDQKRASGELTNKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPNNYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHESILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPSSPAVIKNNDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEGSEPASDAAATCPSRSEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGASSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >KN540522.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540522.1:42528:43703:1 gene:KN540522.1_FG005 transcript:KN540522.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSRDGRRGWIPPPPAEKLTDDLLVEILSRVPYKSLCRSKCVSRRWRRVISHPDHRHLLPRYHLGDAIVGFFYTDTFTNVAGEGRPFVDPSLPFLPKCEFLNVVDSCNGLLLCRCWRLADPRRFDYLVVNPATEQWVILPDSGWSDKVQTARLGFDPVVSSSHFHVFEFVEDGAGDADGNVDDDDDFDGHVKGVEIYSSVTGEWSHKDNGWDWEIRIRDESNSVFFDGVLHLITLEYVVAAVDVEGNAWRTIPMPQSLVEPFDGIGEGFIGLSQGSLYFVNTDHDEPYKVSVWVLEDYSSEQWIWKHTVSHLHLFRTKRLLFGHDYKVVSIHPEGNIIFLVLPHSKILMSYEMESREVCFICGIGGSSDWLLYLPYAPLYSESLADGH >KN541188.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541188.1:13105:14286:1 gene:KN541188.1_FG001 transcript:KN541188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNSNKRARDAAEDEADEAKRLRAEDLLDMLDDDTDAGGAAGDLASVMRSFEEEIVAGDVAGDVAPTTQPELGFLLEASDDELGLPPATASSSEEEAGAGEPEDAIGFGGQIWGTKMDGKFEIRSFQSSLECMKKVKLGNCERLIEKERIIEAGMVDLEMKSLCNFVERDEAHRKRW >KN538918.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538918.1:22709:26871:-1 gene:KN538918.1_FG003 transcript:KN538918.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSAPPSPAPMRAGVEPPTNIAGINGSRPTQLVVRTSPKDGAIWLFGRSCSQLALLIIVMCKPHYHVSFCRLYLCEHKYFLSLFVPYVASANIVMLFDTLQSMLRLRLLRQGFKVKDEVWTLPKPVRPRLPKHEHEAYTTSQCLTQAFLSKCIAGNQHKGGQVLAEKSTGSVERLVSSDGLGNEQNDGQNSNSENQCQEGCKNMVKLCTQNQEYSEPSSFVRYDEHSTVDDVSPSTISTLHELCTPSSRGDNLREEDNQSLNGSWEERALWISSLGWPAPVEAMSPDSWNQDEIGDIENHTQNEFNDRPWIDSPNSWRSLCVATQADSGALSGNADICNLLESKTVSKSLESDFSNKMNNMLLTILRKQRQQHMIDDFEGYYDERLYWRQNDEQQNADQRVSAQCSLAPVSHLHQQEGWQHSSFEHQHHENQNFLEMEVRVRSEMAQVHHEIYELRKLVESCIASQVKIQHSIKEEMCSALREAGLMPSQPDTPAKRGSCCICHQTQVDSLLYRCGHMCTCFNCADQLKSSNRSCPICQYPIEDVVRAHMNF >KN538918.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538918.1:74253:82262:1 gene:KN538918.1_FG004 transcript:KN538918.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFRAKWGKGYHFEAVIARKVLLLEEIGPSHSKLLKKLLFAGFNWLCNLRRGHLILFSSSFCRMSEDASVGASSSTVKAGDDPEATIEINIKTLDSQVHKLRVKKNLLKANILLVLLMKTPMLMVYYSNMLGMGLIIFLRAVNVAGNGLLGDISREIIEAMHSEGMIDDLARSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSGTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGVVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVGGVASIPSIVAQVTAQVANALSANQQGQVSSSAQNTVDQGSRSVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHADNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGTGARPAGTNSGQSRLQPRRSDMRVDDASDYGKSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >KN541188.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541188.1:28432:30848:1 gene:KN541188.1_FG002 transcript:KN541188.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFLNAEYENSKNSLNQVSLWDHIIPDKDKANLQVEVKSKYPLIDQGSSLRGKKVQLVLHWHVMPKAGVMIRDRMALSEFNLPDSYTS >KN538918.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538918.1:116327:117585:-1 gene:KN538918.1_FG005 transcript:KN538918.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFVNQLVKWDEKLKEDLDKMLERAKQANERRYFDSNGGMEELEPPPGQAEIPGFIDNQQIVSISADSYNSWVTIYHVLENKQRRLHFKGIVFKTNNIK >KN541188.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541188.1:19742:20755:1 gene:KN541188.1_FG003 transcript:KN541188.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQQQMLLKKVMAKKPKTKRLSGFGLKPSAAFSRPHVPSAAASLQPSRRVRVVFEDPDATDSDSDYDEDAGAASKKRYFELFIGKPASSTKQASPASTVAAYANIGKVGSTCYRGVRLRKWGKWAAEIRNPFTGHREWLGTFDTADAASAAYQSASRNFAEEKRRRGVASSASPASSATPTPTASSSSSTSAAPFAHPSPSSVLEATKPAPKPESPLPEQAATPLLVEATNETAELPDDPEFYKDILRGLQLPDIDPMDFRAGLDALDISDVPAYMDGELDVLFTEDMLLGDFAEEDDLDLDDIGDDFCEDFPEIPSGYDFGRGDMFRQVDFCV >KN538918.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538918.1:17605:18951:1 gene:KN538918.1_FG006 transcript:KN538918.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSDLPSDLLGLVIARLPFPADRARFRAVCRAWHSALRRHVAAPPQLPWIVLPEGTFVTVSDGGVHRMAFPESNTVCIGSTDGWLALHRTDNDDDDSVDGARTTKTRHTFLLHNPFTGATVPLAELGDILDDDFFEEFRVCKVIIRSHPNGGGHLVAVMTNHWDCPLILCQPGKGIWTPDSCTMPFVRVIDIAFFKDKLYLITTAEDLFAVDLADDKDGKPTVTSVERIIRQPRSPDGTTKYELEGDDYAVNGSWHLLESSGRLLMVRRECLIAAFVKDADHTRSVDVSEADMDAGTWVPVTGGGLGGQAIFLSELFNKSMPAPAHGEVEEDTMYFVDTPDVWDLKSGTRRPFTQGIGFFDLDRTWVFPPELIV >KN541188.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541188.1:18623:19384:-1 gene:KN541188.1_FG004 transcript:KN541188.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFTVGKGHPDAPEHRRGLVPAVEPLVHRSAGADEVEEDGCGDDGADEEHDYHQIGEGARRHIRVLVLVLPRPSSPTAARSSSSPPASHALAKTPTQNGERSKRGWARLLRRYLIPSHSSTHSSPSRAPPPPHHTDAWAHPTNWSHMSRGSGLCATRDILRLHAPDFRTVACDEHSDGWAITTSGPTRSDRAVTSRSKNLGTGATVRKHAGVLADMWGPGDVSPPLMGISTKWQRWGGFWGGFMTGGSEWAR >KN538918.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538918.1:173528:176108:-1 gene:KN538918.1_FG007 transcript:KN538918.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVSYITLTRIPFYSSTEALAGAGAATPISIPDHHFFSGELASGDESAAPEAENRMLLPPVKGTIQEPSPPRMDDAEANILASLGRVRLCAPAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEENQIDKTLLTLVKSDRSGLYIKDLHGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLRFLEAYEPGWTASQQDLELSFTEP >KN541188.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541188.1:31212:31514:1 gene:KN541188.1_FG005 transcript:KN541188.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSQHIGVWIERISVLAGRAAHAEEGVGALELLHRVSLDDGAALADGVALLDDVLAGVEPREGEVAVAAALELATVGLSVALALSHSCNQLSVQPFSD >KN538918.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538918.1:109933:113788:1 gene:KN538918.1_FG008 transcript:KN538918.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFFPAWVVVVVVMVVVVVLGYCSAAAAAGDGDALMDVKNAFVEDPGGVLAGWGGGGGNSSAFCAWAGVECDAAGIPGGLSRCRALTQLDLANNSLTGAIPAALGELGNLTDLLLNNNTLSGELPPELFNLTELKVLALYHNGLTGRLPDAVGRLGNLEVLFLYENDFSGEIPETIGECASLQMVDFFGNRFNGSLPASIGKLSELAFLHLRQNELSGRIPPELGDCVNLAVLDLADNALSGEIPATFGRLRSLEQLMLYNNSLAGDVPDGMFECRNITRLSNCSKLIKLSLDGNQINGTVPSEIGSLVSLNVLNLAGNQLSGEIPATLAKLINLYELNLSRNLLSGPIPPDIGQLQELQSLLDLSSNDLSGSIPASLGSLSKLESLNLSHNALAGAVPPQLAGMSSLVQLDLSSNQLQGRLGSEFSRWPRGAFAGNARLCGRPLVQDSVLGRAPHRRDGGREADRAHGQRHAPPRQELREGECGYSLKTTEKSDVYSMGIVMMELVTGLTPTDKAFGGDVDMVRWVQSRVEAPSPGREQVFDPSLKPLAPREESSMTEVLEVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEHKR >KN538918.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538918.1:47051:48126:-1 gene:KN538918.1_FG009 transcript:KN538918.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVQRAVKKAIAADSTLAPALLRLFFHDFAVGVKVDYWPLMYGRKDGRRSSMVDADQYVPMGRESVTDLIAFFESRGLTVLDLAVLSGAHTIGRATCAAVKPRLWDYAGTGRPDASMSPRYGDFLRRKCAAAGDGGYVYLDADTPTEFDNGYYKNLLRAMGLLETDQKLLPDSRTGEFVRQLAGARPELIRHQFADSMRRLGAAQVLTGDEGEVRLKCSAINSNSY >KN538918.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538918.1:6782:10568:-1 gene:KN538918.1_FG010 transcript:KN538918.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQECMEANHRLEEKIDMILEKLNEVEANRSKFFKEMSASIKATVAVLKDAPYPPPQDPPSSMLTTYSTMCSNNDHPRATSSSSHIDKETAPTVVLGLRDGEDKDTTGVAYINTPDYSKMVHAKCSTAGLDIDGGMDQAVVVFQIMNSVSKVVPISVEPLSIFSLRLTANLKQDRPTPTKCSMKSPLHRNMMSACCLEPANHHFTTCVMVQLDTLYCVPSNSNLEPSVNTSAEAAYANYWSINLLEVTRDGILIEAIYWTLALGVITWKVISDAVLIGAGKGTWTPDSCSMPFVRVIDIAFFKDKLYLITTAEDLFAVDLAADKHGKPTVTNIERIIRQPRSPDSMIDAFRWSDDEDDDGDASSTNDDGEYSSIDDERVVDGEDYDEVFNQEGGDREIVPVSDDDGIDDVSQQWHLTWKHRKYEEFYEEEYASIGTWHLLELCDRLHMVRREWVLPFILQTDHTRKLDVFEANMDAGAWVPVTSGLGGQAIFVSELFSKSMAAPAHGEVEEDTIYFVDTHDVWDMKSGTRRPFRRVSKIMDTDMTWVFPPKMIV >KN538918.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538918.1:144977:154850:-1 gene:KN538918.1_FG011 transcript:KN538918.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/Swiss-Prot;Acc:Q9FGY9] MVSRRFVVRQGSGGGGGEAEEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVGDGTDLEAISEGLRLVAITGEEEEEAAAAAEAARAQEKSDEELARMIQIVVEADGSRVDGGTDMESICEGLRVVAISEGDGEDIAAAEAARVQEKSDEEFARMLQLLMVFRVASHDLYIKVPFGSSLVHQLRFFNGTFELCYLIEKTQAHCRSYSFVLMALLAEYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKTYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >KN538918.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538918.1:183146:185782:-1 gene:KN538918.1_FG012 transcript:KN538918.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYNTESSNEEDMQEDDGEKQGNVAEGDVFKPVDIDPAFVPKVGMVFESEEDAFQFYVSYGCHSGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGATRSARKRGTKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKKQLKNSPFLQNPPLMLEAPDSSSAAVLSSRGGDSGIPLSTQIEFRTKIDRNRKLKLAEDHIDETKKVDYKVAYDNVEDDILCLCRLFQFKEVVEFGSVNSESKGYALSIMREIRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFNDDTLPISLSTKGWDVVQGQSKRSRKKKLATPNVLDTLKKKTKRAYNKRRNATANTLNTAVTTTESITDATNVQHNQVNEGWPLTSTGAHETFPYGVETISFDLSQYNSAPSFHWPESSSRSQLQ >KN538918.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538918.1:60813:61982:1 gene:KN538918.1_FG013 transcript:KN538918.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHAGENNAVNTVCVGSTDAWRALHRAAAAAAGTKTKRHTFFLHNPFTATTVPLAELEDVLDDAFFKWNEVRKVIIRSSSSSCTPDGDQLVAVMTDHYNFPLILCRPGKGIWTPDSCTMPFVRVIDIAFFKDKLYLITTAEDLFAVDLADDKDGKPTVTNVERIIRQPRSPDGMIDAFRWSDDEDNGDAQEDDGDASSTNDDGEYSVDGEDHDETDHTRKLDVFEADMDAGTWVPVTGGLGGQAIFLSELFSKSVPAPAHGEVEEDTVYFVDTYDVWDMKSGTRRPFRRVYNIIDKDMTRPTWVFPPKLIV >KN539463.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539463.1:48993:51374:-1 gene:KN539463.1_FG001 transcript:KN539463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQATTYKPHNGIIVDKVAVGLGSTCKLLHERAKCSHSNRFIKLQEQVYPRLILVAACHKRIGPVYASSGKGNSERVNDLSPRKFTVVSEYLFLYYSLLDVIQAAKPFLAYVILFAFEMILEIPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNRHEGSGGGSEDESLTESLYEMVQVLLATIAFILMYIHIIRGEELYRLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKFVHRIEELYRGYFRPHAQES >KN539463.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539463.1:74428:76863:1 gene:KN539463.1_FG002 transcript:KN539463.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNGASVVALLLLVSTASRAAGDGLLLNGNFEYQPSKSQMNGTRVMAEYAIPYWKITGFVEYISSGQKQGDMLLTVPEGAHAVRLGNEASIEQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAFKAKRGLVSLIIHNHGEDDDPACGPLIDSVAIKTLYPPQATQSKMHHISNMQLAMMFDPFAITDDGRNLTGRADNMLRNGDFEEGPYMFPNAAWGVMVPPISEDDHSPLPGWMVMSDTKAVKCVDSAHFAVPHGARAVELVSGLETALMQEVRTVPGRSYRLEFSVGDAGDGCVGSMQVKGYAGQGCTTVTYSSQGTGGHTRASLEFAAVADTTRVVFVSSTYITKWDGTLCGPVVDDASLACVSQQQPPARRLLRL >KN539463.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539463.1:27475:30347:1 gene:KN539463.1_FG003 transcript:KN539463.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLLLRRMEKGWRPMSRPLYPSPGSTSVAPPHELRAPRRCSPSYTSHKVFHRDVGFFSGWQSYNLQIYCCIHTSRPVNSQNHTIAEPQQKQEDVALVDESGRPKAKRKKLKGRRAVTRFLKSLRWKKKREFQRMTAEEKILYKLKLARNKEERLLVALKKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVTLPRKKALDKSKYRERLRALRRYIPRLEQELVDLHAQMKLAGDYKGQNAAEDITCISDSVNSTSAKEYSSCSVRKRSVSDLLSESIEGSGRLEDENYEVSADSASESISYSESEDLSDIFEMDSEEEQVQKSKEQTLYLDKLDKFPSENNDNEPDDFEEHLRKIASLSDRTDSSAKELKVSELDEIDKIFLRASSLLKKR >KN539463.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539463.1:53962:54225:-1 gene:KN539463.1_FG004 transcript:KN539463.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVATMLALVLGLALLLSSAAGPAAAQNCGCAANLCCSQYGYCGLGGDYCGMGCQSGPCYNSNVNGVAGGRKASVGAMENNNLNN >KN539463.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539463.1:60879:61558:-1 gene:KN539463.1_FG005 transcript:KN539463.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVAVVFGLALLLSAAAPAAAQNCGCQDGYCCSQWGYMCSCVVLNHVLGAMDEAWCCLILPCQHAEGNR >KN539463.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539463.1:81274:82680:1 gene:KN539463.1_FG006 transcript:KN539463.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTTPCVALLLLVGVAFAARSASAITDGLLPNGNFEEAPDKSQMNGTRVTGRYAIPQWEISGFVEYIGSGQMQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITVTPEIGEVPIQTVYTSSGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLNPPQRTKGNMLKNGGFEEGPYIFPSTSWGVLVPPMDEDDYSPLSPWTILSTTKSVKYIDAAHYAVPVGARAVELVSGMETAMVQEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYASRGSVKVTYQSQGTGGYKRGLLEFTATENRTRVVFVSMAYTTKSDGTLCGPVIDDASLVSVRSHRRFLL >AMDW01034376.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034376.1:15:500:1 gene:AMDW01034376.1_FG001 transcript:AMDW01034376.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPISCVLREQQVLPQDPFHQSASSCLPSLNKSRKTKAKTVAIVDTDRRRSSRINKLTGGYMSPDPKQGVGKPRGKSAKRLKLLAEQSGIISSLHPLPPEFFETDDNSDSESPPIECSIQMLQTMGTNLCGLKENEVSTQVLTTPAGMGSGSAATSTQLP >KN539463.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539463.1:23168:23770:1 gene:KN539463.1_FG007 transcript:KN539463.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPASPPPPPPKKMSPPGAGAGAGSKKKQQQQQADAAELACVFELFDRNGDGRITREELEDSLGKLGIPVPADELAAVIARIDANGDGCVDVEEFGELYRSIMAGGDDSKDGGAKEEEEEEEDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG >KN539463.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539463.1:91981:94571:1 gene:KN539463.1_FG008 transcript:KN539463.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKCTRCLALVVVVLVGVAAPVVFSVTDGLLPNGNFEHGPDKSQLNGTVVTGRNAIPNWEISGFVEYIGSGHKEQDMILAVPEGAYAVRLGNDATIRQRISVTRHMYYSVTFSAARTCAQAEKLNVSVTPEFGVLPIQTVYTSTGWDSYSWAFKAEHSAVWLSIHNPGVEEDPACGPLIDLVAIKTLPPPHHTSGGTMLRNGDFEEGPYIFPDTPWGVLAPPMDEDVHSPLPGWMVMSTTKVVKYVDSARHAVPSGAHAVEMVAGRECALVQEVATVPGRRYTLSFSVGDAGNGCIGSLAVDAYAARATLKAPYESRGTGGHERAELVFAAVANRTRVVFHGSNHHMKSDGTLCGPVVDDVSLVSVDKHTARRLLM >KN539463.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539463.1:87182:88530:1 gene:KN539463.1_FG009 transcript:KN539463.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSARSVVALLFLLVGSAARADSAVTDGLLPNGNFEDGPDKSQLNGTVVTGRYAIPNWEISGFVECIESGHREQDMILAVPEGARAVRLGNDATIRQRLSVTRRAYYSITFSAARTCAQKEKLNVSVTPEFGVLPIQTVYTSSGWGSYSWAFRAKHSVVWLSIHNTGEEEDPACGPLIDSVAIKNLYPPRRTKGNMLRNGDLEEGPYIFPDATWGVLVPPIFEDEHSPLPGWMIMSDTKVIKYVDSPHHTVPQGARAVELVAGRETALVQEVATVPGRSYRLSFSVGDVGNGCKDSLAVEAYAARATAKVPYESQGTGEHKRAQLEFAAVANLTRVVFQSFNYHTKPDGTLCGPLVDDISLVSVRKRAARL >KN539463.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539463.1:57946:59091:-1 gene:KN539463.1_FG010 transcript:KN539463.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTLTMLVFLAIGLSLVLSAAGVSVESVVTEAFFNGIKNQAPNGCAGKSFYTRQSFLNAARSYSGFANDRTNDDSKREIAAFFAHVTHETGHMCYINEINGANMDYCDKSNKQWPCQPGKKYYGRGPLQISWNFNYGPAGKNIGFDGLRDPDKVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVSPGGNLNC >KN539463.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539463.1:31439:32449:-1 gene:KN539463.1_FG011 transcript:KN539463.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDAALPAALPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCSANADHLPPPAPKGNSKPPASGVAAAAAGAPKPAVSAAAQEKGSPIGFKDLEWLDDIDLFHVQSPAKGGSTAAEVPELFASPQPASNMGIYKASGARQSKKPRVEIPDDDEDFFIVPDLG >KN539463.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539463.1:2072:19353:1 gene:KN539463.1_FG012 transcript:KN539463.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSLLVQCFPGLLPSKATSCVPIVSERDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVVASKYDGSLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGDWEELPTILSVVHPPAAPTNLSFSEDDFMDGCSSHDGSSIVGVDNCPRRSRKLSGSRAWERASETDQADGGYDVILISEVPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFKGSIFLEDFKVTSESPQTRFTICIRPYSDGMGFGDLNVSATLDVTCFAGYPHKCPKLRVLPEKTLSREDANRLLSLLVDQANIYSREGRVMIFNLVEAAQEFLSEIAPANDSTSMLAHHAFIEYIVNYEELCCNDVSHFHSVYVLVSCICLAVLIKAPWLGSGKVQQTTDVDVKVKLDNGSYHGVAYMHNSFDLYSQLYDGGSWSTQGPDPTTDSAGKIVGSQVKSNLKSKRKTIIEKSRVSSDEVNVAKGLLPDNAGQQNIMKHDVIRETVPSLHVVAEETENDSKTVSTSNRENTSGTPERSFSSVHQLEDSDLSDEDWNDEDSGSGSGFSNTPSFDMFDDASRNKKKDLILVHLLRLACASKDSLSAALPAISSELCNIGILSEWAKDLISKSPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNAAGSCTAESSMYSYDNISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYTSSFRVDDAWRLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFSTAMERHLVLSDLKQKGDSPLSWATQFPGQSNLLRRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQLEGSKKSTCKSDNSELLDSIIEVSKEVFKRHCAKRFQISPLHTLEGKFTENRGKTVKILTQGGEMLELCYELRTPFVMSVAANQILSFKRYEVSWVHRRAVGHSIPYRFLQGDFDIIGGASPIPEAEIVKVTLDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEFFSSTLVQYWPNKADRKSQWSLIRGQLLQGLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDEISAFLKCLRIWSIEEHITIDVLMPPSECYYTDLFFQIYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDRTYKSKPPGAVGVSIALEKFLPNSPSSDIGFPRIEPSISVLVCSKGGGGLLNERMELVAELWEANMKEDPSLQEQYEYASDHDIKCLVFITEAGVSQTELVKLYFQSWLPFCEPRLRTVASVQGSRQ >KN539463.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539463.1:65945:66906:-1 gene:KN539463.1_FG013 transcript:KN539463.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTPAMLAFLALGLALLLSATGQASAQNCGCQSNMCCSKWGYCGTGKEYCGDGCRSGPCYGGGGGGGGGGGGGGGGGGGGSGVSVESVVTEAFFNGIKNQAPNGCAGKNFYTRQSFLNAARSYSGFARDRTNDDSKREIAAFFAHVTHETGHMCYINEINGASMDYCDKNNKQWPCQPGKKYYGRGPLQISWNYNYGPAGQNIGFDGLRDPDRVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVDPGGNLYC >KN539463.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539463.1:97639:97863:-1 gene:KN539463.1_FG014 transcript:KN539463.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRGKILAVFLLLVALSSTILQTAVEAARRLPGEQHSAAHAMATSLHERARSLLMAWVAQLTAGPSPRGPGH >KN539463.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539463.1:84992:86378:1 gene:KN539463.1_FG015 transcript:KN539463.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTCCVVLLLLLSVAARSASAIMDGLLPNGNFEEAPDKSQLNGTRVIGRYAIPQWEISGFVEYIGSGQMQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITVTPEIGEVPIQTVYTSSGWDSYSWAFKARRSDVSLIVHNPGVTDDAACGPLIDSFAIKTLQSPPSTKDNLLWNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTIMGYTKSVKYVDAAHYAVPGGARAVELVAGMEAALVQEVCTVPGRSYRLEFSVGDAGDGCVGSMSVQAYVSHGSVKVPYESQGRGGYKRGVLEFTATDKRTRVVFVSMAYTMKPDGTLCGPVVDDASVVGVHSHRRLLL >KN539463.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539463.1:36528:38365:-1 gene:KN539463.1_FG016 transcript:KN539463.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEVANHSKRNHNESYFTGKAAVTSSSEEFGSMTSKKPRNTSPRGAPVSPKACCSRLIFTRLSCSFIFLTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPVPGAAPEDPDHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRRGVKQATEATILISWAPGNSDCSQVLVMEAPGRNALIMHL >KN546000.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546000.1:295:765:1 gene:KN546000.1_FG001 transcript:KN546000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPGGVGALATRQPLFVTVPLGLRRVRSRTGRRSYYTRRRRRPRRTARETTWTTGRNSIDARTIRSTSRRSVLLVLVDADEEDDRTWLGYVPEVQKSPVGSNHTQVLLPVADAVNIMYVEVGLHLQVRFAGDVAQEIKLEVDRTSSCVHVPAG >AMDW01039614.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039614.1:238:686:1 gene:AMDW01039614.1_FG001 transcript:AMDW01039614.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAARVVGNSVSEFQSGFSDIRTDLAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWVSILDPLAGQHGDRLEMFTSISSI >AMDW01063573.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01063573.1:102:686:-1 gene:AMDW01063573.1_FG001 transcript:AMDW01063573.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLSLHHREKDSNFCLFMPLFDALGGTLNPKSWQLQKEVDLGKNHRVPDFVFLVHVVDIVSSMHVPFAFRACSSLPFATHLVLLPLWPIAFGFMLLQWFCSKTFTVSFYKLRGFLHQTWSVPRYGFQ >KN540337.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540337.1:5949:6089:1 gene:KN540337.1_FG001 transcript:KN540337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVTLPEKEVILFLAHGEERKSINVLLGLPASAMDAFQELMDEI >KN540337.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540337.1:28377:28817:-1 gene:KN540337.1_FG002 transcript:KN540337.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVTSHRKEEEAAAASAVEERKKKKRPAAELAAETSSDEEAAAGTVMTTMLTATTYRMPQEQIDAVLSWEIPDGDVQPANMERIDALPMPEPRKQMLRAARLGVAACTNLIYARRREMQRYVREQLELRGYVEMDDQRQMIFPN >KN540337.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540337.1:18033:23366:-1 gene:KN540337.1_FG003 transcript:KN540337.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAGRGSPEAASLRVRELIRRHFLLHGAARVRELPAYEFCKQGFVLGKASEAGFGNEMGLYPFGEYISYTNHSFTIGEVKHLWRKNHCARTYGRDLSMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNAHARMKTAASSLFGSPGSLHARPNTFGELMQVIISPSQTVEKAVQWALKGSSPDIVLHMRMMTNRPVRARKAAVNCIKRAIEISHLKGTPRVAVISDTPGFAKDIKQDISEFAEVIYFDHKKFSRSFDLEITGSEKPVPLKNPGHKISQLTGIGESMRSLLVAITLVRSNFLPKLSSFLCRLWISVQEIGVQLQGRESSGTNFTFLSSIHSNLLVDGLSSQGGWGHIWNRYAGSLSCQHQQHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERHLMSYCRSRKDHVKRYRVLPSYNSSM >AMDW01039408.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039408.1:104:865:1 gene:AMDW01039408.1_FG001 transcript:AMDW01039408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGRCNTDTKLPYEDQILLEKTWKCYDQGCLEKAIDSSMVDDVDVDEACRFLKVGLLCTQDISKRRPTMSMVISMLTGEMEVDKEKISKPDVIRDFRDLKLRSKATSSSSLLTSIMARSTPSSSQETTRTSITVTAISDRD >AMDW01067887.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067887.1:11:311:-1 gene:AMDW01067887.1_FG001 transcript:AMDW01067887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAELFSARRLESLEHIRHEEVRALLRDLHNAGVAGNAVQLGDHLSMATLGVISRMVLGKKYVEKQPAGAETASSPPMTPEEFKCMMDELFLMSGVLNI >AMDW01016261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016261.1:122:253:-1 gene:AMDW01016261.1_FG001 transcript:AMDW01016261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAATGVLSPLVGRLSTLLEKEYAGLKGVRKEIVSLREE >AMDW01053806.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053806.1:234:363:-1 gene:AMDW01053806.1_FG001 transcript:AMDW01053806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGIRSGVLRSVAGVDGLAAAAAAARPTPLLPRVHLAGDGT >AMDW01034119.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034119.1:15:524:-1 gene:AMDW01034119.1_FG001 transcript:AMDW01034119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PELYFARGVDYLRDRAAPSFVKDRRAMKREYEEFKVRMNHLAARARKVPEEGWIMSDGTPWPGNNSRDHPAMIQVLLGHPGDRDVDGGELPRLFYVSREKRPGFRHHGKAGAMNAL >AMDW01033235.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033235.1:42:462:1 gene:AMDW01033235.1_FG001 transcript:AMDW01033235.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKLAVHFHDTYGQALANILISLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >KN541173.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541173.1:103:4960:-1 gene:KN541173.1_FG001 transcript:KN541173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGLGGTHKADFVILCIGRFSGVPNIPTFPPGKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTDKLTI >KN541173.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541173.1:24133:29065:-1 gene:KN541173.1_FG002 transcript:KN541173.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSGEWRLEVADGEGHIETHTADFVILCVGKYSGVPNIPTFPPGKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYGMVPDHSLFEALITCLIAITPKDHYKRLEEGSIVLKKSKTFSFCNEGVLVEGESSPIKSDIVIFGTGFRGGQKIKEMFTSEYFQSIAVGSASTTIPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVLEWEKFMKRYSRAYFRRSCIGFLHIWYNDKLCRDMGCNPRRKNGFWADLFDVHGPGDYIDLHHKKE >KN541173.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541173.1:20134:20806:1 gene:KN541173.1_FG003 transcript:KN541173.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSTTSPIHSHGTISVSVSRTWTQRYGGSGSAHICGLNAAEADEARIAAAAPGLISCSRYIRKYVEVEFTIRYIGIVKIAQV >KN539127.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539127.1:75540:76607:-1 gene:KN539127.1_FG001 transcript:KN539127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MEQPKPPSVAASAAEAQNPNAFTCELPHSIYALAFSPSAPVLVAGSFLEDLHNRVSLLSFDPVHPTAASFRALPALSFDHPYPPTKLQFHPRAASAPHLLASSSDALRLWLAPLDDLAATATAAAPELRSVLDNRKTSASEFCAPLTSFDWNEAEPRRIGTASIDTTCTIWDIERGVVETQLIAHDKAVHDIAWGENGIFASVSADGSVRVFDLRDKEHSTIFYESPRPDTPLLRLAWNRYDFHYMATLLMDSSAVVVLDMRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPATPGAVPAEGIDPVMVYDAGAEINQLQWAAAYPEWISIAFENKVQLLRV >KN539127.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539127.1:134815:135871:-1 gene:KN539127.1_FG002 transcript:KN539127.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVTEQLLSPRTGAGAGDDIGAGDGEGWSNALAKYEALASSLPSCHGLGSDPYRRYDGFWYPAHLMPATLAMRDTFVARPSDVILATMPKSGSTWLKALAFCVVHRGRHAPAGAGHPVLHSSPHDLVPFLHSIYEGRSCRVAPGHRLDAMPSPRILAARVGRGVRHYLDEIKPEGSTMAPFDEAFELLCDGVSPYGPMWDHAAEYWKESLARPEEVVFLRYESLKEDGVGSVRRLAGFLGCPFTGEEVAGGVPEAIVELCSMERMRNVEANRDGEHGVTWSSFKNSAFFRKGEVGDWKEHMSPEMARRLDDVVEEKLRGSGLSLIRHRQY >KN539127.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539127.1:34972:36347:-1 gene:KN539127.1_FG003 transcript:KN539127.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLSALKLSVNPCGDAEQRLVAMMVAALSSRVGTGPSQHLADLYSGEHRAACQLLQDVSPCFGLALHGANLAILDAVAGHRAIHLVDFDVSAAQHVALIKALADRRVPATSLKVTVVADPTSPFTPAMTQSLAATCERLKKLAQQAGIDFRFRAVSCRAAEIEASKLGCEPGEALAVNLAFTLSRVPDESVSPANPRDELLRRVRALGPRVVTLVEQELNTNTAPMAARFSDASAHYGAVLDSPSTGKNSLHGAAWSTVFRDQCYPATSALEKGPIRDVSRDKTATSEWRWHAT >KN539127.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539127.1:78870:79114:-1 gene:KN539127.1_FG004 transcript:KN539127.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSSSGSPPNTEALMDQIKAQLAQAYAQEFLEVTLDFAGGTSEK >KN539127.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539127.1:94096:95320:-1 gene:KN539127.1_FG005 transcript:KN539127.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTSSRFSKEEEDEEQDEAGRREIPFMTATAEAATAPTSSSSSPAHHVASASASASASGSSAPFRSDDGAGASGSGGGGGEAEVVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLNFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGTPAWMRRDPTLRLLEFPPHHHHGAESSAASSPSSSSSSKRDAHSALDLDL >KN539127.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539127.1:22930:25736:1 gene:KN539127.1_FG006 transcript:KN539127.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGCLWRRLKARGQRAEAVASGDGGEGGRFTKAASGGGGGRFLVSDISEWLDKYKVFKVEELESGTGGFDDEHLIQGSVYKAYIDGEVFAVKKMKWDACEELKILQKKNQLCAHLISEIRTCQYSVGCEPQQPGEAGGLLHQLGNRRLLPGVRYIHEHTWPRVVHKDIKSSNVLLDDRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAARVAAWMDPALAEQTCPPGSVASVVSVAKACLHRDPAKRPSMVDVAYTLSKADESFGDYSGESVSVASSGGIAAR >KN539127.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539127.1:85722:86279:1 gene:KN539127.1_FG007 transcript:KN539127.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSRRHDDGGSTTSVTARLFSAMPWQCQVLLLLPSDMALAPSTGFDGDGDRIGTYARFSGPKLRGLRIWPPCHLIYRTKRGRHNHVASVARSPDLTSGNGKMQRQCSTMKSFKGLVREDSSLNWPPPCYRDSLATTARTPTAHRHPATRSKARLLRLLVVLSFAFVLGFLDFCGKGNVWEFVF >KN539127.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539127.1:57207:64377:1 gene:KN539127.1_FG008 transcript:KN539127.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGCFVLAQLGDLFIICLVGGITKTLERYQHCCYNAQDSNNALSETQSWYHEMSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKLEVEGSTSNYRAMQQASWAQGAVVENGAAYVQPPPHSAAMDSEPTLQIGYPHQFVPAEANTIQRSTAPAGAENNFMLGWVL >KN539127.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539127.1:1570:2404:-1 gene:KN539127.1_FG009 transcript:KN539127.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRLLLQVLLLLGHLHRFLLWAFHAVGLGDLIDNPPGLAATEQDLMLQGRGGGMAEDY >KN539127.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539127.1:120154:126637:1 gene:KN539127.1_FG010 transcript:KN539127.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTFSICISECLLICVCVPKIVEMNVHMDCEGCEKRVRKAMSRLEGVSTVEIDMGTQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDGEYYPFAIQYLEDDTYMATHKYYAHGYNAPVIGSYPNHAFTHIVDDHALAFFHDDNRVTSVHTSDQIEYRCYQYLAFEDILHWDSERKQFSPSRDTEQQDIILHPMGQIQVVRIQYRVPEYGWTTQKVFQLLNFIVNGARCSIFAVRRQVQQVNPEIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLETEGLRSGFYTINGVIYVIQVLLWALLWHNPNPSMIVLSKLFIAGLSFSAALGFLLYGGRLFFMLKRFPIESKGRQKKLREVGRVATICFLCFLARCIMMCFDAFDKKADLDVLDHPILNFIYYLELFVDGKVDDAIAAFEELAREDPGDYRPVFCQCVLYSVLGRAAESESMLRRCRELAGEESVADFVMPVSPSPVDSEEAEAEPDSPEAETEKL >KN539127.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539127.1:67767:68915:-1 gene:KN539127.1_FG011 transcript:KN539127.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKPLIALAKLLAAIREALQLMLFVVGICHHPERSGRPAAVDAPPPDEDWDHLSYTEAPG >AMDW01036608.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036608.1:59:500:-1 gene:AMDW01036608.1_FG001 transcript:AMDW01036608.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSAALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLSHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSN >KN538823.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538823.1:161434:168918:1 gene:KN538823.1_FG001 transcript:KN538823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIIFLLIVLVQAFVASADTNAQDTSGLNGIAGSWENKPSNWAGNDPCGDKWIGIICTGNRVTSMLKTVKFRTVRDAFRGHSVLIRIAVPGLILQQELAWPPSFNHWNLEQAPKLNSCRLRLYRSLNSNKFTGSIPPSLGGLSKLYWFDLADNQLTGGLPISNATSPGLDNLTSTKHFHFGINQLSGSIPSQIFNSNMKLIHLLLDNNKFSGSIPSTLGLLNTLEVLRFDNNAQLTGPVPTNLNNLTKLAEFHLANSNLTGPLPDLTGMSSLSFVYLENLRISGEVPQSLFSLPSIQTLRLRGNRLNGTLNIADFSSQLQLVDLRDNFITALTVGTQYKKTLMLSGNPYCNQANDDAHCKATGQLNPALPPYKTTSNCSALPPQCLSTQQLSPNCICSVPYRGTLFFRSPGFSDLGNSSYFIQLEGTMKAKFLNLSLPVDSIAIHDPFVDTNNNLEMSLEVYPSGKDQFRALQTSKSNTNHIPLIVGASVGGAAVVAALLALTICIARRKRSPKQTEDRSQSYVSWDIKSTSTSTAPQVRGARMFSFDELKKVTNNFSEANDIGTGGYGKVKKPLLITSDTFLSSIDMFRTLKCSELVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIIHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGQITTQVKGTMGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITARKPLERGRYVVREVKEAVDRRKDMYGLHELLDPSLGASSALAGLEPYVDLALRCVEESGADRPSMGEAVAEIERGRGLLLLISAAC >KN538823.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538823.1:217363:221436:-1 gene:KN538823.1_FG002 transcript:KN538823.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKRVAHNIMPSLTALLILLQVHASTKTDDGHTSSSIAPVRCLPDQASALLRLKQSFNATAGSYSTAFQSWVAGTDCCRWDGVRCSGEDGRVTSLDLGGHNLQSGSINPALFRLTSLKHLNLSSNNFNMSKLPVMNGFEQLTELTHLDLADTNIAGMVPVSIGRLTNLVYLDLSTSFSIVYYDNENNVMQYTSDSFWQLLAPNMETLLANLTNLEELHMGMVDMSKNGEQWCDGIAKFTPKLQVLSLPWCSLTGPICASFSAMESLTMIELQYNHLTGLVPEFLATFSNLTVLELSRNYFEGLFSPIIFQHKKLRTINIIDNPWLSGNLPDFSQDSSLENLLISLTNFTGKIPSSIGNLKSLRKLDLGASGFSGMLPSSLATFKYLDLLQVSGLQLEGSIPSWISNLTSLTALQFSDCGLSGQLPSSIGNLKGLNKLALYNCKFSGKVPPHILNLTRLQTLLLHSNNFAGTVEITSFSKLENLSVLNLSDNKLVVVDGENSSLVFPFPKIEFLRLASCNISTFPNTLKHLNELTSLDLSCNQIQGAIPQWAWETWKGLYFILLNMSHNNFTSLGADPLLPLHIEYFDLSFNSIEGPIPIPQEGSSMLDYSSNQFSSMPLHYSTYLGETLVFRASKNKLSGNIPSSICTTVRTLQLIDLSYNNFSGPIPSCLMEDLTALQVLSLKENKLVGKLPDSIKEGCVLEALDLSGNLIEGKIPRSLVACKNLEFLDIGSNQISDTFPCWMSELPKLQVLVLKSNKFTGQVMDPSYMFGGDTCEFTELRIADMASNNFNGTLPEALFKMLKSMMARSDNETLVMENQYYHGQTYQFTATVTYKGNSMTISKILRTLVLIDFSNNAFHGTIPKTIGELILLHGLNMSHNALTGPIPPQLGRLNQLESLDLSSNKLSGEIPNELASLNFLSTLNLSYNVLLSNVFGSCNHVLKLEMDATPQHPERNRSIISETIGELVLLHGLNMSHNALTGSIPTQFGRLNQLESLDLSSNELSGEIPKELASLNFLSTLNLSYNMLVGRIPNSYQFSTFSNNSFLRNTGLCGPPLSRQCDNPKEPTTMPYTSGKSIDVVLVLFTALGFGISFAMTILIV >KN538823.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538823.1:137575:143559:-1 gene:KN538823.1_FG003 transcript:KN538823.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKNKLGEGMLHIQAVLDVVNPDHFKDHSVMLDRWFWKLRDAIEEAEDVIYLHKNIKNKEKPGRLEDQIEVEVVFQFHDFTDEEAKRSSKHCAGMFVRLCELELLIPVVFAGKSVAAPVIKEIITRALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVVNPDRFKEHSVALDQWFWKLRDAVEEAEDAIDELEYYELEEEAKDYKVSDWGSPLAKWKHKVVKSIKDVSVLDMSVNRFTHRGTLKRLKKAMDGLDKAAAGTTKFLEVVRCINGATSSSQKLGHLASSNDRQTGSMLTADKFVGRESEKKRIIEWLTKDTSVKESEIVPSANHVPIFSVVGHGGMGKTTLAQSICQQDEVVKHFKVIWITISTSFDATSVTKKILESATRGEPSNKHLEALQQDLKEKLNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLTTRMASVADMAAKAMGVARDCLTLQGLEEDENIELFNHHVFSGLNLQDYSHFKKTGEKIARKLGGCPLVIKVTCGHLQGNMSIAYWENFLRIHLEHFKGSEIDIMKVLKLSYQHLPTELQICFRFCSLFPEDHKFYKDDLVRMWMCSGLILQATNETLNFEDIGERILADLTRKSFFDLKSRVYRYGLDQEEYYVMHDLMHELARNVSYGECARITNAVRFNDTQDTVRHISISCIPQFSIDVVKKISQFKNLRSIVIDSISMVDKDTMYTLQNIIESTKSLRLFHSRLRIRFDFSSKFGKLKHLRYIDIFDISSKGIYHIAKLYHLLVLSFLFPSTVFPCRRSLLCVAKQERFMLNLYRLRHVGHGWDMYKFVGILPISRLESIRRLSIYHLKESGGNKVSSIKNLHCLRELDIQGVQNIKNHEEAIDAKLNEKQNLRSLSLEWSPHTGEHDTVDELVLQHLEPHTNIRNLSICGYEGCIVPFWIENLSVRNLVSAKLQSCINWEQLPSLGELALLKYLSLINLPKLQQIGQHSHMSSSSSMELLLPPSLQRLDIHQCPKLQELALLPPSLVLFQITDVNWTKLPRMGKICSESNETILAQLQEVAISSCPCLCSLEDSFLEQKQHMVALRNLHINNCIHLESASIPFEAMIMLRSLDIRRCPKLRALRGTGEKFLPSSLLYLQIKQCPKLQELPLLPPSLMSFKIKNVNWTKLPRMGKICSESNETILAQLQEVAISSCPCLCSLEDSFLEQKQHMVALRNLHINNCIHLESASISFEAMNMLKSLRIGGCPELRAPRGAGEMFLPPSLKDLYIRSCGDYERIVVVSLQEQQLINLSVLNLNNCSNLVSLPPSEVFSRNFTSLQIIIIQKCGNLSSLGGLESLPSLSELTIRRCAKLTKFGSSVNPYVSGGEEEHLVDSRSSLRISSLTIDLPSLLLVEPLKSLCHTEHLEIEDASQMKSLPDRWLLQNSASLKSLHIRKVKSFESLQPSMRDLTSLQKLTLSGVGQLLGSLPDLPTSLLELGIRKCGSELEKKFRKHGSPERSKIAHILRVRIGISTLHTHILACFEACLLDVIVNLLLLLCYPSHLLPSSCPVKSMMRCP >KN538823.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538823.1:188031:199894:1 gene:KN538823.1_FG004 transcript:KN538823.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGLQRRRWRASSGAEGRAESSGGGGYDAQDLNLGGGGSDARDFGGVTKMGVSASEAVGPMAAIAMYDRSVTQELDGNDDDCGGRSKCDDADEHNAMSIWEQKIPNGDLDSDHAAVIFCLVSHHLTDIYKYTIGVITFNAWFYLEETQAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVYDLIVDPRNFECLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >KN538823.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538823.1:207639:209239:1 gene:KN538823.1_FG005 transcript:KN538823.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGDCRRPDSDVHEGTAVASRPSSRKRKATEDLEEDEEEDLQPEEESRPPAPPAKGLSCLPAACHEDGIIPAFVIPGSKHRDGSIYRTDAHYWHGLYHLDDTRETRLEPMTPSYSEQDCRPCVTDCQWHIGCSMMQIFSLELAKISNFATGAAGAGAIQLYGFMAARDLLDPLRNYVFNRTRDDPFTIRDVSYPFIQMTGPKRGITMNSRVMIEYDLRIKRGENEQDDLVLIDGAATFSEITNFIPYIYRIHGDCGTAVDITLAHFILAIEATLQVRIYELKDGCGNLNLTITCRVSHMTPQIKLFQGPIDKLRDQNRFVVVATLNTLMITEFKLTHQHGSISRRFESRVVPHGSMSHCAKFADLATIDVEIFWSILPTSLQEGF >KN538823.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538823.1:121898:128989:1 gene:KN538823.1_FG006 transcript:KN538823.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQMDVDGESSGARALGDMGDLETYAFENESCGICRDIVIDRGVLDCCQHWFCYTCIDNWSAITNRCPLCKSEFQRITCTPVYDTTGANNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLCGDCSTGSDRTTIDASFSGRVSVSVADEAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSAAKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANLSLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGKVLSSSIISKEASSRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTDICNIDTEESLNRTGHSDLRASPRQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTIIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDLHDENGQNLHTVTSSQQSKHTGDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPADTNMPDEGAGHVGGAKEVKVPASDLCNHSCQANSSPTEGKKDSKIPILDSTEDRSCSNNAELNNRLPNRNKLSTDPAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >KN538823.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538823.1:203793:206251:1 gene:KN538823.1_FG007 transcript:KN538823.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSYSEQDCRPCVADCQWHIGGSMMQIFSLKLAEISNFATRAPGASAIQLYGFMAVRDLLDPLRNYVFNRTRDNPFTIGDVSYPFIQMTGPKRGIAMNARVMIEYDMRIKMGESEQDDLVLVDGAATFSEITNFIPYIYRIYGDCGMAVDKRLAHFSRAIEARAQVWSSSLFISTAQSVRDLSPWLCHMEGVREGVSVGDCGVDDEEVDQVKMLFLCFIWENRFCSDYKLLRVHQSLWVSFTYSHDCATFLLMWDVPVVDGNAIRVIWL >KN538823.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538823.1:147728:151638:1 gene:KN538823.1_FG008 transcript:KN538823.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKKKPVLYSEWMSSCSYRVRIALNLKDIDYEYRAVTRGDPDYGKINPIKYVPALVDGDFTVSDSLAIILYLEDKYPQHPLLPQDLTKKALNMQGLADGKMSANESLQIVQHYTDKGFRAIEKLLEGCRSKYATGDEVQLADVFLAPQIHAGVTRFQIDMSKYPILARFYKAYMELPAFQAAVPENQPDAPSS >KN538823.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538823.1:115477:116943:-1 gene:KN538823.1_FG009 transcript:KN538823.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHQSIRHQAFVGHESRQGCRMPQIPISNRTAIKRYAQFPNFPTAIRARAASGRRARRTTPMAIASGGSGGDRSRPSGSAGKKDTSAGASDAAANGGLQLRAAAPSSPRRGTTATASAGGAGGGGRRRMRQQDTSMNLKSTAKRQKSGRFERKSDKIQMEKYIEAEENQSLILGDLMDNAKLNVQFRYECH >KN538823.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538823.1:211494:215113:1 gene:KN538823.1_FG010 transcript:KN538823.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARCINIEIKRKHMVVTSLDITSKLILLLTNMDFNFSHFLIEEVNEMWAGLGYYRRARFLLEGAKQIVEKGKFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWQLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALALSNQNASVKVTDYPRVVPKAKPRSDFAAVCVVQIAQCLDECIADATGNDNFFLLIKRPEEGLLAGLWEFPSVLVNEGKTDALNRRKEMDKYLKQLLGIDVKRRSSVILREDVGQHVHIFSHIRLTMFVELMILNLKDDGDELCMEGQDSSKLRFIDENAVESMGLTSGIRKVYNMVKAFKEKRLKEQSQVPTRKRSRRLK >KN538823.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538823.1:155946:158744:1 gene:KN538823.1_FG011 transcript:KN538823.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDESLQIVQHYIDKGFKAIEKLLEGSNFKYATVDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMENPAFQAALPKNQPDAPSC >AMDW01040648.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040648.1:327:1827:-1 gene:AMDW01040648.1_FG001 transcript:AMDW01040648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TKRNQGCGRGRGLTLCLKVWNLPKGVRIPVLLNASGEPVGKEAGTLSTFLGALARDGILAPLTHQDWRRVPEKNKDVMYHIVKLKFDIAPAAEFWIVKCIGRKWKSWKALLKQKHYDTHETVEECLADQNPRVLKEQWQYLVAYWGTEKAKAASSRNKACRANVTTTHTAGTKSFARIIEEEKQKRPNNEEPTPADLFLLTHTHRNGKPMKKEKADIIARVREQSHKQAECSGSDSAAHKVGLESCSIGLRGKRGHRRKAVLQASFKEAEEAKRKAEDEAATLRKKMMAMEESQKKLQEDLANMKSTVSAMQKTTSTGDLSDGQTQNFPQVQNARSAHEILQPYLDYSALYNPSASPSPRFR >AMDW01033815.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033815.1:39:473:-1 gene:AMDW01033815.1_FG001 transcript:AMDW01033815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHDEPDKYAGIKGFIPKTMKKLKRLGARHDIGEQIQELKARIDEASQRRDRYKLDAVLDSSRTSTVETIDPRLPALYAEASSLVGVDGPTDELIKLVDDGDQSLKVVSIVGFGGLGKTTLANQVYKKLGQQFDCQAFVSVSQKPD >KN539571.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539571.1:73213:73731:-1 gene:KN539571.1_FG001 transcript:KN539571.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSKDHIFPNQRSLISQSSSPHNSLPKKEEIPLLSLLPSIHDHNKIHGCYKQEKEEEVMEDVDISLQIGLPSPDPNSSVIDFAKSNPLGATATTSQELDGDDDDDHKVEVEREEEEEEASDDLCLDYFSMGKLTKGKYWIPTPTQILIGPTHFACPVCCKTFSRYNNLQV >AMDW01030612.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030612.1:8:389:-1 gene:AMDW01030612.1_FG001 transcript:AMDW01030612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVVPSVRTYSVLMVAFGKRRDVETVLWLLREMEAHGVKPNVYSYTICIRVLGQAKRFDEAYRILAKMENEGCKPDVITHTVLIQVLCDAGRISDAKDVFWKMKKSDQKPDRVTYITLLDKFGDN >KN542346.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542346.1:286:4612:-1 gene:KN542346.1_FG001 transcript:KN542346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRASVAACLTCPLCGRLLRDAATISECLHTFCRKCIHEEFVDKESCCCPTCNIDLGCAPLEKLRVDHSMQFVRSKIFPFKRRKVENPEIICPVASPVKRKERSLSSLTIPAPQVSIQKCLTKRRTKASCLRNFPLHSTSRGSKDTSKKLGGWRPLGCQLKLGKDKKSLKSSVKDTNRTKSKSGDTDDGAPASKAKAREPFTRYGRAAKRTGSKKLLMLKNKKKRFKAKQPSKKRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPASIIQKYLAQKLNLSSETEVEVLCGGKVVSQGMTLHDLADCWLEKGPKSRMRSS >KN539571.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539571.1:8933:11356:-1 gene:KN539571.1_FG002 transcript:KN539571.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIVTDSFNSTFCVYDSDIATGYGVGAFLFLLSGQSLLMVVTKCMCFGKPLAPGGSRAWSIIYFASSWVTFIIAESCLIAGATKNAYHTRYRHMVYAGSWTCESLRKGVFIAGAVFVVFTMILNVYFYMYYTKSTSQAAKKINKTTPNVGMTGYA >KN539571.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539571.1:35105:38791:1 gene:KN539571.1_FG003 transcript:KN539571.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKITLSFYVKKGSKNAKVLAALRDAKISYAYESFPWEKKMKELLPVPAASCFLSMLLLPKSADGSHTRYNSLEDTLARADAWLASSQAAGVPVAFMNVQTEALLTKISGEMALSTVNMGSLSDLANMANASLYGFEDYHGVDIGVVRAVRLWYTPVAGEAALEIKLLPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELHRAARRASRLLVVSRVGGEKIEGYMFQHMGKNARIVIPTDNLPSKGLSSKQITAHAF >KN539571.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539571.1:25675:30264:1 gene:KN539571.1_FG004 transcript:KN539571.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGSYGEVGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLHIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALESTNVENAFMTVLTEIYRIVSKKNLVANEEVDSSGNSSLLKGVKNAGTYLLHNPQDFSSELEDKET >KN539571.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539571.1:19763:22976:1 gene:KN539571.1_FG005 transcript:KN539571.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAAASSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAAAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KN539571.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539571.1:44324:55901:-1 gene:KN539571.1_FG006 transcript:KN539571.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSGPTPRRRSTCSNSSPAPASACSASMSLPNGANSHETCFTQTADSLYFMHEGLQQARAPMFDIPSALEVMLTGNYQRLPLCIEDIGSQNKLSPDEEKRALQKLNASVRYKVLVTPRPKEVSNVSVADGIAVFHVDGEFKVLLTLGYRGHLDLWRILHLEVLVGDKGGPIKLEERRRFALGDDIERRMAVSENPFMVLYAILHELCISLAMDTIIRQTNVLRQGRWKDAIRSELVSDSTTGQTGSAPLMQLGQDGEYDLSGSRIPGLKINYWLDEKAGGSAESDSSPFIKIEARQDMQIKCQHSSFILDPLTDKEANLSLDLCCIDVEQLILRAIASNRHTRLLDIQRQLSKNVQISQSPKDVILKRDVEIAKDPVKKTEQKDFADCCGNEVLQVYEKSQGTLKIPKDILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDANDKTNTNACTKEALRFNKIDIGQIQISKSESNTNMFDAKLHALQNIGNCDDVMENRLPIQSGIEPLPLLPACSPSFSSVVDEVFEYEHGALAVPNHSLPQTTLQSTSHPGSLSVGFQGVGTRANASIEGASSAYSGSKFSPGVGLNSYLPSNLRHVQSTNAFSSSTVTKSSSIKLPSSNSNHELSSLSSPTEHVIADGSKSLQLVPASKINGSINLITMGSDGASRKRSISDLFLNLPSLQGLKPSSPRKRRRISESMESWSPLQAYSSDSQSRTSLTYGNILAERNNCVPATTYASVLLHVIRHCSLSIKHAQITAQMDSLAIPYVEEVGLRSPSSNLWLRLPFARDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELSTGSTTTSWGVGVRVANTSEMDSHISFDAEGVILTYSNVEPDSVQKLVSDLRRLANARSFARGMRRLIGVKLNDKLDDDQTSMDIKSQPVNKGNSDAADRLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHFVVEWESAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYNSMPKQMNNIPTQGPLANGSSSTTMHHAPSPTNVAATHLGSHNLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPLVGGSLPCPQFRPFIMEHVAQGLNALEPSFMNATQAGAHLNSSAGTLQPAPNANRVNATQGIGMSRPASGVANHVAANLSRAGNAMLASSGLASGIGGASVRLTSGANLPVHVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKENEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQNSAQEELAPPEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIEICLENHSGSVSDDITESTLAKSNVKYDRAHSSLEFALTFVLDHSLIPHMNVAGGAAWLPYCVSVRLKYSFGESNHIAYLAMDGSHGGRACWLQYEDWERCKQKVARAVETVNGSVAVGESGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >KN544421.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544421.1:10:925:-1 gene:KN544421.1_FG001 transcript:KN544421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQICVQQPSYLRKECRTGDPDLRPGRGHCTISWTPRMVENETMLERKGLLASVLGTQPHVSPQEMVEVVVNCGVLPSNFRVEVTTPEDYLIMFRNTRDRDWVLSRSKEVFCKEAAISFKRWDRRLQADSTKMQFFTKLSLEGLPQHAWEEEAVAQIVRELRGELVEMVTPADARVLTLFAWLSNPSMVPSVLEVEIPERAAGGPPRGGRFLGRTSIPRAPLYKKSLTYALIVHIEEIIDPTPLHYTSYMGSDDDDNGDVPRRVTFSCWAGRTDGTGPGRLNKAAVVLSVVHLGLRAAFQGAS >KN544421.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544421.1:2459:3068:-1 gene:KN544421.1_FG002 transcript:KN544421.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVFLLAAAALLLGLVSVSEAIQGTATFYTTYNPSACYGNQDNGRMIAAAGDGLWAGGKICGTMFTVRCVGATNAVPNPCRGGAITVKIVDRCPGCTATLDLSREAFAAIANPVAGKVLIDYQQL >KN539473.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539473.1:102879:103714:1 gene:KN539473.1_FG001 transcript:KN539473.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYPPSSSLSGNQVMEFFLLGGNHNMVVAADQSCRTVLYDPGEHAVRTMPALPYQVTLPATSVTVGDDLYILDMSSHHHGGHGGSFHGLIYEDGLNEDWRCRALPPPPLSDFEVDSYAVIGGTDIWVSTHGNGGIYCFDTVRHAWSTVATRWTLPFVGLAEYCHEHGLCSDLVNLGSGKFCIARFFRTDEDHRDGEELFAVLTAVEVERCDDDDGDAGGGANGGGLRMLKH >KN543534.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543534.1:1137:2841:-1 gene:KN543534.1_FG001 transcript:KN543534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAPYIVTKDLLKVMLTKCSTICSSSDTKPDLAVVAPVTCTTLAVSSMVLVATDGTTGNTNSDAPVCFKETHAKCSTVGLDVNGGNDQAVVAFQTNTCVLRGDQALDVSVEVFMPSSYMLTPINGCSIVPLISLAITNILLDINSGTADWQGPPSQVLPNTTSDVAIRKLVMGRINLWLPPTSNELVDTVLVLTCEMIQLSPWPPPISQIISKCHDIVVTYIMALISLWPPSDLSLSKCLSIYGNISPGGLILLSIVNLQGAGNCIKVKVPWLLSDQPRMTRLPHSSEKKNEVVLMVWWNTVGSKLLKLPRVFVHVLDLEDFLVMICKLPFRDSIQVAILNEFGDYKKKSNAVSPFHFKMIQAIIPVDDKRLANCIQELQTPWDPGGS >KN539473.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539473.1:92857:98602:1 gene:KN539473.1_FG002 transcript:KN539473.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSNIILRNAFSMLLETTEYLLNLSFLFQVAASMQKDVDAHVPSYPNLPSKLICLLHGVNLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDIHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIVVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVGWDESAAGERRNRVSIWEIEPVAAPFFLCPQPFFGVKRPRQLDDESEMENLFKRAMPWLGEEVCIKDTQNQNSTAPGLSLVQWMNMNRQQSSSLANTAAQSEYLQALGNPAMQNLAADELARQLYVQNNLLQQNCIQFNSPKLPQQMQTMNDLSKAAIPLNQLGAIINPQDQKQDAVNHQRQQNSIQVIPLSQAQSNLVQAQPPLIQEQQKLIMDMQKQLSNSHSLAQQQMMPQQEIKPSLQATPLLPTVQQEQQQKLLQKQVSLADVSGVAFQPISSTNVIPKPGGAMIISGATQSVVTEEMPSCSTSPSTANGNHLTQSTKNRHCINTERLPPSTAPMLIPTSIDAVTATPLMTKELPKPNNNVKQSVVNSKLPNVAPGPQNCMNNALQTDNLETSSSATSLCPSRTDGLAHQGFPSSNFNQHQMFKDALPDVEIEGVDPSNSGLFGINNDNPLGFPIETEDLLINALDSVKYQNHISTDVENNYPMQKDALQEISTSMVSQSFGQSDMAFNSIDSAINDGAFLNKNSWPAAPPLQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGSNVLPNQACSSSDGVNGWRPRCDQNPGNPSISPYDQFE >KN539473.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539473.1:65146:68083:1 gene:KN539473.1_FG003 transcript:KN539473.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFMGKRKELDQVVDGLSDFSLSGPAAKSRRLDIGLPPIMEEETPGPSMPFGHEMLGEEIHSVVSMPIIEDMLGGAMESHPSSEDKALVLYKPVDNTACIGPGTSNPSIIISPDLIRSLKNQAFLQRNFHGLEDKSPGCSNFLALVPWTPPQIATVSNWSASDSEKREFFEVPMESDEVDSMDVEESPEANVAAIDGESLHQWQQHCMTPSSLPNPSAPVMWSRKDEEILQLVSIHD >KN539473.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539473.1:129:6962:-1 gene:KN539473.1_FG004 transcript:KN539473.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAARKIAGTEVPIPGSDRVRWIELTVPSTPSPSPEGDSDPFVLVPPRAASGFHVVSSGDSQCYLAWRFHEEQQNVLEVIDLCASKEFPSSGLRLVFQEALCPFSFLCARFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDIFNHTKLLSYNVRSNDIEGQPSRIWVGDADDDQELIFLAVLRQGTVTGACDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTEVHRLQVRLLIIGKSFLHGIFTIGAGTIGHMGYFLILTEKFLV >KN539473.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539473.1:46647:47546:1 gene:KN539473.1_FG005 transcript:KN539473.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMRRNDDKIIAVDQAGRTIIYDPAKHSVGALPTMVTPKLWTLSLAVGKDLYVMAVSPHPDGVTERSFEALVRCKKPELDDCLWRPMPPPPYVHAADYKHVVGDTCAYAAVGNSHILLSSMRHGTYSFDTARATWSKAGDWTLPFSDHAEFVPEHGLWFGLSAADDGVLGAWDLSSSTVQQPEPPPPAHTGCREFAVPGPSRRRARPSHAIDLGEFTEVYSSHVIHLGDSKLCVAKLYKVSRRGTCTEYCCDFESDERNFAVLTGVEVVRGHDDELRIIRHKSQRYSFGERYVPTSVL >KN539473.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539473.1:99348:100671:-1 gene:KN539473.1_FG006 transcript:KN539473.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKLRPVNMYSSFLYVVQVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNRDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELKQLEPKTET >KN539473.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539473.1:14489:27410:1 gene:KN539473.1_FG007 transcript:KN539473.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLLGDDHNVCFFGEREKPSGMPRHETREDDGLPKKSQRIIDREDDYRRRRLARIISPERHDPFAAGEATPDPSVRTYADAMRENDLQKQKEQLLRDIAQKKKEEEEKAKEKKAVPEQQPVAAPKRRNRWDQSQDGDASAAAGSKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTGAATPAGYTPGPTPFGGDNLATPTPGQIASRGPMTPEQYQLLRWERDIEERNRPLTDEELDTMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEGEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGQEIISNLSKAAGASSGILLGATTLPSVMLSRLIQLYRVLLADPNGHEEYAYLEMQYWAVSVSCLSVLAFFVWHLRQSPSNGNSIASKYGLLLIILYPLAYLFHFLLKTDGGEAILVSSGLFLYCGDMLAHTLSKQNGSKSDTYFVAFTFATVLLADEVLRIIRSIYSRTWNSKLDRHSYSALLLLVPSWTRLIQDFEVHPFVWVFNYIFTDSREWLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAVIFQVWEIYPLGHIVHQFMSAFTDDRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILMSLLASSGYILSQGRSSRLRIRVQTCCVKGHSWKMCGQDSPLAKHRLLSLSGGNKASMYHGRYTNCTRKRTSPATKFMETYWTTTPQPYYVNCEYYVYGQTHTEFKLCKFGWGQTEIPMSKIANIDQEHNFDLDNNTVTLDDLSEGQRCELEQEVEVEIAELREHKLTRLQKTKNSVIAKQQKPINLELSANEKEVAMLDLSGNIGPFVLPAEFRAKEVDEHLDDGSRNRDDKAEILESHQ >KN539473.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539473.1:72212:79924:1 gene:KN539473.1_FG008 transcript:KN539473.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGDGGGDEEEEAAMAVVVRHLIAAGHDVHVVTGAPEFVFTTEISSPNLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNTIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEPAGWKLKKEWLPDGWLCLVAAHILQGTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSVSEKEIGVRPAPTYHEVNGSAESSFEDFEILHGDIQGLTDTMAFLTSLSGLVGNDPRSPEKQSRERVAASVFFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRSNPMKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIPPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCSPIQSGNTNSDEYEEHGVDLLKSEASLEYLCNLPPHRFYDLWQNFRYEAVYARDIPEIITGDAFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTVEQLSALGELMYQCHYSYNACGLGSDGTDRLVNMVQEVQHRKTSQDGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRST >KN542029.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542029.1:829:4219:1 gene:KN542029.1_FG001 transcript:KN542029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRNEEEMVTNDSDPLLKRENEAESSSQLTPPKPATLSALEIEDEETDGSSAGCCRICLETDSELDCNKYKSCNSTFCVHVYHVAGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLILHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVVFVVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKARTPSDQCSSNDNAPTKCLNIWIFRISL >KN542029.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542029.1:6452:14651:1 gene:KN542029.1_FG002 transcript:KN542029.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSLSCPPSTSVLSCPRWSIWMNTPVRRHGRGSGAFSGDSSRWISTLAFSKKMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRRSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKNTNICANYLHDRLKELGRELLHLENIVAAEALKEVPGAHHLTGLAEGSDLAQEIVRGTAHAPGIAAGTALARGIAAGTARAQGIVAGTVRAQGIVGAALHMTEILTGGVETGPGHRLPTGTTKRTSKFSLRVFVGLDFCFRDIIEYRCELNGSVSDSVVWSRLAAWLKFG >AMDW01037344.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037344.1:416:668:1 gene:AMDW01037344.1_FG001 transcript:AMDW01037344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMMSSASTVRPWLMMMMISAIVTLLFQVQQLAGAQLQRQVAAVFVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPASKPT >KN545475.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545475.1:98:704:-1 gene:KN545475.1_FG001 transcript:KN545475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLPDTGVLGAARVCLLRQLVLVLRSGKHGSDRALAMVALRSFMNDREGMHEITTYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKEINHADCSSNGEVTSIAYFKGYIFSGHSDGTLK >KN541634.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541634.1:1:7955:1 gene:KN541634.1_FG001 transcript:KN541634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PRKKPRLPAAAPTPTPRSTAPFAPIPHRVLLAGALSLPASGSPVACRSHCLSLSDSPPPAASASASVCCYLLDFDPAAVGREIHVLAWNYLPSLHHGVAGVLEVVRWRLAEEGTPAPGSGFLKTIPLDCVDDEPDSGTHGHVFGVVRSVSVVFSVPRAGQKSNADGGDNSVGFIAEMMCCACRRCRVLPPESDQDHKFELEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRLVSIDKKGSYAMLVSTRKTMLRWCPSYPAVLKLDGSPGDCGGVYTGVVTGIYMQRMLVELDKTIWLLIDDQHLAPSHSLRVGAVISVKNGRAICLKLAWTRTLLLGTCIKTSITINSFSLVDSKSYIKAENKGLLGKFVDSFELPARFWMLILIPCFKQKFTKLFSEKEILGSKNVIPFANFICKCESLWILTMLKIWNGTEELDKNQGAHQYLCDGVSYPGTAKKLISSSDLSSVLVGRIKRSSVSGTLQLVDATGCIDVVIPDLPPNVCMDSIYEINDYKVVLEGPMAYLDPYDITDPLSCKAICEHLSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINACAKMFHLLKLTHIFPANKTFQHQNLSEPSLYAEAVILPYDLKFTELDECSEHAESFRISCIPSLGNSKVYTAKPCNILCTLSFGTTNLCGSLVSIYSCGSVSTIVNDTVCGERDHTFRILLEFKDGRFKYQSLRIGGYYLLECPTESMNYSMKGCGCSQVSKVSLGYQSRFWSLAITFNGNINIKQTIGDQSIGVSSVKMDEPFSRKAVNNEIKLVHTWNDFHQYCDFHLKFYCDEKMDEYNYFCDVFNELCSYSNEVLSISSFIKTRVPKMPSGSSNLQRDKLVQGDLISLQGKVENIHPYGCKKEKFMVGNEKSSICIHVTDDNHRVRLFGYLSKYGYPVGLGPGASATFHRVLLTHKHELFVTPLTYIEVSSISLADLNEECVVTPPISDCFKYGSLGRVSSCLLFLSHKHLAENRAIQFQCRVVTIHVLVLDDPQPSKSRCQTINVKVRLAGFIVDASMHCLACERRVRCVVGGKMELETMLDWLLVAV >KN541534.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541534.1:56:868:1 gene:KN541534.1_FG001 transcript:KN541534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAFNHSLAQSVYRVLSEVRFFRSYAHHVAISDATGEMLRDVYQIPSRRVHVILNGVDEAQFEPDAALGRAFREDLGLPKGADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLVAGKGPWEQRYMDLGRNAKVLGAVPPGKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVLATRFPSIKGSIVVDEEFGYMFAPNVESLLEKLEAVVEEGARRAAQRGRACRDYAKTMFAATKMALAYERLFLCVKNDTFCAYPAEFD >KN540700.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540700.1:856:3945:-1 gene:KN540700.1_FG001 transcript:KN540700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGLNIALPEGRTYFRRPTGRISDGRLVIDFICESLNTPHLSPYLKSLGSDFSNGVNFAIGGSTATPGGSTFSLDVQLHQFLYFRTRSIELINQGVRTPIDRDGFRNAIYTIDIGQNDLAAYMNLPYDQALYGHGGRKFWVHGTGALGCLPQKLSIPRDDDSDLDGNGCLKTYNAAAREFNAQLGAACRRLRQRMADAAVVFTDVYAAKYDLVANHTLHGIERPLMACCGNGGPPHNYNHFKMCMSAEMELCDMGARFASWDGVHYTEAANAIVAARVLTGEYSTPPVRLASLVNSTAVPNDG >KN541534.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541534.1:17443:26434:-1 gene:KN541534.1_FG002 transcript:KN541534.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLTQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPTPAESKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVTSEMGRSRNDPLEQLVVKQTKKHISTPAPHDSYSILSVSSSGKYVAVIWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALPPRMPLIVKGGSSKKAKEAAAVAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSRAAAEHGDLALITVEGPKTTTSEKIALRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQNVCDYQTLTRIFYFQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGAKPGLPNAAQAPTAAIGAPMAQGTPMVQGTPMVQGTPMAEGTTGAQAAPTAQGAPAQTQNPEEAKPSESTAAPDNSEKTATPDNAEPTAAPGNVEATTEPAAAPGNVEGTAAPATDTTSNPDATSGAPAPAADSNGADPPAVTPGQVTNGAPSTETPETADKPSSTEPSPAPPIPNVPAV >KN541634.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541634.1:19179:20573:1 gene:KN541634.1_FG002 transcript:KN541634.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVMILKIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVRMDWPVRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDIDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGTPEQNLIVRMQQVSGDRKRLRKVVDRDMARSSYTPESVSMFAGLAARCVCFESAGRPSMADCVKELQFIMYANMKI >KN541534.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541534.1:13396:15804:1 gene:KN541534.1_FG003 transcript:KN541534.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETRPSRYRRLRKASDLSTVTTASPPSKRICMGKKCTSYEDRISSLPEEILLMILGKLDTRTTVTTTILSKRWRDLPRCLPTSYNLAVDDILPPRYHRLKRLNMEAKAAYETEKIVHKLTDIYAIKARHERWMTTIRPLTAILERYERRAMRRYVKRVNAFLLAPKNVRQRQPVRKLRLQTLGRWHENIDEWITTAIAKWGVEDFELVVDGFCLGYDLKQLDTYRSLRLERLALSNCEAVCAWNCLTVKRLTKLSLSEGSFMGLLNDILANCVQLTDFRVSSSSYYRAKVRIYAPSSKLKNLQVDRCNFGKIYLICLPCLETFVCRGRPTKLSYGEVPQLRHVRLDYIQTEDNDIDDESGTKRTYPPSKFFKKIPKLDSLVLQFKGTQMWIEPFVVLSEFSQLKKLFIANVPVNWDILWILLLLDATPALESLHVHIDNNSEDRSAGDLCASLDVGVQQDRYRHLKELVVAGFDGLGWQAGFVRLIMKRSPLLRRVHLLDGEVKDDEGELGDLQIVPRHREWHECERAEVLDDLTTGFRWPPQIILE >KN541634.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541634.1:8625:10687:-1 gene:KN541634.1_FG003 transcript:KN541634.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNAAAGGRSSTPPPPPPPMYTDEFALEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSKLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >KN541534.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541534.1:11311:12660:-1 gene:KN541534.1_FG004 transcript:KN541534.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSLPVDILNECMVHLVVVDWIRFGAVCKAWKRAADTASSLRLRPKAEPPWLMFPADDGDTPQHLAVAGVFSLTDGRRRSITLPAPPIQTRVWIGSANGWVVTADGECELHLLNPISGVQRPLPSITTTGYFDALPRTDGGKARFLWNVASFRDTHRHEGYSVLPGTRSFVEMSAEEIQSSRLLKAVPLFDPSSGKYSIMMMHNPQNKLVFAREGDPKWVPLRAQHRYEDVIVYHGRFCTVTMEGLVQTWEHDDSTMTFNPKSIAPQHIEPEEDGIPLYFKKYLAESPDGNLILIWREHYSERCDESDSEDDVIIERDEDEDDYNISCCCEEIEELEPDPTIRFQAFVLDDEHPIGSEWREVHDFGGASIFIGCNSATFFSSGEIPGLAADCIYFTDNSLSFVWESKELERDIGVFDMKDKMVKPMPILAEHIKSWPPPIWVTPSMT >KN540700.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540700.1:25781:27054:-1 gene:KN540700.1_FG002 transcript:KN540700.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGKGTIEGNGEEWWNLPCKPHRGPNGSTLAGPCESPALIKFVASSDVAVQGLRMENSPQFHLKFDGCSRVLVDGLIVSSPASSPNTDGVHVENTSSVRILNSRISNGDDCVSIGGGCSGVRVENVTCVHGHGISIGGLGARGARACVSNVTEGRPESLQDQLASC >KN540700.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540700.1:19611:24937:1 gene:KN540700.1_FG003 transcript:KN540700.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASTAGLALAEANINWQRLDKTRFHVIGAILFTAQQGVLHPTAVVKTRMQVAEGGLSHMSGFSVFRRILRSDGIPGAFRGFGTSAVGALPGRVFALTSLEVSKEMAFKYSEHFDMSEASRIAVANGIAGLVSSIFSSAYFVPLDVICQRLMAQGLPGMATYRGPFDVISKVVRTEGLRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGIDSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGRGRPSVMKTTRVLLEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >KN540700.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540700.1:8160:11533:-1 gene:KN540700.1_FG004 transcript:KN540700.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTPEQICGQNVYVPATANPYPYGYTEVGSPTEWYNNQSSLGYDGQDIYFPGFQTEGTQCMYYAAPDNGSLHPSYSPYPINSSFIVDGSYLPLEYVGDAADQTCQIVPSPYYVPTILPYAHDNVLGNTTAPLHPPVYVPTLPSYTVTSTNHALPSVPPVATKNDIIANPPIQSTIVSSKQFLDHASDPKVQLRNPIPLKKELADGSMMPVKYPHTSQKFLLSLSELTNLVLNDQAFSNLPERRSAAKHSSQEKFSVNNGSGFVGSNVQRWAAAEKFEPNSNLSGRIGSASPKMKLSNVDGLGGADKPCGQKSSSIIAKSYTSRLSVGDPEGTIVIRCNQYNSDDLRVDYPFAKFFVIKSIGEDDVHKSIKYGVWSSSSSGNSKLDIAFKDANRIAKRNSTKCPVFLFFSVNGSGLFCGMAEMVGPVDFHKDMDFWCQDKWTGSFPHILLHNNENKPVTHSRDTQEIPYVPGISMLKILKAIKVKECLLDDFMKYEEDEARSKHYFRRSKLSHNAPDFVPVAQRRKDVTDVRQPKSGNVLIDRTPGIQNMSVKPQGSNAIKPQDQCLQVVEKQASDDGKENRHQENHNVRQANDKVVKTGTKQPQASTVKTSVDGKQQYWKKVEFPRQNPNSAVHGSSKAHEKHLNESKAPEKHSNGANCSSATVSLKTAREETIVARVSSLAISSQNSSVDVVKIGSMPVLVNKANV >KN541038.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541038.1:8099:9530:-1 gene:KN541038.1_FG001 transcript:KN541038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISAIASDLTSRFLSFLIKKYTVTTVKDDKIKRMKELLLRVHAVVEEADGRCITNPKMLTQFKMLAEIMYRGYYMLDTINYKPPNNEVRRLSTMSVSLKRSRTIFGTPRNLAIDNELETALNNLEAAVPNMNEFVVMVGKKALISHVCNDERIRSYFSFILYIIGDSMGRIEYAKLKKETSLIVCEYFTDIDEDDWINFYSTISQMTAGGSKVVIISRIENLARFGTVKAVRLNSLSKEVYNYLFKKLAFGSIDEKEDPKMASIANDLAVVLGGSLITANVIADLLRRNHDVHFWLHILQRFEGMVKNNLSKYGEHPKDIIEKEQPVDATRFVSSYPTRLHIMPPRVERDDLPNIGMPTIFFGDLIAGSVAIPNGDFEIVTWKSRIPPYTKYVSAGTSFVDDKNGFKTMRKRRSSS >KN541038.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541038.1:27801:29929:1 gene:KN541038.1_FG002 transcript:KN541038.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELIISSITGDLTSRFISFLINKFLYNLFSEEKVKRLEQLLRRVHMVVEEADGRYITNRCMLAQLKTIVAAMYSGYHVLDAIKYMKNNEGANDLVNDSSSLFFATPLKRSRTTTVCPETKKKFSMELQGALQNIESVIGDINEFVILLAGCERMSHRPYDAYLYIDNFIFGRHVEKHHLINFLLENNIPGPPAVIPVIGGHKVGKRTLVTHVCNDERIRSHFPLIFHISGANLSRITENGNLSARTLVIVEFISDVDDNDWITFYSSMANLNRGNKVIILTKIQKLERFGTVKPITVDRLVYEEYRYLFKTLAFGSANPMDHPQLVPIVEEFAMLLRGRLIQANILADVLRKNLNVHFWLFALKGVQITVKKYLSMYGTHPHELFDQGHPVHLTDYVLYPADTTENAPNNDLPKLTFGDLITGRIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRPSSLPLPSYKAEASSSCELSAISHLGSYAY >KN541038.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541038.1:21177:22604:1 gene:KN541038.1_FG003 transcript:KN541038.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITGDLTSRLISFLVNKFSDHTCSEEKVTRLEQLLLRVHMVVEEADRRYITNSCMLVHLKMVVTAMYSGYRVLDTIKYMKNKDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANLGQITENGNLSARTLVIVEFFSDVDDNDWKTFYSHVTNLSRENKVIILTKIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTNPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGTHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPKGDFKLISWESRIPPYTSFVHTACFCLSLSQDQPESPLSGRKHRRPFS >AMDW01040661.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040661.1:538:702:1 gene:AMDW01040661.1_FG001 transcript:AMDW01040661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEKKKRKAQYSAAVKRKEAERTERKMAAVARERAWIERLADLKRIEEGMKAATA >KN543663.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543663.1:12:2615:1 gene:KN543663.1_FG001 transcript:KN543663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGGVGKAKAKAKPVLGSFMTVFMHADAADVVLMVLGLLGAIADGISTPVLLLATGRMYNNFGGGADNVQEFRSKINVNARNLVFLAAGQWIMAFLEGYCWVWTAERQASRMQARYLRAVLRQDVEYFDLKKGSTAELAWDCRALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSESDTGEELANVTGEVEFRNVEFCYPSRPESPIFVSFSLRVPAGRTVALVGGGSIVSLQQTCQSTIHLELH >AMDW01040310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040310.1:289:1367:-1 gene:AMDW01040310.1_FG001 transcript:AMDW01040310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLKSSPHFSALHSANRAQLHGRCRATLGGFHDPRSNSSRCKDPFYDSEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTN >KN544355.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544355.1:116:2859:-1 gene:KN544355.1_FG001 transcript:KN544355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPATARLTHSKAASKQRVDFADFSGLRPGSCSISHAAREASFSDVLGSQLVARATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHERENSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAAAGPLSGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGY >KN538847.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538847.1:203336:203752:-1 gene:KN538847.1_FG036 transcript:KN538847.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCETLVDLRENPVEIEGVGRQIGLQAS >KN538847.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538847.1:178147:183969:1 gene:KN538847.1_FG039 transcript:KN538847.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CRABS CLAW [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/Swiss-Prot;Acc:Q8L925] MDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPAVQPLSPTDHPLGPFQGPCTDCRRNQPLPLVSPTSNEGSPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVAAPIPHQERANEQVVESFDIFKQMERSG >KN538847.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538847.1:111162:113417:1 gene:KN538847.1_FG043 transcript:KN538847.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding AEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSIDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYVEEGTTEEPMGRESFQPLWQKYRVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLADYAGVRARWSHVQDRDYGFAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACAVDNCPTTTLAS >KN538847.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538847.1:189033:192835:-1 gene:KN538847.1_FG044 transcript:KN538847.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEELYFVNKFCTSFSTKFKFSMYKTLERYRSCNYNSQDAAAPENEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEVSLKQIRSRKNQALLDQLFDLKSKEQQLQDLNKDLRKKLQETSAENVLHMSWQDGGGHSGSSTVLADQPHHHQGLLHPHPDQGDHSLQIGYHHPHAHHHQAYMDHLSNEAADMVAHHPNEHIPSGWI >KN542981.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542981.1:358:5273:1 gene:KN542981.1_FG001 transcript:KN542981.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VALSLAISSLKARQKYSIGSLSKAPLLLVGWSTFWPSILIPSSRSRAWFFIRVELGTPVQFRLSGLLLEFLRFNDKSRGDALLSPVTLTPKSMAQQPTSILCCSRGGNRRGLTLELLMATSECGAAQGPRFSSPPPRSELHMPDLLPSERGAKVQKAKGRRFARCSCASVRALRRRNSFELGCICTRSLDREDMLRFVPASHRSSSSDFASLPAPGDSAGGGQTMIQSQGLVFRKLLVMDPNRSSGYYDFLNDPDLAHPFGQASTQGDFSHPHNDFPYAHAQFPLFSTQPPPAAAGNGGPTAASRCGVRQRVQANPVGQDDGKARMYYTRDEDLRLKLEHVLVIMFADVITNEYYAFVGKPTRLATAIELAAPPPILFAIATLPGRGASSASLFGFKNPLQSSIDKKWRVEKGGGEEEFGEENAIEEWRGGRRPNGTDRPMAVGGLWKTQG >KN540061.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540061.1:5222:9770:1 gene:KN540061.1_FG001 transcript:KN540061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMTRRRARLLLLQSSSPAFVVLSVLLLIVELGAYINGWDDLAASALALPVIGVESLYASWLRFRATYVAPFIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPNPKSPALPDAEDPDAAYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQTLIREEVLKWQQNGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALDDSGGWMERTTVEDMDIAVRAHLRGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHQKTESAPNLDAIAKEQSAPRKDVKKKHNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >AMDW01031876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031876.1:122:408:1 gene:AMDW01031876.1_FG001 transcript:AMDW01031876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDPRHRYGHNLHIYYGVWSRSESTEPFFYWLDIGEGKEVNLDRCPRNKLQSQCVKYLGP >KN540061.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540061.1:55062:57693:-1 gene:KN540061.1_FG002 transcript:KN540061.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVNLIATGKGQKAIGNWVEVFVSTAVGVFVDKTIHINTYDQLFQGLTNASHDAKVKELLVSVCNGAVETMVKTTHHVMSNANDKSVGSGSSGAAEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFALWKMHAGAKKGGNTVMDSGLRAMQYMTDKSMLDDVLKINTGVFGLHCCAIRGHTKNIQKKATGSFFAVAEKEATTTCTREAKKERRIPEAKLGDTGKANKANGPFFRMAQASVQYTPGL >KN540061.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540061.1:42464:44279:-1 gene:KN540061.1_FG003 transcript:KN540061.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQEERKLFVAGLPQQAREGDLRGHFARRGFGFVEFADEAATLRALADEEMPNHVFRGRKVDVKRVERRHAHKQTSPSIKNQNDSVQKNQFIFQKKVFVGGLHESVTVKDLISYFEKFGTITDAVVMRNRITNRPRGFGFISFDSNEAVCKILLNRFHNLNGRDVEVKIAVPKSPTYSEAKYYHMRMDMSLSPITYYDGMVPLHPYTPYTFGYGYGGPIDYSCYAYGGPIGYQHDLVGSYYYAKDYSKTTPIDLDTIDTTKK >KN540061.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540061.1:11408:16640:-1 gene:KN540061.1_FG004 transcript:KN540061.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATYCAGGVDDVGHHHHHHHVHQHQQQHGGGLFYNPAAVASSFYYGGGHDAVVTSAAGGGSYYGAGFSSMPLKSDGSLCIMEALRGGDQEQQGIGGLGDMRHTSGTTAVRRKARPEKDAKCILEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKILESSTLLPGELARRKVKNHTKADRYSKAKLEVRYCPVLMRDIMIKLWVTYFPPSFAELRQSFAMIRR >KN540061.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540061.1:64414:66542:1 gene:KN540061.1_FG005 transcript:KN540061.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MVWVGLGGGGGGGGEGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVIGGKTNRAKLEFSEEMNRLLDKVPELINVNENKIDSKQSAT >AMDW01037069.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037069.1:215:472:1 gene:AMDW01037069.1_FG001 transcript:AMDW01037069.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >KN545293.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545293.1:1290:1653:1 gene:KN545293.1_FG001 transcript:KN545293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFCGLIGRITSCGRAAHKDADPVL >AMDW01056720.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056720.1:510:833:-1 gene:AMDW01056720.1_FG001 transcript:AMDW01056720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDRHNAYRMHKIDVDALADSEDDDDLPEPALLQFGTARHSGMCFFALGSGIFATRPPHTPTLIYNTDTGGLTIGPPLPDKLCGGPDINMAMADNKTMYALYNYDTN >KN538989.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538989.1:97573:100022:1 gene:KN538989.1_FG001 transcript:KN538989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAATRFIKCVTVGDGAVGKTCMLICYTCNKFPTVECRAAANPFLSVLAPARRAGLSSLRLDLDYIPTVFDNFSANVSVDGSVVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRAYLADHPASSIITTEQGEELRKLIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQSSSNRPVRLPHGSTQNGIEDHG >KN538989.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538989.1:65118:65759:-1 gene:KN538989.1_FG002 transcript:KN538989.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGLRGVAFCRWTNGLLHPRFVFPEAAAMAGSWAGSAQVILGLKLCETLREGRSGEAPIYSVPSCF >KN538989.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538989.1:25886:28869:-1 gene:KN538989.1_FG003 transcript:KN538989.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQQKHQGTELRLGLPGSQSPDRRPAAAATATTLELLPAKGAKRVFPDEAALTPPAAAAGKGKAAREGEEVGAEEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQMKSSKEDVDAKQAQGKEGAENQKDGEYVMFTDSCRRLRIMKGSDAIGLGCSQLRLVPLFVPKL >KN538989.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538989.1:165590:172408:1 gene:KN538989.1_FG004 transcript:KN538989.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIDALPSLEIVSSFSVGIDRVDLEACRRRGVRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGKWKSKGDFTLTTRFSGKRVGILGLGRIGLAVAKRAEAFDCPISYHSRSEKPFPKYKFYPNVVDLAANCDVLVVACSLNPETRHIVNRKVIDALGPEGVLINIARGAHVDEPELISALLEKRLGGAGLDVFEDEPFAPEQLFELDNVVLVPHVGSDTEETCRAMADLVLQNLEAHALNQPLLTPFSGKRVGIIGLGRIGLAVAKRVEAFDCPLMLKKILAFSSSDRFNRLSSSDASDREAKLCDIRYPKEEDMKPATSESDMTSLATSSPSRSPKAAAAAYYVQISPSRDSHDDGDKSPSTQATPVYNNSPLDSPSHHSSSFGRHSREEEGAYDEFDDDDDGGYEPSRCCVLAFRFSLLALAFTLVCLIVWGIARHYKPGVLVKSLTVGNFYAGEGIDRTGVPTKLVTMNCSLQINVHNPSTMFGIHVSSTSIQILFSQIAIANGQLEEFYQPRSSHHVASAIVHGEKIPLYGAGETFALSNAGGAVPLTLDLVVRARGYVIGKLVRVTHTKRVKCPVVIDSGSSKPIRFTQSACSYT >KN538989.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538989.1:270:12735:-1 gene:KN538989.1_FG005 transcript:KN538989.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGHARGHLRDRGGEDCGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRATERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGHLLYEAAGKCSEDAPSISSGSESCISKSIKHHNAICMLERWLQIRLMMMLPANYARFAFWSTALLFNFPWTNLDQGRRRIMLSIDNRHQVPCIHDFDSALLIDTGTSLLLSFLRVMEGPKGQFGLRDLDPDIFTKSKLDHSFENKCPFKMILAHIMGTALYSSILLLPIIQIMLAHAMEQCHHHQQQINGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALKVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERVIEFECRSKYEQHKWVQGITEMLNRRHTHTMKN >KN538989.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538989.1:173057:174890:-1 gene:KN538989.1_FG006 transcript:KN538989.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIDARRPHQAHSAFRHLVDDGHRPSLVTYTTLLAALTSQRAFDTIPWLLAEVEDAGLRPDSIFFNALINALVEARRMGEATNTFLRMGHSGCRPTASTFNTLIKGYGIAGRPEESQRVFDMMASGGVGGEAAVRPNLTTYNILVKAWCDAGRLEEAWRVVARMRASGADPDVVTYNTLASAYAKNDETWRAEELVVEMAQQAGLRTSERTWGIIMKDSGVLPNVIVFNTLLKGFLDANDMAAVDDVLGLMEQFGIKPDIVTYSHQLNALSSMGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLRQMGRLGVRPNVVTFTTVISGWCSVADMGNAVRVYAAMRDAGVRPNLRTFETLIWGYSELKQPWKAEDVLQMMQDAGVRPKQTTYCLVADAWKAVGLVENANRALGSSSSSSGDLLDGGDDDEEPYFPDNHGDDKLQSFERTNGHAKSDASRSMQVTRASMSLKMARSLSPSPLRQSLLRRSCRLPVRSTWLCRKQLPMQCGVYGQSISSLKMVFLS >KN538989.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538989.1:160896:163455:1 gene:KN538989.1_FG007 transcript:KN538989.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDSLAMLEKFQTASRSMRVSNKKRRPEACERSPDTSGFRGAQSEASNAKKMVGRSSSSGLDGELTNSTDELKRVIKDSFYRKNILSVYPNDEQASMSQSLHYTPNKNSLSKPNEQKKAAPRSLPSCAPGQSEKSKSPSLVAKLMGLDGLPTHNSNTFKKDESMKTVSSPRALFDIEMPKVQQNDAHMSSPYSRKSIVSLYDSTVVNEIGSMKTIRREKGIEQPQTRAAKDIKVVSHTSRKPQIKESTEMCRRSSDKQRPHSTYRNREGRKDTKSKTGSASRSSANTVKRPDKKSMIASSSSSSTCHTRKAVARKAPSNSREKALSSRSRKNSTIDDIVAYELHREFIQVDGPSTEHSATPSDESCQSVVDWDTEPSIDGVSTLNRQLFMTGIREDLSESYEASVTTSSAERTDSANGDPFHPSTHLVSKNEVEIKDEMSLLLLSDQPFLTRAAELIGIGEPGHLINRYKGIRKAQMGNHELFVDTATEQLERKHRQQNSLCYTGIWSQKCRTAPYFSLEALLTDIRDATRKLSIYTEDDDGCTTKDTLYMKLEKDLRCTDASINSVWDMGWEDWIFMEETQCFIRDVGESILSGLIEEAALDMWVH >KN538989.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538989.1:130328:137142:-1 gene:KN538989.1_FG008 transcript:KN538989.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPFDSGCSLSAALKIRQSKDVVKALKKRIGHKNPKVQILALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDQNVKEKVLTMIDTWQEAFGGPRARYPQYYAAYHDLVRAGAAFPKRSDRPAPLFNGQSPAGRNMRSPDQQDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVLLVNATADEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSMNQDSKKEQALVDIEDPTTQETNKEPSQSASEQSPFEQLALPAPPVSNGSATPAPKSDLGVDLLSWDDNPSTTENSLALVPVTDPVVDSTPSQNALAIVDIFSQNNTTNNIAKPADPFGVNSSSTLPGSQPYNAPTQHPLQAQQQPQQVGLYPNGGAVNPGTSYPTSSGWNGQIANNATPPAQQTVNYDEQSGALPPPPWEAQSAPSGDMSNGGMQSHPVSNGQFGGMPSLPTPSNQMGGMQPLHPQMNQMGGPQAHQMYNNQQPGAMQPSQPAVTQMQSGFANQFGSMPPHSMPGMQFPGMQPSQMPGAQPVMMYAQPMMMPGMQFAAMPQPRMYGPQMSQYRLVQQQAAQYYSNSQGRPTYYAGMNDLSQKMYGLSMQDSSYMGMNSSPYSTTPSSSSSMGQPIKPSKPEDKLFGDLLSIAKTKQNKA >KN538989.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538989.1:57152:63880:1 gene:KN538989.1_FG009 transcript:KN538989.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTGDLSKSKSKNLDRISGGLVGDHEKYSVATALLSKGLDDEASMPHEASAAAYDFYGHGGGAGDEILQASPEASSCKSQLSQMLLQAAASSPRSCVTTSGLGSSMMEFSNTAAVAAAPAPELTRKHHAGQSDNSSECNSTETGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKALSYPYLGQCSSANSMQQQTTYDRLISIHGEAFAKEGIMAGERSTDGLFPEFFPGQIN >KN538989.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538989.1:37226:38563:-1 gene:KN538989.1_FG010 transcript:KN538989.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQKQKEGHGRQRQQMRGRKGAEETQAGIHTNNPSFFSFAFMSSADAAASLTLCLPLPSTRACLHAMEYCEILGTHRTLLFCVRACGFGGVGQVRALPPLGGGLELGDTNPPTRTLALAGTSSGRGLSNGDDNYGSSIFSPNSPPTWIQPLPPLCARSSTMRRCDGIDLPPSSPATDGSTITIGVRRGSARGGGGTRRGNDGLDDDELLGLGNGATADERR >KN538989.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538989.1:79967:87078:1 gene:KN538989.1_FG011 transcript:KN538989.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMRVLSLMSGAQAQEMRDLEREYEKVLDANCTAYALYLKRILEAGDAAKETYSPPPPPPELVFGIGDDDQDGGDDDEQATAETDEAVSSQNDVRELMRPPSLYPQRVPPHLIVQQQPSPSPTGRGSPVARLRAEHSPATPSSDVSMEDSPSSSELLAGREEKHTASPLSRPGRARPRGEEDDDDDVAAMLSPEHASSSPAVAKSALVSERFIRSVAASLEAEQVEERVAAVRILLRCVAEDGHCRSSIVENSALAAVLDAFHVVGDADKFDIVGLLSELLKLKRRSAADRLLRTIKEASSFSMMHTLLVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVSLIHLLSPSPEQLVEMDMADALVATIRRGGGDDDGGAVVKMCVKPKAASVILLSQILVEGGRDSSSPAVEPRKISIYREEAVDSLIQCLKNSDYPRSQLLAAETIMNLSGKFSSSGRPLSRSSLLKLARVKERHRPSHDLSISRGADRVEDEMEEEKAAAEWERKVAYALVSHEFGLVFEALSGCLVAAAKNGELFTASLVSAAWLVRMLPLLPDTGVLGAARGMHEITTYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKEINHADCSSNGEVTSIAYFKGYIFSGHSDGTLKVWEGSENILRLVHESQEHTKAITSLAVLHSEEKLFSGSLDRTIRVWQLRDALRCVEVHDAKDPVQSLAVAAAMACFAPQGSGVKVLSWNNGGGAKVLNASKVVRSMALVHGKLFCGCSDGGVQEIDLASGTIGVIQQGSKRIIGKASPIYSLHLHGDLLYTGSTSLDGASVKIWSSSNYSLVGTIPSSMEVRSLVVSSDLVYLGSRNGVVEIWSREKLTRIGALQAGGGGGRVQCMAVDADGDVIVVGTSDGRIQAWGLT >KN538989.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538989.1:101327:106004:-1 gene:KN538989.1_FG012 transcript:KN538989.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAVDQEGARVVGTNCMLARGGTGAVAPVLELTATPRQDAAAEKAGVDEPAAQHQCEHFSIRGYVALLQKKDPKFCSLSRIFHDQKKCDEHKASSSPFSVAKFRRWDCSKCLDKLKTSDNGTAPRTLPAKQNGTSDGCSITFVRSTFVPASVGSQKVSPSTQSSQGKNADRSTLPKSVQEGNDSKCNAPSGKNGAAEANTDSPMKDLQGPAQNYDVAANVSEDNTSVDVGALPEVPQITWHIEVNGADQPPSTPKLSEVVLKRNEDENGKTEETLVAEQCNLTKDPNPMSGKERDQVAEQCNLTKDPKPVSGQKCEQICNEPCEEVVLKRSSKSKRKTDKKLMKKQQHSKKRTAQADVSDAKLCRRKPKKVRLLSEIINANQVEDSRNDEVHENVADPREDDRSTIPVEVSMDIPVSNQTVGEDGLKSSKNKTKRKYSDVVDDGSSLMNWLNGKKKRTGSVHHTVVHPSGNLSNKKVTPTASTQHDDENDTENGLDTNMHKTDVCQHVSEISTQRCSSKGKTAGLSKGKTHSAASTKYGGESTRNGQNIPVLSAEDQCQMETENSVLSRSAKKSLASQSTQKELQGHLALTTQESPHPQNFQSTQEQQTHLRMEEMVTIAASSPLFSHHDDQYIAEAPTEHWGRKDAKKLTWEQFKATTRNSPAATCGAQFRPGIQAVDLTSTHVMGSSSNYASHQPVIAPLDRYAERAVNQVHARNFPSTIATMEASKLCDRRNAGQVVLYPKESMPATHLLRMMDPSTLASFPNYGTSSRNQMESQLHNSQYAHNQYKGSTSTSYGSNLNGKIPLTFEDLSRHQLHDLHRPLRPHPRVGVLGSLLQKEIANWSENCGTQSGYKLGVSTGITSHQMNRKEHFEALNSGMFSAKWNALQLGSVSSSADFLSARNSIAQSWTRGKGKMVHPLDRFVRQDICITNKNPADFTTISNDNEYMDYR >KN538989.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538989.1:138868:141593:1 gene:KN538989.1_FG013 transcript:KN538989.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKSDDELHQLKQFDKAWNVYGVLNYLQALVEKSMIAQILEREKEGLEQFTATDGYDYQGGSNVLKMLGYYSMIGLLRIHCLLGDYRTGLKCLAPIDLNQQGVYTIVIGSHISAIYHYGFANLMMRRYAEAIREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAVCLSLCPQHNLIDENVSTQLKEKYNDKMTKMQRFDEEAYAAYDELFSYACPKFITPSPPALDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIAKLAQYMEVDEATLRSILMTYKHKMHAVDNNGKIVSSADFDFYIKEDVIHVMESKPIKRHGDYFLRQILKFEEMIGELEKVQFD >KN538989.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538989.1:143516:149917:-1 gene:KN538989.1_FG014 transcript:KN538989.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLDLRRLLKKEKSAMNQKGDDLSMGKRLKALLTIVMRTTGPTRRSTKGNWTPEEDAILSRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKVNSYMSSGLLTQVSCLPLNEYSANCNSSPAMAQQNSEDSGCFAVREVENSSGCSQSSLAKVSCSQVHDTTVPLGCDLQVNVNFDKNEAHDSQSSMGPQACYTSAEAVASALPAVHCHVSSSNLDPDQHLQEDFAQGLNLDMTIDEMPTVPSFADNQTVCSIENHERSLEPYDVAMEVPLSMLSSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVEADSFSRSNHQSDVYSSEADTHFLAPPYMPQTSNSSSVMGLADDQSPQMSVPPSLICSNAMTDDAPFDNRPGQKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNVEEPEASLEKEPSLTQSVTAPDEQDKGALFYEPPRFPSLDVPFVSCDLVTSGDLQEFSPLGIRQLMHSTMNVCTPMRLWGSPTHDESTGVLLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKYGTEKDRGVSDTSSTGIQTSCINATKDDALITTVLRIERSASSKSLEKKLVFSDENKENLGYTTEQTKDGQSAGNDEHMDEQTTGERSSATNVATNDDLSGNLQPAGILIEHSGDDPISPDYGKNTMNQKLNTNVKSLSVCKEGVCAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMHFQGSYFTSPTDSYDALGLMKQINVQTAAALVEAREVLASGGQCDNISSDKENTGNPDAKKEPGTTKLQTKIMAEGRVLDFECTTPVRSSDKNAGSNLGRYLSSPIPSSHLLKSFR >KN538989.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538989.1:75678:78120:1 gene:KN538989.1_FG015 transcript:KN538989.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWWPVLVVAAAMMATAAEGFISAKTWSAVRRANDRGGPFVGLVVPNAYEMDPVLNSPSFTPTPPLPSYLDIQGRRFRFGAIGGQNVVMVMTGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNADEGLQIGDVTIPEHWAHLSLWNWQRYGDGPENELPLEAAGDYTRDLGFLNFSDYTAAGPSPNELNSIWFQPEEIFPVSGTPEQRQHAFWVPVSSRYFSLAEKLEFLRAKFGCTPVEMESAAVALVAHQHAVPFLTIRSLSDLAGGGSSLGNEAGEFLAIAAQNAVDVMLREKIYLSPTLVGFKNPCMHPHIKQTI >KN538989.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538989.1:49541:50080:1 gene:KN538989.1_FG016 transcript:KN538989.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAREWYKSRNNDKPFTLEYMWKDLKDQPKWRGVLEQSSKNKRNKISESGAYTSSSNQDTEEESVSKEKRPEGQKAAKQRQKGKCEPSPLGDKPSQNMILFHEAVTTRAAAILRSAEATLVSAEAKKEKARAKKDKAKAEKYKTYLKLMEKDTSNYSEAKLKRHEDVLDQLARELAEE >KN538989.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538989.1:87567:88647:-1 gene:KN538989.1_FG017 transcript:KN538989.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKKSFARREEVEMDGRVAHWKGKLTAAVVEVRRKASGELVAIGRQWMTSTRARPDKNGESRSKL >KN538989.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538989.1:50674:52826:1 gene:KN538989.1_FG018 transcript:KN538989.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESLNQSSQSDDSSSSDNLEGLMWEEINDPMEAEIEAEMEAQIEAELQAQMQAQEAGTSNRRRGYNRRFDAAQLNAQPKIHRKFIVLARLAQPKTNHGFNHPMERKQEREEEGINHLILETPTESTRTRQGTDAEEHGNVDLVQQGDHRQTIRLHEHDAICRQGHGGARSELHGEVLPPAADLGDRIRHGQEQLRGRRGQISVPPLEKFRRYRNWGSSEIRDRAEELRTLIFFLARMTPRL >KN538739.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538739.1:254261:258574:-1 gene:KN538739.1_FG001 transcript:KN538739.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPAPAVALAVLVLLSAVPGYFSDDLNTDAQALQALRSAVGKSALPSWNSSTPTCNWQGVTCESGRVTELRLPGAGLMGTLPSNVLGNLSALRTLSLRYNALTGPIPDDLSRLPELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFSGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLSQFNVSYNKLNGSIPRSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPEDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRSDVQVNTTTERDPCSCNPVFVRSLHDSDKFFLFLYFEPPLNGAAAQQATRCKISLAFANANDTQNVEPNVSTNVAQ >KN538739.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538739.1:221837:229046:1 gene:KN538739.1_FG002 transcript:KN538739.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VAC14 homolog [Source:Projected from Arabidopsis thaliana (AT2G01690) UniProtKB/Swiss-Prot;Acc:Q9ZU97] MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATSGEHDKISAVIALLTNDFTMSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLTSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPINEFVKLGGEQLVPYYADILGAILPCISDQEEKIRVVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRIESLHWFSTLLVRYRAEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHARIAEESHHFHHLVSYLIHTFHNNHVLLEKRGALIVRRLCILLGAEKVYREFSTILETEGDLEFASTMVQALNLILLTSTELAELRSLLKKTLVDSCGKDLFQSLYASWCHSPMATISLCLLAQAYNHAISVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSTNPYSQILQVTEDGNRNQDTQSYNAINFPSRLHQFESMQQQHRVHLKNQLKSQKSASAIVLSQEIQRYEEAHSSSTSEISRPPSRTSRGIS >KN538739.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538739.1:184726:187457:1 gene:KN538739.1_FG003 transcript:KN538739.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIYIGCLRDDIPLADQDAVAQALLESYNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVNMGQLKTVLALPETEAKVAELMATYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVDEVKGETYAMVRRINDAYGVPGYEPVVLIDEPLQFYERVAYYVVAEVCLVTAVRDGMNLIPYEYIVSRQGNEALDRMLQPSKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPEKEKRMRHDKHYRYVDTHDVGYWATSFLQDLERTCKDHAQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVMAYRRAKTRAILLDYDGTLMPQAINKSPSANSVETLTSLCRDKSNKVFLCSGFEKGTLHDWFPCENLGLAAEHGYFLRSSRDAEWEISIPPADCSWKQIAEPVMCLYRETTDGSIIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKSTGHSVEVKPQGVSKGLVARRLLASMQERGMCTDFVLCIGDDRSDEEMFQMITSSTCGESLAATAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLASVSNELARAASPSEDDDE >KN538739.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538739.1:305526:308042:-1 gene:KN538739.1_FG004 transcript:KN538739.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGVLLLLFFNLHLPTAAIDTLTLGQSLLWNQTLVSNGGNFELGLFSPGKSSKHYLGIWYKKISKKTVVWVANRERPILEPSSCHLELSVHGDLRLFSTAPSNTLLWSSNASASSSPSPPRTTVATLQDDGNLVVKSNATRSRSRSRSPSSSTTTHVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDSENPAPGAFSMVIDARGLAKFDLLAGGEHRYWTTGLWDGEIFANVPEMRSGYFTGVPYALNASWSETAGKWILFCSLPHDACDVYGSCGPFGVCSNATNPECRCPAGFEPRSSEEWRLENAAGGCVRRHPLECHGDGFLALPYTVRLPNGSVEAPAGAGNDKACAHTCLVDCSCTAYVHDGAKCLVWNGELVNMKAYAANENGQGDPGLAGAVLHLRVAHSEVPASSTEHSWKKSMVILGSVVAAVVLLLASLVTVVAVAAVLRMRRRRGKVTAVQGSLLLLDYRAVKTATRDFSEKLGSGSFGTVFKGALPDGTPVAVKKLDGLRQGEKQFRTEVVTLGMIQHVNLVRLRGFCCEGNKRALVYDYMANGSLDSHLFVMSGSSSGTDSKQVALSWSQRSVLTTMRGTVGYLAPEWLAGTPVTAKADVYSFGLLLFELVTGRRNSTAPSSSSESGPGIYFPVHAVVKLNEGDVAGLVDERVAKDADPKEVERLCKVAGWCIQDEEGDRPTMGLVVQQLEGIADVMLPPIPSRLHILAIENEWVRGVPEDERCSKSGSKPETEAIEEM >KN538739.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538739.1:168692:171248:-1 gene:KN538739.1_FG005 transcript:KN538739.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQHPSAAAPPPAVGGEVSGDGGASTANGPVVPKPSEVAPFLTKVYDMVSDPATDNVISWAEGGGSFVIWDSHAFERDLHRHFKHSNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEIQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQQQRRSNWWSPDGSKKRRFHALEQGPVTDQETSGRGAHIVEYLPPVPETSGQVNPVEGAICSANSQPVPSPAVATPMDMQTSNVADTLGSSEEPFTDNSTLHEWDDNDMQLLFDDNLDPILPPFENDGQMGPPLSVQDYDFPQLEQDCLMEAQYNSNNPQYENKRDVILVNCGVSMCMFHAKCQTMDVYRPPF >KN538739.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538739.1:311399:315081:-1 gene:KN538739.1_FG006 transcript:KN538739.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFLFLLILAASVVHGEDGAYIGVNIGTAMTSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLLMPAIRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSNEPDATSDNADTYNSNLIRHVMNTTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGIPAYTLHLTGSGVLLANDTTNQTYCVAREGADEKMLQAALDWACGPGKVDCSALMQGQPCYDPDNVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGSGGKNGTSLLNGTSLAPSSNSTAGDSGAHRAIGDVSSFVRAVVTALLLSVVLLL >KN538739.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538739.1:291885:305055:1 gene:KN538739.1_FG007 transcript:KN538739.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPDDLVPPAMHKPAIQCAAAEDASLLRSPTVSSEEFMQFKRKATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFGKLAESCDDLSVDTPDAVDILAVFVARAIIDDILPPAFLAKQLPCLPEGCKGAEVLHRAEKSYLSVPHHGEIILQRWGGSKSITVEEAKAKIADILEEYLAAGDIGEACRCIRGLKISFFHHDIVKRALTLAMERGGGAEGHILDLLKSASDEGIINESQITKGFNRLIDSVDDLTLDVPNARRLLKSMILKASSEGWLCASSLKPLGPEPKKAVVEDDAAVRQFKAKTLSIIKEYFLTGDIIEVMSSLEAENYACSSSYNAIFVKKLITSAMDRKSREKEMASVLLSSLGMPPEDVVSGFHLLIESAEDAALDNPAIVEDLTMFFARSVVDEVIAPSNLEKMEEEAGRGKPGDSSTGLLALRNARALLCAKLSAERILRCWGGGATGKAGWELDDVKDKIGRLLQEYDCGGDIREACRCIKELGMPFFHHEVVKKALVAIMEKRGKDERLWGLLAECYGRGLITPNQMTKGFERVAGCVDDLALDVPDAGKQFCCYVERAKKGGWLDASFPNDAIPGLQGISFATLHSYIYYFAFRSICWRAQPPPVRSVKPCCSHVTDDEHELILSELHTHPRRAFLLWSWVVAPGSGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLQNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAAADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNGRYEEQKKMQKAQKKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNIYQAPLWLHLNSSQQLPPLNFVAISHADSFCEMEDGTGNLHKNGVSSNLMNKLVEPSLSFTNSSPQSPPQYKEDILHQRLCMEEEFLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMELSLNGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQINQKFKMRIPHLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQREVALLRCSQNKLHVVLVDMAQDGQDTMLRVLGSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCFINDIQEVLVKLCPTKISCDIIVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEETVVKFISLLKALYSASSSSSLPVKCTS >KN538739.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538739.1:272706:274757:-1 gene:KN538739.1_FG008 transcript:KN538739.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPPSSDPSLETVAPHAAVTGERKLNPNLQEQLPKPYLARALAAVDPSHPQGTRGRDARGMSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIAGAILINLVLSYPTQPGWMPSPLLSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRGAFDGSLFERLQDSKKSA >KN538739.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538739.1:218826:220076:-1 gene:KN538739.1_FG009 transcript:KN538739.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLWVPKYGYQVDTRLDTCGLWDNKLDTYGTKFINALLAHFIEGFVIILIPLEDNDGSRGDGLRGGVRQRITIVHALDPKWYGVRWVPKYGYQVDTRLDTCGLWGTKLDTHGTKIINALLAHFIEGFVIILIPLEDNDGSRGDGLRGGVRQVVRMDSDLMLTPWTTKASSIVAIKMTYRVDAKDI >KN538739.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538739.1:189038:193393:-1 gene:KN538739.1_FG010 transcript:KN538739.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEDGGGVEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVIRKKFNENKEHEHSGSLDDTDRTRPTNNDNEYASSANDGAEGSWKSQKKKRDKDDDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASSGKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMPSFSAWPNNKLGMADSTGTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNTLMIPAQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQPLISAHGGGNLSGLVNRNPNVVPSQGISTFHTPNNPYLVSPNSMGVGSKQPPGVLKTENSDALNHSYGYLGGSNPPMDSVLLSSQSKNTQFGLLGQDDITGSWSPLPNVDNYGNTVGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIMSPDIFGFSGQM >KN538739.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538739.1:240076:242649:-1 gene:KN538739.1_FG011 transcript:KN538739.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKMVTGWAARDANGLLSPFSYPLRAKGDEDVVVKILFCGICHSDLSTIKNEWGNAKYPVVPGTCRACANCRDGFENYCAGLVPSFNAELPDGATVHGGFSEMAVVNQRYVVRIPGGGGGGASPAPLDRLAPLLCAGVTVYCPMRRLGLDRPGVHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPWKEAEAVERLGADAFLLSTNAEQMKAAAGTMDGIIDTVSAAHDLTPLITLLRTHGQLVPIGSPGKPVQLALYPLQSGGKSVAGSMIGGMRDTQEMVDFAVEHGVAAEVEVIGMEDVNGAMERLQKGDVRYRFVIDVANTMARAR >KN538739.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538739.1:261854:270391:-1 gene:KN538739.1_FG012 transcript:KN538739.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKEPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQQQYHYTRENMTAAAAALYSYEEQYVPGLFYNVKISTCLNCDGKGKVKGRRWGYEICFNQCTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKADNEIREKKSACYTDVENGLWGWVCKSSATEKENCVLRCLSPECYDLIYGGDPLEEGELDYIRGHEYKYCMHKSSLGESLDGVKGSFSYS >KN538739.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538739.1:326382:327590:-1 gene:KN538739.1_FG013 transcript:KN538739.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding YGEDITAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKNLCSGESFAECLQFLNASDKQTKKAIAVYPNSGEVWDGRAKRWLPAQCFGHKSFDALAKRWQEAGASFVGGCCRTTPSTIRAVSKVLKGKTAYSATQI >KN538739.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538739.1:281699:283508:-1 gene:KN538739.1_FG014 transcript:KN538739.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSSAGDVDRISDLPDDLLHLILSYVSDDAAEVTRTSVLSRRWRRVWIHAQKLCFDDDRQSRWRRLANFGDFVDWAFAQRGDADIQSVIIFMTRLDSATPEQVNEWLRYAMRRVVKTFWLNACDSTPIAANRRVAQPWEDGVHQPQPVVLPLPAQTPGVPGGKLEIIDPMRLPQLVLRAEALEELIVASTRDTQTMDVTAPNLRIFELHYFNSMTSVTSYGESIYLVVRITAPRLEEIGINNSTLEIEDNLDLRIHGLASVRRLKNLTLAMHGHNCCNTDYGLWLLNNCPNVEHIDLRLRYEMLATHEVDDLTDNGAPRLYKARSMVIDACGLDQYLVTSVWSLLLMCPDLISLRICLRGWGDRSSKDQDTRTDNRNISLEFLEEVRLTSFTGTDEEMDLVRLLFGSSSSIKSMTISTPKKEIADTCSGDFLLDSDDDYPYYHRLLKIAPLSHLGRWHYKRFEYTWTRYATEDARAVDAEANSPSPRTVRAVNIGKEKKNQS >KN538739.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538739.1:284560:291097:-1 gene:KN538739.1_FG015 transcript:KN538739.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDELADDLDRISALPDDLLHVILSILGDATMVTRTAVLSRRWRRVWTHAQKLSFVDTDPKIRAKPGQFGGFVDWALAQRGDANIQSLSISMPTSDSATPEQINDWLRYAMQHTIKTFKLCSPYHSSYETDDDHPLPILELPSNARTTSIELRLSSFRLRLPVSPSARYEALTELNLRSMCFDEEEAARDLGLKKLTQLVLRTEALEELDVNYSNDLQKLDVNAPNLRVLGIKLFIISLPLIDENSNKHLVVGIVAPMLVEIDMHIWADRLDMHIHDRASVRRLRNLGLRMRGQYSCSTDYGLWLLKNCPNIEHLDIYLRHMFSMNGLIDLMDKGAPRLHKVRSMVVKTSYLWPEHRFVTCVRPLLLMCPGLRSFCVKISGRDKIPLFEDPNTLASQPNITMDFLHEASIIGFTGTDQEMHLVSFLFGCSTSITCMTILPECDDNDDPNRSQLLEIPFTGHGCWHFQRDKYTWKRTQYEAFTRPDPMDDHDDPADDLDRISALPDDLLHIILSNLNNATTVTRTAVLSRRWRRVWTNAQALYFADMNPKRRRAIKPGQFGGFVDWAFAERGDADIQSLTIHMSYRKSATQDQINDWLRYAMRRAVKAFRFYYFSNARDGQDLQLLPIVELPSHATTASIVLFLGSSRLRLPASPAACYEALTELNLRWASFDEEEGASAVGRTLDDFLSTCCPRLRKLEMSSLKLLSRLVLRTESLEELRISYANDLQSLDVTAPNLRVIHPHILLVRNRGYGLWLLKNCPNVKHVDLLLKSSVFTTDEELADLTDESAPRLHKVRSMVLKTSKLPHHHFTASVRSFLLMCPGLRSLCINIAERGQISLFKDRDTLANHPKLTLELLHEVTITGFTRTDEEIDLVSLLFGSSSSIMSVTIHATKKEDTEKVSLKNIMAEDDDDDNDTTTHQQLLEIPFTDHGCWRFQGDVYSWKRYTTEDALSDKWCLMKFNQLSVSDREN >KN538739.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538739.1:234286:238211:1 gene:KN538739.1_FG016 transcript:KN538739.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTDLVNLNLSDCSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGEPIPTNKRHSAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPGPYYCAAGAEKAFGRDIVDAHYKACIYAGINISGINGEVMPGQRVTEVAGVVLSLDPKPIPGDWNGAGAHTNFSTKSMREPGGYEVIKKAIDKLALRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKQN >KN538739.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538739.1:204042:207270:-1 gene:KN538739.1_FG017 transcript:KN538739.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAARALNSVSRAAFSWKPTGLPQQTLAAAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTTLRRGGARVRTVEHLLSAMEALGVDNCRVEIPLLDGSAQEWVVAIRGAGLCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKSLDLIGDFSLLAQNGNQGFPLAHVVAYKNIHVHIWDKAVSECNLNPVVYKYKTSSTCEY >KN538739.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538739.1:247910:251035:1 gene:KN538739.1_FG018 transcript:KN538739.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLLVFLVSVALVVRTWSASRRSHEKARYAAAELRPYPLPTRTFVLRRPGGVRGVITANPANVERFLRSGFDNYPKGERFASLLHDFLGRGIFNADGEAWRSQRKAASYEFNTRSLRAFVARCVHGELHGRLLPLLRRAAAEGRAIDLQDALERFAFDNICRVAFDHDPGQLPDGGDDGPRGEEIRAGHEKHDLLSRFMASHDESYTEVALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIREEVAAVRARRGDGDVDRVGFDLDELREMQYVHAAITESMRLYPPVPVDSLHAQEDDVLPDGTAVEAGWFVAYNSYAMGRMESVWGKDAAEFRPERWLEDAAADDGTAATFRPESPFRSSLELDISERNEHICNPEPHVNSNKNAASSGTDDTIHGGANVAGVRAESSYNVS >KN538739.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538739.1:315819:325483:1 gene:KN538739.1_FG019 transcript:KN538739.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTHVSATASGVGPWDDGDSGSQIGGHWARETRRGMRGPERDVARQRSNFAGTTTRGDRRKQRRAGERMVRFVVERRGGWLGVDWVWREASAAATAAAEDSGGAAQQGWPGLPRGVEFNPTDGDLLWHLAAEVGNGQARRHPFINMFIKSVDETIGFGYTHPQDIPGIRQDGCASYFFHKNFKECTNENSKCIRWQKSGNRISITLDGNLQGCKEVFVLYAYETDGNNPQITDWRLHQYHIESTEKDEGELVVSKIFYELEKNQFKWAEKSHAQSAQGASAIDDDSKEELQLDNVESNMHIGNQINDHDEIEELDHMSLQERYRILMAEKHSSSAVVSSEQCVIDGLENSCKPGTNGMIPKRIHEGTAFRDGMYSMLQEISSAPAIIGSIDNDNNRRLLTEGLSNNQQSHEAECESGFLSTSSSAAPPQCQVVCSHDLLVNGKTLIYSRDPSSSSTPTFGDENIQLEGTDDRTLLVDVKLEPALEGDFTEKITSSVQRSDPNHGTEGSNLVGSINSVSSAISKRIPEAARSNPENSHVEGLLPSSRIKSEVTGSELPLVVCGLTSISIAELTAKKTTTLNHDGVLAYCSRKRKRRKTLRDPSEKTLEEDSLRNDEGTAYFSRQRRRRKTATDSIETALEEDAPGLLQILLDKGILVKEIKLYGVEEDDDMVPDCTESDFQDLENVITKLFPQRTSLLKSALRHEKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIQWQIQRMVTAMKLSGCGRTALIENRPLLIGEDLTEGEARVLEEYGWVPNSGLGTMLNYRDRVVHDRWNERSGTDWKTKIGKLLMNGYSEGHLVLSHFPTKVGKIEDDTEIKQEDPL >KN538739.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538739.1:210671:215808:-1 gene:KN538739.1_FG020 transcript:KN538739.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGLHVSERIGREPAALSPTWRKALEASRHAATPPTPTPRIPMSLVERGVNFSTATVVYHLKILVQGLPVVASKASKLTDLDAPISTSQTKYLELLARYQYLSSAAVQGKSAGITADSSRNPIDSSAVDPLEGKLAVLQFQMQIKCPMDKAKELSLNLKSITQLFNNYAVPFNLWEVWLSLFLGDFFLDPAFFSLMLTVKLFEKFGPGSLASTRGGVAEACSVVRRVGSKLDPADGSCLPLDIICLHLKKAALDRLSSGEGLVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGIRDKIISLANCLRLIDDLAKGIVELRDDLEGRIASTNRQLISLEAVSCGSRPTDGFRQERWESRSVQNLDQINWKDEWV >AMDW01037176.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037176.1:166:534:1 gene:AMDW01037176.1_FG001 transcript:AMDW01037176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITEVRDFAGKDIEIKKLVDADSREAIEKAKAAGAAPSALDHILEQIKKKQKLSVLDKTKKDWGEFKEENKGMEEELDQYKKSSNKYLDKVSFLQRADYREFERERDARLSMMSKRKSDTRED >AMDW01034775.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034775.1:292:510:1 gene:AMDW01034775.1_FG001 transcript:AMDW01034775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDHYPYYKPTSRPHYQPPHYHGQPATPAPPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSM >AMDW01027062.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027062.1:58:347:-1 gene:AMDW01027062.1_FG001 transcript:AMDW01027062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDQDLSINEMVYVVGICKSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFTACLPADECRYA >KN539547.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539547.1:61258:64713:1 gene:KN539547.1_FG001 transcript:KN539547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAAIDLHILSAQVLVSVSGFPSEIPVLVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQGNSVHSIGLLADLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIQFPLPFGRVLSPSESFIHELDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >KN539547.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539547.1:33660:40248:1 gene:KN539547.1_FG002 transcript:KN539547.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRRLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKKKRIICGKCSGWLALLKGLVDEFRIPERENRKALEFVSSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIRDMPNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNASLSQLYGSMQEAYQQMQTPLIYQQPSVKGLNELKDSTVQMASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDVALARTQSAEILDDIVTRSRGEIKRLNSPKITSSGGTPVAELKGIRSPCISERAYSPRITELRHDRSPLGGRGSPRTGTYQLSESTYRTSTADFSVSNLLVKAIRVLESCKLENQVQLLSLTVLVHVTTYYRGEDAKIKMQLFLVKFYLMKETKRRTSNTCLQQLPPGILRKLQMLPEF >KN539547.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539547.1:70595:78058:1 gene:KN539547.1_FG003 transcript:KN539547.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVIQLRRQPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVNSAVHNLESLRHKIAARENELKVKRPMSPGLLKDSSFPTGQTRLPLEKIGFEASSIGACSRLNGPVGHDIRPIKRLKPNQECSNNQILVNQIPPVPTGKSLGKSNVQPCERREHIENGITMDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVVEDANTLVPITSVRAGANVEMSSIQVKDSMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFIAENSSLAQPLSIQNAGHGLVMPSVLNSQANANGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDLLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEASLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPPLQEADENVMTNIEGMVELGNDAHEKRADSSNAPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVAMVRLPDVAKDNAKMHSTTGKDMDIVSGAVDPFWPFCMFELRGKCNDEECPWQHVEHHAWRKSKYTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEGFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCHEHALADNIELAEKVLTRWFEEYGKDGDIQSGGATRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIENNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQRNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPETIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCAGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHAYLNSCKASGSSTESIAEAARQRGIELSVTPP >KN539547.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539547.1:88592:91007:-1 gene:KN539547.1_FG004 transcript:KN539547.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASLYLGFSASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKNLLFVVFLGCMIVGIVLMCLLSKRDEKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFWLLLFYRFGFVNRRCAAVPFFCSYACHCPMGGLIGVAVPLFIGALWGVGDGVLHTQLSALLGLLFEDAKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVERPSTTRS >KN539547.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539547.1:2170:5227:-1 gene:KN539547.1_FG005 transcript:KN539547.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPPSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAVEAAQQCRSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDDCCTRIQKRFAVFLLYGGVEASPPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGRTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTGRWYSLALCNYAASHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSDYSLASEGVEYARRAVTNVDSSDGHLKSAALHFLGSCLAKKSRIATSDHQRSLLQTESLKSLSEAISLDRHNPDLIYDMGIEYAEQRNMQAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGPLLRIKAKLKVAQSLPMEAVEAYRALLALVQAQRKAYGTVKNGTQEVDNKVSEFEVWQGLANLYASLSYWRDAEICLQKAKALKSFSAITLHAEGYTREVREQTQDALAAYFNAVSTEVEHVPSKVSIGALLSKQGPKYLPVARSFLSDALRHEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEESDPIESFRSL >KN540394.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540394.1:11286:13288:1 gene:KN540394.1_FG001 transcript:KN540394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRQHHHFHKELIIAIGLASVAGMAIVATVLYACILCRHSRRAHDSKNIRSSSDTARVALVPMLNKFNSMKTNKKGLVAMMEYNTLETATGKFSESNFLGAGGFGCVYKANFEGGLVAAVKRFGHRGQDCEKEFENELDLLGSIRHLNIVSLLGFCIHEENRFIVYELMENGSLEAQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHSKGSLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRISPESPCATRKQSPC >KN542567.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542567.1:4175:13950:1 gene:KN542567.1_FG001 transcript:KN542567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDPDKYREVFDLAQRGARAFRDGHFDEAISFYSKAQTLRPGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILLEQYHEAREAILSGLQVDPLSHVLQSWLSDLHRNTSIAARARRPTLDRPDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPKTYPISVTLSNIIQKNFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVGIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPDGSQERKDLMERVNAASELARTYIRRAREISRPARRARQTDLESMPGPQDPEKFSFWLVNLINLRPSDRLDLLRLSDTRERISRSLRLLGDAEQSCRVQ >KN539547.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539547.1:81286:85170:-1 gene:KN539547.1_FG006 transcript:KN539547.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERRWSTAAAAAAGWLRLAHVGFKKSPPAYLSLTTPGRRGELRRGLVGANYASSGSGILDFIGNGTISLGEQVKLFAKTKAAMVTAGEVDGESIDNLLSRSLFITCTGGNDYNAFTDGIVPVSDAPAFIAHMVATYIKHIKTLYNLGARRLGILDVLPLGCLPISRVPMENGSCSGADNWQARLFNRLLRREMTAAATASMPDLVYSIGSIYYTFYDMIKNPSSAGVREVARACCGDGKLNAEADCSATAHLCPDRDDYIFWDKVHGTQAAYHNCVHAFFHGSPRYAEPISFTQLVASPAVDLGRPSPGTNRTARI >KN539547.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539547.1:48:1352:1 gene:KN539547.1_FG007 transcript:KN539547.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding SIKENFATFLGEQNQKLVLQGKVRRLSLSYYSQENVMVPSTAIISSCRSLSIFGYAEEMPSLSEFRVLRVLDIEHGEDMDSNYLEHVRRLSQLKYLRLNVRSIDALPEQLGELQHLQTLDLVSTKLRKSPKSIVRLQNLTCLRINNLELPEGIGCMRALQEVSEIKISRNSSASSLQELGSLTKLKILGLCWCISDIHGGTKTLVDNLVSSLRKLGRLNLRSLCIQSSFKYSIDFLLDSWLPTPHLLQKFQMGMCYYFPRIPVWIASLENLTYLDINLDPVKEEALEILGNLPALLFLWLTSKSADPKQRLIINSNMFMCLKELYFTCWSIDSGLMFQEGCMTKLEKLHLPFHAATALEFGIHHLSSLRQLVVEIICSGATIRQVESLEETIRKTVDLLPYRPTVEIRTWDEENMVEEEQKEKDMGEEGTQTSC >KN539547.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539547.1:40942:43003:-1 gene:KN539547.1_FG008 transcript:KN539547.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKFDSMTELHCKIPTVLVRWGLGLTRLKSEWKEMPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLLVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >KN539547.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539547.1:93703:96073:-1 gene:KN539547.1_FG009 transcript:KN539547.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKNLLFVVFLGCMIIGIVLMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYRFGFVNCHRAGVSFFCSYACHWYHTVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTIRS >KN540394.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540394.1:46268:50339:1 gene:KN540394.1_FG002 transcript:KN540394.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGVVGAVDAARMSKQQVVEAEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDEFISRQPKVVGDTSGPIIGSSFVSILDNARKHKKEYADEFVSVEHILRAFTEDKRFGQQLFRDLKIGENELKEAISALFFYRDPEGKYQALEKYGIDMTELARRGKLDPVIGRDDEDVLSNSSAFISCRLAQRIVRGDVPEPLQNRKLISLDMGALLAGAKFQGQFEERLKAVLKEVTASNGQIILFIDEIHTIVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVSAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKQRLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLMEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYIGYGEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSSLILDTLRNTSDSKEAVYEIMKKQVIDMARQSFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALSVLKGDFKEDDTILVDVSSVAIAKGLAPQKKLVLQRLENANLELVAND >KN539547.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539547.1:51573:58723:1 gene:KN539547.1_FG010 transcript:KN539547.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAITEVSYHVRFRPFAALIGGSLVLSAGSLFYMQKENSPYIAERREYMVTSAFKQMRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKYAICLFGVLDVSCDCYCDVFMGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETAQNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFPGTSLPNEAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPNSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRVHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADDGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKETQKSTSSKEDQKLPNVHVLEPSQSELTEIIKAGKLGESTRDRTHRRGEGLRENSAADSSPIVGSRNSQHEQPPEDALKLAPVMVTDDMQSSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQKVGFEETAKPANLSAESCDVACDGHNSLQPPNDPKHTEFSVQPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVPKPKHGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSDTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPSEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSIRPIERPHGNEGAVWAPVKPSGHSEQSGEAMRSTGVVAPTQPAGQNTNDGENVTKTKRAEMERYVPKPLSKELQQQNLGQILPSEKSCEDNKIRDKEIVERSTGAKPETAPEAKKWEGKKASKGHGKSHPSWRRRNTDESTLVGPNATELADNYQESHELQKHTDQHQPPEPAKQADAPARNSSVPAETVPSVVTVAKEHGAANKQRRQHVKAQRNEGSNYPNENKDQTAAPPAPGIDSNSYERRNMSRSDVKHSGTVPQSRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDEKHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHRERVHNRGPRPAGQFVKRNPASTPAANSYRDE >KN540394.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540394.1:39858:43755:1 gene:KN540394.1_FG003 transcript:KN540394.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERQGRVGGHGVSGGGRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGENIGANRNKRQSRKSRDENGDEGDDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQYLYEKQNITFMFSYHFPSILICAIKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRTVPTAGHTQANNILTRMNSPSAFGVHGLLHSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNISNGAPLATANRSLQPLESSNQQHLSRVHSSSADPFSTLVGESPQFPDLGRTTNTWQTAVPSNIQDRGHNDSMSQATLHMNGPKIEPVSSFTSSNQIPLLGNEMQGQVASLASNVPISFNQEASPFNYGSSTNSRDMLNNSHVFSNSSINTSLPNLSLDNPAVPRQTLDRGNTGIVSPMQDGRIHHQVVSNQLNYNDDLMRTTGLQRGLSGGLDDIVVDMFRPDREDDGVPYIDGDWELV >KN540394.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540394.1:16898:18992:1 gene:KN540394.1_FG004 transcript:KN540394.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNCFAVASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQAFASLVSALLYEKRFGPYFCQPVIAGLGDNDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPSMEPEELFETISQALQASVDRDCLSGWGGYVLLVTPTEVQERVIKGRMD >KN539209.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539209.1:60062:66623:1 gene:KN539209.1_FG001 transcript:KN539209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKVTAPPHLIPWLWCSVSARRRRRKRRRVRDLGGRQGFIASCTRFLSAANASASRSISYACFSCLVRLKIMLNGLLILIMTGHNTVHLAILFWKLEAKKLHDWVACIWPPSTIKDTGSRLHSKLKEAIAQISINVKTGLEKNVFGNHFVTMPKADTRTPPAFASDPLKRVSISGDRESNGANIINSAIDANLQSGGMYSSATVGSGTPSLVEPEIVEIDGPSPITTQFPEQESNFIRSPSPHGPSAMTRKGANYVERQNSEISYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPRKESDAPEGRSRHQKSSRMDPTKILLALAIMTIPLVLERVHEAYQQRYMGQCCQLVSGHLNRQSYVLPDHPMSLSSPNNNRVKGQQWRKLNFRLFVLEHRIRVKECVLNNGGSTLDCLF >KN538694.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538694.1:495303:497457:-1 gene:KN538694.1_FG031 transcript:KN538694.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVQEMKQELFPLGGRSATSLREELETENEGKTTNAERKKFLLMVLDAVPSDFFTTEHQGGYFMILLLRLVEICCFLSDFSFYDLVHLIRSGCAIIVTSRYEDEYEHTTRTLNVHKLDFTLPGFFQQRALALSKCEHAPLLAVLEECYPNVLALQMFLHLLYINPYRSKTEMDSLSKALGGQSLIRRWLAGGLVIDRRISKGSRPVKLDDQAECVFDFLVARGFLCPGEISAAGKIKTCTMHQIVHDFIATHVSLAVDTWLPPHLAHHLSINSGVALEEEPEASPPGPNGPFHGILSLLIDSLPGSDQWKQLRVLDLEGCKGLKKKHLKNICKILLLKYLSLRNTDVTQLPKQIKNLQCLETLDIRQTEIRAFATKYIFLPMLKHLLAGSKVSPSNSDNPHMVRLPSGTRRMKKLEILSHVDASNNVDDLIDIGQLLRLRKLCVILDGKKTDGLALLSKQIAKLHGCLRTLSIQIKKPTSEDIVSVTQMAALVSPPKLLQSLNISGITSGLPDWITQLDQLTEITLCETYLGEDALHILSMLRILRCLRLRRKSYTGTKLSFNAQEFQHLKSLVIEGCDITNIVFIDIGAAPKLEMIIWSFASIASLPLLGIDLLPRLKKLELNGDSDMVAVRQAINRPVFKHNSSHQRQEAGTEVAASAPSRIAEIDRQRQRLPA >KN538694.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538694.1:532070:543989:1 gene:KN538694.1_FG032 transcript:KN538694.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYFPTSFSIFLFLLLFLSFLKDLDLDSLDAQKGFTSSVLTCTFLCLHMSWHAGNQSDMIIEVCCCLGFAANVAQLAGLDVASLVKEIKERVQTVSQNKEDCELLAERAELILDLLGRLQKSKVIEDPDMWKPTERLRSTLRRACEVIEFCRERSCTYRFCKSDHTAKELRKVLKALKFCVTHLTALATIINGDQTTRYFLVQQTPDVVQLQDGVQVPALGLPAQHFKYNDRNDRGETLGISGKAQLVTEPSSVNEPGLKRFAFSQLEVATDNFSLENQIGVGAFSIVYQGRLNEGLEVAVKRASYVDKIPFHQLENELDLIPKLQHTNIVKLLGYCTRKRERILVFEYMPNRSLDAFITGERATKEPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDASLKPKICDFGISKALKADADKDCTGVVVGSRGFMAPEYKQGGCLSLQTDIIRGKHISPSSLALSDESRNYGPLNKWAWNLWKDGNLMELIDPSLHDETHAAEIKRWVQIALLKEERRNKNKTNARPARVAIHEESSTSQRKAPEDDAFQRGIMKAFDNALQKHLNPIYCSLQHLTKQTGTLSERIDTVSHEVGQIKKLISNRDANERYRSEANQENAAVTEEVNQEQTALRFAANEVHEGQGVELRFLNKLKDHLVYTNDKITAEDGTAIKIAIFRDNKIVTAGQLSSARIEILVLHDKFYDAAPDNWTACEFDAHIVSSSKGAVLGGVLRVKLKNGEASLSDVSFNMPSSKTGSKKLILAARVLSSDKAGLQIKEAVMNQPVEVQVNRNKSNKKSNCPKLKDEVHRLKGISGKGERAKWLKNNGIHTVADFKKALNKDEEKICTECFNMKKDNMLWKATVEHAEQCDLEGNCKLKSYGVEEKDVILFFNCVHDLVGAKFCGRYVAKDNFNSDEQDEVNRLKIQAYDELDNIVFDHEMKDNYPVPLSSTLNTGINPPDLHVTCQVQDTAAVETAHHATFADANQLPQTFLNNNSACGFIIGSEQIPVDPSFFNGYRGNYQAGTMRQIDMTAIGYCIAQSSEAVIAETTSGGNNLTGLMNMDENVSDDSVDAFALVNSWMNSSPPSFK >KN539209.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539209.1:49519:49930:1 gene:KN539209.1_FG002 transcript:KN539209.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSAVCFLLVLVLLGTPTASAAICEHFSTKDLFCIKYLCRGFCHDEAVNLRGKHARVMRAWCHGRRCNCNVCH >KN539209.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539209.1:68629:73807:-1 gene:KN539209.1_FG003 transcript:KN539209.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVVNGQLPGPTIEVTEGDSLTVHVVNKSPYNLTIHWHGVYQLLNCWNDGVPMITQRPIQPNHNFTYRFDVAGQEGTLWWHAHDAFLRGTVHGALIIRPRHGAASYPFPRPHREVPIIIGEWWEKDLPQVDRNMTNGYFDDYSSGSTINGKLGDLFNCSGVLEDGYVLDVEPGKTYLLRIINAALFSEYFLKIAGHRFTVVASDANYLTPYTTDVVVIAPGETLDAIVVADAPPSGRAYNYTDQALTPFGPEEMRLEATSRAVVTRRFRHGATVDVVFQSTAMLQGDSNPMHLHGHDVFLLAQGIGIYDAARDEGKFNLVNPPRKNTVLVPNLGWAAVRFVADNPGAWLMHCHFEFHLSMGMAAVFIVEDGPTVDTSLPPPPEDF >KN539209.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539209.1:118879:123688:1 gene:KN539209.1_FG004 transcript:KN539209.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVKRKLIVDKSPTHHLLHSPPSPITLLRRRGLPSELESSEGSSTSLRSNAAYTATTEEDVQAVARAVIRIALKFPEAICQLVRRLTPGNVAAALDWDLLDSDHLQKMAAGHTTWTEAELHAFLEACMEEIEARTITSTCPNRLGYANLEVKMYQKAQKVVNRTQLKNFWDTCRRRFQTWCWLESMATGLGRCPFTGNILASPEWWTRMDQLLGNQNVCLTAVALETTGNAHTLQLCEGPQQAEILDIEDLIDQALQEPEAQTPWRGKRAVTGGTSSGSSSKRSRGSYASDALNCLADLRVQSNESRARREELKQAKSARACMELLKADGVSSRDPIYHMALRVFRDGFLREFFLDDCPTPKARLYFIQSQYQDMAQYQPLPPPGFGGYLQSAPPGGQSQRATNNRMARSGSTICKYFHKVLDAVYSMAADINKPVDPSFQRVHNRVAQDENFLPFAGAAGAVDGTHIPVRVAVEEANLHRNRHHITSRNVLVAIGWDDRKYLLVDSGLDSADPHFMTQNRLYNGYPPIPQAPPLRDWYDAPNIAAGMRAVRDAIVNEVYNN >KN538694.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538694.1:437968:439111:-1 gene:KN538694.1_FG033 transcript:KN538694.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSWVVEMKKMIGDSSADGPCMSERPSIYRVPEYIKKMTNPEAYRPKLVSLGPFHHGDPALVPMEAHKRRAMGRMVKRSGKPLGEFLAAVEEVAEQLRDAYENLDEGWRGDRFVHLMVTDGCFLLEVRRMCKLQGKVEHNYGPDDPVFSEHGYLYLKKNIISDMLVMENQLPLLLLKKLRHVAGCDQCFTEYSQCFTQYHRC >KN538694.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538694.1:500977:501189:-1 gene:KN538694.1_FG034 transcript:KN538694.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVEQTKGKMKEAVKGKRSKDVVVKSFCDVLPLDLLPHLLVRGPGGSGALLLHLPVGSPSGSGGFAYC >KN539209.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539209.1:31730:32308:-1 gene:KN539209.1_FG005 transcript:KN539209.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKNKRCNRRGTCGRGGKDWGYTEPIGGEVTAGAEDGRVRERAREGTTGKRTHQLGGHSLSTRERGAGRKKGHWPAPAVAAAQGCGYGLEVVPLALGSKGDGKLPRLEGEWRQRGETWWHGGEVNAGDGDVDFSTDVVGIRVWGRWSWMGSEQDGRGRLDKDGREDHVDGLITAARGHQTTHVQKKTVAL >KN538694.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538694.1:516006:519569:1 gene:KN538694.1_FG035 transcript:KN538694.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGFSVSAPPPGMEFEAKITPTVVVSCVMAATGGLIFGYDIGIAGGVTTMDDFLREFFPAVLEKKTRTREVKETSNYCKYDDQGLQLFTSSLYLAALVATLLASLMHVEAVPLYLSEVAPARIRGGLNVLFQLNVTIGILFATLVNYGANKIHPWGWRLSLSLGSMPAALLILCTLFLVDTPNSLIERGRLEEGRAVLKKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLRRRNQPQLVIAVLLQMFQQVSGINAVMFYAPVLFNTLGFKTETSLYSAVITGGVNVLSTLVSVYSVDRAGRRMLLLEGGVYMLLSHVAIAVVFRIKVTDSSDDLGHDWAILVVVMVCTFVFSFAWSWGPLAWLIPSETFPLEARSVGQSVSVCVNMLFTFVFAQTFLSILCHLKYTIFAFFSVCVVVMSLFVLFFLPETKNVPIEEMTEKVWKQHWFWKRFIDDNNHHVVVNSGKSK >KN539209.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539209.1:89088:95918:-1 gene:KN539209.1_FG006 transcript:KN539209.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPTSLRGRHDSAIGNFGVPQYGGSMAGTVVYPKDNADACEAYDGDRHFRAKPGALPNFLLIDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFQVAKESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADLDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEMETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGNGKCQCPAGFRGDGVKKCEDINECKEKKACQCPECSCRDTWGDYECTCSGDLLYIKEHDTCISKTAVQGKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTNDEEHH >KN538694.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538694.1:427152:427718:-1 gene:KN538694.1_FG036 transcript:KN538694.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPMPSAAELREAGIHFKVSRATGFEGAVSFEGGILSVPQILLYDDAECMFLNLMAFERLHPSAGNDVTTFVYFMARLIKTAMDVPLLRSKGIIDNRLGSDEAVANLMNNILIKGAVICKDSNLTDVIREVNAYCKKPCRSLWASFKHIYFSKPWSFISLVAATLLLTAAVMQTIYTAVAFYKNKS >KN539209.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539209.1:153:332:-1 gene:KN539209.1_FG007 transcript:KN539209.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMI >KN538694.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538694.1:546078:546500:1 gene:KN538694.1_FG037 transcript:KN538694.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHLAGLDAVKLVRMIVQAAQKVRHNKKICQQLVHHVQIVGDLLKKLQTSEMMQQPEIRDGLNELKEILREAYMLVTSCESSSYIYHFFMGGQLADQFRVLQSRIDSCLQVFPLISHIDTSDRLDQILEIIQPRRPQV >KN539209.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539209.1:51914:53076:1 gene:KN539209.1_FG008 transcript:KN539209.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAGVCFLLVLLLLANPTSADELDPGTCGTEVDPLDPCIQTLCKWNCELVAMKRGGHLTSYECGDRECKCDFCASSIRADEHGLHV >KN538694.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538694.1:510701:515135:1 gene:KN538694.1_FG038 transcript:KN538694.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSVTTIVTLANDIAGAVNTVKQNKKRCQRLAERVGDIGEVIKELGDGSSSSSPSTAAATRRLFNEVNVEIDRCLRDLTVALISRIERKLNAVGDTDTKVAAADASICQTPPATRCSHGHDDGTKDEDKDKMATGAAEKNGALICYGEEDSKSKTGAATAGEGTVGVPARTELLIYLIHEMAHRDTENSISIGSHEFDGAMLQKTILIQTSSIFVTDGDASIPLTDIHVTFQAVGTSHHAEIYQAPELPQAFLNYNSACEIEPNVEELIPTEEYCLYDHQDEGYRAGAMTQIFGRFSQVDIPIGCYIGQASEGTSSGGNALIGLTNVSQNVSDDSNAELIDSDMNPYQYII >KN539209.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539209.1:55203:56108:1 gene:KN539209.1_FG009 transcript:KN539209.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLVSSYVSYYGINNRYLVASRLDGDGSAPDNVFVLSVPTQEEQGGEWNCRDGSSRNMSPCAMHLLVMAIAVEDDGNMGAHRGNGDDVRQRSSNELSHVEALDTETEKRSDAVLR >KN538694.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538694.1:458983:460561:1 gene:KN538694.1_FG039 transcript:KN538694.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVGIVGFVLQIITDIVPKIKEEVDRVRQNKKECLRIKSRAERISHTLSPCKSNEELMNHLDVSEPVRALGDILREALKTVTDCQAQEGARSPQDLLCFFCRARKISSRLQEVAHDIADIHADVSLAILVTNSKPASFMVYQISKHTQDTNHPPRREMKDVRCIIHYCLPDTGTNSSQWWLSSIPKWSIS >KN538694.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538694.1:416749:417237:1 gene:KN538694.1_FG040 transcript:KN538694.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMDYASSARGLINMIVATVQKVKRNKKQCRELEDRVRVVFSVLNRHEQPSSEMMRLPGAREALDGLDGVLREAHELAVSFQSKRRRLWVRRMFNAEREAEKLADVLSKIDFYLSLYPSIAHADMAHRLDQLLSTTAMSVIISAVAFAGFIVVSMVSRKT >KN538694.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538694.1:526666:528045:1 gene:KN538694.1_FG041 transcript:KN538694.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMEVLSNVVASQDGHELEDIRKLWRLRKLIGVVIEDKKVHLEKLLRVISDLKDRIQLFQSLCIQIEARALSLTKEKLLSLLTKGGNELTKLTLTSTLLNQDNMKNLAVLPNLRCVRLRYQAYTGDRITFKKDEFQCLNCFLVDGLHTTEIIDFESGAAPELEKIALSLTSIKSLVGAGSLKNLKELELKGSEILPLPLLVEDGAAPEQLTEEDRMLTFKKMEFQHLKHLLVEASLMTKIIFKDGAAPELKKITLSLDNIMSLDGVTLRDTLLSQGDTQVLAKKPHKICSLVLKHCSYIGSQLTFSEDEFPRLKLLTVECSAITNISFTDRATPKLEKLTWSSSTMESLSGIKNLPKLKGLEFLGDHVPYQVRRDIKAHRLNLDLTYRVPQRHLD >KN538694.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538694.1:468169:470395:1 gene:KN538694.1_FG042 transcript:KN538694.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGAKSRCHTLSTLCATRSGTWRHAATGEPTTPDGKMADQLRSPVLRIAVLAPKIKAEAEKARLNKEECIKIATRLNKVSELLSQLEQTEMMKDPAMSRALWKLDETFSQAHMLITACQRSNIVTMFLPWPAKKLYEQLREVFDQMVLELNDVIAVGVRTIGTIHFFFIEKELLKEESKQVQHSEEDKIHFKSLHVKSGR >AMDW01082890.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082890.1:627:1184:1 gene:AMDW01082890.1_FG001 transcript:AMDW01082890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIADALPPPPAQAEENHLGECNRALVFDDSPGKSDLSNAASVVSSSSLAFQDSSSTDRSPDDDSSSAWSIQVNASSEKGDEDTFTDQEDPEEEEWLTEDEDDECFDDLCEGMSKMSVFDDEEEDKKAGLPAFQGKHTRFIYDSDGEMEREDVAHVPVENCTMVLRGLPVPEGKHLRFHEVEEDEE >AMDW01039723.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039723.1:522:647:1 gene:AMDW01039723.1_FG001 transcript:AMDW01039723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIANLPADVSAALAPDVEYRLREIMQ >AMDW01040270.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040270.1:21:963:1 gene:AMDW01040270.1_FG001 transcript:AMDW01040270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFSALASEIASRTISFIVAKYQKQATMDKTIRLHQLLLRAQTIIEEADGRHISNQAMLLQLRQLRNAMYEGHYVLDTFKGQTEVNKSFNNLSKIQVSIESLESTIGDLKEFVVFLMDCPRFLLEPYSTYLFMERCMFGRHVEKDRIIDFLMQPSSLSLEILPVIGPREIGKRTLVEHVLNKEMVQKHFSCIIRLSSDDLNNIENDSTSKGHNLISFTERSLLVVELEHEADLVAWGRFCSSLSKINIMIKVLLISCVQKVSTSGTTQALKLKRMRSDEFWNFFRTLCFGSENPYEHQLLLSMAMKMAKLAK >KN543566.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543566.1:2:3162:1 gene:KN543566.1_FG001 transcript:KN543566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGRLGELRHLNLSHNSIAGRIPPPLISGCRRLKNVLLHGNRLHGELPGELLSSLRRLEVLDLGKNTLTGSIPPDIGNLVNLKLLVLEFNNLTGEIPPQIGKLGNLTMLSLSSNQLSGFIPESIGNLSALTAIAAFSNNLTGSIPPLERLSSLSYLGLASNNLGGTIPSWLGNLSSLTALDLQSNGFVGCIPESLGDLQFLEAISLADNKLRCRIPDSFGNLHELVELYLDNNELEGSLPISLFNLSSLEMLNIQDNNLTGVFPPDMGDRLPNLQQFLVSKNQFHGLIPPSLCNLSMIQVIQTVDNFLSGTIPQCLGRNQKMLSVVNFDGNQLEATNDADWGFLSSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGITNNNITGTIPESMGNLVNLDELDMENNLLMGSLPASLGNLKKLNRLSLSNNNFSGSIPVTLGNLTKLTILLLSTNALSGAIPSTLSNCPLEMVDLSYNNLSGPIPKELFLISTISSFLYLAHNKLTGNLPSEVGNLKNLDELDLSDNKISGKIPTTIGECQSLQYLNLSGNFLEGTIPPSLEQLRGLLVLDLSQNNLSGTIPRFLGSMTGLSTLNLSSNYFEGSTILFLILFTCFALRRRTKLRRANPKIPLSDEQHMRVSYAQLSKATNRFASENLIGVGSFGAVYKGRIGISDQQMVVAVKVLNLQQAGAYRSFDAECEALRCIRHRNLVKILTVCSGIDFQGNDFKALVFEFLPNGNLDQWLHKHLDEEGELKVLNLVERLQIAIDVASALEYLHQHKPCPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHQEHSNSSDKSTGWNAIRGTIGYVAPEYGLGNEVSIHGDVYSYGILLLEMFTGKRPTNSEFGEVLTLHEYVETALPDQTTSVIDQDLLNATWNSEGTAQKYHDIEEIRTECIVSILKVGILCSKEIPTDRMQIGDALRELQAIRDRFDTHQL >KN543566.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543566.1:5065:6708:1 gene:KN543566.1_FG002 transcript:KN543566.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRFSFLLALLLLATAVPPAPMTRAQPATDHLALMAFKSQITRDPSSALASWGGNQSLHVCQWRGVTCGIQGRRRGRVVALDLSNLDLSGTIDPSISNLTYLRKLDLPVNHLTGTIPSELGRLLDLQHVNLSYNSLQGDIPASLSVCQQLENISLAFNHLSGGMPPAMGDLSKLRIVQWQNNMLDGKMPRTIGSLGSLEVLNLYNNSLAGSIPSEIGNLTSLVSLILSYNHLTGSVPSSLGNLQRIKNLQLRGNQLSGPVPTFLGNLSSLTILNLGTNRFQGEIVPLQGLTSLTALILQENNLHGGIPSLLGNLSSLVYLSLGGNRLTGGIPESLAKLEKLSGLVLAENNLTGSIPPSLGNLHSLTDFYLDKNQLTGYIPSSISNLSSLRIFNVQFNQLTGSLPTDNGVNFPLLEIFNAGYNQFQGAIPTWMCNSSMLSSFAIEMNMISGTVPPCVDHLNSLSVLTIENNQLDANNDYGWEFLSSLTNSSQLKFLDFSSNKFRGTLPNAVANLSTNLKALALSENMISGNILKELETLLIYCTFS >AMDW01037172.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037172.1:70:678:1 gene:AMDW01037172.1_FG001 transcript:AMDW01037172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QDEESDPAAGESESDSDSDDLDEVIADILEGGDDGGSADYYTGPLLPEGTMLLTKTLVDKILALRRRRFPMPPATGGERLWWVSPEFREELIAAELAAAAVFDASQDKFVECQAMIAEKRHPEHGYAVFEETGEVMTTICRAFFGRYNESDDDDLLCDCVDANECKCGGDYWANEFVDEEDDDCCEDVDEEEEEKDEVAVKG >AMDW01023548.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023548.1:130:300:1 gene:AMDW01023548.1_FG001 transcript:AMDW01023548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGIGEDGSWHAKFKDSAYVFVGGIPYDLTEGDLLAVFA >KN539456.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539456.1:101641:102735:-1 gene:KN539456.1_FG001 transcript:KN539456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADSDEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPVDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >KN539456.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539456.1:80060:92688:1 gene:KN539456.1_FG002 transcript:KN539456.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGTERLAFLVDRHWPAVSRACVSSGRLALAALRQWRGCAARGILEMASLGPASVFVILWSCFVCITSPACALYALLGMGAAGAVIHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLIAGGCMCSLKHARFVIPVLAMYAVYCVAVRVGSLGVFLTLNLSFLTNDLLNKLLQGYEGSTEERQFEEPKHSDPVMDEFYRSCEFPSAPDSEPETVSSAKPFCSTPVQDVLHVQKEASPSKVVKSDSVSLDEMKRIMDGLTHYEVLGIPRNRSIDQKILKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNTYDDQLRKEESRKMTQRSRVVSQQDCSDFHPAKDGDGWVENKFSSSFKEIPRAFVCAESKVFDVSEWATCQGMECKPNTHGPSFMVNMVGADRMSQRSYSSRYPFSLNAEMIPEDEFELWLQQALASVFSAMRSRELYPKRSDTGMSASDNMCSLLLEYELQKEVSNIMIQSYITSMRREFETKLWENQNCISTLNKNWKEKISKIAALRDELSTIYSVVSASESGVFSSHGSHEKVEELNFLKMKDDNESSITERTTDSGELMLDIPDFSLLKHMPSEEVTNFLKSEWLKLRRQHESELHEKTEELFRLKREFAKDIALLPSRKERELEIIKSKLLQSISKLDEITSREDNPYCDNYDDAEVCGFEDRIGSLLHENEQLQGLLADKKMVAKHLSLQVLDAERKMAQHSLSELKLVKQIEKLSHELEDLKIESHIKDLLELSTLREVFDNYENHIDDANQEETFLRELLVEKEEQLSIMYEDRQKLKYENNQLVAIAGSTLMQHHEQVNLVNDLTNFREKVCEQELLILESKSESNSMKSSLYEALQQINVCKQEIHGLTDNLTAMSIALEEAKEQNASLDATIQEMKKTSAPSMNSHTGQAGHLEYALVSMEKLSKSYSDFESRLAQSMKRNEIRLTNIICQFNPLAQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILGKIYIALDHYSPVLKHYPGVTEILNLVQKALKGERI >KN539456.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539456.1:64192:69475:1 gene:KN539456.1_FG003 transcript:KN539456.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTIRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALQHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASTDASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >AMDW01039270.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039270.1:431:787:-1 gene:AMDW01039270.1_FG001 transcript:AMDW01039270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVKLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVDRKSIFPESQGFIMKETNLVKDLRSAGLAIYAQ >KN539456.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539456.1:2857:5390:-1 gene:KN539456.1_FG004 transcript:KN539456.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRILACSQAGSWRASPVSLEELVSKFLEDSSKSAPGASINGGTEQEKTAAGVAEDAGKKKKKKGGDKEVSEAENKAAEPSAVVKPSENADVETKEKKQKKKKSKKQENGEDVEARLEKAESAIINKFETVDTLKEDSKNGLVDVGPVEKGKKKKKGKSTPETSDKVDTGSTDAGADCAKGKGDAAEMEKDNNEKKSKKKLKKSKENVEVVENKEVAGKDSAPKSNDENNSGMETEKGENGMPPSDNAVVGKKRKLEEVEGSNLPAKEDNTASQKLSNGSSEDDGAKPNKRQKKSSEPKTVNAFQRVKLEDVKFADDRLQDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFNDSDDE >KN539456.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539456.1:41116:43704:1 gene:KN539456.1_FG005 transcript:KN539456.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVHDVSGNHGADERQKQQRQGEPEDQQEASVTSTDSHTMVATPSTDYATPYAHHDMAHAMQGQIAYANIDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEEHSDSSSRDKQDGVAPRDSGQPSTSPSSKGASSAKQKKKSKTSN >KN539456.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539456.1:95690:98258:1 gene:KN539456.1_FG006 transcript:KN539456.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMFSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNTLANFVSEVSLTLHDNNTAYSLLISNNGTKVFLFPQVKNLATGCFLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >KN539456.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539456.1:8276:32235:1 gene:KN539456.1_FG007 transcript:KN539456.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRSSAKLVIALSTSVLVSNDHSSLKLPVGAGAASVSSDLVLFLMDNMVMLQRDVFNLVWLLFNSKSLKGPCILLFGCRSSTRIGSVWFLFRFVGGELRKKNTFLWGFGRIEMEDISSLKLQDLLMSHLVHKSDASRLMPMLTYILMTDELYCTTGLPSDVLVEVGEMSFHLHKMHEAICTTLLVIMENFEEMSFNEQPQLTFCTPSYSRNFDESYSYSHSYWLILKRVKKAFISDFFPLYLFPLLSKSAILGRLIEENSDSDECVIKLSDIPGGAKSFELVARFCYGLKIELSSENVVYLRCAAEHLEMTEETSGDNLINQTESFFNQVVLRSWKDSLEALRTCDGLLPHAEDLHIVKRCIESLAGKASIDPDLFGWPVSEHSTMQSPGGSVLWNGISTGAKVRNCSSDWWYDDASFLSFPTYKRLISSMESRGIKQEIIAGSLTYCAKKFLPGLNRRQSTGPMPLPAATLSDEEQRRLLEEIDRMLPLQRGLISTNVLLWLLRTAMILKVDRACISNLEKRVGMQLDEATLEDLLLPNFSYTMDTLYNVECVHRILDHFLAMDQTMGGGGASPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDINLKPLKFQSLAAALPEYARPLDDGLYRAIDVYLKSHPTLPEAEREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLEASSRTLRGGAVAGSGSRGADPCRGRKRGRLLTLEEEEEEEEEEESGMEGCSAPACGDVRGDFVGWCSDRHQVASFSGDQTQSASMFAAMQENACSIDSKGVVCPQSGLGYSAGQNGTHGGGGSMQHQNFEGCMYMNQLGQMCGPYPPEQLYDGLSTGFLHRDLAIYAVFGGKMANPVSLGSLKQFLSQWSSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACRKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAIQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNRESAEHSHSACVEYVTEKTDGRSGSSTTLFSGPLGRVQERIRTPVHAPEPSSPPGISVHETPSPAEMPRDEISDMAEMARDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDVHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQSSKSVDSVPDIYRYTNWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKVPKRNRSSHPTSKPLVSNKVPTEDRTTSAMPAKKRKQKNLATESNLKTKAVILSPESHGCEAPTEKRTTAIMPVNKRKKNLSGESKLKAKPLTSPESYVCEAPIDNRTTSTMPAKKRKQKNLSNESNLKKKPLVLCPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDVPRINELGSKVYKSGGNCNWVLPQYVCVMRACRLYWAEVHCRNVLGFTDRMVRWTNSGYPEEGQQHYYIDWIEDIEFTRYMDAFNLSSVVYVYVFRPEKKSIRIMWSAWVDTDVHEYLISQSCERWAQGIFTPSTNLDAQQIHQGLDLESRQEARDAAGPAVVLSYAVSGVSAMLSVFCYTEFAVEIPVAGQ >KN539456.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539456.1:72434:73599:1 gene:KN539456.1_FG008 transcript:KN539456.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVERFEAGWWADLGTGSGAIAVAVARMLGPEGMVFATDVSEVAIDVARLNVQRYGMQDKVEIRHGSWFEPLEDLKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDFIRTKWDSSFRDVEAVLDFADIKRFVTGYRR >KN541846.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541846.1:1260:1628:-1 gene:KN541846.1_FG001 transcript:KN541846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERFTPNPLSFSF >KN541846.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541846.1:9465:11993:1 gene:KN541846.1_FG002 transcript:KN541846.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTVTDPLEELWNHTMSMDKTHLMCFYPSKITMGGVWTGDNPLDFSIPLLLFQILLITSTTRAATLLLSPLRLPTYISQILAGFLLGPSVLGHLPHFSNLVFPIRSLFVLESMALLGLVYYTFIVGVEIEVSAITRAGIRSFGFAIGCTLPPFLVGALTGYVALSTDDKHKGDTFLNKLSFPIFLGSTFSSTAFAVLARNIAELKLAGTDVGQLTLSASLINDTFAWTGLTVATVLGHSRCTITQTTWTLTSGVVIFGTSYLLLRPMLLRLARRAAEGEAVGEDRECWILIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGALLPLFFALSGLRTDTAKITNVHSAVLLMVAAMVAAVLKVVAAIGVAGVFGMPLGDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITAMVSPFLGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPALLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYESMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHPAIQPLNCSVQSFSPCTVGILVDRGLAAVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTASEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMVGEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLASKDFQTTASVLVLQAYGRPAAGGGGGGGGEP >KN541846.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541846.1:3591:6488:-1 gene:KN541846.1_FG003 transcript:KN541846.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCIFLGYLCLFLPTFLKESASNHLSLCFPPLNLQSYWRAYIHSSFTSLFPHRLSSPEIEDQTLEMLEKKECFLQKKASAEVERAKDYTKAKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKTIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENELPEPPQRTSMEPSTRVTTSQPANDLAELIKLQAEMAL >KN542878.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542878.1:470:7157:-1 gene:KN542878.1_FG001 transcript:KN542878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSLPRTSRCRQLGSMLEAAQERARGMTRKKKGLPSFTIARKKQSPLSPKPSSIVTCVVGNTGSKAVRKERRCQSAQIWSRERGGGRGRRMPYRLGARILPPELDPIDSEVDPHCRAWSPPPELDFSPPELVVMSGEATDKTREGEEQMKEQWTGLFFYTELLQTFYLLRTCDYKAASQHVERLDTAAKDEMQKGQRVQELAAELGTVERTLAQPGLKERERSALTHKQRQLKYQLQTLCGYDKLNDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLELIRGELSKLGIVDGVTEANLEHSTIWTAGLYLMLLLQFLENRVAVELTRSEFVEAQEALAQMINWFTRFPTILRGCENTIEMLRGQYAHSVGCFDEAAFHFLEAARLTDSRSMQSMCQVYASVSYICMGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARLRLAGGLRIAHQQLGNIQLVSQYLTILGTLALQLHDCGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELKERENEMENSEYERKKEDDLQRRLSEAHSSPFHQEL >KN538732.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538732.1:48757:49985:1 gene:KN538732.1_FG001 transcript:KN538732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSILLVFFALFAVASLQPSAAVRDGQVFKPTVAIVHDQQPVPEKANADVTQPSASQVGLPGLPPLQPLPTIQIPGLPALQPLPTIQIPGLPPLPPLPTIQIPGLPPLQPLPTIQIPGLPPLQPLPTIQIPGLPQLPPLPTIQIPGLPPLPQLPTIQIPELSPLPQLPTIQIPELPPLPPLPSVSITPGSPGAPARIPISSQSALAVAAPTTPQQPTECLSSLMALMPCMEYVTKTDVPAPPSVCCDGFKSLVEKAPICLCHGINGNISKLMPAPIDLTSLPATCGVAPPVEALTKCFTGPVPPLMPASTPAAAPSPSPEPST >KN542878.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542878.1:9237:10582:-1 gene:KN542878.1_FG002 transcript:KN542878.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSPLGKFWRIELEKDELGMFFKGGWLQFLSFHGISPGDVVLLRHEGNLVFKIKVFGINGCKKDLKTKDDITIQQSARNQHETPSFSTRKCNKNSRFGEDCKNQLQEIPCSIKGSRKKGRETKRPKKSKSIYEIGPPSWIKKEISNYMLENGNISLPGIFCKSIGLVEETTITLMINNSRGSSSGSRSWEVACSVNKNGYGCCNLLPSGWKRFCQANGLLVGDVCTFSVVEATLWHVAIDRAVERS >KN539036.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539036.1:122051:129161:-1 gene:KN539036.1_FG001 transcript:KN539036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKYHLEKFKEMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEDAMRDLVKLIAIATIKLSSSILAV >KN538732.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538732.1:121356:122231:1 gene:KN538732.1_FG002 transcript:KN538732.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAEDPLVRDEAILDDDDDDVDTDEEESESEDDSGEEFHAEPSKKAVYNKEGILEKLEDIAWPENVDWRHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEESEERKKAREAKKRAKEVQAQKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGDEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDKSQNKRRKMG >KN538732.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538732.1:29234:36023:1 gene:KN538732.1_FG003 transcript:KN538732.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFEELGVEMELSDMSFSVSAQLQDDDERTMEWGSRNGLAGLLAQKTNAVSPAFWRMIREILKFKDDVLAYLEEHEKNPDLDRNETLGHFIQSHGYSRLFQQAYLLFGRPQWLTVKGRSHTYVNRVREELESLGCQIKTGCEVQSVSAPEGGGYRVVEAGGSEEAYDSIIFAVHAPDALNILGDEATHDERRILGAFQYVYSDIYLHCDKSLMPRNPSAWSSWNFLGTTTSGVCVTYWLNLLQAGARLLVTRFLAGYVSVGNLTLLEEGGTMFSFGEAGKRCQAKCVMRVHDPLFYWKVATEADLGLADAYINGYCSFVDKKHGLLNLLLILIANRDASKQSSTSTSRIRGWWTPMLLTAGVASAKYFLRHVSRKNTVTQTRQNISQHYDLDEDESLEAAQQRKVSLLIHKARVERDHHVLEIGSGWGSLAIQVVKQTGCKYTGVTLSEEQLKYCQRKVKEAGLEDHMTFLLCDYRQIPTARKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLSRITSAMSTSSRLCIEHLENIGYHYYPTLIRWRDNFMVNREEIKSLGFDDKFIRIWEYYFIYCAAGFKSRTLGNYQIVLSRPGNDKLLPFADNPYATFPAA >KN538732.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538732.1:53787:56096:1 gene:KN538732.1_FG004 transcript:KN538732.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRWPPLLLLLLLLLLLFLLGAAVLAVVPAGHDGAVELPELLDVHAPVAVGVDARDHGRQLVGRHGDPELPQRVLQLLPHTGIQQFVMSFEVGVTLEDDSQWQAPAHCFPAAAADEDEEEAEDEEQDEMIKSSYDHIEGVDDGLDAARLLRRLAGAAAF >KN538732.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538732.1:108193:108555:1 gene:KN538732.1_FG005 transcript:KN538732.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLAEISRKWSGSGSSKVTSPTAAAAACPRGHFAAYTRDGSRFFVPIACLASDTFRELLSTAEEEFGSPGGRPIVLPCSADRLHHLLAAFRSASGKNKCSPPSGSGGRSGGRTKIW >KN538732.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538732.1:20538:20978:1 gene:KN538732.1_FG006 transcript:KN538732.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTPMPTWTASPLPPPTSPLFERGRLYDLYSARRNERLKRKHGFPAGEEEAEAMAADPCVAVELSKRRGAKKMTGADSVRRSMPAAADFSGAGRAATSTLGLRSSLRSSKEMKKASAASSSFAGAKSPAAKERRASTRSSARRF >KN538732.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538732.1:123649:134621:-1 gene:KN538732.1_FG007 transcript:KN538732.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACGCVACLCPPLPLAAVAVAGGGEKIASVVVPYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPELPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEVLFSVPHPRFCYGHFHGEQFLLGCISAVTLEYNLIRHTYHPYLLTSKLLTVKVIDDWIVDTGISIKHLQVYS >KN539036.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539036.1:55244:61870:-1 gene:KN539036.1_FG002 transcript:KN539036.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloeucalenol cycloisomerase [Source:Projected from Arabidopsis thaliana (AT5G50375) UniProtKB/Swiss-Prot;Acc:Q9M643] MEVFQGCGVQDRSGWRAPRRPAAAKARNGGGAGRTSRWMAADGSKRWGETFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLVVGLVSTVPAFVIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANKICGNAFQSGQIPLDRPSGYTTFEHREKFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNESV >KN538732.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538732.1:158979:166793:-1 gene:KN538732.1_FG008 transcript:KN538732.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding YKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENDKAIETFKKVTRIDPKDHQAFMELGELLVQSDWATAMEYLKTVRLFTSLEVYSVSLYQILPWISSQARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGSVGSSVVNWSIQYRDQSFFQQLEEEGTPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDAYLRLAAIAKEKNNLQLSIELIGDALKIDDKYPNALSMLGSLELQGDETWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNNWCSQFMMMSKDIIYNMFLGFKKMVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHIEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEEGGSEKRRKKGGRRRKDQKTKAHYGEEEEDEYRDEPEAEDDYANTARSNDGGDSEKAPGHLLAAAGLEDSDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPSAGENDLSD >KN539036.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539036.1:33818:39250:-1 gene:KN539036.1_FG003 transcript:KN539036.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSKKLAKRPRAAARGGDEEEGDGKEVKEEEEEDEALLFPVGAEVEVGSDDPGFVGSFYEGTVEAHLPGGGGYVVAYTTLEEGGAALREEDNGGPAFREGSLVEVSRSAESFGQSWNPATILKVIGSTNFLVQYRHVGDDGELVTEIVDTEYIRPARSIIRMDSKYRFSPSSHVEVFHEGSWWPGIILETSSGVFGKMYVVKLKSYTTGIDNVDGVDKLTVENTKLRPQFEWDGRKWMRCMTKKKDTKAKKLVIRGSQLTSRKKPIPADLASCNDSDEIRDKPSSDKLLKTADVVPRPKETMKQQNAVLALASQIKLPLQLSMTGSGHLKYTSSLILGSPIELPSSQMDVMPYVPQTAGLQASLFGVFGQLRPIPQDPLLVMQSPHPDLSRNEGSKASTDQEKQSTDEGCCLISSAANSFNFVSFAGIDVSRKRKECVSFQAAEELGVNPETLSSFHIQEMKKNRVDETIEGTHDIAAISEEQTKLIFRDEHNELPTNVIAGPAIPSEKNQPTPLEDNKGPRDSSIVDKFSQSGINDVRQDENHVLRATSTLDNSGDVNLLSSVSSTENQKKISKSEGCEISMDEDSGEEFCRSILVMPDDTRMDQFPSAKSGQATRHDDLICKENLGAIVECVTNTPTENLSFLSPAMFDDGVPNQSPVSENCQDNKQDGMDNVDHGANVVELASIIPETQHASVGGPLSTISLAALEGKTVLSHSSTWESALNEQSGVSQQYHSSAMVESPECFAESSQSIDDSTITQLCSFDTSQCIDAELGNSLIVSNNIQDTPISKYVARTQNSSCPLMQKFLHVHENIMGDQPSESLAIIELPFVKTSPMWAQIEAMEVFSKVPQQPNFHQLQQHPPEFREGIALGLMYSFTNLAESINMLNVHDDNAVFEHKMRCISVLEADGFDVRHLRSRLETLLSLKNSWSKIQDMMKRSEKKIAQEEIDDQQRCAEISVLSMVVRQLEQHAHLFRCIKNRAISQQMSYAMEKSRLKVEASQLKQSSMSTEQRFSSVVAAPW >KN538732.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538732.1:71593:74507:-1 gene:KN538732.1_FG009 transcript:KN538732.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSSSFLLLLAAASSSTPRASACERCVRNGRAAYSPSLSPLPPGGGGGCGYGAMAMEMELNGGFLAAGGPRQHRGGLGCGRCFQLCFCPLILSHLLFTPFFFILFLREKMRCRNAEVCSNAGVRVVLTDFHRSNSTDFLLGGPAFAGLAKPGMAHKLKKLDALSVEYRRIPCDYKDKNLSILVEEQSKRPNNLVIKFLYQGGQTDILAVDVAQVGSSDWRFMTRVYGPVWSIDRAPNGPLQFRAVVTGGYDGKWVWADREVLPANWQPGQVYDTGARIADVARESCLDCATLDWK >KN539036.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539036.1:6553:10338:1 gene:KN539036.1_FG004 transcript:KN539036.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAVRSPSARRAARTRSAPSGGETPPESSGRRRSKQAAVAGERGGRRRPAAGGELLKSPRSGRPKNQPSPPPQEEEEGNDGSARYDCTFQDEGGHDFEPPELVWGKVRSHPWWPGQVFDAADASELALKHTRAGAPLVAYFWDKTFAWSDASALLPFCSNFTRLASQSTMSGFVSAVDAALQEVGRRVEVGLSCTCFGSSIGKRQEIENSGIREGAYGAVVDGAYMRGAFHGRPFLDYILALGKNPLAGADRLELTTAKAQLRAFNCSRGSRHLSEFVTFEGIEDVSVAIPHTKRKRMDKSGGDDVMDMEKKPRHGESSSRKKNVLPEAGKEIMDEEGSVPSIGATEDTLSKTKKSKNQNSAAKKNRNTSKDADGLDMDDKGSVPSKGATVDTSSQIKKSKNRNSAAKKNKNTSKDADGLETVGASKKLSKKAMDETLSDSKSAHRTRSTRMKGGTPVALKGRGKDGGAESLKVEEKNTALLKENKVGRRAGSARKKYKTTGDGDGLEDGNANISVSSGKRSTRGETSVASEAPISEQGRKKKKLSELMAVTDMPNPSSGGKSKARGKRSMDASTEKLDDPDRDLEDTMKTRKRKKLDTLGDLSSQPQPVSRKSTTKVGELMHKAAGQMSQTRPVRKANGAVSQKNSRSTKERQANAPDKSAHSLKVKKGKTDALTESSLSCSEMLSQLSLAVFNLKKKERFSSAGMNFFTDFRKYSYASRSDVEKEIYGKATNTGSCASISDVDEDIPQKAASTEPTPLEQPLADHMQDDYWADILINVEEPLSSLRKKKDKGVSRTRKKEHVKKPAMKSSTSLGNVEEPTVEGSENKQPNAETQLSVANGTKVSSEETESSSFAGLVLHFSRPGAVPSRSDLIKIFSQYGPVNEAKAETANNANCAQVIFKRRMDAEAAFAGAGKIGALGPALVSFRLSDFPAAASGNDPRQGASKSD >KN539036.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539036.1:29561:30314:-1 gene:KN539036.1_FG005 transcript:KN539036.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDLDCVEASSLRRSKLDITEVHSNGVAQCRINGEYLVIVHATATFVETGTVGVELTLPHLGPRCRRLLKNEGDVHVIHDVAVTSPELESQAPLLAADLDRVLHEPTGGGRGGETSPE >KN538732.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538732.1:40216:41467:1 gene:KN538732.1_FG010 transcript:KN538732.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKTILVVVFAFFAVASLQPSAAVRDAQVFKPTVAVVHDQEGVQEKANGDVTQPSASLVGLPGLPPLQPLPTIQIPGLPQMPQLPTIQIPELPPLQPLPTIQIPGLPPLQPLPTIQIPGLPQLPPLPTIQIPGLPPLPQLPTVQIPGLPPLPTLPTIQIPELPPLPQLPPPIRVTPGSSRASAQVLASSEIAPAATAPTTPQAPTECLSSLMALMPCMEYVTKADVPAAPPSVCCDGFKSLVEKAPICLCHGINGNIGKFMPAPIDLARMMSLPATCGVTPPVEALTKCFKPSA >KN539036.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539036.1:101291:101785:1 gene:KN539036.1_FG006 transcript:KN539036.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEKRVNIETDESYTVTFHCAICMEYKPMNSRFRCEGCPHYFCFKCVLDHISYRVLGGDAHVCCPEPGCTIGELTYEKWYKHVRGDVRKAWESANLRDSAMLKRCGSCGKFLEGVTLDGMEGGRDDCLDPLHTLTIAKGWRPCPRCGIFIELTGGCSIVTCR >KN538732.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538732.1:7399:7659:1 gene:KN538732.1_FG011 transcript:KN538732.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDVLTLAIDDEVAAALKVIDGYDDVDPALKTVCKLALEVMPYPASRKDFSDDLPNDYSRYSLVSQAADAINKIHAEAAKTLGY >KN538732.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538732.1:114032:115309:-1 gene:KN538732.1_FG012 transcript:KN538732.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAEDPLVRDEAILDDDDDDVDTDEEESESEDDSGEEFHAEPSKKAVYNKEGILEKLEDIAWPENVDWRHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEESEERKKAREAKKRAKEVQAQKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGGEV >KN539036.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539036.1:13022:14516:1 gene:KN539036.1_FG007 transcript:KN539036.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQAPSMLVPTDDEMLQAQAELWRHTLSYLTSMTLRCAVHLGIPTAIHRHGGAASLPDLVTALSLPTAKLPFLRRLMRLLVHSGIFASDDAGTTYRLTPVSFFLVDGATAAAVPVVDGHLSQVPHVLASTSRHCLDTVAGLAGWFREDFPAPSPPSPFEHVHGVAPLESTARLGPEDAALFQEGLRVYDASGFAVVLRECRDVFDGVESLTDCDMFDFIPPSQAVMLKVMLHHWNDEDCVKILAQCKKAIPPQEEGGKVIIIDIVVGSNSGPMLESQLLMDVAVMLVTKGRQRDENDWRDLFTKAGFRDYKIVKKLGPRCVIEVYP >KN538732.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538732.1:141038:141214:1 gene:KN538732.1_FG013 transcript:KN538732.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVVDVVAVRGWSWHPVLSSGRRVIEMRQDEYGAAVKKEKVEPEIDLEAAAGCGA >KN539036.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539036.1:41843:48164:1 gene:KN539036.1_FG008 transcript:KN539036.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLLRRGHPFLRRLLRPPPPPTSSVLILWIFIVAGLVGLQKGGNPFELKADDAVESCNRNVSPRVQLRRFSAEGKDQTNSSEDGYSEANIKKKDFALQQALDQIKSAFGEESIMWLNHSYGPKEVPVISTGSFALDMALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKNGGYCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTMLVFINQGVLWVRSKLSTFSGFGAPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVKIVKNKHAPPFKTVHLELEFGKGLSRELEIIELGFKHKFITKSGVFYHLNGQNFHGKDALKCYLAENKDVLESLMSMLKESIMQQESHPDRNMEDANPDTSITEEFVSATDEQVPEEVEA >KN538732.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538732.1:47606:48037:1 gene:KN538732.1_FG014 transcript:KN538732.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCATTTKRRRLFPPNGATKSPWAYMNPDLLRLVVEWVLASDHIDYICHRLPVRPRHHRPTLPPVSLDDAPRWQQLPPRPRQPPRVGPLLQPLHRHLHPCHAPALPRLHCAQLRRRPAPAADQAQGGQGPLHQCRGISILSP >AMDW01031591.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031591.1:32:408:-1 gene:AMDW01031591.1_FG001 transcript:AMDW01031591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHHNQAAAAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHP >KN539392.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539392.1:36122:40037:-1 gene:KN539392.1_FG001 transcript:KN539392.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGEAIDKELNLRRRGVTYSVLFYAAWCPFSSKFRPIFEALSTMFPQIYHFTVEESSAMPSLFSRYGVRGFPAILLVNETTMVRYRGPKDLSSLVDFYKETTGFDPIAYFDVDHQDSTGDFRPVTPGDRSLRKIAKDEPFVLLAVLFIILKVAAHFVPIVVSHLKTFLVVRVQNLNLGIRRGSSQLLERALNVLDVKRLCSKLRLSNKTRDLRKGASMWEPHGHVNSEGLTLLYTRNTFCVADAMPNLVVKITTPEAGAADSCLIYCDCYMYITVYKKLTKCFWKMLLLYVNLLFTGDLWHPNPSTATVKIFNEGLSAVQFDMSRGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVGGKDDQFLFGASLIMINMDHFTPASHPSPYDCILDDETKNIFLPIHVSEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEIIKSLVGAEEVDVNDDDEAMAVVAPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >KN539392.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539392.1:64040:65717:-1 gene:KN539392.1_FG002 transcript:KN539392.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLIELSHQWLSHQWQVHKNNKACVASYPCVVQNNILWFYPRSGPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHVPYAHKGLMRTRKKEDPGRYNIHLLYTVEFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALFKLIEPSYKISIDDQGKEKKKRPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTLVTSVVQRAAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYK >KN539392.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539392.1:18336:19977:-1 gene:KN539392.1_FG003 transcript:KN539392.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLSAFSQWFVNPRRNPLARLHMQAISSRLRKYGLRYDDLYDPKHDLDIKEALERLPREVVDARHQRLKRAMDLSMKHQYLSENDQAQQTPFRGYLSDMMDLVKKERLEREELGALPLHQRTLP >KN539392.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539392.1:41338:46194:1 gene:KN539392.1_FG004 transcript:KN539392.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAGVVACRPGAVGPACQCLLDAIWSSICWFPLSFFLGLQQLNKMLLNVLMVKLGNVIQRRVLWITTYPIPLVNLQGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDNVTLMEPETFEQLEVSKELFGKTAAYLKDEMKVTLQYFDGRPMSASVPPRVTCTVVEAQPHSKGITAQPQYKRVLLDNGLTVLVPPFVEAGEKIVVSTADDSYMTRA >KN539392.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539392.1:53416:57650:-1 gene:KN539392.1_FG005 transcript:KN539392.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPMLSRARPPLLLHDAGVRLSTLIPTSAIIHQAEAYSISRPSSTLLGFTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPEAMTVFLCVPVAPGRSRLIWVFPRNVDARLDNIIPRWLYHIVTNIVLDSDSYLLHIELIGRPFGPTLFFLTSKIRKLMERNFATVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMSNQLPPTPTKDQVLERYKSHVMQCTSCSAALKGMKELEVALQVASVAIVGSLAVAKGSLATSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYK >KN539392.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539392.1:70135:73768:1 gene:KN539392.1_FG006 transcript:KN539392.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 18, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G55320) UniProtKB/Swiss-Prot;Acc:Q84P17] MVLKEISIKFQQEPKSILDTSDKSRKGGTWLQGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDNHPVNRMSLKELRNRVITVATVLDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGFVVVSIADSFAPQEIGTRMSVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVVIPATGGFLGVSLRNGDMSWKDFLSCAAGRLSIYPTVYQSSDALTNILFSSGTTGEPKAIPWSQLSPIRCTCDTWAHLDIQPQDIFCWPTNLGWVMGPILLYSCFLSGATLALYHGSPLGRGFCKFVQDAGVTILGSVPSLVKSWKAGNFTEGLDWTKIRVLSTTGEASDIDDDLWLSSRASYKPIIECCGGTELASSYIQGSLLRSQAFGAFSGASMSTGFVILDEQGAPYPDDVPCAGEVGLFPLYFGATDQLLNADNNKVYFDGMPIYNGRTSSVEIERICNRVDEALLETAAVSIKPAGGGPEQLAILAVLKDRSPPCDANVLKSKFQRAIQKNLNPLFKVSYVKIVPEFPRTASNKLLRRVLRDQLSKELSNRSKL >KN539392.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539392.1:5003:7900:-1 gene:KN539392.1_FG007 transcript:KN539392.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGGGVGWLVSPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPDGLYDESKSGGGEKPMVQLWHQGGRCPEDTVPIRRTKRDDLLRASSMRRYGKKRHPAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNVLCAGFIQINSEIAMGASIFPISSYSGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >KN539392.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539392.1:94327:98661:1 gene:KN539392.1_FG008 transcript:KN539392.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIALVWCNCCPLVLFLGWLNTFSNRSDLLVNVNVQAISSSFFIKVANAEESAPATGAAPAPVANHQNSRPTRSAYVPPHLRGQAPTTTAAPATAPAPGPAAVQPSASVQPSGYAAIVGGSRWSGPASGGGTGAVGGPRQSVGGRGGGGVGGWNSRPGWDRRDREPNPFANSEAEEATEVDFDTANTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMSSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGRNRRSGGARFGGRDFRRDSRGGGGGGYGGGGGGYGGGGYGGGGGYGGGYGGGQGSTSSWD >KN539392.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539392.1:80235:84069:-1 gene:KN539392.1_FG009 transcript:KN539392.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >KN539392.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539392.1:46957:49396:-1 gene:KN539392.1_FG010 transcript:KN539392.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRVVAWFDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIPELDDPSAVINSGVRDLLYGCVTDSETLDLLSTVEFDQEGGLPIKMNIEEFNIAGFHSNPEENWGYFKFIAPVTLIGSPFRAKPVVDQDNTNTTNNKKQPEVTTVFFCIPVSPGRCRVIWANGYNLDGWFDKMIPRWWLHVKTNQVLDSDSSVLHIEERNYAAVGLDNWHKACYVPTSSDNLIIAFRNWFKKYCNNQVGWLTPMVNQLPPASTRVEVYERYWSHVMQCTSCSAALKWMRALEVALQVAPVAVVGFLAAGKGTTVVTSGVQRAAVVAAAALCFAASRWLANFIEKIFYFQDYVHADK >KN539392.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539392.1:21236:21599:-1 gene:KN539392.1_FG011 transcript:KN539392.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRKLWVRAHSARRNRRGMHILYKDVKSCQDEDVHVLWSILVDSHRHPALMKLKL >KN539392.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539392.1:1420:3444:1 gene:KN539392.1_FG012 transcript:KN539392.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPARTDVLRRTIPRVNRPLGGDVAPFRSPRRPSTLNRRKTEPFVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFGGGMEEKGTTALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKCARKAAEIEHFVGRSEMVVLGRNCEPMKRNPACHGLHIAAAAGIWASIWPTGKRTAAARGLYEGAQAKKRGPPHKVGLILAHQEIRIGLRVE >KN539392.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539392.1:59207:61303:-1 gene:KN539392.1_FG013 transcript:KN539392.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRVVAWFDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGQFRFIAPCTINRSELPLETLAHFDQEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVTNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSGVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYK >KN539392.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539392.1:99589:105865:-1 gene:KN539392.1_FG014 transcript:KN539392.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRIKRQIPPEEHWAFAYTMLQRVSRSFALVIQQLGPDLRNAVSIHHLFLIPLLLPSAVPEFSCVFTVSRARGDSDGRCADSAEDDTSIPAAVKVPILKEFHRHIYNRDWHYSCGTKDYKLLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEVESVDDYNEYCHYVAGLVGYGLSRLFHAGGTEDLASDSLSNSMGLFLQKINIIRDYLEDINEIPKSRMFWPREIWSKYVIKLEDLKYEENSEKAVQCLNDMVTNALSHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNTMSDVYTAFYEFSSLIESKIDNNDPNASLTRKRVDAIKRTCKSSCSLKRRGYDLEKSKYNSMLIMVVLLLVAIVLGMIYAK >KN539392.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539392.1:75407:78994:1 gene:KN539392.1_FG015 transcript:KN539392.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase delta [Source:Projected from Arabidopsis thaliana (AT3G58490) UniProtKB/Swiss-Prot;Acc:Q9M2G7] MYAKSILLLRFNYSELIIRASICFTFEINFKPWVTRRVLAETPSIVRFQKVHHKLLDSFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNSVKDAVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGLIDVIAGICFGVVILAFWLAVHNHVDAFVVSGQNVTTFWASLSLLLCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHNPDVPLIFSPQLPLIVFVGRVLVGIPTILVVKFCSKALSKWLLPVMCNTLGIPIVSTCYVPALKASEKSKDKSDAKQGGYLQKVFSLFPQKAYDVDTGIRQRPPRTLKLNYKEMPFLFLHCIDAELTGELLGRRRRGEGDVHTSPPVFLELEFPAALALASTNRADGDERNNLPQESKAQRLFF >KN539392.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539392.1:25999:26874:-1 gene:KN539392.1_FG016 transcript:KN539392.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQAQFSGRPCVISVTWSKSLMGQGLSIGVDDLSNQCLCKADIKPWLFSKKKGSKRLDVEDGKIEIFWDLSGAKFGAGPEPMEGFYVAVVFDLELILLLGDMKKDAYRKTGANRPMLNAAFVARREHIYGKKIYTAKAQFCENGQFHDVVIECDTIGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTSNAVFMFQTCQAPEKSMPWSYSQVFRESQLQGLGFSLILYAWKLE >AMDW01031869.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031869.1:103:405:-1 gene:AMDW01031869.1_FG001 transcript:AMDW01031869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEDIWPEADKFMPERFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLASLLIHFKWRLPAEVEGNKIDMTEKFGVTLAKANHLCVMATPT >KN540179.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540179.1:49952:50164:1 gene:KN540179.1_FG001 transcript:KN540179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVTVALVFVGIMLAASQEAVDASNPEPTDSPELAEERKKLEKLIAIFSRPRRVCRESEGCRDRPIVRE >KN540179.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540179.1:2990:3265:1 gene:KN540179.1_FG002 transcript:KN540179.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTHWQGRAQLQAPPEIEELLETSALGTLSESTWGRREKRWQTSTCSSGEGELTAAPAFSELNQVKGSTTLAVRVMARNPMRRRAFPMA >KN540179.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540179.1:25154:26395:1 gene:KN540179.1_FG003 transcript:KN540179.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGGAARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKVVDETD >KN540179.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540179.1:12752:12967:1 gene:KN540179.1_FG004 transcript:KN540179.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVMVALVLVGIVLAASQEVVNASTRVATDSPELAEERKKLEKLTAIFSRPRGVCHASEGCRDEPLLIDD >KN540179.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540179.1:55688:56665:1 gene:KN540179.1_FG005 transcript:KN540179.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRKGRRSTEAAATLDRLELRRELRRGALRLRRRRLVKLIEVFSYAEVFSVADYLVAVVSRRRDVGRPGHHVEHVPRHAGEERVSSDLVGAALVPQPLPGVPLQQPRDEASTPTAHQSTASPWPSPLTISGATYSSVPTNENDFPHDDAKLAGFRLTGDTRADLAFLDSSLLSPFMMNRTRLWSCCFADGFAAAGEGSGLVAWLKRGISLLAETRGRWPPPWNMRGGGDADDGAAVLGGRRRERSKSVRVTWPSARRSTFSGLRSRYAKPDAWSSHSADTISAT >KN540179.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540179.1:4406:6057:-1 gene:KN540179.1_FG006 transcript:KN540179.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMHPARSFLQLMASMHPPVDPGLGRDLELDTAQSSMDLAPDSANDANPVSLGDDVCMSDEHVTNNDSLMGEDVTDFVDTDNTGYSSFSGPSKRGINCSRNAGGKDGDLGQICL >KN540179.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540179.1:28687:31929:-1 gene:KN540179.1_FG007 transcript:KN540179.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYESARVSGVSKKLMRKDTRENSSRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEEMNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGIAHFTAQRGVSMENARYTFLRELKNVMSHGKRKDVLPGQHYVGQILMHDFSTVLLYIVFLLTDKSDNDWKTLMCELFPLA >AMDW01004135.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004135.1:42:164:1 gene:AMDW01004135.1_FG001 transcript:AMDW01004135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMDADGTVAGPMDYGSGHIRPKHALDPGLVYDASYQDYLLF >AMDW01079262.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079262.1:7:234:-1 gene:AMDW01079262.1_FG001 transcript:AMDW01079262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTVGRLLGRFGHCINYRHVIWSLVQKPWAFAHYRYREELFPTLAFRKAYDALTERHTERKADLEYLRILHLAASTM >KN541130.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541130.1:2652:7848:1 gene:KN541130.1_FG001 transcript:KN541130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPNDPYDRYWWQMWYFDPSWKNISSVSTIKEDSNFAVPSPIMQTAIEAVDNNTILNITWVNKMPSGHNIKLLLHFADFQNSQLRQFNASLNNVQPYQYSPPYLTADALFTSGWSTASDGQYTIRLEPTSASKLPPMINALEIYSLISHNSPTTLQADFETIMAIKLEYGIKKNWMGDPCFPHYAIKFMHKPDAGPLEKSICRDLSNSNLSGAISNNFTMLTALQYLYVSVHTYHNTVIVYKSVRDLPYDILIWLAPVSEEDTPRDQAEVENIPERIKAHGDILQKVENRQFSFNELEKFTNRFERLIGQGGFGPVYFGRLEDNAEVAVKIRSESSSHGITEFFAEVQSLTKVHHRNLVSLVGYCCEKDHLALVYEYMARGSLGDHLRDNNGVSKTLNWRTRIQVVIEAAQGLDYLHKGCSLPIIHRDVKTGNILLGQNLQTKIADFGLSKTYLSETQSHISVTAAGTTGYIDPEYYHTGRLTESSDVYSFGIVLLEIATGESPILPGQGHIVQRVKRKIDAGDIRLVADPRLRGAYEVNSMWKVVDTALLCTADVGVQRPTMATVVVQLKESLAIAEAHDNIGLRGSIGTTSDTSISTSKFGPSAR >KN541130.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541130.1:21576:30284:-1 gene:KN541130.1_FG002 transcript:KN541130.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLVAVLVFEAAVRADGQTGFLSIDCGLEADDSYPDDLTGITYVPDGRYTDAGENHKVTTVYRNAWWGLDTRTLYTVRSFPSAEGQRNCYSLPTDVGSKYLVRLEFLYGNYDGLDSSSLKFNLTLGVNHWDTVSLDNTDDNYGYNAYEAVFVAWASWVPICLVNIGQGTPFVSTVELRPLGILPYPAVMGNVSLSMYDRCNVGSSPDDDKLVRYPDDQYDRFWFTDAYTEADPSTTNISTQSTIQPSTEFAVPSPVLQKAVVPSGNSTKLVFFSDQLDALLHDHFVILHFADFQNKKSREFTDSIDNGVQSGPYSTMYLKGLSVTGGWSSNSEGKYNFTIAATATSALPPILNAYEVYGRIIHDNPTTFSQDFDAIMAIKYEYGIKKNWMGDPCFPPEYVWDGVKCSDAGDKIMRIISIDLSNSELNGSISNSFTLFTALKYLNLSCNQLNGTIPDSLLKNNGSIDFSYESDGNMCKTHATPSLSRNTLAVSVVAPVLVLAILVLAYLIWRAKRKLNTSSTDLAMVPELMGAPGHITNHWDHLQKPENRRFTYQELEKFTENFKHLIGHGGFGHVYYGCLEDSTEVAVKMRSELSSHGLNEFLAEVQSLTKVHHRNLVCLVGYCWEKEHLALVYEYMSRGNLCDYLRGKTGTGEILNWKTRVRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYHSDSQTHISAAAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPENGHIVQRVKQKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMGDVVVQLKESLDLVEVHGDRGDMENLASDTMSSMSTFGPSAR >KN541130.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541130.1:11769:19126:1 gene:KN541130.1_FG003 transcript:KN541130.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWFQAFSKLLSSMALILVLFAAFVLSIVLHAAAQPAGSDNLGGGTSGVAAITTGVGRPLVAVGLGGGNDMHNGDVAMVPGKTWCWTAIEAVSTNTTIIFGWLDQGPRKQYEYRVYLHFADFQNSQLRQFSASFNTLKSQEGSPPYLAPFVLSNNGWYKSENGAYNITLNATAASKLPPMINALEIYTLISHDSPMTFPADFETIMAIKLEYGIKKNWMGDPCFPEKFAWEGVKCSNSSSNTARIISLNLSCNQLNGPVPDSLRKNNTGSFIFSFNSDGNMCNKPIIVPSPPGKRQKRNSNYSTEDPPRDRSDQLENSLEKSQNHGDVLQIVENRQFTYSELEKVTNKFERHVGQGGFGPVYYGCLEDNTEVAVKMRSELSSHGLDEFFAEVQSLTKVHHRNLVSLIGYCWEKDHLALVYEYIAQGSICDRLRGNNGASETLNWRTRVRVMVEAAQGLDYLHKGCSLPIIHRDVKTSNILLGKNLQAKIADFGLSKTYLSETQTHISVTPAGTAGYIDPEYYQTGRLTESSDVYSFGIVLLEIATGEPPIISGQGHIVQRVKNKIVAGDISLIADARLDSAYDVSSMWKVVDTALQCTVDVVAQRPTMATVVAQLKESLALEESREDSGFMGSTSTVSDKTFSTSRFGPSAR >AMDW01040051.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040051.1:691:1167:-1 gene:AMDW01040051.1_FG001 transcript:AMDW01040051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLQCRHNGGSSSPQEFLEFLTKFQTASRNFSKRQMTWFRNEKIYQWVDASQPFDAIAQFICDAYHDRAARLVPDSLEMKRESCRHESRDLKTYRSENRVFCGDDDCCHVLDWITRTQRK >KN540553.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540553.1:17148:17381:1 gene:KN540553.1_FG001 transcript:KN540553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKLDDDSEWEEVDKLNCYALFMAYLSMAVKGMGFLVVLWTTVVLLGGFVSMLGKKDFWSLTIITLVQTAGLECFA >KN540553.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540553.1:33906:39386:-1 gene:KN540553.1_FG002 transcript:KN540553.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVRSGAQARKASLVESIEAAISELMPPEAAADAEHENFMDVGSHLYHAPLATMELQRVQNSTVNVMHVMEYLAANVDLAKDLVMRCSAVARELKNDDLLGMTEDLDSVIKNIGHELSRIPASTFGSSRFPDGRADANLQIAGHRPRYCDQNSCDGYSEADMSIIPANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRKAIEEYFEKFADGSEPVVCPVTKMSMQSKALRSNVPLKSTIAEWIMRNEATRVRIARTALSMASTEAMLLEAIQELKLLAKIRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLAEDETGKEVIANTRAITRTIKLLSSNSPDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLENCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVHSGNTVIRKAALDVLVQISSDGPNSKTLVDAGAVPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVVSVMKEQDSSLTVIEFMGSKTEALGISATRLLIALSPQMGHTIAEKLCKAPGQPGRLVKSIGQPGRVTERHAVAATLLARLPYQNITLNLALLEQGAVPTLLAKIEEMQRGEMRASRHAKTYMEGLVGALVRMTTTLYDPDVLLAAMDHNFTAVLTDLLVRSAGSDEVQRLAAVGLENLSHQSVNLSQPPSEEQRRPKKKNILRRLRDAHTGRLMEHPKKGFHRRSIAAMNMRNIALTKHFGWLYFSDQL >KN540553.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540553.1:22970:27827:1 gene:KN540553.1_FG003 transcript:KN540553.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGREGLAAGSEDKSNSVDGKIDQGDDDPHPSHSDPASPAQEASPQGVAGGVQLRGGGGADVEVADVVFRLGALLSRPFPLGWGEGYGEKTLSWFFVTSTDGDVGVIFVGRGLRSENPVLVLRDLDGQRVFDVFLNEKLRYVGKSFYGLCSTILGMLFTDYSDYGLSGPRLLLAFLLLALQLVLFAVVLCPLALFYLFGLLITTGISLWRLIERDYGKSDGGGGGGANLEPALNVLYALVLFQGVLFCYRFASRFPGKRLAGLVAVSYGFRDRKEMVVEYLRETKTGCEKDPSFAKGRNLVTFAVALIRPESSSSEYKSGAMILDKILEQKELQEQHALIRKLVGSPSSRKVMKKLLRSLRSSSQYNREVRVLAARIVAHLADEISLVSFPDGLGCISSLLDTTITEHQDDDGAGAAPSSHYKELMVQGLLILDKLAADEHNRRVISTEDGLLSRAMAAISADLLHRIDHDTWSDVTAASLQFMCRLVTAPGETGAKLRSDIFKNKDAISTMEKILKCDKCSEELHILVIKILTVLPMDASSSMTTESREKFTKLLVDIFTDENKDSSIRQMGGEALAMLSDQSESNAAIIFKESDTVVKDLTTMILDASKNRGYRISAAQILEHLYIRYKKDDDYLKKITEAMKDVLPEVLKEIFLFPTDQGEKQTEKTEKETEGAKISTPNPDIERGQDAVGSQDDVSVNEQNDDANEQNVDRKLHAVLLSLSAAIFEKVIKDGNDLVQLVGTIAPGDSASSFAWKLKNMVEGNSGATANCLRILKITSRMIIQLIKLDGLYIEGELESLLNSLSNASRKMLELEGLMMFSSSDPSKMKPISSLVEEAQGLLEEKKRQVQNLATTPVP >KN539696.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539696.1:63597:64004:-1 gene:KN539696.1_FG001 transcript:KN539696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLEVIESFCSNPNADSQEMLGSPNSPAICPNSQEMWHKLCDQQLLATLATTDDQIGQPVTPQPPNSPCVILHRHKKSHSIDPPKVIAYGGTTVDETTLWCKLNEQELAEVGPGRMDKVLDSYGLACAMKSPR >KN539696.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539696.1:3141:6267:1 gene:KN539696.1_FG002 transcript:KN539696.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding KYRRLRDYRKDVAQLVGEVGKIAVAVDTVEEQEQFMSDRRIVGQLSELMDAIHEAEDILDAVDYRDSIWARKHKVRQVIHSIVEQAKRIVGIDGALNRLDKSMNDLPRMQQSQRDLVQLSNFRSSQGEGDGGASASRPRSATGLLPDGKLYGYKEEYDRLVSALLYDPPRRPGGNGNGGGQVVAVVGDGGVGKTALAQHALRHVDVQARFDHVIWASVPHKYRNKDLLAEIWMSGPGATATAATHRCADQMSFGALQAEFVRLVSLSSQRYLLVLDDVCNDESDDDDHQRSRKEWEDVLAPFKQGERGNRILVTTRASICCATLNAGTRIQLNGIAADELLLLLKKSAFGDHDKKHAPHLDESCLHFCSLFPNNWMFHPDKLVRMWIAHGIIVVGDAHHALIDGRSMEEEEQVGRRYIRDLVSRSFFHLQPAKNGSRTMYLAIREHVHSMLLSVSASYFFRVTSSSLGRRIPPTVRHLSVAPVTVTDQDQDQGQGQGGCLDLDWLKKYPVLNKLRTLLVFDGRMSAMDGDILRQLEAVRVLDLTSTNITADFPKGIGKAEASEGSVELCADSGCRKPGHGLEALAHINSLCGELSIKGLQAVSNREEAHRAHLERKEFLKVLKLEWEPSLQLQEPSESSHEQVLEGLQPPCKIEELHIRQYLGRTSPSWLESNLLSGLRYLYLRSCRNWQVLPTLSQLPHLKVICIKEMCSVQRIDHRLYGGDGVFKSLDTLILDDMPNLVEWAAEATDEKFPHLQKISMLNCPKLEKLPRVPRTVRNMEIQKHPSCYLHLSPSSSSSSSISFTLDIRADAVHLLHEDFLHPDHIKAIGSIRIEHYTWSTEPNLNLLASVRSLSLTRCPVTDRSLSMCLHKLQSLERLEISDCPELHDFPDDAMPMMASLKSLEFNGCHPLLMKKLQGRTGAAWERIRSIQRLEIV >KN539696.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539696.1:46965:50790:1 gene:KN539696.1_FG003 transcript:KN539696.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREHEYLQSLHFNCIRLPDGAGVVNMSLPIVLAIGDREKEEIGSSPDVALHGPDGGVLAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAIAQAGNWLIGGDLEVIEPIKYNDGLDHYRLSPQQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDSSRSKDFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVDYYNSLQTEEAAVATV >KN539696.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539696.1:28717:33780:1 gene:KN539696.1_FG004 transcript:KN539696.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRDGLSTAANSHYECINRPHLSIQTNPIHFCSCPCGSERSSTDTYASSVASDSNGATADTGGRNGYPLRYSSNVHLLDENVNLISTESVAQLDTAMGRCGEIIGDHREELLMVFTNNSDMLSSLFYEYDTPWILGKNTKTSMDFSKCEDLGKDYLIMLKISTKVLQSMFCQFCGQNQPFFQTKKDYFQQFAKSPIKKMLEIALSFSESNWSEEHIRPMLLAYDTLQDVLPTIRELSPDEPDEFFTSILHNMRNASRGIIDNMKRFIQHKVQTWDNIAIHPTTCFLINAIKIFNVHKNLLHSTLVPGDGQDSFGYLINGVIACWKLKIKELSMLDDPDKNDSDGNNPNLFIFLLNNIKHFNRDTNGLLDGLLVHRELIEECKNEFQSDMENYTSRYMTASWGPAISCLNNHTGGSIRQSMNAFISKFEGTFDCQKVLKVPDNLIGRETIEIKVCY >KN539696.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539696.1:71599:74961:-1 gene:KN539696.1_FG005 transcript:KN539696.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVNSQSGVLKKLDRAKNIISGKTSELKEILKKLETITDEGWNLLKQLGNDTEGNEASRVFTTSSSAAEIIIGRDKERDEIVRMLHGTMEGQKSWVNLAMTWGLGLKEHGIRLLFTILRIIWAKIGWARLGLGPRMGPPLRDCEPSSSNGKCYSVIGIYGIPGSGKTTLAQHVCSYERTVNYFFPIMWIHLSQNFDVRGIYGEMLEAASGKSSHDRVFSNLDTLRIKLEAELSGKRFLLVLDDVWPVKDVRVLDQLLSPLKVGKRGSKVLVTSRLADAARFLGAQRPMKINELCVKDFFNLFMHYALDVANLDGEELETFQTIGREIAKKLKGSPLAARVVGARLREIRDIESWTQLKDDDDALDDTMRTLWWSYRQLDGQVKRCYAYCSMFPPGHVFERDNLVKLWMAEGFIKNTNSIAEMKKAGQRFFNELVASAFLQAAGADASENEKFSMHDLLHELAVKVVGNDCIRVEGGDEMKEIPPDVRHLLVTSYDPMKLTEQVCKLEKLRTLIIYGSITIEALERMLTKLKKLRVVQAHVHVGGQMIMIPPCICDLKHLRSLTFSSLGLRKVHLPKNLGKLYHLQILEVTEGGALEFSGVENMSNLVNLWHVRNPGRHLKYSVISSFPGLISSFPGVGELKSLRELSDFTVRKEKGYELQQLASLNQLRGSLRISGLENVESKERAVEANLTDKKYITALTLAWSSDTSCSPEIQLEILEGLCPPSQLSEMEIYGYGGVIYPSWLTQRFRTLKCLELVECSNLEALPDISELFIHLRKLTLDYLPKLERLPRLPDSLVSLDIKLCRSLLLTCVRDVEMMRSLLIERTSQIEPSLNLTTHPEEIDRFADERPDMFNQMVLDIFGRCDELPTRLIRGQIREDDYSQFLFPASLDMLNISFCDVTDIFLHNCLRGSKSLTSLTLLEIPFIRSIPSEVMKSLAMIQNLSITRCAQLTCLKGLNHLSSLRFLEIGKCPKLSDLQLQEDEKVQVLFKLTIDDMYLVPQLLSKDGFPSLQSLMFLYTEAEEPSEEEILEQFVSLTSLEICYCNWKRLPENLAALTCLQQLSLLSCKNIRSVPTLPATLRLFMLNTCDPSFMKSCQKVGDPNYQKIAHVPWKEFFSL >KN539696.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539696.1:12310:16780:1 gene:KN539696.1_FG006 transcript:KN539696.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMVDDLEYHMLKFQPHQQEVRCNLLISLVNLRYRLIISYASRSRFLKDLDFVASEAGSLLSAMHMLEPTAPPLPALLLADDDHQVVFGRHKEVTDIVRMLIDPPASHHHHPTYDILPIVGMGGVGKTTLAKLAYDDAKVKQHFELRLWASVSTSGGFHKIDITEQILRSANPTYPASIHSEPTLDMLQFHLSQLVASKRFLLVLDDIREESFTSMACQEILSPLSSAEKGSRILVTTTTASVPAMLGASCTYHLNVLDIEDLWSLLKKYAFHGGPTHDSTQELEEIGRNIASKLKGLPLAAKMLGGLLGATKSTKTWMNVLDKELYGDSILPVLELSYSYLPRRLKQCFSFCSLFPRNYKFNKRVLIQLWMAQGFVQSQNSADKNMEDLAEDYFEELLSRSFFDVRREACETHYVMHDLVHDLAQSVSADQCLRVEHGMISEKPSTARYVSVTQDGLQGLGSFCKPENLRTLIVLRSFIFSSSCFQDEFFRKIRNLRVLDLSCSNFVQLPNSIGELVHLRYLSLPRTLNMLPESVSKLLHLESLCFHKCSLEKLPAGITMLVNLRHLNIATRFIAQVSGIGRLVNLQGSVEFHVKKGVGCTLEELKGLKDLRGKLKIKGLDNVLSKEAASKAELYKKRHLRELSLEWNSASRNLVLDADAGILENLQPPSSIKELHIKRYQGAICPSWLQLSSLKQLQSLDLINCRNLEILPPLGLLPSLKYLYMKELCTVNQIGHEFYGDDDVPFPSLIMLVFDDFPSLFDWSGEVKGNPFPHLQKLTLIDCPNLVQVPPLPPSVSDVTMERTALISYLRLARLSSPRSDMLTLDVRNISILCWGLFHQLHLESVISLKIEGRETPFATKGLCSFTSLQRLQLCQFDLTDNTLSGTLYALPSLCSLEMIDLPNITSLSVPSDIDFFPKLAELYICNCLLFASLDSLHIFISLKRLVIERCPKLTAGSFPANFKNLTSLKCTTVTGSTSSRWLPPKLTDNVASRKLLLNTPKLTDGPCRT >KN539696.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539696.1:52809:59186:1 gene:KN539696.1_FG007 transcript:KN539696.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAAVGWTISILGWLASPITTRLFNHGFSLFGFDKSDKLRDLESRILPQLALLLEHAERIPPEQKQLTTDLEQWACRLRSAFYDGISPSSVMKTLDHAKNIISGKNSKLKKILKSLEKITEEGSQFLLLLERTIGSGTNSNGISNPANTLTRSTTSSPTQIIIGRDKERDEIVRMLRDTRGKPESWITWAMGLKDYGLRLVFTMLSLIWAKIGRAITGLGPRLDPTFNEATGDCEQSCWNSKCYSVISIYGIAGSGKTTLAQHVCSYEKMDGYFFPVMWIYVSPGFSVDKIYQKMLEAATGKPSSEFSNLDTLQMKLEAELTDKRFLLVLDDIWHEKDAIAQDKLNQVLSPLKVGKKGSKVLVTTRFKDVAMSLGSQRIIPVPNFKEEDFFNLFMHYALDDAVSLDGQERETFHTIGREIMRKLKGSPLAARIVGARLGKHLDATVWTRVGDQHLLTDTMGALWWSYQHLNVQLRRCFAYCSMFPQGYDFKRDELVDLWMAEGFIKTTDSAEQMDVVCQSYFDELVSCSFLQPKDIFGSKNKWFTMHDLLHELAVMVAGTDCFRVESGDMKEIPPDVRHLFICSNDQTKFAEKICKLKKLRTLILITTFGGLGITIEELEAMLKKLKKLRVVHVDVQGQMVSIPGCICELKHLRFLRIHSPWSEKVHLPKKLDTAYHLQILELCGAGVLDFSNVQNMSHLISLRDIRNSGFVFPNTDVPGFPGIGELKSLRELSDFRVRKDKGYELKQLKSINHLRGRLRISGLESVESKEDALEAKLTDKKFLTSLSLEWSQSSSVQHSCPPDLQVEILEGLCPPSQLTELEIQQYNGLRCPSWLSSENQNGIFTNLQDLQLCRCYNLEHLPEIGKLFVSLRRLKLVVFPKLKRMPRLPGTLKNLHIQQCKALVMTCSEDVNMIRSLFVETATQIEPSLNITATEVAEIERFAGEQPDRFEKILCDIFSRCGSLPGELIRGHIREDDYSELTLPAAVVDRLIISYCFVTNTVLHRCLTGSANLVSLNLRCLPFLTEIPSEVMESMAKLSDLSIEDCIQFTHLEGLNNLSRLQHLTIAKCPNLRALGEDQKVRSLNGLAIDDIPLVPQLLSREGCSSLWSLRIDESEQLRGGDILEQLTSLTSLDFSCCSWDRLPENLVNLTSLENLRLDCCKKIQSLPELPASLQSFEVEDCDALFMKSCQKAGDQNCQKIAHVPLCKRNSWRHVRFAKFSGNLFHMQEQRERDVREENCASISSSICSSDPTNLKLWHKVYVIGGERAEDSQFLVLFKVHHKVRTLKCRGIESYLDDLGLANSIVRDFITSEGIDVIKGRPDKNNQVRDAVFLK >KN539368.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539368.1:91772:99888:1 gene:KN539368.1_FG001 transcript:KN539368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQSSSLVNCTLLAVLCGKVTDKQRKPPGLVPEVKRPRLSYPFPELISSGRLEVHTLINPTVDQFRKAQQAVQPNLMYLQGQQLENEEEIGTLVWGDADVSDPQIFSSQISPPFPTIVYLEVPAGEKLAQSLKLKQDNMAHTACLMFDFPLFFSFEGVDSRTESAHVAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENHIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSMSVAFGASTFEVWMTLPKWAGQVLKYLAPEISYKSLVPLGIACINGTLVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSNTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSIIHDASQVKPSLPAPPVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTLLCYLDLLYYMGQPGVGNTLKRHYETYLLEYELSHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKVVH >KN539368.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539368.1:8064:9596:-1 gene:KN539368.1_FG002 transcript:KN539368.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGSLYAVLGVARDCSDADLRTAYRKLAMKWHPDKCGAAGSSGGGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNKTGPTENGKNESFEDLQRQFEELKRTLGSLQREGPEERRMLKTTVICADN >KN539368.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539368.1:63791:64289:-1 gene:KN539368.1_FG003 transcript:KN539368.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAPRAYCPFKDCSAMMLDDAGEAVTESECPSCRRLFCAQCAVPWHAGVDCAAYKKLGKGDRGKEDLLLVEMAKGKKWKRCPKCKYFVEKSQGCLHITCRCGFEFCYGCGGQWGVTHASCSTA >KN539368.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539368.1:55646:58140:-1 gene:KN539368.1_FG004 transcript:KN539368.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.5 [Source:Projected from Arabidopsis thaliana (AT3G14240) UniProtKB/Swiss-Prot;Acc:Q9LUM3] MASSASRTLLVVVVVVVVVAAAVGGVLGGRGEERTFHDRGLGPVPSKWRGVCSSGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVQLGNGQVLDGVSVYGGPALQSGKMYELVYAGASSGAASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGVGMVLANGVFDGEGLVADCHVLPATAVGAAAGDKLRKYIGSSTRQAPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPVDYPRQLAFRRDGQKLSFTVRVEAAAPAKKMEPGSSQVRSGAVTWSDGRHAVNTPVVVTVQAPLQ >KN539368.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539368.1:30771:31437:-1 gene:KN539368.1_FG005 transcript:KN539368.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFAEISSVWIQPPRATSRSSGATVVGGGNGKKDVGDGDGTWEIGGVDGAVEIGGSGGDFAEDTNGSAQTTRSASASASTVGRLSLPPLP >KN539368.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539368.1:71244:82947:1 gene:KN539368.1_FG006 transcript:KN539368.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFKSGSRVKCTMLAVLCGKVGKQRTPPGPMPESQRPRPSFPFPELISSGRLDSKFNSRLRDGSRMLGHRCTLINPTVDQFLEAQRALQPRFIYLQGQLLDNEEEIGTLVWGDADVSDPQTFSSLICSPFPTIVYLEVPSGEKIAQSLQSKDSSVLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSALLEGVVTICCDITTSSSSHVSLLLSGSPQTCFDDELLEKHIKKELIESHRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWASQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDKEDVDRLLFFCTNQDEDEAIGNGLYHHPPRWSASLAKDRVKGNMVSKPGLCRVQRLRICGVLLFNHASFPEQLKGEVHDACSAVWVQWSSELNWGVLCGKVTDKQRKPPGLVPEVKWLRLSCSFPELISSGRLEGQQLENEEEVGTLVWGEADVSDPQIFSSLTSPPFPTIAFAGFNGCGSVVFFCSTMPHFPSSSRVKCTMLAVLCVLCGKVTDKQRKPPGLVPEVKWLRLSCSFPELISSGRLEGQQLENEEEVGTLVWGEADVSDPQIFSSLTSPPFPTIVLKYLAQETSYKSLVPLEIACVDGTPVSSFDRQGVDRLLLFCTNQDEDEAIGNYLYSDLPDGLHPLRRTY >KN543868.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543868.1:120:3504:-1 gene:KN543868.1_FG001 transcript:KN543868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEAAAAAQEAEMDPDFSGGGGGGPSFEFAFNSVNFSDRVLRIEDDMESEAVMPDQINCKVEPEECDAYEENQEEPVAMMDDSPPSVGPDGDDGPSMDSPWSGGVSTPVLRVKNIYISSAILAAKSPFFFK >KN539875.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539875.1:70618:71740:-1 gene:KN539875.1_FG001 transcript:KN539875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSVKHITEMALKIKHAVEKVQRNKEDCIQIRRRVMRVSDVLTLLQETENILSNPAIRAALEDLADTLHHAHTLVVSCQEKNVVCLFCVATTLSNKLRRVNDQISDQVMVGILATTVHVTIALTQIQGDRQHDVMYALPPRDLTDNIELEPEPPKKGMPMPPPPEPQSEPESPSSIPEELTIYLSSFPSPLPRKEPPPPPPPPLPRKEPSPSHPPPLPQEEPQPPPPRPLPRKKPSPSHPPPMPREEPQPPPPPPLSRKKPSPPSPPPLPQKVPSPPPLPPLAPPPEKPDASLVVEYAPSPEEELTSPLSPQA >KN539875.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539875.1:3543:6445:1 gene:KN539875.1_FG002 transcript:KN539875.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVFGILCKIGSILGSHLTQALVSRLGKEVSVLIEVESIVRQIRSEFRLMQSFLQDGQEKESSSRQAETFLQEVQQIAFEVEDILDEFVYYFGRKETPSVELLKNFFRKSESVMPLRRIAAELKEVQNRLQNLRNLKLQYNIDLSEESAISIRNEDSKDHTLHHIMHNKKLVGFVNERQKLQELLMADERSCSIISIWGMGGSGKTTLVKTVSETKASKNHFDCQIWVTVSQTYDITEIMRKIIQGALKDTCSADLGSMSSEGVVLMLQETLQGRAYMMVLDDVWDTNVWFSLEAFLDESSIRSKVVITTRINDVASLAEDKRRLQLRGLDEAESWDLFCMWAFRHGEDQTCPPAMDRVARQIVGRCEGLPLAITAVGNLLSFKRLDLMEWEKFYNQLNWELHNRLDNQGLSMVTRLLGLSYKHLPVHLKNCFLLCSIFPEDYMIRGKRLCKLLVVEGLVEPRKNMTLEEIAMEYIEKLVDRCLLQVARRNKLGRVWELQMHDIIRELAISISEKEGFCMIHNKAQRSVVECEPRRLSIHENSVRVQLSINASRVRSFYQFDSDCSSVSNVQWVSGTARYLKVLELGSVPITKLPRDIGSLFNLHYLCLRRTKIKQLPESIVRLQNLRTLDIFLTEIASLPRGVTRLRMLRHLIAGKAVASYFGLEDVFTGVKVPNGLWRSLDLNALTGISASSNLVEQLASFTQLRSLKLTDVKNIHYTKLFASIRKMQLLKNLLIGTANSDEYVSLEALVPAPQNLEILFVKGRLHDRVIGSDLFEANRLTLMELTLENSRLSIDPLPSLSNFCNLTLLGLFNHYIGETLLFQAEWFPKLHTLTLAELQNVSSIVIEKHSMPNLYNFALICLTNLEDLPEGMEFLGSVEELSLVGMHQKFMEHVQGSSNVKVKHIPVVDYFDQTKGRWDRLSPLYFEDEGTKN >KN539875.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539875.1:61462:64552:1 gene:KN539875.1_FG003 transcript:KN539875.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYFYAFAKIPLRTCGHMIANPDEDGEDEIPEGALWSKVEGADEGMKEQMKSLKVLYERPKCVGGRRLRRRQMMSGLGDGQFVAVLAVLLILWSRDTQQCWLGTDDDKDAHELRNDVAPRVKDGARSLPIVPC >KN539875.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539875.1:56900:58636:-1 gene:KN539875.1_FG004 transcript:KN539875.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHSLLNQSILILILSWPLAGEQLDWSSRFRIIQGITQGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLEDDEINASVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSDSGRDARHQASIEWAWNARKSGAINKLLDSRLCDESQLKEIKRCMEIGLLCTQNKPSDRPTMPDVLEMLQGKKKVPTPKQPGYIKRVRAAGRYKQV >KN539875.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539875.1:20520:20738:1 gene:KN539875.1_FG005 transcript:KN539875.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLAAGGKPAGNVIQGKMKVKTEDKLWLMMNNSAVRCDEMFVDARKPEAAGGYISNFVLLILSSILFDCC >KN539875.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539875.1:66537:69556:1 gene:KN539875.1_FG006 transcript:KN539875.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAISLSLFRRPPTMEPPTTSPSSASTVLVSRRSPSPTPAPSAASATASSRLLPALEEGAGAPALRRADVERERGLDVLVNLGQGRTGSTSRRRRLEKVVVAMAEAEAEEDKGVGEEGRGLEGARGTARARQRRHRLQRQDEQSRHRDQRAAVRVVEMPRGRSLCGSCIVLGLHSSSTHPRLFGFCLPCHERRGNLILP >KN539187.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539187.1:48287:52498:-1 gene:KN539187.1_FG001 transcript:KN539187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETDDDASDADIEDPEKMVMSESEEEGDDDEEGDQDGGAWEKKISKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGQIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDDDADADEERQVVDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDKFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDRRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALRKGDHQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLLSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMSDKLSVLVESNERAYEAKTGGTLEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRVGGPGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRNQSGSMARGGDGGARMKFHFLVIDEKNAVDQRL >KN539187.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539187.1:137276:137515:1 gene:KN539187.1_FG002 transcript:KN539187.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCVGGGAGVDDFTDDLVAEILLRLRPSEPACLVRASAVCKPWRRLLTDQAFLRRYRDFHGAPPLLGFLHNVAGGGED >KN539187.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539187.1:19446:21479:1 gene:KN539187.1_FG003 transcript:KN539187.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQSLIKDEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAPKELKQQKILDLAAIKEQSMLKQSSPRNEAKKKYNRIYKKELALSLLLLTAAAHSLLSKQGIHFYFLMFQGLSFLLVGLDLIGEDVK >KN539187.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539187.1:72653:72933:1 gene:KN539187.1_FG004 transcript:KN539187.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAPVSLDEEAAQAAAQAEIGGGCKEGEGEEECLARRTLTAHTDYIYTQQHHN >KN539187.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539187.1:105768:108327:1 gene:KN539187.1_FG005 transcript:KN539187.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRFGRLDGQPAKIRNVPIAVTPEGFWCCPSQAVLQKSTKNQSQHARPKGGASPSVSKASSVQRTPTVSLEKRTHSTPTRSRTNSDEQVLPPADDAVPDQPKVSPVPDKRHNKQHKISVGFGQLHTSDLKVMLYGREGVAVKMIVHKNILAENSTFFADKLSRQSPVSCIEVSDCEDVEIFVETVGLMYCKDVKQRLIKQAVARVLRILKVAESLGFPTCIMSCLNYLEAVPWVGDEEENVVSSIRQLHCENYGVSPLLKRVASDLTNPPSDTLAHIIELVLKSSDDRGRREMKSLVLKLLKENNIWTNGSSDSCVVTFYSSCRNCLESLSNLFRQASEPEFSEQSSDSKEVIFRQITLEADNLLWLAEILADRNAADELTSIWASQGELAKLHCRIPVMHRHLISCVTARLFVAVVEGDEAAPP >KN539187.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539187.1:5036:5740:-1 gene:KN539187.1_FG006 transcript:KN539187.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAVAQNSPQDFVDLHNAARRVEGVGEVVWDDAVAAYAENYAAERAGDCVLIHSGSWEKAGYGENLFGGSGSEWTAADAVNSWVGEKDLYDYDSNSCLGSWDSCLHYTQRLGGAVVEQS >KN539187.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539187.1:89898:99188:1 gene:KN539187.1_FG007 transcript:KN539187.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYHLSAQLRGHEDDVRGICICGDAGIATSSRDRTVRFWTQHPEKKHEYVLSKTLVGHSSFVGPLAWIPPSDRFPEGGLVSGGMDTLVLLWDLHKGEVVETMKGHTSQVTGLAVDNNGDIISSSMDCTIKVWALTGQPLLEMIGHTSLVYSVDAHSSGVIVSGSEDRSAKIWKDGICVQSIEHPGCIWGAKFLENGDIVTACSDGIVRIWTTDNNRFCSDEELAAFTDLISQYTLSRKTVGGLKLSDLPGIEALQVPGNSDGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAAAPGQFHDGVRYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQSASSTVSAPKPTFKHIPKNGMLTFETAQFEGILKKLSEFNATLSSNLEQKELSLSEIELSRLAAIAKVLKETSFYHTILAYTNDVSRNKVQDLACNPSEIFVHLYLAVIDFLRMFVLHPDGATLLLKAIESGNVLTTVTHELPDVLAETFHKVVTPPVQPPNVLTTLKAVTNLFDKPCLHQWLRIHGMEIIDSVSSCKTTFSKNAHLAYSTLLLNYAVLSIESKDEQSQAQILSAALEIAEDDTQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVANTARASKDSKIAEVGADIELLTR >KN539187.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539187.1:24997:27161:-1 gene:KN539187.1_FG008 transcript:KN539187.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAVSKKRGGADRGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTNHHRS >KN539187.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539187.1:2425:2895:1 gene:KN539187.1_FG009 transcript:KN539187.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLATTSTAQAQTTATDIVNIHNAARSAVGVPALSWDDNLAAYAQGYANQRAGDCALRHSDRNNYQYGENLSWNPSVQAWTAASAVDQWVAEKGSYDYASNSCVGGAMCGHYTQVVWRDTTAVGCAAVACNANRGVFFICTYFPAGNVQNQRPY >KN539187.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539187.1:115112:123109:1 gene:KN539187.1_FG010 transcript:KN539187.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEKKGRKSMPKAMKPSNTKAKHNRSKSDLEDKNAKDALCSSLKACNQPKLILQLESHLKDQQVVRGALEKALGPDPDHPAPVDLSLESPMLKAQPANELIREVVTLELEIKHLEQYLLTLYRKAFDQQQQQAATVACSDAARLSVSSRCSQLLEETPKAKAAAAAPGRRGGDAIHYSCPPAPLSKRWNNGGGAADDCSPSTCPRKTTDSSDQYHGLRSQSALSFRGVCSSRISPSEDSLARALRSCHSQPFSFLEYLGDMWSPNCRKEATLDSRLINPFRVEGQKEFSGPYNTMVEVPSISRDRRRLREVEDLLQTYKLILYRLETIDLRRMTNDEKIAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGQVRVLSPKRLSQQLEAAREEYIRATVGVRKEQRVTLPKLVESYARDARLSPERLVDAVQRCLPESLRAAVQRCRQSRPASKEQGMTGVTVQGLECVLLQSRLPFVIAKYYIDLQHQQRYERETILSQIDRSRGCLLNKLKEYKGQDCEVIHEAASFAGEKIEHDDGLMLPPYSNHVTNSFVLDDLYPPSYLAKLKCMHNGLGSGGTNQDVTKTNRLENRNGSMPNGKSQGGIRSFVGWLAKTAVMVVGAVSIMKAAGYEPVIGRHSIKLDMAECAEHTCLKIEAYVLYLSMIVHVLLIALVGELHQYAGQLIPVLCHQQFGFMCCVLKARHIEARKGPTCAFEAAQQESESSTKLLTRRKQELIPFPGEGINLDG >KN539187.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539187.1:44166:45638:-1 gene:KN539187.1_FG011 transcript:KN539187.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKETGHGRGFGFVEFEEEEDAARALGDGEHPRHLICGRVVDVKRARARPQRNHDDQSSQHQHFGQGQDQGHQPAPVSGTEDGGDGMNYASKKVFIGGLRDNITEEEFKTYFESFGTVTDVVVIYDSMTNRSRGFGFVTFDSEEAVRKVIEHSFHDLKGTRVEAKIAIPKDASYYRNGRGRGSRNFGGRGHAGFDGPSYQPYNDRYGFYNSYNMPQPVPPHPYYPGVYYGMGGGYPYANAYSNMGAPANIPPGMMTRRPVYGAYPPMFPGYGVLYRGYAGAAPSIQHDSNGGSDSKKDQTSVDVQEVDSAASVATKLEFMKLGSQ >KN539285.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539285.1:123038:123339:-1 gene:KN539285.1_FG001 transcript:KN539285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QNPIKEWSITSRRTDSGWPGARLGSNPGEETGWEAKEVKKVLEGQQKMKNAQDQNCKRLTPGSS >KN539285.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539285.1:3201:7749:-1 gene:KN539285.1_FG002 transcript:KN539285.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPPPIRQPTGESSDEEDDDAEKRRPSEAEPRPPVSAGTGPVSSFLPPPKRSLGLGGGGAARRSAIDTAAPERSNAVAAVPSSSAVNAIAPERPDTSPADDEDDESNGSSDEDEMPVPEEQQEQLAVDSEAGQQQQNQQQSYDAGVGSSNGQEGYAWDPNYYANYGANYGWDPSGNVNYGTEAQYVAYGGEHGGVYGNTYGVEHGDGYGHSTEMAYGGAYSGGYEHNAAATTAPPIQQPILPPEVGRIGGKRGRSDMPAEILEVNQAELMKNRPREDKSKLTGMAFGPSYQPAPSAKGKPSKLHKRKHQIGSLFYDMKQKEMELAERRSKGFLTKAETQAKYGW >KN539285.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539285.1:89980:90601:1 gene:KN539285.1_FG003 transcript:KN539285.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWGGKCPLRSYMAMLGKMKAALASFTRSSLYSSLAKEIYREDCSLTGYSDGDGSNRPGILAVF >KN539285.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539285.1:63252:75960:-1 gene:KN539285.1_FG004 transcript:KN539285.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTAATCSASTCWTMGPTPSTSPPSAPPSSGFAGGGILFCCYHLESNEMNTVCEFEWSVLSSLQQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRVKGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGKTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVNSNKKDLMYQKFSYLLADILVDLFEQKDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLKYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTRDTLDHANKVKNTFIFNTFVLCQVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQVIIIEFLGKFTSTVRLSWKLWLVSVGFGFVSWPLAFAGKFIPVPRTELKTYISRCLPGKKGMFWRKLYNPL >KN539285.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539285.1:27504:37719:-1 gene:KN539285.1_FG005 transcript:KN539285.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGGSEVKVGIDFDAVLYCALEIGRVFFHTVCIKRGLGCKKLAEGDMMTNFHAHPWFLVKCSVLPELTGPFAHSALYLLFAAINGLYVALGSPALPKWTANGGDPCGDGWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNFDISSNNFSDSLPPSLGSLSSLTTLKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKHKSSTLRIVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKAYDVLLTGSTEVPERRQMYKITIQLLAAALEKPPEKRKEHQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVASVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALDYLHESCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELMLSGSVTQLSGRMRALLNYEAPEIHEAGTFTYRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDIESISKMVDPSIQGECSEKVLSRFADIISRCIRPEPEFRPSMSEIVQDLARIISVTKRCRGVINRQMNPLNIHMLSSVKLDMHILLLQGKIPHLEYNMIIWFDWSNALLYFVAFTYFSGMPTVLKGKKI >KN539285.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539285.1:91247:93979:-1 gene:KN539285.1_FG006 transcript:KN539285.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVYLYGGQVIFWENEYREQLLFVSRKFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPHSFEFRLRVALSPTGDLMLTSRIKNTNADGKPFKFGFSYNTYFSVSDISEVRVEGLETLDYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQDI >AMDW01024261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024261.1:28:175:-1 gene:AMDW01024261.1_FG001 transcript:AMDW01024261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAEDDGEEEEYDRVFYEDIEAPKFVDLTAPDAARPSDDPSWFCLRI >KN544361.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544361.1:1824:3435:-1 gene:KN544361.1_FG001 transcript:KN544361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDGGSRVGTVGTQRRPMASAEARNGRGSNARCWGRRCLALYNESIQDKATGALKQKKRWIEGCLEGDDDPEGRPLMPGEALNGCSFHQVDTTKALSSSNSKPSNGCYLYNQVEWIEVNTLMIAMKSTPQWVSAVSVSWDTPRRRRDLREEVAVAGRQGSGRRDREDSLQRYACQEGEGDRLKFWKRKRHGGKDGLLPVRLT >KN541744.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541744.1:1407:3345:-1 gene:KN541744.1_FG001 transcript:KN541744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLPLRLLASKTLAFPSAPSLPASRCSLPIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEDFPADPILEYVPA >KN541744.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541744.1:17075:17491:1 gene:KN541744.1_FG002 transcript:KN541744.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAPILALSLLLFAVIVHGCTPNCSGEHVVPTPPIAVPTTPLHHGGHGEHGRCPINALKLRVCANVLNRLVDVKIGHGPDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSVILNKCGKSCPSDFTC >KN541744.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541744.1:12938:14074:-1 gene:KN541744.1_FG003 transcript:KN541744.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAHCRRRNISEVATGEIGRIGLATLVEDESEINWEVDPNAKHIGLHGGAKADGSIEIGDP >KN541744.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541744.1:7845:8962:1 gene:KN541744.1_FG004 transcript:KN541744.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRGESEEEGKRPLKQNEIYRAWSSAAWSPQSTAEAVMRQCRSKGALDPVLGMIAEMFSWLTMSGCSSVMPTEIIKEKSCLLDLMELGNKSSDCHEQRISRDTEFNDRLEKPLTGMVKEHI >KN541744.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541744.1:22358:22771:1 gene:KN541744.1_FG005 transcript:KN541744.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVAPFLALSLLLFAVIVQGCTPNCSGEQAVPTPPIAVPTPSHYGGHGEHGHCPINTLKLRVCANVLNGLVDAKIGHGTDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSIMLNKCGKTCPSDFTC >AMDW01036306.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036306.1:63:617:1 gene:AMDW01036306.1_FG001 transcript:AMDW01036306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVDEGGNNMSTALSIPGRPCGTVIAGIEDGENNIGILQRTPELGQQGLDDVDILQNPIEEVLERPQHGADPKPQSADEPAHSSSCIEPQKDKRKKRKVPDIQQTMEAFLEFRMKQARLKEQAKKEKKDGEPFSISMCIKALHAMTDVSDQVKILASDVFKDAANREIFLSYDTRLRTLWIKREVN >AMDW01023963.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023963.1:38:250:-1 gene:AMDW01023963.1_FG001 transcript:AMDW01023963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAGVMLSFKLTAEYTAAQYVCSAVLTFVSAEVVEGVNLSLLSRVMSARLSRGTYNGGLLSTEAGTVAR >KN545075.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545075.1:276:1405:1 gene:KN545075.1_FG001 transcript:KN545075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFPPCLFPLPISSPLRSLLSPLILSYPGTDVHLLKVAHDGIGQRRMRKEEAATHGGGCVDLLHCAMHEEGGGSGRCNMPRFAVMDIDLRTRPVADPSSFTPMKRFPDQSTHVSQVLTEDEINHERFVGN >AMDW01040629.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040629.1:76:1471:-1 gene:AMDW01040629.1_FG001 transcript:AMDW01040629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPASFPFSAAKLAASPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHK >AMDW01039010.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039010.1:227:904:1 gene:AMDW01039010.1_FG001 transcript:AMDW01039010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YIAVGNEPFLTSYQGQFQSYVIPAMTNIQQSLVKANLASYVKLVVPCNADAYQSASLPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNTYYNAFDGNFDTLVAALGKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFNQGLMNRVMNNKGTPLRPGVPPADVYLFSLFDEEQKSILPGNFERHWGIFSFDGQA >KN544811.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544811.1:1090:2047:-1 gene:KN544811.1_FG001 transcript:KN544811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPTSNQPSILGPQPVYSGAYNNAPSSATASPFRKLLPFPLVELRCTLARESYKAPLVFLLHNSQAMDLHSFPQAQLKLKCINNIQSREASRCLAK >KN539041.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539041.1:109784:116778:1 gene:KN539041.1_FG001 transcript:KN539041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEGCCGGAAIAAAAGVIEEAETVVPPPATAIASPSKGIRIMTRTQKSHPLDPLSAAEISVAVATVRASGRTPEDAMEYAECEATVKSHPPFIEAMKKRGVDDMDLVMVDPWCAGYYSDADAPNRRIAKPLIFCRTESDSPMENGYARPVEGIHIVIDVQSNTVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDLKTLIINQPDGPSFRVNGYFVEWQKWNFRIGFTPKEGLVIHSVAYVDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGLIKYFDAHFTNFTGGVETIENVVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFDGKIEAEVKLTGILSLGALMPGEQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEAYNQVVEVNVKVESAGPNNVHNNAFYAEEKILKSELQAMRDCDPSSARHWIVRNTRTVNRTGQPTGYKLVPGSSCLPLALPEAKFLRRAGFLKHNLWVTSFKNDEMFPGGEFPNQNPRINEGLATWVKKDRSLEETNLVLWYVFGITHIPRLEDWPVMPVERISFMLMPDGFFNCSPAIDVPPGPDVYTKEAESQKDIQAELISKL >KN539041.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539041.1:89696:94792:1 gene:KN539041.1_FG002 transcript:KN539041.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGLASRPDEWDVVLKVKYGETLKRFSGYVQGPQFSLNLSALRSKIASAFKFGSNVDFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNNSHTAAPQAKQQDSDNIPPRSTTTEDPLAHIKSVIDEVLKPISMKSIQEPVPETLAKLSHEVLEAASPQLAELIKPFVKLVTPSNNNPSNGHADGSCSSSTGLPQTQVDPKTNDEPKIDTSLGSQPLDTQNSKSSGARGLKTVSVEAPATSGVKSSQGQQASLYPSIEELLFSPFLPNSGDDKSASKGISDAQSKGKSVMTSATPPTPPAAPAFRPAPPIPSLNDWSQPPARGSTFYPSIWQSEADPKANSDSRWRVPLCRAGHPFRPHAPLSRPPPPMPAPMSYGPSPHFPYPGRLLSSGHLHGDLGNNIENSPARTFHRWIQCDGCGVQPIVGPRYKSKTKEDYDLCDACFHRMGNEVEYTRIDKPLLPQRLLRDPTLYRKIHSRAVMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTYVPLEIPVDGFPVDQEIDVAVDFVAPARPGRYISYWRLTSPSGQKFGQRVWVHIQVEDPSFVSNNRTAAINLNLPPESNITNTSNLIDVNIEPVDQVFNQHVNSTNKELLEHLIHHQIDEPKNPEPAPLPVPIVSSTTSLHPIIDVDVPSSSTAAAFVPVFDEPAPEPAVTPVPPTVNVPAGNAPASVGASSSDHHGIDNLTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVSEWDPLLAELQEMGFEDTEINKEMLEKNGGSIKRAVMDLIAREKKDQ >KN539041.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539041.1:15764:16840:1 gene:KN539041.1_FG003 transcript:KN539041.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKCALRGFDLRALLILLIGVPALIFIIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYHENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDTPEVLHLQLRNYLYSFQFLLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYINDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICEGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMDQYRYLLPGNCMRESG >KN539041.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539041.1:157923:158898:1 gene:KN539041.1_FG004 transcript:KN539041.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAANPLYEAFGLYDAASPADVDAFCSCLDAPPHARYNYTPDTVGETGVQVHTDSGFLTVLQEDDRVGGLEVADPDTDEFAPVDPLPGTFLVNLGDVATAWSNGELHNVRHRVRCVAGAQRVSIALFLLAPKDDVVRAPEAFVSAERPRRFRDFGYDDYRRLRQSTGEHAGEALARLAA >KN539041.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539041.1:1018:4517:1 gene:KN539041.1_FG005 transcript:KN539041.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYCTVLLLPLQALLPVGLTVVDPSTPSRCAATSCFQLPIPHHTTCTTHKIDYIHKHLCQNPQFCHTQPASFVTVISDRTTFISIFAKILNPAIQPASFHGSKAVFSMSRLKCALRGFDLRALLILLIGLPILIFVIYLHGQKVTYFLRPIWEKPPKPFKVLPHYYNENVSMSNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYISDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMSYPALILRAIIILLSFDIFAVHYRKRMYNAVGVWSQLTVCKQPESLQVGKKCSVFTAFYHFILLIMVNELLGWQVQLGKLSFPDIIFLIIVRVRLFVPLSDMLRRHFVGRSGLEQAVLVGRPLQPD >KN539041.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539041.1:153025:156861:1 gene:KN539041.1_FG006 transcript:KN539041.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKPGDVKLRARAQVIGTWDDHDYGLNDAGKEFGGKVTSQRLLLDFLDEAEDSSRRQQAGVYASYMFGPEGKRVKVILLDTRYHRDPLSSDGAVLGDPQWQWLERELHGPRSEITIIGSSIQNCFKIIQFSSYALQRNGVLFISGDVHFGEISRYDCGAQYPLYDITSSGLTQSVENSVPSVFQPLMRLVALLTPTTLRVFSPNCRYKSCTYGQPNFGAIEIDWNAVPPQIKLELRDVEGNSVSGVEFPISELDPSKAHAITKQGHSYQRHCALETELPWLVRHRLALLLFGTIAVLVIAVVLLGITCLSAANIFTKKSKME >KN539794.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539794.1:80444:81493:1 gene:KN539794.1_FG001 transcript:KN539794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYNYLDVGVSPYSAYWREMRKLLVIELTSIRRVQSFAYARAAEVARLVDTLATSPAGVPVDLSSALYTFSDGVIGTVAFGKVYGSAAWSSSEWGGSFQEAMDETMQVVGSWRGGPTPSPHLEPERLSAEVQEDMVDAMVKVWREQKDEAFGLTCDHIKAILLGSGKGAT >KN539041.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539041.1:135040:136567:1 gene:KN539041.1_FG007 transcript:KN539041.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILLWLKSLLAGIAGEQKGVCVSPGGRFPAFSSEGKHPGRAAKGRRDLALCRVFRQNTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSICDPRVGVRPGPPVICASFCDMVFKACSEAYFAIDVKTQNCDILCGKAHKWVSNGTELCRSAGFSVQALESTSGGVDDTFCYGGKASFDAISSSWTSSKERPVLSDVASWNLEDFHRWAREMPASERVSWAIGGMVLTAGLIFISKRKSYIPGQKQAAIARNMRKLESRVNPHQLRRN >KN539041.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539041.1:81172:86530:-1 gene:KN539041.1_FG008 transcript:KN539041.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYWLAYQTSDDAFQPLLFIKVYATVADVSVVIVMVRYLLVATIGLETANIFFRQMRARLSGGHFRMLNEKLYTCSGQDAFDYFTNEPDLFDVYHAGYREQMSHWPEQPVNVIINWLKSHSASWTVADFGCGNAVVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPSYIEEANRVLKPSGWLLIAEVRSRLDPNTGGADPDKFCEAISKLGFSLVSKDAKNKMFILFHFRKKEKSKVVKNIDWPQLKPCLYKRR >KN539041.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539041.1:21946:24988:1 gene:KN539041.1_FG009 transcript:KN539041.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHCSSRPISELGAEAQMECPKCKHVIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKIGLGGSKPHAFIDEFIPTIDNDEGICYSHPENLPGMKKDGTSGHFFHRVSNAYGCGKRKRRKISNCDHVVSVEHVRWHKTGKSKAIVEKGVTKGWKKIMVLYRSSQRGAKPDKANWVMHQYHLGAEEDEKDGELVVSKISYQLHGKLIDKSETGNADEESDAFAARVGPKTPKTNTPQPCRLKNSPCETENYDPILEDQDEEESNIPIVSLKDDAGNPAWCAGETQAAREAVQACPNLDESLRCHEVLDSFYHETLLPSDRPILSQGGNEILDRNLNAVYGLPDLYNVDLGTPPDFQLADLQIGSQESIGNWLDSI >KN539041.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539041.1:100704:100989:1 gene:KN539041.1_FG010 transcript:KN539041.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDLEDKLNEIRKTNSNLVVIGKPTGDVKEEYDAEAEDDDADNVEESDGDDFDQETG >KN539794.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539794.1:59327:61775:-1 gene:KN539794.1_FG002 transcript:KN539794.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKQGKTKQRERDAKELEQDIQMLPKKVTLSTQKTKVVVKVSQQQTEENLMEE >KN539041.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539041.1:129710:134157:-1 gene:KN539041.1_FG011 transcript:KN539041.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAGPGRVNGLVAAGGPPERHRDMSRDPDLPTTPRLLWRNLDICYCQNLSRLPPPATGFSAGHGYSLILSPRVCVIGDSVIHHQRPWGGGASNGRSKPKSHTCRRGQGTEPWVAEQYKIMSSKRGGGGRRGRGCGRRRSALAENDMDFHETSTPSSPSTTSDKEDNVEFTPQQSPLPCLVSPSVEHVSNTLLNPKINHRSDAIFGDQLWSWERSHVGRPLVRSPVCPETDIPQDVLPVGFRWVGARTQSENATRCLKQYRDELNLQRADQALHRISRRGRERENWEETHHEYIQEWEARRQRIFPESEQYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDLQYQAKAPMIRKAVDKLHGMVKKAKIAMASTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAATQDMPLLLLEAEQNIVDADQEAQHQGEEELHMVDDATMTLEPMDEENNDFNNPLLLQTLIPQQNTDFDQDGHLENPNEMDQIELMVEPICVDHNDSDNVLSSSPSAQALEENCEVAKAVNGNVDPTTQVTGSSTPQQDADVKLVAEQENPGTTEGN >KN539794.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539794.1:48644:50301:1 gene:KN539794.1_FG003 transcript:KN539794.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTEGVRTSHCRSRGGAHAEWPPGGDGQAGGLEVGRVKGRRWEARGGWRTGARMGERGGGRAPAAEKRGRCGGRPAAAGMWSDYWREMRKLFVVELTSVSRVHSFAYARAAEVARLVDTLAASPPGVPVDISCTLYQLLDGIIGTVAFGKVYGAAQWSTERAVFQDVLSELLLVLGSFSFEDFFPSSALARWADALAGVERRRRRIFRQVDGFLDSVIDKHLEPERLSAGVQEDMVDALVRMWREQQDRPSGVLTREHIKAILMEWI >KN539794.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539794.1:15849:16963:1 gene:KN539794.1_FG004 transcript:KN539794.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHPGGFLMCAGWRRHVLAGLDSGSAAAVLGEPEGFGRRIWSSRSSVAWGVSWREGSIYRRERSKGRTRRTLAATFGRSTAAAGITMVGIRLCRRALGGGTRCQQGSGRAGAEAAGGRLGWASARSVASYQASRADVEDGDIGGEVSGGRGRESGRAASALQAWSGSSCRCVAARAERVYF >KN539041.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539041.1:137764:140108:-1 gene:KN539041.1_FG012 transcript:KN539041.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAKQMAEAPGKIESMRKWVIDHKLRAVGCLWLTGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALVGSAMVEYYDAKYGTSGPKVDKYTSQYLAHSHKD >KN539794.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539794.1:26023:27996:1 gene:KN539794.1_FG005 transcript:KN539794.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFPPDRYLIVIDDIWEISTWDIIKCAIVDSNCGSRVIATTRISQVAEEVGDIYYMEPLSDSNSKRLFNRRIFGADCIGTTNNQSIEAMEKVLKKCGGVPLSIITIASLLVDKPLEDWSNVYDSIGFRPEDNEAVQNMRKILSFSYYDMPSYLKNCLLHLSIFPEDCWIEKESLIWKWIAEGLVHVEQGKGLFEAGERYFTELINKSMIQPMYYNNHEGTLDGCRIHDIVLDLIRIMATEDNSTVILDRMHEEHNTSLQSRNVRRLALHISWNQDIDNNLQVDMARLRSFYAFECPTSMMPLVVDFNVLRVLALEDCHITEGFFLKNLGKLHQLRYLGMRNTREVELPQEIRNLRHLQTLDVRDSGLGALPVTVYELSKLLRLCMDSFTEVPAGLGNLKSLQELWVYVSDDSCPNFAAELSNLTDLKVLHINWYWEVDEVSLKDLVESLRNLHRLEDLSFSSRSFGEMSGWEGWEPPRQLRKFSIDDVSIFLPRLPSWVNSTFVPHLSDLSLQVQAMKAHDFEVLARIPELRFLSVDVKDDGFSWTVPGGDGLFQNLRRCRTNIELTFLQGAMPILMEAELCVAASGGCANSYDVGLGNLLLLKTVEVWIACEDATAGQVEEAEMVLRHSVDAHPNHPNIDVKKFHRYVRLDFIS >KN539041.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539041.1:143974:149207:1 gene:KN539041.1_FG013 transcript:KN539041.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MCASQALALKHHTSKISDFGDLASVATFGFRGEALSSLCALGKLTVETRTKDEPVGTRLEFAHSGVVTGERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLVCTNAVGKNSKSAVLRTQGSSSLKDNIITVFGLNTFKCLQPFNVTISEGCQVDGFLSKPGPGSGRNSGDRQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHAILLSLREGIENLYCPQQCSFSINSVEDPGKEMDPAIDGSDEDMCLTEKENVSAPENDDDMDETDSDDEVTPENQKVPSSVTTRVATGSTPKDVSPLSRGPPAQLDRSTLLSAYRYEQREKTPTRVKSYPAQANHVRTGLAAKSSPSSAVQPSIMKFLSQNKRKHEDSCNLISEAPVLRRGTCLEQVRRTDLGANSPTALTSRVSNIPEVNAPQGTNPLRHHSLQSFVPEMPEDSPQHSEPPNIVSHRDEVPQLRPCDVHATESEVVRCYKAAALDNYVPDNEEGKSNSLAAATSELDKLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFENLSQSTTLNIQPLLQPLRLDLSPEEEVIVSMNMSTIRKNGFVLAEDLHASPCNRYFIKAVPFSKNITFGAQDVKELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSTMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLHAIKTEEKE >AMDW01040728.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040728.1:1498:2055:-1 gene:AMDW01040728.1_FG001 transcript:AMDW01040728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANCIWSRGRTTSPKDDWSFTKLRSIHLHYCPRLTFVLPLSWATSDSHLPSLETLHIVYCSELRQIFPVEPVALREQPRGVLRFPKLKHIHLHDVPKLHQICEISRMVAPVLETIRVRGCWALKRIPAIDGSLRGQDSRPIVDCEKEWWEKLEWEGMNVGHDPSLFEPRHSMYYKKALPRCSVLR >KN539794.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539794.1:77970:78383:1 gene:KN539794.1_FG006 transcript:KN539794.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLASQLLPWQPLVQLLAAVLFLLLPLVYLLFFKGDGNGGVMDSASAPSPPGPPRQLPVLGNLLQIGSRPHRYFQAVARRYGPVVQVQLGSIRTVVVHSPEAAKDVLRTNDLQCCSRPSSPGKLICKKLGFSFY >KN539794.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539794.1:20203:21384:1 gene:KN539794.1_FG007 transcript:KN539794.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTGVMAALIPKLCKLLMEEYGLQNSVKEGIAFLTSELKSMQAEVEKISKMPLDQLDSQIKIWARDVRELSYDIEDNVDTFMLCVNDFEARKKHDFTWLIDKHCKSLSKLKNHHKIANDIKHDMIQVKEAMEQHDRYDADDVASKLPTIIDPRILKLHDNVTKPVGVHKASGDLLKKLSMGNDESSQKLKMGLSRL >KN539041.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539041.1:95496:97193:-1 gene:KN539041.1_FG014 transcript:KN539041.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVQDIADVHAPKIMDDGKNAMLNVPDAVGGLEHLEELRLASNALVSLPDSIGLLTSLKILDVSGNKLRSLPDSISKCRSLVELDVSYNVLSYLPTGIGQEMARLEKMWVHLNKLRSLPSSVCEMRSLRLLDAHFNQLRGLPAGIGRLAALESLNLSSNFSDMRDLPASFGDLLGLRELDLSNNQIHALPDCFGRLQRLERLRLDQNPLAVPPKEVVAGGVGAVKEYMARRFSFNHFVTLFDEVQSIERP >KN539794.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539794.1:52973:53542:1 gene:KN539794.1_FG008 transcript:KN539794.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMRNPRVMQKAQAEVRNTVKNKPLVDEEDIQNLKYLEMIIKENFRLHPPGTLLVPRQTMQPCLIGGYNVPSGTRVFINIWAMGRDPMIWDNPEEFYPERFEDRNIDFRGSHFELVPFGSGRRICPGIAMAVASLELVVANLLYCFDWKLPKGMKEEDIDMEEIGQLSFRRKVELFIVPVKHEQCEP >KN539041.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539041.1:41437:44011:-1 gene:KN539041.1_FG015 transcript:KN539041.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFSNESVRSVCTEVATDLQILVGDCLYQLHKFPLLSKCLLLQALCAESGCGGNGGDVIELPGFPGGVEAFDACAKFCYGITVTVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALAVLNSTRHCAPLCEDIGLTSRVKAKCTFQASM >KN539041.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539041.1:102264:105106:-1 gene:KN539041.1_FG016 transcript:KN539041.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic phosphate transporter 2-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26570) UniProtKB/Swiss-Prot;Acc:Q38954] MSQSSPFFSIARAHAGAGGRAAAAALLLRHPVAQLPPRVHGLRYYPSAIVSPAKTLNSHLGLPRATISSFANADNGSSGQADATESEEEQNGESELSEMAKAFHISPRMAMSISVVIAFAALTVPLAMQSVVFHGTNKMKALAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGIVFGGVNAVFWSSLARVSSSWVISPLMGAAVSFIVYKGIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKTFSIAILQALACGAIGAVIVNRVIQKQLGDLLSSEAEKIASADKANAQQVGFLSDIAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLSIFYTLLFTKILAYFM >KN539041.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539041.1:71928:75141:-1 gene:KN539041.1_FG017 transcript:KN539041.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEFYAGEGLQIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMIKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVGLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPEVSVTAVHICQYNSFLSLFANPEIYPQLYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPPEPLAPPRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSQCY >KN544906.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544906.1:1265:1471:-1 gene:KN544906.1_FG001 transcript:KN544906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQCSALQAQVDRLSTERRWRGGGGGFFKWSTFWFGGMSSDVARVEDSESGIERRTPASGKKGRGGALD >AMDW01022697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022697.1:19:312:-1 gene:AMDW01022697.1_FG001 transcript:AMDW01022697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGATDPALDPYYAFVYKTWVCPNAAASDGNVVFLDDQWSALRVDSNYYKQLQRRRGVLPCDQNLYGDGASTKWIVNLLANNSDLFPSLFPQALIKLGE >KN539016.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539016.1:38551:50278:-1 gene:KN539016.1_FG001 transcript:KN539016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWGGSDGSEEAFPEYDRVTPFDYDGEVDGDDHPREECGVFGVVGDPDATSLCYLGLQKLQHRGEEGAGIAAAGDDGTIKLERGLGLVGDVFGDPARLGKLPGQAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQLAVAHNGNLVNYQVLRNKLEAQGSIFSTSSDTEVIQHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLLAVRDPFGFRPLVMGRRANGAIVFASETCALDLIDATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSVSDKGIDRVSSAAECKRIDWHFRFDGAFIYEEVKYLSRFSKYIAPCPCCKLERLFFFRFLLMFIGGMDGWFPLLGPAFAANEVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPPTFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRITARDLKIPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKKHPFFRGIDWKNIRSTRAPKLAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNDGASSSSEVRSHISRLASIDSFDSRWQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSSFEDAKQRAWQWKKAIEDLQRCQKN >KN539016.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539016.1:134505:136481:-1 gene:KN539016.1_FG002 transcript:KN539016.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAVSTAPVAPVVAPSSSSSAAAPRRPRPLRLRVTPYASVSSSPSPSTSAVSSPPPPPARHSRKQLVGRDGATAKPAKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLKRDVKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQKTNIRGN >KN539016.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539016.1:16860:18071:1 gene:KN539016.1_FG003 transcript:KN539016.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQGFHKPCSEAFGADQFAAAADPGANASRSSYFNWFHFSTSWGYAVATTLLSYVEDNVSWTVGFAACWATMVVYLAVFLLGTGTLLSKEVVDGKGFLVNVLPIWMTSIVFAVIASQDITLFTKQGSTMDRNVGGGGVVPPAALQFAISVTIVTLLPVFGLIGLEEFFYDQVPVELRSVGLAVSLSVLGVGSYASGVLVSAIDWVTRGGGASWFSDNLNRAHLDYFYWILAALAALEVAIFVYIAKRYVYKNKGEQ >KN539016.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539016.1:58474:62546:1 gene:KN539016.1_FG004 transcript:KN539016.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVGNSYPRPTPRPPYWYVTLWQQPGFGSCQLALVAGQHNRINQEPETFGDQTARRPKIRRTPKSAGGKTEANLCPTHEATTLRCSYGYGLHAPTGVNLQPFARKSAVWQFLASCYVHLTYRQHDVSPCLMPSFRSPRLQVEHDTSCRDAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAIQPDFLCYATAAVAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVISITCIAVQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDDSLPCDIITVMRQDYFV >KN539016.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539016.1:85069:89671:-1 gene:KN539016.1_FG005 transcript:KN539016.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNEKMVEFLQSSVGIIHKNHAESITLFIKESVDEELKGIDSPNVSKNKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGQGPGVLSVSQVPVHMEGSLPPDIRERVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKEDPSAESSGGDKSTNKQIMLPHRGGLWVPEVVTYRHSASNSLRKIPTSGGSRC >KN539016.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539016.1:10528:13189:1 gene:KN539016.1_FG006 transcript:KN539016.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISSVMQPTHQHPVSCHDAAAACSPPPSLARLVFFHAALYLLALAQGFHNPCSEAFGADQFAPASDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTRALLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGSTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASDAGLVDRPNATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQSY >KN539016.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539016.1:140861:144084:1 gene:KN539016.1_FG007 transcript:KN539016.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELERDRQVRGIELIASENFVCRAVLEALGSHLTNKYSEGHPGARYYGGNQHIDGIERLCHERALAAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKNLRRRTGSFSQADENDYDFEDRINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIIQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRNLGLTGKNFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASNLMKEHGKMQKEFLRGLQNNKDIIELRNQVENFASQFAMPGFDV >KN539016.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539016.1:164701:166616:-1 gene:KN539016.1_FG008 transcript:KN539016.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding TVEDEIFVDQEDDPEILNDYEIGQNEVTAIKDKDVYKQKLKRRASQYKIKVLNPCRKGKKLLVLDIDYTLFDHRSAAENPIELMRPYLHEFLSAAYSEYDIMIWSATSMKWVEMKMDQLGVLGNPNYKITALMDHLAMITVQSENLSEKKTFDCKPLGVIWAQFPEYYNETNTIMFDDLRRNFVMNPQNGLVIKPYKRTHSNRGTDQELVKLTQYLLTIAELEDLSKLDHSAWESFTEENAKRRRHR >KN539016.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539016.1:63539:78477:-1 gene:KN539016.1_FG009 transcript:KN539016.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGGGGEEIGATGWGVWVHEERKTVAREAAAKRIQEQQQQQRQQPASVQEAPASRAPIDARELPWDGVPNLARLPSQKSGMGVAKASAAKASGLLVLFVSEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNINSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKRFASERTPQHHLKEEAESVMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVHQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTVKSSLRSKVNSFVVNEEVTAAQIKAEMEKTLRWLVPIANNTTKSEVNCKPTGQMDLTRIETLYHADKEKTEAHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHTITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFETKSRHSKQSRLSKSNSHSPSSGNMKELLSIRRMLPVIDFEIDRTKAMDVIDRVDNLKSTGEANGKAVVLLTGAPRYQMLPLETDLNTLPMIPNIPEKVFPMDAKSTEGSRYGSGLVNQNLSRKCEALAVSGLAEYGDEIDVIAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDMSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSQSGSSQASNSLVDLGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVDNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDEQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSNSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQSRETKENPSTQDSMGDSESKQQSVNDACFEKRSASNVEIDTNNHTMENQSRKNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGNVEYNLHSAIHCYDAAKGAIFAFPVLSAEKSTILKKRGWAFNELGRHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLNNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFTDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLVDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANEEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >KN539016.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539016.1:19615:27363:1 gene:KN539016.1_FG010 transcript:KN539016.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHQQAESGDNADNNASSSMDIHVAFFYLSLYIVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGIYGGNVITVSILNYIQDNIGWQLGFGIPCIAMSLSLAVFLLGTKSYRFYPLKSNTSLFDQVGKSLLAKIRWWCASWCSKSSGDLHCTQASSSQGDHNDEEKACFPDEATAVLKLFPIGATCLIYAIVFAQWITLFTKQASTLDRWIGKVQIPAAALQSLISVSIVISVPIYDQILVPLTRRYSKNPRGITTLQRIGIGLVISVILMVVAALVETRRLMVARDFGLVDNPEATIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLHSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGNSWFSDNLNRGHLDYFYWLLAVLSVLGLAAYLHFSRVYVHKKKGISVHLERFAWRRRSSLGTTASKNCCGEMLIFTFQGWVYNLWTVKVTTGLGMITVSSVLAPEESSESSNLAAHVAFFYFSLYVVAFAQGGHKPCAQALGADQFDENDPGELASRSSFFNWWFFASYGGNTVTVPILNYVQESVSWQLGFAIPCIAMAVSLAIFLIGTRSYRFYPPKSKGNPFGEVAEWIRRWIASSCSKLPDSSDELLPSSSSEGDVSNSSSGFVPNEAAELVKLFPIWASSLIYAAVMAQCITFFTKQASTLDRRVGSLVLPAASNGALFNATIMVFLPIYDRIFIPVARRYTKNPSGITTLQRIGVGLVLSIITMIVAAMVEMRRLRIARDFGLVDKPEAVVPMSFLWIVPQNILAAISDMFAVIGLQEFFYGEAPESLRSFSMALFLSIIGVGNFISSFIVYAIDRVTSSFGDSWFSNNPNRGHVDYFYLLITVLNALSLACFLYFAKMYEHRKKQHLKTRFLTDSQACKPVLNVTASHVYYNFVFRDNSTLQRASGCEQHLPMAYKMKGVFKGLKVISQIFVVKEHQMEIGYPTDVKHVTHIGWDSPTGSAASPSWERPGLLKVISCSSVLDFFHQVQLMFSWLNHDLILKSQGDKDAINRNLLLVQES >KN539016.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539016.1:489:6653:1 gene:KN539016.1_FG011 transcript:KN539016.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding GFHNPCSEAFGADQFAPASDPGARASRSSYFNWYHFFNSCGYAISNSALSYVEDSVSWTLGFAACLAMTAVYLPVFLLGTGTYRAEQPVHGGGATLARLAESSSLAARAWTARAFGRKDAICTERLLAKEEVEHGKGFFAKLLPIWLTSIVFAAVVSQQSTLFTKQGSTMDRRVGGIVVPAAALNCVVSFTMITLVPVYDRAVVPLARRFTGHPAGITTLQRVGAGMATSCLAMVVAALVEAKRLRAASNAGLVDRPDATVPMGSLGMLTISSVMQPNHPHPASCHDAAAACSPPPSLARLVFFHAALYLLALAQGFHNPCSEAFGADQFAPASDPGARASRSSYFNWYQFFNSFGYGISNTALSYVEDSVSWTLGFAVCLATTAVYLPVFLLGTRAYRAEQPVDGALLARLAKTSSSAARAWTARVFRRKDTSCTERLLAREEAGEKGFLAKLLPIWVTSIVFAIVGAQEVTLFIKQGSTMDRRIGARGGLVVPPAALQSIVSVIFLTFVPVYDRAIVPLARRFTGHPAGITTLQRVGVGMATSCLAMVVAALVEARRLRAASNAGLVDRPDATVPMGVWWLVPQYALVGLSKVFGIIGLDEFFYDQVPDDLRSVGLAMSLSVRGVGSYASGVLVSAIDCATRSGGESWFSDDLNRAHLDYFYWILAALAALERR >KN539016.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539016.1:124851:128962:1 gene:KN539016.1_FG012 transcript:KN539016.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHVMEGGRKFRAVESAENKSDLLLRNSAIRDLQHVAVAEKAPVEAEKEKKVEEESPAVEAEAKEEKKDEAAAAAGGDEAGAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAAGEFNLPPPPPPPKAKVEAAVEETKTEESKAEEEPKAEEPAKEEEPKAERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIESLLNADLALPELDSVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFIFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVTENSTIGWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTINNPASKKKKLLYRSKVKSTSESV >KN539016.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539016.1:146741:147875:-1 gene:KN539016.1_FG013 transcript:KN539016.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDDLSSGGGLSSLPALLRAAALLRGLHSQLTLLVGQLHLPPGGRWLDEYMDETARLWEACLAVKVGLAAVERYCAAASCAAAAMDDWLQDPSPLSTRQVMRAISASRREAMAAEEENRALSEARIAPLSLQLDERLLLRAADARLSGFNGFRGLLYALHNASSLLLLVLASGAQCDDGDGGVKDKVDELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH >KN539016.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539016.1:30185:37446:-1 gene:KN539016.1_FG014 transcript:KN539016.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKHQSQTCDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKQVKTKVYIDWKGKYEKVMCVLVKLLKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGEAIVPKKPYQFLNIWTLREYLEYEFRMQNPPFPIDFEHIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNKVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGPAPSPYEQNGSDKNNGGKNSRARKAARVSSSGSSIAAAIVEAENDLEAQERENKEDLKTMLKDALREKSDVFNSENPEEDKIKLGEPGWRERYYEEKFGARTPGQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLSGLGQLNITFELGSPFKPFDQLMGVFPAASSHALPVQYRQLMTDANSPIIDFYPTDFEVDMNGKRYSWQPEEARRNSIMFNMLFVNGSHPLSPYIYSLNSKFGHLPDRERNEIKEKIDPSSSGGMNGYISLCSGDPCPPVFRSPVDGLEDIMDNQVICTIYKLPDSHKHIARPPVGVIIPKKTVEATDLKPPPVLWHEDSGRRPHDNNNRRPYENSNRQNPAGAISGRQLGEAAHRLVVNSLNARSGGQYNTSSMPYQTIMNGMPYPNGIPPRMEQPAPGWHVPGDLPNGQVPPAYASSSGHYQNDRSGPSQYGRDNHGRYPYARDNHHDSRGRVPPYHQSGGNPYQSHSAPSAGPGRYAQPPPYAGGYGRSYQPAPYGGGQQWQQQQQQPYGSYAGSGPYGGGAPPARPNSRPQQSQNRYNTLDRNSNRRPPPGHGRH >AMDW01026165.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026165.1:65:298:1 gene:AMDW01026165.1_FG001 transcript:AMDW01026165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVLPMQEELNMFAEYKEKLAGIAGEAAAARIVSESLFLVCAGSDDIANNYYLAPVRPLQYDISSYVDFLANLASDFIK >AMDW01036777.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036777.1:291:620:1 gene:AMDW01036777.1_FG001 transcript:AMDW01036777.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DILHEYTLKIKTVKNDILLALAKLLDLDEDCLLNQFSDKAITTARFNYYSPCPRPDLVLGLKPHSDLCALTVLLTDKDVGGLQVLRDGTWYSVPAVRDYSLLINIGVTLE >AMDW01033144.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033144.1:9:405:-1 gene:AMDW01033144.1_FG001 transcript:AMDW01033144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLNEIVLIADELTGCVPPQVGLLKKVTVWGCIPPSIGRMAETLNEIVLIDDELTGCVPPQVGLLRKVTVFDVSGNHLQGPLPGSVAGLAAVEQLDVAGNLFEGPVPATICSLQSLKNFTYEDNFFSSRP >KN540081.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540081.1:11129:17903:1 gene:KN540081.1_FG001 transcript:KN540081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPMILPDELIKLHGFTTLGGKRPAYDGRESLYTNGSLPFESKESVVKVFDPEKNAKERAQKEFKFTIEIVGKTNLYHLQRFLLGKHRGIAQEIIHVFDVILSDKLSQNHVTGPRSFLCTQIGHQGYIGDGLESWRGYHQSLRLRKIGISLNTDMSAASFMPVRIIQIIDGFLNISYTSRPLLERNRVQLKKVLCHVCIETNHHDDQIGRYKITGITPIPMSNNICPVGEQGTTMTVLQYFCDMEKTGVPSVGHWNIAEEKIINGGALDNWTFLNLSRMRLEEVQRFCSDLIQMCNATGMSFYPRPVVDFRSSHPNNTQNALRDVHRPMHSYVDCDENHFYADAHCNCTVSVVPSSSNDKVAVEQEELQFASTPGNSGQGKFQWAIEKTNYWPKDVYYTYSMGMIDKKKTVKESHLYKGSLIFVNSRSRGGGEPPAGCERIVDRMIKANKIPLLDHIRSVKGHDPWVEWFELVNLPRSLLTSSKEWGGVLLQDAAAKVGQMLALCLERAHCSGKCFGGFVIHDVYYIEYYQLIDINAPMMDFTNRRYINDWLSFKKIIDDHFRYTDPTSGYLSYTLYVEDFMFRISSLEDVPSTGCSWRARAVFFKNISLESSERRVQIVEGLVGFYRERLTSDRELFGKDWVPANDYFENCGYSQLYFCRCFFGHYTVPGRITKKELDTAVGILLPGHIPRAQERLMVNYEKTQVDAAVLSPSGNTARFSVHHIFGIGSVGNDLALPQIKKALPPSQRKRKQTCDNLTGLLYTCSCFSANFPLVAESSDGSSGQAVEF >KN540081.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540081.1:45916:51607:1 gene:KN540081.1_FG002 transcript:KN540081.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIHFHTLTDLPPRTRNPSTIPAKINCRHGLSSLGYPTSPPPDPHVGQGLHSRIDHNRGPSIGPAVAKVPSVVSAINEVPATGSIIAELLNVAPARACCCRLKPDMSLPVPPHGVAITAQSMPPLQLSRAGKWESVSRESSFCTSDTRAIGIFLAHFRTTQFWQAEAERLKQQLHNLEGSQRQLLAHDLSGLEWNDLKSLENQLETSLHNVRLKKDKIMVEQIQELRKKENIMHQENMELHREFNMIRQDSVNFQRKVYGKHDVNGGQGSSVTQNTNTPDDADEIRLELSQPQVPDEKPEAAA >KN540081.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540081.1:39275:40188:1 gene:KN540081.1_FG003 transcript:KN540081.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVISRIENTTSRQVTFSKRRSGLFKKAKELAILCDAQVGVLVFSSTGRLYDYSNSSNSRNLTYDQLLGDTVC >KN543151.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543151.1:4079:4528:-1 gene:KN543151.1_FG001 transcript:KN543151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYTELRPDVYGPFVKAWDKIVAWPKKVTPPVAPFELCYESRTISSNRLGFAVPSIDIELEDGATWYIFGGNSLVQVDDATACFAFVEMRPEKVGAGYGGGAAAVVIGGHQMEHNLVVFDEEKQQLGFSGLLFGLQTTCSNFNFTVAA >KN543151.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543151.1:10158:10373:-1 gene:KN543151.1_FG002 transcript:KN543151.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNDNTACLAVVKMQGEKGNPPPPAAIIGGFQMENNLVVFDEEKQRLGFSGLLWGRQTTCSNFNFTLAA >KN543925.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543925.1:1306:1494:-1 gene:KN543925.1_FG001 transcript:KN543925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEAVPERGKAAPEWTAASDLDDGKAGAWTEETTEAAHDEVFVDGGGAGPHAMDGGDAAI >KN539362.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539362.1:36310:36817:1 gene:KN539362.1_FG001 transcript:KN539362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECFKTTLAGVVFVVLLLQQAPVLRANDPDPLQDFCVADLDSEVTVNGLDTGNRYYSRVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLSLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >KN539362.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539362.1:94940:98263:1 gene:KN539362.1_FG002 transcript:KN539362.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSPEGLYLRDVISHLNMVRGKGMAAMYSWSCKRSYKNGFVWHDLGEDDLVHPATDGEYVLKGSELLDQSSSGQFYQGTNGNQKQQSRLKEGARLPLPREASYSSSPPSVIVREAKPRRSPSVPSLEEDDSPVQCRITSLENMSPELEPQRTLLSRAGSASPAEFRVYKPTGCVDAATQTDDLGRRSVRKVPEMHKKSLSTDHDSVVREITEYRQSQPRRSAELQGIAREAMSQCHTPLSIPSSRGKSESLESLIRADNNALNSFRILEEDDIIMPTCPKLRPANVLMQLITCGSLSVKDHENIRLVEGYKPRFPNMKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKMQRDVPADRYSALKRSSSYNAERSNETLDCARPDEDTVNTSRARCLPRTPILSSFLHPKSEAMKSPISDCRRSSSAGPDCSLASSGDGSKRFTDAPGAPGSRIDSFRKEEKLVKIEES >KN539362.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539362.1:25022:25708:1 gene:KN539362.1_FG003 transcript:KN539362.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENCFKTIAGFVIVVLLLQQAPVLIRATDADPLQDFCVADLDSEVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHIHPRATEVGVVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >KN540348.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540348.1:14814:18179:-1 gene:KN540348.1_FG001 transcript:KN540348.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSAAVGSISNLAAQETSLLCGVMDEVGFLKAELERLHGFLEDANHKRRSGDASAAVLVGQIRDAAYDAENVIEASEYMVKRNKLKKGFMGAISRYARLPTDLIALHKIGVEIQRIRRKISEIFDSANRLKIVGLGNPTTDIGHADDEFPQDYDIMYQNFEDDDVVGFDNEYNEIVEKLVEQENELSVVSIVAMGGAGKTTLARKIYNSTRIRNHFDTTAWVTVSQKFKGIDLLKDIMRQIMPNKLESREIDQMQELEVGKKIHEFLLNKRYVVVLDDVWATDTWNQINRVGKVFPDANNGSRVLLTTRKEDVANHIEMSTYIHPLKLLDDEKSWELFSRKSLPSYKRSSLQDVNEFEELGRKLARKCNGLPLALAVLGGYLSKNLNIQAWSDIFKSRISTKNGQMMRDILARSYNDLPNNYMKSCFLYIAVFPEDYSISTADLVELWTAECFVQPRRKYKPEELAYKYISRAEVSSFNTMTFYRNSFHHFFDDKILQATAYKRTILGFSVPSMFLVKLKFLRVLHVENSTINNFSMAISECIHLRHLILRNCVSVRLPPSIGKLLYLQTIDLRRTILESIVPESLWNIASLKHVYLSGGFYPTRNGKQKELRTFHLETSSFNYFRSSASAIVKFLGQMTQLVTFVLDFSRTDIPVEMIKMLANMPGAVEILLRRFDVLDKLPGSTLFPQCLRQLDLFANVIKEDPMPIVEKLPCLVVLSLSGYQGRTMSCSAQGFPRLQRLDLSMFYTEEWIIETGALPRLSHLGLHWFRNMRKLPDGLVQLPSLKELVLKDPLISEDDITCKDLRGKGCKVTYTRYMLLGGFF >KN539362.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539362.1:39585:40815:-1 gene:KN539362.1_FG004 transcript:KN539362.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPYPSQGHMNPMVQFARRLASKGVAVTVVTTRFIARTSGGGLDAGPGSCAVSAVYHYVHEGKLAVPAPATRSAALAGLPEMERRELPSFVLGDGPYPTLAVFALSQFADAGKDDWVLFNSFDELESEPVHMIHLECDENGPTLSVVVTVVVKTRVTKVLFETQKY >KN539362.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539362.1:74410:78260:1 gene:KN539362.1_FG005 transcript:KN539362.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLTTILLLLAAVGATSATAAAASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEAKKLFLWVSVTGIVAHPDQGTVEFQVGFVSEALPASQFDAVPACGAGAQLRGAAGVIRELGLLPVAEVGLKNRVWKRSDDR >KN539362.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539362.1:11556:14715:-1 gene:KN539362.1_FG006 transcript:KN539362.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFAPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLVTVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGIIHGAPWVRFPYPFQWGYPIFFADDCFVMIAASFVSLIESTGTLMAVTRYAGATFCPPSVFARGVGWQKERSLHCNLGNFRAYLPFWTGCVVSLHDAITNKFKRSFVPECIYDTYTWFLHETAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >KN540348.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540348.1:34348:35029:1 gene:KN540348.1_FG002 transcript:KN540348.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPIMCTGIYESDDRIIHFTNGDARQSTTSLSSLFSFRISSLSSTAQTCRKRECAEAKGKEGVVSCCLDCFLGEDNLCLFAYGVPPWFSASSNIGVQHTCSTDDEDPPETVLRRANRMLACNDFGSYDAAMNNCFDFAFYCKTGSNNLNRTVLGVATAPIIAVVEPIAEAFSCVIS >KN539362.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539362.1:99648:100855:1 gene:KN539362.1_FG007 transcript:KN539362.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELGITPVVTAGTLVQLLVGSNLVRADSSNPDDRALLSAAQKLLSIVITAGEATAYVLCGAYGSVGVLGAGNAVLVVLQLVLGGMVVILLDELLQKGYGFGSGISLFAAANTCEGVVTRALSPATVDRGRGAEFVGALREQQMVMPGMRKASMQRELERYIPAAAALGGVCVGALTVAADLMGAVGSGAGMLLAVTTVYQCYEAFEKEKTY >KN539362.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539362.1:64036:68230:1 gene:KN539362.1_FG008 transcript:KN539362.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVAFLRIPFASAPFGGIRFDPGGPFSVSGSIEEAWYDSFAMSESDGEDDFHSVQDDAFSLNGFENDAALSTRDANGGSFNGSSHSSEQHYRKPRSSELSRGNLENGVRSSVSHEDVASVSAEDSAHGGGGRILDDCGLLPNNCLPCIASAVGVNEKKRPLSTSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLEKKILNSWSHIDPGTFRVRGSNYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRYVQLPDVQISSKLPPLLVVNVQVPLYPASLFQNEIDGEGMSFVLYFRLSDAYSKELPPSFIENIRKLVDDHVEKVKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEVDIDMHRFSYISRKGFETFLDRLKICMLDVGLTIQGNKAEELPEQILCCVRLNGIDYTQYKPLMTHGA >KN539362.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539362.1:27984:28667:1 gene:KN539362.1_FG009 transcript:KN539362.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFKAAGLVFVVLLLQQAPVLIRATDADPLQDFCVADLDSEVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >KN539362.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539362.1:1593:1937:-1 gene:KN539362.1_FG010 transcript:KN539362.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPARAPAITKFLKPYVLKMHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMSRDQTYHGKVRAVIDSLRAAGVKLL >KN539362.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539362.1:83932:88951:1 gene:KN539362.1_FG011 transcript:KN539362.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWFAFSAMFHVDGLVKCEQWGGNYGSKLNELKKMWFLNRVAGQRNKGSMDWPYPFVEDELFVLTLSTGLEGYHVQVDGRHVASFPYRVGYSLEDAAILSVNGDVDIQSIVAGSLPMAHPRNAQRNLELLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSGAMARFFVALTRVVSAKYIMKCDDDTFVRLDSVMADVRKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNPR >KN539362.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539362.1:107736:108308:1 gene:KN539362.1_FG012 transcript:KN539362.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGQMVVVVLLVCVVLVSSAAMAGGARTGPVHLAGGTASSGSAPGPAVATPRALSEKLNAKPIKQIRLTHLLKWA >AMDW01126786.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126786.1:282:576:-1 gene:AMDW01126786.1_FG001 transcript:AMDW01126786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHIIAAGLTGYMATVANLKDPVDKWRCAAAPLT >KN539362.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539362.1:32287:32981:1 gene:KN539362.1_FG013 transcript:KN539362.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFKTIAAGVQAPVLIRAADEDPLQDFCVADLDSKVTVNGYSCKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHIHPRATEVGVVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDTGVVELLKSKFTGGY >AMDW01012189.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012189.1:62:175:-1 gene:AMDW01012189.1_FG001 transcript:AMDW01012189.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VELGCTKGSGTLEVTYVVTLYPLSMATAVMVKNNGKKP >AMDW01074890.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01074890.1:146:244:-1 gene:AMDW01074890.1_FG001 transcript:AMDW01074890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDINDSSINPAIKCDCSDQNNTVCHITGL >KN542539.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542539.1:60:4155:-1 gene:KN542539.1_FG001 transcript:KN542539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGSAPNKLSNIKVRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASKALFSDIEHGCLPQVILGDMPCKFINGTIVCEVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLASVVNASWTYHDRLIAESTILRALQPTLNLDPTPCLERLQNSVKKIDLGLNKGRQQTKATSIDNTSADPPENCKPKEFITCEGAVSQQAQVAILQVDHENRQPQKETVQLQNRKELSNLPREIHECQSCRPSNKHSMLSSESSKCHFQKSIRSSNNKGLNLVSPNQQPVKVNLDQTTGSKDMRVQQQKSLSAFTADCPHPSSETNNSCIEKIPKEVNYSTVRLKDKHLPSSVGPDNYCVEELKDRTTPSVISCSASSRKAPSKPPKVVTEPQPSSSKRKVLGVYTYLNQEIDSTEKRQKKADMQSNTPCENVSPGEPDVTDGISSELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGLERSWPLPPSKFFLSENTADIAYTQNEIMSYYPTGRTTNTRKIRRLSFHPVQYLCRGVVDECHYTLRLLESEAPDDHQIAVEMIYGDEHVYISTLPTSHHANKLVDQFISL >KN542539.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542539.1:13479:14362:1 gene:KN542539.1_FG002 transcript:KN542539.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQVMVYTISCHKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRRTVVSIPCGPSALAVKEAAWGLARYAAIAQ >KN542539.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542539.1:6786:8592:1 gene:KN542539.1_FG003 transcript:KN542539.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQAAAAVVHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKKHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGNDDITRRLLGQEEDASRKDEEAGVTDELA >AMDW01038847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038847.1:304:807:-1 gene:AMDW01038847.1_FG001 transcript:AMDW01038847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPDDPYDRYWWPMNADPSWANLSTTSTIKTGSTFAVPSSVLQTAVTPSGNSTVLNVISWQDTTAKEYVVYLHFADFQSSKLREFDAYPDANQVVYNYTPHYLLSSSVYTPLFRAIAGEYNITLAATANSALPPMLNAFEIYFLITYDGTTTFSKDCKLTQLRSLALN >AMDW01075974.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075974.1:122:352:-1 gene:AMDW01075974.1_FG001 transcript:AMDW01075974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSVEKDNSSATPPKKPRTAVVKKAAPEKDQTAATTMPDLSNVSLDPETLQCNICFLPFQPPIYQASICTRSLS >AMDW01023357.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023357.1:145:318:-1 gene:AMDW01023357.1_FG001 transcript:AMDW01023357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDSKRGLPKEGWTFEALPFGTDVIVIAASFTLITVVMFGTTYLVWKLGAIHFNEF >AMDW01032691.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032691.1:41:428:-1 gene:AMDW01032691.1_FG001 transcript:AMDW01032691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIIWGPSQEIRVWGLDTEIGTECKLTTNEEFGQWMNSMLDDKLVEFGVEVIYKKGYEPIEGIANPVDSAIQGVSGVVTADPIDQSSAQVLSAMISAEVSSPGHEEGTGDTSSHPQNAEEANAVVDW >AMDW01027121.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027121.1:36:95:-1 gene:AMDW01027121.1_FG001 transcript:AMDW01027121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTTAVALLVAAAAMAAQ >AMDW01038213.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038213.1:132:449:1 gene:AMDW01038213.1_FG001 transcript:AMDW01038213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CHAFVGINNNASSLFLDSQPPPLVDPTFWRRNVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >KN541618.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541618.1:7008:9404:1 gene:KN541618.1_FG001 transcript:KN541618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRVVSIGCLYLFDFLCFLPIAMSDQTETDRDALLCFKSQISGPTGVLASWSNASLEHCNWHGVTCSMRAPRRVIAIDLPSEGIIGPISPCIANITSLTRLQLSNNSFHGGIPSELGLLNQLRNLNLSRNSQEGNIPSELSSCSQLQILDLQSNSLQGEIPPSLSQCVHLERIFLANNKLQGRIPSAFGDLPKLRVLFLANNRLSGDIPPSLGSSLTLTYVNLGNNALTGGVPKPMLNSSSLQQLILNSNSLSGELPKALLNTLSLIGIYLNQNNFSGSIPPVKTVSPQVQYLDLGENCLTGTIPSSVGNLSSLLYLRLSQNCLDGSIPESLGHIPTLEELNLNLNNFSGAVPPSLFNMSSLTSLVAANNSLTGRLPLDIGYTLPNIEGLILSANKFKGSIPTSLLNLTHLQMLYLADNKLTGIMPSFGSLTNLEDLDVAYNMLEAGDWGFISSLSNCTRLTKLMLDGNNLQGNLPKKIPLTIGNLRKLGKLSFARNRLSGQIPDDIGKLVQLNNLNLDWNSLSGSIPCVSPVIHCDIKPSNVLLDLEMIAYVSDFGLARFMCANSTAAPGNSTSLADLKGSIGYIAPEYGFGVDLSTKGDVYSFGILLLEIITGNRPTDEKFNDGTTMHEFVYAAFPNSIYEVVDPVLLQDESNATHVMQNCIIPLIKIGLSCSVTSPKA >KN541618.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541618.1:13780:14377:-1 gene:KN541618.1_FG002 transcript:KN541618.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLFVGVLLTCFMLFSSASDNGSGRVFSTSQARCTACKQYRSVYISIYKSYILLGLVRQGKCVDAMGGTGVCKELVNGYYINHEKGNEENGPCVAMVKDNF >AMDW01073595.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073595.1:50:310:1 gene:AMDW01073595.1_FG001 transcript:AMDW01073595.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >KN542490.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542490.1:10399:13069:1 gene:KN542490.1_FG001 transcript:KN542490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGANDIVLHIKRLMYSSVRLGYQFTCDYPIVPGAGILLLFLHRLCPSLLNFLLSSSPVFLLTALLLGALLSYGEPAVPVVGVNKQTLSLKSNMSIVDRSIDKVEMVAVEDHLDKTTTSNEVFVMERTSEGNIHDAHSEEKNGTYMIVDTVLSEEIYIKDGTSDYDLQDTHREGKDITSMETDTIPCVAPSSFANRSITVENEEHVGENSKNKVELQELGSINTESDNNEVQYQYQLGEFMSSCWEPVMRQEPHDTCSDSESDLTESSPDASITDIIPMLEELHPLIDLQTGHPSLISRDNLNTSSDDDEDDLEEEDASTDENGLEGKIDDFDNWKDVINLNYLDVDNNSKLDGMMDLQRAKNILKFELDKRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHVPDSAPSCLLPRENLFDLAVDQNIAHDSQLQETWTPHPYFSARRHRKYGNFYVRHSTSLHRNTFKLEKDEIIENDAHNSQSDGDAKQEGNNSKLFGSLEAHIGEEIKILSAAISGVDDSYQNADCSDDISSSPIQKSIQSTFKAKVPVHAGIEQLILCPPYRVNNSEPYIIEADSIGELNSLFKCRMEEVQVQSISKPSISQPLTIKLEDELIEPLSSDSGNGTHVIDGSSVEDLDQQFAQLSYEALAFATSNPRCHNKSIQEKSSEALPAGNGYYSEIHHEESLLEVTLEPSVLTVKKSTTDSLPLHTDQPGCFSVVHVLEESSVKDITMELGEVHDQVETHGSSVPAIKHDDCSSSELHVLASGLIENEPCLVTQLDRESQVKTISNAALYTPTAMEPEEDTSNSSLSADGELGVEQASSVEEMNSVLEKVQEEVLRNKH >AMDW01036678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036678.1:71:322:1 gene:AMDW01036678.1_FG001 transcript:AMDW01036678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISIALHQSLAIILSLATAKHYIVIGHSWFAFYDDEVARLVNALTVEEIPFHSFLEKNVVVLKVVFTPNLMPSGFIVAAITNHG >KN542722.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542722.1:3718:4196:1 gene:KN542722.1_FG001 transcript:KN542722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTDEGATGDGVVEELLLENVAVLVEAESLLQIIPAYKFEVTPVNSLAVGAAD >KN541049.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541049.1:13735:14094:-1 gene:KN541049.1_FG001 transcript:KN541049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRPCVPPTNEVRSYATSFNGTGKAEYRCFRFISHDVLAEQWFITDDEFAIHCDVAVVEEAASAGTMSTELGPDDLDGLMMICKCSVDNDDEPCKSGTRQSLKEAFRKHFLGCFGPK >KN541049.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541049.1:27913:29706:1 gene:KN541049.1_FG002 transcript:KN541049.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVDGDGMEMVRNRKVVLRRYVTAGYPTVDDMEVVSSTVMPRVPLGTMAPAVMVKNIYLSCDPWMRGRMTKHDDATAEIAEDFVLGEAMVNFGVSKVIDSTHPVFAAGDLVWGLCGWEEYSLITQPETLHKINHPDLPLSYYTGVLGVTGLTAYAAFFEVGKPKKGETVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDEKVTLLKTKFGFHDAFNYKKEGNDLTGALRRCFPDGIDIYFDNVGGATLDAALVNMRRGGRVVVCGMISQYNLQEPEGVHNVIQILSKTIRVEGFAVFNHFGLYPRFEDEMARYLKEGKVTVLQDVVKGIEKASEALIGMFSGRNVGKLLVAVADE >KN541049.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541049.1:24761:25228:-1 gene:KN541049.1_FG003 transcript:KN541049.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPEVGEEGGDQAWRPWSRPASKCRGGVGGCHPRGPGGRRPRPVVSGASSGATRRRESSYTGLGPRHGRPPGGGVDGSLGRRRSPAVEVTSSSLKRKQLGGGGGQATGGDEVSGDRVTGGAMVSEERQGSAWPAEESRWWWPAKGDVDNGGAVP >AMDW01028480.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028480.1:52:301:1 gene:AMDW01028480.1_FG001 transcript:AMDW01028480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFHGLSDEFLDFFYGDTLYDDETESSCDEECEHVCVCGRCMELIDGEDFYQLTGDEFDATQIGEEIGGDASGADGEEPSDA >AMDW01034089.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034089.1:39:224:-1 gene:AMDW01034089.1_FG001 transcript:AMDW01034089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTP >AMDW01040621.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040621.1:315:1663:-1 gene:AMDW01040621.1_FG001 transcript:AMDW01040621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLIAFAEQDGGNWVNSHVTKFSAMDPAYSFPDNVALLTLQVSACYGPLLSYSLKSKNEMLVFALTTTLKALMDYLIRTRGGNQNYSHLWLWTTQLSLIWFTFM >KN543547.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543547.1:1551:1859:1 gene:KN543547.1_FG001 transcript:KN543547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAFSAAALAGVRRRSAVVPVMVGDLVAMALLFSAMRAAAQFGLLGERGNAHVRWAKVCDVYGPFCERAMAAVVVSLIAAFADLVLLMLTILTIHKASSYY >AMDW01025564.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025564.1:138:290:-1 gene:AMDW01025564.1_FG001 transcript:AMDW01025564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLIRRCVHGNIGKDEVAKLFPEEVSPELQRLLTLLLQKFQPEWQEDVAKDQ >AMDW01020573.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020573.1:146:223:-1 gene:AMDW01020573.1_FG001 transcript:AMDW01020573.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYKNFNKAARDLIGRLQKVDGDNYPE >KN538683.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538683.1:109484:114279:-1 gene:KN538683.1_FG099 transcript:KN538683.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MTREHHDDGEEVATAVHADAGAEEDGDRNVVDKSEFSDAVRVVVDDDEPEFPSDDEEGGDDDVRVSFATAVGDSDEHLREEQGELDLDDDDEEDVSSDLKTGVQLSLDEFERFIGYTPFVKQLMRRSQSQPVAAGAANGDAKPGKKKPRWLKNIKLVASAAGLIQEKYKESNCGGGGGGGRSSSSSSSAEQAHQPGVTMSKSASTNAATMASSSSSSLERPKVHSFGKTARELTGMYFRQELLLSSSMDKTVRLWDTEAKACLKLFPHNDYVTCVQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWTDLNDMVTAACYTPDGQAAIVGSHKGSCRFYKTADCKLNQEAQIDMNISKKRKSHAKKITGFQFAPGNPSEILVTTADSQIRVFNGITVLQKFKGFKNTSSQISASYSGDGRYVVCASEDSNVYIRKMVTIVYTLNKVIKILTGNRSCQEQCILLKLMT >KN538683.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538683.1:60575:70364:-1 gene:KN538683.1_FG100 transcript:KN538683.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G63960) UniProtKB/Swiss-Prot;Acc:Q9LVN7] MDEDVFLDETILAEDEEALLLLDRDEALASRLSRWKRPALPADLASGCSRNVAFQQLEIDYVIGESHKVLLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEVSVLHCILNQDTFTNGGRMKDSNRNSNVPRFVKRIELVQKQTIMHYQPQQSQPFLKIVVALPTMVASCRGLHFAPIGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYSDLVSHAAEGEHSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIVEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVAVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQASGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESVNKTPSGETFVKPEVQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYERSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGSNQTLCSHCKGREAELYCKTVGNVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLQRWDF >KN538683.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538683.1:3352:3687:1 gene:KN538683.1_FG101 transcript:KN538683.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIQLATRSSKSLSLLVVVAAVIAVPLVLMCLLVAVAAASASAAASSGEYRPSYGDTYAKCIPVAACDDNGCAIRCRDLGYNPGSACWTSKDIKLYCCCGHGRRLPSVA >KN538683.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538683.1:1008:1337:1 gene:KN538683.1_FG103 transcript:KN538683.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLATRSSKSLAIVVAAAVPLLMCLFLVAVAGVAASSDQTAVASSPQYQPSYGNTYSTCFQVGGCDDTGCAIRCRDLGHNPAGSACWTSNVATIFCCCGRGRPPPVA >KN538683.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538683.1:123457:124396:1 gene:KN538683.1_FG105 transcript:KN538683.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLYAEYGDMAEARGLLRGGLMQRDADYGSIYRGWIAMEADHAGNVDFARALFAEWRALCGDEDGGFWES >KN538683.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538683.1:50230:59912:1 gene:KN538683.1_FG106 transcript:KN538683.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKVLSRPLLSCAATKAGGGGARIAYGRRRFSAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGGLRRWRDGDDAEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAACNVGSPTAAGYVQAPELPLHLCFFLVVLLVFLGFSWYMSYESAAERNITLRACMHWMPTDRSVRGSRWPERWPERMEKTPYWLNSSQVGVYGKPAPEDFVADQEHWRKVVRNSYLTGMGIDWKTVRNVMDMRAVYGGFAAALRDMSVWVMNVVTINSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKSRCEVLPVIVEVDRILRPNGKLIVRDDKETVDEIKGVVRSLQWEVRMTVSKNREAMLCARKTTWRPTEVEAR >KN538683.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538683.1:5710:6027:1 gene:KN538683.1_FG107 transcript:KN538683.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKKPSPIAAAAAAVPLLLMCLLFAANAMAAPSSSSSAAASFVEPSDADTYSTCFEVGGCNNTGCAIRCRDLGHNPAGSACRTRDTAVYCCCGVGRDTPPPVA >KN538683.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538683.1:99505:100791:1 gene:KN538683.1_FG108 transcript:KN538683.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYAIVLLTFMCTNVCYIERVGFSIAYTVAADAVGTNQANKGMILSMFYYGYVLSQIPGGWAAQRLGGRLVLLLSFVLWSSICAVVPLDPNRVILLVLSRLLVGVAQGLIFPSIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLLLPSLVKNMGPQSVFSVEAMSGVAWLLIWFKFASDPPRTDLPKVASKDKMKVQTGGIMAPRTVKIPWARILFSLPIWAIVVNNFTFHYALYVLMNWLPTYFKLGLQLSLQDMGSSKMLPYLNMFLFSNIGGVLADHLITRKILSVTKTRKLLNTVGFVVSAIALMALPLFRTPSGAIFCSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGISNTAGTLAGIVGVGLTGRILEAAKASNMDLTSSESWRTVFFVPGYLCIFSSFIFLIFSTGEKIFE >KN538683.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538683.1:118770:122982:-1 gene:KN538683.1_FG109 transcript:KN538683.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVVGPPPVPPPTAPPLPPERQLSGEFVDVELGNVSSTVVDVDRLRAHAPPVPPPSAPPLPPETMNPPPRRQLTGEFVDVELGNAGLSTVRRRRRQRTTARSADDEDGLCSMRSFLEPPVAHVEETQKGCLDNR >KN540611.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540611.1:5884:6132:1 gene:KN540611.1_FG001 transcript:KN540611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLALLTGMPPHSRFVRCLFVLAYSSLILTFSTQQWPTYTWASILVWATFGALTAAAFTYRTNRHLCRFINWLFGEPNDQ >KN538683.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538683.1:128379:138675:1 gene:KN538683.1_FG110 transcript:KN538683.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPRPSERSLIREEDEGHQMAASNTTKKGGDGGGGGPAIGIDLGTTYSCVAREATLDAAAIAGLNVMRIINEPSAAALAYGLDKMPPASGGARRMVLIFDLGGGTLDVSLLNIGRPGNNNSSDSGIFEFEVKAVAGDTHLGGADFDNAMASVLNGDADEADDKKQVMILRDITPLSLGIEVGLDHTMSVVIPRNTFIPTKNVRRYSTIFDNQIAVSINVFEGESASILRNNLLGKFVLSGILPAPRGVPQIDVTFEFDANGVLHVSAKDMGTGSKNNIAITNHSGRLKKEDVERMAREARSYNRTRSSLAFLNFIEPWKGDAAPLTRDVEPSQVGPTSWTMVLEEDGSFLVPFDPNASDCGDQTMAASNTSKKGAGGDGGGGGGPAIGIDLGTTYSCAAVRRHNRSEVITNDQGNRITPSCVAFTADDRTSMNLYCYVQGPLNFSRCLFILLRREATLDAAAIAGLNVMRIINEPSAAAIAYGLDKMPPPPASGGGAAGRTVLIFDLGGGTLDVSLLNIGRPGNNSNSGDNGSSFEFEVKAVAGDTHLGGADFDNAMVNHCINEFIRKHDVAEEGIRSNQKAIRRLRSACERAKRLLSFTAQTSIEVDSLHDGVDFCAKMSRSRFEELNKELFGRCVKAVEKCLEDAKMDKGDVHDVVLVGGSSRIPKLQSMLHDFFQEKKLRHSVNPDEAVAYGAAIQASILNGDADDADDKKKAMILRDITPFSLGVEIYDENDHTMSVVIPRNTFIPAKNTQRYTTHRDMQTSVSIKVFEGESASTKNNYLLGEFVLSGITPAPAGVARIDETLEIDANGVLHVSAEDMGTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQINSGNLFRLFGIPPAPMGVPHFDVTFDIDGNGVLNVTAEDKDTGRKNNIIISNRSGRLNKEEIERMALEAERYKMKRIKQLQIEAVQGN >KN538683.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538683.1:80359:82360:1 gene:KN538683.1_FG112 transcript:KN538683.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKESKLMSREDEEIMAWFERTTRDAADVQRETLRRILAENAGVEYLRELGLAGLTDAGSFRARVPVVTHADLDPYIQRVADGDASPVLTAKPVTAISLSSGTTQGKRKRLLFNDHLLRSSIRFFHASCAFTNRAFPVEDGRVLQFMYGSRQETTKGGLTATTVMTNLLRSEEFTASMAARYVQATMTGSMEHYVKKLRHYAGGVPLVSGNYASSEGVIGINAEQHAPPESVVFTVLPDAAYFEFIPLKPPCTDVDDNPAGSFYVDADDADPVGLTEVAVGEHYEVVMTTFTGLYRYRLGDVVKVAGFHHATPKLRFVCRRSLILSINVDKNSEHDLQLAVDSAAKILAGDGENHKQLEIADYTSDADTSSDPGHYVIFWELSGGGEEDGGGVLLLQRCCDEMDRAFGADAGYAQSRKARAIGALELRVLRRGAFQEVLRHYVAGGSSAGQFKMPRCVAPSNAGVLRVLKDNTINIFFSAAYD >KN538683.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538683.1:11308:11616:1 gene:KN538683.1_FG113 transcript:KN538683.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLAAHSRKSSVTVLMPLLMLLLAAMAASAASSYQPDDQMSDFGMCFFASSCYDTGCAIRCRDLGWNPAGSGCRKYPDIDQLCCCAKPSSSSSSPAPSIA >KN538683.1_FGP114 pep scaffold:O_longistaminata_v1.0:KN538683.1:101503:103457:-1 gene:KN538683.1_FG114 transcript:KN538683.1_FGT114 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGDERDTTLAELAAGFEEWGFFQLVNTGIPDDLLERVKKVCSDIYKLREDGFKESNPAVKALARLVNQEGEGLAMKKIEDMDWEDVFTLQDDLPWPSNPPSFKETMMEYRRELKKLAEKLLGVMEELLGLEEGHIRKAFTNGGDFEPFYGTKVLSNGRFKSAWHRILATRDGNRRSIASFYNPARMANIAPAIPPAAAADYPSFKFGDYMEVYVKQKFQAKEPRFAALANK >KN538683.1_FGP115 pep scaffold:O_longistaminata_v1.0:KN538683.1:44419:48767:1 gene:KN538683.1_FG115 transcript:KN538683.1_FGT115 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVAAVVGELRGSFRSGRTRAAEWRAAQLRGIVRMVEEREGDISDALHSDLAKPRMESYLHEISLAKAACTFALKGLKNWMKPEKMLIMLTFINDVQVPAALTTFPSTAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSALFAKLLPEYVDSSCIKVVEGGVPETTALLEQKWDKIFYTGSGNVGRIVMAAAAKHLTPVALELGGKCPAIVDSNTDLHVTMKRLAVGKWGCNNGQACIAPDYVITTKSFAPELVDSLKRVLKRFYGEDPLQSEDLSRIVNSNHFRRLTNLIEDKKVAQKIVYGGQTDEKQLKIAPTVLLDVPLDTTLMAEEIFGPLLPIVTVDKIEDSIQFINSRTKPLAAYLFTKDKKLQEEFVSNVPAGGMLVNDVALHLANPHLPFGGVGDSGIGSYHGKFSFDCFTHKKAVLIRGFGGEATARYPPYTIEKQKILRGLINGSFFALILALLGFPKERR >KN540611.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540611.1:41961:44749:1 gene:KN540611.1_FG002 transcript:KN540611.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIPASSQPFKLILGSSSVARKHILTEMGLEFEVMTADIDEKNIRRENPDELVTVLAEAKADAIMSRLNISDYQKEGDRPTLLITSDIEFHGLYINLIDLEVVVHEGIIREKPTTKEEARQFLKGYSGSHVSTVGSVVVTNLTTGKRLESLDKAEVYFHDIPDEVIENLVGSSDSVMGISKDLATKLIQDALSA >KN540611.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540611.1:31927:39455:-1 gene:KN540611.1_FG003 transcript:KN540611.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSLPRGNILEMHGGDVNAGDNAQQTALHWAAVRGAIAAADVLLENGARVEAADVNGYRAVHVAAQYGQTAFLHHIISKYSADFECLDNDGRSPLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNYEVCTVLVHAGTKEELTLKDSGGFTPVELANDKGHRHLSYILSNATKVTFEDKYCSGRSRKIGYAPILFCFLVVLITLFLNSIIFAPNFSRITAAVGIWSWGAISLAFASLVMFYRVSRKNPGYIQANTKRLDPKEPLMEIDLNSSAWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGRRNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLSNHPGAVLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGLFRNPYSRGCRRNCTDFLEGISPNLLPLFAFPAVMATTTCSKIVPEFSQQQQVVSSPQVPNSSGKLEKQACNDVRPLTVLLAGAVLHGVSSD >KN540611.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540611.1:11112:15020:1 gene:KN540611.1_FG004 transcript:KN540611.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLPKLANLLTEEYKLHKNLRGEVLFLKAELESMEAALLKISEAPIDQPPDPQVKLWARDVRDLSYDIEDSVDKFMVRVDTGAREKSRSRFRGFIDRGVRLLTRAKVRHNIGTDIKEIKSQIKEVSERRDRYKVDGVATKPIGPTIDRLRLSAMYKKVTELVAIDETSDELVKRIMGEDDESKQKLKIVSIVGVGGLGKTTLANVVYKRINTMFDCSAFVSISLNPDMERIFKNMLYQLDMGKYFDIHQKTWDEARLINELREFLRNKRYFIVVDDIWDKSTWKMIKCSLPENEHGSGIITTTRILDVGKQAGGVYQLKPLSMLDSVKLFYLRIFGYEDKCPTELAQLSENILKKCGGLPLAIITIASMLASKIGKENLHKYWSKVYQSLGSGLEDSLDIKNMRKILSISYHDLPPHLKTCLLYLSLYPEDSEINTHDLIWKWVGEGFVHRQCGRSFYEVGEEYFDELINKSLIQPAYISTGNKKEMSCRVHDMVLDLITSLSNEECFLTTLCGQQPITLNCKVRRLSVQITNEDGAKQMSTLSLSHTRSLFLFSGFNLVNIPALSSFPVLRVLDLSSCLLVDNHHLKDICKYLFHLRYLDLSRTSITEIPRQIENLQLLQVLHLRGTGVREFPSTFVQLGQLVCLTIDSTIQLPNGFGNLKHLEELEANIKIISEPNIHDLGMLTELTRLHIGFREWHKSYEEPLHQCLSNLIGLEYLRIDGCYDSLDSACDSLSRGPHQQVCSIDMWERTIHAVPNWMAGLSTLSKLEIVVERLGERDLQMLGRLPSLGHLSLGVERPTMGRDERLIIANGYLFQCLTFLYFWSHTIDMVFAQGAMPKLQNLSLFFDVERAMDQFGHVDIGLENLAAVEHVEIIFSLSTHTRGEAVEAAIRRQICMNRNSPTLNFR >KN539799.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539799.1:52076:64604:1 gene:KN539799.1_FG001 transcript:KN539799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWDSRALTAKPSSDALRVNAGLGLNLQLGLREDAATPMDVSPAATTVSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGEGGGGGSYPMCQVDDCRADLTNAKDYHRRHKVCEIHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKLPSIPPIPDKDNLVQIISKINSINNGNSASKSPPSEAVDLNASHSQQQDSVQRTTNGFEKQTNGLDKQTNGFDKQADGFDKQAVPSTMDLLAVLSTALATSNPDSNTSQSQGSSDCSGNNKSKSQSTEPANVVNSHEKSIRVFSATRKNGALERSPEMYKQPDQETPPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPVTHKFFPIRSVDKDARIADYGEDIATVEVSTSRAWRAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPNEMEGYIRPGCLVLSMYLSMPAIAWNELEENLLQRVNTLVQGSDLDFWRKGRFLVRTDAQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDQAHDARRLKPKDEVLHFLNELGWLFQKAAASTSAEKSDSSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEINLLNRAVKRKSSHMARLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDAVDIVDALTDDPQQIGLSCWHSALDDDGQSPETYAKLRNNNAYNELVAQKLVDRKNNQVTIMVGKEEIHMDQSGNVGEKNKSAIQALQIRSCNQCAILDAGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFVILLVDKTSPEISVCNLQRALCLGKNKAWCVANGFRCLLRELDAFAGHIHGDDDGANHLWKSNSSRLQRPSGEREPGGYDPDDNHVGLDVGTVASNKTASLAGFNITIATNKTAPANYTAWIEYDGAARRIAVYMGVRGAPRPATPVLASPLDLSELVPERAYLGFTASTGVSFELNCILDWNLTIETFPADKKSKGWVVPVAVAVPVAAIAAAAFVVARMARARRSMERRRQERLEHTLTNLPGMPKEFAFEKLRKATKNFDERLRLGKGGYGMVYKGVLPAAAVDDDDGRPAAARGGVGEECIAKWSINLNSSD >KN539799.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539799.1:21444:21866:1 gene:KN539799.1_FG002 transcript:KN539799.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calvin cycle protein CP12-3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/Swiss-Prot;Acc:Q9C9K2] MASPSLSSLFSAAAPLAGGGGAAGVRTLGSPASVRLCSHRQRRRRLVVASVKWRYKGTARKEAALSELIERKVAEATEACAGEAAGEAGCRVAWDEVEEVSQAKADLRRRIAEGADDPLEPFCSHNPLADDCAVVYDDDE >KN539799.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539799.1:68064:73298:1 gene:KN539799.1_FG003 transcript:KN539799.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFLVLLFLLATSASSSSTSTSLATNTTDVGRDGGDNAVSFSFSSFHAEARGVNVTVVGDANINGGALQITPDSLNDASRYLTNKSGRVLYAVPFKLWHREKGGGGGKRVASFSTVFTVNVFRPKGTRRRKVNGGDDGSGITGTMIRSLAGGPREFEYRELRKATNNFDERMKLGQGGYGVVYRGVVVGDHTSPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVAFSARLGDFGLARAIDTDKTSYTEMVGVPGTMGYIAPECFHTEKATRESDVYAFGAVVLESFSNIFHLLCQLMMSPVGKVGWLFCQAFHGWSGDSREVAAVAEASAIGEVYFAQCGECNMFRRRWPVL >KN539799.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539799.1:79780:80611:-1 gene:KN539799.1_FG004 transcript:KN539799.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQTARSVSLVRARFAPRDDDVVLATYPKCGTTWLKALAFAIANRSSHPVAAGDHPLLTQSPHDLVPFIEMPFRHIHPLAALDALPSPRVLGTHMQHQLLPPRVDELGCRIVYLCREPKDVVVSLWHFINKEVVRLCSFEKLSRLPVNASGVVDRIGGRLMENSVFFRKGEVGDWKNYLTEEMAKKLDALVEEKLKGSGLTF >KN539799.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539799.1:6898:20472:1 gene:KN539799.1_FG005 transcript:KN539799.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRGWSRTVGNTRSFVGNALGGLRGWSNLASWAVAGTLAYYLWVRPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQRLVLAASVILHREPNLVRVDPRPDQAVVVVGDVHGQLHDVIFLLRDAGFPSEERVFVFNGDYVDRGAWGLETFLLLLAWKVLLPNSVFLLRGNHESKYCTSVYGFEQEVNFKYKGQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTIVMPSKRTKKGKKGNKYKANSSVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPKANPYYDFEDVIDSDEELNLGAMDDELKRRLETYYGVDDEAELPSVTEAVLERKLADVHSETDDELIEELRSKPLPEVRDRDFESDFEEMHDTDEELDNLYNARQYVEKKIKSDEFFNMDDDKWNKMIKKAVDNGNLSNMNECENILEDMLHWDKLLPVCAMDLADEIKKKVEAKFNELGDMCENGELEPEQAYELFKEFEDKMVSECTELMEAENPTDVDEFSKMENKSVKLDDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGNRYNSGKDELTITSERFEHREENRKDCLRTLYAVVEDANKANKLADDARNAYVKNRLKANAQFMERLKVKTQKLRVAA >KN539799.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539799.1:74577:75596:-1 gene:KN539799.1_FG006 transcript:KN539799.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVELEVDSVLTGEEEDESLLLSMSSSSNKLQTREGWWTTFVLYHGCWMDRQAATSVSLARAQFVPRDDDALLATYPKCGTTWLKALAFAVANRFRHRVIVDGAGAGDHPLLTQSPHDLVPFIELPFRHIHPVAALDAIPSPRLLGTHMPHHLLPRRADELGCRIVYLCREPKDVVVSTWHFMNKVIDGFSIDFDKAFELFVDGCSPFGPIWNHCLGYWNKHVEEPDRVLFLKYDDMMADPAGHVKKLAEFLRVPFTDDEVDAGVVEEVVRLCSFEKLSRLPVNSSGVVDRSSGRPMENSVFFRKGEVGDWKEYLTEEMAKKLDAVIEEKLKGSGLTF >KN539799.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539799.1:126:3616:1 gene:KN539799.1_FG007 transcript:KN539799.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGCLVASTNRGCKAIAESGGAVSGVLRDGMTRAPVARLPTARRAAELKAFLEDSVNFNTLSMVFNRSSRFARLQGVQCAMAGRNLYMRFSCCTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISDIFIVRPAEFIEVEKGLQGTDVINNRVVGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRGQGLIKTKVKDSEVYGDIVMAADADTNSSCSEAMAGRYWTTGGRRASLVASRSCPLSSFALVTTFALF >KN539799.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539799.1:30930:37487:1 gene:KN539799.1_FG008 transcript:KN539799.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAASSGGGVEVREAELAVEEAPAATSEGRVGEAVSDPFVVAGGDLSGEASNLEAKPDPAVLGEGGEAVESNAAPGCAETVHEEDGVDGGCEAGAVEEEDAPVANRHVHPEAPVANGHVHSEAAPSTETAEIAGSVEDGMNGRIEDEHDHPDTSIAESKGCVVEESKGCVVEEVNGEEAAPEIADSSVLVEEGVDGWELEGVDDRIAGEHDHTNTSTAESEVHDDSLIKQVDADATGLMEQEAISSEQDGSDVPIENGHTHVGVSADCGEGAKSDVQVDQSNVEEANANSVKPVEEVAALIQDGLGGSLSNGHGHVDASGHGYVTGADLDVKGSNSKGEGTETFEELVTATVDHDRSDIAMANGHDQVERSFDSSEVETKSEVCDSKEKSGECATDAMELVKQEATTGEQGTEAVSVVNGCDHPNTNADSDEAPMQILVSSKESGIVQSVVEVMESVHLEGTLKIDQQTEGDQKVANKKVTEEEILTNGYEQGDAKVANKKVPEEEILTNGYEHVEESAGITSVLEPLVGDGQQDFIAVNRLENRADDNREDALEDTFTSGVDEAAMEANASAVEKNDDIAIDGTETKEKHEKTNGDILQGLDLSKDKVECGVNGDEVSTFQPVESISCSTVEIEKEEISDQQQTSASLQDTERALSATNGNHLSDSSELKQESDMEDIDGANLCADPGVVPALHGETTSSDLADNDGAEVENSTPACDLGASSGALTGDNDSKENSAAAVAQVEEDVPSQDDDNCPADGAPGEICSENANAFTTSSCVAETEYVQDIASTTVDVIHDKHNDDDENINTDITGNHSEPKLEINMDNEDRGDIQVIKPYPVYLMKVPRFMSESHWEKIQDAQICLDELTQKRDAINILRQKKKALCDDYREKLEAARQEERGARTAHGDKRNDLNSVQSMIGRMNRANSIQEIDDMIAMKEKIIAHESISLKEEKRLLQDIKELKAQKKQLSSNMGSKAEMGEAFEQKEHIHEQQKILKKDSDVLLTNLKSLEDKTRFIKKAFDDERDALRKLTEEHQAAHEVRQKAYDEWFELKKEPGRKNKFFFMYRKDSRAAKEYVDNGDMKGLVLFCNNQVESFMELWNKDDDFRRQYVESNKNSTLRRLGTSDGRKLGPDEVPPEIPRYSNRMQSNPPLLPVPSTHMSASASEATPAKPASPVTVVEEKTFPVLQSSQSSKPSKPKVVGSSSSKDTPGAPIPEREDVEESEKEKKRRMEQELELSRQAAELAIREEELRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKALERAEFRAKKEAELMEKRRAKRDRTRGSTSADSGSGSGEANAEATVTNDADSSTIENSRGVDLSQHRALKKRPPTLKQLNKMEPMPLPLRNKGRRKMRQYIMVAVAAVISVLALVVASKYVPSNFRASSS >KN539799.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539799.1:38185:38894:-1 gene:KN539799.1_FG009 transcript:KN539799.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMLRDAYACPCLLLLLLLGIAVPPHMLPESIWRAQYFDTCSKRTWNTRIQISRAGTERTEGSNRYTSMRYE >KN540271.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540271.1:22753:26347:1 gene:KN540271.1_FG001 transcript:KN540271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRGAPPSLPLSLSLSLSVKFGRQSPDRGSDASGPKQSSVSSHGRQRNSSSSICKDFLRKFVDNELLTSSLEDWFSGHSEDCGFKKPAFDVPFDLSELQNFDYAIEGVTFQQLVRMPNALHASTSDIFEATAHLALEDFLHASIKGLWETFWGPDESMPFSVACIHSTSSKFYPAEKAISSGRLDGVCATAVLLKSLKHSQGRWDHIVVLALLRPDIGMFSGQNDQQPSPAVLGEALFFALRVLLSRSLSRSSTVLQNSDCVYVLLVDSQFGGVVNVQGDLNKLDFDLNNVYDSAAEWIKKHAQITVSSIDRVWNKLGNANWGDIGTLQVLLAIFHSMIQFCGAPKYSLDELATEHSSRLQSRRSERHLVGRQASTNGLFRFQQQSHSPEIVEVQEEGAVNVKPKEILKLEVGSVVLMEDADWQKGFQINDILTDSEPPIYSATPVEEPTKTHLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYVPQMVASGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRHPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLFFCCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSICGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >KN540271.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540271.1:51058:52404:1 gene:KN540271.1_FG002 transcript:KN540271.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQRAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLCYTRIRLLTYSMVAISQLSLKTEREKKREKYFPMRKYAIKA >KN540271.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540271.1:33469:34624:1 gene:KN540271.1_FG003 transcript:KN540271.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAPSPWPSSSFLVLVLATLLFVAAFLRLRRRRADHRRKYNIPPGPRPWPVIGNLNLIGALPHRSIHDLSRRYGPLMLLRFGSFPVVVGSSVDMARYFLRANDLAFLDRPRTAAGRYTVYNYAGVLWSHYGEYWRQARRLWVTELLSARRLASTEHVRAEEVRAMLRGLRRRAGAGTAVVLKEHMLMVTLNVISRMGYIGRMKRLGGMFDRFLEHILDEHVERRRREGDGFAARDMVDLLLQFADDPSLKVPIQRDGVKAFILV >KN540271.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540271.1:27812:28036:-1 gene:KN540271.1_FG004 transcript:KN540271.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKYENNVNKCVIDTNNGDKLLYVTDISHQQDIGGCMDEASGGGIKLETIVGRCTKTSLLSIWMRQHWRMMSSDH >KN540271.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540271.1:53160:57594:-1 gene:KN540271.1_FG005 transcript:KN540271.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VRTHVAAVEQAVVQDTTTKLEAPIVVVTGASRGIGKAIALAFGKAGCKVLVNYARSSKDAEEVCKEIEAFGGQAITFRGDVSNEADVDSMIKAAVDTWGTIDVLVNNAGITRDTLLLRMKKSQWQDVVDLNLTGVFLCTQAATKVMMKKKKGRVINIASVVGLTGNLGQVNYAAAKAAVIGLTKTTAREFASRNITVNAVAPGFISSDMTSQLGEEIEKKNLITIPLGRYGEPEEVADLVEFLALSPGGSYITGQVLTIDGGMVM >KN540175.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540175.1:833:6307:1 gene:KN540175.1_FG001 transcript:KN540175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRLVQLRLWLVVLGAGTCDVSVDPFSKKDWYDIKAPTVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKVRLRAEDVQGRNVLTNFWIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGMKLDRPETDEAVAGEVAAAE >KN541782.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541782.1:9614:20365:1 gene:KN541782.1_FG001 transcript:KN541782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSSASDPAAISPTPSQQHASSTVKTLDDRRPTGTSSSAGETEPKAAVEPQEYPRRPGVPDCSYYVEFGSCKFGMGCLYNHPAKHAGGCDKLEHPQRPGEHDCLHYLRFGRCKYGMNCRFNHPPDRLPQQQVYFPWKACHCHHSEGKSEAEHVKLNFLGLPLRPRGNSCFQPAEQIRYTRDQLLKLRETVDVPKDIMKLIQDINVELRAEDESRAPNETNYETDSHDWHSRSLQTPVVAEEEKSQDDTREAKEPYALGWKQKQFNKQDQKSFQSDSKAQHQAGPTLALHKAEDPWSIQRSTVPEKYKVLKTVKGILNLFTPEKFDILKDQLIEAGITRTDILKDVIDLILEKAVAEPTLCPMYAQFCSYLNENLTAFPPKDTHCEQITFKQALSDKCRQAFEIARNVRADIYKLTGREQEMERRDKERLVKHQILGKIRLIRDLLKQKMVPDKIVHHIAQAVTDCENFHFEPLENVDLLNIIFDGVLDSVLPGTEANKVVNAIIGIEKCSIASNDAGIIHKDVNRRNEEAKLQKSSNDIPNNLIDPQKNYVVSAFGHPIENEKQTNSETSGNRVCHCGHLQLSDFSF >KN540175.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540175.1:61475:61789:1 gene:KN540175.1_FG002 transcript:KN540175.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGREPLRVLRRVRAAAVPLCAAGLRPPGHAGRRRAVRHQQLHVHEEPRGHQGGGQEDHRSVASCMVAFLRDALEDQHDDLKLVLNPGLAPAVIKPVAYDLA >KN540175.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540175.1:48056:51628:1 gene:KN540175.1_FG003 transcript:KN540175.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGALPSVIAKLGDLLVGEYNLQKAVKGEIRFLQSELESMQGALAKVSATPADQLDPQDKIWARDLRELSFDIEDTIEAFVVRDMGNDNGDGEAKPRGISKLIDRSVGLFRKAKARHGIASEIMDIKSRVIEVHKRRRRYEINIGAGGGDKTATIDPRLFTRYTDAKELVGIGETRDELIKILTEENGVSVQRAGEVVSIVGFGGLGKTTLANAVYEKIRSLFDCCAFVSVSQTPDLKKLFMDIIYQLDKEKYKDLNEKPLDLDEVQLINELREFLQQKRYFIVMDDIWDISIWKMIKCALPDNDVGYKIITTTRISEVAEKAGGVYKLKHLSLNNSRRLLYGRIFGNCEDTEKCPDEELAEVSERILKKCAGVPLAIITMASLLACKARNKMEWYKVYNLVGTGLENSLDVKNMRKILSFSYYDLPPHLRTCLLYLSVFPEDYKIEKDRLIWMWVAEGFIQCGKQGRSLFELGESYFNDLVNRSMIQPIYDMYTDMVSECRVHDMVLDLICSLSSEENFVTILNGRDQGSLSYTIRRLSLQNGNEDHAMTSATRSLQQARTALVFPSAIDLVPVLRSFRVLRVLDLQGCDLSQGYSLKYVGNLFHLRYLGLRDTHIREAPEEIGNIQFLQTLDLRENPICDLPLNIVKLRHLTSLCFDGFARVPDGIGSLTMLEHLANVLIDCATVGMMEELGNLTELRVLCIIFWDGWNDKLLGLLQKLHKIQRLSIDVCMSNVRKNIGGLDAWVAPRHLVALKTENICWFSSLPAWTMNPSHVPNLRSLSIAVREIRQADVETLGRLPALRDLQLQVDHEELGIRGVVLVIGSAGSFACLVCCGLWGFVGPAVFRRGAMPRLRTLRSRFSVREAIAVAGAGAGDEGGGGRLDLGLGNLPSLQEVNVSLDCEGASEEEVKELKAALRRATKIHPNHPSISIDGDGQVSDDERE >KN540175.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540175.1:7307:12372:1 gene:KN540175.1_FG004 transcript:KN540175.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGVKRKLHLQHSTTEPLISSPQLPFTSAKWREVVLDLKSSQGSSKSLQSDASYTATAEDDIQAVARALIIIAAKFHEAILQLLRRGARVFRNAPLRFNAEHHVVYRGRTVLLNRSGAVKEKRIVTPVSVSSGSGKHICGDSTGDALNRLADLRVQSNESKERREEQRQAKSARACMELLKADGITKKVPLYHMALKLLRDGYLHEFFIDDCITPKEGGANGFGGWFDGGGGFGPRGYDAQCQAIFRMRDDQIHALFGLLTNRYNLHGTIEIYPMEALGIFLYNMAGGYSNRSTNNRMVHSRSTVSKYFHRVLNVVYAMAIDINKHVDPNFARVDNRVMQDEAF >KN540627.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540627.1:44115:44336:1 gene:KN540627.1_FG001 transcript:KN540627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRYSWEKWAAAFPGSKVYIGLVASPEQDSAWMFQKDLYYEMLQFVRSLPNYGGLAIYDRYFDKKANYTGQG >KN540627.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540627.1:5388:5717:1 gene:KN540627.1_FG002 transcript:KN540627.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQIHEFLPKTYVHAQSGGKWQSLQEGGFLQSSGGGTKLERNTICPMQSSTYQLLKSNGNK >KN540627.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540627.1:36364:37281:-1 gene:KN540627.1_FG003 transcript:KN540627.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSATAVLLSLLLLLQLAAAYPQGKRQNVAVFWGRNKAEGSLRQTCDTGDYNIVIISFLSVFGHGKYWLDLSGHDLRDVGADIRHCQSKGSAADVAENLYYSVLGGDRPGAFHPFGDDTIVNGVDFFIDNGPADHYDDLANRINDYNQNIRDPIGIMLTATVRCSYPDPRMKAALDTKLFRRIHVRFYDDPSCSYNHAGLAGVMAQWNKWSATYPDGQIFLGVVAANLTGKNDMVAVGELRDKLLPAVQNTDTYGGVMLWNSYYDSLTHYGRYVKDLA >KN540627.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540627.1:31641:32551:1 gene:KN540627.1_FG004 transcript:KN540627.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADVTRATNPFENRRFKYKKLKFITDGFKTTIGKGGFGPVYIGYLENGTPVAVKMRSQTSSQGNTEFLAEARVHHRNRVSLIGYCKDKKHLALVYEYMDGGSLADHLKDWSTCTGPAAHH >KN540627.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540627.1:46663:46968:1 gene:KN540627.1_FG005 transcript:KN540627.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALATGLFTHIHVRVFGGGDDASCTTRHRASWERWAAAYPGSLVYLGVVASPEQDANAYLPRKVLFSDVLSHIVEKPNYGGLMIWDRYYDKKTGYSAGKVL >KN540627.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540627.1:17388:18308:-1 gene:KN540627.1_FG006 transcript:KN540627.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREEMRKGPWTEQEDLQLVCTVRLFGDRRWDFVAKVSDTVPIISMDGGDIHDDRSCMARVLKSTQSVMDGYTMDQIWKEIEAPGAPSLLGIDEGKDKACSNLPCPLLTSTMSDYSCPEVFWKIDNEETRMLATQSGYGK >KN540627.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540627.1:40952:41996:-1 gene:KN540627.1_FG007 transcript:KN540627.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSAATISVFFFLLSLLAVFFQPAAAYYHPQGKRQTVAVFWGRNKAEGSLRQTCDTGDYNIVIISFLSVFGHGKYWLDLSGHDLRDVGADIRHCQSKGSAADVAYNLYHSFLGRPRAGIFRPFGDDTIVNGVNFFIDHGPGDHYDDLANRINDYNQNIHDPIGIMLTATVRCSYPDPRMKKALDTKLFTQIHVRFYDDPRCSYNHAGLAGVMAQWNSSDQPAIFIYWEYYSACSSITIAVITVSWV >KN539798.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539798.1:11763:12884:1 gene:KN539798.1_FG001 transcript:KN539798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRALVLGVCAAVLLVNVLAVAADGDAAAAASMVVGLAKCADCTRKNMKAEACKNSNGEYETKATGDVGKSGAFAVPLAADLVGDDGELRQQCFAQLHSAASNQPCPGQEPSWIVNAAADKKTFVAVAGDTHFPSSECASAFLCDPFHKKDFFFHYKNPSPPAPAAYHNKPPSYTHPAPPVASYPTPAYSHPTPVYKQPLPTPSPPIYHPPAEEKKVAMQDDAEADPELFKKLLPLIKKNPFLKFPKLPPAEVEAKP >KN539798.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539798.1:37821:43406:1 gene:KN539798.1_FG002 transcript:KN539798.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGGGYADEKGPGAATTMQALGLQQQQHGGGGEVEEESSEMGEKTAARTRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMASGILFQLFYGLLGSWTAYLISILYLEYRTRKERDKVDFRNHVIQLIGCASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIAAASLIHGQLIGCASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIAAASLIHGQVEGVAHSGPTSIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATVYVLTLTLPSAAAMYWAFGDALLDHSNAFALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAYMVTFRSPQSRQNAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVHQVDTFGLFAKCYQCPPHPAAAALSPPPGAFAPAPASMLPPFNSTAAGIFAAPVPSPSPAPAPMHFVLGHHHHHRHHRHGL >KN539798.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539798.1:22623:22970:-1 gene:KN539798.1_FG003 transcript:KN539798.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKSSSLAALLIFLLAVFTTAAAAAGTECQNDVEVLKTTCYKFVEKDGPKLQPSPDCCASIKGVNVPCVCTYLGNPGVRDNISMDKVFYVTKQCGIAIPGNCGGEQASLDWPH >KN539798.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539798.1:19221:21765:1 gene:KN539798.1_FG004 transcript:KN539798.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLADEVAKHQHFAKLIIEEDGVPPLLRLLKEGTDDGQEAAARALGLLGCDDESIEKLVQAGVCSVFAAALKDPPMRVQAAVADAIGTLAGRSATCQELFAQNNAVRYLVGHLASGTIQEHSRYSVGSSSSKNSAAAPQHMTSLHSVVLAKTLSMRHGGDRGTSSSTDEPPRVSNEQDTKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGAVREVEDPETKARLKAMAARALWKLARGHLGVCKSITDSRALLCFAVLLEKGDGGMGTSVQYFSAMAIMEISRVAEHSLALRQSAFKPSSPAAKAVVDQLLHIVSKGDYDDLLLPCITALGCLARTFTASENRVIAPLVELLDEREPPVIKEAVLALTKFACNENHLHVNHCKAIVDSGGARHLVQLVYLGDEVQIEALILLCFIALHVPESEELAQAGVLAVLLWASKQAHMIQDMRVDALLPDAKGRLELFQSRASRCRLLNM >KN539798.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539798.1:48672:50588:-1 gene:KN539798.1_FG005 transcript:KN539798.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGPRPNLAIFNAMIFGLCHRGLVRVSGGLLGVMGRFHVVPDACSYNILMKGHCVYGQAEDAFNLFDEMRMTGCCPTIITYNILMNELCREGRMVEARRLFDEMLQAGVEVNTITFNVLIDGYVKAGQMEKANMACAEMKAMGLIPDCCTFNILSAGAYKFGKAASFVLDQQQLHEMFGSQLSADGIDMLICRLCWDGRLDDAWELLCSAIEKGVQVSVSGFNALIAAYSKEGFDEEAFELYKTMNKLGLAPSSSTLNYLIMGLCNRGRLDQARLFLEYMVRMGYCVIASFTIYLDSSFRAGDVLGALKCWDDMKIVGLQPDFVAFSAYVNGLCRLDFLDEAYNGFIEMIRRGLVPNNFTYNSLISAFCRAGNVSEALKLEQKMRQSGLVPDIFTRNILIDGFCREGGLNTANNLFFGMYSIGLTPDVVTYNTMLNAYCRSKDINGAMIFMNKMLADGCEPDIFTYNIWMHSLCSNHLLNRAMMLLDELAATDCAPNSVTYNTLMDGICSDVLDRAMILTGRLIKLAFQPNTVTINILFSHFCKNGFGKRALVWAEKLRDDSFNFDDATRNILDWAYRDMEDDPHASSADIDKCLFLEFLMLMTCTTIRNSRSFKFTHVPINTVFGHSGRKSLDTG >KN542751.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542751.1:5456:6004:1 gene:KN542751.1_FG001 transcript:KN542751.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYISMVIIVCVLGVINDDMQIGPDQIEALYQYAKFQFDCGNYPDAAENLHRYRALCTSSERSLSAQWGKLSAEILNNNWDVALEELNRLKEMIDSKNSSSPLNQIQNIIWLMHCSIFIFFNHGNGSYGIIDLFFQDRFVR >AMDW01040362.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040362.1:81:1412:1 gene:AMDW01040362.1_FG001 transcript:AMDW01040362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYM >KN542974.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542974.1:6832:9967:1 gene:KN542974.1_FG001 transcript:KN542974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQKKIRNTFEMGTRGARRFKYRDLAAATDNFSQSRKLGQGAFGIVYRGDKLKQLDCQVAVKKIARESSEGHKDFFAEVRAISEAKHKNLVKFFGWCSRGHSWNILRFMCSCFWSKKNSELFLVYELMTNGNLDDYLYKSESEEVLSWQTRYKIAKDIGSGLFYLHHECYPYIIHRDIKPGNVLLDEEFNAKLADFGLSRVANPNNKTLKTAAIGSQGYLDPQCMKDGKVSFNCSSDVYSFGIALLEIVCARKHREQIWGLYSSGGDVVEAADSRLAIGGNGAVRREMERVIVLGLWCSSFETKHRPSMLQAMDVLDRDAPLPDLNNLIVNSTLASSDQDVSSVSAANASEVAPLNSGSSSA >KN544347.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544347.1:1704:3083:-1 gene:KN544347.1_FG001 transcript:KN544347.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAPLPCAQPCTRSKPSPTAPSNPDVGLLPTVASNPDARRQPDPTTPSARHPDVSPRHQTSIFPHCYVNDGNGAAVMRLRGLFVHPHLGRQRFHIMLRHDLNSFLPADLEEAKPARKPCPAATEVRQEVRGLMPALLSELQIHHGVPPTSLSDDAIVAASFNCSDFVMLVRFCYLMLTGYCYIILLGSKLSSL >AMDW01019807.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019807.1:3:263:1 gene:AMDW01019807.1_FG001 transcript:AMDW01019807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELQRLLVAADAYGVEALRQACEDTLCAGINMDTVASTLALTEKGSYPKLRASCLEFLSNTQIYSVATNDECYEVVQSYPDVLTEIRD >KN542689.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542689.1:10829:11449:1 gene:KN542689.1_FG001 transcript:KN542689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVESAAPDLRFVLRFSDNISKIGESIVYGQPALSFPDCCIGLSVGDSSGYNVDIGELLQLAEYGGEYDDPLIESYNGDSGILDGDVLECASHVNSTMSDFKNYKKIL >AMDW01032854.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032854.1:389:472:1 gene:AMDW01032854.1_FG001 transcript:AMDW01032854.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHNARLLALALALLLAALHLHGV >KN544504.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544504.1:100:1209:-1 gene:KN544504.1_FG001 transcript:KN544504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTTAVTAAGGNA >KN540768.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540768.1:2478:6886:1 gene:KN540768.1_FG001 transcript:KN540768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVVHCSVGNISLFHIGSFRPSHEIQIRRFRSTERYSRVPSRRLLQPQRAFNLISIYKRSSWSSARRPRTLSAATVGTDVTVEDSNPPPSGETSEESSEDAASDTAEASEQAEASTSSTPKAGRNIRKSDMPPLNDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLVEANKETGRISLTMRTGGDYVKPKTETPKAASGGRNTTATTSRGSPRQTRGRDEAKSMGETNYVQGQFLDGVVKNSTRAGSFVTLPDGSEGFLPREEEAVALFTLIGHSALEVGQQIRVKVLNVARGQVTLTMKEGEDDEEDLASLNTQLKQGWSRGTNAFELAFRKNKEISAFLDQREKTIVPDVQEAAVASVGTELDAEVGIEQSPGKEPETGNAESVAIDSSITEVKETDNIAAVEKDSEISKTESVETASSVVISEDDSTVDDKLVEPTASVSATETEIKEDSSEGSVTTEPTEAASTEFVNAVVEESAPTASSVETSEDDSTVDDKLVEPTASVSATEAESKEDSSEGSVASTESVTAVVEESAPVSSVAIEVPAPEASEASAQEIIEDSTTVEGAANDQTVESDSPPPEGVELSSNGAPDSSIAEDKPDEPEESLIVEEVPVTASSESEDKEPAAVPEEVSASSEKTADVAVAGAEASTATATISPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISLDDVPEEVMKKETELEMQREDLLSKPEQIRSKIVEGRVKKRLGEYALLEQPFIKNDKVTISEWVKQTIATIGENMKVNRFVRYNLGEGLEKRSQDFAAEVAAQTAAKAPPAAPPKDDKPEETAETEEKKPAVAISAALVKQLRDETGAGMMDCKKTLAETGGDIQQAQEFLRKKGLSSADKKSSRLTAEGLIGAYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPQVEYVSIEDIPESVVIKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVLALLEQPFIKDDSKTVKDLVKETIATLGENIKVRRFTRYTLGEN >KN540768.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540768.1:31564:36544:1 gene:KN540768.1_FG002 transcript:KN540768.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSGAGGGNDGAGGGGDGTTGGSGDKTGGGGECTGGGGEIIGEGGGGECTGGGGELTGAGGGGDFTGGGGELTGAGGGGDSIGGGGELTSAGGGGDFTGGGGELTGAGGGGDFTGGGGELTDAGGGGELTGAGGGGDFIGGGGELTGAGGGGDFSGGGGKWIGAGGGGGFTGGGGEWTGAGGGGGFTGGGGEWTGAGGGGDFTGGGGELTGGGGDFNGGGGELTGAGGGELTGAGGGRGLTGGGELLGGSMYGDGDGVSSFGLINGGGARVGGVGVIFFGAGFGNDGRAEQAVALVVELDHGGLRRDARRRLLAEVVVREAEVGERRELADGLRHGAGEQVPRHVELLELAHLGELLRQRADEHVPADVHHLGVGELADLRRDAPLEAVVEQDELVERALHPPDAARDAADEVVRRQLALEVVEPDVEVLEHGHVEHHLREPADEAVVADVELVQQRQPREALGDDAAEAVGVDVEQREVGHEAELGGEEYYNLVSPCGSLYKGHGLILRAAELFFYPEAM >KN540768.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540768.1:7636:9150:-1 gene:KN540768.1_FG003 transcript:KN540768.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPINGEELVKELSGKEECTAVLFYASWCPFSQRMRPVFDDLSSMFPRIKHLAVEQTNAMPAVLSRYGVRSFPSILIACGPYAYWPVGSKELDSLVNVYTAVTGQEPIAYLGPRKWSAAQTGSTQHVKLWKSSIIEALKSEPYLAFSILFICLKILVAFFPKFFACIKGIWVQYFRHANLGILAKLTQLLECVPHAVDLRKIWSKCRLMGGAMNTRVWASSLASMSFGERSSPRAAVLD >KN545174.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545174.1:45:431:-1 gene:KN545174.1_FG001 transcript:KN545174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVEITKSDVLRPSPASAIGAGMKFPLTIFDRAAMDLYVPSVYAWNADAGGAEAPSNDKIKNGLAAVLARFPHLAGRFGVDEHGRRCFDLNDAGVLVLEATASASLADALSHDVPAHVNELYPKADK >KN539329.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539329.1:75531:78090:-1 gene:KN539329.1_FG001 transcript:KN539329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGSIVRRLYLSVYNWVAFIGWAQVLCYMTLALLDKGHEAVYAAIERPLLFTQTVAILEVDLILHSIVGLVRSPVSSTLPQITGRLFITWGILWSFPETQSYIFVTSLLICWCIIEVTRYSFYGMKESFGFTPSWLLWLRYSTFIACFPVGVVSEICLAYTVLPFMKASEKYCLRMPNKWNFSFNYFYANVFVMALYVPVIPYLFHHMFAQRKKALSKAKTT >KN539329.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539329.1:40388:41703:-1 gene:KN539329.1_FG002 transcript:KN539329.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRADAMGYTFLGMPPPSSGTVGMALVLNILDGYKSLEFLKGFLGVHRFIEALKHMLAIRMALGDPDYVNVTGNVSLMLSPAFADKIRQRIVDNTTFPPSYYFPKWSQLNDHGTSHLCVVDGDRNAVAMTTTVNSYFGAHVLSPSTGIVVNNEMDDFSVPAERTPDHLPPAPANFIAPGKRPLSSMTPTIILKASIYSPVLTTAVLPVQNGQLAGVVGGSGGTNIIAAATQLVPNEVVYENETVVDGEVIELSGEAREFLRRRGHRLRSTGSGAVCQFIVQDLLTPVAAAGDENVFHGMLTAVSDPRKGGRPAGM >KN539329.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539329.1:4300:7501:-1 gene:KN539329.1_FG003 transcript:KN539329.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQADGEDAAVGNGGGNGVLASWNGSAGPCSWEGVACGRHGRVVALSLPGHDLSGTLSPAVGNLTSLRKLNLSLNWLHGAIPASLGRLRRLRTLVLSFNTFSGEVPGNLTSCTSLEKLVLGSNKLTGNIPAELGNTLTRLQVLGLDNNSFVGHWPASLANLTSLGLLNLRMNSLEGTIPPEFGSNMPRLLSIDICSNNLSGALPSSLYNLSSLKVFDAGNNYLNGTIAADIGEKLPSLQYFGVFNNRFSGEIPSSFTNLTNLTSLQLSENGFSGFVPRDLGRLNALQNLQLAVNMLEAGDMKGWEFVDSLANCSKLVTLALSNNNFTGQFPISIVNLSTTLQTLYLGGSRISGSIPSDFGNLVGLRSLYLFSTDISGVIPESIGKLENLTTLYLNNNSLSGHVPSSVGNLTNLMKLFMQGNNLEGPIPANLGKLESLNVLDLSRNHFNGSIPKEILELPSISQYLNLSYNSLSGPLPSEVGSLTSLNELVLSGNQLSGQIPSSIKNCIVLTVLLLDSNSFQGTIPVFLGDIKGLRVLNLTMNKFSGVIPDALGSIHNLQELYLAYNNLSGPIPAVLQNLTSLSMLDLSFNDLQGEVPKEAGNSELCGGISHLNLPPCSTHAVRKRSKGWLRSLKIALASIAVVLFLALVMVFNLEQSGSTRSFVAECEALRSVRHRCLLKIITCCSSINNQGQDFKALVFEFMPNGSLNGWLHPKSDMPIVDNTLSLTQRLDIAVDIVDALEYLHIHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILTESASKTQQNSSNTIGIRGSIGYVAPEYGEGSAVSTLGDVYSLGILLLEMFTGMSPTDDMFRDSLDLHSFSEAAHPDRILEIADPTLWVHVDAEDSITRSRMQECLISVIGLGLSCSKHQPRERMPIQDAALKMHAIRDDAYQMFSGSLSVDMEEETKQISSDLKQQ >AMDW01038659.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038659.1:33:771:-1 gene:AMDW01038659.1_FG001 transcript:AMDW01038659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIKETVRNAGDSLCRAVSLLTVRLCDVSLTTSSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWGTLDICLKVVDKESLDLLVPRLAQMVKSAVGLNT >KN539329.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539329.1:115165:118356:1 gene:KN539329.1_FG004 transcript:KN539329.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRMRLALSLLYVLMTIGTGTASGGGGGGGSSDEPALLALKAGLSGSSSSALASWNTSASFCGWEGVTCSRRWPTRVAALDLPSSNLTGTLPPAVGNLTFLRWLNLSSNQLHGEIPPAVGRLRRLLVLDMDHNSLSGAIPANLSSCISLTILRIQSNPQLGGRIPPELGNTLPRLEKLQLRKNSLTGEIPASLANLSSLQHLSLSYNKLEGLIPPGLGNIAGLRYLFLNANNLSGELPLSLYNLSSLVMLQVGNNMLHGSIPSDIGRMLPGIQVFGLDVNRFTGVIPPSLSNLSTLTDLYLSDNKFTGFVPPNLGRLQYLQYLYLVGNQLEADNTKGWEFLTSLSNCSQLQEFVLANNSFSGQLPRPIGNLSTTLQMLNLENNNISGSIPEDIGNLVGLSFLDLGFNSILSGVIPESIGKLTNLVEISLYNTSLSGLIPASIGNLTNLNRIYAFYCNLEGPIPPSIGDLKKLFVLDLSYNHLNGSIPKEIFELQSLSWFLDLSYNSLSGPLPSEVGSLVNLNGMDLSGNQLSGQIPDSIGNCEVMEALYLEENSFEGGIPQSLSNLKGLTVLNLTMNKLSGRIPDTIARIPNLQQLFLAHNNFSGPIPATLQNLTTLWQLDVSFNKLQGEVPVKGVFRNLTFASVVGNNLCGGIPQLHLAPCPILNVSKNRNQHLKSLAIALPTTGAILVLVSAIVVILLHQRKFKQRQNRQATSLVIEEQYQRVSYYALSRGSNEFSEANLLGKGRYGSVFRCTLDDESALVAVKVFNLQQSGSSKSFEVECEALRRVRHRCLIKIITCCSSIGPQGQEFKALVFEFMPNGSLDGWIHPKSSNLTPSNTLSLSQRLNIAVDIFDALDYLHNHCQPPIIHCDLKPSNILLSEDKSAKVGDFGISRILPKSTTKTLQSSKSSIGIRGSIGYIAPEYGEGSTITRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLHQPLDIADPTIWLHEEENDADVKNESIKTRIIQQCL >KN539329.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539329.1:3117:3392:1 gene:KN539329.1_FG005 transcript:KN539329.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTLPAPYPYCVPLMHGLDMGSVAMMYRSSGAVFVVDLDKKVIDKAMDCFLPLRIDREMDLPPVPYEMDLVEFFLLQLGDLCGGGSKSTG >KN539329.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539329.1:111908:113339:1 gene:KN539329.1_FG006 transcript:KN539329.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGSGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEGLEAGAAAGAGAENGCKCGDNCTCNPCNCGK >KN539329.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539329.1:90052:92581:-1 gene:KN539329.1_FG007 transcript:KN539329.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVPGFARERHVLFLEAMASELPADYASQEVNHLTLAYFAVAGLSLLRELDLVNKDQIAKWILSFQVHPNTDNELDNGQFYGFCGSRTTQFPSTNMTDPCHNGSHLASTYSALAILKIVGYDLANIDSKVLLSSMRNLQQPDGREASFFSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKQYILSCQSYDGGFGLVPGSESHGTASCNL >KN540157.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540157.1:12482:35450:-1 gene:KN540157.1_FG001 transcript:KN540157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAEVKEVLVLMWLSSSSLTRWGRQTRKGKGWREWEERWPMRVRVEREEMTRAVINELGIDMSQLGVMGTITFIGHASKVRFTVGSNVWVEDADVAWIDGLVEQVTGDELIIRCTSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAGFGELSPHPFAIADRAYRFMMNYGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKVQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPAEERERYKLGDPASFHYLNQSNCIKLDGMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFVEGSEADSSVPKDDKSKFHLRTASELFMCDEKALEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQQFKSNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSVEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRIFDEFVDRFGVLLPEVLGESYDEVTATEMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSSSASKIQRKVRSYLAHKHFIQLRLSATQLQAVCRGQIARHYYEDLRRKAATLTIQTYYRMHFARKNYRDLCSASTTVQSGLRGMAARKELQYRQQTKAAVIIQSYCRSYLAHSQYMGLKKAAIVTQCAWRGRLARRELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKSQENKKLQQKLQELELQSNETKDLLRREQETAKAAWEKAALVPEVQVDTTLVNELTAENEKLKTLVASLETKIGETEQRFDEVKKAREELLKKATDAESKINGLTNTMLSLQEKLTNMELENQVLRQQSLFRSPVRTIPENTSPKATPHGTPPASKEYGKFAQPRPSFFERQHESVDALINCVTENIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGATPRKKPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVPAIFMRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQMEVWCGEVKPEYVGSALDELKHIRQAVGFLVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLITKESGQDSSENTFLLDDEISMPISLEEIGDSMDSKEFQHIAPPPELVAIPAFQFLKS >KN539329.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539329.1:30728:35711:-1 gene:KN539329.1_FG008 transcript:KN539329.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGELAGLLAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERDVLADRGLRAVLAPEGRILAAGEILNILGGYKSLEFLKGFLGLHRFIEAFKHMLAIRMDLGDPDYVNVTGNVSEMLSPAFADKLRQRIVDNTTFPPSYYFPKWSQLDDHGTSHLCVVDGDRNAVAMTTTVNSYFGAHVLSPSTGIVDGQLAGVVGGSGGPFIIATVVQLVPNEVVYENETVVDGEVFELSGEAREFLRRRGHRLTSTDSGAVCQFIVQDLLTPVAAAGDENVFHGMLTAVSDPRKDGRPAGM >KN539329.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539329.1:13216:15028:-1 gene:KN539329.1_FG009 transcript:KN539329.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPASAVLSAACRSNRALSVLRRLGFLGSCTPTVRQNASEDLPGAGLHPRFHQSVLPIHCVLGSLRLCHSTVLDGSKDVHVSEIVKILKSRDGGSELAEVLNQFSDEMDEDVVLKVLQKQRSNWKVALSFFKWAAGLPQYNHGSRAYTEMLDILGRMKKVRLMRQLFDEIPMESRQSVVTNRMFAVLLNRYAGAHKVQEAIDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRGCQADVATYNTLIKHFCKINRMEKVYELLDDMEVKGVSPNNMTYSYILKTTAKPKDVISLMQRMEKSGCRLDSDTYNLILNLYVSWDYEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSHGKLDEALHYYRTMESRGMTPEPRTKLLVKAIRMKKDEPATEEQPLTRKNLKLDPISRLFHVRK >KN539329.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539329.1:60654:63624:-1 gene:KN539329.1_FG010 transcript:KN539329.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWDEATCWDGVEAKASKGDAVWARPDRLSPVVVPSPVRPNSPVQDDLGSGQPNTLLDQVAEASEPAQHEVVVPSFGPAVGGCLLGRQVALEQAQHGGVHMPITEALPDQVAATPTPPGSPQPDPLITFSRRTKIGRRSLPHFSHRCQRRLHRSCLLPPSHLAGVSGRYSTFIVCFPVGMVCEVVLIYIALPFMEMKALQYQASEKSDKWSFSFNYLYANLFFMASFATVLFHLDECSISSLVSLLDHPAEEGLGKSKNHITTEELSNLISARSYSSGCYRFFSK >KN539329.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539329.1:81471:87999:-1 gene:KN539329.1_FG011 transcript:KN539329.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSAVRRLYLSVYNWAVFFGWAQVLYYAVTTLLESGHEAVYAAVERPLQFAQTAAFLETHSHILVTSLVISWSITEIIRYSFFGMKETFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKATEKYCLRMPNKWNFSFDFSYASILSLAVYVPGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITEVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYLYFSAFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >KN539329.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539329.1:94583:100660:1 gene:KN539329.1_FG012 transcript:KN539329.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain enoyl-CoA reductase [Source:Projected from Arabidopsis thaliana (AT3G55360) UniProtKB/Swiss-Prot;Acc:Q9M2U2] MKVSVVSRSGREVVRGGVELKDSAKVADLQDAIYAKTKKYYPARQRLTLPIQPGKSGKPVVLSAKASLSEYCEKGSGSLTVVFKDLGPQVFYSTLFFFEYLGPLLIYPMFYYLPVYKYFGYEGERVMHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGILCQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAVVRWQGWEAEVSSAMGDSSSIPLKPGIFMLDIVACCPLKNHTRSRRFDYKSFGRYMTAGQLHLMEICEHTNLAAELSTGRFFYFPSIYTMEKFHTSKITSKRILFPLKMACQV >KN539329.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539329.1:103396:107354:1 gene:KN539329.1_FG013 transcript:KN539329.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGRRWSILQFFGFRRRLRSTKMISDKKQSQGKGSGDTNIQNATFNTQDGCDNDTSSSLLKRGDIHVKQRSHRSISMDGVLHKVPYGHKVSEDVIKEGLSRSASATYDRDGLKSYTVTAAKRPVNQGFRRSRSLTESLEKYSHLLDSISSNQSKKTLTSSKSTRDHSLDGSAVMTGLQNLVMTAENLVIPEHALAPDVPERTIADGDVDAAMDEISGHKDADGSENTALLEEYIVDKKCGVSVSTEANICTPPLLSEVIDISQGHVATCDDDQVHSSTEDNMCTAHSKSEEIDVPEEHGTTCDDDHTNLSTEAGMHTTKVSEDGRISEEHTTTSDDKQIHSSDVLKSREGTFCVPRPSQEIEAEINLSCEQETDSPMSVLDVTFPDDPASPVKYTILDDSSLKPRVLHLSDTDDSADMDLNTSTSMELSTAGLGHKNVQESDSDELSSLQADPKNEDGLIYVKNIFMKSSFHNEVLFDEWCSHNITVLQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSLLSRFSSFDRPKPVGNHALKELWSKVSCHLDEQPQSSIEIDTILSNDLSKNDHWVNFQRDADHMGNMLADFVFDRLLTEFTLQLAKF >KN539329.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539329.1:48578:56012:-1 gene:KN539329.1_FG014 transcript:KN539329.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSHGARGEEAVDRGGARGGREEKRRPPDINLPLSADPSPPPPPHPPSWGIDQFDMLDVGLGTQTYESEVALTLPKLTGNGNAAERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEIVSSPNLSTVPEAEMKRWAELTGRELNFSIPPEASDFESWRNLPSTDFELDRPLPPSSKSVTHGSHSHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFAHGADEDCVMANNSCSDREQEIEVHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDPHSVKVSWRNTLTHGIVKISCVSTARMPFVKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQVLKDGLSAVHVPYSYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQTDDPSQKNTLLVLKFLPFMIGWFSLSVPSGLSIYWFTNNILSTAQQVWLRKLGGAKPVVNQGGSGIITAGRAKRTSAQPAQPGERFKQLKEEESKRKGNKALAAGDSDLSASTSEDEESDDETTEEQGGPEERYNSSSNKKLPNYSGKKGKRSKRKRMVNSVGQYGCVVDLGHNFDDQLGRLIFRCRTDTKHSSGTGVLLAHSKMKIVQYTTIGLRG >KN539329.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539329.1:17903:20612:-1 gene:KN539329.1_FG015 transcript:KN539329.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTVIVTGGASGIGLETSRVFALRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEGGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >KN539329.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539329.1:66460:67266:-1 gene:KN539329.1_FG016 transcript:KN539329.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNASGVRRLYLSLYNWIVFIGWVQVSWFMILALLKNGCEAVYAAVEQHLLFAQTAAIMELYNHFVSLFMEIERC >KN539329.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539329.1:25854:29774:-1 gene:KN539329.1_FG017 transcript:KN539329.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAADHLEALSLEIERKLQKALNSNSQRLQLLQQLFADIALKVDDRARDVILSTNDDGIAPVDEREDTRLCFYEILANHFVKLFEVPLDGKEVSLRYSSALVQGATNVFWIDIQTNTRHFLSLYNYLLEEVALVPDQLSKISVQAGRGLFLLLSRFMLFYDQDHLLASFLEHFPTFPNSFLVGGPADYYVIELTDQLQKLKVEPVLLHYLSSLTILQGLELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCVKTVYYYILNLIVSSWENMRRPNHQRMHRD >KN540389.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540389.1:13003:13836:-1 gene:KN540389.1_FG001 transcript:KN540389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSPAAVPSCPGLAENGALAITGGQTQPMEGLVWAWLASTLELCDDVQPVRSAPSSVPSASGQGISVVADGDVQIDEGDESGFKVYARCTFRRHRLTLALNPSPDVSCFSSLSVVSEEGDVASLDGCDQEHGEVLDHLLTMSSSSHQPDSVHLVSNSLSASMEVEEMNSVSPFRDAKSLLIQNFWEEIGFLISASRWWEQKSSGSVVGTTSPGVPTTSNTDELCTKDISWGASPKLQNSDRRRREWVMHPWKGPLPHPQPVQSAVLGEYCLLQNI >KN540504.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540504.1:48045:50156:-1 gene:KN540504.1_FG001 transcript:KN540504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALRTTFSSACKSFEFHPALLFPVDECFKAAKQVEGLSVHACITFTNKTGNQCKPVFAEVLQDILYPYPSIDGVKIFINQISAVTVRVLKANQSMDPQIQSQQMAHSLKLCACVLLLFLVHSDSLVNESTTQFCIRASSSRYSAWPRSHCCSKIPPDENNHFSHTSTLFR >KN540389.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540389.1:30361:40234:-1 gene:KN540389.1_FG002 transcript:KN540389.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRARAVPSAPPPPFILRQLSFPAAVPALDLDILCCRLSRRHRLACLPQAPSDVLVVYQRCQSCAAAEAVSEIAEAFEGAAVGEEEEVVCSGSLVAKAVEWDLRSLMLEHGWRCLGESVYILSTFADTKERTDQCTVNVEVKLGRNDDIEFAVSPDALRFTTPKFSDFVSSDKMETFENDKEVILDYCNFRTACTTLPTLQEGHVIEDFYFPLITGFSKTLPTGQCLDKFMQLCSLKHGLEADYSHYAAVRFGYESSHEIWLPCSFVLQGSGLQPAPKSSRESRAMCALQSFMGLLNAWNFFGQNQLVIKEQLLLNSTATLPTWDKAMSSARTNNSEDLRLVHTNILTNDQSLALAQVALLKPSFSRGKSEEGHKRKHSSEHSDADSSDKLRHTSLTNSTLVSGGHKRKHAESLDRNCQEVSKVLHIDNAMWILELDKKEISLNLDCKDCIPQVPDETRAIPGVKNDMLSTKVVDNQKDELMKKATKAKGRRLVNSTELTSMNSKTNSDVLNDDIVRKVTDHQKRGELRLLTVADLKCFLSARKVKVGGTKEMLIKRVAELIG >KN540504.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540504.1:7805:9652:-1 gene:KN540504.1_FG002 transcript:KN540504.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARCCVALLLLVVLVAAGSAAAAADQLRVDYYRETCPDVEAIVRSEMEKIIGAAPSLAGPLLRLHFHDCFVRGCDASVLLSSTAGNVAERDAKPNKSLRGVGSVDSYQMRDMID >KN540504.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540504.1:21930:23239:-1 gene:KN540504.1_FG003 transcript:KN540504.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVHRRGIRSAFGGARSDENRGQPTADEVFDRDAVRLRSLFAVPRQLGGVEAGGGAPAPAPAAAAGGGVTVTPMVAPISVAPGALEYRVLAGYGAPAQRFPVAFDTNFGVSVLRCKPCVGGAPCDPAFEPSRSSSFAAIPCGSPECAVECTGASCPFTIQFGNVTVANGTLVRDTLTLPPSATFAGFTFGCIEVGADADTFDGAVGLIDLSRSSHSLASRVISNGATTSAAAFSYCLPSSSATSSRGFLSIGASRPEYSGGDIKYAPMSSNTNHPNSYFVDLVGISVGGEDLPVPPAVFAAHGTLLEAATEFTFLAPAAYAALRDAFRRDMAPYPAAPPFRVLDTFAGGTELELDVRQMMYFADPSSVFSSVACLAFAAAPLPAFPVSVIGTLAQRSTEVVYDLRGGRVGFIPGRC >KN540504.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540504.1:18493:18924:-1 gene:KN540504.1_FG004 transcript:KN540504.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTALPYTYMKPSMYAPLRDAFRRAMARYPRAPAMGDLDTCYNFTGVRHEVLIPLVHLTFRGISGGAGGEGQVLGLGADQMLYMSEPGNFFSVTCLAFAALPSDGDAAAPLAMVMGTLAQSSMEVVHDVPGGKIGFIPGSC >KN541055.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541055.1:1944:2797:1 gene:KN541055.1_FG001 transcript:KN541055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTFTTDESDKHEESYLSLGLTVSQSKKNNTEYPKVLLLLAAYLDRSVQKNEDLLDSNKIKDSSTIFHGHRAPDLSIKLYAERIFKYSECSPSCFVLALIYMERYLQQPHVYMTSLSVHHLLITSVVVAAKFTDDAFFNNAFYARVGGISTVEMNRLELDLLFNLDFRLKVDLETFGSYCLQLERETMVLVIDRPIQQVHGVNSAKDLSRNSSIDESCKSELMRYSSQALQGCS >KN540504.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540504.1:12221:15347:-1 gene:KN540504.1_FG005 transcript:KN540504.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPRPSLVLCLLVLISCLLSGGGVLAGSRRRYLTASLDELRGYKLGDHQVHSSLPLTSPADFAHYLQIIQPQHLAPAVTIPDRSGTYLDTLEFVVAVGLGTPAQPSALIFDTGSDLSWVQGGGPLLDSGTVLTYLPAQAYALLRDRFRLTMERYTPAPPNDVLDACYDFAGESEVVVPAVSFRFGDGAVFELDFFGVMIFLDENVGCLAFAAMDAGGLPLSIIGNTQQRSAEVIYDVAAEKIGFVPASC >KN540504.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540504.1:30051:39359:-1 gene:KN540504.1_FG006 transcript:KN540504.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHCAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVELILVGVCCSASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIQCLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQAVDSNADVINGQIDARHHDTITAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEVQGLSVVASKASQLTDLDAPISTSQTKYLELLARYQYLSSAAIQAKSAGITADSSRNPIDSSTIDLLEGKLAVLRFQMQIKQELEFMASQLENLSGSSESPNDPFPRDNILADAETARFAMDKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLNFANYSGDADSKIVREIWARLLDQALTRGGVAEACSVVRRVGSKLDPADGACLPLDIICLHLEKAALDRLSSGEGLVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >KN541055.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541055.1:13943:23697:1 gene:KN541055.1_FG002 transcript:KN541055.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNCLKNVRCSFGCADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRTWLFLDYLCGNIDWLYRHTPQDVNSIFSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYEEEGHFRKLQSLQAYSRLDAVTLIVVNNLQYGARNKGKKRKPQLVITIYYFGKEGKNCTFSSVYPELQAPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNGLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPDADDSDMGKECVICLTEPRDTAVFPCRHLCMCSECAKTLRFQTDKCPICRQPVEKLMEIKVRSPEP >KN541055.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541055.1:3484:12593:-1 gene:KN541055.1_FG003 transcript:KN541055.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFHPDVCKDTENADLIMRRVLEAYEILSGNQGMMIERNNVDPFDEPECAACDIFVNELLCIGTGCPYSCVKRAPHAFAFADDTGTAHASSQGHYDDYNVQLAVGQCPRKCIYYVTPCQRTILEEILASVLMTPYDLSEAAVLDSLLSKAMFENNRANREEGGGAERVEVEEYVDPPSADCCGGADPDHAPPPSPKGEEPVVSAEEEQAAVAGGEGEALRSFLEEFGDQGDDSLIPSPKLKQINTPDRLAALRFLGTPCTMKYTLYLAHGGGKYNSLLERYKQQVAKCAEECVPRYDGLKKKYADECAERRRLYNELIELRGNIRVFCRCRPLSTAEISNGCSSIVEIDPSHETELQFVPSDKDRKAFKFDHVFGPSDNQETVFAESLPIVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPEDRGVNYRALEELFRLSEERSSSVAYTFAVSILEVYNEKIRDLLDESSEQTGRKLDIKQTADGTQEVAGLIEAPIYTIDGVWEKLKVGAKNRSVGATSANELSSRSHSLVRVTVRSEHLVTGQKWRSHIWLVDLAGSERVNKTEVEGDRLKESQFINKSLSALGDVISALASKNAHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSADSGETLCSLNFASRVRAIDHGPARKQADPAETFKLKQMTEKIRHEEKENAKLLESLQLTQLKYASRENVIKTLQEKIREAEQTSKTYQQRVRELENELANEKKAARDTARSTKPPLAPMRQRPPLGRIGNHIPPKAPLRLRLSKAPTIQNKENIPVMMNKGSSGADTSKAVAGKARRVSLTPVIRHIPLQPKRRSSLTVLPTQREQLSIFPDKRSVSRLSHIQMPRRSIATFNSIPATPLAAAAHAQVDGTPEARRQFRRIEFSSSKFRSPPALARFNSRNNALSPQQKLRLASGSGNASKICFSVQKRVILGSPAPVKSSLLSGTGIFNPALREKMMAAKIGNAQRVFNTNRRKSVL >KN541740.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541740.1:3552:7365:1 gene:KN541740.1_FG001 transcript:KN541740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPTPVVYPEMAASASSPYVAPLISSRITGRLRLASVLVESGSLDVQRLPDLDREPGISGGLDAVTSGNAVPKWNLECGSDVVGVAQTGPGIVGSDGNAEIQGRGGNVEEVMISRAGAMANQFGSGECEVPTLSSSFPFLAVEVKEPLVVDAQFLAVQIDKPRLRSIAWSGFAALCAACVLLVVSKLIWGNGKKYLSRNMFDILRPGMNKGESGKGGIKVLKNVKCPEDLLGRPQLDRRKLMNNIKRAKQSRELFDLSSVFGYCSVATCYDVIITETRRMVTNVHTLLEGILEQSKTKSKHSVLFPHPAATNGQEVSASHGCTELPDISISNNVIGETVESSVDFKSSAQVMDNSVKNQNNVGDIEPPVDTPINDMSTDAKDSIPMVHVVEIEEQIGSPDECIDGLNSIVIPSSEFEGQKQFPDISVKNVDGIFGIKSSQISSDTDVIGTNDNSHKFSINVASKTTGDLSSGCSNSTPSESESKEIPVDINQNDLNYFQEIEAQSTFANYDAQTVQYEEISHRVSMITKEACINPAMADILITKSPQRISEEPVDLMRGNAQSMQELEPSSSIRDRKQIVLANQKNNIISRSHNETQASSEIDSTGTNDNASTSSVYDLPEESIHQSAKNSTENTSYNEEPEESIIKRKIKLHQEMCNDKDAQTKHKVEGVSRIGPEFGPSNDVCKTETVAKKRSKKMPCDKGLKVPEQDIVQCNSMADKKSSSKNVKRTRKNLKSALRNQGTQTTQEISETALVVNSPDDAPRAENIRPFGGSGSSTETQSPMFSDTFSEARPNGFSISTMRKEKSKHNFQPLESGEAAAVKFKTNRHGDNIMNERAIDFDISNLGVTTTKKMTKRRSLSKRRKPANGLGGATDVPPDV >AMDW01008205.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008205.1:53:175:-1 gene:AMDW01008205.1_FG001 transcript:AMDW01008205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARRAFRALRSLVKLQALARGSYVRKQAGVAIRFMKVLVRLQ >KN541740.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541740.1:12113:13200:-1 gene:KN541740.1_FG002 transcript:KN541740.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQRMEDQLQSQAQGQGQGQTEAIKYGHDATAKLAGDKVAGAEDAAKVVQAETYSDAAARTRAGGVGAAVSTAARLNQADDDADDDAE >AMDW01037153.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037153.1:434:595:-1 gene:AMDW01037153.1_FG001 transcript:AMDW01037153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VWPNSTVAFRNFEEEARQSAVWDSEQNAASSSRDNLAALYRPPFALMFNGPFDK >AMDW01032909.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032909.1:222:458:1 gene:AMDW01032909.1_FG001 transcript:AMDW01032909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ERATKEPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDASLKPKICDFGISKALKADADKDCTGVVVGS >KN544646.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544646.1:212:2627:1 gene:KN544646.1_FG001 transcript:KN544646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYDSNEVEVEMAGDGAEERSQTDKLDPARTYVGQEKYKRTLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDLIVRVTSQFVLNQISGQVLEQVDSRDLSASSPPAQPYFWLSRKAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFDLIW >KN542229.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542229.1:895:4890:1 gene:KN542229.1_FG001 transcript:KN542229.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRDIASYNTMMTAYAGAVDGIDAARHLFDGMLLRNVVSWNIMINGYVKVKRPEQALEVVRWMAEIGVRGTAVAMVGAATACARLGMLGAGKEVHCAFLRRFEECNLLFSTALVDMYGKCRNADAARKVFDRLSFRNIVCWNAMIIGHCVYGEPGDGIRLFHDMIGQDEVTFIGVLCACTRLALLDDGKAYFEQMSTMYNIKPTFAHYWCMANLYASVGLLEQAEGLLTSMPEELKAHALGGLLGLCRFRGEWELGERIVLRLIELEPSNSVHYALLCNVYASAGRWEDVHRVKAIIKERDEKLSPGHRLVNLNEILHQFRERQPENQEIYGILDGLVSRLKLTSRQCQVVDDRLSALPDEILIDILQRLQLPTAARTSTLARRWTHLLQSMNHLEIDVADFIPRQSAPSLIKRNTMARVKVAMSRYTQAMRTLLSPRAESPQLIIIRTPHLCFYLTDPYLHSVGRMLEDAVQSAGGRASKIEVLSFSILTEVPELLCTEKHLARYGRRFMSFFQAHPNAFRRLTSLSLWALRFGDSDIPNLLASCLQLQHLTLQDCDDGKRSVLRIDAPNSQLRTLTMALCSYIKVELINAPNLKCVDCDTWVGANPPVRFGRVPMLDRIRFSSTCHKMQLPFKLSDWLSTVPTLTSLHLDFQDEMVWILPEEPKKLFSIFRNLRNVYLCSISTDCGLDWTLFVLEGAPFLERFHVKISRHICDENGFKDRADRSNVVWEASSDSIKHKNLRLLDINGFETNENLIKYIRLVIQRAVGLQRIHLHDKEPCEDCDDIYLNTPSLSRTRFPNNEAEKDLLRQQLLQGFSSSIEITIG >KN541770.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541770.1:11340:19456:-1 gene:KN541770.1_FG001 transcript:KN541770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKTLKGTNFEIEASPEASVAEVKRIIESTQGQNVYPADQQMLIHQGKILKDDTTLEGNKVAENSFLVIMLSKAKASSSGASTASKAPVSQSQPATPVASVARTPPPQAPVVTPEPAPPSAQPPVASATPAAAATPAAAVTASSDADVYSQAASNLVSGSNLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPQPVARAPAAVQQGNPQVPSQAQAAPPPPVQPAGGASGPNANPLNLFPQGIPSAGSNPGAAAGAGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPDSGAAGGSDADVYSQAASNLVSGSNLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPQPVARAPAAVQQGNPQVPSQAQAAPPPPVQPAGGASGPNANPLNLFPQGIPSAGSNPGAAAGAGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPDSGAAGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEDQQ >KN542229.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542229.1:15583:17264:1 gene:KN542229.1_FG002 transcript:KN542229.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >KN542229.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542229.1:5884:9322:-1 gene:KN542229.1_FG003 transcript:KN542229.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRGASSDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVFCENSVRVGSDTIIRSTEKTDFLLPKPLESRLVLDVYHVDCGVRHAALVTRNGDVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDAGHWIPKRISGALDGLPVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLTSISCPKEVESLSGLKTIAVACGVWHTAAIVEVIVTHSSSSVSAGKLFTWGDGDKHRLGHGDKESRLKPTCVASLIDYDFYRVACGHSLTVCLTTSGKVLSMGNSVYGQLGNPNSDGRLPCLVEDRIAGEHVLQVACGSYHVAVLTGRSEVFTWGKGANGRLGHGDIEDRKVPTQVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDSCFLKLKNASDSDSFNKRKDIVSHLAGESNGDTKASKTILSSNMDIIRSLDSKAARQGKKTDALSFLRTPQVSSLLQLRDIALSGSADMNRSVPRAVRTSAVRSVTTSRAVSPFSRKSSPPRSTTPVPTTRGLSFSKSATDNLAKTNELLNQEIERLHAQVDNLRHRCEHQELELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERIPPEHGSYDVSEAKQVHIPNGIDSHIAIYSSMNGAHQPRNDVLNASNAQGLNSGRSSHPNGISSQHRLLGNTTEASEGSGQSHRITSPRKLDVPHRRAHSNSDDMLTASNRADDNVSIDAMSLQNGEDGYKPRGTVSSISSSQVQAEWIEQYEPGVYITLTTLLDGTRDLKRVRFSRRRFGEHQAEKWWNENREKVYERYNVRSSERVSSSSAASTRSAY >KN541363.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541363.1:17994:20630:-1 gene:KN541363.1_FG001 transcript:KN541363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHQQNMNLHEQSNTKNTRTGTKKPKKRMDPTMMSIYKDLQQTMDADTGNIQKPDCLDRFGGFHSTPLEAPPRRCRFLTPPPFSFYTEPPDPVPESHDNGFTQPPVSGRGTIGFLRPPTLRAPWISRVRLLVLMMFLEAFTNHSPTKESKHRKVDQKKHHQDKQPCGHECKAQSHHYQRKYSSINGL >AMDW01030203.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030203.1:102:365:1 gene:AMDW01030203.1_FG001 transcript:AMDW01030203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELGARYAAAVHLPDRRQTVVLQRMGQRWDTVMQTKSGRCTTRRFLINGWSRFVRDNRLGVGDICLLELKKHDSKLTMTVHTIFSQQS >KN538732.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538732.1:321710:322000:-1 gene:KN538732.1_FG029 transcript:KN538732.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAATSRIYEALKMLQPPPWVHARSHLCLYHVTLVVLTTNFSSPLFCPPFPLALHFAGSSGVTIARAFIPDTINKAIGTDKKATEHADLCDFLIS >KN538732.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538732.1:299995:303125:-1 gene:KN538732.1_FG030 transcript:KN538732.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKGGDSSSSAEDLGHHAGYYRLPNTHDARLFYFFFESRGSKGEDDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQESNLIYVDQPTGTGFSYSSDPRDTRHDEAGVSNDLYAFLQAFFTEHPNFAKNDFYITGESYAGHYIPAFASRVYKGNKNSEGIHINLKGFAIGNGLTDPAIQYKAYTDYSLDMGLITKSQFNRINKIVPTCELAIKLCGTSGTISCLGAYVVCNLIFSSIETIIGKKNYYDIRKPCVGSLCYDFSNMEKFLQLKSVRESLGVGDIQFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEEPFTVDGKEAGILKSYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSNASSSFQRLDFTM >KN538732.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538732.1:325249:325656:1 gene:KN538732.1_FG031 transcript:KN538732.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYEPEAPIATVEDPISSHDKLESTEIVASLEMLGNMTNKPLASLEMLPKVKLSVETPPAPMSPPMRFGNGGCHIDLNDQPPETEKLVDETLKISGEETKANMELPAPVSPPRSFGTGGCGCDLNEMPEENDES >KN538732.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538732.1:338383:339753:1 gene:KN538732.1_FG032 transcript:KN538732.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDKLDLLLRRIEEYELRREEADRRTRADIRSLIDVVEACTPEVGKKAKDLPASIKNEQSKVTPTTCSMKCSSPDIEPNLTVVAVDKCATTAMASMKLVADDGATSTTKIPTTDYSKETHAKCSMLGFDVKWDANKADIAFLTKTVVLETVPASIASLSTFSPRMIADIKQYTLMPTRYSAKCSGSNNSQVVSYLSFLSTPVLVESVGGHLLVPWQLLLQHFEVDPWPPITSRDQELIIWESQLMSWLAFNCSCCKVHILPPWPPPTEAKWFQLFVGKQFSLVNSLNIIHAMFGPLVWDPGDGKVHLHKILIWMDDWFPQHYFHWRYILWSNKLKFNVGVKSELSFLINLIAATSKEGIYAAGEPEYLLLGLSFVEMERKDNCYLSWSHLLLARVMVVELSSTGQFGSENISIKGKHVDKLRLFGMPPWLPLVCLLEAREGKCNTTDSAASIIP >KN538732.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538732.1:294396:298347:1 gene:KN538732.1_FG033 transcript:KN538732.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MELEILGINFGCVLAALADAKIPEKDCLLPLASKLLGYAIVAASTTVKLPQGMNSSDAFFPMRNISPPLLKKDLQFYHFSTPPLNLDSDWSSGRILSVPLDSKIPSKECLLRLMSKILGYHVVAASAIGKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAFPEQCLSRYCGLAPTVLGGKIDLALFEVLYAAQHAIFFFARLPQIWKNFMNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSGILLVLITVNYIHS >KN538732.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538732.1:329710:331476:1 gene:KN538732.1_FG034 transcript:KN538732.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNFPQSRGWEIWSHGWIGTGPRGKQKQLRTFNMGKHELEAPIPTVKDHVSSQDHLENIEMASSDMLGNKTDKPSGPLDLEMLRKINLSMGTPAPVSPPMRFGNSACHTHIDINDQPPVEEKLADEAVKISGEEKMLIKADMEYPTPGSPPRSFGTGGCGCDLNEMPEEIDEP >KN538732.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538732.1:289670:292854:1 gene:KN538732.1_FG035 transcript:KN538732.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSSAAAAAAASGCCPDQLAAAACSNWEQFNPASKNFGVGSLVGVFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNTGGMSRDLINRIPKTTFSAATNPDQETDNCCAVCLQDFGASQFVRVLPHCQHTFHARCIDNWLFRHASCPLCRAAAARTGKESITVQLQKVGVHG >KN538732.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538732.1:310746:314536:-1 gene:KN538732.1_FG036 transcript:KN538732.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKGLLKPRPTPQQQLREWQRKLRNECRVLDRQIRDVQREEKNVEKSIREAAKRNDIGSAKRTLLVLYPTMALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAVRKQRINQASTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRS >KN538732.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538732.1:315931:316757:-1 gene:KN538732.1_FG037 transcript:KN538732.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVSVSVSLEGNISSLPNSIINDSNGKDTSFINHAAIAWAEMRRQWTGDQEKVPKEASQEPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >KN540063.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540063.1:60535:62259:1 gene:KN540063.1_FG001 transcript:KN540063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIEGPTIAVKLFVDKERSRVLFADSDKDFVDVLFGFLTLPLGTVVRLLGRQSQVGCLDELYKSVEDLSADYFHTKACKAMLLKPHNTAAEQCCLLKVKVDDTDQTAVYVCRDANCSANGDCGVTSIAGSVCKCGKVMEYIGEWPQDGGSTAAAGSDGGVFVKGCYKFIVTDDLHVAPASTSLMMSIFDKYGVRDPANLEQKILQLNAEKITCLLKRSLTSKQTLTGYYFDVPNPNDEANLYVLPESLYSEQEVEVDHKLNNMKIKVLQKKNNTSLLYAEVGEDFVDLLFGLLSIPLGSILKTYGKWSSNGCVDNIYMSIDGSAKGCMNPERQMLLVSPNVASFFGCSATNMLIQLGEAAPKQKNISGCFKCFKIAGFSCYGHCSDQIWNTGKKAYVYKNCLGTTKTCKLCEINPKVPSGGSHKGEGYVKPGVQKFMVTDDLHILPLSLTSTLQVVSESKVQVQELVEKEFTLTKIQVMELLRAVLVTRNTLSSVLLPPKKKKRLHHLQSSLY >KN540063.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540063.1:6755:9266:-1 gene:KN540063.1_FG002 transcript:KN540063.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSSPRSGGIKRRKNQARKVVCIPAPTAAGGRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNSGGGGGSGSKGSQNDKSQQQPSVKEEQKDQATTTATTTTTSTITTTNSASPVVVKEEEAALAGSSEALELERVMDTTAAGVVDHSELMDHVFSESYKPMIPETGQPDDFFADLAELESDPMSLIFSKEYMEAKPSGGDHAQEKAMAKELDPLFDMLDWSTTTNSSAGSSFEQGKRG >KN540063.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540063.1:50233:53137:-1 gene:KN540063.1_FG003 transcript:KN540063.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSLWTFMIAHWPRPGAARTRTPSTTNYDVHSPTWGDGFSQEKKKYVSMHISAAACSFSQPSIRSNLRNMFSLPHVTVLVSIAALASISGDATIVHETVAAAAAPSSDEADSIDGGGGGFSLPLVRRRSTTTTTMIDVAKEEIQLASAIAVGDKKLLVPLYGRPQGGSTYLVQLRIGTPTDRISPRYVLFDTGSDLSWTQCEPCTNCSSFTPYPPHDPSKSRTFRRLSCFDPMCELCTAAVDGGGGSSGCLFRRRYGDGGAVSGELVSDVFHFGAAGDDDGYQQFERDVAFGCAHVEDSKAVRGYSTGILALGIGKPSFVTQLGVDRFSYCIPASEITDDDDDDDDEEERSASFLRFGSHARMAGKRAPFKQDGSGYAVRLKSVVYQHGGRLNQQQPVPVFVAGEAAAAAAMPMLVDSGTTLLWLPGSVFYPLQRRIEEDISLTRRYDLTHPSLYCYLGNMTDVEAVSVTLGFGGGADLELFGTSLFFTDENLTEDWVCLAVAAGNRAILGVYPQRNINVGYDLSTMEIAFDRDQCDRV >KN540063.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540063.1:47316:49803:1 gene:KN540063.1_FG004 transcript:KN540063.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWADAALLRASPSPATAAASSSSSSSSCCLARPRASLESRLHRRKSFLYTSSPKSGFLINSCRARSLKVKAKMDSGDGLTRLAPLMFETPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQEKEASKVPQDLLYKRIAEVKEKERQNTLEEIIYCWIIYKFMENDISMTPALAPLGGPVRDISSLPNQEDRLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVLENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSRLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHVESKYHFVNS >KN540063.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540063.1:65759:67296:1 gene:KN540063.1_FG005 transcript:KN540063.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIEGPTIAVKLFVDKERSRVLFAESDKDFVDVLFGFLTLPLGTVVRLLGRQSQVGCLDELYKSVEDLSADYFHTKACKAMLLKPHNTAAEQCCLLKVKVDDTDQTAVYVCRDANCSANGDCGVTSVAGSVCKCGKVMKYIGVWPQDGGSTAAVAGSDGGVFVKGCCKFIITDDLHVAPASTSLMMSIFDKYGVRDPANLEQKILQLNAEKITCLLKRSLTSKQTLTGYYFDVPNTNDEANLYVLPESLYSEQDAEVDHKLNNMKIKVLQKKNNTSLLYAEVGEDFVDLLFGLLSIPLGSILKTYGKWSSNGCVDNIYMSIDGSAKGCMNPERQMLLVSPNVASFFGCSATNMLIQLGEAAPKQKNISGCFKCFKIAGFSCYGHCSDQIWNTGKKAYVYKNCLGTTKTCKLCEINPKVPSGGSHKGEGYVKPGVQKFMVTDDLHILPLSLTSTLQVVSESKVQVQELVEKEFTLTKIQVII >KN540063.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540063.1:24621:46147:1 gene:KN540063.1_FG006 transcript:KN540063.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKSKARELSSWEIDLKRREADIKRREEALRNAGVPMEDKNWPPFFPIIHHDIANEIPANLQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQMLPQSGMLADRMVAQLAEHDGHLAEQREVEEEDNEGGIPVPRVVLRRGGGGRDGGDRAGCRLRLKAIKEGRAVAKPIYNHATGLLDQPELITPPKILVVEGLHPMYDERVRGLLDFSIYLDISSDIKVAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTRLIAAADDDGDEGKVLRVKLIMKEGVEHFAPAYLFDEGSTISWIPCGRKLSCSYSGIKFSYFPDTYFGHEVSVLEMDGKFDKLDELIYVESHLSNLSTKYYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQSWMPRSKIGPYAQSVVELMKLAKATVDEFFGIPVAVRDDLVQDLADGMEAIFLEYISFLTSCAGSSSCAVASSAVGHVAEVAAYRLIFLDSHHSFYDGLYVDGVADTSIRPTLRTLKQNLSLLLSVLVDCAQPVAVREVMKGSFQVFLLVLLAGSDDSSFTKEDHGMVEEDFRSLKRAFCTRGEAVVTEEDADDHHGDADDHLDKWGTPSMQRRRKRSIVWVLVVPLSRCFPFPPPVRPPCVSRMPRKVVSGPDYDDEYDDDYDEDYDDYGETGHSDDIQHPVKVEKGSSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDSTKMPVNTRTTDFGGDPEIKNASISHEKVGSTQYTSAGSSSGTGKKVKHIALPEDVPVERTAQLISDHFQLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFASEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVTAVKSIERDSSSCDVARAGDNVAVSLQGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRPCFGAHIVADSKRYCSIANQKFGDGIFHYIKDPEHPYSLEELNVVTEDSVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTFA >KN540063.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540063.1:53692:56444:-1 gene:KN540063.1_FG007 transcript:KN540063.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHLGARSAAAAREDDQSPVEQVRLTVPTTDDPSLPVWTFRMWTIGLLSCAMLSYINQFFSYRSEPIVITQITVQVAALPIGHFLARVLPKRRFTVFGRECSLNPGPFNVKEHVLISIFANAGAAFGNGGAYAIDIINIIKAFYHRSISFPTSLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPQSLVQVSLLRALHEKENLRMTRAKFFLIALICSAAWYVVPGYLFPTVGAVSWLCWAFPRSVTMQQIGSGMSGLGVGAFTLDWATVVSFLGSPLVYPFFAIVNVWVGFVLLVYVMLPIAYWVLNLYQASTFPFFSASLFDHTGQEYRISEIVNDRFELDTDAYARQGKIHLSLFFATSYGLGFATIAATLSHVTLFYGTEMYRRFRQAAREKPDVHTRLMRRYDDIPNWWFYGMLALAMVAALLLCTVFKDEVQLPWWALLCAMAVAFFFTLPISVITATTNTTPGLNIITEYVMGLIMPGKPIANVCFKVYGYISMNQAVSFLTDFKLGHYMKIPPRSMFLVQFIGTIVAGTVNMSVAWWLLSTVPHICDKKHLPEGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGALNWFFLGGLAGPAVVWLLARALPRHAGWIRLIHLPVLLGATANMPPASTLNYTAWCSVGAVFNYLVFRRRKAWWQRYNYVLSAAMDAGVAIMGVLIYFCLSSRGITPDWWGNSDINIDHCNLSTCPTAKGVIVEGCPVF >AMDW01040683.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040683.1:108:662:-1 gene:AMDW01040683.1_FG001 transcript:AMDW01040683.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PTEMILGWNGGLAKQGDEKGRTPLHFAASTNRLSMRAMVKLLLEHDRSCVYQPDDEGSYPIHVAAALGGVAGLFAVRLMIEFCPDSAGLRDGTGRSFLHVAVDNLCPSVVALARFSPGLRSAVINMQDGNGNTALHQAAHVCDIMIFFFLLIDRRVLLDVKNNMGYTPVDLARFKNHLKGLNYPV >KN540771.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540771.1:196:15898:-1 gene:KN540771.1_FG001 transcript:KN540771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFPECISAVVLISLSTMIAASSGLSMSNSSNTDLTALMAFKAQLSDPLGILGRNWTVGTPFCHWVGVSCCRHRQRVTAVELPDVPLQGELSPHIGNLSFLSVLNLSNTGLMGSVPDDIGRLHRLKILDLGHNDMLGGVPATIGNLTRLDVLDLEFNNLSGPIPVELRLPHNLRSINIQMNYLTGLIPNGLFNNTPSLKHLIMGNNSLSGPIPSCIGSLPLLERLVLQCNNLTGPVPPSIFNMSRLHVIALASNGLTGPIPGNKSFILPILQFFSLDYNYFTGQIPLGLAACRHLKVFSLLDNLFEGPLPSWLGKLTKLNAISLGENLLVVGPIPDALSNLTMLNFLDLAMCNLTGAIPADLGQIGHLSVLRLSTNQLTGPIPASLGNLSALSVLLLDDNHLDGLLPTAIGNMNSLTELIISENGLQGDLNFLSAVSNCRKLSVLCVNSNRFTGILPDYLGNLSSTLESFLASRIKLSGKLPATISNLTGLKLLDLSENQLFSALPESIMEMENLHMLDLSGNNLAGSIPSNTAMLKNVVMLFLQNNEFSGSIIEDIGNLTKLEHLRLSNNQLSSTVPPSLFHLDSLIELDLSRNLFSGALPVDIGHLKQIYKMDLSSNHFLGSLPDSIGQIQMITYLNLSINSFNDSIPNSFGNLTSLQTLDLSHNNISGTIPKYLSCFTMLASLNLSFNNLHGQIPGGGVFSNITLQSLVGNSGLCGVVRLGFAPCKTTYPKRNGHMLNFLLPTIIIVVGAVACCLYVMIRKKVKHQKISTGMVDTVSHQLLSYHELVRATDNFSNDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAVRSFNTECRVLRMARHRNLIKIVNTCSNLDFRALVLPYMPNGSLEALLHSEGRMQLGFLQRLDIMLDVSMAIEYLHHEHCEVILHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYIAPEYGALGKVSRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRLWVSQAFPAELVHVVDSQLLHDGSSSTTNLHLHGFLVHVFELGLHCSADYPEQRMAMRDVVVTLKTIRKDYVNGMVARISFGRTIKRLLESVLLCLVLSRELDERGSLPSLLLAGNAAAGAAAPQKESFLVMYTTLAVASNPMAIALSTDFRVSACFWLCFWCFNHRSDHSHLKMVNPKATDDIFSDGLSSYSDSSPNDEEFCNEISDSEGCKASCDDLSKDEILRSLKTKLKKSKVSFHANLKRKKPETSDAEFKKQIESALLEIHNDNVLMGMKRKIYGHNLKINSTKSDSKDESKLTRFSVKYFSQVLESLSKHQMEVISNSCFKTMLLFDKCSIPSNFALWVAQQVDVSSCDIIVRDKVIPLCKESVHSVLGLPVGGLPIKSSSEFGKQKILECFGISSLPSVKFFGDKFIKKESMTDEQILISFMLVCLNCFLCANSSLQPSTKYLSAFEDLSSIDKLDWSNLVFEWMMKHIGKLAKSKSFGCCFYCLVANYLDFLNFGMRKLPADIPRIKVWKGNMIKVFSKFDRISKGVYGKRPIKDISDCCYKLIQTAEIKENSSVKEGNKSFLDMLHSSIGVDLPEDVKKDINQLLILHFGPDESTIDERAKKLLIDVLLVLSNASKNIVDDDWKSSVPANNG >KN540771.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540771.1:32553:37383:-1 gene:KN540771.1_FG002 transcript:KN540771.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 42 [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/Swiss-Prot;Acc:Q9SYD6] MDDAAPGHRHHHPLSVFLRDARLTFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSSDREKYEINGENEFNVSDSEMEELVSHEEASAAPSKSSFETDSSDVKIEHKRKNIPSVSTALLLGGVLGLLQALLLVICAKPLLGYMGVKQGSAMLMPALKYLVVRSLGVPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQYFIASILLWRLRLHVDLLPPSFKHMQFSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARQDHSKAAATASRILQLGLVLGLLLSIFLGIGLRLGSRLFTDDQDVLHHIYLGIPILVAIVSIIFIVTLASYNGFVGIWIALTVYMSLRMLAGFLRINTTL >AMDW01038491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038491.1:53:636:-1 gene:AMDW01038491.1_FG001 transcript:AMDW01038491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGTLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMIIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMS >KN540989.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540989.1:842:2393:-1 gene:KN540989.1_FG001 transcript:KN540989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G06530) UniProtKB/Swiss-Prot;Acc:Q9SKI2] MAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >AMDW01036852.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036852.1:494:658:-1 gene:AMDW01036852.1_FG001 transcript:AMDW01036852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TYVADDCISLYMRDLIPPEDVLWPWLRHIPKRLRTFPARRRLAIKIHKLKDRVRE >KN542684.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542684.1:9782:9964:1 gene:KN542684.1_FG001 transcript:KN542684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKLPDKNRCHLCAMDTAYNRCFTVEQILRFILVPCRNAGYGCDAKTGYHDSDRHENG >KN542794.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542794.1:61:809:-1 gene:KN542794.1_FG001 transcript:KN542794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQEETMDQGKERGGEEDAGGGGGESGFLTTMASKIGAAVSGADGGGGAEEEGGEGNGDVNVGGGVETDGDGGFLTTMASKIGAAMSGANGNGGAEEEGGERNGDENVVAASGGGAEERKRKRDGNGGGGIFSKLMSGSPDSLPASVEAEENEREGGDQGGKKAGILSSVASKIGIAMSGANGHANHGNEDDAKISNGDAADH >KN542794.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542794.1:7214:12539:1 gene:KN542794.1_FG002 transcript:KN542794.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSQTPERIEADQRVQVTSDLDTKVKHATPTSLPKRIEADQRVRASPDLDMKVKHASPAPPFKKKATADCSSRVDLAKTSQPSLTKTSAPPVVAGARVKAPDMGSATKPNPPKKLPAVTGSARERRDGIKHSHIDGEKLTVAAKRKLGVYQEAEEAQKPRKTADMGATAKPKEPALPPKKLPAVVAIAGRRESIELHNDDEKIAAAKWKLHEGYREAEEEKKRRKMADMGTAAKPKEPALPPKKSPAVVACAGRRESIVLRNDDEKIAAAKRKLHEGYRETEEAKNRRKMTDMGAAAKPKEPALPPKKSPAVVACAGRRESIVLRNDDEKIAAAKRKLHEGYRETEEAKNRRKMTDMGAAAKPKEPALPPKKSPAVVACAGRRESIVLRNDDEKIAAAKRKLHEGYRETEEAKNRRKMTDMGAAAKPKEPALPPKKSPAVVACAGRRESIVLRNDDEKIAAAKRKLHEGYRETEEAKNRRKMTDMGAAAKPKEPALPPKKSPAVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQGKKGGKVDVALYYESLCPYSAMFVVGGLAKVFKDGLLDAVDLSLVPYGNARVKDGKISCQVEHGSEECFLNTVEACAIDAWPDLRVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYE >KN542794.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542794.1:2751:3836:1 gene:KN542794.1_FG003 transcript:KN542794.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTAEEDAKLLAYTSTHGTGNWTSVPQRAA >KN544247.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544247.1:187:1424:1 gene:KN544247.1_FG001 transcript:KN544247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMFQSYRPGDIVRALVVSLRGKYGAPI >AMDW01010458.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010458.1:58:186:-1 gene:AMDW01010458.1_FG001 transcript:AMDW01010458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GWWWHYHRVLELESQKRQLENQNWQLEQQNSRLSSEKRDLEGR >KN544247.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544247.1:2861:3664:-1 gene:KN544247.1_FG002 transcript:KN544247.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNKGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >KN538981.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538981.1:53558:57869:1 gene:KN538981.1_FG001 transcript:KN538981.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGRLARRAVETEAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAAMNKIKEIVWEPSISKYGSDDGLPELREALLEKLRRENKLTKSSIMVTSGANQAFVNVVLTLCDAGDAVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLERISELCKNAGAWLVVDNTYEYFMYDGMEHYCLEGNHIVNLFSFSKAYGMMGWRVGYIAHPNEADGLHAQLLKVQDNIPICASIIGQRLALYALEAGPEWIRERVRDLVKNRELLMEAMSPLGKDSVKGGEGAIYLWAKLPEKCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAAERLRRGLQELVTEGMVQ >KN538981.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538981.1:136017:143536:-1 gene:KN538981.1_FG002 transcript:KN538981.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQSAWEAEKMLDVYIHDYLLKRNLQSTAKAFQAEGSVSSDPVDVSFCFAKKAIDAPGGFLLEWWSVFWDIFIARTNEKHSDVAASYIEMYEERVKNSVQRDTLDEAPAKADMDRFVEDGCLEDHVDSFLSHDDADRRDGSRMESTKGFIFREVSSVQASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSVLEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSTSVMSLDFHPNKDDLICSCDGDNEIRFWSINNGNIVRIFKGGSSQLRFQPRHGGYLAVASENAVSILDVETQACLRRFEGHTKHVDSVCWDPSGEYVVSVSEDTVKSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFVKLWK >KN538981.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538981.1:33367:35355:1 gene:KN538981.1_FG003 transcript:KN538981.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAALGLDFEDTALTLRLPGSLAAAAADPDRKRSSSDAADDSAADNSSPLAAASPDAPPAPKARVVGWPPVRSFRKNALAAKFVKVAVDGAPYLRKVDLEAYSGYDQLLRALQDKFFSHFTIPIDGRKVAGKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLAPRAAQ >KN538981.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538981.1:65808:75227:1 gene:KN538981.1_FG004 transcript:KN538981.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 32 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/Swiss-Prot;Acc:O81016] MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEERVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVENFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYGRSFHHNVLGVVPYLLGSLRFDVNQYVDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTAVLIYVALAGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDEGNHEIFLYKYVLTFNQHPFLTHSYAGQLLFMKRGGQLIYAGPLGSKSRNLVEFFETQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLAGGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >KN538981.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538981.1:104566:104799:1 gene:KN538981.1_FG005 transcript:KN538981.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWQGEGGSGGGGSVGSGGLRVRAEDLLGFGSCDPRGSSSLSAGARISTRRRQIPAAAVALGAAKASEDILGNSG >KN538981.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538981.1:17412:20216:-1 gene:KN538981.1_FG006 transcript:KN538981.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCLIFFLSPMLLVHIVFLFVQCEGQADSHPLLMGDSGNASHRDHTIDILRNDATFPSTSHQDNHNNLDELHQTRGPLNDVPHVPESSASATPASISRNTSFARRDQGHRQPNPLNSGFWISIELIVSLSQIIAAITVLSVSRNEHPHAPLAQWLIGYTIGCVATLPHLYWRFLHRNRQNTEQESTNQVSSERDVYEPNSYVVVSSAHGSEVVDSGNNGGVARIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFQSKKFQDGEAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNAPDSSSRSSHDSNNSRVRNDVESQQ >KN538981.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538981.1:154007:155350:1 gene:KN538981.1_FG007 transcript:KN538981.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETGRRGCIRSTRGPWTRLASAGAAGGGSSDQLQVSSYSGATEAAVAIQRQEQEQEISLELTLSFTYM >AMDW01067786.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067786.1:132:686:1 gene:AMDW01067786.1_FG001 transcript:AMDW01067786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLLEPAAAHAGRNLLPEEADGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDKACSFVYSRDCHGPDGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQ >KN538981.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538981.1:163635:166011:-1 gene:KN538981.1_FG008 transcript:KN538981.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDLTLDDHHHLTAAAAASGQATQKLQEFLSRLEEERLKIDAFKRELPLCMQLLNHAMEAYRQQLEAYQMGSQHGAAARAPLVLEEFIPLRIVKSQQ >KN538981.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538981.1:84597:85001:1 gene:KN538981.1_FG009 transcript:KN538981.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVDNGHSCGGCGRRDGCGRGGREPRSRMQTMATAMTGVDGSHNCGGRGRRRWLRLRWTRATTEDVSDGATARRRDGEGHRGMRATAAGSSHRVMRMTTRRRQSSRTRAVGRRRRTMAVAASDGGGGGGRW >KN538981.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538981.1:38621:40653:1 gene:KN538981.1_FG010 transcript:KN538981.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDCVGAAEEPLTEAMIAASVRMFAANLFRVFPPKARSGATASETEEDEPFFDPSWYHLQVVYELLLRFVTSPMVDAKAARKYVDSSFISRLLDLFDSDDPRERDCLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKETKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTDMAEFQKFMVPLFRRIASCLNSSHFQVAERALFLWNNEHLFDMISQNRQVILPIIYPALERNTRWHWNQSVLNVTLNVKKMFLDMDERLLLACQNNFQAEEEKRATTEERRRLMWEQLERNAAAACHPHPVITTTDSSFPSPPSSTPLVAPTVT >KN538981.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538981.1:8159:9106:1 gene:KN538981.1_FG011 transcript:KN538981.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MNARPLLHASLPRGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVKIIKDGKRRMPSEFSRSLFVSHFQTDSVSPGSSDFSCLVVY >KN538981.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538981.1:118458:126557:-1 gene:KN538981.1_FG012 transcript:KN538981.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAAGFLFYYRIQLTLFCDSSDVFSYPEDDSVEDLLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIRPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTGNHELNPFTRFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYVDVPDIIDISHMRSKGIQPGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPISKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEASSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >KN538981.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538981.1:155856:159243:-1 gene:KN538981.1_FG013 transcript:KN538981.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSLQLVGALLLPLLAVVSSFDPFHRDANPMGGGAGQGPFIPHETALNVSGVLGVAISRNGTGPEMGPYVSPEFKVWPGNTELKVLLEGVYTENDDGESVLCMVGDAVLPARGGDAANPWGWAKHSDRDRFQPPIHKDGNILLVLRYPKTLTLTTRAVHGELTSTNGKTHAAYFDAVHLLSQLGAYSNYQFGSEELVGTACKPHPYRDDVLAGGGGDRGLYKGTSFCGILDRFTSEDVLAVVPNWSYTKTKQAGEFLRRSEPFDFGTVIAKSLLSYPRKSGDAADETTSLSNLAEELTLHVAAVPDPFPRGRFERPFLQLEVLSLGSLVGRASPATFPGTPAAVGQSMASSSSSTTTKLDATAILNVSAELTISGDAYVNVSTVSLEGVYNPVDGRMYLIGCRRIQAPWRTFSAMGGVEEVMDCSIEVRVEYPPTTAQWLINPTAKVHVASTRGGADPLLFNATVLQTLPILYREQRQDILSRRSVEGILRVVTLAAAIAAEFSQLMYIKSHTDVMPYVSVVMLGVQAILILAAFLLTLRLVQKVWRSRIRLLTRSPLEPGRVPSDRKVLVYTSGAHLVGFAVVLAAHYVSVLARPVRPEASYMDARGEAHALREWAVTLEEYIGLAQDMFLLPQVIGNVVWRINCRPLKTGYYAGLTAVRLLPHVYDYVRAPAINPYFAEEYEFVNTSLDFYSRSGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVAGVNEGVGHGLRRDASLS >KN538981.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538981.1:43676:51619:-1 gene:KN538981.1_FG014 transcript:KN538981.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETPGSSASPHQERLLQSLSARGWCFRDPSNEIIQELLHASPSPSPEAVETELVDVDLRLFGGKSLPDRAAAAATGRRLSYLHGPIVLQVVSVRDIYRSIIDASFKNPQQHRLLRFVLTDGISEAVAIEFFPIPFIIEDIAPGTKIRLENKIPIHNGILCLSAKNISIMGGVVQSLYEEWQMNQKFSGLSRPSLRLSQNDDGVGPPPFEKLDVEARPSRTSRYQAYSDNKARKPEVGRQNLSVSSSGKPVNESSSDENKETAASRVEPKQSNSDGRPKEVSEAIPVQNQAAAQKLLQKMAQAAPEDRYGRGHRFKGKGRQEDTPVFTLDEWEKRKSTVLKSTAQSYIDDTSRDEELARQLQEQLDLEDSYVHTTLIGRKQVLSNSGIASVLVVLIALVTGGSDRCLDTRESSLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLTFVLLGFLTTQCSSDVFWRQLLVIPLATAAGLCGSLIDSLLGATLQYSGYCSLRKKVVGVDGPTVTRISGRNILDNNGVNVVSVFLTTLITALACVYIF >KN541034.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541034.1:55:5962:1 gene:KN541034.1_FG001 transcript:KN541034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGIREITSTDVDVLGRLPALAVLSLYVHRKPMERIIFDNVGFSILKYFKFRCIVAWMKFEAGAMPNLQKLKLGFDVRRADQHGTIPVGIKHLSGLKEISAKIRVACTVDDLCKGFAESELTNAIRMHPGRPRVNIRCIDWTFDGKDDNNVGTREEESTTFEKQHHIVKVDSTVKFAVPEKDPGREADKSIDRRHPYYLHVPGSSAAMDDAAQAAHRRSDISSEVEAVEVGEARPAAGADKVFVALGTDVVHGKSTLQWALQNLANEGTKIVIAHVHRPARSYGTHGKKEREKAEEKLDQYLLICRELKVSCEKLIIEEEDIAKGLEDLIAIHGITKLVMGTAACRHNSGRPMSMKARKIWEAAESSCKIWFTHNGHLICTREGNTTVPAISPTPFINIVEIVRKTFRYYSSINSDIVRVSGSTRRAAQQPLYEPDDDHFASPRELENSGDDAVSEAQDLRQKNKQRISAMPWKEQYTEFSSSELKQAARHFDCEMIGKSRFGSVYKGTLRNTTVAIKLLDGHSMQGQSKEFDKAVADISRVRHRNLVTLIGACPESFALVYEFLPKGNLDDRMKSENPLRSRKPPLTWQERTKIIYEICSVLTFLHSNKPPIVHGDLQPANILFDANLVSKLGNLGIYRLQLNTTSPDAIRLMGNFMYMDPEFCRTGRLTTHSDVYSLGIIILQLLTGKNHDWMIAEVMKEAIKRGGDERSPHRELIRGWLDSGNDTTPMTSLKLQHRELIPNRALRLAILKWQQEEEQKQSVCTSRSSMPGASS >KN541034.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541034.1:23267:29655:-1 gene:KN541034.1_FG002 transcript:KN541034.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHNLMLLSAGFFSTLLLAQAMSTAAGMTVKVSTTPIFSKIPRAQTNKDFQVLLRIEAPPPVDLKGCVPIDLVMVLDVGGGTVSLELVKKAMKFAIRQLSDEDSVAIFGPPMSREVIPKFMNIHGSRRIAEKKVDELEGRRFAHPARSSLDEALKMLEEQPASSSVGRAKFIVLVTDITRFSSDMPELAKYPVHAFGLGASHDAAALRLIAQRSQGTYSFLDDGNADKGKKSGTSSKHGSMMDQRGFGRPSHMAPRLSSLSHHRRLYLRGRRQQQRVVPKANSGTNDVEEIPK >KN541034.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541034.1:9630:18138:1 gene:KN541034.1_FG003 transcript:KN541034.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLPRRIEGLLRRHGNILPKGAEEEIPLIKRDLEEIISILHDHNHDDEETEGHASGAIMVRRCWTKEVRELGYDIEDCIDQYEHGAAGCSSSRSIPHPRRKITRRRRRTTKMPPRLPDKLKQRLWMANMIREFSLRVQEALQRHGTYNLGGSSSSTNDDASSDHRLSVGEYAHDCRHFGIHSSTAMDKLREWLDVDGGAEKLKVVFLVGAGGVGKTTVAGELYGELRRRFECGAFVRTSQKPNITRLLISMLSQVRPHQSPENWKVHTLISSIRTHLQDKRYLIVIDDLWATSTWDIIKCALPDGNNCSRILTTTEIEDLAFQSYDYDYKYVFKMKPLGEDDSRDLFFSTVFGPNSTCPTNLREVSCDIIRKCGGLPLAIVTIASLLAKLRKWEQWGYVNKDLGYSLMTNPTMEGIKQVMNLSYNNLPQHLKPCMLYLSIYQEDYIIWKDDLVNQWMAEGLIYGTQGHDNEDISGTYFEELVGRKMVQPVHINENGKVLSCVIHPMVLNFIKYKSIEENFITAIDHSQINTVIADKVRRLSIHFGNTKDASIPTNMRLSQVRTLAIFGFFKCIPFIMDFRLLKVLILHFWDDKDSTSFDLTKISELFRLRYLKIISNVTLKLQKQIQGLQHLETLQIDARVSAVPSDITHLTGLLHLNLPADTVLPDGIGQMASLRTLSFYLNGNSIENVISLGELTNIRDLQFTCSSIQPDNLKKKMQCLGSIIEKLKNLKSITLLHTRSSYANNSLEDAGATSMRIHVDGLSSVSSPPAHLERLELLPRICILSYFPMWIGNLSKLCILKIGVRELVKNDIDVLGGLPALIVLSLYVHTKPEEIIVFDKTGFPVLKYLKFNCCVPWLRFKEDSMHNLRKLKLGYNAHRADEESTIPDGMEYLSLHLNEVSVKIGVADPEKYDKLSAELEYKLAFGFDMIHPTVTIRCVKHMFDCKVSKSSLAQEDYGKVEQHEILEEDTDVPDEVDEIKQDSGQEASKCTDSNSTLSDRHILLLLTNKLDKQPDDPTHTIPVVGVYSVDPWQLKAKAEVDTRKGPWLYFCPEPGKEGSSRSTPSGYWKAQAGPSRRIIYSTGGLPIGVKNTMVFHYSSAESATKTGWIMDEFTAFHNEGGGTTIPKPRSDISLCRLYTTQGPSALCSTEGSSSEWPIRRGMVPRMEGALEDPKQCEKGTTTEGKGIIESVLLAGENANKNAHKSRKRRATESRLGGSRQLVRKVMTSCDPNADGLSGSWRMYGQKYIDVDSRWPRSYYRCTHRPTHDCPATRTVQQRRCTGDGTPMYEVLDYGEHTCPVQHQQQLPSHSDDDRGSGISAALQQPGAADTGAAVHVTTTTSVSGSASPASSPPAGNT >KN539679.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539679.1:81171:88163:1 gene:KN539679.1_FG001 transcript:KN539679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSMEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPKNEKPGYLSIDFLTDIVEDAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLWSLVKRGKMTKEDYEKKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLQLIGQKTSCQDRIAGAHFFSPAHVMPLLEIIRTQRTSSQVVVDLLNVAKQIRKTPILVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAVASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYVEKSRSMASIAQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPCEYLSTRARQGLSLAAMADGAMSRL >KN539679.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539679.1:16262:22690:1 gene:KN539679.1_FG002 transcript:KN539679.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRFTNYGAKTINGEKFVIPFLKCAIGLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQIIGVNTVQMYMGLFASSHPAVLWAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELQTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFR >KN539679.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539679.1:66071:69926:-1 gene:KN539679.1_FG003 transcript:KN539679.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTATASYSLLGPVFLQQGGMAAGGEEEKPFNFLQILCEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIQWKGLYSGLAGNIAGVLPASAVFVGIYEPTKRKLLETFPENLSAVAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFRSAPDAVRLIVGKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKVVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGSANQYSGIVSCAQTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRSREPPARKDE >KN539679.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539679.1:41769:44212:1 gene:KN539679.1_FG004 transcript:KN539679.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALCALLASARLLWLNQRTVRRHVALADVEALDDEAPLRLYSYDELDHATYSFRAAFGTVFKGTLRHGGERAVAVKWLEKLVEDGEWEFQREVHDIVWKSHCNLVRLLSCCHEGTNRLLIYEYMSNGSLANFLIYDYMSNAVREGEKKGARGHGSSPMLRVPCLEVGEDRDGILAEGAGGEEWVHSKNSFFVPRHQEGGPCHE >KN539679.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539679.1:37165:39135:1 gene:KN539679.1_FG005 transcript:KN539679.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGDGVGQTVITGNRSVVDGWTTFNSATFAVVGQGFVAMNMTFRNTAGPSKHQAVALRSGADLSAFYGCSFEAYQDTLYAHSLRQFYRRCDVYGTVDYVFGNAAVVFQSCAFLSRLPLPGQTVVMESYVGGLVDPAGWMPWSGDFALDTLFYAEYNNSGPGADTSRRVAWPGYHVLGAGADAGNFTVTSMVLGDFWLPQTGVPFTSGFLTSDPPIS >KN539679.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539679.1:73038:73584:1 gene:KN539679.1_FG006 transcript:KN539679.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISYFLVAMLLCNGFGFIVFAQVVGGGSFSGIPSFVGIDGGSNVIGVGKRLTPTGPNPVYNEFQPAPPPPPPSPPNGGNVIGNGKRLTPTCPDPIHNEFQPPPPPPSPPNGGKVIGDGKRLTPTCPDPVHNEFQPPPPSPPNGMIA >KN545488.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545488.1:68:799:1 gene:KN545488.1_FG001 transcript:KN545488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYHRRIVGGMHCFRLADDDDGSRKKKERWSWWHPDESTRISWSDHPSRLPFDTITDQIEAYAVHPKGRTFFVSVRKLFVRVPGGVDDEGTFSYSVESGNEYCLVEWLEPEGSRDEEKCDECVLRLTKMRVAYDGDGELTVTAHRLSGCYKVSRSEKYRRHMAAFWM >KN543944.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543944.1:1304:3674:1 gene:KN543944.1_FG001 transcript:KN543944.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDPLTGDRRAVDVPALFHRWDMAVYHGSVRCVDGDGCYSNPFEVAVVGTDTSGTVAFICVYSSKTGNWGNVISSPISPGDYMSFSIILDGDFLYWLLGNHGCPILQFDLVKQTATLVNAPPDLRTNSYGGFHIAPAEDGGGLVILAVTHFSLNVWKGKTNRDGIAGWVLEKTIELDMLLSFGTGPETWAPMILCFAEEHDVVFLWTHVGFFMVNMQSMQLKNIPQILHGGLYYPFSSFYTKEAAELLPPCDMSKKPKVPFAGALPDIEEYGSNIGEKLVATNSGPRHKKKINPKQTFYVGSLQVEIDPN >AMDW01014936.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014936.1:19:255:-1 gene:AMDW01014936.1_FG001 transcript:AMDW01014936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EADEKSPFGRLTAEEFYARHGVLHSTSTFVNPRGLRIFTQRWVPAGGDAPLLGAIAVVHGFTGESSWTVQLTAVHLAKA >AMDW01036209.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036209.1:63:311:-1 gene:AMDW01036209.1_FG001 transcript:AMDW01036209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVRFDEMKGPFTELGKKCKAASVTECCDAFKEVACPHNTLLNDLDNGCGDDMFYFIHTYGRLPPGTIFKKCVEGPYGMKC >KN543805.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543805.1:335:1834:1 gene:KN543805.1_FG001 transcript:KN543805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKLLNQALQKARSLVLLLATLAATITYQAVLDPPGGYWQVDKDGHKAGDPILLTINARRYKTFFYFNSTAFVASLLAIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSINVIAIAGAVLVYVVIHIVFFTLDHNDGSTLGEDNALLEKRRKRLLLFAILCATITYQAGLTPPSGCWQDDDKQHGYHAGHPVLFSNHPRRYKAFFYCNTTSFMSSIALIILLVNPNLYRPAIHSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIYIFVLLFIFLVLLLVAFVVHQKSQGTQNRRTNEAEVPNTNDIKRKQYTKRKNLMLLGILAASVTYQAGLHPPGGVWQSNDDAGHAAGDPVLHDKQKLRYHAFFYSNSTSFMASIIVIILLLPESLKLNVNEWLLKAMNTTVVLDMIGLLVAYGTGSSRDWDTSGYVIAMAIFVLGYIAIHAMLSKLSQVANHRVASKDPESQVLGNGLHQVGGICVGLHPSINAVQ >AMDW01007000.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007000.1:2:158:1 gene:AMDW01007000.1_FG001 transcript:AMDW01007000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ECAAELTRAVDLYNPQLVNMVRGLNRAIGADVFVTANTNRMNFDYISNPQNY >KN544553.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544553.1:2607:3099:1 gene:KN544553.1_FG001 transcript:KN544553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVATARTHAWQLLLLVAVVVFCFHCHLAAHHGGKNVRQPPSSVVVVVGSVHSGSDATKAAVSGAPVAVRCHDGNGRDVFRQQALTDRRGEFRVHLPPEAKSRLASVTSCSVKLLASNAARPCDDAAA >KN541514.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541514.1:13805:14077:-1 gene:KN541514.1_FG001 transcript:KN541514.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVVLNEAAARVFRVELPWSKACYTHVGVEVALDFFKASHAACVHDLDAYINHLLDGGAAASATTTTLESWRWQMAAIRAGEWLQTLGI >KN541514.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541514.1:23160:26834:1 gene:KN541514.1_FG002 transcript:KN541514.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPRRHIRPLLVGTIACTQLLNISTNETAMNYNPNDQIRVPAAVLRTAVTKSDSVGRLPPSTDMAYFHFLHFANFEQQPRQFDIYYGNAKWEYRNEPVQLNPIHNGTTPSYSPSGAHSLSSISLVATNDSLLPPMLNAIEIYYSIQHDGTSTTPADDVDAIMAIKTQYQVKKNWTGDPCLPKEFIWTGLECKRDGTGFKIISLNLSHNNLSGSVPISLTYLQSLILLDLSGNHFDGTLPEALCTKKSLILRYDTNNGDPCNGMKSPKKRNISVRTLTVALVTPVVAVLLVSAVLILCFCKKKRKQNVTQGLVQQYSPCSIQPTGTPDSGSHVDLKDHIQMADDHEFTYEELERITNNFSDCIGEGGFGPVYRGQLQGSVQVAVKKSSRASLHGQGIREFLAE >KN543310.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543310.1:4679:6374:1 gene:KN543310.1_FG001 transcript:KN543310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGINNVEITNETAGPSNLERSRTERRRQNNPADDPTKQLFDDKISLKKKLKMLNRIATVKDDGTVVVDVPSSLETSTTDGVAYDGYSDVTVEEPLDGADIPVRPPMQIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGQYILPALD >AMDW01036222.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036222.1:2:524:1 gene:AMDW01036222.1_FG001 transcript:AMDW01036222.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLV >AMDW01029883.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029883.1:58:358:1 gene:AMDW01029883.1_FG001 transcript:AMDW01029883.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAM >KN538805.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538805.1:110511:113742:-1 gene:KN538805.1_FG001 transcript:KN538805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSSEESDVSDSDIGVHEEKTYNQLRAGKVKVKHGNNTFRCPFCPGKKKQDYSSKDLLQHASGVGAASKRKAKAKATHLALARYLKVDLAGSLESSPQLATVECKPPGSEEKYVWPWMGILVNLPTELKGKGFVGESEERLRAQFSRFKPLQVTILWNSTDDADYAIVKFAENWNGMKDALAFEKHFNVERYGKADWNKRNCRRDDLYGWVARSDDYNSLEPTGKYLRKNGELKGVRDLEHEGQRKMGRHVGYYTRQIEEKNKYLEELKLMNNQNGLKLERMMEEKDQLFEEHNRNIIELQQDACKDSKRIIDENLKLYEELQAKKHEIDRRCKELNYLATNTNIDRGKLVAEKEKNAKENELLNLANLKQKKADEELLRLVEKHKQEKEEALRKQVELELELDSRQKLELEKEQLRGKIEVMKMMGSEEDGTLKELDELRTKLEEKDDDMESLDSLNQALIIKNQRTIDELKEAKKELINGLEKMAGVRSIIGVKRMGELDQKAFYNACKNKMPNDKLKFALVCSKWEDELTKPEWHPFKVIETAGQTKEIIKEDDGKLQALRAQYGDEACNVVVKALVEMNEYNPSGRYPVPELWNFKENRRAPMPEAASYLLKQWKTHKKRNT >KN538805.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538805.1:90926:92840:1 gene:KN538805.1_FG002 transcript:KN538805.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRCEKAQPQRNPSSKLQIQEREGKGRGRSTEASHLRGAPWPPLPLSRRMLPPPPPPNKKKKTSGEKEEKIYLGPHGAPPSQTKQQDINIVGRKQRFRNKLKEADSKFTGNAQENKVESLRELMGARTDSKGVPKSSPRDWLDPHCHESEFDRKPH >KN538805.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538805.1:40386:42692:1 gene:KN538805.1_FG003 transcript:KN538805.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPPLLIGVVLAFLLLFVLVNVKNSCRSWSPPSEKKKKKKKRLMFHLIQGRNEEFSIASIPNDYSVNSLLLTKPQDMFAAGSETSSTTLEWALTELVRNPHIMQKAQSEVREIFRGENKLTEEMMDELSYLRLVIRETLRLHLPVPFLLPRQCREPCSVMGYDIPVGTKVLVNAWAIARDNRYWDDPEVFNPERFENNRVDFKGIDFEFIPFGAGRRICPGIALGLANIELMLASLLYHFDWVLLDRDRNDEIDLSETFGITAKRKLKLMVYATQRIPCLG >KN538805.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538805.1:170494:171787:1 gene:KN538805.1_FG004 transcript:KN538805.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIQQKRSTASGRCHGKPISEKGRPSVKLEDLPEDVLCIIVSKLPLKEVSRTSVLSSSWRYIWSICRPKLSFSGFCGFYDDPHEREQYSQKFAEKVNAVLRKYDGKLIEEFDVKIQFDAVLSDHLNNWLTFAMLSRTKNLAFDLEPDTPYSWGDYHTFPFHLLDRESISRLQRIQLSFLSFKPPPDFRGFPNLRKLDLNLVQLNREDLEVMLHGCHNLEWLSLVRCYLNGKLELCNPLSRLAHLAVLQCGATMVEIHVPKLANFKYHGDFVSITINPASKLENAYIWFFKATFEDAISALLNGIPSIQNLTLHIYLLRIEAQMPSNTRIFPHLRHLQLVMNIQLEYANKVPNVVSSLMRAAPFLQKLEVH >KN538805.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538805.1:9258:10741:1 gene:KN538805.1_FG005 transcript:KN538805.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSLTYGPLMLLRLGAVPTLVVSSAEAAAEVMRAHDAAFAGRHLSATIDILSCGGKDIIFGPYTERWRELRKVCALELFNHRRVLSFRPVREDEVERLLRSVSAASAEGGAACFNLSERICRMTNDSVVRAAFGARAATRDMARCQRNIYRIAESIIRDRDGAPPPERDEEDLLSVLLRLQRSGGLKFALTTEIISTVIFDIFSAGSETSSTTLDWTMSELMKNPRILRKAQSEVRETFKGQHKLTEDDVAKLSYLQLVIKESLRLHPPAPLLIPRECRETCQVMGYDVPKGTKVFVNVWKIGREGEYWGDGDIFRPERFENSTVDFRGADFEFIPFGAERRMCPGIALGLANMELALASLLYHFDWELPDGIKSEELDMTEVFGITVRRKSKLWLHAIPRVPYISTYRLINL >KN538805.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538805.1:162217:164708:-1 gene:KN538805.1_FG006 transcript:KN538805.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAAAASFPNWVMLERFVFRRDDDRSFPDDTRATVLRASGSGSHGTPFTIAFRIADPPAISRLYVRWPQGPDPEEMVACHLVATHRNLVLVCFCYIVESPAGPACPQDYFIFTASGSGDGDVDHHPVPSPLLKALPPCTYHDEGDGNDLSMRYPLEFRSVGILCRGEEFAVAELQESCDIFYWTTDAVIAFQDHLCWVDYDRGMLLCDVLRTSPRIAFIRFPPDSSIIPTGRRSRRNFSQVYRGVSVTAAATGAGGAALKFADVSRHDGKLLGSLEQGRGGYTITCHTLRSTLGAAAIDDEWSWSKDFAIASDEILRSFEGAPELEPREVLLFPTVSMDTPSVMHFLTCDYDRVIRKMSVVTIDVASKTVLSVAPYVNGEEDLSGEDADMCLEEEEPGMSVLN >KN538805.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538805.1:126046:126798:1 gene:KN538805.1_FG007 transcript:KN538805.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQFHDLKHCNPGSDARVTRSSGVSQVAFVLAAIALISLVSPSSVECTVFSSTLPAHLRALGSFAMSKKALFVLSNAIFLFLAADYYRCFFSLSPSTSDFTACGHTGVGDKQEQHHHQVGVEPSSATESCGPDHSEAPYRDNDDASEDCSHGERMDGEGSRRNIVRTPDDEMPSGEQKAHGDIAMPSQPEFFRLDEDDVILESAVVKEPSCGTTGQELDKLGIDELNKKFEEFIKSRRTKWEKEEASLS >KN538805.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538805.1:72282:78210:1 gene:KN538805.1_FG008 transcript:KN538805.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTLPLLGAAVVLAAFLLFFLVKNNRCCCGALRETEQCNRSVRAIMDDIIHERAASKDGGDGEDDLLGVLLRLQKNGGVQCPLTTDMIATVIMEIFSAGSETASTTLEWAMSELVRNPQVMDKAQTEVRKIFEGQDKLTEDDMSRLSYLHLVTRETLRLHAPAPFLLPRECREQCNVMGYDIAEGTRVLVNAWAIARDSRYWEDPEVFKPERFNTNLVDFKGNDFEYIPFGSGRRVCPGITLGLTSMELMLASLLYHFDWELPGGKRCEEIDISEAFGITEIFSAGSETASTTLEWEMSELVRKPQVMDKAQTEVRKIFEGQDKLTEDDMRRLSYLHLVIWETLRLHAPAPFLLPRECREQCNVMGYDIAEGTRVLVNAWAIARDSRYWEDPEVFKPERFNTNLVDFKGNDFEYIPFGSGRMVCPGITLGLTSMELVLASLLYHFDWELPGGKRCEEIDISEAFGITVRRKSKLVLHATPRVPCLHSESLYVGNRSLCCTLRHVFRVCINSGSMLSHVYIYTMQVIFAGLYIEILKAS >KN538805.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538805.1:50111:51895:-1 gene:KN538805.1_FG009 transcript:KN538805.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLGKFFPSVLHQEQTARGTSQYCKFNSQPLTAFTSSLYLAALVASFFVASFTRALGRKWSMFGGGVSFLAGATLNGAAQDVAMLIVGRILLGIGVAFCGLSTPIYLSEMAPPRLRGMLNIGLQLMITVGIFSANLVNYGAAKIRGGWGWRVSLGLAAAPACVIAVGSLFLPDSPSSLINRGRHEQARRVLRRIRGTDEVDDEYGDLVAAGASEIEVYSGCSARRRPWRDVVLQRRYRPQLAMAVLIPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDSLGRRKLLFQGGCQMLVSQVIIGTLIGVVFGTSGDGNISRALAVCIVVFICVYVAGFAWSWGPLGVLLPSEIFPLEVRPAGQSISVAVNMLCTFAVAEAFLPMLCHLRFGLFYFFSGWVLVMTLFVSAFLPETKGVPIEKMAVVWRSHWFWGRFYSNQDADAHVQVANSKV >AMDW01040529.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040529.1:398:1645:1 gene:AMDW01040529.1_FG001 transcript:AMDW01040529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVTIYQDSTSYDTTLDITSSITRSFNSAGYSTPNSLNSIRADVGSDRVNMLRASTGDNSRVSISSAPSSSSQSSGLDDIESLGDVYVWGEVWTEVLPSEGSSNYLCSKTDFLIPKPLESDVVLDVQQIACGSRHIGLTTRQGEVFTWGEELGGRLGHGTDTDICRPKLVESLAVSNVEYIACGEFHTCVVTASGDLYDWGDGSYNAGLLGHGTGVSHWLPKRVSGPLEGLQVLSVACGSWHSALTMSSGKLFTFGDGTFGSLGHGDRESVAYPKEVEALSGFRAMKVACGVWHSAAIVEISGQTNTNAMSRKLFTWGDGDKNRLGHGDKEAKLVPTCVQALVDHNFHQVACGHSMTVALATSGHVFTMGSSNNGQLGNPKADGKQPCMVQDKLGNELVEEISCGSNHVAALTSRSE >KN538805.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538805.1:95848:96915:-1 gene:KN538805.1_FG010 transcript:KN538805.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLNSKSDLLLAKNPIHKKSTRPSWLALWLEGEEQKGFLKETKENLALLEAQLGGKRFFAGDSIGYLDIAAGGLAHWVGVLEEVTGVSLVAGDDGDDEYPALRRWTNEYTANDAVKLCLPSRERIAAFFTPKDKYKIMARAMLRQQ >KN538805.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538805.1:117370:119021:1 gene:KN538805.1_FG011 transcript:KN538805.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRFPVARLPLPSPVLERFSSSSSPPPQSLPQLSVPFPSLEDGEIFVPESSDLAALSPKRVVTLRDIAAVSPDRVPPSPSMAERGKSVAQSRLVFSNFPEQMAPKEARSIALNRDPPSSPALLVLSSKDCPLYSAVLKNDAQPPAAQLVQSHPPSPSKILQSIAPCFDGPKCDSQLLPAGRRKLQERLNPPLLGQVPRQQGQIRGKGSNQALQHRVRFPDHTEQLEVKAWQVVKPKHWWRKCDVTNPTVFKPANNLQEEHSRKLRYLNHIRELSYCMVAGTGEVERLHVLYTAHSVVAWTGEGQRVDLNTFADDVHSAYRIHRNDIQVTKYHPEDFFLTFTNHGDREAVLQEPRLVTRSGHEYYFRPWDEKKNAEAANIRFRVRLCIEGVPMHAQTEDSVAKLTGPRCSVHYIEEHLSGHHPRRLGPRPGCAALQGLPMAIRGGRQCSKGTDGLRAA >KN538805.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538805.1:132005:138685:-1 gene:KN538805.1_FG012 transcript:KN538805.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAVANGGAADDVAAPDKDNDIKEKAGKGNEVAVENKNAEEQNIGGSENGTKGPSDGDVEMAEVETAKEGDVKQLDTEDAKKDGAQDADANEEKNTKDTEGEDVKMAEAEAEAGNADVKDTAEKEDKDENTNTEKQELEDAKESGSEKQEELKEQDKSGLAEHEEKKAEEESGAEKQGEEEAEEKGSADNDNEEGDKNANISDEHLKKDGGKEGNKDEAEEEEVSEADKKVEENKEETPKNKKARSARDRSQGKDKKQDGSKSREAKSLLNTASPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHNVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPTPKANIRKGSNSRKRKRGSTPKSSSKKFEDDDDITPSKKRNKALEYDTDEDEDDADPMKSDSEEDDYDSEKEKAKKKSSDAKDTASKKKAATGSSHKTGTPRTVSKSSSKTPSSKVSKEKESPEDSAKVFSRKKKSVTPKRPSSSEKEIKDKKSSGKKVTKGKGESAEVGLPSKDELRKTITEILKKVDFNTATFSDILKKLEDRYKIDLTPRKSAIKIMIQEELTRLSKEDDDEDEDEDGNEDAEKKKPQHQAKEVVA >KN538805.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538805.1:156388:159238:-1 gene:KN538805.1_FG013 transcript:KN538805.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MKVFRLANLENLGLKEQLLTALRDQPDPDAALRMLNAALARDDFAPGPEVYEEIIRKLGAAGALDLMKVLVAEMRREGHQVKLGVVHSFLDSYEGQQLFDDAVDLILNQLQPLFGIQADTVVYNHLLNVLVEGSKMKLLESVYSEMGARGIKPDAVTFNTLMKALCRAHQVRTAVLMLEEMSSRGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSATKVTVNVLINGYCKLGRVEDALGYIQQEIADGFEPDQITYNTFVNGLCQNDHVGHALKVMDVMVQEGHDPDVFTYNIVVNCLCKNGQLEEAKGILNQMVDRGCLPDITTFNTLIAALCTGNRLEEALDLARQVTVKGVSPDVYTFNILINALCKVGDPHLALRLFEEMKSSGCTPDEVTYNTLIDNLCSLGKLGKALDLLKDMESTGCPRSTITYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAITFNTLIDGLCKDKKIDDAFELINQMISEGLQPNNITYNSILTHYCKQGDIKKAADILETMTANGFEVDVVTYGTLINGLCKAGRTQVALKVLRGMRIKGMRPTPKAYNPVLQSLFRRNNIRDALSLFREMAEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFMLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIMEKVDLRESDVSAIRGYLKIRKFYDALATFGRFLEINNPQWSYR >KN538805.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538805.1:93783:94549:-1 gene:KN538805.1_FG014 transcript:KN538805.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALSSLLAVGGAAAFAVQPSKKTGSGLFRNRVITVRRPRISANLGGDGEVKPAGNKKKFITREEEPEQYWQAAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >KN538805.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538805.1:58289:58867:-1 gene:KN538805.1_FG015 transcript:KN538805.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAADVAEDTASVYSGKLTLYVFLTCGVAATGGLIIGYDIGISEKLIINLLFDGKHRLVIAMDNSS >KN538805.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538805.1:107489:108207:-1 gene:KN538805.1_FG016 transcript:KN538805.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDKGSLLAGFATFLQRIRGGGGGEDYQLPINHKHPDHKADILMYGDMVEAAYNYKAFAADEKELLSASHSLEQYLHLFRRLCDDGQAFTAPHGAHAGGEVAPERKNKWPEMEEEADGYKRLPLSELDKHVSQSSPRL >KN538805.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538805.1:61120:65808:-1 gene:KN538805.1_FG017 transcript:KN538805.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAACKKTNLISPRRSPRLKNIHVIYGEHSETNYPTLGPVKTEVIDLEEIASPSNPEFNDDSVGDEDFQNVSLKDLRAQCKAKNRRALKIDSERPDFKNQRQCGKRNLEDEVPKEEVDLDEPIIAFRQKRQKTSPTKSNRTMGKPISLNVVKLQDTTLRREETEPIKLPPLEVTSHDSMSTAEKMERSAADVKHSTIAAGNTEEIVGENILYAEMENTPLSTGAVISGRSPDIFCEIKTEDEDIYSDEQVGVSSPGKDSFQDSFAELHREPIEYDGFQQHSGVIPQPIELKDVSHDSCELANSIKAYCLDDIILQNKTNDSLSSLDITDEMSNGHKTSGNITNLDEDKSSVVNDYLVCSVNMSCEDHIDIDEYWYPRDLHGSTLESTKTIESSTDQCNAEVGSPSVVIQSDLCGSAESNFTSLAEVVQMKADGQFDSLVQHSVGTKDVLPIDVGHATNDCTFAFNKTLDSVKAANFTTQDGRLESIVYDALNNHAQRKSTETETPVGVSGAAIISSPFVSEGTDREPTGSKAPHGGQLLLPCVTEWLSKDTDQLKVTVDDDIYKTNSDQGSREQFGLQPQLLQSCSDLDKVCVTSESSSPEETQEMPAGSLDSTAASLDTDVQSEKLQPFIDEGALEEHAPKKLLSKRKIMSPTSQEKLCSALTGIDLCGVQRLKRKVLLEDCGKTRRPNGRSSLSPTSKGILKATESPSPQKTTCTCMKAASVILDAEKAVEFSQRQMHDIENIASKLMRSLNHMRSIVDGNLLSESHSLLPTFNTAEIRAASEDALEVERTTRKWLTIMNKDCNRFCKILVERVTSLLLVAIRDIDDNNADNIASAILFSCPICLDAKGQGTNPSFKENLQWRYNFYLTV >AMDW01039181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039181.1:10:796:-1 gene:AMDW01039181.1_FG001 transcript:AMDW01039181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRDNMIFLVFSVFVVLLGEFCSSCSCAQVYVVYMGKGLQGSTENRHDMLRLHHQMLTAVHDGSMEKAEASHVYTYSNGFQGFAAKLNKQQAMKLANMPGVISVFPNTKRSLHTTHSWDFMGLSVDAAAELPELSSKNQENVIIGFIDT >KN543039.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543039.1:198:4328:-1 gene:KN543039.1_FG001 transcript:KN543039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVESSPAAAAATVDSSTSVLEDDEKISECKNGDVLDTTKAIKQEEDHLDVFVEEKVDGFVDASSSLNVEPAANNSDLSPLTMPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADKKSQESSGSMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAAWAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEVPYALKLICFACIGLTSAIQLRKNCNHPDLLEFAYDSSGLYPPVEKLLEQCGKFQLLNRLLSLLLAWKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQ >KN543039.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543039.1:7640:10532:1 gene:KN543039.1_FG002 transcript:KN543039.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPPTARLRPSQAACSASSSSFPTSICGLLSLGGASRVSSISFRRRPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTVLILQLITIIYHCLCLSLLERLKRYGAAGVLSYGLLNTVYYVTTFLLVWFYFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKILRAGGALALAPFVDRGLRWFTVRFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >KN538716.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538716.1:262733:263230:-1 gene:KN538716.1_FG049 transcript:KN538716.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGRGPLSLVSQLGPSRFSYCPLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPTNDTEIGLETCFPWPPPPSVTVTVPDMELHFDGGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHILYDIANSLLSFVPAPCNIV >KN538716.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538716.1:208888:209799:1 gene:KN538716.1_FG050 transcript:KN538716.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRRPAAASSQSQRRSKRPRSSPTQHSPEQHKRPPRVWNPQDEVTILRALISYRAKNGALPGSSQDTGKLHNMIRGQLSVKASTTQLSDKVRRLKHKYNLILTRVTKSGRDPDLPTEHDREVYELSKKVWGTKSGGAGAGSGGGGGGGGGGGRVYENAEVVQSDEEQGSRDDSDEDMESGWDDRDHRNRRLKAIVVANGNGNAVTGGRSVHGNGSGKGDVSDKGKDMYPYLWEAVEELSKEHPSGTAFRKAFGVLDGSRARAMEEKLNRFRLSEIRQQLRRMDLMKETIKMVLDALEGSDL >KN538716.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538716.1:134628:135360:-1 gene:KN538716.1_FG053 transcript:KN538716.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALSGAPLPLLLLLLRAMDMETAQERETRAWRWPLDTMACGLGDTGRFLFEWVPQLRCFGLGVVGVGAAGDPIPHGHGHTPHGRELELELFFPKCMESPASEAVVTGLPAHQDTMVMYDEFDELLQSFRDGNEEQQLVGFDSSCFLNEKNSDVTCFL >KN538716.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538716.1:203443:203940:1 gene:KN538716.1_FG057 transcript:KN538716.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTKVDDEQWLAYWILYSFITLLEMVAEPVLYWIPVWYPVKVLFVAWLVLPQFKGASFIYEKLVREQLRKYRARGGAAATVTAGEDHKVHIAKTSRDLNMKIKQRVMCGVLF >KN538716.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538716.1:240116:244601:1 gene:KN538716.1_FG059 transcript:KN538716.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDSAEGASQAAALRVVNAYLAPAVSLRPPLPSAKDIVAAFRHLFECLDFPLHGAFEDDLLFVLRVLRCPFKLTRSALKAPGTPHSWPPLLSVLYWLTLLVNSSESGGAYSLFISGDDDSVASLDEEYSSKARAHAQAAVEASQALEKEALDLESKRTKLTSGPSRLEALQAEKEALTADVEKFEAVVKSWTVKIQEKEESSVHLEKELEAKLMDQQRIAAENEELMKKVDAQVVNVRDVDRMQREIQSVERDNVKLENGNATLEEKGWELEAAVVGKLEEIEGLVEQCNQALRKLKPGIDFQYMLNTKASSPVELLGTSYKTIMKPALNSLADEARRISILKHDESVELEKQSQRNAKILSEKKNHISVCQTKTDEMVARLDSLDVEIGNHVSRCKADARLMKDELEKKDHHLSTVEKESEEFLKISEKKLEDAKRETDEEIQMCARELLKLIDSVTEYKEFMETSISGMRKDLYETVDDISSLASKAASTRQTSAQFVM >KN538716.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538716.1:268580:269605:1 gene:KN538716.1_FG060 transcript:KN538716.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGSDLIWTQCAPCVLCADQPTPYFRPARSATYRLVPCRSPLCAALPYPACFQRSVCVYQYYYGDEASTAGVLAGETFTFGAANSSKVMVPDVAFGCGNINSGQLANSSGMVGLGRGPLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFATLNGTNASSSGSPVQSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPTNDTEIGLETCFPWPPPPSVTVTVPDMELHFDGGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHILYDIANSLLSFVPAPCNIV >KN538716.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538716.1:316854:318425:-1 gene:KN538716.1_FG061 transcript:KN538716.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding VKFMGNAGRVVINGKAYQLKQLHWHTPSEHTVNGRRYDMELHLVHDDGNSNTAVIGNLYQIGNPDPFLLMLEPFIRRIADTKDKSEPIGVVDPQLAKSPDAVYYRYMGSLTTPPCTEGVIWTVFKRVFLLAQTVAQYQLDLLREAVADGYENNARPLQKVNNRNISIFIPDPKKD >KN538716.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538716.1:233447:237554:1 gene:KN538716.1_FG064 transcript:KN538716.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCIGGRMVKWVKRIIVTTAESDNYYHYKDNRVLPSHVDAELANADAWWYKPEYIINELNVNSVITTPGHDEILPINGITTQRGYTMKGYAYSGGGKRITRVEVTLDGGETWLVCALDLPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLEFDAIHSDKAKALLDTYRVGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLQEHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFIVF >KN538716.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538716.1:188315:188743:1 gene:KN538716.1_FG065 transcript:KN538716.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRRGLQGMRVLRKASEQSAQLTSYFELCRSLGVLNAAEFPAVERVPDDDIRDLEKLIMSHVVEDRGKEKVSEEKALVAVEDTGVASRTVVTRDWVVFDDDDDVDGVAGARQGHFGHYVNPFLGAPWEAVTGSGNLLD >KN538716.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538716.1:252296:255919:-1 gene:KN538716.1_FG066 transcript:KN538716.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPRQFGHLEPGSAPLEVEPPVRDARDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAALHFVRNHGAVPRGDWSTWTVEVTGLVKRPMRLTMDELVNGFPAVEVPVTLACSGNRRKEQNMVQQTVGFNFGAAAVSTSVWRGARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCTGGRMVKWVKRIIVTTAESDNYYHYKDNRVFPSHVDAELANADAWWYKPEYIINELNVNSVITTPGHDEILPINGITTQRGYTMKGYAYSGGGKRITRVEVTLDGGETWLVCALDLPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRVGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFIVF >KN538716.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538716.1:194221:200701:-1 gene:KN538716.1_FG068 transcript:KN538716.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] MRGGLLVLLLLAAAVAGGGGHEGSSSCPFHAHDEPHDDHHHGHGHSCGGGGDDSHHVHHHHHGHGHGHGHDGEIQRRLLPEELAEEADLELDAFGHHHHHHEHHHHDHHHGHGDFQAELSPLVQGKPSKTMVDCLAIFGLEITVQQGGGHSHTHDHHSHNHSHEHSHAHSLEDLSIGLSVLFGIVLFFIVEKIVRYVEDNSRKGAHGMGHHHHHHKRHDSSDKAKLNHTEKDHEDKGIQAEKEPLHDGAIEKTDGVTRADSKSAIRKRGFSSGSNSSDREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLVE >KN542026.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542026.1:3136:5791:1 gene:KN542026.1_FG001 transcript:KN542026.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAFVALLFLLLIGHCLGGKVGICYGRNADDLPASDKVVQLIQQQSLKYVRIYDTNIDVIKTFANTGVELMVGVPNSDLLPFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQIDSIFFALMALNFKTLKIMVTETGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDIMTGGNITNANGTWCVASANASETDLQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANDVACDFGGTGVRTTKDPSYDTCVYMAAGYGKAFSYLCSIFLKHFFTNSFEK >KN542026.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542026.1:19246:20360:-1 gene:KN542026.1_FG002 transcript:KN542026.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGEGPGYPIYHSLYDDFVWMEKFGDPGFSRHAAAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVRGTAISCSPLHNAIRALKTAATKVNGERKAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >KN540774.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540774.1:33950:34738:1 gene:KN540774.1_FG001 transcript:KN540774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAISNNVTVRGLKVQNSPEFHFRFDNCNGVRVDGLSISSPALSPNTDGIHVENTQDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKAGTKACVANVTVRNAVIRHSDNGVRIKTWQGGSGSVSAVAFENVRMDAVRNPIIIDQYYCLTKSCENETTAVFVNGVSYSGIRGTYDVRGPPIHFGCSDAVPCTNITLSDVELLPASGDTVDEPFCWNVYGNAATPTVPPVSCLSAGFPNYTEKKDLQCY >KN540774.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540774.1:971:4671:-1 gene:KN540774.1_FG002 transcript:KN540774.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRADDDEEAVLAPTLSCADLVRGDGSRAAGGGDARGGGGMAGSNPLLSGSGAGGRAPTPGTKDSSEPQETNCKSFHIATLFSAYGCFMYSTQHRHVTMLRPEIDESLVRLSDSLINHGADQKGAGAGLNQLARFMQIVYQVLGGAVVLTDVVFWALIVPFMYSSHFSLNAVSDSGRTRSLTLQHHGLHYGISALQYSIWRATPCIGPL >KN540774.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540774.1:20544:23597:-1 gene:KN540774.1_FG003 transcript:KN540774.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAPLHLTNPSSTPLLSLPSLRPIPLGKGIPIAVAAAAHAQAGLGRTEPVLGFCIADGPETAFDVAQQGGYTVTHSLRRFSLDPSLLVVGAARENGVIEAAEAAPPPRPVPPRSSRCRPNSLLPRSLIIYFGVLSNVFGYWILSLEKMRTAIPHTPNDEEMVWMTSYMIYFELAYTTKVTEKCDVYSFGVVVLELLMGSHPRDFVSSISCPSKKSTPMKDLLDTRLPPPEGEVASEIFGLITVAIQCLHPNPSTRPTMPSAIHLFSKFARAVDLDYLHADIMEFCLL >KN540774.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540774.1:13850:19445:-1 gene:KN540774.1_FG004 transcript:KN540774.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFPTLSPPVSSSVASSPPPLLAGKVRGDGEILSQVVSSQVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAHALVSSSIDVRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSSDDIPISSATKNDRQIRANIAAFQLLKVVSGGVASNQYVRTHLNQIAEKNGLLLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPLAVDELDDLHVT >KN540774.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540774.1:5976:8028:-1 gene:KN540774.1_FG005 transcript:KN540774.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEVMHQVVPLLEASFHRRCSVKGVDEVSPPVEEMSPEAASEAAIEVPELMVKAPVESLQFSPNIRSGSFADIGPRRYMEDEHIRIDDLSGHLGSLLMCPAPNAFYGVFDGHGGPDAAAYMKRHAIRLFFEDSEFPQALEEDESFYESVEKSIHNAFLSADLALADDLAISRSSGTTALAALIFGRQLLVANAGDCRAVLCRKGVAVEMSRDHRPTYDAEHERITECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSRSPLIAEPEFQQTTLTEDDEFLIIGCDGIWDVMSSQHAVTIVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVICFGSELGGGSPSSEQAPIRRVRCCKSLSSEALCNLKKWLEPNE >KN540774.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540774.1:25086:27433:1 gene:KN540774.1_FG006 transcript:KN540774.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGLVDPSSGFPETSTSGATDRLTDDISEMSIRDKEVEAVVVSGNSMDIGHTIVTTVGGRNGQPKQTISYIAERAVGRGSFGVVFQAKCLETGERVAVKKVLQDARYKNRELQMMQVLDHPNVACLKHYFCSTTAKEELYLNLVLEYVPETVHRVIRHYNKMSQRMPLIYVKLYMYQICRALAYIHNCVGVCHRDIKPQNILVNPHNHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKFPPFRLAHAGDLLYFIQVLGTPTREEIKHMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPHLRCSALEVLIHPFFDELRDPNARLPNGRTLPPLFNFKPRELKGASMEFLVKLVPQHAKKQCAFLGL >KN540833.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540833.1:17679:20180:1 gene:KN540833.1_FG001 transcript:KN540833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSAKVVLFIGASFSFFLITHAQQQATRCRPQERDALLSFKQGITNDSVGLLSSWRRGHGDCCSWAGITCSSKTGHVVKLDVNSFLTDDSPMVGQISPSLLSLNYLQYLDLSSNLLAGPNGSVPEFLGSMNSLIHLDLSYIPFSGTLPPLLSNLTNLEYLDLSFTSFSGTLPPQLGNLSNLRYLDVSEMQNVVYSTDLSWLSRLHLLEYIDMSNTILSKITNLPAVLNKIPTLKHVLLLNCSIPSANQSITHLNLTQLEELDLSLNYFGHPISSCWFWKVTSIKSLRLDETYLHGPFPDELGEMVSLQHLDFCFNGNAATMTVDLNNLCDLESIYLDKSLSSGNITDLMDKLQCSSKLYSLSSRSNNMIGMLPSSIEHFTSLNHIDLTNNSVSGVMPRGFQNMANLEYLHLSSNRLSGQMPLLPTSLKILHAQMNFLSGHLPLEFRAPNLENLIISSNYITGQVPGSICESENMKHLDLSNNLFEGEVPHCRHMRNLRFLLLSNNSFSGKFPQWIQSFSSLVFLDLSWNMFYGSLPRWIGDLVTLRILHLGHNMFNGDIPVNITHLTQLQYLNLADNNISGLIPLSLSHFNEMTLKAVGDSISTLAFDESFDTFSLGMKHQILKYGSHGVVDMVGIDLSLNRITGGIPEEITSLDRLSNLNLSWNRLSGKIPENIGSMKSIESLDLSRNYLCGEVPSSLTDLTYLSYLDLSYNNLTGKVPSGRQLDTLYLENPSMYNGNIGLCGPPLQRNCSSNGYAQGHGDHKGQEKDSNSMFFYYGLASGFVVGYWVVFCALLFHKSWRVTYFCLVDKVYDKLYVYVVITWTRWMNTTN >AMDW01032983.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032983.1:21:164:-1 gene:AMDW01032983.1_FG001 transcript:AMDW01032983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMTKVFVLLFLVAACYLPAHAAAAECDCATDTAGRDKAQALRLK >KN541636.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541636.1:8801:9838:-1 gene:KN541636.1_FG001 transcript:KN541636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGSRSAVITSALVDRVQGVLAAACMEEDSTSSLRQLPCMIFQRETVAYSECDPRWLTEPRAVSIGPYTRHRYHGVARLETMQNHKWLCTGRLIYARDNTSDSAGTTIRRCLETIEGLERRIRAAYFDELRLSSDELILTVLLDACFLIFILMLHADPSSREQFGFSDYLNEELGDHQILSMVKHDLLLLGNQIPFFVIRALCSEIMPDTPHESLVSGALQLFSTIWHCKPAANSMAVITCDKILHLLHLFHLSVVPGSDQRDEQPRSLQRPSQGTRVVLPPATVLEKAGIRFGPRDNACSFMDVRFSNGALLIPPLQVDGNIGVVLGSLIALSSFTVVHLTM >KN541636.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541636.1:17511:19469:1 gene:KN541636.1_FG002 transcript:KN541636.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTERRYQYCCACVERTPRELERAAQAFGNRRHVGAENGRHAPDRAEVGRSFVQSVQDQLATSRRNLDTIGHEPCTIFRVPPEILATDKGAYKPMVVGIGPYFHDEKLGSKLKQLQDHKWRCADKLISNSCCARGHTIITRENLLLNCLEEMQNLEPRIRGSYSEKIFMSSEELALMMMLDGCFILHLLLKHDTSAAEQRVQGDNVVDDDDDDDWTQVIGRCWIWNLVKYDLLLLQNQIPFFVILALHRLLRSVNNGQEWEPQRLISGGLQLFSTLYPLRKDAKFTINCDQVHHLLHLVYLSILPPNNSPDSEFADQQQNLSLEALPFWIPSVKELMESGVKFRKKTNAQVFMDITFQRGVLEIPELKIFDHSNFVLRNLIAFEQCYPDTRFHITSYAAFMGCLLRTQEDARMLHGPNINVSSVNEINYSDNFVNLDLEDSMDGQDGGSL >KN541636.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541636.1:13694:14038:-1 gene:KN541636.1_FG003 transcript:KN541636.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPHVVDTAVEVFTGWGEEADGVGKEEGRPAAVGRGRESRLMDYANRRRHGGDRKSNGGVGEAGSGGDGAAQLGSPKATRHVGSSAHCRGHRGPPQPQIPSRAAKDTKGHAPP >KN541767.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541767.1:5784:6477:1 gene:KN541767.1_FG001 transcript:KN541767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGRTSSTRGGSNDELVDCSVDGTSRWRGTSSSGNGSRSSQGRSNPPPIRYREGPFDYYPAVLCDCRRKAARWISWSEDNPSRRYLTCARARDGGCSFWSWYEPETTPYLRQVLNDLHNVVRGLKEKSVLRASLVSARAQIDELTAVHNGDVADWTRKLKEKDDLACELRARVVQLEEGRKLLLLIVAGLVLLIVALWLRG >KN541767.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541767.1:16488:23129:1 gene:KN541767.1_FG002 transcript:KN541767.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIIVDFIHCRQEHFARLLITTYWDSYNAIQMFLMIFMLCQYNDIKYFTSSAWIESESAEERRKVIEDGAIASNKSEITESTSKSCSSTEVQDEDAAIASIIDAAELKWEDKPSKRGQTGGRFTSGRYGHGPVEGETPPPGYVCRSCGVPGHFIQHCQQERKTPPSGYVCYRCRIPGHFIHHCPTIGDPKFDDYKKPHTLVPEVSACPIDGIPSALAPAASHFLPVLNCIANNCVVLLSGIRDYIITQSKCICGVKILADDLIPNQTLRSTISNMLATRASSITSGTGKHRSSSGSNVDPNSASQTPSAALEKQSEDHHISSAAPDAGLQVATEHVSHLEHKLTTGVDLEVKDEGNSAGILVEKIVPTADARLKDVTDSTSKPATISGTMEPKASKTDQLKKKRKKADSTKIVHPNNANYGYSIPFDPAYCNPYVSGYPWLTDPYMYGPAGMPYGGYPMGPYGVNSIGNMPLQFPSAMQGNLANTHCWETQSMIHRANEDAARPRLAAKPKEPEPANQSRSSERNQRLSSSHGTDPSYTTSRSSSDRRDHRRSIDYVEDHRSSDYAEDHRSSKRMRASSPSPTGGDRHSRARSRHSSRKLTYEDSSPTGGDRHGRARSRHSSRSLTYEDSSDDERNFKRRWGGKR >KN542663.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542663.1:5788:7260:1 gene:KN542663.1_FG001 transcript:KN542663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSRMKAMVASILFFHPGPFSIICLTCSSMGSHDDALRSWFRAFADKHLEELAFLNLHYPNDVMDLVLVFTPCLERLILWKDDALYWRDKWHDASALYTVVVPSVKVCATTIRFVGVCKEEQMVPGFLKCFPSVKILHVKGTSLCRCKNAWNYQIVSDLSLYDPFGYVISEITSA >KN542663.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542663.1:10736:11779:-1 gene:KN542663.1_FG002 transcript:KN542663.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSAMEVVSSTMKILVLCIFHTKVLDLLDAPSLERLIIWRPMLVMSPYTFMIKITRAPVLRAIGYLDAMLHVVQIGGTIIEAGTKVSPSLTIPSVETLAIKLSFGSKEQENRLLSFLKIFPNVKTLYVMSHPPCTSSCVFHDERDVVFWMKNLGSILCVRSQLTKFTFYNLHGVVLGDLPFIRAVMGTARLLKEMRLFPCDKIFFNRLHDTPAEKETILRSYLSESEVGWASDAAKLEVLPYDSVKLKYKIVS >KN539536.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539536.1:43087:47088:-1 gene:KN539536.1_FG001 transcript:KN539536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKDDFTKRYAGGKAATAAANSLNRDFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQAGTQGTRRQTLGEILMDPDGSVAARANPHALPSPTLVLANPDECSALILPSSSRKLFSSAANLRPPAYAASQLPALSCGLSAQLPALCCQAINGASCGASRRGVRPSFIRSHCATATCTTSSTDGWTNGVRETVIHVKAHHFATSLGLAPPPTSPSSGGVRARGVEWP >KN539536.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539536.1:70145:71713:-1 gene:KN539536.1_FG002 transcript:KN539536.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSSSSSLLNGLNSGVVLSLIAVLWTVVWQNLQRLQLQTLVGRHMNRHARRLAALVDPYLSVTIHEYEGGRMKRSAAYEEVKAYLSASSARDVRHLRAEGAKDADKLVLSMVDGEEVSDVVAADDNTDENRRYYRLFFLDRHRELVINTYLPNIRRQGRAVMVQNRQRKLFTNISTHNWSDVDGLVRSAWSHVVFEHPKTFDTLAMDPAKKKEIMDDLDMFKNGKDYYARVGKAWKRGYLLHGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKEAADDDDGGGKDGGAPPKPDMKKDASSKVTLSGLLNFIDGLWSACGGERLIVFTTNHVKKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLDVDSHRLFAAVGELLSEVDMTPADVAENLTPKSLDDNADTCLAALVKELEKAKENKSKGKNAHGEVKDEDEDEEDDDVEVVEKDK >KN539536.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539536.1:25883:31590:1 gene:KN539536.1_FG003 transcript:KN539536.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQFMVELLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASQPHEETVDGQLKCERWIRDDDNKSEESNAQLWLNRLIGRGNEVSADRPYPFEEGKLFALTVTAGLDGYHVNVDGRHVASFPYRTGYSLEDATGLSLNGDLDIESILAGHLPNSHPSFSPQRYLEMSEQWKAPPLPTEPVELFIGILSAANHFAERMAVRKSWMIDTRKSSNVVARFFVALNGEKEINEELKKEAEFFSDIVIVPFMDSYDLVVLKTIAIAEYGVRIVPAKYIMKCDDDTFVRIDSVLDQVKKVEREGSMYVGNINYYHRPLRSGKWSVSYEEWQEEVYPPYANGPGYVISSDIAQYIVSEFDNQTLRLFKMEDVSMGMWVEKFNSTRQPVKYSHDVKFFQSGCFDGYYTAHYQSPQQMICLWRKLQSGSAQCCNMRAFLYSGFLSHDECDHLVNLAKGRMEKSMVADNDSGKSIMSQVRTSSGTFLSKHEDDIVSGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGRHLQLKDETWSDCARSGLAGLKCY >KN539536.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539536.1:49519:53126:1 gene:KN539536.1_FG004 transcript:KN539536.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGVAEEEAQGGGGSGRPPRSRTTRPGSSPLPEEEAAVRICGTSHYVVLAADRQSLLWYLYTDSEAKRFKASKSSGDNSSLRTEAETDSRNASKSGDQNPPPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFGAQVYNTAPGLTFDPQTPREYAQGSTPSEWLHMQIGGTYERVT >KN539536.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539536.1:60786:64414:1 gene:KN539536.1_FG005 transcript:KN539536.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPATTSSSKRSKKRKQPVAPPPESDSDSEELSYDTAAADDEEGEEEAPNQMEELEEEEEEEEEQEEEKKEKKQKKEKSKEKKRKKEKGSEGGSGILTNMLFSELGVSEPTARAIREMNYTYLTQIQARSIPHLLNGKDVMGAAKTGSGKTLAFLIPAIEMLHHAHFMPRNGTGVVVVCPTRELAIQTHNVAKELMKYHSQTLGYIIGGNGRRGEADQLAKGVNLLVATPGRLLDHLQNTKGFIYRRLKCLIIDEADRLLEQNFEEDMKQIFKRLPLNRQTVLFSATQTEQVKEFAKLSFEKNEESTSKPVYVGVDDAETNATVEGLQQGYCVIDSARRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHAELLNFLQIECSDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGEKGKGEALLFLLPQELKFLIYLKAAKISLTELVFNENKVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYDSHSMKDIFDVHNLNLKDVAASFCFKNPPKVNIDLESSASKHRRKMRKVDGGRRHGISAANPYGRKGGDDKRQFARF >KN539536.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539536.1:3790:24212:1 gene:KN539536.1_FG006 transcript:KN539536.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAARLSLSFRSLVRLSRLATASRTSASTSPSPSPPLLLVLRTAQTDDGDLSDSASPSPSRSSPASPRRNTLLPPPPPPTERTTTGGAAEAAAAGARVFSELQTLAGTVTELDATGEEERSRCPHSIVLTGDEFRVKGRTVELPCGLTLGSYITVAATPRAAHADRDPKITLVREGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEETVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKKVSVDWPYPFVEDRMFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTAHPSFSPQKHLEMLPIWQAPPLPDEPVEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNAELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVKDELKKIPRGKSLYVGNMNYHHKPLRTGKWAVTYEEWPEEDYPTYANGPGYVISSDIAASIVSEFTTHKLRLFKMEDLKQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRIQSLRLHISFLRQARRTEVSAVKIQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDEETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSETSKKPPLDSSKVKESSKKGYTREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSIGKLEKFITTWKEACREHPVQQKEVLELLANYYAEKPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSSIPDTTTELMEVEPPPSKRKSKCVAKGDNGTNVGSKDPGCSVTADDVIRRITEFFESNRGVSRTDASQVRNSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGDFSEDSFSKLLKRQFPTISFDIVQDKSGGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDSLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFRSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIETHASSRHPSTASGVAICENSNLLNATDVDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKFPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRLHDLIVTVNCFIVYTFTSCRTFELCRNGSIFISLLYPFQVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTYSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNVMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSQWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPQVLIDIISSVCRVDGCIESLGLEWLCAWFISLHLSLMHHSSNNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINSCLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNGTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELRRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVLDKFWDAHYSAKARIHADVTHSGESIAVESSFMNSIRSFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKQEFLSCSSIFTPLNRARSNEPVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNNISMFPRRMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQLFPANYCFYNKTPFYMILSCKVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQITSGVASSWPPNDWKTAPDFITSHNSQFAPNQETNLNNVVPSLDLTKTECENSEDIVGPVDLEGDWITEDDFGSENTVLAERIGATGDEPHMVMSINSANLPAYLDLETGSSANSAVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEFEVLDT >KN539536.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539536.1:75466:81643:1 gene:KN539536.1_FG007 transcript:KN539536.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVLGEGVASGPASRRCGTAAPRDWAGRCRATVQRDRAGAVLRFCGTEPVPRDPTLHRGAALLFHGSALVPFIRDTRPTLSRYPTLRDRSSLRSRTSNLRLPIVPASRRRGATSPLSWQVKNVNLERFIVNCQWPIYVGGICLNEDYRVRSIMLSFITCEFHQTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNVSVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVSIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLASPRGPLTMADKNQAMECVRHEDAAGESGSVPRAGEWRFVSETR >KN539536.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539536.1:91590:93403:-1 gene:KN539536.1_FG008 transcript:KN539536.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTQPSSRTTQRTAPPLRPRRLAPPGEWNGPVLLLLLLPTTQGERNSTLVGFGLSAATGFSLAEQRTYKITLPDPPIRDRYLIGSSDGWLVTIDDKCEMHLLNPVTREQMALPPVITMEQVNPTYDESGAIVKYENQSQFWHDGVMFSSRSMGSIISPRWQQLFLTGRAFVFSETSTGKLLVVLIRNPFGQLSFARVGDDEWDYLPEYGRYQDCTYKDGLLYAVTTLGEIHAIDLSGPIAMVKVVMGKVMDIGDGDRNTYILHAPWGDVLQIWKTEEDDYIHPSEDDYDAILKNTASIEVYKSDLVEEKLVKINHLQDHVLFVGHNQTLCLRAEEFPSLKANHAYFTDDSQNWITEFKNNRRDIGVFNLEDNSRDELVSHQLWSNWPSPVWITPSLAKLNLILDM >KN539536.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539536.1:98836:99426:-1 gene:KN539536.1_FG009 transcript:KN539536.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLSPPDACATGAGRELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDQPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREALAELRRIQGMLPEVSGLKGS >KN539536.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539536.1:54293:59745:1 gene:KN539536.1_FG010 transcript:KN539536.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWELASVINFLHVFRPLLNITVEFTAEELEEAILSPNNTLDDVHMPLLKSIPPVTRMAMGRGTWITVLCRKLKYWWNWVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDIRVEQEDIRNFIDSSLKRGYDLPVFRKERIGGDSYGISYWSISNQLMCYRYDEDPVLGHRLYREIRQVEYVKDPTKKAKGKGISNAPVVSYQWETVACNFIEFEVAAEKLFSSRNRTEVSLGKKLKNNYLPEMEKIHKKKERLLKKQQREALLLDNRLTVNGFTSVRSRRERKRVTYTFGKLEPDAFSGKTDIGLDHICSVPWKSENSSEFITTSNRRVHPKRETATNGRLAGPSPLCNGFYGEYPLRSHGYQGSDWEKKPETLDRRQRKRSRRYTQDFVEAISDVDPNFDSDDDIIGEAVYDEEYLRSRKQYKARLLELDKEFQLEQVADDGNDEVEYPSSTSEDEKEPQRYKRLATCSPRGTNLRTIDGFQTCITRSKRSTRPHMKYHQYDLSGTDTELGKPGNIKVPDPDAGSDALNDMELSTTSQDQEEEGAEVNKERPPLLSPSRDNGSDGRKFLDLNEVAPVGGFDETQSRNGERRPPG >KN539536.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539536.1:32722:36997:1 gene:KN539536.1_FG011 transcript:KN539536.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLLVLFALLSVTAVVPVFLWPDKKGGASDVAVVVAAPPFNASSVTIISWKPRIFLYKGFLSDDECDHLVKLGKEKLKRSMVADNESGKRVMSEVRTSSGMFLDKQQDPVVSGIEERIAAWTLLPQENAENIQILRYENGQKYDPHFDYFQDKVNQLQGGHRYATVLTYLSTVEKGGETVFPNAEGWESQPKDDSFSDCAKKGLAGNESDNVDYAVKAVKGDSVLFFNLQPDGTPDPLSLHGSCPVIEGEKWSAPKWIHVRSYDNVSSMKQSEECSDLSENCAAWAASGECDSNAVYMIGTEDAPDSPGLVGLDLTNLQTLQQHHYHLPHKQKQLVEPQQQQQQVRPAGSSSAAALALAILLGVLVLMALVMDGGEKTGAPAIAAGRRMLAGAADAGQMRTLEDFKADDPFQDSKRRVPNGPDPIHNSCDVSDVLGNRQVRKISGPSVAARIPRHGRFRFKEGRHICGAKRWLHCKEEQNKNNIMMGSGCGCWCN >KN539536.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539536.1:406:1176:-1 gene:KN539536.1_FG012 transcript:KN539536.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHPLPSPCRRHCCLLLLLLSTLLLSSASSLEEGRVLTVGDELMGETMLLRRGSRLYRLDGTRSSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVTVEPEGIVAKPNVPERELALFNIGTAVWFFM >KN539536.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539536.1:64659:66464:-1 gene:KN539536.1_FG013 transcript:KN539536.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWFGPVNSGLVLLILTMLLRNLQNFQLMQTSYMCSLWTEVVFKHPKTFETLAMDPEKKREIIDDLDMFKNGKEQHRRVGKAWKRGYLLHGPPGTGKSTMVAAMANYLGYDVYDMELTSVHTNTDLRKLLIQTTSKSIIVIEDVDCSSNLTGRRKATGDGEDDDDDAKTTTKKVIDRGGGIGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHVEDLDPALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHETFAAVERLLPEVDLVPADVGEHLTAKNPRDDAGACLARLVNALQEAKAKKDAAERQDEDNGVVV >KN539536.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539536.1:87700:89513:-1 gene:KN539536.1_FG014 transcript:KN539536.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTQPSSRTTQRTAPPLRPRRLAPPGEWNGPVLLLLLLPTTQGERNSTLVGFGLSAATGFSLAEQRTYKITLPDPPIRDRYLIGSSDGWLVTIDDKCEMHLLNPVTREQMALPPVITMEQVNPTYDESGAIVKYENQSQFWHDGVMFSSRSMGSIISPRWQQLFLTGRAFVFSETSTGKLLVVLIRNPFGQLSFARVGDDEWDYLPEYGRYQDCTYKDGLLYAVTTLGEIHAIDLSGPIAMVKVVMGKVMDIGDGDRNTYILHAPWGDVLQIWKTEEDDYIHPSEDDYDAILKNTASIEVYKSDLVEEKLVKINHLQDHVLFVGHNQTLCLRAEEFPSLKANHAYFTDDSQNWITEFKNNRRDIGVFNLEDNSRDELVSHQLWSNWPSPVWITPSLAKLNLILDM >KN539536.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539536.1:40718:41347:1 gene:KN539536.1_FG015 transcript:KN539536.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWCSFGVASSLLLCLAMAHAAAAARAVPGGDGELHAWLGSEVGVCKSLGLWPDGKSRVY >KN541413.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541413.1:11325:14628:-1 gene:KN541413.1_FG001 transcript:KN541413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKAKSNKRQKLECIISRLPRDLIEQIFLSLPVKTLLNCIGVCKQWRSIIRDPNFVTSHLQLAPRCALLFFPRELVSSCGLYPSEAILIDEAWSQSTWDVPVIGPDDFLCGSSNGLVCLYTHTTTIKIANLATGECLHLAKPAKNLTDDHFSFYSFGFHPLTKEYKVTHFLASSRETRARAKVDSFDGIQVYTLGDEKWKYIGAPEALSLNCVKNSGVVNVDGTMYWLTEDQGASWHHAVMSFDLSKESFGRIQLPTAVLEDSAFYGPRRYWIKEIDGKVCIATCQTSDNQPILLRGEIEIWALDINLEQKWIQKYIIQPSAQHIPGPNIVHRDKIVLQHDARNLCSYELLGKNVEVKLSNMEKLLDFSPRKPGSMQVYTFVKSLVRLDSYKKTSIVRRPKRKEGWELKKWEAWESQRRKIEDIWKKVLQSEQYSIVTTKNLRTTINRLMQRLPDDEALKCIGMKIDQMLHYLPEDCPNQACDDVFRTARSWLSDQGTSISTADASFWFDLQHFLLGGGVAEFSENFMGRTECSRREPFSAAAQTVWDKLQ >KN541413.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541413.1:19088:21843:-1 gene:KN541413.1_FG002 transcript:KN541413.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLIARWLLVLLLLLPVSWCHQERHGRRHYRRRVNEEMIETLFVSNSTRRASKNGVKEANAACWNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKLKEFREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYIANFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVIEEIVKSEGASILATGQTSNQGSAIADDFQCKKVGLRIVASLGGELGNVKKAAGMDSDTLASCVAKLSAGVSKISEALQLNQQLGSDDRCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSAKEEGHPLRIFMVVRDFLTVLDHVCKDVGRMNERTVIGSSRRLENAPVLARFNAVQPSSSEEESSSS >KN541413.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541413.1:15524:18258:1 gene:KN541413.1_FG003 transcript:KN541413.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKVSKTILPMDDRTIALTYIHFEFYMHCSDEDSTTRPLLLVTASVDKMELKKPICVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDSDMQSDPIALTANFTFVARDSMTGKSAPVNRLSPETEKEKQLFAEREARDKLRKRKREEQKGVFENGINKLHVEAERLNSLLAEGRVFSDLPALADRDSILLKDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPPDNAEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSDALKNGLKIRHVVPSTEEEARRILERMDAEGLFD >KN539544.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539544.1:2462:9351:-1 gene:KN539544.1_FG001 transcript:KN539544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLLRHSRKLRSLHNALDCERSGLVRYFSTASGSFPTKGNGAEKRIGGARFPQRKQPGKELETSKVSLGLNGSYTCRRSPNNFIPNTITGLNGSLSWYVSFSAMILLAYVAVSGHIWTNSFSKIFLKQCRWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGSKEIKVGEIIAVTVEEEGDIEKFKDYKPSTLAAPVAPSELKAQSEPTEPKVEEREPSKAPEPKAPRTEEPSRSGDRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGRKEALAAPGLSYTDVPNAQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKRGLGMIAEEVKQLAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGSAERRVIPGSANGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPTSMLL >KN539544.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539544.1:68100:70082:1 gene:KN539544.1_FG002 transcript:KN539544.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVCAFTTYVSIVPDLRPSPRSHLCVNHGSISCSKTQKLHISVEASRGLQDPWKQVRDGVVVALAVEEPVAVRGGDVATGSCGNASEGVRGGASGWVDLWTKNEREREEAAGDFDFSAVFSG >KN539544.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539544.1:63689:65070:-1 gene:KN539544.1_FG003 transcript:KN539544.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type III polyketide synthase B [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/Swiss-Prot;Acc:Q8LDM2] MVSTNAGGTASKQASSMAPNPGNATILALGHAFPQQLVMQDYVVDGFMRNTNCDDPELKEKLTRLCTVPDPNLIICSYKYIYSTIIELACKTTTVKTRYVVMSEEILKSYPELAQEGQPTMKQRLDISNKAVTQMATEASLACVRSWGGALSEITHLVYVSSSEARFPGGDLHLARALGLSPDEGIKFQLGRELPHIIEANVEAFCQKLMQEHPQAADKLTYGDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEETRQRREEAAEEEDCEWGLILAFGPGITFEGILARNLQARARARDRGGRETGIGL >KN539544.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539544.1:35971:36348:-1 gene:KN539544.1_FG004 transcript:KN539544.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDQAALSARGAAAALNFPVERVRESLHALSLGAAGGSPVLALKRRHSKRKRRKKAELLAAAAATAATANATPQTRRISKSTELTTATTDEQKRFVVELEDLGAEYLEELLWLSEINGGSDPAD >KN539544.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539544.1:86008:89946:-1 gene:KN539544.1_FG005 transcript:KN539544.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granule-bound starch synthase 1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT1G32900) UniProtKB/Swiss-Prot;Acc:Q9MAQ0] MGSTPTYCSYQTNGVGALKQSPHMQFQQSYNYGVRFLKRDTLSVRNNKHMAKIIATSTGICTKPRRSHMPIVCSAGMTIIFIATECHPWCKTGGLGDVLGGLPPALAAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGMYVNAKVAFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHFKPVLGRKINWMKAGITECDLVMTVSPHYVKELTSGPDKGVELDGVLRTKPLEAGIVNGMDVYEWNPATDKYISVKYDATTVTEARALNKEMLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELILLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPVDVTAVASTVKRALKQYNTPAFQEMVQNCMAQDLSWKGPAKKWEEVLLGLGVGGSQPGIEGEEVAPLAKENVATP >KN538689.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538689.1:388184:389715:1 gene:KN538689.1_FG077 transcript:KN538689.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFVAVKRTEHVEVTSLAVAVEATPSAKGGKGKVVVGGGGGGAGVGPTRVRVFCDDFDATDSSSDEDEEEVTARRRVKRYVQEIRLQRAAAVAVPPVKVKGEEVFPAAVSAKMAEAAKARVAGFAGELPPLYTDFDLLADFPEPPLDFLSNLPVEPFSLAPFSSNNGSPDLHLDDAPSPAAAQVDDFFQDITDLFQIPVV >KN538689.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538689.1:469347:475556:1 gene:KN538689.1_FG078 transcript:KN538689.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLDAFGTATIDQVDSAYREAGGDAFLAAGILGSSPETQPSPPPRPPDLSPRAGSGGRKASRRPKKVAVAATGMVADVIGKGYTRPATSPVSKTNAWKGNAWKDGSGGDRKYSVEEAEQFLCSMLGDNSELGMGVVRDVLGQYGCDVEKALDALLDISGMSSLQNMERCFPNAQINGMSSPNIFLGNGLSEEVSTAGIEKSSYQFTDEMRNMSYNRSDNEHGFFWGELQRSYLKVACEEPHSTTSSTRSTSSISKTPQQVLDSLFKIPEQRTYEPSSMDWKKAAMAYSKGEKSYASYLAEEGKHYRELARMEDERASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICVCMPTTFLRVITGCGVEGTGKGKIKRAVAELVEKEGVEWREENAGTIVLRLGGPREYRFLEHDSDSD >KN538689.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538689.1:406474:409116:-1 gene:KN538689.1_FG080 transcript:KN538689.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEEEAVLETIWDLHDKGGDGVDDEAAALDAVAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDISLARLEQSRIMLAIRLNGHRGVNKKIIDEALDFVRNVCHGVWPSLSVNKPEKLGSHSGADSKNANFLGQMVASSVALARNSFSIKTLGGLLGHTGVLAIGMITLLQLHWLSSGQQSPSTCRYSYKMISQESSSQFETARDTRISDLDVFLARG >KN538689.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538689.1:417433:419076:-1 gene:KN538689.1_FG081 transcript:KN538689.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPVNAPAEVVFDPSAPGARRPRRPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDAEEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREEKKAEEERLLGIFRKRDSKNSKAQKTRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKKGKGKQKGGRRKRR >KN538689.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538689.1:411394:415632:1 gene:KN538689.1_FG086 transcript:KN538689.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MACIICGFVCFLALQDGAFRRLTRLQAQAAGVVYNPIWSDFRCYPWCNPGMNGLGPSCSSECFQYRGTMDVFYKVTKQEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWVEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKVSNVGGKPPGMWKTLLGVLSLRQSINHPENFRTYHLLWTGLGAQLARDVPFSAICWTVLEPTRRRLIGIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEASLSLDDLYLVS >KN538689.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538689.1:434734:437785:1 gene:KN538689.1_FG089 transcript:KN538689.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFAETEGKRAHDPLYQRRAAAATPATGVPVDDVDKVVDVPGARCGCIASLWRHRTYDRLCLHLPKRFCELPLRPFPASFPEYPTRDQFLGYLDAYAREFGVEPVFRRAVISAEYDGESWWVYTREVVAAAAGGEQAVLGCTMTVYRSRWLVVATGENAEPVVPEMDGAGRFKGQMMHSSEYRNGDGYAGKKVLVVGCGNSGMEVSLDLCNHNARASMVVRDTVHVLPREILGFSTFGLSMWLLRWLSVQTVDWLVLLLSFLVFGDTARLGIPRPSLGPFELKSVSGKTPVLDVGTLAKIKSGDIKVTPAIQCFQEHGVEFVDGSTEEFDVVILATGYKSNVPYWLKEKEFFSEKDGFPRKGNAWKGQNGLYAVGFSRRGLSGVSMDANNIVQDIVQRLHDMGYERSENN >KN538689.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538689.1:364325:366656:-1 gene:KN538689.1_FG092 transcript:KN538689.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAFLLVLLLVLASGGGGAFLAAAASTDTIVPGKGMAGNQTLVSKNGRFELGFFTPGSGIHYFLGVRLRNMAEYSPTFWIGDRVGVIDLPGVSLEVFGDRLYIKEDGASLWWSSVAGNGSSSSAAAAVLLDTGDLVVRDQGNPSRVLWRSFDYPGDSLLPGGRLGLDAATGTNVSLTFKGFSHNGSLQVDASRRNGFVLTTDGIDSRGAFPDWMVTSQDNGSSLSGAFFCGDFGACTAAGGGGCECVDGFTPSYPDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFAILDNLQGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSNESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLKSNRGLATRWIVLLVVGSLAVASAMLALVLLCRYRRDLFASSKFEVEGSLIVYTYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGVGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNRRLLVYEYMSNGSLDAHIFSEKSRLLSWHVRYQIALGIARGLAYLHEECEDCIIHCDIKPENILLDYEFCPKICDFGMAKLLGREFNSALTTVRGTMGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTETVKFGSHRYFPTYAAVQMNEGDVLCLLDSRLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGIVDMEMPPIPASFQNLMESEDSGIYSEESWNFRTRDQF >KN544319.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544319.1:1534:2281:-1 gene:KN544319.1_FG001 transcript:KN544319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDFFNQVNFLYGTLMEFCTSSTCPIMSAGPKYEYRWADGVKVKKPVQVSAPKYVEYLMDWVENQLDDEAIFPQKIGAPFPQNFREVIRTIFKRLFRVYAHMYHSHFQMILKLKEEAHLSTCFKHFVLFTWRS >KN540163.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540163.1:56749:58569:-1 gene:KN540163.1_FG001 transcript:KN540163.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKKAAIMDDLDAFRRSREFYRRTGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTMVSDNNDLRKLLIETTSKSIVVIEDIDCSLDLTGDRATRRPGETRGGGGGSMVTLSGLLNFIDGLWSASGGERVVVFTTNHVENLDPALIRRGRMDMHIEMSYCRAAAFRTLAKNYLDVDAHHMFDAVDDILDKEDITPADVAECLMAAKRSSDSDVTSSLEFLPV >KN540163.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540163.1:43700:49612:-1 gene:KN540163.1_FG002 transcript:KN540163.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPASEEDDRAKNPKPEGQGSHCLHQALVLHLLCQELKKQAKGALPPPPPGLIRNSSEMQNANEVPGLKEDDKVTRILPPPPPQPSHLPPLPPRPPTMPSMQPDMLAPGVPRFPPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFYPGPPIQTGDFAAFGPRPNVPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIRSEPRPSSSVSKPQSIDDSYMAFLEDMKELGALDE >KN540163.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540163.1:30756:33736:-1 gene:KN540163.1_FG003 transcript:KN540163.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLHKAAVQGSTARLAALLGEEQGGSKILNSTTPQGNTALHIAAGLGRVAFAEAAAAEHGDLLVARNDQGDTPLHLAARAGKMAVADMLITFITMAGACWPEEEPLMMMNKTRNTPLHEAVKQRRSAVALRLLEAEPNCGHTPNVDMQTPLHIAAREGLADVVDKILDQPWVPEKFVTADNVSGTALHQAVLGGHTRVVEILLMKTAPGLIDLTDAVGNTGLHFAAQKNDRRMSLRSRRPGSGSNDEYFELSVGTYTLVATLIATVTFAATFTMPGGYNQNTGLAIHADRAPFKIFVVSNTVAMCSSIVVVFCFIWAWRDPVKFKLDQLTWGHRLTVVACLAMIVSLMTSVYLTVLPTERWLAYLVIAIGACTPAVVILILRWEVFYVPL >KN540163.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540163.1:2852:6145:-1 gene:KN540163.1_FG004 transcript:KN540163.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQNSGIFIQWIAMEKLLIHVSVDHMRVWSMVMKYRIMVPKVIWLTVDMAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >KN540163.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540163.1:23874:28869:1 gene:KN540163.1_FG005 transcript:KN540163.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWRLRCMWELASVLNFLHVYRPLLNITGEFTAEDLEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWVAEGDIPIVASHGTEIEAYKVLEPATRLIILKAICDIRVEQEDIRNFIDSSLKHGYDLSTFRKERIGGDAYGISYWWVFFPSCYFEWTVLEVSCSDPIKGCADQVFQNRYEDDPILGHRLYREIRRVEQLKKEPGKRSRGKGGSIILPVVSYQWETVASNFDEFDDVAEKLFSSRNRTEANLGKKLKIEYLPDIEKIHKKKERLLKKQQREALLIDSFLAPDGFTTGRSLRDRKPVTYTFDEYDRSISEAIKITKKGENSAEPAAPTNRRILTPRPEASSNGKVNGLSPTTNEYDGNSSKSDDYRDSDGEEESETLDRSNRRRRRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDEEYLRTRKQQKTSSASEEDEEFRLEEDAEDDDEEEEEYSLSTSEDLEEPQQRKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDTDTEGGKEGKSDASDPDAGFDAENDTELSTSSQEQEEDEDDGPEEQKDNSDDNKMDEDHVMVENKEEQEEQPQPPPLPPQQPVEKMEAPSREIETVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS >KN540163.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540163.1:52940:53830:1 gene:KN540163.1_FG006 transcript:KN540163.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRRRKLFTNAGGDRYRKAAWSYVAFEHPSTFDTLAMDPAKKKDIMDDLDAFRDGRDYYARIGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTSVATNTDLRRLFIETKGKSIIVIEDIDCSVDLTGKRKKRSPHAAEPVDAAKDESASKVTLSGLLNVIDGLWSACGGERIVVFTTNHVGKLDPALIRRGRMDKHIEMSYCCFETFKILAKNYLAIDAHHLFDDVRSLLQDAKIKITPADVAEHLMRKCATADEDAACLASLVRALEKKAKGKEAVEEEETVVDE >KN540163.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540163.1:17463:18984:1 gene:KN540163.1_FG007 transcript:KN540163.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSDKNDSLRTEAGTDSGNSSKAADKNATPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQSLQHQVEFLSMKLEAVNSHMNNGIVAFPSKDFGAQPYNTAAGLTFDPQTTREFAQVSTSEWLHMQIGNAYERVT >KN540163.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540163.1:36092:39109:-1 gene:KN540163.1_FG008 transcript:KN540163.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPDGSNRVFLSNQAGKVFVATVPAQGSGKPLQVDAATPFLDITDEVHFDNEFGLLGLAFHPEFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTADNGAQPCQFQTVIAEYTANSSSGSPATILFSKADGYLYLMMGDGGSVGDPWNFAQNKKSLLGKIIRIDVNALPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRLLFLG >KN540106.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540106.1:59089:63491:1 gene:KN540106.1_FG001 transcript:KN540106.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAIDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLRYTGPASLVWGWVVVSFFTWFVGFAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAFAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVIVLPLVAKTTQPASYVFTHFETAPEATGIRSSAYAAILSLLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQDFSYLFDPSNETAGTFVPAQILFDAFHGRAGNFNYAPVALGACLGLIGLWWVLDARRWFKGPVRNIDDPQKDGGGGDGVHNNGNKV >KN540106.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540106.1:9486:11821:-1 gene:KN540106.1_FG002 transcript:KN540106.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKIVVSEGVREIWLSSEDTGAYVFAPGPDLFHNYTSQAMNREYTVSEFRRVVDTLCELVPGMQIATDIICGFPGETDEDFSQTVNLVKQYQFPQVHISQFYPRPGTPAARMKKVPSVEMKKRSRELTSVFESFSPYQGMEGQVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKEIQKQNHTELQEEYRPSQVEEAICCGMDSCGACACSDAAQQCNPGPERSKNSTPQSCGDATHQEAVQSKLVRRNVEGAVKSSESDTAKQVGKIQRLNVAARRFPDVDTILWGGLAVSFATTVTLIALLAYKISSTPSY >KN540106.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540106.1:44176:46454:-1 gene:KN540106.1_FG003 transcript:KN540106.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRLGWHDSGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGNITFSRFRFLVAWLVNALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRIDVTGPEQCPPEGKLPDAGPSAPADHLRKVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEAFFKDYAGAHAKLSNLGAKFNPPEGFTLDG >KN540106.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540106.1:30629:40984:-1 gene:KN540106.1_FG004 transcript:KN540106.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G21730) UniProtKB/Swiss-Prot;Acc:Q8W5R6] MPRRTSWSPSASGPSGALLLLVRSLRGPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHTPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEDSNTTQTIESSPSGENDEGEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDKEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKASLRRRHSFGEDEKPTIYEVMFWYLLTTSELLNDIMRELAYLPDRKREYSMEDDDVSLDSEFSVEGKLDSNNPDESLKFDRRNRRRGMLGWFKLKKSDQLSGLSTSVDSESTASGSPSFSRSSQQKHPLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDSQIQEQIEKLKNEIDEKKSHIRVLEQRMAQSLETTEDPAIRTEMSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVSENAELVETVAQLRQEIDNMLKTAKNEDNVASMQSSEPSSTSSNPRDLANEVASHSKMPSRTTEDHTESPLKSQVLLQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENAKLNADLAAAKDQTRSSIQSDTKRRDQENGIFVEELQKELVASCQREAVLEDTLSQKARRESELLKVIEDAKCREHDLENELANMWMLVAELKKENSQEELFQFKATQNGFHTSKSDTGRMMSGMEASDNRNWDGVSVNTYEEAKAAYNVQRRRCKELEGIVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKMANQVL >KN540106.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540106.1:13656:15452:1 gene:KN540106.1_FG005 transcript:KN540106.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAKESATGKVFGAVKRCKDRLCGAARKAEEGAKDKASAVEHGAEEAARGAEEALSHAKETAEDKVFDAASKVKETAMGAKDKVTEAVGKAKEKASHVQHGAAETVKSAKDKVSHAARHAGESARERAMDAKDRVSDVAERAEQCTEDAAGRAARKAARAEEASKLFPVYFRAVAYGVGLALAAHLLSRERSSLAARAQSANLLAALALVLANMLLLEPKATKVMFERMKVEKEEGRGRDMADIVDPPTVGMLAQNCCISDTTSAVGLFSWDECNSKCFITRDLLHRPFIDDADLLSCEHCSEHERHCTYLIPLLIVSILKPGQAKSVMRYAICLGFSDGGI >KN540106.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540106.1:51786:54395:1 gene:KN540106.1_FG006 transcript:KN540106.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELERYTDRDEGFTLLKPVSWPKVEKAGATALFQQEGKGSNNIGIVVNPVRLSTLTEFGTPQFVAERLIQAEKKKIQDNMDVAAHIRKLFRLKVHTSIDEHPKYSALITRSSVADQDYQVFSSRSGTACIGACSAIFHRSMTP >AMDW01005178.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005178.1:8:161:-1 gene:AMDW01005178.1_FG001 transcript:AMDW01005178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSF >KN539673.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539673.1:49497:51313:1 gene:KN539673.1_FG001 transcript:KN539673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCYVRVQRVVFLLLLVVTPLPISIASPLKLRLSASEVAALEAHAPPPPPPDTPTTYFEFDRIFGVWLGGVELLRSCTAEPRPKGVVWSVSKDVTKYASLLAARNSSTLAVYLGNLVNDQYTGVYHANVTLHLYFRHPPQPPQPGLGPADVIVPISQSFPLNGGQWFQINNNEDVESASLAVPANAYRAVLEVYLSYHGSDEFWYTYGNPFNGNGPFREVTVRIDGDVVGAVWPFPVIYTGGISPFLWRPISGIGSFNLPSYDIELTPFLGWLLDGEEHELGFAVTDAQDFWGPGNEYYQTTAFRRISAAGWVQTSSYGKITATWTQRLSFVNTNQVREDTEQTVNQTTDAYSGVHVTDHAGVAYSQEAEQSFPLYIYQGQVNQTSNDSFTEETSVQLRFEEERVAAGRPGFWSRSLSNAQDSAVDVRMQEGDAVGTSWRAAQTYRYEATDGCYYRDVASQGYGVVSDHADEVCAKGSPGVGRAIAGGAAAAAGVARLSS >KN539673.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539673.1:87987:89756:1 gene:KN539673.1_FG002 transcript:KN539673.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHLLLLVFYIPAAIASRRNLRLSPADIAVPDAAAADTPTTYFEVDRPLRPPPGSSGPCSTLLLSSSFGFTYTKPPFDRIFGVWLGGVELLRSCTAEPRPNGIVWSVSKDVTRYASLLAAGNSTLAVYLGNLVDDQYTGVYHANITLHLYFGPTPARQPAPATAPADIIVPVSRSLPLNDGLWFQIQNATDVESASIVLPSNTYRAVLEVYVSFHGDDEFWYTHTPDGNGPFREVTVLVDGDLVGAVWSFPVIFTGGINPLLWRPITGIGSFNLPTYDIELTPFLAKLLDGKAHELAFAVTNAVDVWLEATDGCYFRNVTSSGYSVASDESDEVCSESEEYPAGGAVIGALPAAA >KN539673.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539673.1:17984:18292:-1 gene:KN539673.1_FG003 transcript:KN539673.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAAEEEGGGSMRFARLGSTVSTRSAQCQCRKHQCWKKAVVCCNLADM >KN539673.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539673.1:57003:58780:-1 gene:KN539673.1_FG004 transcript:KN539673.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTPYIVVIVIEVIYTGLYIISEAAFNQGMNTFIFSFYRQAAASVLLLPLAIILERNTGSLNLYNMGLKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLAGVLVIALYAGPEISPLNHHRAFAGGGGGGGGHGASSESGTRARWIEGTLLMVVANAMWSLWIVLMAFLLNEHPNSKLLATTLQSVISTAQSLALAAAAWCIEKKGPVFLAMGSPLSIVFTIFCSLFLLGEIEHLGSIVGGILMVAGLYSVLWGKSKEHKTLTLTTATATATASVAAVQQQEAAAAPAPDADSGNELQQRRLASPDQQV >KN539673.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539673.1:73698:75143:-1 gene:KN539673.1_FG005 transcript:KN539673.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYVIVIVIQLINTGLFVISKAAFNHGMNTFVFIFYRQAAASLLLLPLAIVHERNTLSLNMYNLGLKYTSPTVASATTNSIPVGGSDPAEELVRRGEGGRRGAVPGRRAGDRAAWCIEKKGPVFLAMSNPLSFVFTIFCALFVLGEAVHLGSVVGGVLMVAGLYSVLWGKSKEHDTLTLAAAMPTPAPTSVQQQEQKVAAPAPADGSNSDRTANRRDWPRSPEQQV >KN539673.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539673.1:11824:15324:-1 gene:KN539673.1_FG006 transcript:KN539673.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDDLVYMAKLAEQAERYDDEVITYWFWRSARFLCMREMVEAMNSVAKLDEGLTKEERNLLSVGYKNLIGAKRAAMRIIGSIELKEETKGKESHVRQTAEYRRKVEAEMDKICCDVIDIIDKKGDYYRYLAEFKTGTEKIEVSELSLNAYEALDRQTASKTAQTDLIPTDPIRLGLALNISVFYCEIMNSPDKACQLAKNAFDEAVAELPSLSEENYKDSTLIMQLLRDNLALWNSDMADDADDIRERTDTTGAKGDPAACQVRIDTCCHLTSESSPAWYVCDHVDFLAL >KN539673.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539673.1:67519:69096:-1 gene:KN539673.1_FG007 transcript:KN539673.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKTPYVVIVIVELIYTGMYIISKAAFNQGMNTFIFIFYRQAAASVLLLPLAIVLERSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLPGSAKAAGVGLCLAGVLKKGPVFLAMSTPLAFVFTVFCCTFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLAAATPTDAAVEQKEAAAAAPDADASNSGSELQHGRLVSLEEQV >KN539673.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539673.1:20075:30189:-1 gene:KN539673.1_FG008 transcript:KN539673.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPFTLLVKLPGRRRSGLTPPRCAVRGAGNVSSAAVREMEVAEGRRQHEYSIDARLRQLAPEKVSADDRLVDYETLLVARFLDILEDLHGGDFRQVVEDCLRLSGEYQSEGDPARLGELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKAEASRRGDFVDEASAPTESDIDETFQRLVRGLGKSPREVFDALRGQTIDLVLTAHPTQSVRRSLLQKHASIRSCLTQLCGEGISDNEEQEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRLDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSKMADLMFELSMWRCDDELRARANELHRKSSRKYAKYYIEFWKKISPGEPYRIILGDVRDKLYNTCERARQILSKGISSIPEDQTYTNVEQFLEPLELCYRSLCDCGDKLIADGSLLDLMRQVSTFGLSLVKLDIRQESERHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGPDLPQSEEVADVLGAFRVIAELPGDSFGAYIISMATAPSDVLAVELLQRECGVKKPLRLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGETRFHLPVWLGFGAAFRHAMQQSDSGGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVAGHKDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGSASREARIPNPFPRVWASKRKHSHPQPSRLWTPAMSLSSARQRRATAASPPTDDGYSKEDKDRRRRPSGEEEEEGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYRSVGEFEWKRSLGDYLVMRSGGSNFALRSYLYLFIHALVAGPASMIFEEHKVSCQVHSPSAGVILGWPFSILVFLPVTVYSLIRGSFRRVFLSGFLTSMFLLVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEGPLFYFKNGFNNFNFAFILALLFLGVVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDTFPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEHHEDTGPGSVLCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYVFSLAFKLYSTYILLTILQVTTSLHFWLQLKDIGACSLLVELDLRRPYPSRGSDLSTWEANLSQRPDVSLKCPFSLFVKF >KN539673.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539673.1:6355:9710:1 gene:KN539673.1_FG009 transcript:KN539673.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDHAGTVYVHSSARASHLPAEYQKGVQKLVDLLSKLNPAAKEFVPSSAAVSSPSRKALSADAPVFDYNSIGGWNGGGKESGADAYQQRRDLTDDDQLLRRNGYLSQGRRRMNERARHADREDSIRRTVYVSDIDHTVTEERLADIFANCGQVVDCRICGDPHSVLRFAFIEFADEEGARTALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVIRTVYCTNIDKKVTQLDVKNFFEELCGEDESLHIITILSIVIIILSIAPFFMFAVFLICN >KN539673.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539673.1:82481:86857:-1 gene:KN539673.1_FG010 transcript:KN539673.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAASVLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFSVLLRLEVIRLRRLSGMAKVAGVGLCLGGVLAWCIQKKGPVFLAMSSPLSFVFTIFCSSFFLGEVVHLGSVVGGVLMVAGLYSVLWGKSKEHDTLTVAAGQQEEDAAAPPAAESSSSSDNESKHQQGRFASADQQV >KN539673.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539673.1:32075:39167:-1 gene:KN539673.1_FG011 transcript:KN539673.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGGGGGGGGGGGGGGRVGAAAKKGGEAEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFTYVPGSDWHAQLKDEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACSRRHSDPSYFKKAWDMMRADKTGNFQREKKSQKIKRKGSRLREPYHGQTTPRQRNGELQRALTAVQLTSSRHFATPSTDGRSLSENRSTSDVRSNPDNISRSSSFSSKARLSFTEQVLDTKPTVVPHENGHDKLSNNNLHKLSNTPLHTRLNGTSADDLGDDLKQSSLLDDMTARSPSVKWDEKAEITMSTTSVYCDDVVMDKAEHVQSKCISPEQQEIDHREMETLEQQEALHQKAKQLLVSSGLNHHDEVPSETDNYVDALNTLESETETEPELQTKSRVKPVPSLNVDVPQVELIDNIVTESPDSSVAEFPDAYQNSSMPPAPESAADFPSLSSADAPDISEPVLSGYTANPHPEVSAIATNTPVSNTEDAPGPLEISESASRAYIITLPNQSFPDSNEIPDSKAEDAPIDSPEKLEPGPSSYTPTIPIKESSIVSQNTNAENVSGDCSEGTACAISYSQHIISDKPTNEVSATNSSPDDTSSDEDTVESGGIVEVSNSQPMPLNDSLENGCATQGLPANAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGPKEDTTPGHTQPQLCHSTGCPEVHFSKPTESAQVDVPNGNSPITSSFVGKLVGICPGSTSHSSETNQSTVRTPDTVIGQTEGSTGCSTSFEHSDHKNIIGKQTSISELLESEDSAENGAEMFSKTDMTGRNNMNQVSASSFSSIAQRFLANTLQRRTTKYTDLPMSSVIVNTDANGTDESTQISSLAPNETTFEASQFEKKTENDTNGLPKSSLFSSSHYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDENLHENADDMMLPTFQLLPGSSVPQLGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDANGLEDHDMHNNPNQIGSFGAPISRFVEFEQMDLSGAKSTVSLTDLGDDNGLGTLDSHPAGELPNFDTLMAHQNEAFIPHNPVSSSPDEGQLPPPPPLPPMQWRTMRQVASVEEGRGSAAKEDMLESISDLPPVHTPVQKEHLLPIAPPDQQNLLPITPPYQQNLLPIAPPDQQEHAKENDRKVDGVKEISNPLDIEIRASLLQQIRDKSGQQKLNGHEKSKAVGNDTKNLDEREELLQQIRSKTFNLRRTNASKTNTSSPTTANSSVVAILEKANAIRQAVASDEGGDDDSWSDI >AMDW01070684.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070684.1:412:1281:1 gene:AMDW01070684.1_FG001 transcript:AMDW01070684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITIAIYVFYKSWPGGDTLLLLTAVFLFIPGVLKCLEKPWALHSASINSLVNSPQNVRRTTGRNGKIDSIHDFVTMVRGYYSDVNGTPGTTVNFDPSELFVDLSSPSFDYRLKKLKWFSALDADKAYDWLQKGLSHTFDLLYTKRKMYLPPEGRVQQMMQNVKRDWLKGLFRKSIVGSLLRMIALYLQFGAIGLFHHSHRQAYNDRDVKVTYALLCCTAALEFFGQLALDIVNTAERKSSLSIGGSKTGMDGNVSQHMDAMLYQHNLIGCFICNQRHYIGSFATVFGCKD >AMDW01008303.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008303.1:60:156:-1 gene:AMDW01008303.1_FG001 transcript:AMDW01008303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVPGTVVLKIGAVGLHCDGCMNRIRTKLFHIQ >KN542149.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542149.1:2847:4304:-1 gene:KN542149.1_FG001 transcript:KN542149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKYLWIQILVLLSSFSFSVETDYASIFSFGDSYSDTGNIVLIYGPARTDLVMTKPPYGMTFFGHPSGRLSDGRLIIDFIAEALGLPLLPPSFAANRSFEHGANFATAGGTALDRAFFVANNFTVMSPFNISLGDQLGWLDGMKPSLCGGKPGGCEGHFSESLFFIGELGWNDYSAVLLAGRGVDEARSLTPRVVGTLRAATQKLIDGGARTVFVSGITPMGCSSGNLVLFASSNEADYEPDTGCLRSLNLLSMEHNRQLRHALAQLGGANPGARIIYGDFYTPLVELAATPRRFGIDGEEGALRACCGSGGGRYNFEFNMSAQCGMAGVTVCGDPSAYVNWDGVHLTEAAYHHVADGWLRGPYANPPLLSSSCSRQ >KN542149.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542149.1:11868:15030:-1 gene:KN542149.1_FG002 transcript:KN542149.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EKLGLPFVPPYLAHNGSFRQGANFAVSGATSLDASFFSDIPGVGKFVLNTSSSVQLGWFDSLKPSLCSPAQECKGFFHKSLFFMGEFGVNDYSFSVFGKTPLEVKSMVPDVVKTISSATERIIKRDGAKTVVVPGIPPLGCMPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDAIKNVQKNHPDVRVIYADFFTPVIRIIQSPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMPGATVCEDPSTHLFWDGHMTEAAYHFIADGWLNSINES >KN542149.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542149.1:5775:7110:-1 gene:KN542149.1_FG003 transcript:KN542149.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAALLLVVLLLVGFVSARAIPPSAEAAANATGLATLYDAAKQRPYETGPVGKFVNRAEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLVPADNGRAAQEMIEDWVLQAGLFGRHGGMKRVA >AMDW01022039.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022039.1:47:307:-1 gene:AMDW01022039.1_FG001 transcript:AMDW01022039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLPLPSAGETAAQVAVYLLVEDYLGYWIHRLLHTPWAYRHIHRVHHEFTAPMGYAAPYAHWAEILILGFPAFAGPAIVPCHMTTFWL >KN540624.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540624.1:11445:13509:-1 gene:KN540624.1_FG001 transcript:KN540624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKSPGLPKLGDNLKGRSTMAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGQNFGTPLSCWKLLCGGPLLDSKGHMIGINTEIYTHTGTSAGVGFAS >KN540624.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540624.1:37582:38652:1 gene:KN540624.1_FG002 transcript:KN540624.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPSATIRSGPVPSTAAIVLSWVYVVSSIVLVAELSFAAMEDGGVDELFPAVDPALANAIFPCFAGTLIAFFPFKAVDGANRALCGLMLASITALVVTGVSVGRSSMLRSLGYACWLPGSILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASSIGGARFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTVELIGQRFSPKRGIGQLSESSGGHGRNGAILTWIVLIIPIIIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRSQKRKR >KN540624.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540624.1:32175:35015:1 gene:KN540624.1_FG003 transcript:KN540624.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAGGGLAKTILGSNRLVRKKPSRLSPEASYWRSFRASELTPANEFNVTHLAFSPSSAPTLAASWSTSVLLFSGDPLSPLPRISASQDVAFSPSFRSDGSLLAVGDKKGVVRVFRADKKSPSSGPLRTLAAHSAETRVVRYPVAGGDKVHLFTAGDDALLAYWDVPSETPVFAAPAAHRDYIRAGAASPADHNIFATGSYDRIVKLWDARMGKTSTLSFSHGELVESVLFLPSGGLLATAGGNVVRIWDVIGGGGMTNTGETRLLSAGSDGYVKSFDYGELKLTHSMRYPKELLSLACSPCGTVLVAGSSKGTIYMGRRKKKSTGEDEEEGKGVGGELDWAPPKPEKRRLAPSNYRYFLRGQNAKAKEGDLVIEKPKKVKVAEHDKLLRKFRHKDALVSALARNNPRSIVAVMEELVSRRKLVRCIENLDTEELGLLLLFLHRNATLPRYARFLMGVANKVLEMRADDIRSDENLRGCVRNLKRMAAEEIQIQHTLQGIQGMISPMLALASR >AMDW01037159.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037159.1:311:670:1 gene:AMDW01037159.1_FG001 transcript:AMDW01037159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTPDVPRASDVWDPIEVPAQMLELWLERQKAKAEAAAAKKKRKVFKCRVPNRLVEVMTTQPYMCVDHNRSQEELAELAVPHRQIYILRKFIDEKKMNYEQTIIDQYHKQGYAEDEEE >AMDW01038038.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038038.1:83:588:1 gene:AMDW01038038.1_FG001 transcript:AMDW01038038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSFTGVTLAPPDKNSEQCRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEF >KN543328.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543328.1:2726:5361:1 gene:KN543328.1_FG001 transcript:KN543328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAAAASPSFSPPAARRRLPGQLRNVSEVTNVISSRSSVSFNSQRMSFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >KN543328.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543328.1:6539:7987:1 gene:KN543328.1_FG002 transcript:KN543328.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSLASPLLALAHGRASPTSRAQSFLASRLNLVGFSASARASSPRRILPAPRASSGGGEEEDSRVEELRVPSSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISNTAARSFYESLTAKESDLGEILLKMVGDLQKLSYKESFHGAFSAANAAVSLFMGAWASQILVEKIER >AMDW01033322.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033322.1:100:487:1 gene:AMDW01033322.1_FG001 transcript:AMDW01033322.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIVFADDSNVHSLELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEAEGKPDWVKDLDAV >AMDW01010790.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010790.1:50:172:1 gene:AMDW01010790.1_FG001 transcript:AMDW01010790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLNVKQLMPPYLGVELSPEDLKTGVSFASGATGYDPLTPVI >KN541334.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541334.1:7937:8314:1 gene:KN541334.1_FG001 transcript:KN541334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLPATEAICCGDAGWWSEILLEFKAVVTADTNGALASWTPRARAAATLSGYMRVCDQSSSSFFDGIPSSFDQHVGRQAPRAPLTSDACNTFGEITPLLGACFGSSTPLFITASDRGELKDM >AMDW01040442.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040442.1:45:1147:1 gene:AMDW01040442.1_FG001 transcript:AMDW01040442.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGMPGMPPMGMPPMGPGPMPAYGMPPMGSY >AMDW01034992.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034992.1:8:526:1 gene:AMDW01034992.1_FG001 transcript:AMDW01034992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EADFDPLLKYSTSEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVMGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMK >KN541334.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541334.1:28150:29936:-1 gene:KN541334.1_FG002 transcript:KN541334.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRSLGALAAFVFLCSAASMSSSMAATDSFLQCLSASMPSQLLYTQSSPSYTSVLDAGIRNPKFLTNTTRPVWIITPTNASHVQAAVLCGRRNGVRLRIRSGGHDYEGLSYRSERPEAFAVLDLVNIRAVRVDAASATAWVDSGATLGEIKDPREAYANYRDLDLGENVVGAGGVSSYDAGKVWGEKYFRGNYQRLAMAKAQIDADDYFRNEQSIPPLVAGK >KN541334.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541334.1:17860:18774:-1 gene:KN541334.1_FG003 transcript:KN541334.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDYFWALRGGGGGSFGIVASWHIKLVPVPPTVTVFDVVRTPERGAIDVLTKWQEIAPRLPDDIMVRVIAEPRRVTFEAMYLGTCDELLPLMHHRFPDLAMTRADCNEMTWIESIPYIHLGSNATVADILNRSSISRVNTKNRSDYVRHPIPKSIWKKIFAKLQQLTNFGEVQLFIDPYGAKISRIHESATPFPHREGVLYNIQYITYWNGDANGTLALKWSRDLYKFMEPYVSKNPREAYANYRDLDLGRNKVVNGISSYHHGKVWGEKYFRGNFERLAKVKAKVDPDDYFRNEQSIPPLFE >KN541425.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541425.1:19:1807:-1 gene:KN541425.1_FG001 transcript:KN541425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLRAAEVMKKKDELLKVWAEQICDLSYDIEDSLDEFKVHIESQTLFRQMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEYIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGLSSLKQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQKMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIRRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGISGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPHQLRMLRVLDLEDVKFLITQKDFNSIALLRHLKYLSIGILSCIYSLPRSIGKLQGLQTLNMSRTYITSLP >KN541334.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541334.1:13722:14840:-1 gene:KN541334.1_FG004 transcript:KN541334.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAVAKADAGLAFPAGLCPTIGVGGHFSGGGIGMMMRKYGLSVDNVLDAMVVDANGRLLDKKAMGRDYFWALRGGGGESFGIVLSWKVRIVAVPRTVTVFNIQKTLAQGAVDAVTKWQTLAPAALPDELTIRVVVQNKQALFQSLYLGTCDQLLPVMSSSFPELGMTRADCREMSWLQSMVYINGGTSSTPVEVLLNRTTSLSVYTKNKSDYVKQAIPSASWEKIFPWFDGAAGAGLIILEPHGGRVGSIADGNTPYPHRSGVLYNIQYVAFWPTTTATPAVPDWIKNVHAFMEPFVTSNPREAYVNYRDLDIGENAVAGAGVTSYESGRVWGEKYFGAANFRRLALTKGKVDAGDYFRNEQSIPPLVSTK >KN541425.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541425.1:19911:22100:-1 gene:KN541425.1_FG002 transcript:KN541425.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWIGRMEWCVLECNKNAINFYEGMGEPCRSYRLTLGLFLQIFQIREGPRECDRHKIADDMNCWYGSWGKQCSALRRPTATNDMSLLLGVQETW >KN541425.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541425.1:11184:15664:-1 gene:KN541425.1_FG003 transcript:KN541425.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLVGSAIRVASSASSQEMSMLIGVQNEIWFIKDELKTMQAFLRAAEVRKEQDELVKVWAEQEFAVHVGHQSLSMQLIKLCHRHRIAVQIRNLKQRVEEVSNRNMRYNLIKSVPSSSTDDSQSNMELIRYQTAHYVNESELVGFDVPKKEILELVSSSENAEAQTIWIVGEGGLGKTTLAKKVYESSDVSSKFPCRAWITVSRSFNVKDLLKNMIKQLLGEDSLKKLLDEHKEVIVEKHNLTDHLNKGLRGRRYFLVLDDLWTTQAWDCIKPTSWGNNMEGSRVVVTTRNKNLAGGSSTLPVYCLKTLEKEDATKLLLRKTKRSLHDIEKDQMKEIFEKILKKCGGLPLAIITIGAVLEGKDIKEWEILYAQLPSELESNPIAEPMKKVVTLSYNYLPSHLKPCFLYLCIFPEDFDIQRKRLVHRWIAEGFIRARGGVGIVDVAQKYFDELINRSMIQASRVDIEGNIKSCRVHDIMRDVMISISREENFVYLMGDDGTGVVEENIRHLVHHDTSKCSNIGMDWSHVRSLTLFGNERSKGLSPSFCFPQLKMLRVLDLQDVKFGMTQKDIGKIGLLRHLKYVNIGGHSSIYALPSQWSPNGGTYGVRVPRGIKNLKRLQVLETVDINRSSSKSVEELGELIQLRKLSVVTQGSTKEKCKILCTAIQKLTSLKTLYLNAHGPLDTGTLEWLHSISHLPSLRNIRLIGYMKEMPNWFRELRHLVKIHLQNSQLEEDKTMEILGELPNLMLLFLSWQVYAGGKLVFREGTFQNLRVLIIRNQKQLKEVRFEEGTSPWMERIDIRECRLTSGIAGIKHLPRLTEISLEYSAKVVRLDQLEVEVGTHPNRPILRLFGERSRHDQDTQVTVDEQQLQPNQTVSEECLMGADLASR >KN541425.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541425.1:6300:7467:-1 gene:KN541425.1_FG004 transcript:KN541425.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDICSYVPTSRYLPALLAHAPSNHTVTDQLYPPPPTSASSAIVALAQVIIVAIAEAPKCATAEAKF >KN541425.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541425.1:24128:26928:-1 gene:KN541425.1_FG005 transcript:KN541425.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding YIKDELKTIQAFLRAAEVTKKKDDLLKVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKLISSITTEDERDSYLEDARNRSAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDTAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQKGRLVDRWIAEGFVRATDGVNIEDVGNSHFNDLINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPAGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFSTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKTLKLNGSLADTPNWFGNLKQLVKMCLSRCVLQDGKTMEILGALPNLMVLRLYRNAYADEKMAFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGGI >AMDW01034270.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034270.1:63:414:1 gene:AMDW01034270.1_FG001 transcript:AMDW01034270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHLDDKYGSLDLMKLDVIVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNERHDLMKISCELRKEMEARNRRVEEMK >KN540554.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540554.1:43228:43746:-1 gene:KN540554.1_FG001 transcript:KN540554.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGETSVLLPTPGAQLLVNGIIHSSLVDLMALIPGFMDLEYATRKKEMANKFRVMLLIKCLNWMDCNMLLDNLHLTEAAFRNRSMIRKCTSEGKIMPKKPTQAQKTEV >KN540554.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540554.1:5093:6034:1 gene:KN540554.1_FG002 transcript:KN540554.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFVPQREAHRAATGSKRALCASDFWPSASQEAADFDHLTAPCTFTPDQAAEEPTKKRERKTLYRGIRRRPWGKWAAEIRFHVIGVIYRIELSSDRLLSSSCKDECSKE >KN540554.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540554.1:15012:15529:-1 gene:KN540554.1_FG003 transcript:KN540554.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVVLLVVAAACVLGAADAKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCAGKLVAGATTNSNGSFAMEADLTSGLAMLIGGCKLVVDTPLIKCDANLPAAGSLVSYLQGPLTRLLGGIFRLFPAGFSFHAL >KN540554.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540554.1:10207:11067:-1 gene:KN540554.1_FG004 transcript:KN540554.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKSQLVLATLFLAGLVARGAEASIAGVVYCSLQCLTLPNLLPKATVRLQISSYEIPTAGNQGFIRRNSKGQFVVLLNVTSSEMMGSLMSGSGRVAVTTPPPVASGSAAAAAGTTLVAPVVPHGGRILGATAADNTLRQILDQLQSSSDLLPGLAQGLDYLLNNATMEVLRELADDIVPTGVSYGGDTVDAYVAFDVGPFSYSPNN >KN540554.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540554.1:46283:46832:-1 gene:KN540554.1_FG005 transcript:KN540554.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >KN540554.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540554.1:6878:9352:-1 gene:KN540554.1_FG006 transcript:KN540554.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLLVSAHIFAQIQPNFYGPHGIDLVAGGRNKKTKRTAPRSEDVYLKLIVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKVPDRHAISGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >KN540554.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540554.1:27741:38036:-1 gene:KN540554.1_FG007 transcript:KN540554.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDNLFTGRKENVLHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQGTERIKSGPSGGLLIHPKSAKTLGLARRCALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKNSEHGAISDLQKLSWSVGDHKKELDDS >AMDW01037452.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037452.1:48:699:-1 gene:AMDW01037452.1_FG001 transcript:AMDW01037452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNPITGEQIALPSVITIEHVNPIFNESGAIHMYEYSWYSASRVYHSEPSIFSLDELREYLLDKAFVFSDTSTENYLVVLIHNPRWQLSFARVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVQAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVENTGEIKIFVVDTVEKKRVEIENLD >KN539557.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539557.1:45535:46006:-1 gene:KN539557.1_FG001 transcript:KN539557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNFQQQQEAAAAAAAQMLPK >KN539557.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539557.1:70419:72732:1 gene:KN539557.1_FG002 transcript:KN539557.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRDDLVDDDGIGEEYIGPSDDEEAQLPDELFRLADAAQSGNVAALRAALDNYSGNIDDPIEDGDTLLHIACLYGHLPCVQLLLERQASLECKDEEGAIPLHDACAGGFSDIVQYILNFAANIDGCVTRMLNTVDSEGDTPLHHAARGEHLGVVDLLLKAGACAKKENTYGQVPAEMADEGTEVRKLLIQEQVEASTHTSN >KN539557.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539557.1:11181:31457:-1 gene:KN539557.1_FG003 transcript:KN539557.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MARSVASSSSISTMPSSSAAAAAAAALEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKILHFWPFWETKPISAVTELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLRVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFETYNEIQHCFLVISSAYPEDLCMFLLNLIVVMASHCYLSGHPAELAVEFLLVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKGDDRIYVREKIDWMCAHSSMSIPVNRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLGQAVITAAEMGISFPLKRRDQLLEYVLTLMGRDQNDDLVDFSTELLHTQSLALSACTTLVSIEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSSLPSAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALFFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRREASIDQAEVFHRVVSSLCVLLSKDEAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCSILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQNDLHYFTMLIMEHVGCMAYELQRVTLEFILHMGFYEILIAKCTYVSKFTVWDISSVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSSARVDSYLESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTHTKTSSEPQQEIIDMPNDT >KN539557.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539557.1:50800:50955:1 gene:KN539557.1_FG004 transcript:KN539557.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAALAEFISTLIFVFAGQGSGMAFSKSPTLI >KN539557.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539557.1:56483:61541:-1 gene:KN539557.1_FG005 transcript:KN539557.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNGKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWAKEIELHSTNKECIKMLVGNKVDKNEERMVTREEGLAFAQESGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNSLKQKHENKAKNGGCCQ >KN539557.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539557.1:6485:9652:1 gene:KN539557.1_FG006 transcript:KN539557.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSALPHVLRPRRRRHPPPTLPPDSGLKKLLVDNCEFVEIHLDSLPWLETFACRGLQPTEVYYGEVPRLRHVSLDHLKTKVELSAVSNTTYPLSKFVKSMPSIESLVLQFKGPEVWIEPIALPNPLLHLKKLFIANVPMNWDIFWIVLLLDAAPALESCHVHIDNGSVNTASWLEVQAQQHLYHCLKDLTIVGFSAVGWQIGFVKHVMKASPRLRRVHLIDGHVVEDDDEQVIGGLEVVPHQREWHEFERSEPRSNSRFIRLQSPTPPPTDIHRIIAKGYKLPYLSRVQGATTQSSGRCAGEEGTVSTKGYAASISAFEFRGW >KN539557.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539557.1:73537:75537:1 gene:KN539557.1_FG007 transcript:KN539557.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLLPLPPAAPPQPRRGKPDCRNAPPAPPKVCHYWKSGRCSRNPCRFLHTDAPDPAPPIAAVNKRSNTWVNPSCVAANSDGKGRAPPVQPAKRQVEAPPEGSDKLFSGSLDGTVRAWDCSTGQCVRVEEMQEGEVHKLIAMVATDLSMHSTRSLGPIGSNPLALSAYLILLPG >KN539557.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539557.1:88189:90349:1 gene:KN539557.1_FG008 transcript:KN539557.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASMSSRSVLVQIPDQLPTLFEPQKAIMTPNIRATVDDDDDGDAAPPERRLTVLALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGRTDFWCITVLLVVEGARILGRSHELEWQHEATWRSPVSSAAGLVFYWMQLLSASACVALSLVRLVHQRYGGSADARTNRSSALDIFYGLALAEALLFLVEKALWQWRVGHRRLIERVAKECHLAGAIFDGLHMDLVSYADDLLTAGSYDEQRLGASVLVALAESDRFADATLRRIGTSAPVTERLIEMLSWKNSSEKGVRRSAAVVVSMLTEGKLNALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHDHDICDKIGKTKGLLDKIISYSSIASAPATAAPTDTNLMAVKQSLRVVKRLASTTGTTGKLLRRELSGIVFTVSNVRDVLQQRDGKAWSELHQLAIETLTSLTMDEEAREMIGRTGGVVSELVAMFLPATEAVGDRPADAVRVEAGEALAMLALDSRRNCGAIMTACGGGVERLVEAMSDPVMLRFMEPEELRASLAAARVTDAALAKTMVQVLREYSRPSMVVPRIRRYTIELAVAMMRSDKRYVALFVELGMEDQLRRVAGTTSELECFNVFSGSVGLSRRGVSVCADVEAALELMRQA >KN539557.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539557.1:90820:97192:-1 gene:KN539557.1_FG009 transcript:KN539557.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKDAAALAGELTGDAGASLNGFFDHTGLEAAVVGEGQGEGEEEEELEWLSNKDAFPSVDTMAAEVESAAPGAPARAAARAGPGRANVHVVGDPGFANPRGASTLAATLHGASLLLRVGQDWDWFVHLDAGDYPLVTPDDLLHVLSYIPKDLNFIQHTSYIGWRESRQIRPIVVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSSSVILSRKFIEYCIIGTDNLPRTMLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSKWDSSSKKEPLLLTLDDVENMTQSGVAFGTRFSMDDPVLNHIDEEILHRQPEEPAPGGWCIGVGDASPCSVSGNPDVLRPGPAAMKLAKLLAQRLTYRNFYSQQCIWD >KN539557.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539557.1:51282:52304:-1 gene:KN539557.1_FG010 transcript:KN539557.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVGGGELLVGVGDEEDLVDDAGEATADEWANPVHPLGLPGPADEGRAEGDGGVHGGAVERAADEDVRADDEADGDGGDGAEAALLGVNGGGVHGVDEAEGHDDLQHQGLPHGDAGQAEGAGGEPAGGEAEEEARHGGAQELGDPVEEAAEQGDVAADEGAEVLGTSTWSVTATSLTETELLRSLRPRNQ >KN539557.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539557.1:32931:34895:-1 gene:KN539557.1_FG011 transcript:KN539557.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSSVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKVWWCLLAASLMALEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTFQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQILRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >KN539557.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539557.1:2850:4645:1 gene:KN539557.1_FG012 transcript:KN539557.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKEYNCYEGEDMISSLPDDILALILDKLDPRTTIITTILSKRWKNLPRRSMTCYDLTVDDFLPPRYLRVKRMWKEAKATFAAEKDALKLASKGPMDQRLADIYTTEDHNDRWIRIISVLEAILERYKRQAMRSYVKRVNAFLLPPDGVHRTIQKLRLHTFGRGWSVFIQRWIIATIGRWGVKDFELDVEEPGVSLNFRKILDGSQNVQLERLVLSNCYPVGIFTSLAFRRLTKLSLGKRSFLGAAVSILRNCVHLVDFSIRYDPVFDCPLRFNVPTSRLKNLLVDNCKFGKIYLDVLPCLETFVCRGQQPTKLYYGEVPQLRHVSLDYLKTAGNNNIGPSVSHTTYPLSKFVKRMPPVESLVLQFKGPEVWIEPMALPSPLSHLRKLFIANVPMNWDIFWIVALLDAAPVLESCHVHTDNTSEKMASWVDVQAQERQYHCLNELVVVGFSAVGWQVGFVRHVVKASPWLRRVHLLDGHVVEDDDERVPGGLEVVPHRREWHECERSEVLDDLWDGISSPQLEIILN >KN539557.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539557.1:64122:67814:-1 gene:KN539557.1_FG013 transcript:KN539557.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTCQRFGGGWDGQPHGVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRTGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMVCKFSYFYSSVQPDMDPMQRLWDDIERAIPHIGTQVPRMQLLQHPADKSRPCCCVLQSLNSEEQ >KN539557.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539557.1:78293:86165:1 gene:KN539557.1_FG014 transcript:KN539557.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQCYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYDGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKLCFIKRRSERKIQLRARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >KN539557.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539557.1:37774:41949:-1 gene:KN539557.1_FG015 transcript:KN539557.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MAYRTVLDHKVKAMMSRAATWAGAAGPRSKFRTLPVHVHGAELPTQESNGRTGSHKPVGFVSFSRDLDPSVPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRISATFVAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQVLPSTVLSKREMLDRYEQHTLKCSSCKGAYSAFQTLQKVFMGATVAFCATAGIPADVQFRLLLAAAALVSAAVAYAFYTLQKNFVFVDYVHAEID >KN538861.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538861.1:55296:66928:-1 gene:KN538861.1_FG001 transcript:KN538861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSCMKKSCVCCQRYLEHLGGKMNCFVRRMTADSRRSMIIPCKFVNHFGGDFSGTIKLQSPNGVLHVVEVTECKNKTVLRCGWEAFVDAHHIEENDSLLFRRVENSRFEVLIFDSDDCEKERTVPLNMNLLSQVISFCYAEVHFPHKSVTVTLQRPCKSKKWHPRFYKRRDARMNILRGSWVEFVKDNRVQEQDICVFVPTKDARRNFTFTVHLLRVAAAYSRGGTGVDRAGSSLGRTEVKSASEISIKEEPIDQEENVSSRNRNGVSDESEEDEDSEGPAHPPYIVPCKSRLSRLQKKIVEEKVRSIQSKFPVYVAIMKKSNVERSASRCQLELGARFAAAVHLPDRRQTVVLQRRGERWATVMQIRSGTRRLLISGWHRFQVVGVLKQLHSMIIKETKAEAEFIKEGVINRSESDLSCKFELSWLEIMPDRFVSHFGGKIPGTIKLESPNGILYVVEVTECMNKTVLQCGWEAFVDAHNIQEGESLLFRHIENSRYEVLILDSDDCEKVFSCAGIRNGSCVQDKTVDPVDSSGSSSNDTTQSSRSRNTANLTAMCSSSEKSGEDSLSGYEFHESVEPQTPSGSDYVLSRRTYLSEAQKERVVAHIQDIQPEITVFVAVMKKCNLQSPAPYLVISSRYASVHFPCETATITLQRPSKRKKWYPRFYKIIDKSDHMLRGQWQNFVHDNCLQEEDICLFVPTKGGRNFTFTVHLLRAEATHSRDGTDVHKIGSSQNKRNSKMASQVHIKEAPGGDVSSESNKHGVSHGSLESEDSDGPSEPPYISSMRRRLSQLQKKTVEEKVRAIQSEIPICVATISKLAGSGGKGKFRGLELSSRYAASYLPDKNHQTLVLQCKGMIWQINLVVRRRYRKGKRWFLTAGWRKFAHDNRLRVGDFCLFELKKKKKKKLTMEVHIISNLQRYPEVE >KN538861.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538861.1:124955:128743:1 gene:KN538861.1_FG002 transcript:KN538861.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGGAPARLGLAPKDGVFGSNLKQCGGFMLKTTPKVGSSSVRVRASVASSPQKQHSPKTSGVKSGEEIVRLLANHPQFRIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPQELKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRDEIRNARLAANPGCYPTSIQLPLVPLIKAKLIKVSNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTANDLYQHLKSTYEGEEFVKLLNGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >KN538861.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538861.1:28607:32089:-1 gene:KN538861.1_FG003 transcript:KN538861.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSQMKKYCDCCKRYVDHSNGKMKCFHRQMSANFEHSMFIAYLMNLIKFQVIIPNKFLDQFGGKISRTVELESPKGNVYVVKVSKHMNKTVLQCGWEAFVDAHQIEENDSLLFRHIENSRFAVLILDSDGCEKVFSCSGKRRASGVQERNADPIDVSSSTHDDTAQSSGGERFARSESGSDSQHSKTVKLAATCSSGGSGEEAKECSSSEHESSYDLVDPQIAPMPGYVLSRGTNLSEAHEEKLDMLVQEIRPEIPLYVTTMKHSNVNSHHASLVIAKHYACAYFPRTSQTITLKWHGKNRKWHPKFYIRKDQVGYILHGRWIDFVRQNHVKEGDICIFHLKNFTGRKFRATVHLLRETIPHSFGALHIPKRFESRNGRMRLKMTDDRRVSSTECRRGTMEPSTTNVKKEADNEQCNNGQGKRQEPLNFDVSVGSSKPYLTADRVSLTEEQFRKVEENVHSIQSEGPIYVSIMNKSNVGTDGLYIITLGRQFATRYLPEGEQTLTLLTTGTGKAWQVKMRPRSGDARMFTLGWRDFVRDNRLQTEDICLFQLMKNSERGLAMTVHIIRHNERS >KN538861.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538861.1:69132:74999:-1 gene:KN538861.1_FG004 transcript:KN538861.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEAKDKHITASREEIISVIESPETRTLDVGPSAVPASHIGDSGMVDEGSRGEVAQRQRVLKDDAVEEKGERARMAAFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCNVIEEIMIGEDKLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIVTCAPRRREDRM >KN538861.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538861.1:100501:102905:1 gene:KN538861.1_FG005 transcript:KN538861.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVVFTAGGGSGSPHSASSAKRDEADDEERVDAVAAAQRRDPPEPRTTEGKAHRSKLGPTTGSLTHKALEARARPKSPDNKQAGPTSRTNTSHPLKDSTTKVWKQTGQLKGGALRKARRSSLPEEELKVEDRATETSESSMFPTRSKKSFVAEATSPPRIVGLCKRPSLATATTTSNFTTNATKSWGEQSSRATRQLGGEKRKGGKGGGGRRRGREVAAEGETESANIHQIKEETTRATPQPQWLDLDDITPSEPSFPGLAGSLPIDWA >KN538861.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538861.1:21315:23193:1 gene:KN538861.1_FG006 transcript:KN538861.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKKQLDSIVRMLHLNQQLQGSPDGVGGGVGEAEEEEAYKILVMDSPCIALLAPVLRVGELRRHGVTLHLNIDKARQQMVAAALDARLRDHLIAKPNLFTEAASTAVASFQRPLLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKSNKLKLPEKYDLDDTDPFWVANSWLQFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKGRSLDGYFECENSMLVDGTLDRTKLMNLLRGNGTKEDKLRLAVTYLLSFETPVPSDLEQVEAALRESEVDMSAFQYVKRIKSLNSQFAGASNTASKVNIVDWAEKLYGHSISAMTGVRNLLSDGKQLAVTRAVEALMEGKPNPEVDNYLLFDPRAPKSGTAGQFRGPFREAIVFMIGGGNYIEYRSLTELTQRSQTTKQVIYGATEILNGVEFIQQLSELGQKAGLGGVSSSLPPQ >KN538861.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538861.1:132577:133941:1 gene:KN538861.1_FG007 transcript:KN538861.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWSSLDMSSQAEESEMMAQLLGTCFPSNGEDDHHQELPWSVDTPSAYYLHCNGGSSSAYSSTTSSNSATGSFTLIAPRSEYEGYYVSDSNEEALGISIQEQGAAQFMDAILNRNGDPGFDDLTDSSVNLLDSIGASNKRKIQEQGRLDDQTKSRKSAKKAGSKRGKKAAQCEGEDGSIAVTNRQSLSCCTSENDSIGSQESPVAAKSNGKAQSGHRSATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAMHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >KN538861.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538861.1:86527:87976:1 gene:KN538861.1_FG008 transcript:KN538861.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLLLPPACLQHPLPAAAAAASGGRSSREQCPRCASHDTKFCYYNNYNTSQPRHFCKSCRRRARAAQGKDDRLTSTE >KN538861.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538861.1:38956:41922:-1 gene:KN538861.1_FG009 transcript:KN538861.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGQSMTIPNKFLDHFGGTLSRTIELESPKGVVFIVKVTKHMNKTILQCGWEAFVDAHQIEENDSLLFRHIENSRFAVLILDSDGCEKVFTYAGMKRTSSVQERNAAPVDIPSSTHDETTQSSGSKKFVRCQRASDSQRGKTAKLAETSSSGESGEEGTDNSTSEDESSYELDDPQMSPGRNYVLSRWTSLSEAQEERVDTLVQDIQPEIPVFVAVMKHSNVNSHRACLIIPKRYASAHFPHESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKNFVRDNHVKEGDMCIFHLTKFTRGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDRRTWPKVTGARRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIIFGRQFATRYLPEGEQTLTLLMTGKSNAWQVKMRPRSGDAQMITTGWRHFVHDNHLQIEDICLFQLMNDESKLTMTVHIIRRNEKS >KN538861.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538861.1:2626:8325:-1 gene:KN538861.1_FG010 transcript:KN538861.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPFPANIEGQAKILDMGRGRLRKAVEDVRVEGVPGEEGTGVLHMAASHGHMEMCKYLVETLQVDVDDADDKENFKIDSLVSCFFNKRFWPNAQTHKGGLARQSTPGRTSLLKAVHSGHRGIAKYLLNHDANPDLAIDCESVKLLLAKGAYVDPMSTFGTPLHLAAKEGQDGTMKILLDNNADCNKMVNGITPLLLAMKAASAKCMELLVEAGADATYSDVIWNYMSTTFMDDEDSGSSVFSDSEPEEIDANHHIPVNDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEAMDLDPDDATLLSNRSLCWLYLGEGGKALVDAHECRKMRPDWPKACYRQGAALMLLKDYVSACEALFDGFKLDPEDVVIENALR >KN538861.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538861.1:111288:112468:1 gene:KN538861.1_FG011 transcript:KN538861.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFEDHQTTFIRLNHYPPCPSPDLALGLGHHKDAGALTVWSNDRYESAEHRVAVNVEKERFSIPFFFNPAGHTMVEPLEEVVSDESPARYNPYNWGEFFSTRKNSNFKKLDVENVQITHFRKN >KN538861.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538861.1:49257:51722:-1 gene:KN538861.1_FG012 transcript:KN538861.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRMKKSCACCKRYLEHLGGKMSCFLIRMTADSMHSMIIPDRFVNHFGGKIPGTIKLESPNGILYVVEVTECMNKTVLQCGWEAFVDAHHIKVGDSLLFRHIENSCFEVMILDSDGCERVFSCAGIKTSSCVHDKTVDPVDTSGRSSDDTAQPSRSERFARCQRDTSNDRRNTASLTAVSSSSEESENVSLKSNRNGVSDESQESEDSEGPAGPPYILSWKSKSRLSSLQKKIIKEKVRSIQSEVPIYVAIMNKSNIGLTSSPCQLELGARYAAAVHLPDRRQTVVLQRMGQRWDTVMQTKSGRCTTRRFLINGWSRFVRDNRLGVGDICLLELKKHDSKLTMTVHTIFSQQS >KN543645.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543645.1:241:3917:-1 gene:KN543645.1_FG001 transcript:KN543645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAGGASSTFALYSHALKVALAADQRRLALLGVACDVGENLGLLPGVLCNRLHPALLLLVGAAACLLGYGSTWLAVSASGPALPYWLIWFALCLAANSGAWLGTAVLVTNMRNFPLSRGAVAGILKGYAGLSAAVYTVIYTGVLHDSASNFLLFVTLGVPVVCLVTMYFVRPCEPSLVENSSEQVHFLFTQLSSVLLGVYLVAATILDHFVTLTDAVNYVLLVIMVLVLFVPLTVPLKMTLFPSNRRKGQSDSSECSSSSADHDHTESLLPSSSASNLGNIEDDDSMDIDILLAEGEGAIKQKRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGVADTTISLALFSFGNFLGRLGGGAVSEYLVRSRTLPRTTLITCTQVMMIIIYLLFALGHHATLHVCVALLGICYGVQFSVMVSTSSELFGLKHFGKIFNFISLGNPLGALLFNSLAGGMVTLSLKLASCVQFDIVPALNVASNEAARILLKPHQQAEFSTGRWQFRFEQRQACATTAQALK >AMDW01015641.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015641.1:54:224:1 gene:AMDW01015641.1_FG001 transcript:AMDW01015641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMMILRRLDHPNVIRLDGIATSRMHRSIYLVFDFMYSDLTRIICRPDHRLTEPQ >KN540687.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540687.1:24229:26412:1 gene:KN540687.1_FG001 transcript:KN540687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLYVHVVKAKDLPAVSAAGTIDPFVEVKLGNFKGTTPVLGGNHNPSWKQVFAFSATHLQAHVLEVAVKAKDLAGGDDLIGRVGFDLSEVPIRVPPDSPLAPQWYRLQNKRGEKTRGEIMLSLAGQVRRTRPGAPPGTLNPIWNEEFMFVVSEPFDEPLFVTVEDRVGPGRDEPLGRIMLPLNAAMPRHDHFGKPVEPRWYSLARPSDDPDKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILELGILGARNLIPMKGKDGRTTDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNNQIGKNGDARDESIGKVRIRLSTLETDRVYTHFYPLLALKPSGLKKTGELHLAVRFTCTAWVNMIALYGRPLLPKMHYTQPISVMQLDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVGSHMFSLRRSKANFYRITSLFCGFAATAKWYDGIRSWRNPITTVLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRPRHPPYMDTKLCHAEFTNPDELDEEFDSFPSSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGSAGYCNAIPVAPPPVPQQDALCAFQLLQEIACQV >KN540687.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540687.1:44886:45275:1 gene:KN540687.1_FG002 transcript:KN540687.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKAAVLWRKRFGIDAVLAADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILDQLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQALALLQDNYP >KN545006.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545006.1:259:599:-1 gene:KN545006.1_FG001 transcript:KN545006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAAPKTMRAVQYDKYGGGAEDLKHVEVPIPAPKEGELLIKMEAASINPIDWKIQKGMLRLFLPKKFPFIP >KN547010.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547010.1:505:840:1 gene:KN547010.1_FG001 transcript:KN547010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLLRSSFLLSLPLLSSMSRDPAHADSVLFVLLWMLLIELLSKKAFAMVRSSGGEFSRATAGGRFRLTDHYGEVTNLAWIGWLIFQNTYYSKSLNNGGEGKTVVAMFAVL >AMDW01024310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024310.1:189:302:1 gene:AMDW01024310.1_FG001 transcript:AMDW01024310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPHAAAVLLVAAAALCLGGRAEELEASSPEFNYPA >AMDW01039916.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039916.1:3:450:1 gene:AMDW01039916.1_FG001 transcript:AMDW01039916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPGEAAKAPLLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPG >AMDW01142798.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01142798.1:1048:2428:1 gene:AMDW01142798.1_FG001 transcript:AMDW01142798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQ >AMDW01005070.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005070.1:48:125:1 gene:AMDW01005070.1_FG001 transcript:AMDW01005070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFVDKVPIREYRNSDKPNTFFPIMKP >KN540461.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540461.1:30029:30694:1 gene:KN540461.1_FG001 transcript:KN540461.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQIPGLNPTRFSDIAFLGGNLYTLTNAEGLLVLDLGSNGVDDPPNASHRWCIADDPNQHEYYIDGSTKNKSLVLRYLVGSNGRLLMVRRWMNCRQQYYAGDMDKTRGFEVFAAEISDGHGQWVKVDSLGDQAIFLSSECSKSVTASQCADGIQQDCIYFMHRIHDNPSKECHGQCVDPLGDSGVYNMRDGTINLLRPRAVMSELRWKRQYLTWFFPSDE >KN540523.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540523.1:9625:12214:-1 gene:KN540523.1_FG001 transcript:KN540523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTVEEPLLAIRRGGDGEDEAMASTAVAAAAEVKRLLRLAGPLMASFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACETTWTGFSMDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMFFLRNVWGYAYSSEEEVVAYIASMLPVLAVSFFVDGINGALSGVLTGCGKQKIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >KN540461.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540461.1:48950:49793:1 gene:KN540461.1_FG002 transcript:KN540461.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIAYLDHLPCHTRELEDTVAASASEQDDYEAYIAAICCEAAADGNSGDRQLWREPAVRFCRQ >AMDW01029143.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029143.1:7:399:-1 gene:AMDW01029143.1_FG001 transcript:AMDW01029143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPVQPLHTLICYWKARPGREHELTPESGFPTFASYGDTCIYSTPSMEFPGLIKVCAHGGVPCDPDRRDWCAGDDALVDLVARWIDELMPDHVDTSGGPVIRQSCMYSMTPDEDFIIDFVGGEFGKDVVVGA >KN540523.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540523.1:41767:44116:1 gene:KN540523.1_FG002 transcript:KN540523.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAASVEEPLLVGAGEKKGESAAAAELKRLLRLAGPLVASGVLRNVVQMVSVMFVGHLGELPLAGASLATSLANVTGFSLLFGMASALDTLCGQAYGARQHHLLGVYKQRAMLVLAVAAVPIALVWASAGEILLLFGQDPAIAAEAGALSRSCEKTWTGFSMEAFRELRQYAELAIPAAMMVCLEWWSFEFLVMLSGLLPNPKLETSVLSICLNTGALLVMVPIGLSTAISTRVSNELGAGNPQAAKLATRVVICMAMTEGSVVAFTMILLRNSWGHMYSDEAEVVTYIARMIPVLAISFFIDGMHSALSGVLTGCGKQKIGARVNLGAFYLAGIPMAVLLAFVLHLNGMGLWLGIVCGSLSKLILLFWITMSINWEKESTRAKELVFSSSLPVA >KN540523.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540523.1:28214:30079:-1 gene:KN540523.1_FG003 transcript:KN540523.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGAALSVAVTYWTNFAVLAFYARLSGACKTTWTGFSMDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLRTVPY >KN540523.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540523.1:21951:23318:-1 gene:KN540523.1_FG004 transcript:KN540523.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVATAMTMLVCLVLVIAMILLRDVWGYAYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGYHGERKGLRFKYSNSMKNSRNVGYHGERKGLRFKYSNSMKNSRNVGVVFSNEDVLNAVL >AMDW01011420.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011420.1:11:236:-1 gene:AMDW01011420.1_FG001 transcript:AMDW01011420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCADYLTNSSAQTPPATCCEGFKSLVSTAPICLCHGINGDLSKFLPLPVDMMKMMTLPNTCGATVPLQTFSMCN >KN540822.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540822.1:701:3225:-1 gene:KN540822.1_FG001 transcript:KN540822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLPEITSPCAEDKELKSHLLNKYSGYLSSLWRELSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAKEYRVSANIGYVLQRKTAIVVGCQCNSAKSLTFIADMAI >KN540822.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540822.1:30924:37528:-1 gene:KN540822.1_FG002 transcript:KN540822.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAKIMSHPLYPSLLRAFVDCKKVGAPPEVVGRLSSVAVVTDVVEPESQYSGDRWHPAQQPAADPELDQFMETYCYMLTRYGQELARPIQEAEEFFRGIEEQIDSLALDDDVCYEDEVAGGLPEKSAAFGENEEAEKAALAESTGLDKKQVTNWFINQRKRHWKPKPAAAMDAGFLQMHPRYGGASSSS >KN540822.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540822.1:6726:7819:-1 gene:KN540822.1_FG003 transcript:KN540822.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLPLLAPDSKAATSSPLCLTLDNPTSTSTSPAVPSSAPPPAAALEPSRQSFHERETDAIKAKIMSHPLYPALLRAFIDCQKVGAPPEVVGRLSALAGELDSRAEDRHQQGQSSDPELDEFMETYIDMLVSYRQELTRPIQEADQFFRNMEAQIDSFTLEMCSF >KN542472.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542472.1:4753:5348:1 gene:KN542472.1_FG001 transcript:KN542472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-light-induced protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G02120) UniProtKB/Swiss-Prot;Acc:O81208] MAATATLAAPSFLAHQSILSHKPLRKLGLSLELPRTRSVKIRAAKLPAGVEVPRKQPKLSEPFLGFTRTAEIWNSRACMIGLIGTFIVELVLNKGILQMIGVEVGKGLDLPL >KN543636.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543636.1:999:4363:1 gene:KN543636.1_FG001 transcript:KN543636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KIGKSRALWSGAGFRLMLHLGWVILSMGLYYYGLRSTSATYSVIFLNLIPIVTSIIAIIFGAEKLGFTNWPGKIKLFGIITCVGGTMVVPNQVFQDETCQFQVRLASVFPYRYWATTLTCLSGSLQAFVIGILISPTKSAWTLKWDLQLLTVVYSGVFNTGISFVLMSLAVKHRGPIYPSMFNSLSLIVMVIMDSVLLGTSIFLGRAPKF >KN543633.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543633.1:645:3209:-1 gene:KN543633.1_FG001 transcript:KN543633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESQALQDPVAEPHGAEPAAAGAPPAVVPGKEFTRTCKGLVVVLVGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDPSDDFSFPSLFPDAMRPVTDPVANLFDRVLCARSRPSELALPVSDPAKASRRRERGERVLEERLAADHAADSEAPAQGHSTAED >AMDW01025864.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025864.1:90:344:-1 gene:AMDW01025864.1_FG001 transcript:AMDW01025864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKADAPMAKHYDDVLVHDAGQRALGAELRQELARTENCMLAVSGHKKLSANNRSLRKLIKSRLTYLNPMNMLQVEVLRCLR >KN539569.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539569.1:18490:20265:1 gene:KN539569.1_FG001 transcript:KN539569.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAARNRSSINGCQERPPAATAASTGLSKPGLRTATAAPSALSCDLSWLDLTGPMKCHYIMPFNGWVMEVILSGRCDQCAVSYRLMEASPEDKFRLLPLDQFSVWAMVFKKAKLI >KN539569.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539569.1:26312:26515:-1 gene:KN539569.1_FG002 transcript:KN539569.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKSSWPEVVGLPAEAAKYIILNDRPDVHVVVLRVGSIVTTEVDPKRVRVFVNNSATVAQVPKIG >KN543315.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543315.1:1376:2442:-1 gene:KN543315.1_FG001 transcript:KN543315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAQVAMQQGVTPSSRAHDTLQLDPGVTADMDGAAEFSEKEIIRTERNSGVISNRVREIQEWPDCSVCCCHRLVLPAEYRVWLQGSSKSNEELQQKPGKIQVDGDSKQTTPNDQLKY >KN543558.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543558.1:2254:3200:1 gene:KN543558.1_FG001 transcript:KN543558.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQSNAVLSRSGSVYVKTTGTQTEGPAESQRSGQVKGAIIQMHMTSELDKLGQTKLILLLLYDNNLQLHQYKSARFMASFGWMYLSTPKRLDKDAKYGFRDGAYLRTSLPEETVDSVGITSSNTRALPAPVSYIEHFSLALAKASPSPDPFASFAPCQ >KN543558.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543558.1:3978:5480:-1 gene:KN543558.1_FG002 transcript:KN543558.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSVGCRHGAARALQPLPLCRLSISSCTQSSRDVSNVNLTAEEEEAARLIKNSLSKAREWSVQDLIQCLGADCSGIRLTGNIVDTLLFKFGDDWKSALGFFQWAQSRDDYRHTAYACNRMVDLLGKMRQIDRMWELLSDMHGRGLVTVETVAKSIRRLAGARRWKDAVLLFDKLEDMGLERNTETMNVLLDVLCKERKIEVAREVFAVLSPHIPPDAYTFNIFVHGWCSVRRIDEAMWTIEEMKRRGFPPSVITYTTVLEAYCKQHNFRRVYEVLDSMGSQGCHPNVITYTMIMTSLAKCERFEEALSVSHRMKSSGCKPDTPFYNSLINLLGKSGHLFEASQVFRVEMPMNGVSHNLATYNTMISIFCYYGRDDDALNVLKEMEAQSCKPDIQSYRPLLRLFLSRRGQADTVRHLLSELTSKHNLGLDLDTYTLLIHGLCRVGDTEWAYQLFDEMVSSEIAPRSKTCEMLLDEAQRTNMETYVERIGNYMSSFGISV >AMDW01037091.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037091.1:69:572:-1 gene:AMDW01037091.1_FG001 transcript:AMDW01037091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSFQEWTQQMRDMLDARKRGDFAFRDKDFKTAIECYTQFVDVGTMVSPTVYARRSLCHLMSDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQERLWSKDASAQSPLRLKGLC >KN545651.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545651.1:520:777:-1 gene:KN545651.1_FG001 transcript:KN545651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFMYEMDDPRSRFAARRPDDAHAFLLPISVCNLVHYVYRLNATDDLAPLRGLVADYVRVVAERYPYWNRSRGADHVIVSCHDW >KN540860.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540860.1:15035:24473:1 gene:KN540860.1_FG001 transcript:KN540860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGGGFLADANDPWLKPRLLRAPYSRWLGTCFLGLTFQECCNERFAESYSSWFEKILSNLQEPSTVQLVIIVSCTSMSDLFVRLARFLNLKKEASSFAGRLVEPVLLLLNGNGQVAVGSFPPQDEAVDLLRTVIKLYPSSLNRHYNKVESTIATNVMSSEVNIKLKEKFARALASLPSVRVSEESWSLMIQKILIVVNNLLNDAFIGLEEEKKGHEILMLLVPPGNDPPPMLGDQTKWSGGNVHVTKKFRVYTVPTISVLIHCCCVMLTSYYPVQVNVPVRALIALTRRVLSVDGSLHKKLFPSTTSLHQELICFELPSLHSTFLDLLSATIKGMRSQLLPHAANIVRLITKYFEIAKLSTMRTKVYGIVQQLLTSMGVGISMHLLEAIVSNSVADLDDSCSQDMTSLSTKPTIATNESSSKSYSKKRKQETDAQNLHVSGSDKTVISPKKRKSSSMSTTSETTADVRMLTPLSVKLAALETLEILLNVGGSLRTDHWRAEVDLLLINIARSACDTGGRYEYKLSSAVGETSIADFQLASLKALLASFLSSHARPPYLAQGIELFRRGKLEIGTKLAEFCSHALLALDVLTHPRALSLESAAPSGPGLTYGAPEKAIFGTEKYKFSLSGNQYQALEDDDPYDDWLTSTKVSEPTGSPAKDSPVGKEKAIEKSQGEKQITPVEEHQQTDLAVVPAAIQDVPTSGKTDIEMADAATTEMVNLKATDNPSSSNDGLTQVRTLLPDLNQQVAAPVSDEGHTDIVDHLQNKTSAFDASLSKTVTGGEAPQSGSGSSHFMQSSKTDDSDVESEDSMPGIVDGDPDSD >KN540860.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540860.1:28933:36962:1 gene:KN540860.1_FG002 transcript:KN540860.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAASLLPSSAFALRRLSSAANVSRFAQLKRFDRARRFAPTAAMSTSSGPKEAPANNPGLQAPSEKDPATKGYFMQQTSGRRVSWVKRNGWGSSGSLWASVGQGGEDVAVLPRLWETRAAGQCQVWVGSSEWLGSGGWGGRFNMNNGITDGGGEAERGERGVVEEEGLWWREGTADRRFRCQAPSAETEACATLGGWWGRSGGCLMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGAIIRLADSIGVGVYFNPFVEWRDKVGAGLPMIASVTHIIASGDPVYLLWFSHIVGSLSGTLGYVMSELEDGKRFSEVVKIARSLGYTEPVVRTGI >AMDW01035546.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035546.1:14:376:1 gene:AMDW01035546.1_FG001 transcript:AMDW01035546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGMAGKIGDDDKETMEAALTEALEWLEDNDGGARTAEEEDYEEKLKEVEQVCGPIIKQVYEKSGGGGDASAGAGDDDDVNEL >AMDW01081687.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081687.1:1659:2450:-1 gene:AMDW01081687.1_FG001 transcript:AMDW01081687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKDPPLYAENLKGSLKYKAIIRHCASGARRPYLFFDNEGGQRPCEGRCARCNVLQQFKSVGNKDRYRRSGFCLDCSCSFSKDICEHHEEHSTVGISYYNSLYGYCAILPVVPADAWDAASIIFGAVEEIPDIYNDYPGMRIIPLKPHRTEKCISCDSPVDDNARLCALDCFLESHGKSYSGPR >AMDW01071457.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071457.1:19:225:1 gene:AMDW01071457.1_FG001 transcript:AMDW01071457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSKGSGSSSSWRGKKGGMASSWLRTLSRLNPFKAGIWGKDTSGIVDGKTGAMNSVKPKRRRFSIS >AMDW01019434.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019434.1:80:248:1 gene:AMDW01019434.1_FG001 transcript:AMDW01019434.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQRKMRIAHYGRTASFSRVEGKVSATATGAAELVAGAVTGHDEKRCSFITPYS >KN541558.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541558.1:242:531:1 gene:KN541558.1_FG001 transcript:KN541558.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVDVALKLSKMQEYTGRSAPTVIT >KN541558.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541558.1:7039:10561:-1 gene:KN541558.1_FG002 transcript:KN541558.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLTDLVNLDLSESTDKVIAEYIWIHLILNHHQFLFMVVLLVSLSQNAGSLLSILHLKEVNHTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILHPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNNRYNAARIFSHPDVKAEEPWYGIEQEYTLLQKHINWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLFAGINISGINAEVMPGQWEFQIGPVVGVSAGDHVWVARYILERITEMAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADINNFVWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYLVTAMIAETTILWEPSHGHGHGHGQSNGK >KN541558.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541558.1:4739:5707:1 gene:KN541558.1_FG003 transcript:KN541558.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVSCLAIKHGADSDKPALFSISEATAIDNGDIPGLTNGNAWVTPQGWILVRSASDAATFLQNPQDPDGKIPLPHLPHELPSTCSCRLSGKPTGSESCILLLVETEEDAIVLWYCRFGGGEGEEEWARHEYDIGTQWDIRPGKEGQREKVPICSIAACRGKFYFNTTPESVGVLEFTPTPTAPVFGSIAIADPLPGGYGVLGAALGFLVEAEDDLYMVRLLLDRDFETVYDLIVYKMDFSEQQWHEVDDIGGRAFLLAPAYFGASRAADECGLEKDSVYVPYAHKKCFEVCKVEEKGDIDVVNLIEAPDAKIGMWIMPTD >KN541558.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541558.1:1695:2063:1 gene:KN541558.1_FG004 transcript:KN541558.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASVFLVESDDELYMVRLLLDRDFRTFYDLIVYRMDFSEQQWHGVEDIGGRAFLLVARCLFGASRPADECGLEKDSVYISYAHKKCFEVCKVEEEGDIGVANLIEAPDASIGMWILPVEQ >AMDW01039141.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039141.1:126:862:-1 gene:AMDW01039141.1_FG001 transcript:AMDW01039141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISKINKEDVLSKAENDLIVVVNQYIGKLVKELQGTDFWKLRRAYTFGVSINVVPLHQFCSRFCKTGTLLSLAEINDSLLELGDKSVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLVVPLMDDNSEMKKKMETMLQSVVKIENGITSLMPNNINFIPESSFMTFI >KN539735.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539735.1:36851:38731:1 gene:KN539735.1_FG001 transcript:KN539735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKSPLALHAAAGAFAFVALVLVASNQHGDWMEFDRYQEYRYLLAIAALAFAYSLAQALRHALRMRRGVDPVPTASGRLLDFASDQVVAYLLMSALSAATPITNRMRSAVINRFTDTTAAAISMAFLAFVSLALSAIVSGYKLSKQTYM >KN539735.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539735.1:73903:74909:1 gene:KN539735.1_FG002 transcript:KN539735.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase reductase 2a [Source:Projected from Arabidopsis thaliana (AT3G51680) UniProtKB/Swiss-Prot;Acc:Q9SCU0] MAPSLHAWDSPNGAPTPLPKRLEGKVAIVTGGARGIGEAIVRLFVKHGAKVVIADIDDAAGEALAAALGPHVGFVRCDVSVEEETRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMTQRRAGSIISVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAQGIRVNCISPFGVATPMLINAWRQGHDASTADDADADIDLDIAVPSDQEVEKMEEVVRGLATLKGATLRPRDIAEAALFLASDDSRYISGHNLVVDGGVTTSRNLIGL >KN539735.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539735.1:24392:25522:1 gene:KN539735.1_FG003 transcript:KN539735.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFFSAAALFLLSFLVPAAVAEERFYEFVVQETLVKRLCKTQKIITVNGQFPGPTIEVYDGDTVAIRAVNMARYNVTLHWHGLRQLRNGWADGPEYVTQCPIRPGGSYTYRFAIQGQEGTLWWHAHSSWLRATVHGALLIRPRPGVPYPFPKPHSEFPVILAEWWRRDPIAVLRQSMITGAPPNVSDAILINGQPGDFLECSAQVPDLVKPTNATFGLEKSIPTVVYSIGRRDER >KN539735.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539735.1:42046:45454:1 gene:KN539735.1_FG004 transcript:KN539735.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKWDWKHHTNTSVVHGLVIGSKRQSDQMVHIRYLQMVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KN539735.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539735.1:50633:56247:-1 gene:KN539735.1_FG005 transcript:KN539735.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYLYVASNRGIDWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNVYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKTRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAISGSISFRNNGSMVPNSSDVVPAEEENNDHHQEYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKCVGSMELIVSKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHPPIEIMESAMQSVPPDSPQDNVDSRACQPEEVNQEYDHDVPPSDSPQDSVDGNVFQDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDQIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSINEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLTLTDADDALAGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAMQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTIKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFDCNGMHTTGLSEEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLSVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSVKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSE >KN539735.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539735.1:12747:15323:1 gene:KN539735.1_FG006 transcript:KN539735.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRSRNQCNVGSRGGFGKVLMMLLVAMIAAAVPAGGQPSDGVVIAQADLQGLQAIRQALVDPRGFLRGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQLPASLGFLPELRGVYLFNNRFAGATLDLSGNFLSGAVPASLANATRLLRLNLAYNNLTGAVPSSLTSLPFLVSLQLSSNNLSGEVPATIGNLRMLHELSLSYNLISGSIPDGIGGLSRLHSLDLSNNLLSGSLPASLCNLTSLVELRLDGNDIGGHIPDAIDGLKNLTKLSLRRNVLDGEIPATVGNISALSLLDVSENNLTGGIPESLSGLNNLTSFNVSYNNLSGPVPVALSSKFNASSFAGNIQLCGYNGSAICTSISSPATMASPPVPLSQRPTRKLNKRELIFAVGGICLLFLLLFCCVLLFWRKDKQESESPKKGAKDATAKAAAGNTYGTVYKATMENGTFVAVKRLREKIAKNQKEFEAEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDFMTKGNLTSFLHARAPDSPVNWPTRMNIAMGVARGLHHLHAEASIVHGNLTSNNILLDEGNDARIADCGLSRLMNATANSNVIAAAGALGYRAPELSKLKKANAKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAAGSETGEELVKTLKLALHCVDPSPAARPEAQQVLRQLEQIKPSVAVSASSSFTGEPSQTTATATTITDDTKSTITE >KN539735.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539735.1:47376:48932:-1 gene:KN539735.1_FG007 transcript:KN539735.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLVGQMYRSGYGVNKNEHKAQIWTEKASRYRSTVWKVSNKRPGYNASDSDSDDSKETGKQSHVTGKMSCTFGLAHHV >KN539735.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539735.1:26261:27273:1 gene:KN539735.1_FG008 transcript:KN539735.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYLPAYNDTNTATAFSNSIRSPAPVKVPGPVTQEVFTTVGFGLFNCMPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPPMPPVFFDFTSQNVPRALWQPVKGTKLYRVKYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPVRDAHKFNLVDPPSRNTIGVPVGGWAVVRTQCACALLEVLNGLKLV >KN539735.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539735.1:9222:11114:1 gene:KN539735.1_FG009 transcript:KN539735.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHKCHLKAVSFIADKRFEIYSVVRKEIWYRPDMYFYRDMLYMLARNKKIEETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEFYEDMRSSPDPPLSLPFRVILKGLVPYPDLREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >KN539735.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539735.1:58104:62167:-1 gene:KN539735.1_FG010 transcript:KN539735.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTVYLRNLNEKVKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKRMRVQYAKTRSDCLATEDGSTAPKEKRKKQEEKAAEKKRRAEEAQQSGPNAAAQSNGTGYQASRLGKTSQEPPAPPNNILFIQNLPAETTSMMLQILFQQYPGFREVRMIEAKPGIAFVEYEDDSQSMVAMQALQGFKITPYNPMAISYAKK >KN539735.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539735.1:31789:32669:1 gene:KN539735.1_FG011 transcript:KN539735.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVVRIVHLNGHIEDFVHGAQTVHARRKIERRCMALIVVAGAFGRSITEFWFFTLTAARCNCSQSRGNGRIWVIYTGNQVSDQEEQQK >AMDW01040852.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040852.1:1271:2383:-1 gene:AMDW01040852.1_FG001 transcript:AMDW01040852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPNPHRFIPQGMHLHPPGPHRRRRADTVNFSPSIMRHEQFVLALVHPQLPEEQWEEHRNEIRGFLEQDPLKGRVLARVWFRDLDSVPQFVVWEQPNVPNGQSWTIYVYTLNGEFADVIPPDNDIPPGEGPVDPNINLEDAPAWQFGNLQQQGGDGHGWGNWDAVEHNEDFQPPVPVPVEVNAISSSVSSYPSASSDSSVVSIISVSSSENEDLPSAENAIVPQNDDVNAEHLRVMYQRFPQIMFDNMFFKDISFRSTFSGGAGPSGLPS >KN542775.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542775.1:1790:4053:1 gene:KN542775.1_FG001 transcript:KN542775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDERAMREAAWVEAVRGELPARRWPARYTFADVLTAAAKAPSRLRSGCKVSCGELVEASTSHPRRKKGDRGSELQESNQEFSQREGKAPMIPELDSALDPEGWRFSSHRSPMVRVSSENFGVEEFFKQGERKEFAAVVEPNQIATVREYVARIELGHGGHVEISTRARKIWRVPERHCDHRTFAEVVTDRRPPNRPEWQSNKRKMPDLVGSEWMKPWAGDSSKQGGGDWGKHEEEDLRKHLLVQNQRPPPATAPRDGRRYTRPGEHGDREPKDAERGAGAPKIKCFKCGREGHHQATCPNPPLYYSCHSTGHISAICPMSVVKRGVKLCGFGILGQGFYSLHVDVPDSEGMRIPVRDILTVIQGVASVTKIVNELKNLFMGLNWEWKVKQLNEKEYLITFPSEDVRSKISTCKSFDFDTCPIKASVVETGMIEEAIDELAVVWAKIFGVPKIARTEESIKAVVELVGEFETLDTNSLRRDDHIRVRVACKDPRELHFSIHIYINKVGYMVRWEPEGYLPYDSNPSDGDDDPKDRSPKRGRQLQDKRSDKGGFGGAQFAPPVYKHKSQGILGNKSMKKLASKKNSLIQELEVTNCSQSSGNKLDDDRGLKTCSADLCTTLVVWGEDKEVVHMEQESQELLALAKFHSQDEEGNITAGLPCGDEDVDDLERCQIPIDSDIERLREEEESF >KN540251.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540251.1:14247:29939:1 gene:KN540251.1_FG001 transcript:KN540251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative callose synthase 8 [Source:Projected from Arabidopsis thaliana (AT3G14570) UniProtKB/Swiss-Prot;Acc:Q9LUD7] MDATKKKEVLPIRVTCKILKMCHLTGRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGKEKQKRIASETFADKSALFRYNILPLYPVSTKQPIMLLPEEKKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHESLKNKNGVSDHSTWRNYDDLNEFFWLDTMLYWVIAFANCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQITQLRTSQQTDQQNTQLRTSQQTEQRNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNSVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAVYFMDTQIWYSVFCTIFGGMCGIIHHLGENFGKAERHDPTKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKSFSSACPCEYYYDVLQILSRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKEKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELCKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKVYSSVLIKAENNLDQEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELVFLLIIYHIFRRSYVSTVVHVMITYSTWFMAVTWLFAPFLFNPAGFAWRKIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFMYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLVFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFLPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >KN540251.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540251.1:50030:53533:1 gene:KN540251.1_FG002 transcript:KN540251.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPTRCLPVLLLLLLVVAPLLAHGRRPFISGGGNVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTAIDSRDTKGFSLLGSGVSTDKQTSYAARIMAISVLPFIIVQIPKIFKLHSGHQITVLIGLIVAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGLLRHAQKNSIGRLLDDEGRPNVSVIEKLFHRIDQDNDGKLERGELQAFIVGINFEDIDWNSNLAADQVMADFDTSRNHFIEKGEFVNGMLRWLDEAKRTVTSGAYSKKFLNDFHARTRDEQTGLLDKDEEEGEADGNPTWTCIKAILLLLLGTAMAAASADPLVDAVHNFSNATHIPSFFISFIVMPLATNSSEAVSAIIFASRKKKRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIILLVCIIMGLFTSFRTDFPLWTCFVAFLLYPLSLIMVYILDYKFGWS >KN540251.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540251.1:39650:44374:-1 gene:KN540251.1_FG003 transcript:KN540251.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNCILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDALSVLDKIAIPVDVDDRVAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGIREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELMKEEDWQVMLQMEEEYIENLCAQILKFKPDLVITEKGLSDLAVHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKFGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNIWKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKFPVLYLGFVFTNMPNNCSMQMVKMLGLALMEAVIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASSAPKQPQIEQEGDADNEQMIPE >KN540251.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540251.1:37275:38836:1 gene:KN540251.1_FG004 transcript:KN540251.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAAAAAAAGQEWSAMAAAGEFLGILANRQSAQRSRVRKLQYISELERSVTTLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQQIKATGGADIATAASMQAKHELLVCEGAAMR >KN543839.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543839.1:3677:5129:1 gene:KN543839.1_FG001 transcript:KN543839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTCGATQRRIGTYPPLHADHVRAAVSGLDCPVAGLVIDFFCTTLLDVARELAVPAYVYFTSNAACLALFLCLPALEGEVTVEFEEMDSEVDIPGLPPVPPSSLPMPVMDKKNPNYTWFVYHGRRFMEANGIIVNTVREIERSVLAAIADGRVTPGVRAPVIHPVGPVISFTPPSDDPPHECVRWLDAQPPASVVFLCFGSMGSLAPPQVLEVAHGLERSGHRFLWVLRGAPAAGGSMNPTDADLDELLPEGFLERTMGRGVVWPTWAPQKEILAHDAVGGFVTHGGWNSTLESLWFGVPMVPWPLYAEQHLNAFTLVAAMGVAVAMKVDRKRNNFVEAAELERA >KN543097.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543097.1:7213:7764:1 gene:KN543097.1_FG001 transcript:KN543097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTNLMFLIAVVVSLMLSQGESQPCGPSSIDVQQINTGKKVGTLDTVFRVTVENRCVCTVKAVIVQANGFTSSIPVDPRLFRKAGDTSYVVGDGQQIASTNSVMFEYAWSHYFQITPASVQVEC >KN539733.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539733.1:4360:9898:-1 gene:KN539733.1_FG001 transcript:KN539733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSNMQPHRGSESIAAADGGHPQSEIVESNSLPTPEPRDDVQMADETADIESQQDSKMVEIKDQDSGNSSSRLASLNSHEARIRIDFEFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFWTDDSPVHHAADPLLPSKFFQRYEAFFYCNATAFAASLVLIILLLSRGVANQHLWLRAMQVTMILDLFSLMAAYAAGSCRALKSSAYILVLVLSVFLYVGIHILVFIRVVPKGLKEGVQTFMHKTVQKLQRMLKRVLTICHPPKKQRSNQNEKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENSHGYRPATFVLRRHNLRRFNIFTCSNATSFVASLVTIILLLSTELSRHGIRTQALFVCVIAELFGLIVAYAAGSCRDVATSLSVIFIIVVVLICALILVMFFQSRTVTIWIDNALRPRFDHFLEMLSWPRENQLSDGNREGPLSSSRQDTDHGNLGDQSTEDVKSAPTNDLESIKDSIPNMANQLHDQKDNLAIATVHSSSADVPSTKGPLPEQVLSEPISALGDLTVSADVPDTEHNIAKSQRDREEQTQELSGHHDSSEADGEVRKSEDGIVSNNDGTRDKGRISGDSEKNPDDVRLKKSRTYLLLLAILAVSLTYQAGINPPGGFWTSNTPSHSAGDPILEDNYHKRYLAFFYFNAIAFLASLVMLIMLLNRKMSNKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFVVVAYTFLHVLASKYAVPEQWKQLFKRRQDVLQEHHVDNDAKDAHEKDLERRRNLLFILAILTATVTYQAGLNPPGGIWPDGSGKPGNPVLQDSHPKRYDVFYYSNALSFVSSVAVIILLVNRESCEHGIKSYALRVCLIAGLLGLLIAYSAGSFRKVKSIVLVLSSTNDALEPPARSGRWLQKFFGLADSQKSLASPGQSKNESDKSDPLINEKKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSEDSRDGYKAGNPLLKDIHSRRYMLMQLEAAESMRGGAVPYDCNYFIEWYRKMAERKERTLSSSAIPNARGSLRVAKRKGTWDGIDAHNSHQSLAQLATWIDIDTGYKTTVPTEIIDAPQYLVVLS >KN539733.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539733.1:42953:46731:1 gene:KN539733.1_FG002 transcript:KN539733.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDYIFLWKWRKYLLLLATLVAGVTYDAGLNPPGGVWPDDTGGHATGDPVLPVTFHSRYLAFFYCNATAFVASLVVIMMLLDRRVSGNRVGVTVLRSAMVLDLFALMGAYAAGVSRDVLAVAYVSALFGLVFAYVALHIVVATSALPPAEELLRKGDDEEAASASASMTTRRVEEDRQERRKFLLLLATFATPLTYAAGFDPPGGFWDSTGGGHTAGVPVLRDGPSRSRYRAFFYCNATSFVASLAIVMLLMSRTLSRRVARSYALQVCVMVELLGLVGAYAAGSNRKRETTAYVVSLAGAVLVYIALQVVVGMFAMAAIKRWLPGLCRILQEMKPCPATPNNTPCEVRAPDGDVTGGGGGDGDEGDADTVEESRSLLLLLATLAATVTYDAGLNPPGGFWPDGGRAGELILLDTHPRRYKAFFHCNTAAFVASLVVIVIVQSRQLSSGAVVKRRALQAAMTLDLLGLMGAYAAGSCRSAAATVYVSALAVAVFVYSLGNVVAFTTMGRSRETRLMRWVDGMVQKVLERLHLWDGQAEEADDDLERKRKFLLQLAILAATVTYKTGLNPPGGFWSGSDDGRAGDPVLVDHYRNRYMVFFYCNATGFMASVAVILMLVNRRLYKQGIRCNALNACVVICIAV >KN540526.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540526.1:47099:48734:-1 gene:KN540526.1_FG001 transcript:KN540526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFWDSSSSGGEGGLMHDLNIMIPESGSFVSGKEKRDTGVLYPFAVVKPLGLEGGGAATLNDVNQRILKRPARPVRHPVGQFACSPAVYAHGLGLSGKAVVSLTRIRTAGKGTITIIRTRG >KN539733.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539733.1:25818:26828:-1 gene:KN539733.1_FG003 transcript:KN539733.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPGSVWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDPGDKSERKRHKYLMLLSILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSRVNTLPLKQQV >KN539733.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539733.1:17845:20622:-1 gene:KN539733.1_FG004 transcript:KN539733.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTSQDDNIEGNFKLLWSLRKYLILLGTIAVGVTYNAGLTPPGGFWTLNKDKHQAGNPVLPVGYFQRYEVFFYCNATAFAASLVLIILLLSKSATKHVLWLRSMQFTMILDLFSLMGAYAAGSCRALKSSIYTWILVFAVFLYVGVHVLVFMRVIPDKLKEMIQKTLWCVHDRQSDRHQDKDVEDARKFILILVTFTATVTYQAGLSPPGGFWAENEYDPLSKLPPAFPPYKHQPATSVLRSNYLDRYKLFVSCNSTSFVASLVTVILLLSTELSKHGIRSKAVIVCVVADLLCLVGAYAAGCCRDVATSFYVMFIIMVVLICFALLVGIFAYKPVAIWLQNFKKESLRCVSATGWMLSSSSRSNRFSNGDHNHDTEIVGTNDDSEPVANGHIHSNQAAPIQNVNGNQTEEHLNKTRKNLLLLAILAVSLTYQSGLNPPGGFWSGNEFRHADGDHILEEYHHSAGDRILEDTYHSRFIAFFYLNAVAFVASVVMIILLLNKVMIMKVTKQCTLQIVMIVNLLSLTGAFVMGSCREANKSIYISVLLCLVLAYVLVHVLIAIHVLCGMASPASSVSPQNRTEDTKELGRRRNLLLTLSVLAATVTYQAGMNPPGGVWSDDKDVSGKPGNPILQDTHPKRYDVFYYSNSLSFVSSVVTTILLVNKESCEHGIKSHALRVCLVVGLVGLLIAYAAGSCRKAIQSIYLIIIAVAVLISVVIQVFLLSSTNSNTLQTFLSIRDVNQDSSSGPQESTDPQEKKERKRKKYLMLLAVLAASIAYQAGLNPPGGFWPDDGGHKAGNPILHDVNHRRYKTFFCFNAFSFMSSIVVIMLLLSRTIREKDVHIDVLYLIMILDLLGLMTAFAAGSCRRFRTSVYVYGLVICVVVYLLLVTVLSSGIAKYLRSRKVQIPSQDHPENASRADTPNA >KN539733.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539733.1:67265:70186:-1 gene:KN539733.1_FG005 transcript:KN539733.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHLELYPPILAALLGLMGAYTAGSSRDLRTIAYVVALVAAVLAYILLAMAIALKKKKHDVNLAGGVEDSETARPPRNEKDGQLEGEKGSKNNEPMKDNDFVLLLATLAASITYQAGLDPPGGVWSEDDKLYGRKAGDPILLSTHVERYKAFFYCNSTAFAASLVVILMVQSKIVKGKALVIATMILDLFGLIGAYAAGSCRDVSTSIYVIALAGAVLVYVVIHVVFWPDDCYVSNQKDKEVEKRRERLLLLAILVATIAYQAGLTPPGGFWDKDDGESGHRAGVPVLLDNYPRRYHAFFYCNATAFMASVALIILLFNPKLYKLGIRCYALYVCMMVGMFGLMGAYAAGSARKVRTSIYVFVLVGVVIAFLLVQLVYFNIQAVWKQLLVFLNVKKEPTSNSDSANTTNGSSSDSEQNIASNTEEESKKKEYLMTLAILAASVTYQAGLNPPGSIWQDGGNVGNPVMRDNNYPRYNAFFYCNSTSFMASIIVIILLLQQYQKKYGGFLLYAMNMVIVVDLLGLLGAYAAGSCRDWETSGYVIALAVVVLACIMIHFMLLYHNGRSKGRVGGVQEINTLPVNHS >KN540526.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540526.1:579:2415:-1 gene:KN540526.1_FG002 transcript:KN540526.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLRALILAVALPVLFLSASEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEPTVLRALANTGIKVVVALPNEQLLAAASRPSYALAWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALARLSLDKPVKVSSPIALTALAGSSPPSAGVFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDSGSGLKYYSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAANAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGGGGSSSKDNGGLGWQDNGGVNTGNAPAGAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPSESSSPRLLVQLPHYIRTLLLHDSLRFVAKCTCYTNGCRAWYTLVHVTQPGLGPSCSGKSLRRNYYYLYMVIHRWVGHSTGANERRFASASYGNDSRFTVVFAATHWHVPHDSRPWLSAWVKPHLGKT >KN540526.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540526.1:33141:36292:1 gene:KN540526.1_FG003 transcript:KN540526.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSGGGGGVEKRPSRKQILGRKKAVEELIRKAVDMKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLPTRKYIQNLLKVNMEGQYGPEWPSEEKVKRREMVAPEAQYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMEPSAQGKGLGKFLMELIELIACKSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKAFESEAKSKLEVYKSSGFSGMKNA >KN540526.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540526.1:18595:29586:1 gene:KN540526.1_FG004 transcript:KN540526.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSREEEEVYDSEEEEEEGEVEERGGNRSRGGGGGKWSGVESFIDDAASEDEEEEEDDDEYYVGGGGGRARKRKRASILIDDMAQVDDEEEEEEDGEFEDGFIDDTRPDDPDQDVGRSSRRHPPSMLDEEEDVDALVKLIHDRYNIPSSLFVDDDDGVTEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKSIDTPDLQIKSVLALDHLKNYIYVEAYKEAHVKEACKGLRNIFVSRKVTLVPIREMADVLSVQSKSTDISINAWVRMKLGAYKGDLAKDGLKVVKEKKSFVPPPKEMNIRVDRRRNRDSGEYYEMVDGLKFKDGFLHKTFSIKSISVHNIQPSFDELEKFREPDNDINEDEASLSTLFTNRKKGHFMKGDSVIVIKGDLKNLEGYVEKAEDTTVHIRPKLPGLLVITCKRNFHVIFNERDLCKCFNPGDHVKVVSGVQEGATGLVVKVEGHVLIILSGTTKEHIRVFADHVVESSEGMGTVDPRFGAFRSPARILQSPGRLPPKAPHTNCGRRFGGRDHGGKGHDTLVNRCIKIKSGPYKGYRGRVKEMTGALVRVELDSLMKIVAVNVKGFRNVDGDDNGPSHNTVATPFGESRNSWGNVTPVHPSRTPLRPFQTPLRDPGATPIPNGMRTPMPSRAWAPMSPPRLALGWQSMPGTPVPRPHEAPTPGSGWAVTPGVGFGDASGKNPSSYATPTPSGQPMTPNPASYLPSTLGGQPMTLGYIEMDIMSPAIGEEGGRNWLLPDVLVNVLREGYDTTCGVVKEVLPDGSCRVALGSSGSGDEITAFPNEFEVVKPKKNDKLKIMSGSWRGLTGKLLGVDGSDGIVKVDGLETTDQTKILDTAILGKLAA >KN540526.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540526.1:8074:12425:-1 gene:KN540526.1_FG005 transcript:KN540526.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAQGGGGVGVMESGGKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLQDQDTKPAHLWQLMVTKSRLSPFAITRTRRKGDETGKKLVFSNDPRHGSHLWNPSSRWYTLIDDAMRNKKLHVNRLKDSRLLNKELHRTLYGFIIFEVDWADVRGMNYFNELQTDTSMAVEAKTMKRWEFESVNQASSLITSWFSGNYSECQLLQDYLNSISPKDHLYACCTGNVFYDARNYFSTPEGDSENVQSDDDDSEPSQCMRESSSFTSSSYTPPPCSGPYKRRKIIRSDAGNNMSEESYSEVVTSPTHSSSPSSSCCSDDDCGKTLLEPSTYKDVLILFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKTYRPWMCPLARALYVLMSIVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVTATKSALSVLTKPIMGPILEVLEFTLPLWNLCAETVGHLSSVIMLAMETSCSVVISTMQMIIWPFWLVFSVVLNVANSVLYPFVWLLGEILAAPFRLVVALASFVADSFVDIVGVLRETWSTLSSLYQVGSASRSTGLASETTIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSRVLTGAQHTTSCEGTRRYSSQNHPMLSYVELRNGYRIKYETMNAF >KN540526.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540526.1:37129:45670:1 gene:KN540526.1_FG006 transcript:KN540526.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEIPPPPAAFAAGGEGDPHALTTAAARSSSSSSLFPLFPLSAPEESSAPAAGSQWLSNPSFSFDASSLNIPATTSSSVPPPLSASSDEEEAPRPASAKYDLLPSSPSPPASDEERRDRRKDRKRRKRRREKERYNSAEASRKPGVRAWAGSETKLAKDYYFDAKGDQDNLAFGSIYRMDIARYKSQNMPEARGLKRLLFHNWGVSVHMGHESDLDGLDSKARAGGRYYSAKYAVVERNKGFKHLKVLKKDNSAILPEDFIPLGTPSLPEKNTTGEQELEESWEDEILRRTREFNKMSREFPHNEKIWLDFARFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSTQNLLDKWEQILMKHPDSWEFSRFKVSDLRKSYAYAVQALSAACTKLCRQDTQYDHLKPKYSSLIRLELGLVDIFVNLCRFEWHTGHRELATALFQAQIEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIDIQENTQETERGGWSGWFDPSLRNNNETSKVEQSTSDGNDAEDPDDEDPSAQEDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKLGSLYSDDAPTGEDNDQLSRVILFEDITEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWIDRILSLEMITDDIQEDLSTVSSLIDKNQTSVHNKMVSLLGTMHEFSQRPGTAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAQEKSLATPATASRALAKNLLKKDRQDLLLCGIYGRIEAMNGDFVKARHIFDLALSTSQGASEDLRKKVPILYFWYAEMELAVSTSRNNSDSVDRAIYILSCLGNKAKYASFDGSISRPQVLKARQGFKEQIRSLRSSFASDGMKEESVALICSASLFESMTSGFASGLEVIEETFYMTPSESNHSLEFEELWMHYIKQLQKNLNQLSLSRVWPRVSQGIQTYPYNPKSYAAMLTLGCLYSVSNNLRLTLDKFNQRSKRLWLDGFEKLSSILTLKELSDLQEVMRDKELHIRTDIYEILLQDETDT >AMDW01040355.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040355.1:40:1347:1 gene:AMDW01040355.1_FG001 transcript:AMDW01040355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLLPSVSSTLLSEPVLCSHYVHTYKYLLERETGGPVLPNFFGGFHGVAGLARAAVMIARVLAGQINLSKGFGLANTSIIFFANCLYALCESDLPYSMHINPASGEVTTLGRCDFGGDLSFRMIAHPKKDPVTMELFAFRYNVFQPFITYFWFDRAGSKVADVPILSFQKPSVMHDFAITERYAVFPESQLIVNPMDMVMRGSSLVGLDRTMVPRIGVLPRYAKDESDMRWFEVPGFNMLHTTNAWEEADGEEIVLVAPNILSIEHMLGNMELMRARVDMVRINLCTGDVSCTALSPESLEFGVIHQGYVGRKNRYGYFGVSGPLPKIKGIRKLDFDLVGSGDCTVGRRDFGLGCFAGEPFFVPDNIDGYGNEDSGYVVCYTHKEDTGESWFVVMDAKSPELDIVAEVQLPSRIPYGFHGLFVKQAELLAQQ >AMDW01030296.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030296.1:144:380:-1 gene:AMDW01030296.1_FG001 transcript:AMDW01030296.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSLVDSCRWLRRHRSKLDIVAAVEDVMAAVAAAMAVVVAAPAVAGQVQNGREEMTTAVAATKAGAARTDLISIAVATT >AMDW01040749.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040749.1:191:1875:1 gene:AMDW01040749.1_FG001 transcript:AMDW01040749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVGTMRRVTILVLLIALLSVIAPLPLEALNVRGHLLKSKTFLSPAFFLHPGSVSNKFYYDVPFPRGHLALKSFNAEVVDENGVPVPLHETYLHHWVVEPYYALKNSDSADAQKLPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPENPPEGYEEKWMINVHAIDTRGAIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPRGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGNGQEAGNEDNYIVGMTTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPAPKPALCFSFPVPCKLNKIINMVHAPFLAILMLILRICRVLTDMADEQSLNVQVGPKEDDA >KN539677.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539677.1:30162:31771:1 gene:KN539677.1_FG001 transcript:KN539677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGLLPGLKFDPSDHDLVGRYLLRRLQGQPLPLDGVILEADPLSAPPWKLLADHGRGDEAFFFAEAQAKNGKGKRRKRTVEGGGFWQGQRTCVDGDGSGGGGGGLEIAWRKYVLSFFADGERGSSGWVMHEYACTDQGSSGVIDDSSLVFRDLPDVIDLPAVEEADASDGAEAALLNEHLPLDLTDQNSYGMMGGDQLLLPDLPGTINDDMPDLFVSQAEEASAMPAISYHSSGFMGNEVAALSDFELPESYSSSDAMDGEALALSNYEFPESFEEDLSCIDFATANASSLGFPMDGYPMDELFDDMPDQGSSGAMDDSSVVFRDLPGMINLPAAEEADAIGDAETALPRDLADDSNGTDRNSYGVMGDDQDRLLLPELPRRIDMPDLFVSQAEEALPAPSSSGAMDGETLALSDFEFPESVEEVLSCMDLSTVDMSCLDVPIDELLDDLPAD >KN539677.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539677.1:55202:61765:1 gene:KN539677.1_FG002 transcript:KN539677.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKVGGLPELGRMDMMTTLGFVGSDVDVDVDEDSGKAIWDDLDSEIAAAAPPPWIDTPATFLYSWSCMSELNRDAIGVLLSEKSLLAKSGKQVEQRMMNDLSAHPYNPVCPLSQGVSSYSSSSQHIVSSSGEVKYIRNSGQEIQIACEIVEEPSHIALYGGKNPYELTGLGNPRDKFIAPSLFEGVNISSISSTSSGMNLSTNSAKENNEYNQLKLQKQIDGYQRGSIKCASWEKHDNFPSLQGIDNVADNNPRMSLLHNTVVSTRNAGNQSPTMSGMVHADVLEIYSSLDQQTSANSIQIHYALQAARQPSQQSLHADVAETNSPFGHRFAANIIQRQLAPQVTTQPSNISLHGSYNHNLRIGSGGQALHGNVETPIMTNKAKEFPKIVRDYEACFLSFYHPAPIPSHVMNMLHWCFGIDMERKMLLPKRYPTQCYGTPSIDQCGGCFRQQKLQTATPEEKFMVFEEIMPHAIELVTDIYGNYVLQKGEICPPFHLMHPHRCQLVRTQLYQVATGKSLTGTWSSFDVGDVV >KN539677.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539677.1:11644:11979:-1 gene:KN539677.1_FG003 transcript:KN539677.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAENYAPLYSHSVDKHASVQQAMSRNLRNQFVSMLSMMAEDQLLQLGLLLQDQILTSQLDIRDMNGATGLLQTKQIYYAVCDDIDLCSCATTGSSFGRIKHIRKCSSSHGF >KN539677.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539677.1:34803:35023:-1 gene:KN539677.1_FG004 transcript:KN539677.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVGERAMPPPTPASQQGPDDVGKP >KN539677.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539677.1:46651:47547:1 gene:KN539677.1_FG005 transcript:KN539677.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGLKLDPSDDELVGRCLLRRLQGQSLPLGGDILEADPLSAPPPWNLLADHGRGDEAFFLAKKGNGKRQRSSVEGQRMCVDGGRLRVPDDGRGGGCGGGGMAFLPSIFPSPPPVPRCSTPLSPSSPPFQPSRVIVRWWAPPAGWCKLNFDGSVYQDGSRRASIGGVIRGCDGGVVLAFAEITEHWTVGVVEARAMIRGLRLALACFVERLVVEGDDLVLVQLIRGEETQTRIPAAMHEEILGLLRCFADVEVRHIYREGNSVAHTLCRQAYVHPGLWTDCATLPAAV >KN539677.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539677.1:7997:8788:-1 gene:KN539677.1_FG006 transcript:KN539677.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHERFRLSHLMPNSWFYKLRDMKRPRPTSSRRITAAGHAARSSRRSSSSSSSIHHHYLHGHGTTTPKPLPLSPPRRSYYPYLQRAKQMPLMEKEFHLISHSKSPLHQRIAGTAIAGDHHDGEFQDLQLRPIRTRPPPSSALAEPRRTASGSGTCPSSPRMRSRRLHVLGGCECRAGSGRRRSGGGGGGFAVVKASAEPARDFRESMVEMVVGNGMRSPEDLLELLECYLSLNAPEHHGVIMEAFKGVWVEIVADADRCVEL >KN539677.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539677.1:63882:66286:1 gene:KN539677.1_FG007 transcript:KN539677.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASTARSSQSTSRKDLAWEFALPLIGNETKNQVGCLYCKNYFKGGITRLKRHLAGVRGQSVYCTQVPDDVKEKVKAMLDAHGEKKSAKLDRQLRLRQQVNINGNDDEEMEEVGEVEVQEAPSAAGSTLVHDDAPAQQPEVDAQSVAVSRKKRLIHKKSSSKAKKACVVVEEEEEEEEEEFQSSDSEHEEEENIPYGDGSSDHELDDVGENDNE >KN539677.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539677.1:82945:84655:-1 gene:KN539677.1_FG008 transcript:KN539677.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MANSHLSHAALSLWLASELGGGARHYEIRRRSNWVPHSRTTELNGFTERASSGRSQLTASLSRCGAAWEAGILVDPSTAHRLVAVAIFVGAKFGGPIDRLPTRWTVVFETSSDAAIRAREMAGLERRFLIAVDYRLFVRADEFQWFCRVLERGPRPSGRCGGGGAGRKRTAAEAVEGEAEDDRRRVRASLPPPSVVAD >KN539677.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539677.1:88216:88544:1 gene:KN539677.1_FG009 transcript:KN539677.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEVGGLYPIDLGRMDMMTALGFVGSDVDDDEDSGKAIWDDLASEIGGGAATVDTPATVLVR >KN539677.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539677.1:41749:42318:-1 gene:KN539677.1_FG010 transcript:KN539677.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGHGRAQRTDRGGEVNGEGGNGVAGMREEKSRRRLLYFSGRQIRQRGDWSHRIQRPWPPYLEIRLLIEKERWWQHGRGEGSCGGDGAREQRRWAEKERGELDVRRRRGEDGGDSGLLPRFQGTERPDPSGGDQVPDRRPPSGSGTTPSRVEKMIAVVHDTFVRATGSPCEEEGVAGFNAPGLLAGR >KN539677.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539677.1:17050:17277:-1 gene:KN539677.1_FG011 transcript:KN539677.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVALMLVVLVAGPQPASAAAPLRPAGWNAPSIDGEGHYASGVVDKYAPLLLSMLPRGPVTPSGAGGGTNGAGN >KN539677.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539677.1:3613:5049:1 gene:KN539677.1_FG012 transcript:KN539677.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFALSSSPVVRNLLVGRKIDQMEERVRHAFSGRLYSQVSENAQLVFKILEIELGMMYDNLYTKARVIRTWTGAILRFITCISLMVAFVLFLTGNKKWHHSRVDVAITYALFIGALCLEVCAIFFMVMMSPWTWASLQYWKYHRLADAAWYVFKSLQTESMSWWSNSLGQYNFLSSCFSDNVFGKVMSLVGAKEFWRNFQHSQRVGVKAEMKKLVFEAKCLAEIFSASQTSSVDADPNSGVGSALDIILREQFEFEVAILSLHVYTDIFLHRCMNPTSADSCDATRERRHLMDACRTISEYMCYLLVVRPEMLPVSGSVRDVLDKASETVAKVSSGAAANKGRVRVVLEKLATDRDLNDLSDPITLAGFVFRGHKEEAVHCHESLQVLARAWVGVLLYAAGKSRGENHARQLSMGGEFLSFVWLHMAHCSLGDMGTFEVELVRPSAVNEGGRKMFVWGYQRPR >KN539677.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539677.1:48542:50444:-1 gene:KN539677.1_FG013 transcript:KN539677.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFVAVVGSSREWKRTSIVSAIVSEVSGDEQFDLFVTEKQELEKPWRRCRAMPPTLALSGRKVAAIMSSDVQFAKDEENKLDASHLAQVLLSKGYEEHCMSHSLILHDTQSEQDELHGHPMRDEAMTIVLQFAEDDQIKLVIAFNQMKRNHIVNRLCRNLSLVILEPAKGGCKVLGIAFFVLYNLQ >KN539677.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539677.1:76303:77271:-1 gene:KN539677.1_FG014 transcript:KN539677.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGLKLDPSDDELVGRCLLRRLQGQPLPLGGDILEADPLSAPPPWNLLADHGRGDEAFFLAKKGNGKRQRSSVEGQRMCVDGGRLRVPDDGRGGGCGGGGLAFLPSIFPSPPPVPRCSTPLSPSSPPFQPSRVIVRWWAPPAGWCKLNFDGSVYQDGSRRASIGGVIRGCDGGVVLAFAEITEHWTVGVVEARAMIRGLRLALACFVERLVVEGDDLVLVQLIRGEETQTRIPAAMHEEILGLLRCFADVEVRHIYREGNSVAHTLCRQAYVHPGLWTDCATLPAAVWEKIDDDLRGVVHERLCKKKKSSA >KN539677.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539677.1:21104:21832:1 gene:KN539677.1_FG015 transcript:KN539677.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVASDDGDHAVLRDDERGIPRSLSLLATLVEAEALRHAAAADADADSDLIRAFRGGATPTVRIGEFLERIHTFIQRESVRHVIELQGACYVLAGIYLFRFIRSGAAREAGILVDPSTAHRLVAVAIFVGAKFGGPIDRLPTRWTVVFETSSDAAIRAREMAGLERRFLIAVDYRLFVRVDEFQWFCRVLERGPRPSGRCGGGGAGRKRTAAEAVEGEAEDGRRRVRASLPPPSVVAN >KN538748.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538748.1:205851:207883:-1 gene:KN538748.1_FG031 transcript:KN538748.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLGVPNTLNVLTAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPMMISASSMLSILVIERVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNSAPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNVKIVRSSNSRT >KN538748.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538748.1:290265:290496:1 gene:KN538748.1_FG032 transcript:KN538748.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MALKESKAMEEILDEMEEKHDDAFARITLLETQLKPLKLENMRLNE >KN538748.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538748.1:213818:217797:-1 gene:KN538748.1_FG034 transcript:KN538748.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLTPVSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGVGEMVTCSKEKSPDLFDAVLGGLGQFGVITRARIPLAPAPARARWFSERQIRYYSSNLLRMDVLRRELRHERGFVFAQDVAYAGFLDRVHDGELKLRAAGLWDVPHPWLNLFLPRSGVLAFADGVFHGILSRTPAMGPVLIYPMNRNKWDSNMSSVITDDDGDEVFYTVGILRSAAAAGDVGRLEAQNDEILGFCEVAGIAYKQYLPYYGSQAEWQKRHFGAKLWPRFVQRKSKYDPKAILSRGQGIFSSPLA >KN538748.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538748.1:243924:270682:-1 gene:KN538748.1_FG035 transcript:KN538748.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRGKRKQQQQPPPPTGPADARQPEGKQREEERKGMEGSDKGGAGDDGSPAPLPETDGMVEFYACGKITTSCSGFLAYFQLTLIQLVQIANSPTYKLERKLGKGGFGQVYVGRRISSPTHGNRNSGANALEVALKFEHRTSKGCSYGAPYEWQVYNTLSGNHGVPRVHYKGKQGGFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHAKGYVHGDVKPENFLLGPPDTPEGKKLFLVDLGLATKWKDAGTGKHVEYDQRPDIFRGTVRYASVHAHLGRTGCRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCGIGPPPFRQFVEYVVNLKFDEEPNYAKCIALFDGIVGPNPDGRPLNTDGAQKVGQKRGRLTAAEDEEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVSDDRLAPHIQKGNEDGLFISSVSSCSNLWALIMDAGTGFTAQVHELSHYFLHKEWIMEQWERNYYITSLAGSNNGSSVVIMSTGTPYAQQSYKVSDSFPFKWINKKWKEGFYVTALATAGSRWAVVMSRNAGFTHQVVELDFLYPSEGIHQRWDSGYRITATAATCDQVALILSIPRRKPNDETQETLRTSAFPGQHVKTNQSRGYTEESISTPLYYNEVQMNVQTPNDMVEVGSPNQQMPLRLHDNGGSSGHIARVVPDLANPAVGSPYGRISSVLPQNQNCIEGYTPSRGMVSPASNQVAVQINFPTQAMAHAVPNDVMVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRRVENMAGPSGSPPCPIWVMPQIPPAICPDTANVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVNSIRSLKSMPMAQFESRIWSTFGHENISSSDRAKNLDSGPGMSKVYRCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVNFMEIPSDTETDLSTYLEHYHKVAEEGIVLGLRRYRFFLYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDEHGKEVFRDGERLIHTDGTGLISEDLAQKCTYSNSKGKLLEPQPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVTTSNRSVRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFMSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATSATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNFNLGLTQCLLQMLLHGTEILINLCFHLVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYKEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLSSDPIALANIQLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRK >KN538748.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538748.1:227994:240809:-1 gene:KN538748.1_FG037 transcript:KN538748.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSNHGMVEVGSPNQEMPFHLHGNGGSVRQIARLVPHLAKLTVESPCGHISSVSQNQGCIEVGTPTQAMVSPGWNQMAVQIDSPARAMAPAVPNDVMMQVGSPCRCMPSGLQNYIEIDSPIQPMISTPRRVSTPSSVQDISRLIENMAGPSVSPPSPITAMPQNPTTTCHTTDNALREAASPQMLALEELGFRKIFMIFAYLASEKIENVLSVDYIRSLKFLSMAQFESQIWRTFGHKYIAASDRAKCLGMLHALLTFLRLKAEKKPASVESRTIQVNLDSDPGMTKVYHCNVAIRGDTVVKIFKGPYIENTRTHLQKVVGDDNVLVVKFMGKLSDTKTDFSTYCEHYHKVAEDGIVLGLRRYRFFVYKDGGNKEKLKQEKIEDKNKCTSPVRCYFVRTEPGWNMDEPYILSGRTVGQARELFMHISSAPTLAKYMARFALILSKTITLDADLSAVYVRRIKDEPCMDRHGNVVHKDQEPLIHTDGTGLVSVDLALNCPTSIFKGKFLKPQGIATCDESETVMRPNSLKRHRSLTAEHPLLMQFRLFYNGSAVKGTVLVDRRLPPATILIRPSMVKIETHPELSGVRSVNSSEIVSARNAKKSLSGVQSVNSFEIVSTSNRPRRTLTSRFLITLLCYGGVPEEYFLELLQSAIEGAENACYDYEDALRIAFSYADMEDSMSARMILSGIPLEESYLQHRLDFMAQQERKGIKQGKIPIDECYYLMGTTDPTGTLRPNEVCVILENGQLSGDVLVYKHPGLHFGDMHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSFNVQPIGVSLLPCFMEREAPPAVRHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRR >KN538748.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538748.1:280171:280413:-1 gene:KN538748.1_FG040 transcript:KN538748.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWSTSSYAAPEWQQSGTPLAWTNIKSPPCRSLKVSGTFLRRDNPPLQESGALFCLPSCGIFGNAATIRSSIPLTIPPR >AMDW01037044.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037044.1:83:226:-1 gene:AMDW01037044.1_FG001 transcript:AMDW01037044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLLCDISPASRGWKIRARIARMWDYTGTADDLPPIHVDLVLVDEK >AMDW01037952.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037952.1:21:500:1 gene:AMDW01037952.1_FG001 transcript:AMDW01037952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWKETDNTSSRPGTNPENQFVSSLVSLVLNNNEFTGEFPQFLQSASQLVFLDLSYNRFFGRLPEWLPGKMPGLQIVRLRSNMFSGHIPKNFTHLDSLRYLDIAHNNISGTIPEDVGNWRIMTVTTPVWEGISFTLEESIDVIMKDQQREYPFRIYNQM >AMDW01019645.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019645.1:35:220:-1 gene:AMDW01019645.1_FG001 transcript:AMDW01019645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVEVIAEPGRYFAETAFALAARIFGKRTRGEVREYWIDDGMFGTLCCVHFENYVPRPAP >AMDW01035839.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035839.1:8:184:1 gene:AMDW01035839.1_FG001 transcript:AMDW01035839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AATACTGVYGTGAYPGYAGALLVDKATGASYNAHGAHGRKYLLPALFDPDTSACSTLV >KN546958.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546958.1:103:436:-1 gene:KN546958.1_FG001 transcript:KN546958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KDRELGHAYSEIKGLKVTEALKDKAIAELTKELKKQDEKLSSLEKQLEQK >AMDW01040702.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040702.1:44:1323:1 gene:AMDW01040702.1_FG001 transcript:AMDW01040702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKMNLIADSTAPLSSDDNLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDRATQDRNILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISEEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDTTSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASK >KN542485.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542485.1:5:3459:-1 gene:KN542485.1_FG001 transcript:KN542485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENSMDDDHFQQNMRNGDGMNVEGGSMEIITRVELELAFASEKLLNLEMLVMEIARKATDFEPPTLEDESVSSETAESAFELDILYGFLDAEVGELDDMISTIETDIQNVEHMVCEDESGGKIKARLDAAMVSLKQMKELVSDIRKESAKFEKAIEFPHDKEGITGDAGYENGIVSSHTSMRTEDQRRNVLQMLEQSIASELDLEKKLSESRCVIEELKLKLHHHDQEKYFLEESIESLCGKTFAAENASEVLLGTSKELVDKVSTIECHLSASRCREGDLESKLGESLMGLSSLQVNAENIQEGSQHSGGTETHPSPELSSLQDKVKELEKQLRESDSQLQLAKASAETFQEEQNVLHAEISTLENIIKSLKEDVSRAESRAQNAELRCMQLTEANIELNGELNSLKSHGSEKTSLLERKLKESHTQLEHAKASLDATVEQQSMLRSTMSDMEHMIDDLKGKVLKAETRAENAESKCTLLTDTNLELSEELSFLRGRAESLENSLHEANHVKMSTVKDIGIRTKIITDLVTKLALERERLHQQISLLTKKNKILAQKCKGSVKDDTQLSKNVTGKDVELHSTKLVEEIVPDFSSSQTKMYETVLLVLLQCNSAFFFLIKTHKFAEKPVDPSNEEEKTRSSEDDDSAGEGTAEAVRTIQPSVLNWKYIT >KN542485.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542485.1:7027:10356:1 gene:KN542485.1_FG002 transcript:KN542485.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYDEGKSFARRDLLLKIQSEVQKCWEENKVFEAKAGDTPPSPGEKFFGNFTYPYMNGMLHLGHAFTLSKLEFAAAYHRLHGSNVLLPFAFHCTGMPIKTSADKLAKEIQQYGNPPVFPSANKNSKVELTNDRIYGQTTASAQDKYRSKRSKSVAKSGSYKSQWEIMRSFGIADGEIVEFQNPHHWLSYFPPLAMEDLEDFGLSCDWRRSFITTDMNPFYDAFVQWQMRKLKKMHRIVKGKQYMIYSPLDRQPCLGHDRASGEEVEPQEYVLIKMKVIPPFPPKLMVLEGRNVYLAAATLRPETLYARAARNLAYQMLSRVPEKPTCLVELLGTDLIGLPLKSPLASNEVIYVLPMQNILADKGTGIVTSVPSDTPDDFIALQELVKNQDFRVACGVKDEWVFPFEVIPIIDVPSFGNKSAEKVCFDLKIDSPDEKEKLAKAKEITYLKGFDDGIMIVGTRIPWDEKFLVDSLSDSTLYMAYYTIAHILQNGNIYGSDNSYIRPEQMTDEVWDYVFCNGPAPKTSIPSTVLTKMKQEFEYWYPFDLRISGKDLMQNHLAFCIFNHTTLLPKHHWPRGFRCNGHLLLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANSAVLRLTKEISWMKEVFDDKSSFRLGPPSTYADHVFANEINFAIKDTENNYDASMF >AMDW01032451.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032451.1:94:468:-1 gene:AMDW01032451.1_FG001 transcript:AMDW01032451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTARERMEHLRRQEREHQQLVRRAKPCVLPEKLQRELQGMVKKQRKVSSGLLKRMAGRIERWYHDEGFQCAQVVSYHGNLDAGEVVCEVVEGDITKVEYHFLDKLGNVVDGNTSIPLIDRELPHQ >AMDW01030963.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030963.1:139:300:-1 gene:AMDW01030963.1_FG001 transcript:AMDW01030963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHTTSFYACSLRDGDGEAPPAAARELLTVADISADHAVLSPTPCIGLTLIFDVY >KN545784.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545784.1:8:1157:-1 gene:KN545784.1_FG001 transcript:KN545784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRRHNEDGKNATPAQTPAEATQNMLKRKRLGSKINDEAVNKLYN >AMDW01007205.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007205.1:5:184:-1 gene:AMDW01007205.1_FG001 transcript:AMDW01007205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTF >AMDW01039812.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039812.1:66:1032:-1 gene:AMDW01039812.1_FG001 transcript:AMDW01039812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WWRIHLSFGTSMVGWNDDNSLLAHFVLKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLAIQPGFLTYAVATLVVVAALVLFFEPRYGQTNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKVTHFPGYQLLGA >AMDW01036704.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036704.1:16:648:-1 gene:AMDW01036704.1_FG001 transcript:AMDW01036704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRLAYSDVATFTTDQELLISKRASEAGFDYVEGQVQLNRTLTEGPKSTPFFSSTDIGRLAGLASKSVSGVIYVIEGAMYYNESTSTTMDQKLESILGQLSFEEGFVFTKDVRYVQFLDRVREEERVLRSIGMWDVPHPWLNLFVPRSRILDFDAGVFKGVFAGANPVGVIL >AMDW01037602.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037602.1:151:678:1 gene:AMDW01037602.1_FG001 transcript:AMDW01037602.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLAFMFTGHERPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDRSLIYTMSFLVGGLIWALVMLPRGGMQPNKILGVGLIALYSVFLFIR >KN542268.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542268.1:346:4299:1 gene:KN542268.1_FG001 transcript:KN542268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPAGTVRRVVLTSSAAAVSGRPLQGDGHVLDESSWSDVDYLSSPANKTSPGKAYSLSKVLSEKEASRVAEENGISLVTVCPVVTVGPAPAAEAKPCVAAVLSLLSGDQEMISTVKLMEKATGGLMLVHVDDLCRAEIFLAEKESSSLSSAESSASLGDLPEKPRIRLSSEKLAGEGFEFKNRTLDEMYDDAFLEYGRALGILPY >KN541880.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541880.1:5764:10385:-1 gene:KN541880.1_FG001 transcript:KN541880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTDGEQHESIRQIILRFQGTSGAARTSVLSRRWRSLWTTLPELRFPAVTDLARVTATLLSHDAPLLHRLELRSHDPAPHEVAAVLHLAARSLAGKLLLDIVMRKKRNPAAAAAAGIGAAFHIPCFRKATEISIRFAYLTIRLPPFGVFAKLSVLRLTRFRLDDSQCDHLGDIVSSERCPSLQALTLRNSQGLSNLAIRSKTLLTVGLFELEELQQLTISAPMLRTLHLVHCLDKRAVRAADISAPALEKLGWIGLCDWSSVHFNVIQHLKRLDTLNLAVYGPPNLVDSRYPGSMSLLQHFKAVSNVDLYLIYPSSMVDCEFLMEDMNTLPAIEILSLRLITAGHAFGPCVFQLLRTSTGVRELHLDLDHHLKGEVSCSSGCICYKPSDWESMDICLNFLQKVEINNLSGAEYEICFVKRLLTWAPVLKMITVMFGPSVTVSEEVCQELLSFSRRCSPGICMEIYLHGNRAKVFNLLGKLSDRNLSD >KN542268.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542268.1:5985:6616:1 gene:KN542268.1_FG002 transcript:KN542268.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGGGGGGRGGRGGGRHDIEKNSHFKELQALGPLKIFRADLEEEGSFDEAVAGCDYAFLVAAPMNLKSQNPEVNACRVHLPPNSVSLWGQVPLDVVHLS >KN541880.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541880.1:14179:21412:-1 gene:KN541880.1_FG002 transcript:KN541880.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ISSNDKLLVEAVKDELPAIEILFLLLLTEGHTFGPCVFHLLRMSPCIRELKLQLEDHTEEYMICSICSRHPGQCSILPWEGYHSSVSSSVRFDEKPNVHRLSVFGMVYGRRNTPDSLQLLQHFTEAHDVHLSLVYPSGRSKESLWHVDLDIMDMSCELLVQAVKKLPAVEILSLRLLTIGHIFGPCVYHLLKMSTGIRELKLKLEDHIADGEVPCSSGCVCYEHQAWKKNNISLNFLQKVEINNLSGAERQIYFVKRLLRWTMPELKTITLSFDPSVTVSEKVSRKLLSFSTPGICMEIYLHRNGTRKLMTCSFCSRHLVHCSILLSEDYISYHSAKNSSTWVLNTVDV >KN539601.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539601.1:20366:21084:1 gene:KN539601.1_FG001 transcript:KN539601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDLQERNERLFYKLLINNVEESLPVVYTPTVGKACQKYGSIFRQPQGQQKMFELLRNWPEKSIQVIVVTDGEHILGLGDLGCQVMGIPVGKLALCKLT >KN545029.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545029.1:1379:1624:-1 gene:KN545029.1_FG001 transcript:KN545029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQRATITCNNVEEAGEGDSGAICGDCGIRDATAGWWRSVASAAVMGDTLVSEMCLHRANIDVVVRMECERMRDRLEQA >KN539601.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539601.1:53879:54732:1 gene:KN539601.1_FG002 transcript:KN539601.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSFLLQILLLLAMVILPSTVAGRSPENMAPSYNPSNQAGSPVYHGNTPCNHPAGCPH >AMDW01016678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016678.1:74:262:-1 gene:AMDW01016678.1_FG001 transcript:AMDW01016678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVFVNGAADERSRAIRDLGRACEDWDFFM >KN539601.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539601.1:38911:42593:-1 gene:KN539601.1_FG003 transcript:KN539601.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAAAQKVLLAQPVLILILLFVSSSCPCVSSLATSRTHNTSEADRQALLCLRSQFSDPLGALDSWRKESLAFCDWHGVTCSNQGAARVVALRLESLNLTGQIPPCIADLSFLTAIYMPDNQINGHIPPEIGRLTQLRNLSLGMNSITGVIPDTISSCTHLEVIDMWSNNIEGEIPSNLAHCSLLQEITLSHNNLNGTIPSGIGSLPKLKYLFLANNKLEGSIPGSLGRSTSLSMVFLENNSLTGSIPPILANCSSLRYLDLSQNKLGGVIPSALFNSSSLLSLDLSSNNFIGWSIPSAPLISSPIQRVILANNKIFGSIPAALGNLSSLSSLLVAQNNLQGNIPDSITKIPYLQELDLAYNNLTGTVPPSLYTISTLTYLGLGVNNLFGRIPTNIGYTLPNIETLVLEGNHFDGPLPTSLVNALNLQVLEVRDNAFTGVVPSFWALQNLTQLDLGANLFESVDWTSLSSKINSTKLVAIYLDNNRIHGILPSSIGNLPGSLQTLYMTNNRIGGTIPSEIGNLNNLTLLHLAENLISGDIPETLSNLVNLFVLGLHRNNLSGEIPQSIGKLEKLGELYLQENNFSGAIPSSIGRCKNLVMLNLSCNTFNGIIPPELLSISSLSKGLDLSYNGFSGPIPSEIGSLINLDSINISNNQLSGEIPHTLGECLHLESLQLEVNFLNGSIPDSFTSLRGINEMDLSQNNLSGEIPKFFETFSSLQLLNLSFNNLEGMVPTYGVFSNSSKVFVQGNRELCTGSSMLQLPLCTSTSSKTNKKSYIIPIVVPLASAATFLMICVATFLYKKRNNLGKQIDQSCKEWKFTYAEIAKATNEFSSDNLVGSGAFGVVYIGRFKIDAEPVAIKVFKLDEIGASNNFLAECEVLRNTRHRNLMHVISLCSSFDPMGKEFKALILEYMANGNLESWLHPKVQKHRQRRPLSLGSIILIATDIAAALDYLHNWCKPPLVHCDLKPSNVLLDEDMVAHVSDFGLAKFICNHSSAGLNSLSSIAGPRGSVGYIAPEYGMGCQISTAGDVYSYGVILLEMLTGKHPTDDMFKDGLNIHKLVDCAYPHNVIDILETSIIPWYTHEGRNHDLDNDVDEMSRMERCITQMLKIGLECSLESPGDRPLIQDVYAEITKIKETFSALDS >KN539601.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539601.1:24177:26631:-1 gene:KN539601.1_FG004 transcript:KN539601.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLKHACLALVLLLALLGFTQNNSYGSTTNFYLEQYKNTISKYVSHHIEFPTKREMGIRIHEEKIAPKP >KN539601.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539601.1:70058:71142:-1 gene:KN539601.1_FG005 transcript:KN539601.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIIENAGTDVDDIDVFERTHRHAKGKGQYANKKAEQLAVVYNDRVKEGENNQVDKQHVWVQLTKGRKRGRYYGLPGIIDRNHVGNSASAPSGSMETQPLYTQQQVQDIVQQAVTNAVHNAHQELASRIERLEQTVDKDKAETHSHDANGPSSSVVPEFLHALLMNGMNTTEEAPQAGHCGRTCENDDLWRF >KN539395.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539395.1:15110:19768:1 gene:KN539395.1_FG001 transcript:KN539395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGAEFFSNRCVRGLQDFMVRMRHPRGQAVTMKIMPLDWLSMCIDQRKKEGEGGVLAQLRELKAWFEVQTLYHFYSASILFGYDANAAAASSAPGGVRVKLVDFAHVDDGEGVIDHNFLGGLCSLIKFIGDIVAEVTEKASSDHS >AMDW01034752.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034752.1:156:506:-1 gene:AMDW01034752.1_FG001 transcript:AMDW01034752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLATVKYAEAEPCFTSRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGAFKPHGKGRKAGKRVYDIKRWAKQAPLSLVSSITKSNSADKEEEEKTE >KN539395.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539395.1:79293:85712:1 gene:KN539395.1_FG002 transcript:KN539395.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNISDNPIKIIHIYVIFTSTGDFITTLPVLCEVVRTIVHALGVASALRRLYCAPGGGSGEVSAPPATEQQEQAAKEEEEEYTLLAITGSDFNEVAWALLMEMMLSLITFTDRINSSINVMEYHHTLKPSNALEIMRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSTVCTVCGENSAFTQDDFQKFDYENFTQSPMSDKSAPSLDILPGSARVTCKEYKRLVDNGERHLLLDVRPAHHFQIASVSQSLNIPLSELEEKLQILETSLKETTDTSTSDKPPSLYVVCRRGNDSQIAVQLLREKGFLSAKDIIGGLQSWAQDVDPDFPVY >KN539395.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539395.1:69554:74459:-1 gene:KN539395.1_FG003 transcript:KN539395.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRRRRGRRPAAVALQLLQPAAAASSNSGSVRCNGTASPDVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGSSNSAGADGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHSPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNNGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFSTKLQILRV >KN539395.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539395.1:56541:57734:1 gene:KN539395.1_FG004 transcript:KN539395.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEASWRHKMLPRWHHRVTGLGGCGARWRPRLHGFTFVLMSCAVRRPRLPLLLRRGVRHQDGFSGERGAERIGVVPGRGGLSGAATAAACCCCCGGGRRQQQQQQHGGVQ >KN539395.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539395.1:100392:102171:-1 gene:KN539395.1_FG005 transcript:KN539395.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDAGSMEAPSKPQHGATGPVPFKDVIGDAMAQRSSPEEEEYAGVVSSLPSYPKLRLRHYQGMWLMEYTLPGIMAIQRSFVPRRHGDVVLASPGKCGTTWLKALAFAVLARGAYSPTSDRHPLLRLNPHDCVPFMEGAIAEGWGGKIDELPSPRLMSTHMQHAALPKSIADEPGCKVVYICREPKDILVSAWHFFRIIEPDLSFQEVFEAACDGKFLTGPIWDHIIGYWNACKANPEKVLFLVYEDLLRDPANVVRKLADFLGQPFSSTEEEAGLVTDIVRLCSFENLKSLEVNKMGEASFAFPNASYFRKGKAGDWKIHMTPEMVECFDTIVKEKMHGSGLVFA >KN539357.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539357.1:77704:83833:1 gene:KN539357.1_FG001 transcript:KN539357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSASLPEYAQFDGHLLPVAWLEGDVRAEFLAFLDDAAAAAEDAAEPYEVEFEEDEAEPYEVEFEEEEEEEEEEPYEVEFAADDDSDDDVGGDLVDDGGVMEGGVDYVYDDDDVELVNADFEDGLACSDALACHRVCKGVQFGEQEERREQQCSSGEGVLLQLGIRGGGGGAAKAGRPRVVAVDVPEPVRWLPPKLVLPGCVTCVAIAARTRFSYYLAGARAVDNTAAKKKDIAEKINHASFDGLVYVTTLLSSWRLNIKCNADCTYACTFQYYSIFTQQWTGGAGATVAAALTDGQGSQ >KN540023.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540023.1:65551:68648:1 gene:KN540023.1_FG001 transcript:KN540023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPPRIGAARLRRAARAPTSASCSSRLGLSPARRRGSPPRIRHSASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLVCLQCSFSMLWLIFAADITDIHLPIGHSSRHSILCNNHTMAVASEVNQIQIQSGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTASTSSKSSVTAACDDALDDLLSETSPSVQTEGLAEPGSTSKNDHYIDIRYANQIDITTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWFDSL >KN539357.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539357.1:36030:47629:1 gene:KN539357.1_FG002 transcript:KN539357.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLENSLELVVIGEDGVLQSICEQTTFGIIKDVGVLNWRCTHFGLMPKVEGKEILVILSDSGKLSLLYFCSEMHRFFAIANIELSKPGNLRHRLGRILAIDRESRFVAVSAYEDEFAFVRVSVDHKLHAPNGEIEEDAKIISTAYNTSSIHGTVWSMCFISTCLDEEYYPVVAMIVNRGSDVNDLVLYGLDSHKRTIDRISTYLEAGPLALEISEIPQLYGFALMFRAGDVLLMDLRNPKDISCIHRISLSTSLIGDHVSVEDSCRGLDVDDDVAACALLELRDSGNNMMRDDSYMDIDGTDNKAVVKSRIVCSWNWEPNAMQGHPRLIFCLDDGEFHLLEFSLDMEGVKVLPECVHRGLPCKPLLWMDKGMVVGFVEMGDGMILQLENNRLVHKSAIQNVAPILDLAIADHHGEKQDQMFACCGMCPEGSLRVIRNGVNVEKLLRTDPIYHGVTGLWTLRMKRTDAYHSFLVLSFVEETRILSVGLSFNDICDAVGFQTDVCTLACGLVADGLLVQIHSKCVKLCLPTACAHPEGTLLPSPVCADWYPDVTISVGAVGHNVVVVATSNPCCLYILGVRSLSSFQYELYEIQHVQLHYEVSCISIPQEDWRLDNSSSSCATSGDFRKDFAADIRKFAVIGTHEPSVHIISLEPGEAFQQLAVGHISVNNALGTPISGCIPENVRFVAAARFYILAGLRNGMLLRFESQTSKGHCFPGSFYKESSTPCDDTSLMLIAVRRIGITPVVLVPLHDRANADIIVLGDRPWLLHSARHSLAYSSISFLPASHVTPVSSTDCPNGLLFVSENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVLRTGLTSVSCSSDIVQIDPSNGALLSRFKCEPGETAKCMQIAKIGNDQVLIVGTSKSNGRPMMPNGEAESIKGRLILLSLETIESPRESGSFTAASNLNSSHAGSPFPEFVGYAAEELSSNSMCSSPDEVCCNQIQPELMAGHLRSLVQHTFNGAVLAVHPYLDRYVLAAAGNVNLRKLELIYSDPAQRLVGDVALLSCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKHWLPIDDLTEPVLESVYNCVVASTLLGSIFVMIPLTSEVHQMLQDVQERLSVHPLTAPLLGNDHAEFRRRGIPSGVPPILDGDMLVQFLELTSEQQHDVLNLVSPGKKRQHDISVFQVMRALERVHYALN >KN540023.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540023.1:46208:48879:-1 gene:KN540023.1_FG002 transcript:KN540023.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTHQVASPENQVQPESFYHGGAGSNLSNLSVQVAVGVPGNTDFRSHYESINLQHQHVQNPYPHVGVASSSVFPSTMYNPCISTTAVNRYVPAIQSFGLGNPLLLPLYHQLAQGSMDENGSSGNFGDSVREFIKRKNALLVGGHHFVNSFASSSSSAHVPPNPSHRSWNASFEANILPSTGVSNPPDYSSADSLNSSNSMAAHPELVHYGNYVFPAGHMSQYNAWIAQASRTGGVPQWEHGNAAANPPGGFVHSGTIDMPNGGLQGYQAGPFANFYGPLPHFHQNPLNNMQHPALFNHIQMQVPPQHCLSNNLLHHPSGNGLPLDPRILAISSNSGHTFGPTAQPSLANQVNAGSSRIQPYEFLFFKLDNTKFKGYQNLVEKYHLILFLVQFIVFEIFKNAPFVDLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEERIGNVNSGFTESYIEENLKSSSYVPDADCMPDQSSVEKDACIICQEEYEAKELVGTLGCGHKYHAMCIKGWLMVKNLCPICKTTALPADRRNG >KN539357.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539357.1:87244:89582:1 gene:KN539357.1_FG003 transcript:KN539357.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSSSASLPEYVQFDGHLLPVAWLEGEVLAEFLAFLDDAAAAAEDEAEPYEVEFEEEEEEPYEVEFAADDDSDDDVGGDLVDDGGVMEDGVDYVYDDDDVELVDADFEDGSFDDGLVSDDDGGAAAEAAEEHAARAAEPPAGNARMSVGPVKQFGGDYEAINEMIREYLQADKKRRRARRVAAAMLRLHIIEKKH >KN540023.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540023.1:32213:36927:-1 gene:KN540023.1_FG003 transcript:KN540023.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPRDEIRRIRLQLQEYGQVGDANVFYWFQNRKSRTKNKLRSGGTGRAGLGLGGGGDDGAPGGLAGKPVHAGDGARRRPRLVVPHANPGGDHHPPIDLTQRAPRPMQRGTRAASARDHGRHDDHLLQRLQGVRPPFHGRHELHRRDASTAAMILPFTTTAAATPSNVVATSSALADQLQGRLFRDWTCAWQPSSQKDAFNVASLSGLDFRMHRYASFLAVINSPEGGAAPPPPSATVVAVSRDDETMCTKTTSYSFPATMHLNVRMFGEAAVLVRYSGEPVLVDDSGVTIEPLQQGATYYVLVTEEGVH >KN539357.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539357.1:95258:97081:-1 gene:KN539357.1_FG004 transcript:KN539357.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDFLKYNENRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSEFQEPVTVRSCRPIHAKELTMVNAYRPMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVNEAETVCHYYMRQKIIKRENFSEEKWKKIYYLSNGNGSEMRWLAAFI >KN540023.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540023.1:3924:7082:1 gene:KN540023.1_FG004 transcript:KN540023.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFGERMVRREFASGGSVKYIIKDLGMALETEEGPEGAKALPGAAMFRQMFSAMAANGDGDLSLQGLITVVERLNGIRKASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSIDPSAFHSAVEAGAKMIEIGNYDSFYGTGIEFSSEKILKLTRETREMLPDITLSVTVPHTLSLLDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVTIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMVAEVKSIAEALGLPSRNVSSNLRTVHH >KN539357.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539357.1:30249:33539:-1 gene:KN539357.1_FG005 transcript:KN539357.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLRHADARTPRAFVQLLAAQPPRPSAADQCHAAATKLGFSASNPFANTALLAFYCRSRRLREAQHLFDQMPLRTAVTWNTLIYGHAQSTAPDLAVAAFARMVRAGVSPTASSVSSVLVACVRLEDAGAGATLHSVGLRRGFCASVVVGTALVDMYAKCHHLGAAQQVFREMEEKNVATFTALVTGFVLSRRPHDAVLLVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDPFVLSALVTMYSKCGILEDFVKVQMSVSCQDQVSFNSVISGLSCLGRGKEAFQHFLEMRRHGTDMDVFTFASVLKAIGSSSSLLEGRQVHTLILKIGYDSVVDVQNSLISMYARHGAIGESNGVFISMEAPNLVSWNSLMSGCAQHGHGKEVVEMFEQMRRLHVQPDHITFLSVLTACSHVGLVDKGLEYFNLMKDKGYLVGARTEHYACMVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIAIRVSKCLIELNPHDSSVHVQLSNAFAGDGRWGNAAEIREAMSEYMSGGSLHDLHNQHNVLDLPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRSMAPEIQVYNSVAGAK >KN539357.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539357.1:93166:94604:1 gene:KN539357.1_FG006 transcript:KN539357.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLPEYVQFDGHLLPVAWLEGEVRAEFLAFLDDAAAAAEDEAEQYEVEFEEEEEEEPYEVEFEVEEEDPQEVEFEEEEPYEVEFEVDEEEEEEDPQEVEFEVEEEEEPQEVGSN >KN540023.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540023.1:29045:29398:1 gene:KN540023.1_FG005 transcript:KN540023.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRMRGHRAHLNFPLATVQKHLDRDLAGGRSSSDQTSTRARRRRRPRANTTTTDAAARSVVFSGVQTATNCDHMVSFASGKDQISMQECSKSDTGVIDFEEIGGEYWDYLFPPLV >KN539357.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539357.1:21369:22169:-1 gene:KN539357.1_FG007 transcript:KN539357.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQKPTQGQSQQQQCHYQVPTVTVAKNKAKTKCSSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATQAAPDSPLASRIRTLLTHKKLKKSMPQPTITFSTAVYHHARSTVPTAATSTSTSGVSPSRSNSSSLNFATNLSNFAMSGGEELQLASSQQQYDQSWALNTSLLPIGDGCDMSGSNACPVASDQDKMKAEKQGSHGMNGIQEQETFDMGSDLCDSLWDLPPICQLSCKY >KN540023.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540023.1:55984:56901:-1 gene:KN540023.1_FG006 transcript:KN540023.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPRHRRGNRDRDDFRRERDGYRGGGDGYRGGGGGGGGDGYRGGGDGYRGGGGGGRRGGGSRYDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREEKP >KN539357.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539357.1:48605:51485:-1 gene:KN539357.1_FG008 transcript:KN539357.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVASSVSLPEYVQFDGHLLPVAWLEGEVRAEFLAFLDDAAAAAEDEAEPYEVEFEEEEEEPYEGEVLAEFLAFLDDAAAAAEDAAEPYEVEFEEDEAEPYEVEFEEEEEEPYEVEFEEEPEEVEFAADDDDGGGLMEDGGRGSEVDDDDSDLFKAYEEEAEQEMALLLPHIMAIPAVMARASAAPATEQETKRRQFVSDQRGWM >KN540023.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540023.1:13827:23429:-1 gene:KN540023.1_FG007 transcript:KN540023.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNSNIKFPLVYRKCASNSPDSLNQSYKTVQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRVTNNVKSTRSIRILFYCHVASQIVAVILATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGVRFRSIWYLTHWLLGIAICVVGVANVYIGIHTYHERTGRSVRPWTVLLTVEVSAMAFVYLFQDRWNHVVRQQQQQEAAALGDDDDDDEQSEEHVYPANDHKEVAVYKKLSDTFALLDHMRLFTVEKSAAAANHRRGFCRRADGVTSAAKNSRRRHWRRGFRAPELGKKRLSQLAEVNMLRESIGFVIPNLPHPGGIRRNSRDGSHGAGGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFSKRAPPLTSRQFVERAFTVGIGGPVGTGIGRIGENFSAQGFSIWKTALMLALCRSLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGEDIVMLTPKSSTNKYCGTYADNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLIINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIATGNKRR >KN539357.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539357.1:104513:114268:1 gene:KN539357.1_FG009 transcript:KN539357.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQGMMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLFLAYSEQSVGSTSSTSLYLLALFTGAPPLWTTTHRKDGGDVRGHGGSAAHGKEAVEVIFAAPTGPQLLVSFGNRAGEERHDNRWEWSSRSERAHEWGYRHLRSPHYFASARRARDARGVHLDGQTGSEGGGGGGGAWNSRPGGWDRRDREPDPFANSEAAEVDFEGENTGINFEAYEDIPVETSGHDVPPPANTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMRSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQNEKYKLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQRANGTHGKQALTLVFVETKRGADALENWLYNNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTPLARPLSELMQEANQEVPQWLERYAARSSFGGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGYGGGGGGYGGGGYGGGGGGGGYGGGSSYGGGGQGFSSAWD >KN540023.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540023.1:43859:45116:1 gene:KN540023.1_FG008 transcript:KN540023.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAEKENTFFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSSA >KN539357.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539357.1:56864:75614:-1 gene:KN539357.1_FG010 transcript:KN539357.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGDGKKGKGSGKPDQLRPKSKQFKKHSRKEEVADEGEQQERPAAPDSAAVLAADDGDFPRGGRSLLSRDEVAEARAEADADFEREERRGKRKRKGASSSGAGGDDDLGSLFGGATTGKFPRFANRVTLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEEVHDITSQETRKDSEGSICADVVHVGQLVPCIVLRVDDDNKEGKVNKRVWLSLRLSWIYKGLSLDAIQDGMVLTAQVKSVEDHGYILHFGVSSFSGFMPKADRESAKIESGQLIQCVVKAIDKAREIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFTGTADIFNLSNSFPSGSWKDNYIKNKKVNARILFVDPSTRAVGLTLNQQLLRLKVPSINVKAGEIYDKARVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGSMARVRVLGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMVFSSGVKALCPLPHMSELEHVVKPPKKFKVGVELTFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTEAENVYHVGQVVKCRVVSVVPASRKINVSFLISTNRVIQADTPKVGSIVSGVVERLTPAAVVVSVNGFCKGSILNEHLADHRGQAAQLKNLLKPGHKFSELLVLDVEGQNLVLSAKQSLINCASDIPSVISQMHAGSVFHGYVCNIIEAGCFVRFLGHLTGFSPKDKVNAESARVKLSLQQSMCSSADCSFVQGYFLLDQKITELKYSDPSSSFHDWLNTFAIGNLVEGEVGAIEEYGVILNFQSHPDVVGLIEHHQLGDSSVEVGSSVKGLVIDLSDGVVNISLKYELVRSVSKVGKKKLFFNFATTGLKKRHRAAVMDLELHEEVNAIVEIVKESHVVLSIPEYNYAIGFAPLMDYNSQLLPCRNYENGQRITVVVGSMPSSGPTGRLLLLPKASGKNSSVSSSKRAKKKSDFKVGSLVEAEIIDIKPLELLLKFGSNLHGRIHITEVSDDDSNDCPFSELQIGRSVQARIVSEAEHSGKSGKNSKWELSIRPSLLQGGLEDFTPPKAELRHSIGGIVHAYVVKVDREWIWLTVSRDVMAHLFILDSSAEPGELEKFQQRYSVGQAVKGRIIGVNREKRLLRLKALDSQSLPENMDETQKPLSSTVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVQEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRSSMCANSNQSRRLFDDSGIRTSRFEKINDLCPGTEVKGYVKSVNSKGCFIMVSRTIEARIILSNLSDEYVENPQNDFPVGLLVHGRVLSSEPQSGKVEVSLRKNTSSKSQKSDDISYSDLHVGDIISGQVKRVESFGLFVTIQGSELVALCHVSELSDEPVLDIHSCHKAGDKIDEERHRVSIGMKKSYIGPDSTGDTSDDEDDEIVPEEISRNPVMGRDRNHALVLPKPESRASVLPLQVSLDESEGSDQENDNKGQEIANGTEVDDKKSNKRLKEKARKQRELEISALEERALQRDVPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVDKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLSLKQSKDVECIKLIVKRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTEIIRALFERVTCLSLPPKKMKFLFKKYLEYEKLQGDEERIEHVKQKALEYVQSSLA >AMDW01006150.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006150.1:38:148:-1 gene:AMDW01006150.1_FG001 transcript:AMDW01006150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KASIKAISYDEKNNTVTVAGPFDADEVSDRLCSSAGK >KN541306.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541306.1:7470:9947:-1 gene:KN541306.1_FG001 transcript:KN541306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSSRCKLLILHVVLFAIAPLLQARPLGRQWRGGPQVVAPLMLPSDVDVDGAVVQSEQHLAAEEMVAELAGKKACSQAHHFANLAINHYNNIGENIVKIELCTVLLSNCFHEVCGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELS >KN541306.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541306.1:20445:25006:-1 gene:KN541306.1_FG002 transcript:KN541306.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGLLLGVLGGRHREIGKRESRSPSPRSKRLKRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKGISREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAARGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLDEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >KN541306.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541306.1:13164:14815:-1 gene:KN541306.1_FG003 transcript:KN541306.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEELLADSLDTSDEAHCFREEDKPAFRESKAQMARFYQRMIDIEKNKIEQIFRPRVLSDTPEQHLTAEEMEAELAGKKVSSQAHHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMTRNQDYERCHSCSDRIKHPYGTEFVAGHDSSKIPYYTAG >AMDW01038863.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038863.1:242:679:1 gene:AMDW01038863.1_FG001 transcript:AMDW01038863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YRLRLQISDHTTSTSCTIFDDVAQSMLETPVSSLLNLLDGRNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKKTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETNQQ >KN544011.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544011.1:1682:1900:-1 gene:KN544011.1_FG001 transcript:KN544011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAASSCSCKKTPAFAAFAKKASPETAQVALDRFEELEQCIDESESSCHKVFRGILHTRVALLNIQTPTF >KN542343.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542343.1:5843:7114:1 gene:KN542343.1_FG001 transcript:KN542343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLDADDANSGSAASATHSHAIGDMPQLDASSRTSADEDDHGHNVGIDDIDDAGDNGSTGSDSDVPSCAVCTEPLEWAAVGPCGHRVVCSACAARVRSAPNPDHRMSDRADELAGILEKKPAGSPKARTMQIALRVYKTHSHIEIGPDFTEPGFPVME >AMDW01029819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029819.1:42:307:-1 gene:AMDW01029819.1_FG001 transcript:AMDW01029819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGSSMVRYGSSPEKLDRAAEGSHT >KN542343.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542343.1:13328:15182:1 gene:KN542343.1_FG002 transcript:KN542343.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGAGDGAPKHYPGKMTVFVFIACLVASSGGLIFGYDIGISGGVTSMDPFLSRFFPSVYAKEKEVVDTNQYCKFDSEPLTLFTSSLYLAALIASLFASVITRKLGRKMTMLGGGFIFLVGAVLNGAAVNVAMLIIGRILLGIGVGFSIQAVPLYLSEMAPAKMRGMLNIIFQLMITVGILFANLINYFTDKIAGGWGWRISLGLAAVPAVIMTVGSLFLPDTPNSLLSRGKENEARAMLRRIRGTDDVGPEYDDLVAASEASKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRFGRRVLFIQGGIQMIIAQFILGTLIAVKFGTAGVANISQGYAIVVVLFICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFFIAQIFLMMLCRLKFGLFFFFGAMELIMTGFVFVFLPETKGIPIEEMDRIWGEHWYWSRFVGAGRNRVMQMASTNV >KN540128.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540128.1:9715:12989:1 gene:KN540128.1_FG001 transcript:KN540128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGVRKKLEQLTKELMTIGIALEKYAAMDSPDVQVKAWMAEMRELAYDMEDSIDLFTYHIDHEPADTTNGVKMVLHKTLRKVKKFHHRHKFAKEIEELHVLVNEAYERQKRYRIEEGTSSILCREIDPRLPALYVEKEKLVGIQGPMKEIINWFGSEEVEPIGQRKIVSIVGQGGLGKTTLANQVYQKIKGQFSFSAFVSVSQKPNMDNLLRELLSQIKSNEPTESYSDQQLIDKLRTCLKDERYLIVIDDIWKRSAWKTIQCAFPINKHASRIITTTRIKSVAQSCCGASDEGFVYQMKPLNKSDSENLFLTRTFGAEKKCPSQLQGIISDILYKCDGLPLAIITIASLLADKPRTRKEWERVLNYIVSTSEKDNDLEVMDKILFMSYNDLPHHMKSCLLHLGLFHLRYLGLRWSRIDCLPVQIGKLEYLQTLDLCHTSLLVMPESIVQLKRLMRLVGHHLILPDGFGNMESLQELGFLGCCRSSTNLLKISERSTTFEKFEGAQSFRSGFIPHSLDVSPTWISTLNEWNENISGPGLVFKGGMPKLEWLSVEFGAERAQSTYGSLEVGIRHITSLKHIEFSILVLTDDTERKIKSSINSQVKMLPQRPEVNIKTVLLPSIK >KN540128.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540128.1:53915:55242:-1 gene:KN540128.1_FG002 transcript:KN540128.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAGNCGGLTAFALRMAKRLADVGVSSNKNVVFSPASLYAALALVASGARGTTLDELVALLGAASLDDLEESPAYRAAAVETYKAETRAADFQRQPKSSRKKINKWVSKATNKLIREILPDGSVHGGTALVLVNAIYFKGKWSNPFPRERTTTGKFHRLDGTSVDVPFMSSREDQYIGFHDGFKVLKLPYHRTMKNHGDGGGDITPAIIKHYGENVGLSMYIFLPDARDGLPALVDKMAAASSGTGSSSFLRDHRPGRRRIKVGDLRVPRFKVSFYSEMNEVLKGMGIGAAFDVGKVDLSGMIDGELVVVEKVMHRAVVEVNEEGTEAAAATACTMKRLCLTLTSPVDFVADHPFAFFVVEEKSDAVLFASHVLDPTSSD >KN540128.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540128.1:38107:39423:-1 gene:KN540128.1_FG003 transcript:KN540128.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAGDCGGMTAFALRLAKRLADVGVSSNKNVVFSPASLYAALALVASGARGTTLDELLALLGAASLDDLEESVRRSVEVGDLRVPRFKVSFYSEMNEVLKGMGIGAAFDVGKVDLSGMIDGELVVVEKVMHRAVVEVNEEGTEAAAATACTMRRLCLTLTSPVDFVADHPFAFFVVEEKSDAVLFAGHVLDPTSLE >KN540128.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540128.1:27868:29241:-1 gene:KN540128.1_FG004 transcript:KN540128.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNAGDCGGMTAFALRLAKRLADDGDNSNKNVVFSPASLYAALALVAAGARGTTLDELLALLGAASLDDLEESVRRSVEVGLADESESGGPRVSYACGVWHDETLELKPAYRAAAAGTYKAVTRAANFQRQPKRSRKKINKWVSKATNKLIPEILPDGSVHVDTALVLVNAIYFKGKWSNPFPRSSTTTGKFHRLDGSSVDVPFMSSREDQYIGFHDCFTVLKLPYHHRTMKNHGDGGDTITNSSITRAILEHYGGENVGLSMYIFLPDERDGLPALVDKMAASSSSSSFLRDHRPTRRREVGDLRVPRFKVSFYSQINGVLQGMGVTAAFDAGEADLSGMAEGVDQRGGGLVVEEVFHRAVVEVNEEGTEAAASTACTIRLLSMSYPEDFVADHPFAFFVVEETSGAVLFAGHVLDPTSSSE >KN540128.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540128.1:57999:59120:-1 gene:KN540128.1_FG005 transcript:KN540128.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGNCDGMTAFALRLAKRLADNSDDVNNNRNLVFSPVSLYAALALVAAGGQGTTLHELLALLGASSLDDLAESVYRAVEVGLANESSASGGPRVSYACGVLHDETLALKPAYRAAAAGTAAPTRPESTTTGKFDSLDGTSVDVRFMRSREDQYIGFYDGFNVLKLPYNGGLSMYIFLSSSGSFLRDHRPGQRRIKAGDLRVPRFKVSFYSQINRVLKGMGVEAAFDDREADVSGMVDGGGRAVEEVFPQGGRGGERGGHGGGGAHGRHVHAVRDELP >AMDW01040233.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040233.1:89:990:-1 gene:AMDW01040233.1_FG001 transcript:AMDW01040233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSISIGLSMASATYLDDAHSEVIDPPKSEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLT >AMDW01040216.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040216.1:175:1178:-1 gene:AMDW01040216.1_FG001 transcript:AMDW01040216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKLPLKIEDDTGTMDTVAFNNVAEDLVAINATQSSQNMKIDATDHAITLDTAIGKRRLFHIAMNTKYSSHFSINYVLKKSHPVENEKETLMLPPSSNHEGPSIKEPTATDEGQTIMEHSRTKTSSNSGDNQLQHPIESQQQPTSDK >AMDW01040457.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040457.1:479:1482:-1 gene:AMDW01040457.1_FG001 transcript:AMDW01040457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HTKFANFFQTTPNLRPQTAQDVVSPSTDQLKSALKLLQLLPQDQQQDCKLSNCCQKMDSYKVESNDMIGNSSEEPNLALKKISEGSYDSLEIQPLKKAKISECNDFESNNHIDYSPSQTLNKSEPLLHETQKYNYLPQDYEMTENDLCAQITIETSSPTDTLVEIDDIIVRQDQLLCLLDGKKFLNDDVISAYIYCIRDQTHLRRGNNSNIYFETPFISGLLKRDGDIGVDEDSGIDNFMTKIARNYLKHEL >KN540740.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540740.1:7934:19225:-1 gene:KN540740.1_FG001 transcript:KN540740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MVRMKDKVELGVLLAEDAVQPGRWCWELVEGEVGDGASGDRDLVEHVEGKVELRVAPAEDAVELGRWHRRRREFELEATPDGGHYGAREVARANAVDELGRFFQQGGAEAVGHALADDRLVTARAGMGCHFHSIKKNHSPDSVYTKYIPAQKTVPASAYAIGSSRFRPSPLRGRRPGPVKVFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDAAQIITKIAAGNGVGKILVGRNGLLSTPAVSAVIRKRQANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSLGVVSYGDFTVEVIDPVLDYLELMENVFDFQLIKGLLSRPDFRFVFDAMHAVTGAYADPIFVEKLGADPVDYILNGVPLEDFGNGHPDPNLTYAKELVFTMFGSGAPDFGAASDGDGDRNMILGRRFFVTPSDSVAIIAANAQAAIPYFQSGPKGLARSMPTSGALDRVADKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKAGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMVHLRDVIAKSKPGEKYGNYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRVYIEQFESDASKHDLDAQIALKPLIDLALSVSKLKDFTGRDKPTVIT >KN540740.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540740.1:36547:40917:1 gene:KN540740.1_FG002 transcript:KN540740.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTIVMALRKMLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYVGQHYFPRCA >KN540740.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540740.1:24873:28687:-1 gene:KN540740.1_FG003 transcript:KN540740.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDEWQPEPLCPPIKEGARIDAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIERNLAILLFADVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLATAAISAVNHLEENPSVLANLRSNIALVHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >KN541832.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541832.1:2865:6821:-1 gene:KN541832.1_FG001 transcript:KN541832.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVEGMRDVVATVSGYHGDERHRLVRLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFEDCLKEGRRLPEKPYMLESGEEAGPVPELPTFPRSRSKKNASMEDICLKELPDDFCNTSYTTDVLVVADSGSDCEHQQWSDSSLLKENFVGDQENSKIGAKHVKERRKRLKHAQKSNNEDALDPEDNISSLMARKGRHESSYTSSRSASKQKEDLSKLLHNDDASMMRKRNSLMKKESRTKHAGYLIESCENESLTDSFDEPQMSDTLPTEDRRKIRKTRLRQSTLDSIYDFGEASEHDPEKSEDQENFELGESSRSFQPSDSSRQEQAFCTEKTNQGNIDIAVDDDKGDDEKATLEESTSRQGQAELSCVICWTDFSSTRGILPCGHRFCYSCIQEWADSLSSRGKVSTCPLCKTSFAWISKIDEAGTSDQKIYSQTIPCLTSTNTFIFDDRVYGLPESLSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLMPWTCIHCRDLRMLYQRYR >KN541832.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541832.1:12612:15803:1 gene:KN541832.1_FG002 transcript:KN541832.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSRYLDNPDAAAERPPEGPGSGFLVVEDEAAIEQAATICCGLCHDPRVRTLPFTQSWRLYMGEDDVVIFVPVVGEPLSAGRYYVVQAIGHHAGKVLAWSREEDKTRILFFSFVDDAPPRPFHHGDVYQQVEVAPHYPRGFKAAAVAPDGVPPRLLGLKGWQVSKTSRTSYDGLADDAHGVDWPLRRRMPDLDGFRIGAGGSPAVMKWELERGGWVAGNGDVESIERVERREALGRYWDKFGCYLLLESFVLRRMDGSVALTCGFRHTSKIRTKWL >KN540145.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540145.1:9171:11847:-1 gene:KN540145.1_FG001 transcript:KN540145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHGGFVYPMKHLDGAHSKRLFYKRIFDCEEQCPPEFEQASKEILERCDGIPLAIISISSFLADRQSLYHWNEVKKIISSPIPGNKDLETMQSVLALSYYNLPHDLRSCLLYLSAFPEDCEIGKTRLVSRWIAEGFINARPGESLYETGLRYFNMLINRSLIQPWNERYGVVHTCRVHDVILNFIVSKSVEENFMTLLDSSGLVPLEHSNCCKVRRMSLQGSCRQEKFSSSMKSIKPHARSLACSMDCTGLHPLSEFKVVRVLDLKGCKSLTNNHLANIEKLIHLRYLSIMGTGVSVLPANMGRLQHLETLNIRGSKVKELPPSIVLLQRLAHLYVHQDVKFPAEGVSKMQALEHLVDLSPLNQPVNFFKELGELTKLRGLGIRWTHIGYCDEAQAENVKSYQKIVTSSLNALDRHGLHSLYFFVTKDADRFLFDSWFLALGNLRRLRIINARQRRTIPCWIRFAAKLEQLELENVSVTQDNLKMLGDLKALKSLALLCSDTCGSWLTIDNHGFRSLKFAHIANVLFMPDSMPNLKDLHVDIRLESVGENDSVFQHLPSTLCRVSAFISGNPPLRDAVSKLEEKILNVANTHPNRPSLTTRTPIYEVEQRKGGGSSAKRGAHVELVSRVVAAARTSSGLILNTFDALEHDELTALRRDLDVPVFDVGPLHKLSPMAPSSSSLLRSTVAASSG >KN540145.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540145.1:58584:60887:1 gene:KN540145.1_FG002 transcript:KN540145.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSKLFETGRVSPSSLRYYGIGLENGNYTVLLRFSEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGGGIYGPMISALRVTPNFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKQYFVHAQNIHVTNLAGKTPLLVYEYLENGSLDQAIFGDSSLNLDWVTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKPYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYITEWQLRGGGNNGNTSNSYAGSSYQP >KN540145.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540145.1:21445:24667:-1 gene:KN540145.1_FG003 transcript:KN540145.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAATGVMNSVLAKLTAFLGDEHKHAKGVRDDLVFLQSELSAMNVVLHKLADVDQLDELSKDWRDRVQDLAYDIEDCIDLSVHRLGDASKSGIRAKVARMAKKIVSFRRIDRQIQQLKARVMEVSDRRNRYTLPGLVSASLDAASSSKVDFRLCALWKETEHLVGIDGPRDDIIGRLMGQEESAAQHDVRMVSIVGCAGLGKTTLAKQVFDKIKGEFECKAFVSVSQKPNIKELLLNISTQVGKSTNTSDDVANLIDNLREHLKLRRYIVIVDDIWSLEPWRFIGQALIKTSPGSVIMVTTRVEEVAISSSSSHDGFVYQMKHLDDTHSKRLFYKRIFDCEEKCPPEFEQASKEILDRCDGIPLAIISISSFLADRVEQSLYHWNEVKKVMSSPLPGNEHLETLQSVLALSYYNLPHNVRNCLLYLSAFPEDCEIEKDHLISRWIVEGFINANPGESLYKAGLRYFNVLMNRSLIQPWYEHYGEVLTCRVHDVILNFIVSKSVEENSMTLLDTSDLVPLQHSNCCKVRRRLSLQSSFCQEETALMMKSIKPHVRSLACSMDCTGLHPLSEFKVVRVLDLQGCRSLINNHLANIEKLVHLRYLSIRRTGVSLLPINIGLVQHLETLDIRDSEVKELPQSIVQLQQLVRLFVNSDVKFPAKGVSKMQALEQLTGFSPLIQPVSIFKELGELTKLRVLLVYWKPRHIGDSDEVQAEYEKSYEKLFTSSLNALGRHNLHSLSLVLSENANCFSFDSWYPALRNIRRLYVPDITWRIRTFPGWIRLAAKLEQLELSEVYVKQDDLQMLGDLTALESLALPYSNTYGSWLTVSNHGFRSLKVAHIPNLLFMTDSMPNLKYILIEIRLEEVGENDLVLQHLPSTLCKVHAYIVGNPPLRDAVSKLEKKILNIANTHPNRPSVTTKTFIKDH >KN540145.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540145.1:13186:16616:-1 gene:KN540145.1_FG004 transcript:KN540145.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAATGVMNSVLEKLSAFLSEKDKHAKGVCDDLIFLQSELSVMNIVLRKLAVVDQLDELKRYWRDRVRDLTYDIQDCIDLSVYRLRGDGGGESGFHRVVHKVKKIKEFHQITCEIQQLKAHVVEISEQHNRYILHGLVSTSSDANSSTKVDVRLCALWTEMEHLLGIDGPRDDIIGRLMEHNHSPSSSSVQQGVRMLDELSKDWRDRVRDLAYDIEDCIDLSVHRLRGGASESGLAAKVARMAKKIGAFRQIASQIQKLKARVVEVSERRNRYTLHGLVPTSSDASSSTKVDVRLCALWTETKHLVGIDGPRDDIISRLMEQKQESLSSVQHGVRMVSIVGCAGLGKTTLAKQVYDKIKGEFECKAFVSVSQKPNIKELILNISNQVGNMSTNMSDDVANLVDNLREYLKQKRCTLMFRFVFR >AMDW01060484.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060484.1:9:119:1 gene:AMDW01060484.1_FG001 transcript:AMDW01060484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGMGSPRIRRGYDARHRVALRLLATWLDVKWIKM >AMDW01074048.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01074048.1:158:600:-1 gene:AMDW01074048.1_FG001 transcript:AMDW01074048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LADRMHSLLNYEPPEFRESGIVAEQGDVYSFGVVMLELLTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSKMVDPSIQGQCSEKALSRFADIISGCIR >AMDW01024782.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024782.1:80:311:1 gene:AMDW01024782.1_FG001 transcript:AMDW01024782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QLAVLLAVGASPPPPRSNCSTACGDVKISYPFGFEAGCSWPGFELVCRDTIEGKKPFLPPVMESVGYLELESVSLLD >KN545008.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545008.1:5:1458:-1 gene:KN545008.1_FG001 transcript:KN545008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIADGPLQHLSKIIVDSLDHRLLSSIQGLYGALIDPSDYFEKSTLRAAHHNFFKLNPYLSTGFVTINRAIMEAMEDEKVDLQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLADMQSLLSKKAESLGVSFHFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHHLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMVIMEQDADHNTMLFRDRFNE >AMDW01040415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040415.1:18:1044:1 gene:AMDW01040415.1_FG001 transcript:AMDW01040415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRFKPNGFTFASLLKAAGASASSGIGEQIHALTVKYDWHDDVYVGSALLDMYARCGRMDMAIAVFDQLESKNGVSWNALIAGFARKGDGETTLLMFAEMQRNGFEATHFTYSSVFSAIAGIGALEQGKWVHAHMIKSGERLSAFVGNTILDMYAKSGSMIDTRKVFDRVDKKDLVTWNSMLTAFAQYGLGREAVTHFEEMRKCGVHLNQITFLSILTACSHGGLVKEGKQYFDMMKEYNLEPEIDHYVTVVDLLGRAGLLNDALVFIFKMPMKPTAAVWGALLGSCRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGQWDAAARVRKMMKAT >KN543412.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543412.1:1974:3793:-1 gene:KN543412.1_FG001 transcript:KN543412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYTNTPGVVYIIQGRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDVNNNANQLEPRQKEFLLAGNNNRAQQQQVYGSSIEQHSGQNIFSGFGVEMLSEALGINAVAAKRLQSQNDHRGEIIHVKNGLQLLKPTLTQQQEQAQAQDQYQQVQYSERQQTSSRWNGLEENFCTIKARINIENPSRADSYNPRAGRITSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGRSRVQVVSNFGKTVFDGVLRPGQLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSLKNNRGEEHGAFTPRFQQQYYPGLSNESESETSE >KN544741.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544741.1:92:2890:-1 gene:KN544741.1_FG001 transcript:KN544741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVGADPARGLAEEFGAVEIDLLVDFVGEADHDSEEEEGWVSVSFHPDEDMRSFRAFEIDVVECGDEMSSPPPPPPLPEMEVKEGSLGGEFSVFVGKMNMGSREMVNLDTTALVAIVSGISNGGVGKLMSIPEAETRARFKCNYKFVMDQAHSELQSPILVELGNAVDGKKCIICETVNSEFKEIVTMCGGPEEQTRTSQLLKRLIVVPDSPSARMMDLPTTRKLAMKNKVVFGTGHFSVFTGAASTISIPHTDEQILFPHQKEEEHMITEESDCPFQPKPPVYQAPGSQEKSKYCSATNGGLSNVSDLQQARDL >KN538863.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538863.1:75406:78446:-1 gene:KN538863.1_FG023 transcript:KN538863.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MNKWVREGREARAADLAKYVKELRKYKRHAHALEILRRDFNASCKTNLHLLSFKWMRMDEALRREAAKGGEKVELMEWMVNTKGMNMSYTNHAIRLDLIYKVRGIEAAEQYFAGLPDPGKNHRTYGALLNCYCSAKMEDKATDIYHKMDELGFSSSTLPINNLMSLYMKIGQHRKVTSLFEEMKVKNVKPDNLTCCLLMSSYAALNKIDTVGEVLKEMEEKKVALGWSAYSTLASLYVNANMVEEAESALKKLESLIDVQAGRQPFDFLMSLYASVGNLSEVNRVWNLIKANFQKVTNTSYLGMLQALYKLNDADRMKQIYEDWESNYENYDARLTNMMTRAHLRNGLTKEAELLWEKVKEKGAEFDSKTCELFLEHYMGKGDMTSALNWVENMTKLPRKKSKLDQEKISSFLKYFEEHRDVEGAERFCNCLRTSGCIDGKAYESLLRTYLAAGKTSRSIRQRIKEDKIEICYDIGKLLKRIGDKGR >KN538863.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538863.1:16650:20605:-1 gene:KN538863.1_FG025 transcript:KN538863.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLISYARANNKVILGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVPTSYEALETAIKETFEKLVEDGKISPVTEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KN538863.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538863.1:82057:82830:-1 gene:KN538863.1_FG026 transcript:KN538863.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MTYARYALHLELLYSVYGIEAAEEYFSGIPSFTRDQNHRTYGALLNCYCSAKMEDKATNIYRKMNELGIPSSSKLMNNLMGLYLELGQHSKVANLFDEMKEKNVQPDELTCCILMRSHVALNKIDAVKETFYNMSLLNVPERWSTFRTLGSIYMNAGMVEEAELAFMRAQEFLGFDRGRHPFYFLMRQFASIGSLRGVNRVWEDIKMTFSYNKTNFSYLLMLQCLYKLDDTDRMKEIYKEWEYRYENYDPRLANMLT >KN538863.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538863.1:92912:94175:1 gene:KN538863.1_FG027 transcript:KN538863.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGVEGVEETAWSARGWEVDGGRIHDHRGRTHPDLLSGSSTVMTTTARSEHEQLLWRWEGVTMATVGCVPGGGCSPPLVNGPSSRRMATLLAAVRMGGNNFDNDRVLEEWRLLVVTETLSHARVGRLRVKGLVLSGPLLGHCNPVRGIVVVSLLFMVEPSGESHTLVPRTGDGVPSAL >KN538863.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538863.1:14338:16135:1 gene:KN538863.1_FG028 transcript:KN538863.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLAPIIALVIGIGSVAFMAISPQVCHAAAGGSATVARSIFVSKKGSGADFTRIQDAINSVPFGNRRWIRIHVAAGVYKRTTDESEIVETLEMVESGCTGPGSNRTGRVPWEKTLSGEELAKLVDTSYVSRDGWLAAQPR >KN538863.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538863.1:86531:90688:-1 gene:KN538863.1_FG032 transcript:KN538863.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAALRRLSQSQAPRRQARGLQYASPERRPLDGARWALYARLSAHLPSGGMVEELGRWLRERRPLSEEQVLFCVRRFRKFKQNKHALQLMDWMEARGVNLELKHHALRLDLVSKLNGIHAAEEYFGSLPDIFRSKQTYSTLLNCYAEHRMAEKGLELYENMKAMNIVSDILVYNNLMCLYLKTDQPEKIPTTVVKMQESGIQPNKFSYFVLTESYIMMNDIESAEKVLKELQEVNSVPWSLYATLANGYNKLQQFDKAEFTLKKAEEVLDKHDVFSWHCLLSHYANSGNLSEVKRIWESLKSAFKKCTNRSYLVMLKALKKLDDFDTLQQIFQEWESSHEHYDMKIPNIIIQAYLDKGMVDKAEAMRQTTMAQDHSNYRTFCIFAEFYLEKSKMNEALQVWRDAKKMVKGQDWVPEKLVNRYLKHFEDSKDVDGMETFCECLKNLGRLDAEAYEALIRTYISVGRTNPSIPQRMEVDRVDIRPEMFESLKAISTEGVES >KN538863.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538863.1:35180:48151:-1 gene:KN538863.1_FG033 transcript:KN538863.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLLALLCLAAFSAAAYASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTIDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMISGKVVGAVGGKSCSKHGGPSGVKVELLTNSDELVASALTSSTGEYSFANIIPGRYKLRASHPNYEIETRGSSEVDLRFGNAVADDVFFVSGYNIYGSVVAQGNPILGVHLYLYSNDVTEVPCPQSISDAPREGALCHAVSGADGKFTFSSLPCGSYELLPYYKGENTVFDVSPPSLLVSVEHSHMTIPQKFQVTGFSVGGRVVDGYGAGVEGANVIVDGQLRAVTDNLGYYRLDQVTSKKYTIVAEKDHYKFNRLENFMILPNMASIDDITSVRYDVCGIVRTVTPNSKAMVTLTHGPENVKPQRKLVSENGRFCFEVLAGEYRLSALPVDTEGSSSLMFSPGFIDVNVNSPLLDIEFSQSQVNLHGKVLCKEQCNQNILLSLVRLAGGIEQEKKTVTLEQDNVNFAFKKIFPGKYRLEVKHSSSEAVAQDDWCWDQNAMDINVGSDDVTDIVFVQKGYWVELVSTHETKAYIQHPDSSKLDLLIKKGSQRICIETPGQHELHLINSCISFGSSPVVFDTKNPMPVHISAKKYLVRGELHVEMGSLQEIDLSKNIGVDVFKSDGSFIEKISATPVLGKSYQNDISAFEYSIWAEFGEDFIFVPCDDSTGRKNILFYPSSQQFSVAVNGCQDTVPSITAKTGLYLEGSVSPAISDVDIKILAAGKSKYASLKERDIAMETKTNSEGSFFAGPLYDDIGYTVEASKAGYHLKQTGPYTFSCQKLGQILVRIYGEQDAELLPSVLLSLSGEEGYRNNSISGSGGTFSFGNLFPGSFYLRPLLKEYKFTPSAVAIDLNSGESREVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLIPGSIYSVRVVAKDDHRFAAVERASPEYVSIDVGQDDISGIDFVVFERPESTILSGHVEGDDLDMLQPQLSVEIRSAADPSRIESVLPVPLSYYFEVQNLPKGKHLVQLRSGLPSHTHRFESEIVEVDLDKQPQIHVGPLKYKTEERHHKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTALGSVAAPTKKEPRKTIMRKRA >KN538863.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538863.1:5265:8850:-1 gene:KN538863.1_FG034 transcript:KN538863.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCYCIVFFFFFFLLFREQLFYANRVPPAVADLLGDPSVRLVGIGVGEDAAKLEADYGVWCDAPVDLEDACNRRLGLVGTGRRLGLKGYAREVLGVAMEKPRRVTMNNWEKRELDAAQVEYACIDAYVSYKLGERVLAN >KN538863.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538863.1:96422:99719:-1 gene:KN538863.1_FG035 transcript:KN538863.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTNLPSHGAQAAEAEALAAADLEQGLTRHLMEYHQSEASSDESTRQRPRVGRVPPHVRNLDGGAEAYTPKFVSIGPIHHADATLRRHSHDLKVAYLHALIARRTPDPIDEVAVLAALIGYKAAVAAVEDRARRFYKEPVDEHLTAEAFVDLLVLDAAFLLEHMLNLATGYEDPLLHRTHWAPSQLHSDLIRFENQRVGIKFKRAPRKPDGGFLDVRLEDGDTLVIPMVNIEQFTAPQLQNLIALEQATPELPDDCSCYAFFMDNLVANPADVALLESEGILKSNLGSHKAVVTYFNKLCKGNKLEVEGNYLRSVFEALMERNRNPMYTWIGTLRKKYFSSPWGIIAMVVTLFVFASTVLQTYISIVQYYFANNGDY >KN538863.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538863.1:68974:73763:1 gene:KN538863.1_FG037 transcript:KN538863.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYPKVVGFNPPERWGHSACFFEGVVYVFGLQIKLIDVDGAQGCCGGLHFSDVLTLNLETMAWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCKGTPPSPRESHTVTACGGDRLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEFAVKGASPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVANRSWTQLEICGQQPQGRFSHSAVVLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERQKFLRTENQRDPNMSNGELGPRSREAEIEQRNPFLRGLENGHVKRRRTGDVRPKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPKGSISALQPFVRLNTNGTLRAAGGVSPRTLKTDQFLRTIAPQQRHEVQFLAAEPKPHHRPPTPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILMSSAIPPQQQQQRPVLAHAIPVHARPVPQATGFVLPDCSHHARQAFPAAAAAAAKIIKSEPERGGSDLHDVVLTLGGPGAGK >KN539409.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539409.1:17438:19315:1 gene:KN539409.1_FG001 transcript:KN539409.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAYTAAEPVSHHHHLVVVDPSTVYSPPLPALPPPAPQQPQSALVLSGGKEDLGALAMLEDSVKRLKSPKASPGAMLPKPQADAALALLAEWFVESSGGVSLSAVAHPKLRSFLRHVGLPELQRTDLAGARLDARFAEARADATARVRDALFFQLAADGWREQVVTVCVNLPNGTSVFHRGVPVPAPAPSDYAEEVLLDAVASVSASGSSNDLHHCAGIVADRFKSKALRDLENKHHWMVNLSCQIHGFTRLVRDFARELPLFRSAAAKSAKLAAYFNAKPTVRSLLHKHQIQELGHASLLRVAHVPFNNSDYRAAFEMLEDVLTSARPLQLAVLEESYKLVCIDDSAAREMADMLQDGSFWSEVEAVHLLVKLIMDMVKEMETDRPLVGQCLPLWEDLRGKVRDWCDKFNIDEGAALNVVEKRFRKNYHPAWSAAFILDPLYLIKDASGRYLPPFKFLTPDQEKDVDMLITRMVSREEAHIAVMELMKWRTEGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCSPSMLRWLSAPGSLAGGIDRAHRLVFVAANSKLERRDFSSDEDKDAELLTEGDDDVLNEPGSLERSSV >KN539409.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539409.1:96264:97751:-1 gene:KN539409.1_FG002 transcript:KN539409.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHKSSKVQKGKSYAQAVQQRDVSVKFVFQRLKAPLQERRTVFTRLQGLKVPIAGETPATKTVEPIMEDDPISACNLNSNFLPSCARCLDKGHIASNCSGPVRCHSCLEAGHMARFYASRPTKAHQTVGKFPKLSSRNNLVWRAKSCPAKKTAVSPRIPSSPRNTVQSNPQNFPSLAMADLNPTPQRFLRQGQVVHQGGNLRVPRVDLTIPQRPPRRHEDVCIAIVEPPIPEHEWDHHRALISDYIFEVHMYEVRTAYRHPSAVGMFQLRSVMHTNALVNSPPAAYDGMHTVELVNHDQGPNWRACHFNREGWFLLLDFPLDFVDRHHINLAVASFGQLSFWLDRDKMLGRVFVRAKYRDLDFVPRKIVLQDPLGNGGGGESWTIFVFVLDGDFADIPLEEDLPPAGPQPGPNDDNADDPDDGNIWQLGHPGNQGGGGVWDDLVQQQQAAEAEIEDAWGQDHPMGQVEENPGQLIILPNQEEQELNLIDQEQQV >KN539440.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539440.1:98525:99349:-1 gene:KN539440.1_FG001 transcript:KN539440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFVLSEPGAGKTAAASAGGVRQMVSRTCCSVISGGRRCPRQFGTSNSLLYHGLFRSDIRAIGKFNASGPRAIQG >KN539440.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539440.1:4121:7039:1 gene:KN539440.1_FG002 transcript:KN539440.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDDNAPVSCPNLMHIRVKPEEKDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNGESHLHDDEKMNLIEISITPEKFSKNSSRATSEFSEDCDFETADGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENEKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSVSSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATAKKKKEGKCLEFRSNSEDLEFEVF >KN539440.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539440.1:25452:27027:1 gene:KN539440.1_FG003 transcript:KN539440.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKLRDVAVGAMDGKKLCDASVMLSFKIADRLTELRKKLHDVGSKDAQEAAYPCAKLPKHELNRVKPFTLGAPLPAPMLTQEVHPMPPTLSSPPPLCDSCRCSPSGGRDDAECSLKRYLLGEDEDREWHREGSGGNSREHQDQ >KN547286.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547286.1:73:249:1 gene:KN547286.1_FG001 transcript:KN547286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWNALLDRHSMFEAMEMVVFLRTGVRPRSWDEPDAAWTEDYDAADAAVAGSDTSLHTQ >KN539440.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539440.1:17177:22985:-1 gene:KN539440.1_FG004 transcript:KN539440.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAVIPVLKPKLVMKLAYLIEHDLDRSEFLKLCKKIEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPEEIETLEFNFMTYLFQVDPLNMHVMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDEPKLDSKLLSTYFKEHPHDNLPSFANKYIIFRRGIGIDRTTDYFIMEKLDVIISRAWSSLLRVTRIDRLFSKKPQVKSKKDTKKTDEINEDLEEPELFVERIRLEKIELSMRNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKDEFGAECNFEVRDAVKKLERLGIVHRDSIGRIVCVSLKRANEILGNTTEELVMRAQQSPAAS >KN539440.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539440.1:10344:15876:-1 gene:KN539440.1_FG005 transcript:KN539440.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAEPGVRFSDRAARRSSLGLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWYIGAYGALVLIALVQYFCTAGSSPGYVLDAMKAGSTMHATYINTATLSNELQNYTPLGQVAGIGLALTAGLFSHLVLGIAMTVTNVSFSLIITVFGLEHALGKRTTADSGEFWHVEGFYWRNPVGCVDLYSHILATIVDLSYLHRSYKSNNLRSCPKEADILHEVIIHSCCIIRRGIPDKVHPFSKGICRNIYVFCFSRQKGYDLEEPLQNNRFKRNNPAISARARTVRARAAELPAGVVVPREQPKLSEPFLGFTKTAEVWNSRACMIGLIGVFIVELVLSKGVLQTIGVEVGKGLDLPL >KN539440.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539440.1:50587:56151:1 gene:KN539440.1_FG006 transcript:KN539440.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAASPVEGLTGGGGGGGGGGVDGLFVELWRACAGPLVTVPAVGERVFYLPQGHIEQVEASTNQVAEQQGAPLYNLPWKIPCKVMNVELKAEPDTDEVYAQLTLLPEKQQDGNGSGNGNVSKNKVEEEEVVPPAATERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLYSFFDRLIVAMPLTSLLDQDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYEESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGVGDSDPSGWADSKWRSLKVRWDEAASVPRPDRVSPWQIEPANSPSPVNPLPAPRTKRARPNVLASSPDLSAVNKEVASKVMANSQQNGLPRAFHSQENMNLRSRFGDSNELNTSQKLTMWSSGSNQEKNNASVQRELGSQSWMQMRRPDGSSEILSGFQPLKDTRNPLSSFPSQISGNCSNTWNTINVHYPEQNANHNMYPGTWSLMPPNTGFGVNQQNYLMTPDITLPQRSLNAKFGGNGAFTSLRAHGIDQRSSGWLGHIEPSSHIDDASSSLIKPQPLVIDHNVQKAKGSSCMLFGISLDSPAKPELLISPPSVAFDGKLQQDALEEDECSDPSKIVKPLDGAQHDSATEKHQSCPDGTKNIQSKQQNGSSRSCKKLYCLCNIVVPFIDKLSLSQVHKQGIALGRSIDLTKFTCYDELIAELDQMFDFNGELNSSSKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYMREEVQKMNPGALNSRSFVVCKNRRRHIHGRKESFRGVVVVAGALYVSGKPRSTPFVDGACPGISCPLFVFDKFWVCFVEVLSYCMICPVVVKGAEAKLLRPVRLLACALPPP >KN538842.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538842.1:39909:40303:1 gene:KN538842.1_FG013 transcript:KN538842.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAVAAKAWWTAAMSVGAVEGLKDQSGLCRWNYALRSLHGAAMDTLLQVVLITLASEDD >KN538842.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538842.1:106346:108654:1 gene:KN538842.1_FG014 transcript:KN538842.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAAKPRLVFAPPSREEAEEATTELRDAIDRVYFSEAPIEVVKEQDKDLSKLGADAIIPAMPGHVMQAFTLLKSSPEAQGVVASLASDKNVWDAVLKNEKVMEFYKTHQQSLVYTFPEGTASVVSPEKLADASSENSSSGEKPESSPFADFMDNARKTVIDIVNNITNFFQDMFRKPNEAEILGGSFMALAIAVILLIMFKKA >KN538842.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538842.1:17414:26656:1 gene:KN538842.1_FG015 transcript:KN538842.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRLLLRGRPWHVLPSSPSQPSSSPPPRASADRSSAAADLDLGTGRSGEHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKLLPRLRNALVNAVAWNRQTITEASTKEVILGTEDGQIFEIAVDEADKKEKYVKSLFTLSELQEGIKGLQMETAVVGNATRFYVMVVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGDIPNSELHFFIKQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGIKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEVTKGIIGLCSDASTGLFYAYDENSIFQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDRMQITMISTWATELYLDKINRLLLEDGTGATSNAVADSKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQHEIVVHHYIQYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKDDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGIKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIDQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQAEKILDLQKRLSLMDRKAAKENGGNMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >KN538842.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538842.1:48217:52606:-1 gene:KN538842.1_FG016 transcript:KN538842.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVGSSSKPCGPLTDYRIPDYILRPDAQRVLLDHAPPCPVLVFDVSEEAPDKVLHRLNANLEKLKMEGDILAVQIWRTLRIIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPCTDQESVKSFLGLVRHAKEMKIDSWHIMLRMRATKEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGKALSVHGHFQLWTLNSLMVWFLVYRGMDAEISYGFHSERKKNPEKFKNQLTNQGTYAKVGLKQGWFFASLSHPSSRNIAQLASVKIMKRAGSHWQELNIHHSIRSIVCLNLPSFSGGLNPWGTPGTRKVEERELTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLGQVTMLANEPCKSKSVHDDQSSHAQHSGHGNDDDDKDSMEDEDEWEDGRRKFGAADTFKIPDEVDIAHLS >KN538842.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538842.1:76101:83066:1 gene:KN538842.1_FG017 transcript:KN538842.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPIGVDQAQRGMDHYSKSFLLYLAPIRDKNYSYLMVSTSYSSTSVRFVLDSSGKVQFLSWDSGCSLWAVQYILSVQGCDRYGSCGPYGHCDLTGVHTCKCLDGFEPVSDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENGENLYLRLASPVVGRIKCSWCVKFVLDVLLYLDVGHFGHITKWPAGREKKRHDQVVYSETKKEQMEDNGNSTACCVKLADALMSMACMDLQLQSFFLRHCKLFLSGKQENWEISKRQMQRALSVSEGLGGNKDDARKFSNADARKSMLDWPTRFKTIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKVSDFGMAMIFGSAQQQANTNRLVGTYGYMSPEYALEGTCSVKSDISFGVLLLKIVSGLKISHPHRITNFLNLIAFLSTEDGSEPGWLSHGSIHLCASDNRLVPGKPLSPGSTIISDDGTFALGFFSPSNPKKHYYVGIWYNNIPKFTVVWVANRAAPITVPSSAVFTLTRSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNLLQRFIWHGSVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKVNMLGWQSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLQGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRANRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDEIYGGLMLGDISTSRELSDRNVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLSKGSAQDASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >KN538842.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538842.1:9182:13582:-1 gene:KN538842.1_FG018 transcript:KN538842.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASSLCGISRVAWRRHAVAGGALSQHHHHHQQRLLVLRPPAPPPIGLALERFFSASSRRSAKRSAAAAKQSSQPPPPSMDGGEPFYVVRKGDVIGIYKSLSDCQAQVSNSVCDPSVTVYKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMETGPSKKQPKVSEQEPLPNSSLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLTYAAKKGFKYIRAQGDSKLVCNQVSDVWRARHDTMADLCKRVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPGEKLLSELQFACCYSDLVLHLISSFLQLAKFKSRRTSYDLVIAIESDLCIAPTFIGVKLCGNILFPVDSDGQTQRLECGASFTKFTDSRGIRFEFRGVQTFQTESKTQTTCFSFVCLITDSMKSDDIAREQILMIRYQIMNPVTILSIG >KN538842.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538842.1:110054:113869:-1 gene:KN538842.1_FG019 transcript:KN538842.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDEPSSSFASAPKRQYGAGGGGGGYGGHGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYEARLDEHLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFIGTMPDANVIIKRLRETDGMEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLTKNFHEFVHEYLQKPEFAELMRRLGALGDGRQDQSTLSQDEWEVAYLYLAFVLRKRGQPPSQRRANNANRGKMFLTENDIDFLGV >KN538842.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538842.1:61078:62568:-1 gene:KN538842.1_FG022 transcript:KN538842.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGDEEEDDEDEEVVVEEEEDEDDEDEEGEGEGENQVVPWAEDAEATPPPVASSTSSSSREAAANGANAADRVKEDQPCSTSQPSLCRYASSAHHGGGGRSDEATSSRNGGGVGGRFLASRHRKRSPSDFRRSGSAQSLIDSKLAFRFQEHCKSTFPSSSLSILPCTWQLFLRQLKQ >KN538842.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538842.1:27766:29660:1 gene:KN538842.1_FG023 transcript:KN538842.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVQTVGGKTYPGKMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLSEFFPSWSMFCGGVTFLAGSALNGAATDVMMLILGRILLGVGVGFANQSVPLYLSEMAPANLRGMLNIGFQLMTTIGILSANLINYATSSIEGGWGWRIGLGLAGVPALIITLGALVLPDTPNSLIARGYAGDAKRVLVKIRGTDDVHDEYDDMVAASEEAASIEHPWRNILQRKYRPQLTIAILIPCFQQLTGINVIMFYAPVLFLTIGFAGDASLMSAVITGLVNMFATVVSIISVDRLGRRVLFLQGGTQMFISQVVVGTLIALQFGVAGVGEMSRSYAILLVLFICLYVAGFAWSWGPLGWLVPSEVFALEIRSAGQSIAVCVNMMLTFVIGQAFLTMLCHLKFGLFYFFAGWMLVMTTFVALFLPETKGVPIEEMNHVWSRHCKFFGERYKYRMVLPRM >KN538842.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538842.1:3577:8419:1 gene:KN538842.1_FG024 transcript:KN538842.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSAGWGVGCGGSTAASEVEKGGDHRWRGAGGPTADGMGARCYAARFEATRGPRLRFGMQSGRKETVLDLAKFVDKGVQVKLTGGRQVSFYGQSVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQADGA >KN538842.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538842.1:87068:89250:-1 gene:KN538842.1_FG025 transcript:KN538842.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDFLPIKFHVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSAGVDNDGGGGGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >KN538842.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538842.1:33090:37076:1 gene:KN538842.1_FG027 transcript:KN538842.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQQDASTSGAAMSMKEYLKRYQSDDAQGKAKKKKKKKPKPAAAVGGGVLIVDEDPTWHKPVQIEEEPASSGDERPLVDEDIEVKRMRRMEAIRAARPYNAIAEDGSGWVTVAAPEDSDGGLTGRRRRNDTPSPERGGAGRKDLSPPRRRQRQDTPSPDPRDAAGKDLSPLRQRRRRQDTPSPKGSEVAGHDDLSPPRKSRQQRDPSPPRRLSRHDSKESQDISPPRRRTRHDSEEPQDLSPPRRKGRHDSEEPKDLSPPRRRVRHDSEEPKDLSPPRRRTRHDSEEPEDLSPPRRRTRHDSHEPKDKLPPLKRQALGDGDISPPRKGRKEVAPKEVRKAGLMTAEEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEIHIEWGKGLVQKREAEARLQELESEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKRNDTDLLLEDLGDDEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNDPAECPLSNFKQDIFFSTLIFKTRGLCLQTIGWTCLVSIAYKAFRDFTGFEKDMFKLKNEKQATEQEAYLWSVADM >KN541641.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541641.1:13587:16435:-1 gene:KN541641.1_FG001 transcript:KN541641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTAMFLSLAITTTTVLLLLTPAAATALQVMMQLRRPSGGCFPGEMDALLEFKEGIANDTTGLLASWRPEDGQDCCRWTGVRCSDRTGHIVKLNLGSRESINPFAMRLFGKISHSLLSLHHLQHLDLSHNSLEGPTGDMPEFLGSLKSLRYLNLSGIPFHGLVPPHLGNLSNLRVLDLSYTANSYSPDISWVTRLPRLRYLNMREVNLSMAVDWPHVVNMVSSLRVLDLTGCNLATANQWLPHLNMTNLEELQLSFNKFNHTITSCWFWNIESLKNLNLRSTYLYGRIPDEIGNLTSLEILEFSGLVDAMENHYRGIMVANMTNLCNLRILDLTSSLSHGNILELLERLPQCPSNRLNELHLGSNNISGKLPDRIGKFAGIVKLDLSNNHLTGFLPSEIGMLTNLTTIDLSLNYMDGFITEENFGSLTNLKYIWLRGNSMKIVFDSKWLPPFSLTYADFSFCQLGPSFPAWLQSQVDIVELDISSTAIVDVLPDWFWTTISKATYLDIGNNTIRGKLPANMETMSVNFLSMRSNQFSGGIPRLPRNLTILDISKNNLSGLLPQKFGAPSMLSGKFPSFLQTCKMLAVVDLSRNNFSGKLPMWVGDLTELQFLRLSYNLFSGNIPPSITNLRNLYVLDLSSNSLSGGLPLSLSNLTAMTASYGRYDQYDGVDHKGSIDLSSNQLSGVLPEGIASLDALRNLNLSWNHLSGRIPDKLGIIKLLESLDLSRNMFSGEIPQSLSNLTSLSYLDLSYNNLTGAIPSGSQLDSLYSENPNMYEGNNGLCGPPLRKNCSSGEQGHLTINNGQDHQLEPFHFGLILGFILSLWAVLCSLLFKKAWRVAFFDLYDKLYDKTHVLVAVSRARFTGKAAAN >AMDW01026626.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026626.1:49:314:-1 gene:AMDW01026626.1_FG001 transcript:AMDW01026626.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQ >AMDW01022250.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022250.1:85:309:1 gene:AMDW01022250.1_FG001 transcript:AMDW01022250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ETLGGLIVASAFVVFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRV >KN543899.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543899.1:2536:3105:1 gene:KN543899.1_FG001 transcript:KN543899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILSLTVDSATATECDCCISAQAKAYILQHEDNLYPTSTTKLTHALMGFPYFNLTVVAKMDEMGVLAKMEEGLLRWKNAKPKPTCSHCCQPECHS >AMDW01039663.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039663.1:199:529:1 gene:AMDW01039663.1_FG001 transcript:AMDW01039663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGKLKSLCESFFKLKDVKLRLYLEEEGCPLPQFFEEDTVSLMELGIGTGSTIIVDEES >AMDW01025737.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025737.1:152:238:1 gene:AMDW01025737.1_FG001 transcript:AMDW01025737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAAKVIKARIASNPDSMNFNVMALSKVV >KN541274.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541274.1:3826:5223:-1 gene:KN541274.1_FG001 transcript:KN541274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDVISGGNIAVAIVSVITGGIVVIVVAILIYKCCKLRMWRKYGLSPPELPASQPPRPRSDDDHAVAALTTTTVDVIKERPVRFSSPQLREMTGDYAERLGAGGFGVVYKGQIPGGLAVAVKVLGSDMGRRAEEQFMAEIGTIGRTSHVNLVMLYGFCFDADLKALVYECMPKGSLERYLFFLDEQEQGLGFHKLFRIAVGTAKAIRYLHDECARRIIHYDIKPGNVLLDEELEPKVGDFGLARLCDREKTHLTMTGGGRGTPGYAAPELWKPVPVTHKCDVYSYGMLLFEILGQRRNYMHGMESQEQWYPRWVWQRLEHGETEAVVARARAQGGAAAADKAERMCTVALWCVQYRPEDRPSMASVVQMLEAHDHVAAPTVSPFAHLDPQVIITTSSSSSSLLHTDDTNGGSTTSTHISHHIT >KN541274.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541274.1:16498:18021:1 gene:KN541274.1_FG002 transcript:KN541274.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLDMPVDKHPPLLVRDLHIMMDTSRHVAYASLLAHIVAGVRQSSGLILNTFNAIERTDVEQIRRDTAIPVFPVGPLHMLSPPATAATQKSSLLPEDRSCLEWLNTQLPGSVLFVSFGTLVSIDADELLEVAWGLAASNRPFLWVVRPRLVRGRDSVELPSELLEETRGRGRIIRWAPQEEVLSHPAIGAFLTHCGWNSTLESISRTVPMICKPCGGDQLGTARYVCDMWKVGVRVEVEDKLTRGGIQAAIERLMDGIEGGVVRDRMREMGDVVSKCTTKGGSSDLALQDLVDFIKSS >KN540582.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540582.1:37131:40877:1 gene:KN540582.1_FG001 transcript:KN540582.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVITVLGWLLSPIISLLVNRLISYLFDASPKIQELEIQTVPKLEQMLRKIEEERMHRKAKKERSAVQNLDTLAKLVKSALYEAEDVLDLIAYHQIEKDVIGDDEPQGSSSKWHPHIDDAIHACKTSWIGRCITTLLEWAQGLYRSLRSRSAALLPISRSRCCGSASDSLLERLSCFSGQFDFIRCCQSLFIWSVNWFEVARSYRDWFYDATGITATGYQLEDGTAVYSFMPAIARWKLRKRIEKLENTVTNVEKSPYLTQTSSGAWNDIVNMNRRSITSSSTRKVFGRDRERDMIRSMLREDDSLPSSSSRKCYSVICIYGIPGSGKTTLAQYVCEYEKEDKDRYFDTVMLIYVSKTYRLEDIFRDMLEEIRQNRHSEINDCKGLEAKLVENLRGKRFLLVLDDLWVNDENHEKLLSPLSVGKSGSRILVTAQSKEAALGSNRLIPISDLEEEQYFSMFMHYALDSTIFDDREYIPIGRKIAKKLNRSPIAAVTVAGQLWRNPDVRFWQTTANLDVLNKTKGALWWSYNQLVVDVRRCFQYCSIFPRRYELERDNLVRMWIAQGFVKDNDGNNEDVEDVGQDYFHDLHSCSFLQLRRKAPSDINTGEYFTVHDMFHELAKTIAGSDCVKIEKSITEHLPKHVRHLCIESYSEILFPEKILELTNLRTLIMCYSVEGMNQDDFERVLKKLTKLRVLKIANLINLRYMLTWYGLNIPDVGKLTSLRALYHFYVRKEKGYEIQQLEHLNNLRGKLFIDCIENVQSKEEAVRARLSDKVYLTELTLRWGGTDERCSKKALESYKKLFFPPITEIKQHQPPELQEEVLEGLRPPSGITVLCIRDYGGVIYPSWLTGDGCDKEQEQDRPALQNLMFWSCKGSSDPPKIGEFFTCLHTLSVTDCSWNYLPVKLCRLKTLRELIVQECPNMMTLPKLPQSLKSIVISGCHPSLADTCLTPGHPNWRRIKHIDQQIIR >KN540582.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540582.1:3959:6452:1 gene:KN540582.1_FG002 transcript:KN540582.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLQRTSHHPVPGEQQEAAAELSSAELRRGPWTVDEDLTLINYISDHGEGRWNALARAAAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSKRFKDAMKYLWMPRIAERIHARAGAVDDSGDYSNNDLSCVSGVTMATVANCFDGSPSMVTSSSSDSFTSESQDLEKINLHVHGDDEKMNAGDWMQEVDHEFWSTEIQPNNEQFQDQQLNGWVQGFSEGLSETLWSLEDIWKMQ >KN540582.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540582.1:19458:22537:1 gene:KN540582.1_FG003 transcript:KN540582.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGDGGSQQSWRSTGGENIGDREQRKPLRVAMEAMLRGFEILAESGESAGVDNCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGISVFRMGVDWARLMPEEPTEELKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDRVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAIAHAEAYDYIHSKSKNERKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKRLNIPFVITENGVSDETDLIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSRVVTTGKITRQDRMSAWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYKMEGLQDPLSCFIRCIFAPFSRQKKIHYIEDDVISYSIN >KN540582.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540582.1:15859:17056:1 gene:KN540582.1_FG004 transcript:KN540582.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPNLAVAVVGLLAVEAKVTWVVDPKGKESDTTFTTIAAALEKVPEGNTKRVILDLKPGAEFREKLLLNITKPYITFKSDPANPAVIAWNDMAATRGKNGKPVGTVGSTTVAVESDYFMAYGVVFKNDAPLAKPGAEGGQAVALRLFGTKTTIYNCTIDGGQDTLYDHKGLHYIKDSLIRGSVDFIFGFGRSLYEGCTIVSVTEEVSVLTAQQRTKTIEGAIESGFSFKNCSIKGQGPIYLGRAWGDSSRVVYSYTDMSKEVVPIGWDGWNIAKPESSGIYYGEFKCTGPGSDAKKRVGWALDLTADQAKPFIGTHYIYGDSWILPPPE >KN540582.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540582.1:41516:46461:-1 gene:KN540582.1_FG005 transcript:KN540582.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRRTESRGVGEPTASRGEFFFLRIFGWSHVGVLLLGVKFECLLGLPWRHAVDSFAADVVGRYEMELWDWCIGGGFLDMLWGIRAIRIHVKTDYQNQEIKIEKEKKTRTGNATGTGTEIEEETEIGTRTRRKTETKTGIGTGTRIGIATIGITVKEENTEIALMIMIATEAVILKGEETMNGMGVAGIGLAHALVLGAGITDLGLALVQKGQFPGTAIPGMFPNMLPMGVGQQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGVFSFVYSQVPSLGNLIKVVIPRPDPSGLPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFASAEYDA >KN540582.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540582.1:11733:12923:1 gene:KN540582.1_FG006 transcript:KN540582.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPNVAVAAVVLLVAATLPAPSWGQQQPDNKLITSTSGSGKGLSKWLAMNQEEYVEKKALHTMATADELGGKKLDANLTAAEEAKVTWVIDPKGTPGDTTFTSITAALEKVPEGNKKRVILDLKPGAEFREKIFLNLSKPFITFKSDPKNPAVIAWSDTAATRGKDGKPVGTVGSTTVAIESDYFVAHGVVFKNDAPMAKPGAEGGQAVALRLFGTKAAIYNCTIDGGQDTLYDHKGLHYIKDCLIMGSVDFIFGFGRSYYEGCTIVSVTKEVSVLTAQQRSKTIEGALESGFSFKNCSIKGQGQIYLGRAWGESSRVVYSYTDMSKEVVPVGWDGWDIAKPESSGIYYGEFKCTGPGSDAKKRVGWALDLTEEQAKPFMGTHYIYGDSWLIPPE >KN540582.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540582.1:24298:24804:-1 gene:KN540582.1_FG007 transcript:KN540582.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIADEIKAKAEVYYDDEICQQCTRLLLKEAGLPNGLLPLKDIMECGYVEETGFVWLKQKKRIDHVFQSLGRLVSYGTEITAFAEKGRIKKVKGIKTRELMVWVPVEEIALDEQKTGKLICKSIAGITKTFPASAFQIPEKEEKITCVIPKPVVLMERAHQVIKNN >KN541490.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541490.1:6338:6490:1 gene:KN541490.1_FG001 transcript:KN541490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEGTCSDGFEDAGAAATASPAGKEVAAGVASVTQHTSNALALVNGIPL >KN541490.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541490.1:23757:24089:1 gene:KN541490.1_FG002 transcript:KN541490.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKVPTTTPTFLPLHLHGRWRVAGRPRGESATAVALGGSKSSFGGRAMGKEEEISMGHTPGAANACRSPINDPSVRRTANTFSSTSDERRMREGWKHGVEGQVLEGAR >KN541490.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541490.1:12813:19914:-1 gene:KN541490.1_FG003 transcript:KN541490.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVYHALGSFNCVCLLCFASSLVSVADAGGAPVLQALECTSTAAGNYTQDGAYAANLGRLLAMLPNETVSKNGGFFNGMVGNGTATVYGLAMCAADFSRADCMDCLVAAGISAGGVVKRCPGSTTVSAMFDQCLLRYSDSSFFGTAHISTQLLICTWDLPPDACKGCLDALAANVSATFPATARGERKSYSCRVRYDVNTSFMVVPFNLSTGSAGTPTSSLAGPGSVNSAKNNGPVMIGSIVAAVVFVVLVSVVVWLCVRHRAIKKVALAGPRSYSYEELCTATNGFSDERKLGQGAFGAVYRGVLSDPSQTLVAVKKIQRMSEAAWQEFVAEIRIVTQLKHRNIVDLMGWCDDRNNPLLVYELMDRNLDYHLYPPQRMGESEVVLDWKKRYNIILDMANGLQYLHTARNECVLHRDIKPSNVMLDENLSCAKLCDFGLDVDSKRGFRRLQLRFGPARDRVWPAADHAAAWTQQQEQPRRVGTAVQDSFRHRKSVADMGDERLKGDFDEEQIERVIRVGFLCVLPEPDKRPDMATVVGTT >KN542483.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542483.1:2174:4627:1 gene:KN542483.1_FG001 transcript:KN542483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTNNNQGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETIISDSAKHAGSHWRTLLPFSYAIVSGAIGSCSVLFAKSFWLLGN >AMDW01029633.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029633.1:37:385:-1 gene:AMDW01029633.1_FG001 transcript:AMDW01029633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKNATYISGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQ >KN540744.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540744.1:1132:2262:1 gene:KN540744.1_FG001 transcript:KN540744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMPARDPKDMIVSLWHFVRRRLPEIPFLELFESACEGRCLSSPIWDHILGYWNASKTRPETVLFLRYEELLHDPAGSVRELARFVGQPFSPEEEEAGVVEDIVRLCSFERMKNLEVNRAAGLSPVLQQNAYTNGSFFRKGGTGDWANHMTPDMAERLDAIVEEKLRGSGLSFRS >KN540744.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540744.1:35637:40634:-1 gene:KN540744.1_FG002 transcript:KN540744.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTKTGGEIWDMEESQSPRMGSVILGVDGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVGEDKARETLERVMAQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSAYGISAQALTAVVRAYDGRGPETALTNSILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLELSGEDGKDHFPLVMVGKVLMANKRWDIGKEVIDCVTKTYPGAYPIHPKVEPAVGAALLAWNAVASELDGSPRTVA >KN540744.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540744.1:29905:33899:1 gene:KN540744.1_FG003 transcript:KN540744.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNELPLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIRSNFEAFATVMSRLRQHLLDANVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSLVHDGDANGSCPMVCHHAKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLCEQSSIANTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKFFGKKTGEERMPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTVCSTNEVLEERGRLTSRIDLLYYANQNLRGLLAEKTKDIKDLSRQISDASRKMSLQLSLEEKLKRQLYKIKGDYEDLHVQSTIRDEVYQTVTEKMFDDYKNSLQDNTLTFQEKMTSLEATLSEKETALRLANEENQRLKEKLSKQEKGHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVNALLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAGLRSKQKEDLQDTS >KN540744.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540744.1:22359:25320:1 gene:KN540744.1_FG004 transcript:KN540744.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASALGAQASVAAPIGAGGYGRRSSSSKGSNTVNFCNKSWIGTTLAWESKALKSRHMNKIFSMSVQQASKSKVAVKPLELDNAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPTKNGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPSFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >KN538747.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538747.1:234699:239216:-1 gene:KN538747.1_FG001 transcript:KN538747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKDFPPPAVALVDVLLSVDPADRGTASSALQSEFFATKPYACNPSSLPRYPPSKEFDAKRREEEARRQGIAGGKQHKYDPERRTRESRAVPAPDANAELVSSLQKRQNQVNAKSRSEMFNPSKEDSASGFPIEPPRPTHPAESSQDSQRVYTRTFHSGPLVNQNKPSKAGRSENGDIHLSGVANASDFRVVVSTRSNIRSDNGNGTVVTQAEAFPHERRLSESINERFSSSGKYDQVFQQKDDRSSRVDGAIGYGSKGNKIHHSGPLICPSGNVDQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDFGAAPVFPSSRSSYRAVQQ >KN538747.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538747.1:280836:284134:1 gene:KN538747.1_FG002 transcript:KN538747.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKLSRLLAGGGVRCCRLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDDGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKTSMLLQDVSPPTLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNANNYQDTLVHRDLFLLQMYNGVEECSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPRDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRSIISSVQRIAVALSSSRLVPPGAGAAAAQLSPVTPEAATLPRWICQSYRSVASQLLV >KN538747.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538747.1:182629:184160:1 gene:KN538747.1_FG003 transcript:KN538747.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMKKNTMGCAFLLAAFAMAAAFVPVAESRTSPVEKTTTQD >KN538747.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538747.1:249254:249505:-1 gene:KN538747.1_FG004 transcript:KN538747.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGTSYGVVIVEAEKR >KN538747.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538747.1:270045:273308:1 gene:KN538747.1_FG005 transcript:KN538747.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAASGAASRRSHPFPWLDLAISEPYYFLHLVAFFSYFAARSTVPSADDGLLLRREIQAVLAFLVLFVVKIVKEENWETCIADSLLYAKGLLLAVTLVIDYRLTVSYLLGFVVIYAVAQQPPYDGLVLSPGITMLMSTSNNSPEDNS >KN538747.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538747.1:170999:173510:1 gene:KN538747.1_FG006 transcript:KN538747.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACKNVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANSRTIVGDSINTMSLRDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVAENERGQQPLNMMGAASTSEYDHMVNNPYDSRNFLQVNIMQQPQHYAHQLQPTTLQLGQQPAFN >KN538747.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538747.1:298836:301459:1 gene:KN538747.1_FG007 transcript:KN538747.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >KN538747.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538747.1:266843:269416:-1 gene:KN538747.1_FG008 transcript:KN538747.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGSPVDGVVQPQQQPSPSTASGQQSQQQKVTLITLPPPREVARPEMPKDSTPSAGRVDEEEWVTVFGFLPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALAKHGQQLNSVLIIGVKQVDPWQRQYLNENTNENFQVGATDPFPSQHVAPSSFTTRNALAPLPSNSMPNGIGNGSGRGASGAIASPTKSVLSKVMDLMFGL >KN538747.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538747.1:220114:222308:1 gene:KN538747.1_FG009 transcript:KN538747.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MERENSWLRRTKFSHTVYTRLDHQRAPIAPLGRDVEQKLQRFISMGKSVSMPVNRDEDAAAAFKHSVSLPTARSSLQIDKEKANKQKADFDIPSSPPVNSVNSKGLKARSLVKSPSSAMLLSYLNKAHPNRDSNLKKTDGPQHKLRSKSPLPNVAPSDVFREARASSRRFTSPPPKRRGSERSIYGKSFDRQLSDMSQSPDLCSTPVSSDKHKSLKDSSWTRRYFDNGGRRRVSAVDTAEVRRNRGVSMAQAVQTTVDWTLDPSKLLVGHKFASGAYSRLYKGLYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEVNALSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNSTEHHPIPLEKIISIALDVARGLEYIHSQGVVHRDIKPENILFDENFCVKIADFGIACEESMCDVLVEDEGTYRWMAPEMIKRKAYNRKVDVYSFGLLLWEMISGRIPFDDLTPLQAAYAVATRHARPVIPPECPMALRPLIEQCCSLQPEKRPDFWQIVKILEEFHSVLSQGGCLDSLKSSNSQDQKKRLLHWIQKLKPSHST >KN538747.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538747.1:160505:165767:1 gene:KN538747.1_FG010 transcript:KN538747.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEVLTERRREHALLLLFAKINFQSTLQPSVILSTFGWISIPVKASTTSVTAGGKRASAQNTETLTTDLVLIPICRQEPKISSIVPEKESLFSDLINSCKLPTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNR >KN538747.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538747.1:275475:276924:-1 gene:KN538747.1_FG011 transcript:KN538747.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENIVGISLQDLHRNSIIGGFNKFVTSVLTISIEVQCQEQRFPISPSTGIIGHMKG >KN538747.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538747.1:303078:310677:-1 gene:KN538747.1_FG012 transcript:KN538747.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNKGGGRQGEGWPAMGSMDRSGACRHPTTCINATTEGEGEKKRKVSIVGREEEEEDMAKQEGRHCCSDDERGEMGEVAALQQLVGEVQELRDIWHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKSIPVATVAIGNAENAGLLAVRMLASRDPELGDNLVSVTVCLYAIDNRHLPAAIAFRATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >KN538747.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538747.1:223172:225567:-1 gene:KN538747.1_FG013 transcript:KN538747.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRAKPVIVDPGLYMARKQDIFYVEQRRGLRAAVQLFTGAGWLQAYSYGRSKRFNVAPLCAAISVVCSFLMLMVMLLPEELLKLVLNCYSFSMVTVLSFCSAENALYSISEF >AMDW01109002.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01109002.1:146:2517:-1 gene:AMDW01109002.1_FG001 transcript:AMDW01109002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTLPTDMGDKLPNLSFLGLSQNMFEGAIPASLGNSSGLQLIDLSSNNFAGQVPSTFGNLSELSRLNLQSNKLEARGSESWKFLDALGNCVNLQLLSLSENQLQGNIPDSIGNLSPGIQSLYLDNNQLWGEVPRSIGKLHGLNTLGLGQNSLNGTIEWIENMTELQGIYVGSNSFSGSIPSYIGNLINLNELSLGINEFEGFLPPSLGNLSALAKLDLGYNKLQGNISLVGRLKQLVRLSLSANKFSGEIPDTLGQCQQLINLTMDRNFLIGEIPISLGKLVGLNLLDLSHNNLSGTIPTALTLLRSLSRLDLSYNDLQGKIPQTGVFGNAADVSLDVKKMSRKTHLPETAFGAQFPKVSYSDLAQATTNFLESNLAGRGSYGSVYRGKLKEPELVVAVKVFDLEMPGAERSFLSECEALRSIQHRNLLPIVTACSTVDNNGNVFKALVYEFMPNGNLDTWLHDKGDGKSTKHLGLSQRISIAVNIADALDYLHHDCGRPTIHCDLKPSNILLNDDMTALLGDFGIARFYLDYPSSSTCSSSSIGIKGTIGYIAPEYAGGGRPSTYGDVYSFGIVLLEMMTGKRPTDPMFKDGLDIINFVQSNLPHQVFDAIDHHLIEEFGDRSEASMVPENAIYQCLVSLLRVALSCSCSLPNERLNMKQVATKMHEIKTSYLAWKSKK >AMDW01039943.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039943.1:174:784:-1 gene:AMDW01039943.1_FG001 transcript:AMDW01039943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >KN540986.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540986.1:10847:13494:1 gene:KN540986.1_FG001 transcript:KN540986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLEALLQGPQLPPFLSKTYDLVSEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKSALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKMHRQQKEIDSTRVKRKFLKHIPHGNIDSGESSSQHTGESNLDFSLTSLDLPATHGDISDLQNFLLEDGDLNLAMLPENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEMPTASGPHGQDPTIGRSKGKNVLSPGLDATSSEVDCLGSFSDNMGVLSDSMLQTEGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNP >KN540986.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540986.1:20972:29567:-1 gene:KN540986.1_FG002 transcript:KN540986.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLFKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGPRDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMALLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKSFNVGSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRATENFVVPNHGYYENSCISIRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >AMDW01033412.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033412.1:31:320:-1 gene:AMDW01033412.1_FG001 transcript:AMDW01033412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIGINGKFPGPTVNISTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQ >AMDW01027663.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027663.1:51:282:1 gene:AMDW01027663.1_FG001 transcript:AMDW01027663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLTLQILLIFVGPMRKRSSHPVPRFAVWSCYLLADWVADLGLGLLLNNLGNIGGGSNGSSSSSSSSSSISHLSA >KN541939.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541939.1:4554:9534:1 gene:KN541939.1_FG001 transcript:KN541939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRIFASVYSSVTGEWGDAIFTGPVSTIYHFGSPAILVGNALYWLLSVSRHHILQFNLETSSLAVIDGNWPGTNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWEREVTLGGAAKWVLRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTGFYMFQVDLMQSKKLFDCNVITRCHPFTSFYTADLDMEHVVSVVTGELVTRFISFLEQEADGRYITNSGMLMQLKALADAMYRGHHVLDMFRCRTLIQEDPIKEPAVLPIIGGLGVGKKTLVAHVCADERVQSQFSSILHLNEGDLLGIAHHHTLLAGNILMVVEFVSDVNEMNWEEFYKSVAQMNEGSKVVTISRLRKSEKLGTVKPMFLNNHSDEELSYLFKTLAFGSANPKDYPRLVQIAEEIAMQMQFIGTLPAANAIADVLRGNLDVNFWLGRLKMCITLTEKNFSLYGQNPKLLPEQGRRIDITSIAFSPTAQLHVIPCTNFSSASKVTMKNLPRVRSRELLLDLSIRPKDEFNLVTWESRLPPYTSFVHFVPNCAQDMPEDTPFLGRKRQGIPS >KN541939.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541939.1:14034:17041:-1 gene:KN541939.1_FG002 transcript:KN541939.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKNSRGVEIFSKCWYPENHRIKAIVCLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGFIPSFDTLVDDVAEHFTKVKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKQVLIFMARLLPKEKLVPQKDLAELAFKEKKKQEQCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGEGDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDEAIFQVLDDIISWLDQHSTKKVPSS >AMDW01012458.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012458.1:53:214:-1 gene:AMDW01012458.1_FG001 transcript:AMDW01012458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPTCRCMDEVKECADACKDCQRVESSEPPRYICKDHFTGQPGPVCKPQEEN >AMDW01039652.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039652.1:205:912:1 gene:AMDW01039652.1_FG001 transcript:AMDW01039652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIEMNAGGGLHLVYRSRSRARLSMGNITGALADAEEATKIAPKFPQDTGALSLRITGDSKNSFIDLYVSVI >KN547920.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547920.1:25:656:-1 gene:KN547920.1_FG001 transcript:KN547920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGLLAALPGLRVLSLANNAIAGAFPNVSALAMLKMLYLSRNRFSGVFNGPLPDFSQPELRYVDVSSNNLSGPIPEGLSRFNASMFSGNEYLCGKPLDTPCDKLASPSNMSTFMTIAVALIVVGVILAAAGIATG >KN539946.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539946.1:61610:62350:1 gene:KN539946.1_FG001 transcript:KN539946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQSNMLKPKGILASLYQSKNFSFAQGYFVGRPMNHAEPAKEQQQGADEQRPAANAQIPGAAHASPAAEPTARPTNSIGKLKQIYLE >KN540442.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540442.1:8105:13927:-1 gene:KN540442.1_FG001 transcript:KN540442.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAWRCVAAVVVGVVIMAAAAAVDGLGVNWGTMATHRLPPKVMARLLKDNGFKKVKIFDADATTMSGLAGTGIETMIAVPNDMLAAVGDYGRAREWVKENVTRYSFDGGVDIRYVAVGNEPFLKAYNGEFDRVTVPALRNIQRALDEAGYGKTIKATVPVNADVYDSPASNPVPSAGRFRDDVAGTMADMVFLVTRGSGGLNKMVINHLDKLFVTNAAATIVNELEKIGDGANLTISFAGGLLEKAEELVRMGCIQAFRGLVLKNDAVGSIKGWRRQRLMIIIMSSKFELRRFCRTTGAIALLKLSLPNVDELGYADSVLVEDIGGVRVTVLKNEKGGKSVAIAVLRGSTDSILDDLGRAVDDGVNTYKSMCRDSRIIPGAAATEIAFGKEIEGVLAEGNICYTK >KN539946.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539946.1:3601:4155:1 gene:KN539946.1_FG002 transcript:KN539946.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTKNAARRVTLRRRRAGLIKKVTELSILCSVQASIVVYNIDEAGDPVVWPSIEEAKNMWSKLMDMSEATQKKWMQDSKTLLQQQIMKLQKKLDNLKAENYKREITNIISEIGGGHRKNLNDLSPEMVKNVKQEAAKLREAIRNRIIELHAQGASSSVVVAPQVEIVAPHASQFDLNEHALV >KN539946.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539946.1:20662:30263:-1 gene:KN539946.1_FG003 transcript:KN539946.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNVIQDLGTADIRKNTVKGWIAEVRKLAYHVEDVMDKYLYHAHQMQEDGKMKKFVKGAQYIKIFDEIADEIVQIEEEIKHVKDLMKWSLSAELMSPNTPSDIERQISGGCLPEFIKDEDLVGVEENRRKLTGWLYSNELHGTVITVSGMGGLGKTTLVKNVYDREKGNFPAHAWIVVSQTYDVEELLRTLLMKVAYREQSPAANMNKMDVYELTDKIKKKLEDSKCLIVLDDVWDHEAYTMMRNAFQNLQESRIVITTRKEDVAALASSKYRLELQPLGNTDSFNLFCRRAFHGRTGCPKELMEVATSIVKRCQGLPLAIVSMGSLLSSRKQTEYAWNQTYSQLRNEMIKNDHVRAILNLSYHDMPGDLRNCFLYCSMFPEDYSMSRESLVRLWVAEGFVVRKDGNKPEDVAEGNLMELIHRNMLEVVENDELSRVSTCKMHDIVRNLALDVAKEEMFGSASDNGTMTQLDTEVRRFSTCGWKDDSAPRVSFPHLRTLLSLQAVSSSTSMLNSIFSGSNYLSVLELQDSEISEVPTSIGNLFNLRYIGLRRTNVSKLPECIENLSNLQTLDIKQTKIVKLPRGIVKVKKLRHLIADRYADEKRTEFRYFIGVEAPKGLSGLEELQTLETVQASKELAEQLEKLTKLQNLWIDNISATNCAKLFTALSKMPLLSSLLLSACDEKEVLCFQNLNPISKMFHRLIVRGQWATGTLELPIFQEHGKNLRYLALSWCQLGEDPLRVLGLHVPNLTYLRLNNMNSANRLIITAGSFPKLKTIVLKLMPNVNRLKIADDALPVIEGFSSHYFAICCNNTYNYKLKSSPRRYETALNFKKLFGKTEAQMAEAVMLVVSKIGSVLLEEITFTVISKLSEKITNLKELPVKVKEIGKELNMMNTFIKRISTTDLTDDVKAWIAEVRELAHCVEDVLDKYSYHALKLDEENSVKQIFTKANYIKVFSEIADEITQIEGKIENAVKRKDRWLQLSELTPYPLADVQTKQSRDCLLELVQDDLVGIEDNRKQLTKWLYSDEQGSTVITVSGMGGLGKTTLVANVYEQEKINFNVYHWIVVSQKYDIAELLRKMLRQCWSLEHTQLADLDAHDLKSAIKERLKDSKCLVVLDDVWNREVYTQIGDAFQNQKASRIIITTRQDQVASLANITRQLKLLPLKHNDAFDLLCRKAFNASMGCKCPQELEKLADDIVDRCQGLPLAIVSIGGLLSSMPPTKYVWNETYKQLRSDLANNNNVQAILNLSYQDLPGELRNCFLYCSLFPEDHQLSRETLVRLWVAEGFAVQKEHNTPEEVAERYLQELIQRNMLEVLEYDELGRVSTCKMHDLVRDLALYIAKEEKFGYANDFGTMVKINREVRRLSSCGWKDKTMLKVKFLRLRTLVALGITTSSPQMLSSILSESSYLTVLELQDSEITEVPASIGNLFNLRYIGLQRTRVKSLPESIGNLSSLHTLNIKQTKIQKLPRGIVKVKKLRHLLADRYEDENKSEFRYFIGVQAPKELSNLEELQTLETVEASKDLAEQLKKLMQLRSVWIDNISAADCANLFATLSKMPLLSSLLLSASHETETLCLEALKPASESLHRLIIRGCWAAGTLESPIFRDHGKFLKYLAISWCRLQENSLLLLAPHVPNLVYLSLNRVSSASTLVLSAGCFPQLKTLALKRMPDVNHLEIIGGALQHIEGLYVVSLPKLDTIPEGIESLRYLKKLWLLGLHKNFRSQWEKNGMQQKMHHVPELRVKD >KN539946.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539946.1:9238:11943:-1 gene:KN539946.1_FG004 transcript:KN539946.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLMEEATKAAITKLSEKATNLKELPSRVEEIEDELKTMNNVIKQMSTTNLTDEVVKGWIAEVRGLAHRVQDIMDKYSYHALKLEEENSVKKLFTTPNYVTVFSEIAEEISEIEKKIENVATRKKRWQQQSHHTPNPLADIERKRSQDCLLAPDDLVGIEDNRKLLTDWLYSKEQDNTIITVSGMGGLGKTTLVNNVYEREKNNFEVSTWIVVSQSYDVVDLLRKLLRKIVPDDQTQLLDLDAHDLKIRIKEKLKDENFLIVLDDVWNREAYTQIADAFPNFQASRIIITTRQGDVATLAQSARQLKLNPLEHTDALELFCRRAFYRNCKCPQNLEKLTNDIVVRCQGLPLAIVSIGGLLSSLPPENHVWNETYKQLRSELTKNNNVQAILNMSYHDLPGDLRNCFLYCSLFPEDHELSRETVVRLWVAEGFAVQNEENTPEEVAEKYLRELIQRNMLEVLGNDELGRVSTFKMHDLVRDLALSIAKEEKFGSANNYDTMERMDKEVRRLSSYGWKGKPVLQVKFMRLRTLVALGMKTPSRHMLSSILSESNYLTVLELQDSEITEVPASIGELFNLRYIGLQRTRVKSLPESIGKLSSLLTLNIKQTKIQKLPQSIVKIKKLRHLLADRYEDEKQSAFRYFIGMQAPKELSNLEELQTLETVEASKELAEQLMKLMQLRSVWIDNIRTDDCANLFATLSKMPLLSSLLLSASHENETLCLEALKPESEELHRLIVRGCWAARTLEYPIFRDHGKNIKYLAISWCRLQEDPLLLLAPYVPNLVFLSLNRVNSASTLVLSADCFPQLKTLVLKRMPDVNHLEIIGGALQHIEGLYVVSLPKLDNVPQGIDSLRYLKKLWLLGLHKNFRSQWQKNGMHQKMQHVPELHVKD >KN539946.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539946.1:67139:72671:1 gene:KN539946.1_FG005 transcript:KN539946.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALTKIGNVLADQAAKDLLAKLSEKVNNLRDLDEKIEKMRMQLTTMNNVIRQIGTTYLTNEVVRGWIGEVRKVAYRVEDVMDKYSYYSVQMDEEWFLKKFFIKGSHYVFVFSQIADEVVKIEKEIEQVIQIKDQWLPLCQLVPDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTKWLYSNDMGNKVITVSGMGGLGKTTLVTNIYEREKINFSAHAWMVVSQTYTVEVLLRKLLRKVGYTGNVDEKDAYDLKEEIKRTLKDRKCLIVLDDVWDQEAYFKIRDAIEGNQASRVIITTRKNHVAALASSTCRLDLQPLGDTQAFYLFCRRAFYSNKDHECPNELVKVATSIVERCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRSELSKNNHVRAILNMSYHDLSGELRNCFLYCSLFPEDYPISRESLVRLWIAEGFVQSKESNTPEVVAEENLMELIYRNMLQVKENDELGRVSTCTMHDIVRDLALSVAKEEKFGSANDLGTMIHIDKDVRRLSSYEWKHSAGTAPKLPRLRTLVSLEAISSSPDMLSSIFESSYLTVLELQDSEITQVPPSIGNLFNLRYIGLRRTKVKSLPDSIEKLLNLHTLDMKQTKIEKLPRGITKIKKLRHLFADRCVDEKQSEFRYFVGMQAPKDLSNLKELQTLETVEASKDLAEQLKKLIQLKSVWIDNISSADCDNIFATLSNMPLLSSLLLSARNENEPLSFEALKPSSTELHRLIVRGQWAKSTLDYPIFRSHSTHLKYLSLSWCHLGEDPLGMLASNLSDLTYLKLNNMQSAATLVLRAKAFPKLKTLVLRQMPDVKQIKIMDGALPCIECLYIVLLPKLDKVPQGIESLNSLKKLSLSNLHKDFKIQWNVSPEDREELLLLSISIRIPLTDWVQHTDRTAPALQPYSLVFQQVMLLNLLV >KN539946.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539946.1:6650:8285:-1 gene:KN539946.1_FG006 transcript:KN539946.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDNLSDKPQKKSGRYQGQKRTSLQPLPTPKPTPAPGQTKIEKLPRGITKIKKLRHLLADRSDGEKHSEFRYFKGVQAPKDLSKLEELQTLETVEASKDLAEQLKELMQIRSIWIDNISSADCGNIFATLSNMPLLSSLLLSAKDENEPLCFEALQPISNELHRLIIRGQCAKGTLDYPIFHSHGTHLKYLALSRCNLGEDPLAMLASHLSNLTYLRLNNMHSAKRLVLDAAAFPCLKTLVLKHMPDVNQLKIISGALPVIEGLYIVALSGLESVPSGIETLRTLKKLWLVDLHWDFEAHWIESEMDQKMQHVQELIL >KN539946.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539946.1:38568:48648:-1 gene:KN539946.1_FG007 transcript:KN539946.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAIAKLSEKVANLKELPTKVDEIKKELETMNNVIKKMSTTHPTDEVVKGWIVEVRGLAHHVQDVMDKYSYHALMLEEESSMKKVFTKKKYVTVFSEIAEEIIQIEKKIENAGKRKERWLQQPDLIPNPLTYIERKQFQDCLLAQDYLVGIEGNRKLLTEWLYSSEQGNTVITVSGMGGLGKTALVNNVYGREKNNFDAFSWIVVSQTYNGVDLLRKLLRKIGVVETTPTIGYPEQTNLSDLDPRDLKNIIKERLKDRKFLIVLDDVWNREAYTLIEDAFLSCQTSRIIITTRQDDVATLAYPTHRLKLKPLEHNDAFDLFCKKTFYNSMHSKCPQDLEKLAHNIVDRCEGLPLAIVSIGGLFSSVKLESYIWNEMYKQLGDELVNNDHIRAILNLSYRDLPGHLRNCFLYCSLFPEDHLFSRDTLIWLWVAEGFAVRKQHSTPEEVADRYLRELIQRNMLDVVENDELGRASSCKMHDLVRDLALSIAKEEKFGFSNDYGTMKEMERDVRRLSSCGWENNDVLKLKFPRLRTLVSLRAMSPSTYMLSSILSESNYLTVLELQDSEITEVPESIGNLFNLRYIGLRRTKVKTLPQSVEKLSNLHTLDIKQTKIEKLPKGIAKVKKLRHLIADRYADETQSRFQYFVGMQAPKNLSNMEELQTLETLLSSLLISARNENEALCFEELRPKSKELHKLIIRGQWAKQTLDYPIFRYHGTQLNYLALSWCYLGEDMLGILSSRLENLTCLRLNNIHGTKTLVLDAKAFPRLKMLQLMHMPDVNQIKIMNGALPVIEGLYIVSLSGVESVPPGIETLRTLKKLWLLNLHKNFKADWIEREMQQKMQHVPELHM >KN540442.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540442.1:37575:38423:-1 gene:KN540442.1_FG002 transcript:KN540442.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHILNPITGEQVALPSVTTIDQVTPIFDREGELCKYRYSRHTDSPLTLPLDRLRYFLHCKAFVFYDKFARSCIVVLIHDAYQKISFARLEHDDKWTWLPPHLGFHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKFIGNNQTSCIGASEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPLCFSYRILA >KN540442.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540442.1:27985:29661:-1 gene:KN540442.1_FG003 transcript:KN540442.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLFRHGTTDSGDAYLVLGLGFPIPASNRTRSFMPRPRLVLCISRSRRSLPDPDLQDCSFRGVPARAVHLPRGCTSASVPAQAIDLLRDALLQMEMCSREEIMDLCRQAIHPQNMCCAIPQVLPKQLCLPPCSTKEELRTILTETTAREFSDLPHDILMDIIAMLEIPDALRAASVCSSWRSVHIKLHNLGKYKRPQTPCFLYTSQSIGENIACLYSLAEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRYFFHCKAFVFYDKFVRSYIVVLIHDPHKQISFARLEHDKWTWLPPHLGFHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGASEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSLMNSTLHS >AMDW01023545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023545.1:88:288:1 gene:AMDW01023545.1_FG001 transcript:AMDW01023545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LMVIASIQSAEAQGGKICPQFCYDGLEYMTCPSTGSQHLKPACNCCIAGEKGCVLYLNNGQVINCT >KN540442.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540442.1:14950:20424:-1 gene:KN540442.1_FG004 transcript:KN540442.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRSRDRRKEGKRASISKDPREEDAKSNPRIEAGDVLQIYRNGDLDTALSQCLEITTTKYKLSPPPLLSNLLGYLGMKAFLFAGEVQPELAERCAKVALESYGKAAEYVPNCIETAASYGEAMSALKLYRSAEIELNRAMSIARPLDPAIHNILYGVDIPDKSTKNHRIAAAKEKLHRAIDINRNSICADSITDVLNIIGSEGPLSAVQSATTVATCFPHSARAQYLPAYVALKVAQGLRPDIDNTEILHCALSIMDKAANDFGCSLVIALFRAKLIVVFGDYGAAESECYRALCIDQPDDPKAHEIPFGSIKGEEYDDRICSVKRQIHRLLQSIVLFATRDWSLMTCETQGTIWSVRADVLRQHYHSISHALSEVISNAQSFVNSHSSWILMACPHPTCKGIGKFNTIFMFWEHVIKEHLSSLHAVSAPKICESMMEGHQSLDVIGFAKDSDQQVIFYFKNMEDLFGTFILDPFSTSDLIRAVEIQKNNQKEGNEIIKTIKKKLRSLPSDRSSNEVWHLYIIGFSLAFLPKFSLSSKKIQEMCSTLAKKSSFDYREIILPLTRSYQWEQLKKYLSQREITSCRNNTDKDLYEIFVNAGFTPELNAYLDSKASHDKIVDHQNLKLTCSDNVADGEGFFTLEFGLVYNINLINGMAKFLLISEVLAEDANAMVNRKPSDITSIGEEDIGVKLSATLDLKEKDVQFSDEVAGTSSYQMDSVQTFEPSLYKPPPDPPTISRQAIRYGYNALHKPAVGPNATTPINRLIEIVNEVNNFDPYKMELSHESYRRELAKIPKDQELCAACFFERFSIVTMTSGKM >AMDW01031670.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031670.1:57:263:-1 gene:AMDW01031670.1_FG001 transcript:AMDW01031670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAPLVKKVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATA >KN544121.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544121.1:810:4137:1 gene:KN544121.1_FG001 transcript:KN544121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAVSAATGVMGSLLAKLSALLGEEYRLLKGVNSDIRFLRDELTAMNNFLIKMSNMEENLGEQEKEWRNRVRELSYDIEDCIDLFMRKFNHGDVDANIVRRTAKKIRMLWPRHEIASQIHQLKDRVNEESARRLRYRFGESNARIVEIDPRLPALYVEAEKLVGIHGPMEKIIDLLTKQDGSSQQLKVVSIVGFGGLGKTTLANQVFKKIKHQFDCTALVSVSRSPDIKKILFVLLKDMINENNSNDEKHKKVVGIKAEKSDDEKQLINKLREYLTSRRYLVVVDDIWSASAWEHVKLAFPDNNLCSRIIATTRNTNVAKSCCSGFQHYIYNIQPLDEQDSYKLFLKRLSHTESDFPSHLGELSHAITKKCHGLPLAIICVASLLANKPETKDQWEHVHNSISSAFSSQIMKDILLLSYYDLPYHLKTCLLYLSIFPEDYWISKVDLLLRWIAEGFIPEVKDQALYQVAENYFNELINRSMIQPVNIDYDGSANACRMHDVMLELIVSLSEDENFNTLVDGKYLRINSEGITELPDKIGDLKNLQTLDIHGSKIGKLPAAIGRLQNLLYLHVNSDVELPDEVGDLQALQVLSDAFSYNSIKFVEELRRLTKLRSLHIGLHSSLKLCYHDMRRYEEALKSSLTVLGKHSLRSLVISRADCLGDYLMDLLCDTVPCLQVLVMYGPWNGMLSERIASLGNLSFLAICVRSIKQKDLWVLGGLPSLLKLELHLLYGPDERLIISSQLFQCLKKFKLKYEFGGGLSMVCEKEAMPKLQMLHLRFKAMETKSNTGFELRLEHLSSLRHLSVTVDCDDATEGELRLPKPPSGTQ >AMDW01039581.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039581.1:155:896:1 gene:AMDW01039581.1_FG001 transcript:AMDW01039581.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EQRRSPSYDSYSRGRRSRSRSRSRSPSYSRRHGRGTHAESNYRSKPKTPRVEYITEFGGSDDTSDLKVAGISPPSSPIRVGIPNRSGNSTFNICKDTLGHLSLLQHIVMVLVDMSSGGQILEALHSDPASSLSVEQEKSTKNLKAPT >KN541908.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541908.1:2042:2803:-1 gene:KN541908.1_FG001 transcript:KN541908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPKFYTQALVIGDDLYLMDMRPWPDEGDDREGRRSGHSFEALIHRDRGRMEDECYWRPLPPPPCVHAAGYRGSAGEIRGYAVVGDSHILVSTGSYGTYSFDTASAAWSKAGDWALPFCGRAEYVPEHGLWFGLSAADDDVFGAWDLSSAVHQQQQPAVAHRGCKGFAVPETPYASYVVHLGDGKFCIAKLFMVAHREICSQSCCHFDRDRRFFTMLTGVEAVRCNGDKLRIVKHRTCRYSFGEHYIPTYLL >KN541908.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541908.1:15290:17765:-1 gene:KN541908.1_FG002 transcript:KN541908.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ESTLLRLSSRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLMSYSLEGTDSLLPSRRRSLFTLATSMIMFFSRAFNVAPLIPICKSMLNERTMDPFLHLVQDTKLQAVKDCSEETYGSPEDDNNALKSLSAVELTQSQSRESMASTIMNNIRDLPDSELQTIRSQLLSDFSPDDMCPTSALFFELTVRNPGCDEDSSNQEDVLINMANDNDTFGEVYENTEATTASVPTANLLGIDELLESVVTDAPSQTVRCSVSMAPNIPFKEMTNQCEVLSMEKLQKMSVLLSFKHKNQSNVLPINQADNTGAVHISSYDQNTNPFLQQSLDGYPKYVADGEALQVAADDVFQQQFLKLPASSPYDTFLKAAGC >KN541908.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541908.1:10262:10654:1 gene:KN541908.1_FG003 transcript:KN541908.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGGRASSNKIRDIVRLHQLLKRWKRAALAPKASKNNNGGGTSVPKGFFAVCVGEEMRRFVIPTEYLGHWAFEQLLRKAEEEFGFQHEGALRIPCDVEVFEGILRLVGRKDEKAAMCYSSSEHEILCR >KN545911.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545911.1:593:934:1 gene:KN545911.1_FG001 transcript:KN545911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLTDRREQQQHICNQDNKCAELIELSDVWPFCVMHRPAPMATPKQ >AMDW01020961.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020961.1:30:152:-1 gene:AMDW01020961.1_FG001 transcript:AMDW01020961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFLEGDRIHYLSEDDKAKLRRGSTDKITSIYYDMVSEVVTV >AMDW01035418.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035418.1:45:476:-1 gene:AMDW01035418.1_FG001 transcript:AMDW01035418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VELVLCDLHPSFCVFTEHVPVFPRYRIRDPYKLLGVDRDAAEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPQMDIISRRLFFFAFIAGWSIATSAENGPAFQ >AMDW01034362.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034362.1:3:527:1 gene:AMDW01034362.1_FG001 transcript:AMDW01034362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATIQPHVLTLSHIDLHADDVQTTVTCVYPNPAPSGAGDFAAVVATFTANLPSFLSHLFFLTGRIATNPASGLLEVRCHNQGAKLVVALGSLDWGNAGASLNKIQLPYAGEVVMSVQLLSFACGGFAVVWATNHLLGDGHIAVVLLRSWSELALTGTFAGGLNLDRWVLSHPHSPP >AMDW01037945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037945.1:321:554:-1 gene:AMDW01037945.1_FG001 transcript:AMDW01037945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTSECSLNLQKRLKHRKPKLIDKIELQEFSLGCCPPTLGEHGMRWMTSGDQ >KN543878.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543878.1:634:2524:1 gene:KN543878.1_FG001 transcript:KN543878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding THSCLVEFVICALLNLKGGHEPGWRDVPVHDPVVKDAADHAVKSIQQRSNSLFPYELLEIVRAKAEVVEDFAKFDILMKLKRGNKEEKFKAEVHKNLEGAFVLNQMQQEHDELSSQ >AMDW01040491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040491.1:46:1551:1 gene:AMDW01040491.1_FG001 transcript:AMDW01040491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LNACSIKNFPSSIGQLKHLRYLNAPGIQDRNILSIVKLSKLYFLNLSGSARITALPKSIGEIEGLVHLDLSGCLGIRELPESFYCYHIEGVSEAMSNLTELQYLNLSHCSSYRGRLHLKGLREILGNLTKLRYLNLSKCIDTIFGSAPTDQSCRFIECVGTLCNLEHLDLSKNNSLNSVPESLGRLRMLHTINLSGCCNLMQLPKSIGEIDSLKFLTVTDCRALDKSTLPCFSNNLILLPHFVVQASDSEFSSNIGLLQDANPTELKISSLENVKSAEETLGIKLSEKRRISKLIFQWSASAKRFMEDIDVLRDLLPPSTLQHFDLQGYKSISFPGWLMNISHYVPNIVKIKLEDLPMCNILPALGQLQNLQELFLGTMSSITKIDGDFCGCVRAFPQLVKFILYYMKSLEEWTTTYSYGEDFVNEMMFPKLQRLEIRNCPKLKLKPCPPKTVDWKIESSDNVISSWGAGCTDTYSSSSPVTNLEVDSCK >AMDW01026471.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026471.1:156:353:1 gene:AMDW01026471.1_FG001 transcript:AMDW01026471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKM >KN541661.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541661.1:3663:4691:1 gene:KN541661.1_FG001 transcript:KN541661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVIGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGKLGLLYHDPFNLQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFVSLVTILGGAVGYVMTDSGFSLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLIIAPVFWFLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASSFGLVCLLFTLAGGVLYQQSVTSKGNSSVQREAVAKQGKADNDTAELDEEKQSLVSSPKDSNV >KN541661.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541661.1:16316:23672:-1 gene:KN541661.1_FG002 transcript:KN541661.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLAVSLNPLSGPLPKEIGNLRNLLSLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLILRNCKISDNLGTVNFSKLAGLTLLDLSFNNITGHVPQSILNLDKLSFLDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRGSPEYYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGQRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTIAGVVIGASFFGLAVLVGLFMLLKKRRRTSQRKEELYNMVGRPNVFSNAELKLATENFGSQNILGEGGYGPVYKGKSQFVTEVAIISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEIDEEEVLRVISISFLCTQGSPHQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEIDEEEVLRVIRISFLCTQGSPHQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSIAYELSGQRETSPLTPSLEISREIIDDGR >KN541661.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541661.1:10707:11507:-1 gene:KN541661.1_FG003 transcript:KN541661.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFEKDGARGYAILTAADHRVSRNPSGGYNTFFQVLLLSIHHGNHQVYLHRFSSAAASAAAAAAIFEISWSTLRNCSEQIRGYVWGPSGNRVAAVSHGAAHWLFSWGEESLYTLDVSIDTDNIGATNIPIDPPPTVFHQSWLYVSVDERLSLLYLCDNKLHIWSKLETQESGRLLWNCTQSICVGVKMGLFGTESLSTVCIGEESGTMLTLYLSDPNSAYVLDLPSGSITSVDDWKRWFNYMTAVSFEINWHSFFLTRLGDFWYA >KN540295.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540295.1:53223:53447:-1 gene:KN540295.1_FG001 transcript:KN540295.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPPALVAETIGRGGSAPTRSGATEAAQGDTAMGGGGPVINGNSNDSWTPAATSGWPRPRCGRRPVGGAVG >KN540295.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540295.1:514:3396:1 gene:KN540295.1_FG002 transcript:KN540295.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTEKGGDPELIRQSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGEKRVEGNLKNHVDLCKMLGIVALEKGVDVAGGRGYYLKDEGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVAQLQIK >KN540295.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540295.1:33701:39563:-1 gene:KN540295.1_FG003 transcript:KN540295.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGISESDELGAFEATGIYRLAESGAAFLDPVRILNASYRRFRLVPSAYYSRSFGTSRQGGEAETERTGEASPERKKRKRQPKPRELNEVERMAEARHQEARPLLSSAHKSLLKAKDLLEFLPRMIKEDVRVLDVESNLEKNLVELGSSWRAPLCEMTLCFQKSSGEDSEEGICHKTSTPLFNSTISIEENDDAEGEFQDRRYILPRRCCFLMFFHRSIIFVLDLEMFLHDLDDTLKTDLKHVRGLIPDNYNQGYNLIVVDPPWENGCVRQKVATKRPYEVQNSSFWKKGGLCHSHWRSASAECACRAAEWRSSSSISSMPLPLYVWQRLCVTARGVGAKAPNPLIPRRAEAVAPAADRDVQPDAADLADDAVNPNDASAPARAFGTSGLSVALQAGAVSSSHRRFKNPYYEPIIPAPAPNHCNCRAQRLGSEMPGLRPESPAIPSPELRRVRTSFTGPSSWVATTAMGKVVRSAKAPVEPKVEEVEKQRRRGKGGCNGTVSSARCRSPPPSPVSPELGKTRCSWITVNSEPLYIAFHDEEWGVPVHDDQKLFELLTLSQALAEITWPIILNKREEFREMFDGFNYASVSEFTDKKINLLSKSNGNMLLSEQKIRAVVSNAKQMHKVIQDFGSFSSYCWSFVKHKPVKSNFRYARQVPIKTPKSEAISKDLMRRGFQCVGPTTIYSFMQVSGIVNDHLSCCFRFQDCRDIKRNLRAEPGLIERRLSSPPSSEDSETSREA >KN540295.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540295.1:14400:15370:-1 gene:KN540295.1_FG004 transcript:KN540295.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEDEKLLFPSFAFPAECFPEAATSGGEQKKARQRRRRKVKPEAAAAAAALAGESGGDEQAKKRRLSDEQARFLEMSFKKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFAKLRSAHDAVVLQNCHLETELLKLKERLADVEEEKAKLAAVAAATTGGGGGGGGGSSSPSSSSFSTVTYHPALAGQFGVEAAAEEADLTYMSEYAYNNYMLELAAAGYCGGVYDQFS >AMDW01056248.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056248.1:293:551:1 gene:AMDW01056248.1_FG001 transcript:AMDW01056248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLDKLLNKICRSVRIIGGNTVVKARFQDYCKAKKKPARMFGIDVKHRLNTKYLLLRQLKVYEELIFVFINSMHVRMKDTDDDSD >KN540295.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540295.1:9191:12370:1 gene:KN540295.1_FG005 transcript:KN540295.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQVEVEEEVQPKATLAVLLAEYEVKDKKTWMEVTYASAVEQEICTAFLFVSQVHGVEYAFGAHDYPSSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSRPEDCEKRRLRNPLSCFSSISSQRQLPPSSPFPTSPVKEPLAYSSSRKSSAPSLRNR >KN540295.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540295.1:40500:50225:1 gene:KN540295.1_FG006 transcript:KN540295.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVIVYFSILFIRYHAGIVTKEMLALPKSRFMLIGLLEALGVASGMAAAAMLPGPSIPVLSQSFLVWQLILSVLILGRKYRANQIFGCLLVTAGVILAVASGVNSGPFLSDVKLFWPAVLMASSACHAGASIIKEFVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLAELPAYINRGAACFLNIGGNLKDCHGAPLLPLLFIAMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTNLSTSFLLLSAFHRFYSHASSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEDNDAAAAAAGGQEDAAPSARYARTLHELRQFKAAGDPFTEEFFSAFQAVYRQQLTMLEKLQQRKHRLDKKVRAIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASIPVGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVELEISSMIDCIEFAERDEEAVKFGVEEIKKKLEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPS >AMDW01029261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029261.1:16:394:-1 gene:AMDW01029261.1_FG001 transcript:AMDW01029261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSISVVFFMFLLIVAASTFSSCYALTPRQTCNDLGDLACTDETCKKIYGDRLEYYCKPGVTPT >KN540296.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540296.1:14812:15774:1 gene:KN540296.1_FG001 transcript:KN540296.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLADLAVRYGGPCRAASDPLMMMQVTEFACGGFVVAATWNHGVADACGLAQFLRAVGELARGLPSPSVAPVRYDESLPDIPQLATILLKRLAAGVKFEHVDFAYCDVIIPWSFVNRVKAEFGSRHAGDRPCSVFEAVTAAMWQCRTRAINGHGSGGAALAPLVFAANVRKHVGAKDGYYGNCIMSQVVVATADAVANGDVVDLVKLIKEAKERIPVLLSTKTLGLDDDDDDDGGGELVAALCGYGALYASSWAGLGLDGIDFGGGRPARVIPDSEVKMLPSISPCAPCSMKDGHGVNVVASCVTDEHLEGFRAQLARLQ >KN540296.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540296.1:26820:37042:-1 gene:KN540296.1_FG002 transcript:KN540296.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLLVVFFCRMLGNMEGKNMRKKQPKLPPGPATMPVLGNIHQILMNKPVFRWIHRLLDEMDTEILCLRLGSVHVIAIASPEMAREALRKNDAVLTSRPVSFAWRAFSFGYKNTTGSTGDQWKKMRRVLASEILSLAMERRMLGRRVEEADYLVNYAYRNCNNGTVDVRHVTRHFCGNIIRKLVFGRRHFDSGAGNIGPGRDDEAHIDELFTALDYLGAFSISDYFPSLVLNGLMSTFRRLHDPIIMERMEEWRALRRNGDKRREVADFLDVLISLEDAQGKPLLSLDEVKAETLEIILNTVDNPSNAVEWALAEMVNNPKVMKKAVEELDMVVGKERLVEESDIHSLTYLKACIREAFRIHPYHAFNPSHVAIADITIAGFMIPKGSHIILSRIGLGRSPRAWDNPLEFRPERHLKNTDNVVLAEPELRFISFSAANMREQNNTIIVSIAMTILLLLAFFCRIKKQAAMAAKNKRKKQPKLPPGPATMPVLGNMHQMLMNKPVFRWIHRLLDGMDTEILCLRLGRVHVIAVASPEMAREVLRKNDAVLTSRPASFAWRAFSFGYKNTIGSTGDQWKKMRRVLASEILSPAMEYRMLGRRDEEANHLVNYVYSHSNNGTVDVRHVTRHLCGNIRKLVFGRRHFSTPPPANSGGPGHDEEAHIDALFTALDYPSAFSVSDYFPALVGLDLDGHEEVVNGLLNTFSRLHDPIIMERMQEWRALRRNGDERREVADFLDVLVSLEDGQGSPLLSLDEVKAETLEIIIATVDNPSNAVEWALAEMVNNPNVMKKAVDELDVVVGKERLVEESDIQNLTYLKACIREAFRIHPYHPFNPPHVAISNTIIAGYLIPKDSHVMLSRIGLGRNPRVWDNPLEFRPERHLNNATSAMVLAEPELRFVSFGAGKRGCPAVSLGTSITMMLFARLLQGFTWSIPPGADKIELQESASSLQLSKPLLMQAKPRLLLHLYELDRL >AMDW01039890.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039890.1:10:921:-1 gene:AMDW01039890.1_FG001 transcript:AMDW01039890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFLVDFLIVCIGRHSGSPNIPEFPANSGLELFKGKILHSIDYSYMDNAAEFVKGKKVTIIGSGKSAFDIAAEVAKRWAISKVIETYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFFNDGIILEDGNEHIKSDIVILATGFRGDQKLRDIFTAN >KN539349.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539349.1:53365:56737:-1 gene:KN539349.1_FG001 transcript:KN539349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQLQGDDHDDEALPHFPYFAAAESSGAAGNKEKQVMEKGGAGRPSGTKKKASRPRFAFQTRSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >KN541246.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541246.1:2473:4156:1 gene:KN541246.1_FG001 transcript:KN541246.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGSMDSDLPDSATTELPVVEEALSPRSESIRKINLYLAEHTFDDLREGFAAMLNGFRDPPKDAAQPNAELPESSKPCPREFDAEPFQDSESLSPAAEDIARHNVSTEEIVQNGKRWMSEEVMLAFEKYIGGGDEFRDVVYHLDELQHQCFSVDAYQKVFHHYNFTVKMKKPTLEDWLLTCYFAEVKQIYGKKSYLCCPLKPRDNGYCHGCVNQGMVALKHPNNDEVEFEIGYFNTGCPFIYLSDDDSDDHEWVPLGESIDEIFDSVFG >KN539349.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539349.1:111316:115923:-1 gene:KN539349.1_FG002 transcript:KN539349.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLEDHRGSSLAAAPETVDHSDGDEPQGEVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYAAAPQEARTNWDEFTRNYFLSRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >KN539349.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539349.1:22820:24259:-1 gene:KN539349.1_FG003 transcript:KN539349.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVVLYPGLGVGHLVPMVELAKVFLRHGLACNPAINFHVLPPPPPPPLPAPVGSGSDGKSASPIIGMLGFLNAMNAPLRDFLCSLPSVDALIVDMFCTDALDVASELRLPVYVFYTSAASDLAVFLHLTSMRDSINTSFGELGDSMIHIPGCPPFKASELPSDILSDNEASRLILLMFRRHPESRGILVNTFESLETPALRALEDGLCVPGRATPPVYSIGPIVSGGGGSDKDHDCLRWLDAQPDNSVVFLAFGSLGRFGKKQLEEIAIGLQKSEQRFLWVVRSPRTDEKNVFEPLAEPDLDALLPAGFMEATRGRGLVVKLWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRLNKVFVVEEMKLGVEMRGYDEEVVVAEEVEAKVRWVMESEGGQALRQRAAAVKDAAAEAIKEGGSSHAAFVKFLEDLQLQVSRGMISA >KN539349.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539349.1:63887:68699:1 gene:KN539349.1_FG004 transcript:KN539349.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MDSFDPSCLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSILGDAKVEVIYHSSDEAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTTENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKGMRRGLCSSWLAGLNPNEGTGCAPFRAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGGGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICQETGCSEEEASIWLRKLERNGKFHTETWS >KN541246.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541246.1:21400:31046:1 gene:KN541246.1_FG002 transcript:KN541246.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLSILAVRTDFKASWVLVKSQVAETVAMTVIGGVLRSILGIMVLLTLFSGGKSSNRNIMASICAICSSHQIRQQTLVADWVWVAVPPLSPFDSKAPRGLAQADRHTELSVHIKLPIAYIISKFTDYILEYIGVSVVYIHAKSNLPVSHAVMVIGDGRHREPMASAANDREHVMIQNSEGKRFGIDGLGRVDKLSFRGLYHITLPDVVVHAGNMGSDGLDSTFAQPAALEEAVSPSLSEEIREYEEYLKEHTFDSMEAAFEYLRTGQRVVLPKVEFSGDEPSSEQFLLEKSEDQSTLEPEHDKSAVTQGQCDDSSLEEITQNGKKWMSEEAMVAFEKYITRRDDLKEYDYHFDELLHQCFNVEHYYKIFHHFNFTVKMKAPCSTDWTSVLYFAEVKELLGHKIYFCSPLEPNEDGNCYACKNQGMENLKHPIVGVFDRGFPTQVFPYTYSSGSEDEAWL >KN539349.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539349.1:79952:80802:-1 gene:KN539349.1_FG005 transcript:KN539349.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGECKVHQLQAGGDGGPGAVAPFVAKTFHMVSDPSTNAVVRWGGAGNTFLVLDPAAFSDFLLPSYFKHRNFASFVRQLNTYGFRKVDPDRWEFAHESFLRGQAQLLPRIVRKKKKGGAAPGCRELCEEGEEVRGTIQAVQRLREEQRGMEEELQAMDQSLRAAESRPGQMMAFLAKLADEPGVVLRAMLAKKEELAAAGNNGSDPCKRRRIGADTGRGGAATGGDAAEMAQSRGTVPFPFSVLGQVFY >KN541246.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541246.1:16046:19761:1 gene:KN541246.1_FG003 transcript:KN541246.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQQLELELLFGKVFFIQTAPSDPKADEDESSHKLNHCMAEKDASEVSNSELGATTTDMPKLKTLSLNDSPSALDSADRATVRASSMDSDLPDSATAKLPDVEEALSPRSERKRKIHLYLAEHTFDDLREGFAAMINGFRDPPKDAAQPNVELPESSKLYPYELQYQCFSVDAYQKIFHHYNFTVKMKKPTSEDWSVTCYFAEVKQVYGKKFYLCWPVKSHDDGYCHGCVNQGMVALKHPANDEVKYEVGFFDTGCPFMFLSDDDSDDDERVFSEESIKEIFSGIFG >KN539349.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539349.1:15058:16502:-1 gene:KN539349.1_FG006 transcript:KN539349.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYPGGGVGHVVPMLELAKVFLKHGHDVTMVLLEPPFKSSDSGALAVDRLVASNPSVSFHVLPPLPAPDFASFGKHPFLLVIQLLRQYNERLESFLLSIPRQRLHSLVIDMFCVDAIDVCAKLGVPVYTFFASGVSVLSVLTQLPPFLAGRETGLKELGDTPLDFLGVPPMPASHLVKELLEHPEDELCKAMVNRWERNTETMGVLVNSFESLESRAAQALRDDPLCVPGKLKEIAVGLENSGQRFMWVVRTPPTTTEGLKKYFEQRAAPDLDALFPDGFVERTKDRGFIVTTWAPQVDVLRHRATGAFVTHCGWNSALEGITAGVPMLCWPQYAEQKMNKVFMTAEMGVGVELDGYNSDFVKAEELEAKVRLVMESEEGKQLRVRSAARKKEAEAALEEGGSSHAAFVQFLSDAENLVQN >KN541246.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541246.1:5316:6638:1 gene:KN541246.1_FG004 transcript:KN541246.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLLQDRRLFKITSHEKVGLNEQQKNSEGKRFGIDGLGRVDKLSFRGLYHITLPELQIVVGNCDWKCHVCL >KN539349.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539349.1:70471:72428:-1 gene:KN539349.1_FG007 transcript:KN539349.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLACLLGFLLIASVGSHAARTPEQYWKSALLNTPIPSSLSQLLSTAGGGTSVNVGGGGVHVDAGHGKPGGTTVDVGMGGVGVNVKPGNGKPGGTTVGVGKGGVGVNVKPGYGKPGGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVNVAPFIYNYAATETQLHDDPNVALFFLEKDLHPGKTMTVHFTATTAGEKFLPRSEADAMPFSSEKVPEILSRFSVKPGSVEAAEMAQTLRDCEAPPAEGERKACATSFESMVDFATSSLGTSHVRAASTVVGKEGSPEQEYTVTAVKRASAGGDQDQLVACHAEPYAYAVFACHLTRATRAYAVSMAGRDGTGVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHVVWARSG >KN539349.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539349.1:31447:38337:-1 gene:KN539349.1_FG008 transcript:KN539349.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQANFDRLARDGYLSRSDFAECIGIPTHRSPTTTIFPPRNCFLTQHPRHCRRAGMTESKEFALELFDTLSRRRQMKVDTINKEELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIERQGQLLAKGRIIHNKKMMLLWQLETLLLQKDTYMNYSQALSYTSQALSQNLAGLRKKSSIRKISTSLSYYFEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARVLPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERILRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPAGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHVDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWRKVLSKISSKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTTKFEFHKEHF >KN539349.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539349.1:6019:7345:-1 gene:KN539349.1_FG009 transcript:KN539349.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPKLFDLELLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDESVLGQRYH >KN539349.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539349.1:90962:93612:-1 gene:KN539349.1_FG010 transcript:KN539349.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWSEIEGKRAHDPIFQNYFSQNCRQSVDGFCKKRSADAAVARAERCIRVLGPIIVGAGPSGLAVAACLKEKGVDSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPAYYPIYPSKQQFVAYLESYAARFGICPTYNRTVVCAEYDEQLQLWRVRTRATGIMGEEVEYVSRWLVVATGENAEVVLPEIDGLDDFKGTVMHTSSYKSGGAFAGKRVLVVGSGNSGMEVCLDLCNHNANPHIVVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARTMLGDTAQLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIKQISGRQVEFMDTRLEEFDVIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKARGKHPGVLL >KN539349.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539349.1:49030:49580:-1 gene:KN539349.1_FG011 transcript:KN539349.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNRAPVKKEGLAAIL >KN539145.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539145.1:54865:55173:-1 gene:KN539145.1_FG001 transcript:KN539145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVERCFEVTANLKRCMDAHADYYAPVLRAEQAVNDHADAAIAADKANEGGEKKLDAVTQEAASAADEKKQQVEEKSSSSSSSPTTTIDERKEKEVVTEKADS >KN539145.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539145.1:89143:91839:-1 gene:KN539145.1_FG002 transcript:KN539145.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLAAAPSSAEAGDGELKGCDGSVLLNASDENPRPETAAPITPSYRNLLNYRCSRGGGADPAVVNNARDEDLATVARFMPAFVGKLRPVSALDNTYYRNNLDKVVNFNSDWQLLTQDEARGHVREYADNAALWDHDFAASLLKLSKLPMPVGSKGEIRNKCGAINHSKS >KN539145.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539145.1:15473:17062:1 gene:KN539145.1_FG003 transcript:KN539145.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFSSFLNESASSENLFGHPNVERCPFLRNINGATTYSFSSALPVAARGGNGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYLPDENNSESIDAKPEPALLFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAIRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKGDADSTTVADMYPNKSGNCSDTEGKAWDPLAMKMAGRASGGVAAPTPSMCF >KN539145.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539145.1:55827:57459:1 gene:KN539145.1_FG004 transcript:KN539145.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAFRSLLHPAAAAPTARIIYDKVTDRSRGFAFVTMATAEEAATAIQMFNGALLGGRTARVNYPEVPRGGERAVGSAAATRGNRRDDGTFKIYAGNLGWGVRADALRAAFEGQSGLLDARVIFERDSGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSMAEQNPTAGSPSTVQSQEEETASESSDAETEQSITSEPSEAETEESNLQTAASY >KN539145.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539145.1:62811:63467:1 gene:KN539145.1_FG005 transcript:KN539145.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGIRILSKKFTPLLCRSSVARTGMALTKTNHMSTPFVDSLNGAKRPFSSSSIIEDRHLFRQSWYPWPPVPNTDEEFTIQWRMRFLANFLFLVYASGFIAHKRRN >KN539145.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539145.1:7047:8859:1 gene:KN539145.1_FG006 transcript:KN539145.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSRHQLCLGGNRGLPFLRKFKHKEIEAATNGFSAILDAGPGGRAAYRARFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDVSVIGYDPKRTAESNAASFEDEIQQRRRDLVFQYGVLILELVTGQSPGGEGELVQWVQEPGFACTMYKMVDADLGNIYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKVANLGCESENICE >KN539145.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539145.1:119059:119473:1 gene:KN539145.1_FG007 transcript:KN539145.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEQRIRKQERAAGSMHGWRIAHLKANHRLDLLKSDMRGDQLKVTAHMYRDLFVIFAGALTVMSLVAGAINLKEALAELFAPQSEKMPAEESKEAPPPEKMHHPREALQVLGSWLL >KN539145.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539145.1:58062:62213:-1 gene:KN539145.1_FG008 transcript:KN539145.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLVRCREAVLHGGRAPDEMRGRGGLCSIPLSLLEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >KN539145.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539145.1:22425:27383:1 gene:KN539145.1_FG009 transcript:KN539145.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEQRRTLKGGKKRSSFYYDIWNIKYLKKFKWDDLAGEIGYIDWYHIPAADKRGGKDEYIIKPLEKNLVFPCSTSHDARLRMKMFIERVSQVFEQHSGLSVQKMAIQGKLDNEHADHINRWLSFVSATKTKDLTFDFKSRYPREGPYDFPFKLFGAMDSSYLLSMRLSAVSLNPPVDFKAFLNLKRLKLEQTNVTDENMQILISNCNALEFLGIVDCGKLTRLSTSHLSNQLKHLHVESCHLLKEIELNFGLTKLGYKGTLIPLAPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAIVPENHMKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHMWMPLHHQRYHEESHGELRSLPPQPHGHLRLVHISGFIGMKDQLELSLHILRNSAMIRAMKIDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCKEDHRSVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >KN539145.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539145.1:129:216:-1 gene:KN539145.1_FG010 transcript:KN539145.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSLLLLALLAAAAAAASAVTDVEYC >KN539145.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539145.1:65700:68332:-1 gene:KN539145.1_FG011 transcript:KN539145.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLTGECPTLSLLPLARRSYAPTWTDPAFFFYSSSHLLLRLRRHRDEQTSPSTSPVPTSSSPPDSYLVFLGSLSGSHAFLLASCSLLLRCERTEDGMFRGIYHGKQCHAADIPAVLARAWAAGVDRIIVRCFAAGHSPFRFLFLAYGSDLVCLISRFLGLVRRKVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLVRCREAVLHGGRAPDEMRGRGGLYSIPVSLLTPEIGVCLSRLDYVNSALLNVRNLRRVETRKGISRRCWLWRRKESRKARYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEPCLVR >KN539145.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539145.1:122532:128269:-1 gene:KN539145.1_FG012 transcript:KN539145.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive protein 2 [Source:Projected from Arabidopsis thaliana (AT5G03280) UniProtKB/Swiss-Prot;Acc:Q9S814] MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEVADIFVFLTWNKDLPPRIQQANMHLSGCSSRIVLVDIRIDDGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGPEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSTVLITVACVSVAFSLYMAVTPLKSGSHEAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSSDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKSLGGNNPSCASDDGPPSLTFSRGKGSDAGNGSRSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADSQANEIPKSPVVRDNLRGSAFLGSSRDLMSAKNEMLNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASPVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYDPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALSACACLHRDIRVLNSPRHSSLVATNSIPRPIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >KN539145.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539145.1:50084:52731:1 gene:KN539145.1_FG013 transcript:KN539145.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRILQNHHHQILAAGRQLQQRHHFPAMPPERHHHPPPPAPGSPAMKFPIISGDSDLGKDLKFHESSAPTIAAYSPLQEYQGHFELALGHSMVCTNFCNSEQSYGVYSPYGAQTMAGRMLLPPAIATDVGPIYVNAKQFNGIIRRRLARAKAEREHRVSRGRKFDLGA >KN538721.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538721.1:183949:193307:-1 gene:KN538721.1_FG001 transcript:KN538721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPPLPAAAVLGSKTYLHSALATPDAWRTRDWRKAVDYHATLLAPHLADGILSPTSRAVCLGAVQEALAMRELGVSTAVAVARKRSPLLAVAGNDRRLPFQDSSVDFVFAGRALDSSKRPADLAAESARILKPDGHLVVLTTSAADAFSLRALQALLPSLRLLRSRHINSTLRELVFQKIQDSTDDPVNKCTIGDHKVQLLTHAEPLIQEEPRKPWITLKRNIKNIKYLPTLADISFKRNYVYVDVGARSYGSSIGSWFRKHYPKQNHTFQVFAIEADPAFHSEYAAKKAVTLLPYAAWVKNETLNFEINADPGKEDEAKANGRGMGRIRPMAGKKMSGEVRSVPAFDFAEWLKRTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPDRAEAFEMAKGVSCFYWSIQFPNSSTTPVCNICVAVYYYTPIWSIYMLEDLVFLSWMFIGETRQCTSCSNKAAGRIAKTNQHSLCCVALNLNLINSSNAHTNTGEWHWHRACCHVLPRLCTRALSTGAITSFSIQLDSLDTPTNPPSPDDQTHTSTQRKQARSSSSSSASPLLSLRITYLFMVMGATAFEAFYDGSWHGVNCIRIRNGNLFVKFIYSGSTVEHNVDGDCLRLRSRRATCSDCTNVLKPGVDVCVQSSHTPEASSQGGTNASVLLRHDARLITIKKNHQEDKCLCLFVVILYKNQCPGNAEKVITDRRAEVVTINDIFLLQKLQPEVHEGSMKWSFSKDRLSLSKSKLISARFSSEITHLIVLSILRGMEFNIKLVEDQIVYQIIKGDQARWNLDSMAIPPGFGNTMEIISFHLRDEALRPTITNIPITHVKKNNITGDMRFTVKSEMDSELDRALDVEILYEHVDLRRSKRLKTQPDRFTSYDTPRFLSGYKKKEASSSPTKHVGGAVHCDSPVDDSKKEVESCCVEIPGNVTQKQTGVHSPMVDEKSNSPEGQHKNTTKGTTCSLPVKEKTSPPEGQHKNTTKRATCSLVKEKASSPEGQHDKTTKRTTCTLPVKEKPSSPEGQHNNTMKRTTCSLPVKEDPSSVEIEEKSSKEQSAQEFHIPRTPAQNKEKHNHPPFSCKPKLFTSSGTLGVNYEPAFCQKVGRKRKRHMCEREYKQMIDQCIGNIESEMERDSMFNFDANMMNYVQHSYREEDFTWPPSVDNQEVEEDELEELWKEMDYSLTTLALLEQKQVMAQSRINLLVDNFDGLRLDCLTLTDDYRCYYQKKEKGINVVRVLASISDLICRFAESGSVNESTDYFGKVGGIPCHHECILDEELGLACRLCNVVCTEAKDIFPEMFNGNDYKDRPGCSNILDDDILDPSLLANLAPELSELKSSGSVWSAISDLDPKLLPHQRKALDFLWKNLAGSIQVEGTDNSNVSTGGCVIAHTPGSGKTLLLISFLVSYMKAHPRSRPLVLTPKAAIHTWKREFEKWGISLPLHVFHHANRSGKPLGAMDSKLRSLLNNFHRPTWTNMRLMDSLDKLFKWHAHPSVLLMTYSSFLGMTKQDSKVRNRYREFIAEVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEFRILLSGTAFQNNFEEYFNTLCLARPRFIGDIMSELVPERKRETVGRRAKHQEAVARRAFVEKVGQKIESDNKHIRSEGICLLNKLTRGFIDSFEGAKLINLPGIHVYTVFMKPTDIQEEMLAKVTMPKLGSSRFPLEVELLITIGSIHPWLIKTTKAVSTFFSPAEVKKVERYKRDFAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPITFLVKLIEMVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDSAGRRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRRGQERTVYVYLLVASGTWEEEKYNSNRRKAWMSKMVFLGRYVDDSSQNRVTDIDDEVLKELADEDHTGTFHMIVKQD >KN538721.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538721.1:263124:266798:1 gene:KN538721.1_FG002 transcript:KN538721.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGRVALDGGGRRRRKLDEEDDEEYVADDDEEEDEDEEEYQEAAAPSDDGEEDEEEGGDDEAEPEDESDADFVGDEEEEEEDLEDEDDLEEVKAPRPKRPPKGKPPPRSRRRRQEDDDDDYEEEEEEDADFDPDVDEDDEEEVDEDEEEFEQDDDDSDDFAPIRARKISTKNHVAKRKPPPGRKKKRKPSRVSKAKPKKPTSGRRRRKRWATDDDEEEEDDADFIVEDDQEEEEDDHRPKKKAKAARKARDVTPEPDVEASAWPAVESDTSEFEFVTSDEEAADKEAPAAEPAKTKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPDAALQSPATPPRRTFLSRRVGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQCPLTSYCKRHDYLTPCEIPCGCMLLHLAVIFSTASFFFLEQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSAQDRVVHCRESNTNPANSSSGSFGSATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESHISADAVSTPTGRHATLDRRRAFNRRIRILLFRPRVTPNGWQNPIQSDRTIPENEQNPQSTSTPTEVNPSCSRDSSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLMCAQSPLGQSDFKNVARRATHTILALSGIAHNEDFVVITLQKCLPEDAAIIY >KN538721.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538721.1:167301:169052:-1 gene:KN538721.1_FG003 transcript:KN538721.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGGGGGSPKEAAVATATLGGHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIGGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPLTCYQAVVNNLDDAHDQIDRAISTAIRESKPVYISVSCNLPAVPHPTFSRDPVPYFLSPRLSNQASLHAALDATLAFLDKAVKPVLVAGPKLRVAKAGGAFVDLADASGYAVAAMPSAKGLVPEKLPRFIGTYWGALGKRVRKNTTAFDNYKRIFVPEGQLPECEAGEALRVNVLFKHIQRMIGGAEIGAVMAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGALLGYAQAVQKRVVACIGDGSFQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYVGLVNAIHNGEGRCWATRVRCEEELEAAIATATGDKADSLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >KN538721.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538721.1:127688:135726:-1 gene:KN538721.1_FG004 transcript:KN538721.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLHKALHQGEGQGTHVDVAQMDAQIALHYGIPYTASLLAFDPVQRLLALATLDGRIKIFGGDNIEGLLISPNNLPYKFLQFIQNQGFLIAISNENEIQVWNLEFRQLFYSSKWDINITAFAVVEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIHSLAEAACVSLEDPQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDRAISVRGYGDLHMKNQISGAQRDAGEDEDNNISAEEEREICSLCWASQGGSTVAVGYITGDILLWDMTARSSKQDNRSDEPSNVVKLQLASGSRRLPVIVLHWSSGSADSNKGGHLFVYGGDDMGSEEVLTAWMCAIYISSSELKYSGFPYKNRTSAVFILTNPGQLNFYDGGALFSVPKSEEGKAQLEAQKFPVTVPTTDPNITVTNLYSLNGRESQSIPLKKFVVKQNAAPFMQRNMKWPLTGGVPSEMSMNENYTVERIYIAGYQDSSVRIWDATFPVLTPMFVLDGKVVGVNMDGENSAVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVQHGRGFHCHVAFLASNSPVRSLRFTASGEVLAVGYQNGQLASFDANQLSIMFTVDCASGTNSPVVSLSNYNVVTSAAKANEQQKESLQSAKSPANVLLSLTKDGHFTVHDSMNGLTINSCVLDQKQLSTISISLPELAILAESSLMSLSRWSYKAGMEKSMSSANGQIALVNGSEVAIISLIASENAFRLPESMPCLHDKVLAAAAEAAINASMDQKRNQTPAGGILGGIIKGLKGKGEENAKQKGSLSAQTMSEQLESIFLKESLVESSIPDPDDAIEELSIDDIDIDDEIPLAPPPASSSTSHVNKKTTAEEERAKLFEGSSNVEKPRMRTHQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >KN538721.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538721.1:245706:247509:-1 gene:KN538721.1_FG005 transcript:KN538721.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKNGGGGKEDGELSTSVKSVKAFCQPTDYQQTCEEELGKAAGNGASSPTDLAKAMFAVTSEKISKAISESSTLEELKNDKRTSGALQNCKELLEYAVDDLKTSFEKLGGFEMTNFHKAVDDLRTWLSAALTYQGTCLDGFLNTTTDAADKMKSALNSSQELTEDILAVVDQFSATLGSLNIGRRRLLADDGMPVPPKNKERYTIYVKAGTYKEYVSVGRPATNVNMIGDGIGKTIITGNKNFKMNLTTKDTATMEAIGNGFFMRGITVENTAGPENHQAVALRAQSDMAVFYQCEFDGYQDTLYPHAQRQFFRDCTVVLQNCLLQPRKPMDNQVNIITAQGRREKRSAGGTVIHNCTVAPHPDLEKFTDKVKTYLARPWKEYSRTIFVQNEIGAVVDPVGWLEWNGNFALDTLYYAEVDNHGPGADMSKRAKWKGVQSLTYQDVQKEFTVEAFIQGQEFIPKFGVPYIPGLLPQTQQGRMH >KN538721.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538721.1:268042:274277:1 gene:KN538721.1_FG006 transcript:KN538721.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYSGEYQYGNGNAVVPYGGGGERRMKAAFRWVPGVWWLSDPEMKRRRRVAGYKSYAVEGKYRSEMVQRGGVDAGAYGAGCTHLIVCDLLYDNPICVAARKDGTKVVSEQWVDDSLDLGEMADADRVLYRPVRDFSGIPGSQSLRICLTGYQKNWRDDIMKMASLMGAEFSKSFDALKDTHLICYKFEGEKYKVAKRENTAKRANVNLVNHQWLEDCLMAWKILPADDYTKSGWEIEIMAAQAKDSEDDEEEAGRSSSHSKRATRSARTKEIRMATLVDPHSQAPTRDPTISSCSAEITAGGHMSTPERITKAGGSTSRSLNIKSDIRNTPISADSDAYESAHFPLHGKEEAPAAQVHRTEAKDDVKMAVDASPGAHCISNIAGTTVCSDHHVHQSTTAPAMLVDKTETIGGNCLDSSNQNNVNIALWSTPSKETFSEKTLRPSGISGNVGQKDGGSTPDLNTAVDQSNTERELTLCEANLRLTGNAASKKNSQVLSYNRRCCRKSVSPEANLKPTGSPQSFEGKRASKLSSISMKPSVSSETGTANSPFSSRESASEAATFSGPSRNSAESVILTAKEKSGPSKSNLLSYRRALKLARPVEGEKLSENSTKSKKSLRENTLALHEVDKGGSSSENGLRSEKGCAANSSANSEVDKRSSSSSLQNRDTEMSDVPQVDKTEVVAPNTDFANVVSHQNMEVVPKAIQVTATISECETFPQEEPTSKVKNASVKRFGNASNKAATRSVKNKDEIVSFKSDGDKVVSRDNVEVQPEKNHARPNGAECTVFIPEEIPNSKANNAATKNSRDALQMNTALALSKTELAEKNPGSASADEYRKSSCEKVSQTADVEMPDAPIVDSTGAMFSKSGFKEVFPPENAGSCPKRLSTNTNMGGPETCTPSIVPNNRVRKAAAKRKVSAVQQNSFGDVPCKNSSAVVSEFKFVYSKRASEGPRNGSKKTMDQNLQSSNEDGTRDAGVSFSKDEMRDRSKILQNSQARSSKRQKAADLMDGSTDHDKENLPGNHNISKSKYGNSCTSLNSFIQAAGSGKDRLADRGVVEENDCGMLTVLEPRLFILSGHRLLRKEYKLILIRLKGRVCRDSHQWSFQATHFISPELRRTEKFFAAAAAGRYTILLHQAPE >KN538721.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538721.1:125296:126740:-1 gene:KN538721.1_FG007 transcript:KN538721.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSGCGVAPLPLLPCRRRAIPLGNARLRLRVAAPTSRVATVEEDDNNAGSGEFDPGAAPPFGLADIRAAIPKHCWVKDPWRSMGYVVRDVVVVGHGSFSNNSRLNSVMGHILHSSILVPYHGWRISHRTHHQNHGHVDKDESWHPLPERLYRSLNRATRMLRFSIPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNERNDVLTSTACWVAMAALLSGLTFLMGPLLMLNLYFVPYWIFVMWLDFVTYLHHHGHNDKLPWYRGK >KN538721.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538721.1:249758:251779:1 gene:KN538721.1_FG008 transcript:KN538721.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIIADNPLARYAVLFAAAVFLLAAAQAQPTTPPPPPEMDCNGILLTYNLDRRDKIRPFVAAPDADSQPYSFRATATVLNSGTRALRSWTLRLTFHHREILVQLDGAVLTSGADLPYNTTADNATSFSGYPQTDLLTPIATAGDLTKIQATLNLVGTLFAGPPPYVPLPSALELADPSYTCPPAINVSTSTLSTCCVLTPEAAANASAGDLDAGRATSYLPRGAGDLVITYDVLQAHETTYLAQVTLENDALLGRLDGWELSWEWLRGEFISSMRGAYPRQVGASDCVYGAQGAFYKGLDFSKVLNCDRKPAVLDLPPSRRGDDDIGGIEHCCRNGTMLPKSVDAAQSKSAFQMEVYKMPPDLNRTKLYAPANFRVSGASPLNPEYACGQPVRVTPTELPDPSGLASTTLALATWQVVCNMTAAPPSRPPSCCVTFSAFYNESVIPCRTCACGCPASPAAACSTTAASMLLPPYALLMPFERRGREAVWWAGEKRLGVPRPMPCGDSCGVSINWHVATDYAGGWSARATLFNWEGADVAEWFLAVAMEKQAYDGFEQAFTFNATAMGNGTTMILMKGREGFQYLNRESNMSGVDYPVAGKQQSVLLFTKKRSGGGIDVVGGDGFPTRVLFNGHECAMPQRIPSSAHRRRLVLLMTTLLVFMSSILLVLSLL >KN538721.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538721.1:201325:205252:1 gene:KN538721.1_FG009 transcript:KN538721.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKMAVRCQCLYKAAFQVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KN538721.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538721.1:230971:242233:-1 gene:KN538721.1_FG010 transcript:KN538721.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCGRPAWGGRGTASAFASLPLRALLSESQREVEMLYSNTPSDFDFKLESLAQGFQSLSDFAQHLAANVDIVFPVIHGKFGEDGGIQELLEKANVPFVGTPSKNCLLAFDKYNASLELNAQGFLTVPNFLVEKDKLAKSELEEWFQSINLDKENGKVVVKPTSAGSSIGVVVAYGANDAAEKAEGIISEGIDDKVIVEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQSSSNIDIHEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGVSILFRCLGLRDFARIDGWFLPTPVTSLPSAENTGKFGNTKYGAVLFTDINLMTGMEQTSFLFQQSSKLFSMLARDICLLYHAVMHGLLCPEKYKQKNKQKQSKKLDVTPCLLTSGNGYSSFHNQNMNGISRDVWTLPYSLVLRHTIEEVNAACIEAIDPERMELTSRLRDQVMNELGQSLSKYDWFAGFDIADMQPIKYSLQQWINHVKEAQAIVFIAVHGGIGEDGTIQSLLGSAGVPYTGPGQIASRTCMDKVATSLAVSHLASYGVHTIPKDLRATEEILKSSPDDIWNDLKAKLQTETVCVKPARDGCSTGVARLCCPKDLEVYANALERKLQRMPANCLSRAHGVIEMPVSPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENKWLEVTVGVVGKRGEMLSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEDALQKCKRCIELLANTLGLEGFSRIDAFVNVQNGEILLIEVNTVPGMTPSTVLIHQIQWEASRKQMQVSVMRPMNNAEQELSWMGSWEWDKQAAIGVELASRQDQQPQLLLLHQQQRQEEEDEDDLEESEQQRNQWLVIRLYEAINARDHAAAHALLAPDLEWWYHGPPASHHMMRLLTGCASPSSSSFRFRPLSVHALPTSDVVIAEGITGRYHDSYWVHAWTVGTGPNGDRVITHLREYLNTDLTVTRLPPTRCLWQSRRPDLPALLLAL >KN538721.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538721.1:277355:280052:-1 gene:KN538721.1_FG011 transcript:KN538721.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSPSSSPPDRRRNWLRGHRKVVSCSLFNSSSKCATKKDGNLGDFAPNLDLDLASATDLLVMCIVQQIIGDYLAEARAALAAAAAPLDGEGGEHSAATAALGLVEAVLEMSPRMEAALELRARSLLALRRYRDVADMLRDYIPSCTKPCSADDTSSSSSSSSSCSSASRTDLLSPARDRSDAASAASRFLCCFDISDLKHRVLAGFSKNTSADTQWRYLVLGQACFHLGLMEDAAALLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAISAPASRRASKSGAAGSEAESVSQLLAHLRPDRCASFAERLELVDEHRDLESVRDQARMSALSLYRMLQKGYSFVMSIVQDEEAAERQRAKDAAAAAAAAAALAREQEETAAVPEKAKISSVSVPSTNVQVQATLLVLQILYRFQFRVILSIKILHWYSSSRSIFYLQPHTSNELKSEGDAIAIQVSSSSACYYYQKEIQNSGTSEKHQQMHVDKCGEGVCAHALVTADDARDQRWAQTAAADLVSSSRSGRSHGCTAKSRPFSALQPQIELQSCSS >KN538721.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538721.1:155502:163555:-1 gene:KN538721.1_FG012 transcript:KN538721.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYTVLVNSLSADEAVRRPAETALAQCEARPGFCSCLLIALQLAVLISKIARLDYPKEWPDLFSLLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVCPTVLTAIQSLLPYYSSYKDKQAKLWDFAKRACTKLMKVLVTLQGRHPYSFVHQAVLPAIVDFCLNMITNPEQGGASFEEFLIQSMVFVKSVLECKEYKPSPTGRVINESSQPLSLEQRKKNFGAVASDMLKIVLPGDRVMLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSVGYQSPLSYHMLIPILQSGINVDSPDALNLLEDSVLLWETTLLNAPSIVSQLLDLFPYLVGIVTRSFDHLELFPQEAPPLISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGQLLSEPALLTSIQQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVIDKLDDILSVCTTVIMGGREVKTEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDVTFNAAIGRIHPAAFAQLQQALNIA >KN538721.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538721.1:136077:138794:1 gene:KN538721.1_FG013 transcript:KN538721.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHMDWQPVTTLGPNFSPELHSLLLSDHRAPLLSLLRRQDDELRTKIKNHLLALGWTIASKPNPPGLAPRLRYVSPAGTKSYYSLRRLIQTIHLHHHPTQSQSQSQSQSDSCGCGDTPLLLDESDDDQYQEQQQEEDDAIAGYVAFMEEQNARRGRGQGNDEEQRSMAKELRIKAKDQLRSSGWTFSMKVKYNGREELRYTEPRGRSHISLITACKAYLLHHTPSTTMASCSNNNKKRPAPPAACRKKNKKKKASQQQARLLRPQPRNEEGNALTPARARTLLSLLIDKKILAPRDQLIYTTKRGIITGDGMVKCMCGGCNNKRRAAEYTVAEFAMHGNGDVASSSSRRPWARMFVGDGRSLSQCLVQLMMADDDEAGSGRKKKKKYLPYVWRGARVKRKWEEDDDYVCSVCHDCGELLMCDRCPSMFHHACVGLESTPQGDWFCPACTCAICGSSDLDDPPATTTTTTTQGFSSDRMVISCEQCRREYHVGCMRERANGLWYPEADGEGPWLCSEACSKIYLRLEELAVVQAPCSVGSRSDLSLVVLRRGAARERDGEEEEHAKLCMALDVLHECFVTLIEPRTQTDLTADIVFNTESELRRLDFRGFYVVGLEKAGELIAVATLRVYGEEVAEVPLVGTRFAHRRQGMCRLLMDEIQKLLGEMGVERLVLPAVPEMVATWTGPSFGFREMGQADRQEVAHHAILCFQGTTMYHKHLLPQPQPQPQLEHTTTTTTPAGRIPSPIPTPIPLYS >KN538721.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538721.1:175859:180221:-1 gene:KN538721.1_FG014 transcript:KN538721.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRFLRRGLLSMLPTNKRAAGTDDDRPTDPKRPKVAQNGSTNGVVVPEIDEDLHSRQLAVYGRETMRRLFASHVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSANFFLSENDIGKNRAAACVSKLQELNNAVLISALTEELTTDHLSKFQAVVFTDIGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGTVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDFVVFSEVHGMAELNDGKPRKVKNARPFSFCIEEDTTKYDMYTKGGIVTQIKEPKILRFKSLRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKEYGRYPAPGCEQDAQSFLKCAADINEALTDHKLDTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDSEDIKPSNGRYDAQISVFGSKLQKKLEEANTFVVGSGALGCEFLKNLALMGVSCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINPNLCIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPGEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCSLFEDCIRWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSVADPSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVSEVAVPEFEPKKGVSIVTDEKATSLSSASVDDASVIDDLLAKLEECAKRLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPPKVMKHQDMSWTVWDRWSIKGNLTVAELLQWFSDKGLTAYSISCGTSLLYNNMFARHKERLNKKVVDVAREVAKVDVPEYRKHLDLVAACEDDDGNDIDIPLVSVYFR >KN538721.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538721.1:198417:198892:1 gene:KN538721.1_FG015 transcript:KN538721.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALVASCRHGDWMEFTRYQEYRYLLGVAVVASLYSALQAARTFRRMRAGTAYAATFLDFAGDQVASISFAFLAFAALAFSALIAGFRLSSSAYNY >KN538721.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538721.1:221510:222740:1 gene:KN538721.1_FG016 transcript:KN538721.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDLMPAADDDNKKQSSTGLLLHHQLPAAADNAILHNTSRRLEGKVAIVTGGSRGIGEAIHLRIVDYYAN >KN538721.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538721.1:254222:256840:-1 gene:KN538721.1_FG017 transcript:KN538721.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGSVRLCLLASPQVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEPGRIVCSRLTPLEIPATNTSSTGQGRNHPSIAAVVAQIFAVAACSGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVVKQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKATSAPLRCAICQVDQEPFESMMTEKGGSYCSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >KN538721.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538721.1:206173:208365:-1 gene:KN538721.1_FG018 transcript:KN538721.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHGFLSMFRQLKYRQTNGSPRPQMHNFQNETSSSELNISSNCSPESSMKVTQDIGASTTGIDSVSEERNLELERTSSFEAWLSPNAHNIQHDQIAEEMRHYTCNNGFVNHVTPNDAIGATNNGNCKDDSNTYKKAVRSKYRGGMEFIASRVSSFPRKLFRKKQDPPPLSVADSFRNMTSKILELKCNNIRDNDSNGMGSINREELLASENGEHPSPDAPFRHVSTERRYMHATRASSEDVPALAEAASDEKSKQEHSDDASEASYDKLLDEELHQSVVRQERNGSPVPQVCSTTRFSQLKCEGPGKDMVPPLPPMLWLSSIKVHSGSRVASSPRLKTLRPQSPAVLNHAAGSSYSHPVRKQLETDNVQARGHFGILASHTEMAQTSASDVKSAADISNRNGICRYGLPGKDSKEIHHQEKDIVQPSEGEILKATEEVCEPKVQSDESPPEQHSEIQPQREEIHQTGNGDSDCNNKNNLRATTEEPIYSNGPQIDVHNSLDHPTDRESNTNVHVESVFFSAVEQLTKMNPPPVPRPKYSILQVRTAPGLIYPSRRLSGEIRKLPEQINAKSCDLKPALERGSNVTVDHRNTKVATILQRVDHIRQAHAENYDIDSEVSWSDSD >KN538721.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538721.1:172229:174561:-1 gene:KN538721.1_FG019 transcript:KN538721.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLLLLLVLLSSVPLSCQLAHSTAADTQNWGHTSVVHLRNAHTRKLLGLLDDISGRTGSLRALLLEESPKQAPPHHHNRHGGRHRAAHTPAPSPAPSPSPFTAPPKAASPAAITIPISPSTPQSKAESNPAVEDAPAQPRHSWRNYGLVTAGSAVFLVMTIASVIYCRAKKVGTVRPWATGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTSSCMLYKGTLSSGVEIAVLTSSTESGKEWSKECESQYRKKITNLSKVSHKNFMNLLGYCEEENPFTRAMVFEYAPNGTLFEYLHVREAENLDWMARVRISMGIAYCLEHMHQLNPPVVPRNFDSATIYLTDDFAAKVSDLDFWNDSKGSFNSATSDETVMVEIDSMVHQYGIILLEILTGRVPYSEADGPLEHWASGYFEGKMTLVELIDPSLGSFPEDAARALCDVARWCIEPEPSKRPLMSQVAGRMKEITSLGPEGATPKVSPLWWAELEIMSGQAT >KN538721.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538721.1:143304:147072:1 gene:KN538721.1_FG020 transcript:KN538721.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPHASPDPDLRLLQEAAHQKVNSILLSRHGHAPAPPPRTSTNTSSSDQHLRLINIPMSSDLELELGGNSTSTSSSGVEIQFEQQQEEKNLRGCELYKGRWVYDAAGREAPLYRESECGFLTEQVTCMRNGRRDESYQRWRWQPEGCDLPSFDARALLERLRNKRMMFVGDSLNRNQWESMVCLVQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNNFQIKVLEVLKTWAKWVDRRIDPNKTHVFFMAMSPNHFMPEAWGGSAGAVKCAMETQPIVNRTSGGLDIGTDWRLHGVARGVLRSMRRVGVRFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAA >KN538721.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538721.1:294336:295836:-1 gene:KN538721.1_FG021 transcript:KN538721.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEEELRIEKLVEKITGLAAAIGKLPSLSPSPEVNALFTELVMTCIPPSSVDVEQLGAEAQDMRGRLIRLCADAEGHLEAHYSDLLAAHDNPLDHLALFPYFNNYIQLAQLDHVYS >KN538721.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538721.1:180743:183050:1 gene:KN538721.1_FG022 transcript:KN538721.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEAPFPEVETAVMIQVKHLAEPGAYVSLLEYNNIEGMILYSELSRRRIRSIPSLIKVGRQEPAVVLRVDHDKGYIDLSKRRVSHHDRRTCEDRYSKSKFVHSIMRHLAETLDLDLEPLYQRIAWPLYRTYGHAFDAFKLIVADPDAAILDSLTYDLTETGPDGQEVTKTLPAVTPEIKDALIKNIRRRMTPQPHKIRADIDMKCFQYDGVLHIQEAMRKAEAAGNKDCPVKIKLVAAPLYVLTTETLDKDQGISVLNNAVKACGETIEKHKGKLVVKEAPRAVSEREDRLFMDDIEKLKIANEEVDGDEDSEEYTGMGDVDLTKTGVGSQ >KN538721.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538721.1:252586:253737:1 gene:KN538721.1_FG023 transcript:KN538721.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKRQDRCGGVKRPRPAPEKTKHLYVMLDDRDNAYRMHKIDVDALADSEDDDHLPEPALLQFGTDRHSGMCFFALGSGIFATRPPHTPTLIYNTDTGGLTVGPPLPDKLRAGPDITMAMAAGNKKQIMYALYDYDTNYLNPHPMEAMSWEVVPSHPHVHERHLPPVMEWTWKSVPSQPPYGRLDEIVSYAVHPDQRTLFVSVKEAFCSRSDGGNKGTFSFDTKHREWSWHGDWMLPFERQGYYDAELDAWVGLRLTDGRVCACRVASRSSSAPPEWKLLQEKLFCKDPQERQLMALSGIRPSLAYMQGSGRFCLLECVLREGVDWKHAFGDDAHGCLLRLTIFGLKYDHQGELHTSIHRTNASYIVSKHNSFFSPVAFWM >KN538721.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538721.1:147901:154011:-1 gene:KN538721.1_FG024 transcript:KN538721.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNTAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEIAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDSKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKLVCLESDLVPYLSARLFLLVIAFVTGPQIWKEEMELIHLRNVDIPEEIDR >KN540800.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540800.1:35614:36677:-1 gene:KN540800.1_FG001 transcript:KN540800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSIANSSQDPGSSYYEWVATQQFRSWQLDILVDDGSWKVKTWSMPIPVTSWDDWKPGCSVTSNHLDAALHSYMRKIDYKRENAAISVESLHTAYPTLSIADDDDVVYLLSKRTRRGAVKMVFSVNTRARVLEKLAKLHSMSHHGFMRCFLSTGISKHLKPTGCACLENKTQVYKARRIESNWNNVRKY >KN540800.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540800.1:3921:7184:-1 gene:KN540800.1_FG002 transcript:KN540800.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLELIAIVAAYLAAWRGEVVEEVGVRRINLPACAWKGQRGEGRRRGKAQRADPELEAIRQRRMQELMAQRGAANPQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERRKIWGFNPDKSVNAVCADLGLPDGLQCSITGKSVNLPLEQFSVSRIALVKPDKARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >KN540800.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540800.1:25711:31367:1 gene:KN540800.1_FG003 transcript:KN540800.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTARATDGDKRGKEENDRRMAKDGKVVFHHLFKYADSTDVALMLVGTIASLASGMSQVIMTIIFGQMVDAFGKSSPGNILHQVNKAVLYFVYLGIGSGIVCFLQVSCWSVTGERQATRIRSLYLKTILRQDMAFFDKEMTTGQVISSISTDTTLIQGAIGEKVGKFLQLVTTFLGGFVLAFLKGWLLTLVMLSTIPPFIFAAGIVSKMLAKISNEGLASYSKAGDIVEQTVGSIRTVVSFNGEKKAIGLYNDLIKKAYKGAVKEGFIQGFGMGFLNLIYFSSFGLIVWYGSKLSLSRGYSGADIMNILFGIMIGARALGDATPCTAAFEEGRIAAYRLFKVIKRKPEIDYDDTSGIVLEDIKGDIELKDVFFSYPSRSEQLIFDGFSMCVSNGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSLRLEWIRGKIGLVNQEPILFMTSIKDNILYGKENATLEEIKRAAELANAARFIESMPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNQIMVGRTTLVVAHRLSTVRNAHCISVVHKGKIAEQGHHDELVKDPNGAYSQLIRLQEAQQAIDPHLDSPLNKRSQSLKRSLSRNSAGSSSHSLNLPFSLRGATELLEYDGADGENRNLKNDGKLPKKGSMGRLISLNKPEIAILLFGSLAAAIDGAVFPMIGLVLASAVKVFYESPDKREKDATFWGLLCVGMGAIAMISKLANILLFAIAGGKLIKRIRALTFRSIVHQEVSWFDHPANSSGALGGKLCVDALNGYAQVRFLQGFSQDAKIMYEEASQVATDAVGSIRTVASYCAEKKVMTKYNQKCQASRYQGIRTGIVGGLGFGFSNMMLFMTSALCYYVGAKFVSQGNSTFGDVFKAFFSLVVAMLGVSSTAAMASDSSKAKDSASSIFAILDRKSQIDSSSNEGLTLELVKGDIEFTHISFRYPSRPDVQIFSDFTLSIPSGKTVALVGQSGSGKSTAIALLERFYDPDSGVILLDGVEIKKLEISWLRDQMGLVSQEPVLFNDTIRANIAYGKNEEVTEEEIVAAAKAANAHEFISSMPEGYSTSVGERGTQLSGGQKQRIAIARAIVKDPRILLLDEATSALDAESERIVQDALDHVMVGRTTVVVAHRLSTIQGADIIAVLKDGAIVEKGRHEALMGIAGGAYASLVELRHNVT >KN540800.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540800.1:17396:23382:1 gene:KN540800.1_FG004 transcript:KN540800.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSEGGEAAAEGKVGLHRLFSSRVGLYDARSTLAIEYSDWTEFIFTVCLKFFYLAIGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFEKEMTTGQVVDRMSGDTILIQDAIGEKVGKFIQLTATFVGGFVVSFAKGWLLSCVMLSSIPPIIIAGATMSWTISKLSTHGQSKYNEAGDVVEQTIGAIRTVASFNGENRAIALYNKYIHSAYVSAVQESTATGLGFGFIMFMLFCTYGLAAWYGAKLIIDKGYEGGQVVTVWMAFMTGAMSLGEATPCMSAFASGQAAGYRMMQTIERMPAINSSGTDGVVLENIKGDIELRNVYFSYPSRPDQLIFDGFSLHVLNGITMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKTLRLRWIREKIGLVSQEPLLFATSIRENIVYGREDATTEEIMAATELANAAKFIDNLPNGLDTMVGEHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDMESERVVQEALNRIMQDKTTIVVAHRLSTIKDADIISVVQHGRVVEQGTHTELLKDPNGAYSQLIQLQGATEELHKSGVDYQRSISTVRSVMSISKSRGRNASFKRSLSRGTSFGSTSVHLTTAAGMIVPESMHSEVPSKVLDDNEEHKKVPLCRLISLNKPEIPVLLLGTAAAVVAGVLFPMLGLLISSSIKSFYEPPHQLKKDARFWTLMYVAAGIVSLVSLPMENFLFGVAGGKLVERIRFLSFKRIVHQEVSWFDNPSNASGTIGARLSVDASNIRRLVGDSLALIVRSSVTIIAGFIIAMVANWRLALVATVVLPLGGLQGFFQIKFLEGFSADAKVKYEEATQVAHDAVSSIRTVASFCAENRIMKAYYKKCEAPVRQGIRQGIVSGLGFGISFFVLYSTYALCFYVGAKFMLDGKATFTEIFRVFFALLMATIGVSQTSAMGSDSAKAKASASSIFAMIDRESKIDSSSDDGMVLANVAGELELHHVCFSYPSRPDIQIFRNLSLRIPSGKMVALVGESGCGKSTVIALLERFYDPDSGTVTLDGVDIKNLKVGFLRQQMGLVSQEPVLFNDTVRANIAYGKEGDATEEEIVAVARAANAHQFISALPGGYDTCAGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERAVQAALESVMVGRTTVVVAHRLSTIRGADVIAVLKDGEVVATGRHEELMAKKDGVYASLVELRMSSERAGDSKPS >KN540800.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540800.1:9087:11912:1 gene:KN540800.1_FG005 transcript:KN540800.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKLLTSIIYGMRTSYPYGSSSISTGNLEDQGASSLRYRRRVRGMFEHESSDCIKANKRHHMGDLVLALIGAAVNAFLTHTMDWTFSSPSEIYLSKYHAYDAGELDALREAKRDLEDKLAAVEHENRFLGAEAYRLEGIVSQAREDIATAEHAVAASEGEAASLRDEIKRVKELLAAEKSNHEAERRRGADLDAELKGVQKEVAALEEEIKALKASAAAADAEDEDEAAAPAAPSKEAEVGYHGLMAAAAAGAAATAVVAVVILHLKR >KN540800.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540800.1:33344:34222:1 gene:KN540800.1_FG006 transcript:KN540800.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPKLGVRLNAVSYNIILKGACLRDGFMGARGVLDEMLSRGVRPTVVTFNTLVGSACREGELGAAERLIDEMARRGVAPNAATYALLMRGLCDADRHADAEKLMFDMEYRGCQADVVNYGVLMSSRTRRGDADGVRELLSAMRKRKLKPDDASYNILIRCLCDAGRADEAHRALLEMQLRGTVPGAATYRVLVDGCCRARDFDLGLRVFNAMMASGHCPQPRTFRHLARGLGEDGKAEEACFVLEQMARREMSLDADGWQAVVTCVRSSCSTQASESEIKLVNELVLSN >KN542079.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542079.1:13762:16376:1 gene:KN542079.1_FG001 transcript:KN542079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNSMSVSSTSSEACGVGGGGAGGDEESAGKCKKEEGDGGDDDGKEGSATTKGDGDGEDKNKKGGKGKGKGEKRPRQPRSYYRCTTQKCPVKKRVERSYQDAAVHFMAQDYGLLQDMFIPSPFLHNDYPNNNNHR >KN541861.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541861.1:136:2216:-1 gene:KN541861.1_FG001 transcript:KN541861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGNALGTLAFTWATVVLLGGYPTVLRPEDDFYYATAIIFMEAARMFSRNNRLDYQLFFRTRGAFRPFARLNELILVACISNALLCKFFWGGIEIYLGPFSYIAAILVQAIIQFLCSAASRLVTCNPVRRAISLWSPMVAMLLLGPFVLGLYLDPEVREVIDKMSMVKWVVAYVVLLLLVLLLTISRFRFPRIIKLLNGTLGSRREFWCKLTLKLCIIVSIVIPVLMVDPSNRSMVIILEAFALVLVSFGNLQVPAAAVRVALALLRLAPQNYNGDEENSREKTNLAASLNIFYGMVLGQGVLYIAACLFEVFSFIPRRSLIRHGGFGGQWGVASINLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNSDSPKMQLYGVKMLHIFLQREPIRERVIAKLTASTKNMARLLSMLGWTSSSHAVVRLYAAKATVELAKSLRVITVPGTMQLVSSLLGIDGKQKRGNPLLDVDGDHEGKLDPTYSTSESQEERHDAIRDTAAEECQ >KN541435.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541435.1:599:1492:1 gene:KN541435.1_FG001 transcript:KN541435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELASRRAASLLAVAAIAAVFLAGPATAVGKTGQVTVFWGRNKDEGTLREACDSGLYTMVIMSFLNVYGHGKYNLDLSGHPIAGMGDDIKHCQFIGVPVSLSIGGFANGYSLPSNRSALELFDYLWNAYFGGSKAGVYRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGAPGKPLHLTATPRCTFPPSSYLGRAVATGIFERIHIRIYDDDNCEAYWHLAWDKWTAAYPATRFYVGMTASEMTHGWVHPKNVYYDVAPSTQKADNYGGFMIWDRYYDKLSNYTSMVKAYA >KN541861.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541861.1:17078:20666:-1 gene:KN541861.1_FG002 transcript:KN541861.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTTGVRIRVAQPQGEGKKMAAVPETRLNCFVHVVAMVERTGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRNNRLDYQLFFSTRGAFRLLGWNGLLTVIVYLSIALVVFLTHFTYGILVPLLVDMTMSVAIGQILSPGVLKLIPAAVVRVVLALLRIVPQNYFADDSDGNHDSEKNLKPSLNIFYGMVFGQGILYIVACLLEVFSYIPRRYLARQGQLGLEYINFYYAYAFEKCMGGAVLVPKKISLITFAMDSLNSDSSWNKLYGVQMLHNFLKKEQLRAKTITKLTNATKTVASLFDMLGWTSDSDADIRLFAAKVTAELAVEEPPNMDEQNSCMLRCWKRIIKCWSVPEEEPSTDQDFLPIQGLLILQRLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTSEAHQIMLASLSLRVLRRLASAEGKLGVTLRQQILEHTFILSNLAEIMDDNGSSHDLKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMREFLSQDPSSSRNCNHLLRKNAGQALAVLAMESTDNCLVMLMEPGYLFIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSNSDLKELSYTMREVVEGIMDAEGAELEVLIGLSSQICVVIPENFVRALEHGQIKEKFVKRLVNALNANKRPSAHCPSIRRVIVQHGVYLMEFNSCYANDFCRCWMVEALSMVEVTPSRAENYRLFSGDAGLMEHNTPLSTLVDRAKELMGREWFRDIGSAI >KN541861.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541861.1:10922:15841:-1 gene:KN541861.1_FG003 transcript:KN541861.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAGAGGEQYTYTAASSRGKHKVAAPEKQLNRFVHLVAVTERLGNALGTLAFTWATVVLLGGYPTVLRPGDDFWFATTIVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWNGLLTVIVYYSAVLVILYNYYYFLPYGGIMVPLLVDMVMLVALGQMLSPGALKLVRNPVRCAISLWSPLLGVILMGPCIPQPKYDFRRHRIILKNSTTRWILYLALFLIVFLTTISRLRLPCIVQLVGSVLSRRQLAWRRLILNLSMLAAIVMLVFTFDEIQNQVGMMVYQVSALLVVSFGNFQIPAAVVRVVLALIRLFQQNYVSEGDNSHQDGLENLNPSLNIFYGMVLGQGSLYIVACILEIFSFIPRRSLIRHGGFKGQQGVEYANLYYAYAFEKCMGGNVFAPKNISIVTFAMDSLNSDSSRKKLYGVQMLHSFLRKEQLRAKTIPKLTSSTKTVASLFNMLGWTSDGDADVRLFAAKVTAELAGSLRVVAIPGAMQNVASLLHTDHQPKIRDHFLFSDNQEAREDLVIQQVGMVEQNSPVIKYWKQMVIYLLIPVDEPSNIDEMNLSMLRCWRWIKKYWSIPEEEPSTDQDFLPVQGLIILERLASFDPGNCIKISRVTGLVSKMIDFTSYRNHMTSIKEAHQIMLAVLSLRVLRALVGTKGKLGVTLRQQILEHPFLLRNLAEILDDSGSNHELRALAAEIIKHLSMEKNTSEDIGQFRVIISSLMRAFLSQDSNHLLRKITGQALAMLATESANNCLVMLMEPGYKYTAASLLRSLCEHARPELNNSDLMELSYIIQEVLEGLMDAEGAELEVLIGLSSQICIVVPDDFARALEHSQIKKKFVKRLVGALNAHLRPNADCPGIRRVIVQHAIYLMEFDSRYANDFRKCWMVEALSMVENTSTSNNTVDAHRFNSTVMEVENAHVNFAFPDKIATRRIQAFNQTAAVHPPRVDVRPAQPMPRKIDGGRNYGVRKERVPLAAVQG >KN541435.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541435.1:25003:25245:-1 gene:KN541435.1_FG002 transcript:KN541435.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSEMAAASEEAPDSQVDGAPDSVDVVPDSVEVALESVETLDSGMALNSVEVDGAPDSINVVPDSVEALDSEMALNSV >KN541861.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541861.1:3818:8909:1 gene:KN541861.1_FG004 transcript:KN541861.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSRVKGDESGNPYRQPHISSANVIVPSRDKKDSVITQGMKNIDTKGTISEVIDGDEQIDKDVAASEVIYINYDMLRQIVKHDGFGDEGQRSKVEEHMGGIVLSDDKEVIDDEEFIVDNTLPESRHHDGSIYKDMDTWWKRDYHIADRNEILLILAFLNLHLCGLYALGRNMSYFVAISPSILISPMLNAFIGNNRSVGGNELAKLRVDGGLVELYGYIAVRDDLDPLLNYIVNVSRDDPIIVEQGSLINMVGPKRGIDMMDYALIEYDMRIKTGGQEKDDLQLIDGASLIGPAGLWDKSYALRIPGDYGAVDITLSRLHWAAEATVEVVISEVQSSFDLSLGCLTSGLDEEIRLFNGTITEPRGLKRSVVAVSGLEGLIKFLPK >KN542082.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542082.1:1029:1671:-1 gene:KN542082.1_FG001 transcript:KN542082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGQQPTGDRLESATLVQSMESTKDTIVRRITESAATVDSADVQAAAAKLTAAVEYRFDVAKADVDRIMTGRGN >KN548337.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548337.1:110:417:1 gene:KN548337.1_FG001 transcript:KN548337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHGFGPGLQGALEESEEAGNVLLDVYVSAPVTLRLGDVDLREL >KN541235.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541235.1:1190:2767:-1 gene:KN541235.1_FG001 transcript:KN541235.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHATNGGGAPQHNGANAVDAPVTRSARLLDAEEFRRHGHLVVDFIADYYAGLGEYPVHPSVSPGFLRHHLPVEPPSRREPDAFDAALQDVRNVILPGLTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWASSPAATELEMVVVDWLGKALHLPERMLFAGGGGGTILGTTCEAILSALVAARDRKLAVIGEDRIGDLVVYCSDQTHFAFCKAARIAGIRREHCREIPTYRDDTFALSPTELQAAMQRDVDAGLVPLFLCATIGTTQTTAVDPVGELCAVAALHGAWVHVDAAYAGSALVCPEFRGVIAGVEAADSFSMNAHKWLLANNDCCVMWVRTPSALVAALGTEQDYILKDAAAEGVVDYKDWGMTLTRRFRALKVWLVLRCYGVEGLREHVRSHVRMAAAFEGMVRADARFEVVTPRRFALVCFRLRSPKNYRFAGGEKSANELNRRLLEEVNAASSGPYMSSGKVGGVYMLRCAVGSTLTEERHVREAWKAVQDRATSILRKMETIM >KN543628.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543628.1:5338:5972:1 gene:KN543628.1_FG001 transcript:KN543628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVSLGRRIGARESPGFRRRRAQGATWPKRSKEQMARWWLIPREDDEFGSGADDGQMVGEPAVATLEPRRKRRLELREEATKDGWVESYPGRHVDDDGEEAATLVLCLATVEGVGRKRVKRKWRRAWVGCENQSDGKATSPHATLDDWPHGPASNPQPATPCSCSPPSVTPLGVPPMVTEEVKDTGE >KN541235.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541235.1:21273:25633:-1 gene:KN541235.1_FG002 transcript:KN541235.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDITSATSSAPPVTPHSSSCCPPASPPHQKCTPIRLAEEIGSPNKVYRHGPCVALHMVPTEAMAFPTYNDAYNFYKRYAYLAGFDIKKSRTHKAFRELEKIPASYIRKRYTKKAKSDMPFDRRDHETTGPDGIQESYRSNMMMIEAFGVVRAACKSKDGYERAMVVLKGLRNEVEDISGDTTVIVGTNR >KN541235.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541235.1:10922:11853:1 gene:KN541235.1_FG003 transcript:KN541235.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDENAQTSPHKEPDVEEIEQCPPVQPNPGGRDDRCELPEEFRVREPPPPSYPDPFPLSPSMEEDCMSICTEHPTFVDDTDNEIGKVKK >AMDW01070665.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070665.1:1649:2743:-1 gene:AMDW01070665.1_FG001 transcript:AMDW01070665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAINLTQAARRVQLLRINGYSATANRQSLVTSCTIAVGGYDWLIEVLPAAYYHGTSSRNSGPYIKLRFTLSSDGERTVSATFRCRLVGDHQINQTAASASSSFKEVIVTSIFSNGQPKDMFLVSRSYASDHGFVQPDDSLLIECAITVLLEAPVNATSAAPPPTSVPAPLSDLQKHLGEMLTSKNGADITFLVSGEPVAAHRCVLAARSPVFMAELFGDMREKDSQSIEIKDMEAEVFRALLQFIYTDALPEQDDDVEAETMAYGLLEAADRYGMERLKLICAEKVHAGISVDTAAMALALAERHGCTKLKARCIEFILASQENFHAVAATEGYKLLMDSCPSALNDLLVAVFLRYKLTVL >KN539531.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539531.1:61801:67826:-1 gene:KN539531.1_FG001 transcript:KN539531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEITVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDREVPNHCSRANKLALIYPQVRGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTCALNMERLLEARILSAVDLRRSLGLPSVHTNAYRLINSEGDRLSGLIVDIFADVAVVASSAAWVEKYRHEIQFLLNKVSDVNHIKWRSSTDILKEEGLDVSEQKDPEPSSRCGTVEVMENDVLYLVSLEGQKTGFYADQRENRHFISTLSKDQRVLDLCCYSGGFALNAAKGGANNVIGIDSSASALDLANKNILLNKLDTQRISFVKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLRTIQGAASMAGRKVTVLRQAGAACDHPVDPAYPEGQYLSNYLLRVT >KN539531.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539531.1:40147:42639:1 gene:KN539531.1_FG002 transcript:KN539531.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSEHSVKEAAAMEMQRNAASSSGIMGRSMNHDRNVNDAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLAAGDVAAAAACGPAGYKSLGNHQAAVLDVCSMGFPSLQDLHISWV >KN539531.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539531.1:71092:75061:-1 gene:KN539531.1_FG003 transcript:KN539531.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAAAEAAPQSSQEEEEDWKEAEGGDGDVEVADKGGGGGAANGGIPEGRPIRVYADGIYDLFHFGHAKSLEQAKRLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLNKHNIDFVAHDSLPYADASGAGNDVYEFVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDGEDVDEDEDDDEDVRE >KN539531.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539531.1:96801:98958:1 gene:KN539531.1_FG004 transcript:KN539531.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQASTKVYKAVDSKCSEQVLEARVGKDALFALIPDPVTCFSMARYHQKQVFHVFSDSNLVVVDWFTSGRYESGEKWNFSFYKSINHILLEDQPLFIDSVLLEQSSNFSIADRMQEYNVVAMVILLGPKLKHIQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPLHPQRPPIIASCSPFGRMGTGMVARITAVSTESVYSFLRHHLAAMEPFLGACPYPAS >KN539531.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539531.1:15600:17577:1 gene:KN539531.1_FG005 transcript:KN539531.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPRFHDMIGGGGKGMQDNEINGLFNMPSYHKFVEGSQMSVDSADGFNMANYVGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPSHPTEILSNYEEWAIDLGRLDMGVPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQALEQQFVQEVMMLSRLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDIARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPALSHIMTLCWDANPEVRPAFTDIVCMLESAEMEILSNVRKARFRCCITEPMTTD >KN539531.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539531.1:89768:94914:-1 gene:KN539531.1_FG006 transcript:KN539531.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 97B3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/Swiss-Prot;Acc:O23365] MPVAEGAVTDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVGVFTKCSERTIFKLEELIERGEHGEKYTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTRWIVPRQRKFHSDLKVINDCLDSLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPSKMRKAQAEVDSVLSNETINVDQLKKLEYIRLIIVEALRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPDEFEPERFSVPKKDESIEGWAGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDEVEMVTGATIHTKSGLWCRVRRRT >KN539531.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539531.1:6717:12574:-1 gene:KN539531.1_FG007 transcript:KN539531.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTLVEEALLADATAGAGGAEIGEKSAAAAEVGEGDEVEAGEEDGNGDRGEVGEEDEGEVGENGEEEDGNEMVEVEDDGNADDEDAQPDGGNAAASDDLGMFLVSFSFSCSSAWTFRNCYFIFKSLNDAAMQGQHMSSSLNSISTYWYLSKDEVCETGANNEGKKSQTDACELANKLQENAQHIHMLLKEEAGENENHNLAISSDSMETTRQKGDELIKSLGGLVSYLNQFTDLIKENGFENVVGLWQTLLPALASPFLSAIVYFSVWQSAVLGRFSALYSRIPGENFVTGNRGSELDWGKRLRIIRGTARGLGHLYDELPMLTVPHGHLKSSNVLLDGDMEAVLSDYALVPVVTASAAAQVLTGKFPANYLRQGRQDNADLAGWVSSVVSEERTGEVFDKDMAAAGAGAEDDMLKLLHVGLGCCNADVDQRWELKTAIARIEEIRVPDPSPAAAAEPSGETRS >KN539531.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539531.1:48205:55387:-1 gene:KN539531.1_FG008 transcript:KN539531.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MAMAMAMAMRRAAALGARHILAASSPSSSGVLLCRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTLEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAIKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIHMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >KN539531.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539531.1:57984:61227:1 gene:KN539531.1_FG009 transcript:KN539531.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRPGGTPGSPNSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGLVSLKASRKVVEIVDRYDDACVPANTTDKLAYIQNPTISKNCRRTLKVPKDMDAPIFVYYQLDNFYQNHRRYVKSRSDAQLRDPKKANDTSTCDPEGTANGMAIVPCGLIAWSIFNDTYGFVRNSKNLAVDKKDISWKSDREHKFGRDVFPKNFQNGSLIGGKTLDPNKSLSEQEDLIVWMRTAALPTFRKLYGRIHTDLKKGDTITVTLENNYNTYSFSGKKKLVLSTSTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKMGDNNYLSWNRNPAGR >KN538937.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538937.1:69342:70793:-1 gene:KN538937.1_FG001 transcript:KN538937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g16890, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16890) UniProtKB/Swiss-Prot;Acc:Q9LSQ2] MPADGCRPDRFTYNSLVHGVCRRGIVDEAVRLVRQMEGEGIRPNVFTYTMLVDGFCNAGRVEEAFRVLDKMKEKGVAPSDATYRTLVHGVFRCLERDKAYRMLSDWLGHETSLHPSACHTMLYCLSKKDMAKEAVEFAKRMSARGYLLDSTAFGIVMSCALKCLEVSDLCELLDSFIKNGGNPGFDVYIMVIKSLLNCKNFSKANHYLGHMVLKGLLSSVMSYNMVIDCFVKAGAVDKAEEIVKEMQDKGFLPNLVTFNTLISGYSKLGNVHNAKVVLKMLMEHGFMPDIITFTSLIDGLCNIHQLDDAFVCFEEMAEWGVRPNAQTYNVLMHTLCSAGHVNKAIDLLNKMKIDGVTPDAYSFNALILSFCRMRKVDKAQDIFNDMVRFGVVPDSYTYNSLIKALCDERRVNKAKEILFARECSGHSTSNNQSYWPVVAALTKMGQFSEAGELMNKYLCRNAQSSCCSNQSIESEVAVQVVNA >KN538937.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538937.1:130267:135322:-1 gene:KN538937.1_FG002 transcript:KN538937.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGKERIPVSSYANYMSRPSKEKDFLVYDVTFRVPASFGAVGAVRVENHHHRDMYIKDIVVCPDDGHNPCAVTFNCNSWVSYKDNKRFFFPNKELDKGPFVKGEEYLITKELIESQINGAMTAEEAIKKKKLFMLDYHDMFLPFVNKVRELEDTTLYASRTLFFLTDKETLKPIGIELTRPKSPNTPQWRKVFTPGSDASVKASWLWQLAKTHVLAHDTGYHQLVSHWLRTHCCVEPYVIAANRQLSQMHPIYRLLHPHFRFTMEINALARGNLINADGVIENSFSPGKHCMELSSVVGMAVEGEDGNLEMAIKDYPYADDGMLVWKAIEKWVSECVGYYYPLAEDITGDEELQGWWMEVRTKGHQDKQDEPWWPVLDSHKSLVQVLTTIMWVTSGHHAAVNFGQYPYSGYFPNRPTIARRNMPTEDNAVNNGEFEKNPDKLLLDTFPSQYQAVTVLTVLNLLSSHSPDEEYMGTHSEPAWAADPKVKAMFERFQGRMMWIVGQIDDRNNNQPELKNRHGPGVMPYVLLKPSHGGTEDVKSPMMGKGIPNSISI >KN538937.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538937.1:15369:28308:1 gene:KN538937.1_FG003 transcript:KN538937.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEATGGGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWGKASVSPRLHGKSQQGENQEGKGEWFDENHAASPEIVLLTRQVRDELRRCLGPIVRGFSLQFSKLERRLERIDQRIENLNHKVDQITPLRHSHCNHQQPIQGTNNEGANAEGVETNEDDDKNTCVRLRFLNEMKPPIYHDDELKAENNEDIRIGIFDGEQMIKSGPLSKVKLEILALEGNFPYNSMESWTTKEFNEHRACGRDERGNVLAGERTVQLINGEASLGAIKFREGSCKARKGKFILAARVCDSARTGVHVQEAVMTPVVVQDRRNKSNEKSYPPKLDDKVHRLEEIAINGKYCKRLAEEDIETVEDFLKALNKDPDNLANILHMKKGSKAWEKMVTHARDCSLEGKPELKSYPVAQTNVVLIFDCVNSLVGAWFGDSYIASDSLSLAQQVMVDKLKGEAYKLLDKLPFDYIMEGGFPIPNPMNANANADGHHAAYQVQGTEAVGGLDHAQIDPSFANANYQVLVVWYRNLIDQSTAQAGQEQFSSAAVAGWYQGPIAQPSSSHQTNHVVYPGGAQVNYSHQTNCAAPCDYPCQGASMAPGSDQVELQRRPFLGRDDLEASTSAHNNLPFPPQQQFTFSGDPGSSAQVNMQSQGHSKPSTSTTQGNLPTQQQWSQSQYHGNNWG >KN538937.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538937.1:76512:78136:1 gene:KN538937.1_FG004 transcript:KN538937.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDEESSNPQLAPRGGEDLISRLLDDILAGIITILPSKDAARTQMLSRRWRPLWRSAPLNLEARVNACTMGKDVATIHSTLRTHRGPVRRFSLSWGCDCDGHFPVADSIVGSPRFDHLQEFELFYDSVGAQNPPVPPSVLRLSPTLRVLRILSTCDTLQFPMETACPLNFPHLKELTLSQVNIADSTLHGILSRCTVLESLMLDANRGCRRLRISSLTLRSLGVSDTYFSVEGMLEEVIIEDVPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQKLVPVSLSNVMRAMKILALHTAPDLDVVIDFLKFFPCVEKLYIVALNQGNFKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNARVLECIKFVACRDKCDAKWIQTQHQRLQLYSRASRGVTLDFQADYGVDSLVHVKHISDLTTDDPFDRSFCRCRDEEI >KN538937.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538937.1:96575:98442:1 gene:KN538937.1_FG005 transcript:KN538937.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPRNFAIMGRKEATDGGRFFYDWQRGYRRMVVVTRATKRRLEEESRDPELRKMRRVEELRRLRRLEEESGDPRLGEDLISRLPDDVLRGIITLLPTKDGASTQVLSRRWRPLWRSAPLNLEAWVNGETMGEDVAAIHGTLRDHEGHVRRLSLSWRDGHDRRFPVVESILGSPELDRLQEFELFYDNVCSQNPPVPLSVLRMSAALRVLRIRSTRDGALQFPMETACMLDFPHLRELTLSNVNIMDSALHGVLSRCPVLESLVLVGNRWCRRLHISSLTLRSLGVSDGFSSVEGKLEEVIIVDAPLLERLIIPRDKWQDDFVVRVTQAPKLEALGYLSDGISRLELGTMVVQKLVPVSLSNVVRTVKILAINTNFYPNVVIDFIKCFPCVEKLYVKVRYYAYFNNVQQNVSLECLDLHHKMVEFINYQGNVQDLNFIRFFVLNAQVLECMKLVTHRNKCDVKWIEEQHQKLQLYSGASRRVTFDFQADYEGDSLVHMKHISDLTTNDPFDRSFCRCRDEER >KN538937.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538937.1:10931:14308:1 gene:KN538937.1_FG006 transcript:KN538937.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKIVLVLLFLLRMSERVTVMESISQIGRMVQRLHNAQGVIIKKLENIQGQMENMQERMEDISHEVKQLKNLHSNRHADQHPRLEPNTNVQLRFLDDLKTPVYTEKNITAESNEAIRIGIFEGDNMITDGPLSKVKVEIVVLRGDFSNDGRVSWTEEQFNNHIVQGRNGQGFVLGGDCGVWLKNGENRLGKIRFKEGSSRTRSRMFIVGARVSKSENTGVRVQEAVMKPVTVLDRRNEANEKRYPPMLDDEVFRLEEICKDGTYHKRLRKAKIFTVRDFLKAFYKNANKLREEVLQMKKKTSSWDKMVGHARECCLRDQHELKAYQNEEGNVTLFFNCVHELVGAAFGCDYVIYGKFDPAQKTLVNELKGCAYAKLEDIPFNYVIKNGIPELIRTSSAATAGPSGPSNYAMPYQATGAAENLGPLVPQTEEQDSLGPPTNFYGSSECGGTGDDVADFDVFRDYYAHAEMRTLPRYGSEAEQPLYGEPGPSNSAYPGSYPY >KN538937.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538937.1:180868:187047:1 gene:KN538937.1_FG007 transcript:KN538937.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPGDSRRVFPISEDGKHVREMTMPEHGRTTGHDDGKAARTLPFLSLSDIVPHGADGLEIKGIVVHPKFAENGRVILSYICIERSSLKRRLLVEEFFVQQDYSKGMTKTAEKPKKVKTILTMELPQLSNQGGQILLEHKNNRLYLYIIIGHGVIKSAGGYVDLSWDKSAFNGKVIRVEINDSPGILREIIAMGVGDPKGCSIDAGDVRRSMRCGLVDGTAQIRLIDIEKGTYKTIYRGSLENITGGFKSDGASTDPSLEGRYIFFFNSSMYTATEIPEGSGHYIYARITKVGCSKSSPKAACDPKSFTDSPGYIYSYNSSICTVTETPEGSGHYTSERIKVGCSVSSPMACDPNSFDGPGFVVHFIGKVDNNGDAAAVFLTAMGVYRLVHPALCRAGIGKHPSPPDPAHHWSILKKVLVYGGIPSLLLIISPAIWWCVSYIVLPAVFPGADGGGQQQAAPLVTVNNSCSCFNNGSCCICLPRRRQETIELQQLNDD >KN538937.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538937.1:87293:87835:-1 gene:KN538937.1_FG008 transcript:KN538937.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTEYKQRNRQILSVNIKIEQFKLLKFAELRGSKQVINNEEVVVVQCPTEGESTDTAPVGLKHGVDAGHVLPHRAAIDHGLKIERRRLPEKSPPPRKHLCAGSILAGEDGDDADEDIIREAAYQVFSSSGRLLDSSSSILFLAVVTTPICLPPPSCDPTKKIGHPNLESFCGVWIRHRT >KN538937.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538937.1:29541:35779:-1 gene:KN538937.1_FG009 transcript:KN538937.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQSLTCLEVSPDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTCPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHMSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRVVPHVTDEIKQWIQSVSSVPVDGQTCPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHMSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLGRSAGPPELRDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPSRVVMYMPEVSKTHMGNTMRLGCRRTFFRRTDCLTSKLYGSPDHVDERHRHRYEVNPAFVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGIVFLY >KN538937.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538937.1:3194:6821:1 gene:KN538937.1_FG010 transcript:KN538937.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGCREIRGCRHLQELAAGCVRTLEAALESAISRIPEEVTKALTSFLIRAPRTLSDQNQPPRHKLRFSNGLSNEVFTKKGICDVNGESLKISVHVNNPQEACSHRLLSAKIRVVVLDGDFNKNDQGCWTSEEFRNHIVRPRDKVGAVLTGELELSLKNGEAYIHDATFVDNSKFMRSGKFRLGVMVIDDVGERIQEGITEPFVVKDRRGEGSKKHEIPSLDDDVWRLKKISKDGVFHDALKQSGISNVKEFLRLYYTDEPALRKILIKATDKVWTTIIEHAKKCDPGRELYSFLPENRNVVLFFNSIHQIVGVITGDHYTPFSDLDKSMQDDVGQLSKLAYADLNHFLPDFEMKNGKPRQINQCAFQESRMVEPKFTDQIQGHMDPNFAGLIQGNMDQKKRNVHESDDQQGTSGSHPRQCKLSRFGSVRVTRVASLNKNDEDSLGFSFLLNSLSDQHDASMNTNEIAGSVTFHCPTASTNEITGSVVMRQASFRMDHPACENDASVAEFHQEQQVVTAQFDPSFLAVLADAPMYSTHNSFKESECHEALQKLSED >KN538937.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538937.1:107979:113128:-1 gene:KN538937.1_FG011 transcript:KN538937.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding METQLLTEIAIPMKSALKAVTGFIIGLHWISIRGVASAFIHLNKEEGLCLIKNCAQRRRGSWLGFAPDPHRFVEWQKRAKKMGVVTRAKKRKLEEESSNPHLAPGGGEDLISRLPDDILIGIITILPGKDAARTQILSRRWCPLWHSAPLNLEARVNGGTVFKQVTTIRSTLQTHKGPVRRFSLSWEYDYGRRFSVIDSILGSPRLDRLQEFELFYYNNCSQNPPVPSSVFHLSPTLCVLRICSKCEVLQFPMETACTLNFPRLKQLTLSNVNIRDNTLHGLLSQCPVLESLVLAGNRGCRRLRISSLTLRSLGVSDTCCFEEGKLEEVIIEDAPLLERLTPHTIWQGDFVIRVIQTPKLKTLGYLSHKISTLELGTMVFQMMVPVSLTNVTRTVKILALCTAPDLDAVIDFIKCFPSVEKLYIVAFNQGNLKNIRRNVSLECLDLHLKMVEFINYQGNMSDLNFIKFFVLNARVLECIKLVAHRDK >KN538937.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538937.1:54377:57069:-1 gene:KN538937.1_FG012 transcript:KN538937.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLPRHQFGNLQGKLFPAYFMLISACAAVSVAAFAYLHPWKTASTVERYQLGFLLAALGFDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNVQVAKNNPTLAAINKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEM >KN538681.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538681.1:760978:763811:-1 gene:KN538681.1_FG001 transcript:KN538681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEVVQGLKPVAAMVVVQVVFAGVNIFYKLAVVCDGMDMRVLVAYRYLFASAVLAPLAYFVERKNRTKMTWRVLMLSFVCGLSGGSLAQNLYISGMKLTSATFATAMTNLIPAVTFVLAVLCRYERLAIRTVAGQAKVAGTLLGVGGAMLLTLYKGAELNPWHTHLDLVAALQARHPAAAAATGNNDRVIMGSMLVVGSCVFYAVWLILQAKLSREYPFHYTSTALMCVMSGAQSAAFALLVDREPARWRLGLDIRLLSVLYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLVVVAVLGSLLLDEKMHVGTLLGAALIVVGLYAVLWGKGRETALEAAKVGDDNDNHHIHVVVVVPPEQAQPQPHQPAEADADATTTASEQPSDSDRANIASSLRHSHRYFHRSCGF >KN538681.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538681.1:838811:839083:1 gene:KN538681.1_FG002 transcript:KN538681.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRRCIAIALLFLVLAATFVASCVDGARTMQTSYINRPPSTAPTAMMRSGRLFGYLPRAKLIPPSGPSERHNAIGPENGDGDELISKP >KN538681.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538681.1:736466:737680:1 gene:KN538681.1_FG003 transcript:KN538681.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRMGIILALLAIATLLSPAVSARFISLPTDHLPITTPPLADGLAFDLYSDSCPQLETIVRSAVQAALQQEIALAAGLLRIFFHDCFPQGCDASLLLTGANSEQQLPPNLTLQPRALQLIEDIRAQVHAACGPTVSCADITALATRDAIVTSGGLPYDVPLGRLDSFAPAPSDAVFQLPQPTSDVSTLISAFQTRNLDNVDLVALSGGHSIGRARCSSFSNRFREDDDFARRLAANCSNDGSRLQELDVTTPDVFDNKYYSNLVAGQGVFTSDQGLTGDWRTSWVVNGFAGNHWWFYGQFGSSMVKLGQLQGPSGNVGEIRRNSCFVPNSQTILAAAGDDGFTASA >KN538681.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538681.1:890795:891457:1 gene:KN538681.1_FG004 transcript:KN538681.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKDCGGHKGCECEKEKVHRRLCWALVALVLLTLFIVLVVWLALRPHKPRFYLQDLSVLCLNVTPPASAYLFTTMQATVAARNDNGRVGVYYDMVDVYAQYKDVAITVPTRLPVEYQGHYDQSVWSPFLQSLDHVVLPPNLAVALAQDETAGYVLIDIRLDGWVRWKVGTWISGHYHLRVNCPALLTVNGGKGSYGVNYGGGDGYFRFQQAAACAVDV >KN538681.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538681.1:708175:711073:1 gene:KN538681.1_FG005 transcript:KN538681.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTFPLPLLLAVVAACCVAGGEGGALVGDTCTASSCGAGMRCSTCSPLPGMGPPVCSRTTPLDPKAHGTDLAFNRYTWLTTHNSFAIVGSPSRTGTPIIAPPNQEDTVTAQLKVYIYLHWYKYITPLSYSEQRAMDVLKEIGAFLDANPSEVITVFVEDYAGPGSLGKVVGGSGLSKYLFPPAKMPKGGGDWPLLKDMIAQNHRLLMFTSKRGKDGSDGLAYEWDYVLETQYGNDGLVGGSCPKRAESMAMDSTKQSLILMNFFSTNPSQSWACGNNSAPLVAKLKACYDASAKRWPNFIAVDYYMRSKGGGAPLATDVANGRQQCGCDSIAYCKPGSAFGTCSLSSPPKMAAASPPAAAPAPAPEMATEAAGSAVRPASIKLEKDDTTGTSPADTAKSSSSNRSPHPSSCSFLFGLLLPSLLLLHLFISD >KN538681.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538681.1:795295:796533:1 gene:KN538681.1_FG006 transcript:KN538681.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MAASASDSDSDADAAAAASLLPAPIHLLPPDALHNSFSPVASSPSLLYLWLESPSPSPPSLPSSSSSSTAHPPKSLAVCNPFAGTYSFLPPLGSAWARHGTVLAGPGGVVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILASGAAAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERSAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNAMPTAVAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDELGNSGGKWDWVDGVPGYGDGVYRGFVFDGGFTAMP >KN538681.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538681.1:849618:849869:1 gene:KN538681.1_FG007 transcript:KN538681.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRWMTTLHSQAGFPLEGHEPRHHNGCPCTSGSIQGDRVEGGVASRPVIVEVNANGWPVMPMARLLEGSCGYGTTESGKNY >KN538681.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538681.1:704611:707226:-1 gene:KN538681.1_FG008 transcript:KN538681.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRGGLVSVVLLLLLLASPFFFSANPAATAVGDCPLDFSWANFTLASAACSDPAQRAACCRYINAFVAISMARYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMLQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGIFSYDDIVKCFFGVQGITIFPGPSSVTSTPASSPNVTTPVDAPAPKTKTVLQKHHQPYRITVIPGIGIAVILLAVLLQLVLVILIRRKNRELKNADLHAQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKALSWQSRLQIAMDVANALVNDII >KN538681.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538681.1:803500:814968:1 gene:KN538681.1_FG009 transcript:KN538681.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAGPLYSEPYSDSILSSVRLSNLRYLRKGLVVPTPTLTLRKVIYERLVAIRNEEAISNPSFRLELDNHFLRLPESYLIDLDVSKAEQVLLHRRILADCADPEKRPIFHARYIGVDSGSTPTENGNGGGFLPINLRDDEFTESEPYERMMEDLSLERGKGVDDFEASSARRDSKFVRVHEIIFSSIDKPKLLNGFCLDVFVVDGWDTEETDGLLQKLIEAEASSHGSLSNPTNSSQSEKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYQGTYLGVDVAVKFLRSEHVNDSSKVEFLQEIMILNEVMSRSVDHENVVQFYGACTKHRKYLIVTEYMPGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGEMTAETGTYRWMAPEIPYENLTPLQAALGVRQGMRMEIPPKVHPRLSKLIERCWDENPHVRPLFSEITVELEDILRHVLVRLTGLPSTLRDSLDCEVRFLAAVTHPNIIRLLDLIQTQSNLYLVLELCEGGDLAAYIQRNERVEERVASNFMRQIGAGLQVLRRHHIVHRDLKPENILLSSPDSNAILKISDFGLSRVLRPGEYTDTNCGTCLYMAPEVMLFQKYDGGVDLWSIGAILFELLNGYPPFRGRSNVQKSSFPSYVLILLIYAPDYCVAIQASKPCFPKAAMQVFPVDCTRKCEYNLGVQYTATNVHFHIHCEYKLNRQFAIWPPVSYPNIRSIVGFLPMERRRMVADLLRASARGSSLRSGVQLHAALMKLGFGSDTILNNNLIDMYAKCGKLHMAGEVFDGMPERNVVSWTALMVGFLHHGEARECLRLFGEMRGSGTSPNEFTLSATLKACGGGTRAGVQIHGVCVRMGFEGHDVVANSLVVMYSKGRWTGDARRVFDAIHSRNLATWNSMISGYAHAGHGRDSLLVFREMRRRHDEQPDEFTFASLLKACSGLGAAREGAQVHAAMAVRGVSPASNAILAGALLDVYVKCHRLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMCLVRRFWSSGVRADGHVLSSVVAVFADFALVEQGKQVHCYTAKTPAGLDVSVANSLVDMYLKCGLTGEAGRLFREMPARNVVSWTAMINGVGKHGHGREAIDLFEEMQAEGIEADEVAYLALLSACSHSGLVDECRCYFSRICQDRRMRPKAEHYACMVDLLGRAGELREAKEFILSMPMEPTVGVWQTLLSSCRVHKDVAVGREVGDVLLAVDGDNPVNYVMLSNILAEAGEWRECQRIRGAMRRKGLRKQGGCSWTEVDKEVHFFYGGGDDAHPQAGDIRRVLREVEARMRERLGYSGDARCALHDVDEESRVESLREHSERLAVGLWLLRNGTGDGGDEVVRVYKNLRVCGDCHEFLKGLSAVVRRVVVVRDANRFHSFQNGACSCRDYW >KN538681.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538681.1:776634:777268:-1 gene:KN538681.1_FG010 transcript:KN538681.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVAQSPPARLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGEVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >KN538681.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538681.1:789300:790674:-1 gene:KN538681.1_FG011 transcript:KN538681.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMNNERSSSNKLQVDAENPAVAGDELDLAARANWLRAAVLGANDGLVSTASLMLGVGAVKAEARAMVISGFAGLLAGACSMAIGEFVSLLPDRSMN >KN538681.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538681.1:856840:860233:1 gene:KN538681.1_FG012 transcript:KN538681.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFLLLHLLLHVSHAAINPGDLSVLHDLRRSLTNADAVLGLGDPNAADPCAAWPHISCDRAGRVNNIDLKNAGLAGTLPSTFAALDALQDLSLQNNNLSGDLPSFRGMASLRHAFLNNNSFSSIPADFFQGLTSLLVISLDQNPLNASSGGWAIPADVAAAQQLQSLSLNGCNLTGAIPDFLGAMNSLQELKLAYNALSGPIPSTFNASGLQTLWLNNQHGVPKLSGTLDLIATMPNLEQAWLHGNDFSGPIPDSIADCKRLSDLCLNSNQLVGLVPPALESMAGLKSVQLDNNNLLGPVPAIKAPKYTYSQNGFCADKPGVACSPQVMALLHFLSEVDYPKRLVASWSGNNSCVDWLGISCVAGNVTMLNLPEYGLNGTISDSLGNLSELSDINLIGNNLTGHVPDSLTSLRLLQKLDLSGNDLTGPLPTFSSSVKVNVTGNLNFNGTAPGSAPSKDTPGSSSSRAPTLPGQGTLPENKKKRSAVVLATTIPVAVSVVALASVCAVIIFRKKRGSVPPNAASVVVHPRENSDPDNLVKIVMVDNDGNGSSTQGNTLSGSSSRASDVHMIDTGNFVIAVQVLRGATKNFTQDNVLGRGGFGVVYKGELHDGTMIAVKRMEAAVISNKALDEFQAEIAILTKVRHRNLVSILGYSIEGNERLLVYEYMSNGALSKHLFQWKQFELEPLSWKKRLNIALDVARGMEYLHNLAHQCYIHRDLKSANILLGDDFRAKVSDFGLVKHAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDESRLEEETRYLASWFCQIRKDEDRLRAAIDPTLDQSDETFGSISVIAELAGHCTSREPTQRPDMGHAVNVLVPMVEKWKPVNDETEDYMGIDLHQPLLQMVKGWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGR >KN538681.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538681.1:873003:886023:1 gene:KN538681.1_FG013 transcript:KN538681.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREVLHRFNPRFPYFLLLHAINNMCAPPACGWLELGIVTACSVADERGERVVGVTVIRPFSDLHLAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNPSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGSSTESSRQRGAVRSMERAADEEEEALRAKIELLRQAMQGSEAMQREAAVIGTRLNTHMVAIDEAMRPAHVRDYVLPDLKSIYLSISESAEKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAVDKLTSVKYFFTSKIRCRVGNDVQERVNELLSKAIHGLENEFHRLLTKCSKPVDLENIFNCLPSLNRQLSNEDLIGPSADDYSEAPLKQYAECTLPTLVDPCYLTLLSKLAQKSIQLDCHQKFMEIYSYQSSIKQIFGDPCVEDEKDTDVISQIIGAIHALETNLAMKAKQYKDLAQGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEVCQKQMNWDVPDRDLQDSLILMIAEILLPAYRSFLKHFGQLAKSIVSKFNFGFNNQVFSLHLFAACIVHVCIGLTYSFSKPGGSDQV >KN538681.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538681.1:732802:733992:1 gene:KN538681.1_FG014 transcript:KN538681.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGRTAGALAVLQLASIIVAAAVLLISSPPAAAAEPSVDLIDVAACSQSQVDSIGCDASVYLSGANSEQGMPPNANSLQPRALQLVEDIRAKVHATCGPTVSCTDISALATRAAVVLSGGPTYPVPLGQLDSLAPAPLRLVNQLPGPGTSSVQALIDLFGSRGMGDAADLVALSGGHTVGKSKCAFVRPVDDAFSRKMAANCSANPNTKQDLDVVTPITFDNGYYIALTRKQGVFTSDMALILDPQTAAIVRRFAQDKAAFFTQFVTSIVKLSKVPRPGGNKGEIRRNCFKTNSGARLVEAAASVVEGFAASA >KN538681.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538681.1:716466:717553:1 gene:KN538681.1_FG015 transcript:KN538681.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRRLAVLELVSIVAVLLIYSPAAAAAEELSVDFHAASCPQLESIGCDASVYLRGGSNSEQGMGPNLTLQPRALQLVEDIRAKVHAACGPTVSCADISALATRDAVVVSGGPSYAVPLGQKDSLAPASLDLVGDLPGPGTSRVQDLIDLFASRGLRDAADLVALSGGHTVGRTRCAFFDDRARRQDDTFSKKLALNCTKDPNRLQNLDVITPDAFDNAYYIALTHNQGVFTSDMALIKDRITAPIVRQFATDKAAFFTQFANSMVKLSNVPRTDRNVGEIRRSCFRTNRPRLVDLATGDEAASP >KN538681.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538681.1:747608:748045:-1 gene:KN538681.1_FG016 transcript:KN538681.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLFVVTWALVAAIPCQDRGLQAHLTAVPRTFPWAGPLITLFDRILDESKKKDRKHSCGLLKEIHQIERCSRQLMEATDAAEFPLADDKDSEVQEATQELVQVCGSLKDGLDPLERQVREMFHRVVRTRTEILDYLSRPHNAG >KN538681.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538681.1:772222:775399:1 gene:KN538681.1_FG017 transcript:KN538681.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRATDDPPPRRSHASRRVLLPLHTRLLRQVEGQVRLQACVLTQSSCCQCIIQHEHGARKLSKNYERYVVRQRRAEGKKALKDYLLFGKSSPHLQGGSTGSFANSHDVPRFKTFRKGSQSHGSTKSRQGVHHHRKCKKDRERFYNFFREEYYVHPDKIFEDMFGENHRFTWSHISWESFSFGDSSSRFRRTGESKRERVCSDSDDESEDDTSETTNIGSHAHRAVLGLPPCGPLTLDAVKTAFRASALRWHPDKHPGSSQAVAEERFKLCVNAYNSLCNVLKAA >KN538681.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538681.1:786871:787471:1 gene:KN538681.1_FG018 transcript:KN538681.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPNGNSNGNGNHHGLQGDGDLQINDIDRVLERYLIRRINMVLDETISRLEQLFRFTQANNPASPAALLRIIGRINRANARRSTLFAQLHTYIRRRRRRHHQQQAPPSPPPAGGNIVSNGNGATNNAAAGAPPADA >KN538681.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538681.1:781572:785510:1 gene:KN538681.1_FG019 transcript:KN538681.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDTNAAAGQPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDINKKNENKEVAVDAFLPANTARDAIQYSIDAPSPTRSLGSRRGCDNELWCEVVDPASPRPDPVPLQPDPTSSSRRWEVTFCTAGMGNYTRRPAQAASAAIGDRRLQENGATGRGRMTLASGNMRLALAS >KN538681.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538681.1:826104:832870:1 gene:KN538681.1_FG020 transcript:KN538681.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSNNKEKSLKLSSVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEVWFAGLNVLISPGQHGSQHQHIDGIRNGALSFECGRDSSLSSSSAYTTDSFENKLSSANSAKDRSSGEFTYSERTDVSDMQVKGASSDIRISVSSALSTSSHGSGDDSESFGDVYVWGEVMCDTTCRQGSDSNAYSATAATDILVPKPLESNVMLDVSYVACGVKHAALVTRQAEVFTWGEECSGRLGHGAGTSIFQPRLVESLSICNVETIACGEFHTCAITATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLEGLQVSAVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRETISYPKEVESLKGLRTISVSCGVWHTAAVVEVIMAQSNTSSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKAVCGHTLTIGLTTSGHIFTAGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGAYHVAVLTQSGEVYTWGKGANGRLGHGDIADRKTPTFVEALRDRSVKRIACGSGFTAAICQHKSVSGMEQSQCSSCRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYLKLSKVLDSGVGYNKNNTPRIPGDTKADKMDSKGNRVASANSSDMIKNLDVKAAKQTKKYDYPPQFPAILQLKDIPFIGSADQQSNDSTYSSPLLRLPNLNSSSSLSSESFDILRDANELLKQEVQKLKEEVNSLRQQREQQDADLQKSEAKAHEAMTLASEEASKSKAAKDVIKSLTAQLKEMAERLPPASCDMKQTRQPYLPGGAVSPDTGRENQKRYEPGSFQYPQTPTSVASARFNGFLAQAHQTSEPNGNTMVPHDSRHENNGNTKEFPVAQQMTNGGMTGYRPRTEDHDRRETERFQINLHGFNMRGSSSPSNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVRGTDRISSVMTA >KN538681.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538681.1:741811:743107:1 gene:KN538681.1_FG021 transcript:KN538681.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGMVVLLMSGLFAARCAAVVTTGEPVVAGLSWGFYDTTCPSVEGIGCDASVLLTGSQSELGEIPNQTLRPSALKLIEDIRAAVHSACGAKVSCADITTLATRDAIVASGGPYFDVPLGRRDGLAPASSDKVGLLPAPFFDVPTLIQAFKDRNLDKTDLVALSGAHTIGLGHCGSFNDRFDGSKPIMDPVLVKKLQAKCAKDVPVNSVTQELDVRTPNAFDNKYYFDLIAKQGIFKSDQGLIEDAQTNRTAVRFALNQPAFFDQFARSMVKMSQMDVLTGNAGEIRNNCAAPNRRSSDLLNAADDDQGFAADA >KN538681.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538681.1:720589:721689:1 gene:KN538681.1_FG022 transcript:KN538681.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLPVLELVSFVAVLLISSPTSAAAELSVDFHAASCPPLEAIGCDASVYLRGGSNSEQGMGPNLTLQPRALQLVDDIRAKVHAACGPTVSCADISALATRDAVVVSGGPSYAVSLGQKDSLAPAPVRLVNQLPGPGTSSVQALLDKFGSKGLREAADLVALSGAHTVGRAHCDFFRDRAARQDDTFSKKLAVNCTKDPNRLQNLDVITPDAFDNAYYVALTRKQGVFTSDMALIKDRITAPIVRQFAADKAAFFRQFAKSMVKLSQVPRTDRNVGEIRRSCFRTNGPRLVDLATGDEAASP >KN538681.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538681.1:863086:865838:-1 gene:KN538681.1_FG023 transcript:KN538681.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSVARLPPILIFTASRIDIANTWQMPQGGIDAGEDPREAAFRELREETGVTSAEMVAEVPVWLTYDFPVDVKEKLNARWGGTNWKGQAQKWFLFRFTGKEDEVNLNGDGSERPEFCEWTWMTPQQVIEKAVEFKKPVYEAALKHFAPYLQSDPATTTSS >KN538681.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538681.1:751930:754777:-1 gene:KN538681.1_FG024 transcript:KN538681.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDFRDSFGTLSKRSFEVKISGFSGRHRGKSIGPSSELDDTPVVAQQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIVQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >KN538681.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538681.1:815829:817480:1 gene:KN538681.1_FG025 transcript:KN538681.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTVAISIHAKPGSKLATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASA >KN538681.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538681.1:727455:728764:1 gene:KN538681.1_FG026 transcript:KN538681.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVSRSGRAVAVLRLVSTVVVLLLSPPAAAASREMSVDFHAASCRQLESIVFSSVQAALRREIALAAGLLRIFFHDCFPQGCDASVYLNATNPNTEQFVPGPNASLQPRALQLVEDIRAKVHAECGPTVSCADISALATRDAVVVSGGPSYTVTLGQQDSLAPASRDLVFDLPPPTTASVKELLQLFRNRSRGLGDPVDLVALSGGHTWGGRTAPTKLDANCTANPTRLQELDVITPDDFDNAYYIALTTGQGVFTSDMALIKDPKTAPIVRQFAQDKAAFFKQFADSMVKLSKVPRLDDNNGEIRRNCFLSNSNGPRLDLVAAATDQDHGFAASASA >KN546366.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546366.1:609:986:1 gene:KN546366.1_FG001 transcript:KN546366.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSTSSCHPAQASASTTRLPLMLYFHGGGYVLFRAAFKPFHNTCTTLAATIPAVVASVDYRLAPEHRLPTAFEDAADAVRTVCSYAAGSPGCRPLFLMGSHAGASIAFHAALAGWTRAWSYVG >KN542328.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542328.1:1269:3873:-1 gene:KN542328.1_FG001 transcript:KN542328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLMLIAFLSASFLFLHIPCARCADLNSDRQALLAFAASVPHGRKLNWTLTTQVCTSWVGIKCTPDGRRVRELRLPAVGLFGPIPSDTLGKLDALQVLSLRSNRLTISLPPDVASIPSLHSLYLQHNNLSGIIPTSLSSNLTFLDLSYNSFDGEIPLKVQNITQLTALLLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQKFPASSFFGNAFLCGLPLEPCPGTAPSPSPMSPLPPNTKKSFWKRLSLGVIIAIAAGGGLLLLILIVVLLICIFKRKKDGEPGIASFSSKGKAATGGRAEKSKQEYSSSGIQEAERNKLIFFNGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMEIIGRVGQHQNAVQLRAYYYSKDEKLLVYDYMTPGSLCAALHGNRTAGRTTLDWAARVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLAQLMAIPHIPARLIGYRAPEVLETKRQTQKSDVYSYGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDADLLRHPNSEDEMVQMLQLAMACVAIVPDQRPRMEEVVRRIEEIRNSSSGTRSSPEDKPKEEAIQIT >AMDW01034431.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034431.1:45:523:1 gene:AMDW01034431.1_FG001 transcript:AMDW01034431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKDFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGNLDLKDDFSEQEEQLRLFDMDMKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNTHAGNHNNNGPSLECLWEGKV >KN542399.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542399.1:11615:15357:-1 gene:KN542399.1_FG001 transcript:KN542399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSETLTATVPSLSGNWQVVLSAIAATAVVVEDDDEELCSPPVDHGNAKVAGVEQRSARVQVCINIDECKEPNKCFGKCRNKAGGFDCTCPFGICASLLVTLTILLGIEWFRYKQRITRQDLMRQREELMRQREEYFHLRGGQLLRNMMSRDNNIPFMLYDRDQIESATNGFDNMLVIGQGDNPVAIKKCKGFDEDSWAEFTDELLILSRVNHENIVKLLGCCLQFHVPILVYEFVQNKTLYNLIHIQNDPSIRTLEIRLKVAAESAEALAYLHSSVDHPIILHGDVKSTNILLNKNFITKVSDFGCSKIRTADENYDVVKGTMGYLDPEYLRNFQLIDKSDVYSFGVVLLELLTQRMPLSVDKVSLASIFQEAMREGHFLELIDAEILHEDNMGLISDLATLMNCASEWRDKYNKTKGYLQVLVLTKGYLQVLVLHWH >KN542405.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542405.1:2438:5302:1 gene:KN542405.1_FG001 transcript:KN542405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGFFDLSILPDDSKSTTTNTSTVARALDLGYSAVALDHPHRGLLADSHAPIVSSLLLPPSASLHHRRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIVAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLPFRLKLPMLKLALQRGLHLEIAYSPLIADAASRRQAIAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSINCRSLISKALRKKHFYKKTIRIDKLLPNKQLNSANFKLADWIGWDPMPHEVDLLSLDVNPEPSSDKYELLSYKGKPQSLDINPEPSANKDELLYLPINALTEASSHVPYDGDESLLVEQQEQLSRGNEILFPVETQEGPVVSRGESLMTSVLSTMPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKMNLIADSTAPLSSDDNLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDRATQDRNILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISEEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDTTSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKVWFFEDTAKHEFLVCYQCDMNNEKLVLDFFLYCIDRDIVA >KN542405.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542405.1:10812:13873:1 gene:KN542405.1_FG002 transcript:KN542405.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSQLLPYQIVTLLVGLNRAAILPTADPHHRHCNPRRHRWGGREEKREAVVAGEEEAVGGSGEELQGRYSAEERRERIERYRSKRSHRNFGKRITYACRKRLADERARVKGRFVSSSGGNDNNAPAHELPPSLVNLSNGAAAAIIPTRSVPEWWPEMQASLAIDEMCGGAGMNLHLCDAKEMEQVAAYVGVSSMDLCAYLHCSWPPV >KN542405.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542405.1:9079:9619:1 gene:KN542405.1_FG003 transcript:KN542405.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQGWSSSSSSSSSLRRVEEEEAVGRYSAEERRERIDKYRSKRNQRNFDKKITYACRKTLADSRPRVKGRFARNSDDGAAAQVEVSPATNNNVPEWWPAVQEALARQEQEAAGLHLCDTADDDLLAAYLGVSSIDLYSPRGH >KN539314.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539314.1:34214:34476:1 gene:KN539314.1_FG001 transcript:KN539314.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPDAVKVEDEGNMDSFQSGQTIIGQDDFMAIFRNDKPICELLMKIGQRTSGSSGAKPAAPPSIRVD >KN543364.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543364.1:1665:6049:-1 gene:KN543364.1_FG001 transcript:KN543364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIAVELKLDDSVIEIIDKTDEDDDFKGVEEDSRNEIMSVAEVINRALRDLRFIFVFHNGSGEYIDLDSFGIPSFTVFGARLILWTFRRRFQGTEHYSQIIDKVKNTHLFAYETMYGITRNKILFPVLQKEAAAIASHYPCMREIDPERIVHCCLYGLFLYLCLPKHLENEWAARASVYWMCDGIIQGDQAWEISAALSKEIKWDLQPSLQDEVRLEFIESSSKNSVSIQRFKNKYFDGQKFYPWITITASHNINPETLQDIRSKNAEASSYFLAPERSSDITLVLSDGLFDQWNNLQVLQLSYCDFSFASPPFIGCQNLRFIGLDHCKDKKEGCKKSDLRKWQFLHSLLVLDLIDTIWYQVFSEDMADLFVNLRELNMVGVDCSHIWGQLQNKIEYVDLSSKRNIKLPSTLRFFRLDNRQPTPQSTPGIELSLKGCMGLESLFLSRISNLTELDLSGTAIRILDFTAMVVEVSGLKRLFLLGCEQLCKIKWGKSGSTVRDLELLCIDTRPRIKYPQLFVDKNKSPSRLSVHAVIVDARIARSLWALIRERSYLDVDMNIHVTSSMVYSEVQSEGTYKDSISQLRDHVNMQQQDLRSAGQYHDVQLSMVGDVPMQSFPLPPTTMLSRHIEIAQGSHNLESELDADSPIPTLAHLAKEKAESLHVHDLSTITPLPGGRWYRLKWCRIERCPKIETVFPKEAWDFDCLETAWVSDLLMARCIWSKGSRGYHASFRNLQHLHLRSCPRLQFVLPVCVTCVSSFPDLKTLHVVHCSNLHNIFVLDEVYLEEITVKGVAFPKLTTIHLHDLPMLRQICDVEFKMVAPALETIKIRGCWGLRRLPAVAAHGPKPAVEIEKDVWDALEWDGVEANHHPSLFQAPVHSRYYKKKLPRGSVLSKACGCTKPKIQKIKDVVKCLHFWASKGYVFKFDYILSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSVLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >KN541645.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541645.1:3017:11715:-1 gene:KN541645.1_FG001 transcript:KN541645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEEKGMKAVGRRRTRRRLVRRRTTRRRWGGGGAAAVSAKEDDAEAMGRRQCSSVGWEEENAAAVAGASRCPELWHATIMLNAVDLLGALAEVQYDDILFHEKRRVTSVTIDFTFGLNSCAGSVIRDLHVAKQEEDIGFYAGFVGASYMFGRALSSVIWGIVADKYGRKPIIIITLISIIVFNTLFGLSSSYWMALTSRGLLGLMCGILGPIKAYATEVCRKEHGHLGLSLVSSSRGIGLIVGPAIGGYLAQPADKYPSIFSEKSIFGRFPYFLPCLCISLLAIVALLASFWLPETLHKHTQDMVLEDSISVEEGLSGPTAEENNAGCLNLFTNWPLMSAIIAYCIFSLQDVAYAEVFSLWAVSDRKYGGLSFSSQDVGSVLAFSGLFLLVFQILVYPSVAKSVEPITLVRIVAILTIPLLSSYPFMARLSGSILQLIVNCASFLKNVFAVTTITVFNILMNDAVAQDVRASANGVAVTLMSIFKAIAPAIAGAIFAWAQRRQTASFLPGDHLVFFMLNVFTVIGLVSTFRPFYARRSTKHDPVTT >KN539314.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539314.1:101918:108424:1 gene:KN539314.1_FG002 transcript:KN539314.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPWYGESRSLMAEIERLVAADEQELGHLKLMAVYRNSEKLLTDVLGATEGIKFIYYQRSLPFKYEGKLRAREILSSVHYIMSLKHAEAPFVVLHTKEDVEAFVESTDKAVVLSEFCGWFSKLAHGGSNRTEGTSSKNHTENVDISGKALTGESDGPLELVIEDEELIFGGGVQLAGSPWKGGFTLANGSMSDQNGITTDENRKLCTAEKLQQFESFYAKLTALSRDYFLPPEKVRFGLITERSSLPSSEFINEGNSETWFLSVHYLGCTNCSIVAKEGDDLRSLLQSYHNLNINEMDIDANGIATFPASRSSAILFIDRLSDSSKVRDESKLSLKLLREYVQKNYPSHFSTGGLTSGKSRMSSKAVPSLVSTGRSAHTERTRLNDWASKLMEIGEKMSVMVVNDGESISYRSDSQGSTDNPLYDILTKLIHKTRPAHRSKKTKISFVAKDVAIKKLSDDSEVQVVESLTIRDSQLERNEGSCASSDGGNDDYTEDSVHENRATEAEYINDRQAPIKLEKGPANYCDNNEKHLESSDTEVEEEHKTKASDVSLDLQEDISVDVHSSNAPKNFCKINKEDLDCSDTKMEEQEHKTEASDTSADLQEEVSTDVHSSNEVGCILHKHKDEETVREALDILEPDGTNVNFNQQKSGSANQQDAVFSVLGQESRRIEDAIYEDNLFILDEGSEESDSKYPVHAALSSSSSFMGDNTDYTEQETPSIPDEHFAGSLFFSDGGYRLLQTLTGGSRMPSLVIIDPIQQKHYVFPDEIEFTYPSLANFLDRFMNRSLSPYYRSALSVISSKELLRPPFINCDFHEADSIPQLTTSNFCMLVFGFEGCVSKSELPFSNTENIASAWKKDVLVLFSNSWCGFCQRTELVVREAQDLQIEEKEEESTMKGFPAIYLIDCTSNECHHLLKSVGKEERYPTLLFFPAENKSAISYERGISVSNLFEFLESHASNSPHLLEYKGFLWKKKTVVQRDAPQAIQFDNSDKSSNDVGSHSPSHSERNEARVLTGSVLTATAKLGSAVPFDNSQVLIVSADSREGFHGLIINKRLSWDTFKNLDGSMEPIKHAPLFYGGPVVVQGYYLVSLSRVAFDGYLQVIPGVYYGNVAATAQVTRRIKSGEQSAENLWFFLGFSSWEYSQLFDELSEGAWQVSEEPIEHLVWPEN >KN539314.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539314.1:10985:11779:1 gene:KN539314.1_FG003 transcript:KN539314.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLNLRTDERMPGTTSAAGTATPEDEPDGFDAVTFFQNYLQNLMDGGANIQVLLDDASVGLAPGIGRVGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVVVTDAMVAAADGAECAVCKEDFSPGEGAKQMPCKHIYHADCIMPWLDLHNSCPICRFELPTDDPDYEGRKKSNPQPAPGIDAGAASGSSTAAEEREQSGESARLVERRFNVSLPWPFSGLGSQTPQQDGSNGGAGASGSKDGGASSDKK >KN539314.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539314.1:48921:52446:1 gene:KN539314.1_FG004 transcript:KN539314.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLLLGLRGDMYEWLTGVLASRGGTFTFHGPWLTNLHCVVTSDPRNLEHMLKTKFGSFPKGPYFRDTVRDLLGDGIFGADDEVVEDEVFPDGTVLKKGTKVIYAMYTMGRMESIWGEDCREYKPERWLRDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYHVRVVDGHPVAPKMALTMYMKHGLKVKLTKRDKSKL >KN539314.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539314.1:70512:86012:-1 gene:KN539314.1_FG005 transcript:KN539314.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGQYMLHRVGKLDTLAGIAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSSYQQNGSYEGDDRECTPPRRLHDDILDSVLRTPKHKASPAMSLLQGYYGLTPPPKKDTTHEGTEMAVYRKGKSVFLDDDPWFGEPPDSDPFPFQHRKTRSLAIGSSLLNGETEENGDSEKLIRRRQKADGELLPREENGSAVLARAGKGLALRPKSGSRQDLNKSQQNLIALAEPSFGDGLHAVRKSSSTPEFQEPESNSSSTSSSIWYQVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYSDLDVMMCSGASRVGEWGADHWKEEVGRKEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDALLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSEVVKIHIESVKPLCDSEDCIFSKASLCLHMSYFKEALSLIEEILPQGYGELMKSESGSEELTKRGYISSKVNTLINIFKSFGSSNEVLCLIFVDRIMTAKAVERFMRGIVNFSCFSISYLTGGSTSKDALSPAVQRFTLDLFRAGKVNLLFTTDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPPNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHGTTCVLALSGTWIHDSENITLNTYRIDFLCDQEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNYIPQKRRGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFVDYYHQKTTTIAVKAKPQCTQSSLFKIEVSRLCWYPNRNFVINYICFLHEQDGSTGKPLLMEKEQIHARVPPELLIHLDILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRRYIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITCHLYATHTDVDPGELTDLRSALVSNENFAQAVVLGDIMESIAGAVFIDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSLCFHSKWRKVGLEVRFSSYARFCSGQCQNSNLWNKGSGLLLLWMGRQQQTSIALFRQSPCTYLTQQPLHFKCLEILKIHAFDVLEKALGSLNSTLNGYEDKMSCKRWYYILIQIWGAKFILGQNTSTVR >KN539314.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539314.1:3106:6334:-1 gene:KN539314.1_FG006 transcript:KN539314.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEEDDESGTKRERESGEIEGGGGGEPSRKKRDPEAVSSFKQRSAAWFAKLKEEYERKVAEHPDVDWSDELAIDASSFRKDWDYIWSQAYGSFDKITPIPPMRYTTADPGPDYASEHDTVQIFCVKIRELRRGLQWPIHVFGLVAARDVIDHNRNIIFNRTRDDCQLLTQEVPYLLLTCPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYVVRSVEATINVRVIDGSWPEEGYFSAQITARTSSLKDYRVLLLDSGDEMKKMPVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPKDDGESKAELDVGFRKLEVTVFWSLMSLNPDLSM >KN541645.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541645.1:13655:24849:1 gene:KN541645.1_FG002 transcript:KN541645.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVYGQINRNVRSDGGAAETGTPFVNMYILRVAAAGAPLRRNGVAVRAFMASTAASDAMQEKRVAGEYTAANVQVLEALDGVRTRPGMYIGSTGSRGLHHLVYEILDNAVDEAQAGYATKVDVILHGDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYTVSGGLHGVGLSVVNALSEALEVTVWRDGKEYRQNYSRGKAITTLTSRTLSDESSSRQGTRIRFWPDKHIFTTTIDFDFNTIAGRIRELAFLNPELTIALTKEEDDLQIQHNEYCYAGGLVEYVKWLNTDKKSLHDPIAFRKEMDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKTSLTRTINNFAKKSKTLKDKDISLSGEHVREGMTCIIAVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSDPEESEIFIVEGDSAGGSAKQGRDRKFQ >KN539314.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539314.1:111171:119789:1 gene:KN539314.1_FG007 transcript:KN539314.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MAPSVAAAALQPPVPGQYFGNRPSFSYNVVSHANASLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQAQPQASQLPSNSVYASTNFLLYAWGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTTDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIMNAASGPLEGSSTSLQDAGTIGNKEEISIDIDTPAVQTGGRDSLPLRQTVAPASSSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREDAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMARKLKQSDTKEKSDTDGKQRRSSDPPRRR >KN539314.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539314.1:53050:56547:-1 gene:KN539314.1_FG008 transcript:KN539314.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSPPDRLLIVEIAALLHDIVDRDNAEDMSIVERFLEELELEQGQREEIVAIIKGMGFKNEASKKSVVEPTLEFAIVQDADRLDAIGAIGKYCLTEVSLSALLKQSFLQQLGCSKCCTGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >KN539314.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539314.1:14135:18438:-1 gene:KN539314.1_FG009 transcript:KN539314.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWALTPVDTVRGAQRCYIFAAGTYKVGRKDCDVIVQTDTSISRVHAEIVVEKMVAWDPQSGAPANPSYVRVVDRSKYGTFFNKVQGTQGSRLHKDEDAMLADGDTVTFGTGNATFRLSFVPIVVYFHGKKSGRISPSLQAVMASIGAYATRKWSDECTHVLVDESCSLTPELLDAVLAKKQIVLGDWFKAMAEKNIHTEMPSSTQYIPKLTLDGMEIQMVEIKLIESCLAGYTFILGSSEKYKFGDKLHALLESTGAKYQQIDEFCANSQDSGAGENDKEILLVPAKSPLEFSKIRGLFPLSKITDVKLFAAILSGHLEATAIEPPAYIVASSNSTDETIVVDSDVEIDTATSDHTVAASKSEHHIEHISDDKKEVVAISEEDAVNLVEAKSSINLHSDQEKDEIAKPMEEDVKVIEKTATMRGFKAEGEDIPVMTKVPKDETLDSRDETCHVIYTQNLVVKSILQSAHAESIETGGINFKRFRKRGAVSGNSFKDLIPYSREPYRESDYERGTVSDFMREEKKRRQMEAIAEDLFNNAKEQWYPVNASRTADEKAPEETQHIADAGMLQVWYFDEFN >KN539314.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539314.1:315:2419:-1 gene:KN539314.1_FG010 transcript:KN539314.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSMPQPLMLMTPYMPRNNFVLVGPTSLSSNANLCVLPKKFGGFNRIPMSHARSASAAADAASDWQEVRAEWFARFKEEYERKVAEHPDVDWSDELALDARHYRESWERIYARAYGPFDKSTSIPPMRYTAEPVPFDASEQYTLQIFCVKIKELRRGLQWPIHVFGLIAARDTIDHNRNMVFNCTRDDCQTLTQEVHPLDSRYSLIIITI >KN539314.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539314.1:89724:95976:-1 gene:KN539314.1_FG011 transcript:KN539314.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRTAVYREDFRSAHKLKLAIAATSKNDTVGRAISDLNSAIEEERYMDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVAKSYDTRQLNSDGPGFPIFEIYFAEANGGYNLQAVHLKPDDSDSQQLSNTLREKLGMDSINISSSSFGAKHEDHSEGVNMDDQNSDDSDISAGPAGFKNLPSDSTPVPRVKILKVVPMENVNQDYIIKIFDQMSDEDDENDNPEDEIESSEDIGDGDNVEEAEAASAEDNVDESGDESDIEALISIDFITEDDKDFMSPSSTKAFERMPARLERRDRFSFSFYTEQYSKRQDVEKVQRTSKEKVGLSTAQQDDDDLQFDRVELVGSNRKLSVLQLGIKQHNNKVQQKLYGVTHFSRIQMPVSSDPLTGLYMTASGFDSEILSLQQKFGQWREDDSSEEHRDLQFYEYVEAVKLTGDNLVPAGQVYLIQSPCQVVFRAKVGKHYQLPHKGIIPRELGVILDCYIVYRLLGTKGKEELQIQFIRDGPVIAFFYWTSNFHLFEFFRRLKLPD >AMDW01140599.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01140599.1:1918:6410:-1 gene:AMDW01140599.1_FG001 transcript:AMDW01140599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RPSSNAAIASGSGGNKRSKAWGHFDITEEENGKPLKARCIHCHTVVKCTSDKGTSVLHNHLKSDSCKKKREATDLQPNPSSIAEATANGISVELGGLGSRKRMRITDESTQNSVAGELSWNKAECSIRIKQIILPIVGIGGAGKTALAQLVYNDPIVGRQFQHKIWVCVSQDFNEMRLTREMLDFICKEKYEGLCSFANVQETLMTNIKSKRFLLILDDVWDNVNIFQWNKLLVPLKFNSAKGNVILVTTRSMSVANMIGTVNAIKLGALEKEYFWQLFRACAFGDEKYEAHKCLSTIAQQISDKLKGNPLAAETAGELLCRNRNVDRWNNVLKNEDWKSLQLSGGIMSSLKLSYDQLHSHLQRCFLYCSIFPINYRFVGAELVRIWTSQGFIKCSHSNKRLEEIGQGYLSDLVNLGFFELVEREESTLGDQTSYAMCGLMHDFARIISRTGEYTVIDGLHCNKIVPTVRHLTIVIDSEYHTDPCNEKFEKIINNAVVSLRNLRTLVLIVKSAFSFSQSFKDIVLKAQKLRLLQVCSEQTYNYMEMLLCNLYNNFDPLLCNLVNLTHIRYMKIDSKTLPQSLSKFYHLQVLDSGSNLTMPNDMENLVSLQHLVAPEQIYMSIASISGMTALQELHSFNVHVASSSEITLLQSMNQLVQFGVSQLENITSGAEACGARLRDKQNLETLRLFWSDYLPQDKCENARDVLEGLEPRKNLKDLQISGYNGATSPSWLATSLTSLQTLRLERCAQWQILPSLERFPFLRKVELRSMQNVVQISIPSLDELMLIDMPKLERCSCSSIRDLNYSLRVLNIKHCPVLKVFPLFDNCQHFEIEQISWLPHLSKLTIHACPDLHVHNPLPPSTIVSKLSISGVSTLPSMKGSSNGTLTIGLPDVDDDDDFDDIFYEDINQLITLDDKVMSFHNLRFLTRLIISGCQNLTSISFKGVRQLVCLKSLKLGNCPELLSSDVPQELTCEYMSGSNQSALPSLERLHIEYCGTTGKWLSLMLQHAQALQELSLNGCKQIAGLSIGEEENSQLNLISATGAASLGYPGRDKLLRLPLNLLSSLKRVSIRWCNDLTFYSSKEDFAGFTSLEEIVILACPKLLSSLTHNDGSDEQSNGRWFLPLSLGELQVGNIDSVKTLQPCFPGNLTSLKKLEVRSNRSLTSLQLHFCTALQELIIENCKSLYSLEGLQSLGNLRLLRAHRCLSYHGGDGRCIFPQSLEELYICEYSQETLQPCFPGNLTNLKKLHVQRNSSLTSLQLHFCTALQELLIENCKSLNSLEGLQSLGNLRLLWAHRCLSDYGEYGMCILPQSLEGLYIREYSQEILQPCFQTNLTCLKKLEVSGTASLKSLELQSCTALEHLKIEGCSSLATLEGLRFLHALRHLKVYRCPR >KN539314.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539314.1:26846:32687:-1 gene:KN539314.1_FG012 transcript:KN539314.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQICGGFVAETIWIALARFRILWQELSVYLITSTFDFIDLIQGLDNLQPDQQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLKARSQANDAKAEGNKFFGAGEYESALSQYETALQIAAELESAEDIRSACHSNRAVCFLKLGKYDETIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIADMKKIIELDPSNEQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQQ >AMDW01039773.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039773.1:251:982:1 gene:AMDW01039773.1_FG001 transcript:AMDW01039773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELAEEAAQEVVFHGEEGQKFTFAELATATNNFASDKLIGRGGVSFVYMGRLPDGREVAIKRFSEAIEDDEFNADHTILSHIRLKHIIRLFGYCMERQYKQEIKRSIWFWKKKVVDVAPILVFEYMKNTSLDKHLHGSLSSSSPVTTSWCMRLEILLGVSRAIEYLHTHPTRPVIHRDIKTSNILLDSNWVPRLSDFGLSLTWDEMECDNFDIKGTPGYVDPEYVVTARAKPTIDVYSFGVVMLE >AMDW01069370.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069370.1:158:770:1 gene:AMDW01069370.1_FG001 transcript:AMDW01069370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] ILAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWTVWKFHDERQIDHLYTLHSWLGLACIIFFSLQWATGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLVLLGALVILAVVSPGVGKIDTYRGSSE >AMDW01069370.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01069370.1:2945:4862:-1 gene:AMDW01069370.1_FG002 transcript:AMDW01069370.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding HCNYKSKLQEYLQQANKQLPIYCTKCKGEHHQLKFKSTVMVDGEEFSSTFCHRRVKDAEQDAAKVAYDTLLERKETETDDTDVFELIDQDVVFSKSILHEYTTKTKTDQPEYYVTKTEGSVTPYVASVSFAGHTYTGGAARNKKDAEQKAARAAVKSLLATNYTSMAKIVRSKEKLISAISPSGYNKGIDSNPTNKKLPFAPIKFTPPSIFKLYVLTVVKPLLDGEIDMLSVPQALFAPLVTAEEPKVRPAAEPASNPSEQAVHVSKKHKDNKVRGPEVKEERVAQ >AMDW01030673.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030673.1:45:248:-1 gene:AMDW01030673.1_FG001 transcript:AMDW01030673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYLPMGGSIKMIEESLKLAYGENCEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWA >KN540581.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540581.1:9501:12785:-1 gene:KN540581.1_FG001 transcript:KN540581.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVGILRGVAGVAADANAFREFFHWVTPHVLAAVHSQQQQQQLLQLDSGGPVSASTGNNRTAPLHQIQDDLQKLEHNLWVIQTTITSTMHDLIDRLEWHSHRETEARHLRQIKDAVYDAEDLLDEYNYYALKVKVEASKNLGQDHSHGPFLEFLDSVNFNGNFSKVMEIQDRLKHVFDQSMGLGLHKTPKKFDRLVRPETCRVLDESQIFGREQELKELMQMLGVDGHKRGRPAAHTNTTEARRMELPVLPIVGMGGVGKTTMAQQICDDPEVRKHFHQRIIWICVSDEFEVNRLTKDALKSLEVKSEDTDTRDNLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLDGSMILVTTRSSKVANLVSDGNHYELKGLQDGVLWNFFKLCAFGSVQSWSNRPELQRIRPELERIGRAILPKLKGSPLAAKTLGRLLKCNRSIEHWEDILKTQLVSKDECFIIQHVRHLSIFTNGNIRCSDLVYICAQNKKLRSLVCNDSYINWEPFAPMIDGWFKELLNIRVLSFDLSTVRKLPESIGNSIHLRYLGLLGNSTFETLPSSVSCLYHVQTIIAKGCVFKRYPQGFSDLISLKKIESKGFIYNKDKDKQCLRWPIMRTPDRGEARTRLSPPYAPNLALPLTPEEQLQMTEEQIELLPHWNLQHLTIEYYLGQSCPSWLRPDCLKMLTSLKLSYCKNIQSISFFDPLFPDSEDSKNICHLEVLDIQHCPNINWQGLVALPSSLRKIILGNFGHSTDHFVSCFRGLALLKCLQIQCEFLMSIPLQVCKNNLQALEDLHIYQCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTRRIMTLPSSLRKLSLLGCPSIEFIDNSHLASSVTLKGLNLKLISCPDLISIVGAISVSEIQSGYIDDCPKLMEMTQPFTRGRYWYVLRNHT >KN540581.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540581.1:43685:44482:1 gene:KN540581.1_FG002 transcript:KN540581.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSACVDDAMMASWATYICPGEGGEEVVVLTSGMGSAEHRDEVGHGVQGKMGSWAIFLTCIGAESDGLCKLLACTAEELARRGAAAQLAGKKNVMERIVGVAGIHVEVASPKKTDGNCLIEMKSKRWH >AMDW01022248.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022248.1:61:259:1 gene:AMDW01022248.1_FG001 transcript:AMDW01022248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRCFQKQDNFFHENLSRLNASLKMDFHNNAANEWLGLRLELIGSLVLCVTALLMVTLPSNIVLP >KN541248.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541248.1:226:559:1 gene:KN541248.1_FG001 transcript:KN541248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSKSTTGRVPSQQYSDYKSNTADQYSYYYNSISSSQGQQMGGAGYYDKQPSKGTNKRYPSLKG >KN541248.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541248.1:29714:31555:-1 gene:KN541248.1_FG002 transcript:KN541248.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SSDATTSRERKAPPLGVLTATRFPAARLGGARRIACAGVSTTSKTEHKAVITAVIIVIILTNRSKANHAPLPDNLAPSPQEDRSIKVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKYLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >KN541248.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541248.1:27680:28300:1 gene:KN541248.1_FG003 transcript:KN541248.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPIAPPSRLPIASPSRPLTAEERLPTTQFSWSTLAGFGRPPPAAPLHGEAARRRRKAAHCTAVKAVGREEERPPTAPAMGKPPVVPHGEVALCAFVESSCHALTVGSHLSLPLHGGLPPSPHREEATHHSLAMGGLPPCRCEVGQYRIQPLPTAGGEGQCWIRPSRALVGRGRDGEVQPPTRPAKSNGASSANHIAHESTEIH >KN541248.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541248.1:4515:6322:1 gene:KN541248.1_FG004 transcript:KN541248.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGFRNSVPAQQGTTLYGTSYGATDSQSTASSYYNGSSKSTTGRVPAQQSSDYKSNTADQYYYNSISSSKSQQLGGAGAKSSKGTIKRYPTLNG >KN539987.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539987.1:59956:61786:-1 gene:KN539987.1_FG001 transcript:KN539987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGQEPDDASVPPILESLKKLEHEMRLAGDAAVDVPLPLLRNIKDDLHELDSLLRRRREEDDDGRRWHSDGGGDTAWGDAGGGAIGELGNLVVLDLHACHNLETLPDSVGSLAMLEYLDVSSCYLLDQMPRTVANLSRLEAKAKARSEPCRLSDLTSLRRLRKLSIFNYGASSSWHAHVDDDLGQLSACAALRSLKITWANAGAGATPPPDATTTTSALPVELRKLDLRRMPHASPPSWLAPAKLPRLENLCIRGGLLASLNGKDAAAAAPWNSVRTLRLRFLRELECKWEEAHRWFPELRVLEHWNCRNLGKWWREVGGGSGGAGMRYVEVNHIQDFFFGDQNLSPWDTECDERGIWRRGD >KN539987.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539987.1:44955:45983:1 gene:KN539987.1_FG002 transcript:KN539987.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMIFTKYGKIPINGVLTKIDAAHLQARQIISAAGVFCARWNGREWTLRPRRKNRHTTTHHLTVTTLNIVDNADEPELHRGYNLGGTRVHSVKLPPDHSLQSTRSVMQTVHKAAEEVRMVKATHILQE >KN539987.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539987.1:32904:36583:1 gene:KN539987.1_FG003 transcript:KN539987.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGIKCSGVILISLIRLSKQYAAYFFIARKSVRALEAATERLRERLSDVETRLDSATRKGMQPRNEVEGWLKRAEHVCVETEKIQAKYDKRTKCMGSLSPCICVNYMIAKSAAANCQAAEKIYSEGMFEEYGVMVPQASTEVPITDVSLTGTDRYRSLAVKFIRDEAVSKVGLWGPGGVGKTHLLHQINNLFHKNPAFDVVIRVTASKGCSVAKVQDAIVGEQMLVKKDDTESQAVIIYEFLKSKNFLILLDDLWEHVDLDKENVGTEIIENHPLVLKLAKEVANELAGLPLALIVVGRAMSTKRHPREWQNCIDFLQQSRLNEIEGPVCNEESVFARLKLSYEYLSDTNLKDCFTSCALWPDDYLLDRNKLSEYWMGLGLVEEEDIQRCYNAGYARIRELVDKCLLEETDDDRLVKMHDVIRDMALWIPEELGSLFKLEYLLLRSNPIREMPETILSKLSRLQVADFCSLQLEQPSTFEPPFGALKCMRNLKALGITINMIKYFNMLCETNLPVRSLCIIIRSKYSDEWKGFAFSDSFFGNDLLRKNLSELYIFTHEEKIVFESNMPHRSSNLETLYICGHYFTDVLWEGVESQDLFQNLRRLDLISCISLTNISWVQRFPYLEDLIVYNCEKLQQIIGSTSNNDNLPNADEKERKSLLQPCLKRFTLIYLKSLTTICDSSFHFPSLECLQILGCPQLTTLPFTTVPCNMKVIHCEEELLEHLQWDNANIKHSFQPFFKVISMNNNSAPQNFLDGLYAEWIYHRFEDDELEELKARWNKDRGLDS >KN539987.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539987.1:3687:9279:1 gene:KN539987.1_FG004 transcript:KN539987.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGHFPAAWKKWGSAAESTRWWSEAEEEPELKRPLWRIVEVAGGGQTQAHTGSVTEAGLELSSGATVEVQGMRPAVTGMPVEQFQQGKPSRHMWRKGSGTFKIGIRSWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSDEMAHFSEQSSFDDSIHGYLNDTKTLLELFKTSQIRFSCEDLVLENIGTWSAELLKQQLLSNKLSTSAQSEVEYELKFPLHSTLDRLEHRRNIEQFKVEGSKVLKSGYCWVKQCRLDELKFARVMPLIVHFISTATMFAPELADARMVLSQTSLLVTVYDDFFDCPETSREEKENYIALIEKWDNHAEIGFCSKNVEIVFYAVYNTYKQIGEKAALKQNRSIMDQLVEDLVSSAKAMMVEADWTATKYIPATMEEYMSNAEVSAAFASFVCPPLYFLGLKLSEEDVKSHEYTQLLKLTNVIGRLQNDSQTYRKEILAGKVNSVLLRALTDSGNTSPESIEAAKDMVNSDAESSMVEMRSLVFSEGGPIPRPGKDRFWEMCKIVFYFYREDDAYLTPKEMMSSARAVILDPLRLIPPPSCPETLSS >KN539987.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539987.1:28140:28733:1 gene:KN539987.1_FG005 transcript:KN539987.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAFAEKISASRDTISTSHGTVSSSHGAVMVTTCSVLCFSGNLGNAHADAMSPSPLGVLVDVPPQTNYDLHAMVPTKCLAQCPDHENTKGKLGSRSWGTTPALGMPTVMVVNYVAIFFLCMAIISFSKVTPTKCLMLEHNFGDDKYHANEVSLHELDSFYLVSTPVTKADIIYITHQMLDGVSQ >KN539987.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539987.1:51011:57127:-1 gene:KN539987.1_FG006 transcript:KN539987.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEIGWLVEFPEFLGVNLERRIVPRHNVVEHLRSIGGLGDPVEMKHYVRFSRQRFYNMFVKPYPECERIFGGIVREKEKEEVRRRRHPVGLWKLFKPAKYESTKEDVNNMKMQLQDKKYPFFPQSVPAASANVYLWTATISAYARHASSSSSHALEVAGEAVALFALMLRSGPRPNAFTVTSVIKCCSKVRAVHEGLQVHAFLAKAGLGCSSVHVGAALLDLYGNIGLVGDARRVFDEMPVKNVVVGNTMVACNVRAGDMGAAREVFDGMAERDPISWNTLMSGYLHLGGTATVRELFDEMTVRNVNSWNTMIAACSEEGSLADTVKVFNRMRATGFEPDAATMAVLMSACAQLGSLTIAGQVHGLLQKSCVEMNCHVQNSLIDMYAKCGCISQAQFLFTETYPKDTVSYNVMIIAFAQHGHARDALDLFNAMVDSGLQPDAVTFLGVLSACAHAGLVEYGKNYFELMKTTYAVQQSPDHYACVVDLYGRAGLIEEAHCFVKTMPVKPHAGLWGALLNACRKHCHVDVGEIAAKELIRIEPKNPGNYVLLRNTLARGQQWDAVEDVQLSMRRKLLLSDCHIGCQKNIKSAFKLKLRKLLPYFPEAGETPALKGAITTQLVLNTKNTGFLLYMDYEI >KN539987.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539987.1:20180:27008:1 gene:KN539987.1_FG007 transcript:KN539987.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFPRASPHSHGMVSRHFRKGPPPKVTRAATGVEKRIEFEGNVGSLQVMHNKELEANIRKKLQGVELSPSLYDTAWVAMVSECGSPQAPCYPQCIEWILQNQHQQDDGSWGINPSSSSVDKDILLSTLASVVALKRWNVGPYHIKRGLNFIGRNFSVAMEVKTIAPAGFNITFSGLISLAAGMGLRLLLCKHILMKFFTSRRSNWKVSARKAFMAYVSEGLGSLQDWDEVMAYQRKNGSLFNSPSTTAAAAIYSFNDKALNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISRIFMGEIRDILDTTYRCWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSAKQLKQQLLSNKLSTSARSEVEYVLKFPLHSTLDRLEHRRNIEQFKFKDSQVLKSGYCGSHSNKEILALAIDDFHSSQSVYQQELQYFESWVRQCRLDELKFARVMPLIVHFISTATMFAPELADARMALSQTSLLVTVYDDFFDCPQTSREEKENYIALVEKWDNHADIGFCSKDVEIMFYAVYNTYKQIGEKAALVQNRSIMDQMVEDLVSSAKAMMVEADWTATKYIPSTMEEYMSNAEVSAAFGAFVCPPVYFLGPKLSEEDVKSEEYTQLLKLTNVIGRLLNDSQTYRKEILAGKVNGVLLRALTDGGDTSPESIEAAKKVVKCFAESSMVEMRKLVFSEEGGPIPRPCKDRFWEMCKIVFYFYREDDAYLTPKEMMSSARAVILDPLQLTHSPSCPGTLSL >KN539987.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539987.1:40243:41302:-1 gene:KN539987.1_FG008 transcript:KN539987.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDVYGFPDLNKDQVSSADLAVLNEQCHDLTDRNVLFAGWMVNPSLHGDSKTHFYTSWTVDNGVSTGCYNLDCDGFVPVNNAPITPGDILEPTNGTLSITIKIFKKKDGDWWLYFGHDNNNLSPVGFWSSSVLTNLADHANVIAWGGYTESTIGNPSPAMGNGLEKIQHLSEISSLLMLMVRAMILHHGLPASFYCQLIRTATKLVHI >AMDW01028701.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028701.1:36:131:-1 gene:AMDW01028701.1_FG001 transcript:AMDW01028701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARLGVIVVLWAVVAEGILDPVDFLALQA >AMDW01037481.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037481.1:142:577:-1 gene:AMDW01037481.1_FG001 transcript:AMDW01037481.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKAQKKSAAVSNSKTSKVKKIKDEDLEIDTKKIKKGAATRKGDAEKVKKEKKVYDLPGQKHDPPQERDPLRIFYESLYEQVPTSEMAAI >AMDW01036966.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036966.1:91:543:1 gene:AMDW01036966.1_FG001 transcript:AMDW01036966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPR >AMDW01035098.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035098.1:260:563:-1 gene:AMDW01035098.1_FG001 transcript:AMDW01035098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEDWLGDLNPNSKEVIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENIVFNRTVTLRDSYGKAGPK >KN539954.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539954.1:4428:12322:1 gene:KN539954.1_FG001 transcript:KN539954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKGRHRGRGGGGGGGGGGVGGDRGGGGSGGGGPGMGRRGSDAHVGGMPPQMEFLMACTDGDVARLKGEILQLYPARARLTPELLPYFSFETFGIEEVVDSMDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAETSCDSGNAIVMGAAVCEATLDRVRNCLYLTMKRLTIVTALGMTPLFCAVLDGQEITVKYFLDKGADPNKKDAEGFAPLHEAAKIGHVGIARLLLSKGASVDVSSSEGTPLHVAASNGKSSIVRILLELHANPNMMLRDCYTPLTAVLSASADKLNESECLKYVKLPVKAGAIYNLGPLSQVLGGTGKVKLGCQQDKLIAGGDLAWANSSLEETWLGEDYEQCLVLQGGKQKVEGLSLDAEDQVNDTDATESRTSCLNAVENNHFSAAGGFFKKASGSIRRIARSSSCFKLLRSAVGVPSPVKHESSSIPGAVGVPSPEQPFTDTATVNDPQRLAKGTDAFKALLWNFIYVAMAALIASLLLTIVLFLKRRKEQVLPSVLPPPLPAPQAQPQIPAPQAQPEQNLAAYFFTAFAYQLYNRLAGR >KN539954.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539954.1:70741:71112:-1 gene:KN539954.1_FG002 transcript:KN539954.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVMVIVAEGIELEIHLLRKLLQEKPPQDLTAITEMESPIHMIPLIEMFDLGAARRRRRWVADDGAGDGEGRLEGRVGHRGWRRRSRQHGGRGHKEQRRRRQPGKMRGGDGCRRGVRQ >KN539954.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539954.1:66001:66447:-1 gene:KN539954.1_FG003 transcript:KN539954.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLQSCPAVSELRLRLTTKDDSHSHPASEEQRFNRRISGNSRIESSSSSSKDEGDHELKRQRVSELAIECLEKTVRKVTMEFTAKEMDSFPVHLTKFLVENAVVLEELHVDDTAQFFLDHKVEKWRADSFQRRNLPIVGLFEVKPM >KN539954.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539954.1:17437:21093:1 gene:KN539954.1_FG004 transcript:KN539954.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAEAAVSAGLQLVPVSFSAIEVPDGKVEICDREIYIRDPSEGESILPSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLHKTVEDANVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVSFNLNEVKQVRDPQEQLTLVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLRKKIQSGANKKLYDMIDVLREGNMR >KN539260.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539260.1:47825:50912:1 gene:KN539260.1_FG001 transcript:KN539260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAGGEAFPWSNAMLQWQRTGFHFQPERNWMNDPNGPVYYKGWYHLFYQYNPDGAVWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDVNGVWTGSATTLPDGRLAMLYTGSTNASVQVQCLAVPSDPDDPLLTNWTKYHANPVLYPPRTIGDRDFRDPTTAWRDPSDGDWRIVIGSKDEHHAGIAVVYRTADFVTYDLLPGLLHRVEATGMWECIDFYPVAGGEGVDMTEAMYSRNKGVVHVMKASMDDDRHDYYALGRYDPARNAWTPLDAAADVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVELDTKTGSNLLQWPVEEVETLRTNSTDFGGITVDYASVFPLNLHRATQLDILAEFQLDPLAVDAVLEADRHRGDGEQTAVYFYVAKGSDGGVTTHFCQDESRSSHADDIVKRVVGNVVPVLDGETFSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVFLFNNATSARVTAKKLVVHEMDSSYNQAYMA >KN539260.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539260.1:92499:106376:1 gene:KN539260.1_FG002 transcript:KN539260.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQAKPPELEEKLTKSRKKKAAAADPDDLHRRDAKRRRRAAAAQREVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKSPDKKKEIEKLLNPISNQMFDQIVSIGKLITDFHDASAGDSAAAPSGDGMDTALDDDIGVAVEFEENEDDEESDFDQVQDDLDEDEDDDLPESNAPGAMQMGGELDDDDMQNSNEGLTINVQDIDAYWLQRKVSQAYEDIDPQHSQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEDMMGNPTLTPILEQLHATRASAKERQKNLEKSIRDEAKRLTKSENTGIDGARDRRAVDRDMESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEWAQPAFAKMTQLNRVQSKVYETALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGVFDNTKYKIVYVAPMKALVAEVVGNLSARLSAYGITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSDGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMASAGKHQVLIFVHSRKETAKTARAIRDTALANDTLNRFLKDDSASQEILGSQAELVKSSDLKDLLPYGFAIHHAGLARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISRLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWNVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGENILHHEYFMVKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNTDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGESNMRVVYIAPIEALAKERYRDWEQKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGSEKGHVLEVIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMDAFTGGISDETLKYTLKCGVGYLHEGLSDLEQEVVTQLFLGGRIQVCVASSTVCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEDFIEKLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTILGNLAADQREILLSAHRLLQAMVDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKELARRCQENEGRPIESIFDLAEMSIDEMRDLLQQSNPQLQDIIEFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQGLAIKRVALQKRARVKLEFTAASEAGRKESTSSPSMSWMLEGIDPKARKRMKALPSPQSTSFSFDSRCGCQGSGWVPRCFVVLANVRARRSSATSMTSMRLQNKAYCSSSSNAPTQDELPEDVIKYDSILQSSRSAIPFVHPIEYLQSHAVFFSIPGDVQLLGETYLPKGQMLISPEEQLMFTGRGSVFAQSYLEEIYVMLLQGKCFHKPLSMDDMVVSALGRVHLRDGILMRPIAESRRAAIINSTWFEAMKLLKQMLAYANPHNFDLPPDFLHLCNTMENRYEPKGMNIPYRASLLPLHSRQQVLLDAFNLLTNRMNLQYASWISCELPHRTEWPQVLHGNNFLRQWFRDKQWLNKDGTIKVPTGIEFLRAHRVLCCHSDDFARKGVCMYTWEQLHLLIHGKNPLVLPDLHLKLMRADRFPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSAAGLVCFVFTLGNLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQRK >KN539260.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539260.1:23297:25909:1 gene:KN539260.1_FG003 transcript:KN539260.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHHHHLFRFLAADDHPFFPTFPTSSASAAAHHPFLLDDTLTHPFFFPSSSSSCPLGFTSPSSSCPLGFTSSYDLDSAFHHHLDLDLDLFLPTPTPPVATSCPALHDPFLLHHSLAHRVSALELAASRRKYTYEAESAGRKIKWTTHDKPDGDRTFKWEAQIDTPNDDGFDRKWKWESKASAAGATKLKWAKEVKGKGFLHPWSHAYSVEEVFGDDDHHHNADKTSENKVKQHNKDTSAKEEKNKKNKCNVQIVEIDDNTAGCVAINKAFASSYAKGKRKQLSPQDAALLIQMNYRAHLAHRSQVLRCLRHLAVAKAKLKDVRSLFYNISYRRRISHDSEERQRFADKIIALLTTVDALEGPDYMVRNAKRSMLEELEGMLEIVGPQSLGKPRTLSRRKFENHM >KN539260.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539260.1:58399:64156:-1 gene:KN539260.1_FG004 transcript:KN539260.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g68930 [Source:Projected from Arabidopsis thaliana (AT1G68930) UniProtKB/Swiss-Prot;Acc:Q9CAA8] MAMAPHDQHEHEPDHAHRSPSNGTAATSTIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRFAQIKVYQTGPSNVKAANLLPTPGICTGCRFVYRGVAPPTFLLNHLLTAYAKSGRLARARRVFDEMPDPNLFTRNALLSALAHARLVPDMERLFASMPERDAVSYNALITGFSSTGSPARSLQLYRALLREESVRPTRITLSAMIMVASALSDRALGRSVHCQVLRLGFGAYAFVGSPLVDMYAKMDLIRDARRVFQEMEAKTVVMYNTLITGLLRCKMIEDAKGLFELMVDRDSITWTTMVTGLTQNGLQSEALDVFRRMRAEGVGIDQYTFGSILTACGALAALEEGKQIHAYITRTWYEDNVFVGSALVDMYSKCRSIRLAEAVFRRMTCRNIISWTAMIVGYGQNACSEEAVRAFSEMQMDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMRYITVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVTGYAQFGKAKETIDLFEKMLANGLKPDGVTFIGVLSACSRAGLVEKGSGYFDSMQNDHGIVPIDDHYTCMIDLYSRSGRFKEAEEFIKQMPHSPDAFGWATLLSSCRLRGNMEIGKWAAENLLETDPQNPASYVLLCSMHAAKGQWTEVAQLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSSRIYEKLEWLNSKMAEEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPQEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSDGTCSCGDFW >KN539260.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539260.1:76716:77318:-1 gene:KN539260.1_FG005 transcript:KN539260.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCTFVAASAAVLPSVPPLASSPAALLQEAPPTPDLLALSGDAAGVVPVEPMVVQSGTPFEVSGVVEMAPITPSTLITFSRRAKKSLPPALLPPPLPQQPLPLPSLQTPRRSERQAQQAMYGAPSISRCQSVLAKKMGEKTSDPPVAPPLVSCTLRHYNELFEKELSQDQLMALADLFGFCLPPPPASAVAIMPLQAA >KN539260.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539260.1:15276:18831:-1 gene:KN539260.1_FG006 transcript:KN539260.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALVEGSFSFLRRAKEEPFSCQKKKNLSGLPYSLCQYVYGRLAGIHGDIYASPRDHICASLHNWALPIADPLFKTSLQFAVAEDAYALVLQWRCMHKWACRSEIGVGLFSSDFDESDDPGQGFREVIIVLIFYLEFFYKYLFKLKDFDKGSYNKDLIWWSTEGTIIQCCLQTVLFFTPGVRLDII >KN539260.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539260.1:109208:110014:-1 gene:KN539260.1_FG007 transcript:KN539260.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPCKPKIEPISFTADDGRLYVIERVPFSGNPGCFEALTYGLLPDDDDSLSSRMGWYWRSLPPPPFAKAGYDITASAVVQLNESKELWVTAAHGAGTFSFDTNSKVGEWRELGEKGMPFMGRGEYVEEHGQWFGLSSTPRLGLYLCSCDLSDLCCSYDAQVMVRCWLDGLDRLPAAAPPKRSLLMETYAVHLGSGRFCIARFMEEEEQHNISLHPFFRVASEKSKNDRFLLLTGVDVVGSDDAVVVHKSIRYAFQNGDFVRGYSRVF >KN539260.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539260.1:125984:126885:-1 gene:KN539260.1_FG008 transcript:KN539260.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding AIEKSLKNVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFRAMEHAKRRMNVLAWGLADTTNAGGCLHQSCQGE >KN539260.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539260.1:68476:71646:1 gene:KN539260.1_FG009 transcript:KN539260.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIILHGLYANKGAGLGVAVLNGVPGPEEEKTKEKRRHANAHFDDDQLQTDMELAHQIALTQSTPNPLLPDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGHVDHYQSLSSEDKGWGCGWRNIQMLTSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQCLVKRGVHTLRKPQYQLCYVDPGIASSEETEQLKTIDSILVRF >KN539260.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539260.1:28380:31017:-1 gene:KN539260.1_FG010 transcript:KN539260.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYNYNRAGSAADKSNCTDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLILNVCNKISFLKIPCVLQHLSCLSVMACHRLQVIVCEAPNLSSISLSGGIKFSLGEILTMKVLFMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLDASPSLETLYLDVFQEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKATASLERLVLDTLRGGDRCSGKSNGKNCWPVSNAVLKESARAAIAVRRYIEDKVSSTTTLTLVEPCTRCHSIG >KN539260.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539260.1:10427:11527:-1 gene:KN539260.1_FG011 transcript:KN539260.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLGSGGHGTVYKGTLPDRRVVAIKKSNITVRKEIDDFINEVVILSQINHRNVVRLFGCCLETQVPLLVYEFISNGTLSDHLHVEGPTLLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVTTVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVEMLTRKKPTVFESSDNVSLIALFNLLMVQDNIYEILDPQVISEGMENVKEVAALASACLRLKGEERPTMRQVEIRLERLLGGDILQRLSAELHCLPPQLSNTDTTSKLYSMERDFLLSSSFPR >KN539260.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539260.1:81568:83455:1 gene:KN539260.1_FG012 transcript:KN539260.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQFWTNTLEIVLKGYDRAAYMFLLFPSILLLLRWWIWDGCLPALAVQMYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFTLQVFEGYVGVLLLKTALHGLASEWQARIINYVVFAVDS >KN539260.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539260.1:116861:120573:1 gene:KN539260.1_FG013 transcript:KN539260.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQRFWLRNNAAADSELERSFHLGQKAVGTIIGIYEYKTTRESHSMNSKMIRLFAPFPKFIPLLAVFIISCSLPLAISDDTDTDREALLCFKSQISDPNGSLSSWSNTSQNFCNWQGVSCNNTQTQLRVMVLNVSSKGLSGSIPPCIGNLSSIASLDLSRNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLSNNSFEGEIPPSLTQCTRLQQVILYNNKLEGSIPTRFGTLPELKTLDLSNNALRGDIPPLLGSSPSFVYVDLGGNQLTGGIPEFLVNSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRNNLVGSIPPVTAIAAPIQYLSLEQNKLTGGIPASLGNLSSLVHVSLKANNLVGSIPESLSKIPTLERLVLTYNNLSGHVPQAIFNISSLKYLSMANNSLIGQLPPDIGNRLPNLEALILSTTQLNGPIPASLRNMSKLEMVYLAAAGLTGIVPSFGSLPNLHDLDLGYNQLEAGDWSFLSSLANCTQLKKLALDANFLQGTLPSSVGNLPSQLNWLWLRQNKLSGTIPSEIGNLKSLSVLYLDENMFSGSIPPTIGNLSNLLVLSLAQNNLSGLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDFSHNSFGGSLPSEVFNISSLSQSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGKCVLLEYLHMEGNLLTGSIPRSFMNLKSIKELDLSCNSLSGKVPEFLTLLSSLQKLNLSFNDFEGPIPSNGVFGNASRVILAGNYRLCANDPGYSLPLCPESGSQSKHKSTILKIVIPIAVSVVISLLCLMAVLIERRKQKPCLQQSIVNMRKISYEDIAKATDGFSPTNLVGLGSFGAVYNGMLPFETNPVAIKVSDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKTLVFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPVIHCDIKPSNVLLDLEMIAYVSDFGLARFMCANSTAAPGNSTSLADLKRSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCLLPLVKVALMCSMASPKDRLGMAQVSTELHSIKQAFLELSSGGKVV >KN539260.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539260.1:32917:34964:-1 gene:KN539260.1_FG014 transcript:KN539260.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >KN538928.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538928.1:93560:97194:1 gene:KN538928.1_FG001 transcript:KN538928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEENYLI >KN538928.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538928.1:168063:169116:-1 gene:KN538928.1_FG002 transcript:KN538928.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPICEKVKPAVAVRIFGGLRYAPPDGLVVNNPLLAAFIRAVYTLYMATTVFLYIFGMVTAIKEYEMLVALSIIVVMQPFFILMWIAAPFLRTVAIVKYAMGLPDSNNVNRITTGRMSALA >KN538928.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538928.1:70954:72264:1 gene:KN538928.1_FG003 transcript:KN538928.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >KN538928.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538928.1:4536:10624:-1 gene:KN538928.1_FG004 transcript:KN538928.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKIKRTSWSSLCYPVSNSLYSPLTSYKSDKQVSLMDMQPGHYQQRRMVASSKAIKVGPWGGTAGSPWDDGAHRGVRSIALTYGRFLESMRVTLDYPYEFLTGVGGRCGPVAHGGSTVVRSLTFRTSTGAVHGPFGDASGDGVPFEYPMEGGVVVGFSGRSGWWHLDAVGLHVAALRPETLCDVVQERGAMAYRSFVMGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLENEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFFFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVLENLIENSIPYLNYSYKKYRAVQPIQRCKKSLPSCSKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >KN538928.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538928.1:127761:135848:-1 gene:KN538928.1_FG005 transcript:KN538928.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGSQNIAGSEGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLKVKPPAATSRRVNIQQELYAPSPTISHRGLAIPPLPTTSPPVFPPPIRSYPPLPANKPYPNSPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRRHGIPVAAPPKQHSSNLPAHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPDCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGQSVHFLCVCLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVAWACPFLTSRDALETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNREPERGLSTGSSSEHCGTQRFRIDSE >KN538928.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538928.1:177252:178622:-1 gene:KN538928.1_FG006 transcript:KN538928.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGVLLSSNVGAGSRPWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSKYCGTCRFSRSTLLDRTQFPAAGDLSVAAYLYATSDATAFPGSMVYSMSREAWSKESNWIGYVAVSNDAAAAASGQRVIYVAWRGTIRSLEWVDVLKPDLVDHDDILPEGHPGRGRSRVMKGWYLIYSSTDERSPFSKYSARDQMLAAVRELVARYRNESLSVVCTGHSLGASLATLCAFDIVVNGVSKVGDGAHIPVTAVVFGSPQIGNPEFKKQFEEQPNLRALHVRNMPDLIPLYPSGLLGYANVGKTLQVDSKKSPYVKRDTSPGDYHNLQGILHTVAGWNGKDGEFKLQVNRSVALVNKSSGFLKDSNLVPESWWVERNKGMVLGQNGEWQLEGPAEENLPVPPVVTGKIIDDDVAAVATSSSAKEGKKTGKGSKLLSGLIDQLLCV >KN538928.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538928.1:1817:2651:1 gene:KN538928.1_FG007 transcript:KN538928.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQAN >KN538928.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538928.1:147361:152664:1 gene:KN538928.1_FG008 transcript:KN538928.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQASAPKISCSFSFFCCRDVLKLKFMTDGTVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLAQMESRPDLVPPDSRPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASGSKGKTANDKDSPKVDPRGIFLGHDSTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTGPAVKVEKAHGGDVHCVDWNLHDVNYILTGVHLVQEAVDTLLDILRIILSVCGTGEIWVLEELAFQFTNLRVIKLLFFVFRHWSPDKASVFGSSAEDGFLNVWDHEKVGNKKNPNAPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKTHLASCAPRS >KN538928.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538928.1:105959:109700:1 gene:KN538928.1_FG009 transcript:KN538928.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGVAGAFSKTAIAPLERLKILLQTRTNEFGSLGVLKSLKKLQQHDGILGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQISSGLKRTNFQPKYGGIKDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQYHDKFGGPQIRGTFQGLMIIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKSLLKIPPREKNMSGHDSN >KN538928.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538928.1:122448:126558:1 gene:KN538928.1_FG010 transcript:KN538928.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGVVDKPACGYSITIRETITATSVDFKGAKAFEISGTPVDCVSLALSGRLFSWSAPALVISGINAGANCGYEMFHSSAIAAAREALLYDVPSIAISLNWKKDESKDSDFKDAAEVCLPLIHAALEGVEKGTFLRGCLLNIGVPSSPTTNKNAYCLSRPGTSYLTGLPTNAKISISLIYQGFKLTKQSIYRPAQSWEGVSTSRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRINAQRKIVEVESVASTGKAEAREVVKKLFRAEFTEKQHECLDEDIDLRALENGFISVTPLNIHGNVAPETGAPASDWLSVAVGLDKDAPAVAEEKEAPSAT >KN538928.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538928.1:181205:185476:1 gene:KN538928.1_FG011 transcript:KN538928.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANGNVDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISDFDIGFAGAGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >KN538928.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538928.1:64371:69741:1 gene:KN538928.1_FG012 transcript:KN538928.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGPGKWRTIQKDPEFSPVLSSRSNIDLKWIETSRTDRSCFLVEQMLEDLFLDNRAVIAIQKGFSIDQLRMWMESQDKWRNLSFSASGLGSRDKLKVPRIKGPSSSTSPSSQTPLLVLPPNKVAEASPSADPEKSSQDVKIPKYSSMVIEALCEIGDPNGSDVDAICHYIEQRHEVQANFRRLLTAKLRRLIAAKKIEKIDRSYRITESYAAKVSQANKSPSPKKDPAKPLKASQNLGSFAGTSPALEAAAAAAMKVADAEAKSHLANEHMTEAERIFKLAEETESLVTLATEIYERCSRGEILTIMQVAQSNFEFQSVSGNGSGTGSTVLA >KN538928.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538928.1:14571:20238:-1 gene:KN538928.1_FG013 transcript:KN538928.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRWAIHERPELAFEEIETSRRVRAELDAMGVAYRHPVAGTGVVATVGTGRSPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRHELQFHIDQYSILQLKASAGTVVLLFQPGEEVGTGARRMVEAGAVDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHAGGRPLLPPTINSAALHAHFQAVAAETLGAAASVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >KN538928.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538928.1:82600:86687:1 gene:KN538928.1_FG014 transcript:KN538928.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRAVGHGAGPSGLAPPALQNDRQSDRPLLLQVVLGDLHYCMLEHLIHCVDEGRTSGWSSMDRRRAPPPIASVGTLAKQKAPVGNDASFSKEPVISASNFLGRSSGSSRRPAVSSSRDVMPTDTSEPSRTRATDASPGAFRRTSGPQKSSPVNSAEPKHSSSGRHSSNVKNYESALKGIEGLNFDGDERVQY >KN538928.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538928.1:98642:100808:-1 gene:KN538928.1_FG015 transcript:KN538928.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLARRALRALRGIVRLQALARGRRAGWCDSQGTADDVRSKIHMRHEGAIKRERALTYAQSHQRCSNHGGRPSSPAVSLKHHGNGATRSNHSWSYLEGWMATKPWESRLMEQTHTENSTNSRCSESVEEISVGGPKLSDASSVKIRRNNVTTRVAAKPPSMISATSSDFVCDESSPSTSSVTPLSASNSLATERRSDCGQVGGPNYMSLTKSAKARLSGYGSHKPPLQRQRSGDLLHHNNRMAFSSIDVQSTAGSEVSVTSKRLNSLALKGRATRSLDKENERRPSSLV >KN538928.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538928.1:120184:120477:-1 gene:KN538928.1_FG016 transcript:KN538928.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASVALACAVVAAAMVLPEAEAGQNCICECVKLCMRTRIPSIEAQCSGKCRETACVRSCEEACARKGFPALPGEGIGACELEPLTPDEAHMLH >KN538928.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538928.1:158336:160954:-1 gene:KN538928.1_FG017 transcript:KN538928.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISGLAAAHRLCGAGGDRFEVAVVEAGDRVGGRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGALGEEEGRGLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMMEAARAGEAGGGGGVEEYLRRGLRAYQAARSAGGGGKEPEEVDEALLAMHINRERTDTSADDLGDLDLTAEGEYRDFPGEHVTIPGGYSRVVERLAAALPPGTIRLGLRLRRLDWGGTPVRLHFADGAPPLTADHVILTLVRGRAGQRFDDSHLLSLD >KN538928.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538928.1:117207:117407:-1 gene:KN538928.1_FG018 transcript:KN538928.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAEKLSTDEALAADVVDDPRMASMKSITGPMAGSAKKAPPPPPFCSTNAGRQLRATTPSGAKR >KN538928.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538928.1:74948:78702:1 gene:KN538928.1_FG019 transcript:KN538928.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKESMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLFNIPWLVLSIVILFVVQTGPEVIEEIIYGLESGILFGISSVISKTGFVMSEMGFPKIVVPAAISCSVGCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVADLYNLFGKLHLAF >KN538928.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538928.1:30284:33144:1 gene:KN538928.1_FG020 transcript:KN538928.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLFRKCTTASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGLADTLAASTDQRGRLMMTTERLNQSNDKIKESRRTILETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNVGKSKKILAAMSKRMDRNKWIIGGIIAALVLAILLILYFKLAY >KN538928.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538928.1:189280:190327:-1 gene:KN538928.1_FG021 transcript:KN538928.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding EAPIGDYVDLDEEGAWDDEVEELGSFGGPPVSTADRANWTDRNNSILLALCIEQVRAGHYNGSQMNSDGYNAIAEGYHAKTGLMHSRLQLKNQIGILKSTYSFWRYLQTHTGLGRKPDGTVDADSDFWSSHIEGKPYLKKVLKGLPANLDQLEEMFSGSTVDGSTAFAAGDDFGEAQEGAEDEWAEETEEFLQTPQSTSSQKSKRSLDSTTSTCSTPVKKSKSPMVKYVKDISMSFKEAMQINSQELRKRTIEKQAASVRNCMKMAFECGIEQTTENIFAMSKMFENPYQREFFVGLPNHELRFNYFSKWSRDNNLV >KN538928.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538928.1:88302:90361:-1 gene:KN538928.1_FG022 transcript:KN538928.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSAAAAAAASPRSRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSQLGYGKTPEES >KN538928.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538928.1:154370:155187:1 gene:KN538928.1_FG023 transcript:KN538928.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAADATAWPPGWSLVRGYFSPATLFLLLNVVIGTIALTSRASHRRRQHHHDEHYKAQHHHDHHDEPQRCHDQYAPPPPAPLERTSSVMERLRSFGLYRFRSGDFPPEYNLSAAGANAICDESEKQQAQYTRSRSEPAARPAPPPPPRAPAPAPARAVKAAAREEVETPQVGLATASSVDARADDFINKFREQLQLQRLNSLLNYNEMLNRGT >KN538928.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538928.1:40873:41691:-1 gene:KN538928.1_FG024 transcript:KN538928.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKEMIYRAAAMRPVHLGTEAAADKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGNKMDTASMLDEAASYLKFLKSQAWW >KN542418.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542418.1:10927:11241:-1 gene:KN542418.1_FG001 transcript:KN542418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKAKQQMRERSDQSRKESLDYLLDKKKEADAEKERKLEERYKIAFALEQQRIDLEKNKFELKRMVQEDQLLRTDTSAMSIEEQEYYRNVKKQILSRRSAQA >AMDW01040306.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040306.1:1087:1365:1 gene:AMDW01040306.1_FG001 transcript:AMDW01040306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKD >KN540883.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540883.1:19953:20860:1 gene:KN540883.1_FG001 transcript:KN540883.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAACMTSFATLGFLWISLTWAPAQNEPDEPADLDEWAGVGQWAEWADLLRLSLPSCLSTTGCGVLRGSARPAVGAAINLYSFYLVGAPVALVLAFGLDMGFLGLCLGLLSAQCCR >KN541629.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541629.1:2276:5393:-1 gene:KN541629.1_FG001 transcript:KN541629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVAAALLVLSLLLNLHTAASASAWEDKDFFKSCPPSRCSEHGAEIRFPFQLESNNTTTPSSCGLPCMKLSCSGQDTILDNKYYLGRPYKVTAIDYKHRILTVVPLADEDNSSSSPCPLLKSISFRPSNGYYDTEYQNPCQTYYTYYAALVSCSNEFALASVPGAATDNDYIPGPINCLSNQTHFSYLVADYAPMSLLPLDCEVISDGPIPIPIFHYSGYAWKTFRESAERILNFSETMVRWGFHNCSQCEYQGQHCAFSSQRNQTFCMPRGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENYEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGQDLELGREMTEEEKAIMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDSGHAGII >KN540883.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540883.1:39564:39665:-1 gene:KN540883.1_FG002 transcript:KN540883.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding STDRSIDSNLNIVPAQILINLMKLLRVALNPQV >KN540883.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540883.1:31500:34750:1 gene:KN540883.1_FG003 transcript:KN540883.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHELRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVSSSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNDALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRHLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDSGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >KN541629.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541629.1:12891:16581:-1 gene:KN541629.1_FG002 transcript:KN541629.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVAAALLVFSLLLNLDTATSAWEDKNFFKSCPPSRCSEHGAEIRFPFQLESNNNTTPSSCGLPCMKLSCSGQDTILDINYLGRPYKVTAIDYKHAILTVVPLVHDSSSSPCSFLKSIRPGKKDNNCYINDGQSAVLVSCSTEFALASVPDPADDYIAGPISCRSNQTHFNYLVAYEVPMSLLPLDCEVVSDGPIPIPYLHYYSSSLPFTFRESAERILNSSETTIWWNFDNCSNCEEQGRRCAFSTQRNQTFCMRPGSRVKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKAKVGQGGFGSVYRGELPNGVPVAIKMLENSKGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSQDSDTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGRDLELGREMTEEEKATMRQLAIVALWCIQWNPKS >KN541629.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541629.1:10764:11358:-1 gene:KN541629.1_FG003 transcript:KN541629.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANQCVPGRNNPWEGYEHEERQENVDRQGRWRGQDDDGGGGGGIEGDGDLSDSGLPRQRRALTRSLAAACANTDSSSVARILCLFGSRCSSSASSGDLLAKTEPIRKARGRAASSCSAACPSSPSRCSGSGGRCWCSLMAANGPNEKAIITRADQSRWMRKRRLSGVERPSDE >KN541629.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541629.1:5985:8377:1 gene:KN541629.1_FG004 transcript:KN541629.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLLSSLLAVVDPWRNPQYCPASSCGHLGNISYPFRLEGDSRQCVATPRPWYNLSCSNGRAAIQINKGTYYVTSINYTGEEFWVVDATLQDDDTNGSSCPLPRSDHLPNIDLPYINYWAPYFWESLTDSYGFVDLFVPYQRVRMSATECLKDSKRYFKQHTSRASIQDLTRAFFWSETYPEIECRSQGASRKDMVFLGTIVSVIDITKFHFVLFRLVLGSLVVFIFLTHKYWKTRITIDAVEKFLRMQQMIGPTRFAYTDIIAITAHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFAPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPDMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYKELTQRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGSDELQVPPRPFFCDDEQFPGVESYNMPSDLTAISEEHEDDDDDESICLFESYQ >KN541629.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541629.1:17887:20260:1 gene:KN541629.1_FG005 transcript:KN541629.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNASRPSATPCRKYYFSLQKALLVSSLLVVIAADVVGAEQNQQCLSSCGDLGNISYPFRLQGDSRQCVATPRPWYDLSCSSGNATIRINTGTYIVTSINYTGEVFSVVDATMQEDDTNSSCTLPRSDHLPFLYPRTDSDGFQNFGIASDKNWACFVTCSQAVVDLRLYKPITCLSANNSFVFVSTSGVCKVQYIQSSCRYLAMIPFDSRHILDSQLQNASYTDIKRFIRKGFLVQFPTDYYRNTGMSTTQCLNDSMRLLLVPLVVFTFLVHKYWKTRMTIDAVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLFEMAGGRRNADPNAPNSSQAYYPSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGSDDLQVPPRPFFCDDEQFPGVESYNMPSELTVISEDHEDDDDESICLFESYQ >KN541345.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541345.1:1462:6529:1 gene:KN541345.1_FG001 transcript:KN541345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRGSSLLSRCLLSRPAAAASPAVPSALRRADGTQGLLPGILQRFSTAAVAEEPISPPVQVNYTQLLIDGKFVDSASGKTFPTLDPRTGELIAHVAEGDAEDINRAVHAARKAFDEGPWPKMTAYERSRILLRFADLIEKHNDEIAALETWDNGKPYAQAANIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALFASKLLHEAGLPDGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKIVLELAARSNLKSVTLELGGKSPFIIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFKNGVEQGPQIDDEQFNKILRYIKYGVDSGANLVTGGDRLGDKGYYIQPTIFSDVQDNMRIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLNTANTLTRALRVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >KN541345.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541345.1:22301:28047:1 gene:KN541345.1_FG002 transcript:KN541345.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLGTETDGSILCPSSLNSVVGIKPTVGLTSRAVIKIATVVVNGDNYFFETGKSFAIYINRAGKKNPYVLSAAPCGSSTGSAIAAAANMAAATLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVQVLDAIVGYDSRDAKATRAASKYIPPGGYVQFLKPDGLKGKRIGIPNGFFNFPSGTVQQIVYQQLLDTVSSTVLHAFILREKERLKDFGQLIFLVAENTTGIGALERAMIHQLNKLSADGLEKLMKDEQLDAIITPNDSVSTVLAIGGMPAITVPAGYGKMGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKPPKFLRGTF >KN541345.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541345.1:13701:16088:1 gene:KN541345.1_FG003 transcript:KN541345.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPVLYVAFGSQADLSRTQLEEIALGLDQSGLDFLWVVRSKWFDSEDHFENRFGDKGKVYQGFIHQVGVLSHKSIKGFFSHCGWNSVLESISMGVPILAFPMAAEQKLNAKFVVDMLRVGLRVWPKKREDDMENGLVAREEVQVMARELIFGEEGKWASTRVSELAVLSKKAMEIGGSSYKKLEEMVHEISELTRDKNFLWVVRSKWFDSDDHFENRFGDKGKVYQGFIHQVGVLSHKSIKGFFSHCGWNSVLESISMGVPILAFPMAAEQKLNAKFVVDMLRVGLRVWPKKREDDMENGLVAREEVQVMARELIFGEEGKWASTRVSELAVLSKKAMEIGGSSYKKLEEMVHEVSELTRDKSV >KN541232.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541232.1:12571:14619:1 gene:KN541232.1_FG001 transcript:KN541232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESVVQKMVDSGIAPDLFTYSLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGYATATDGCLADVHNIFNLMLTKGIAPNNHVFNILINAYGSRGMIDKAMLILEDMQNKGVTPGIVTFVTVISALCRVGRLDDALHKFNHMVDIGVQPSKAVYHCLIQGCCNHGELVKAKELISEMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMLHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSMMITNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREGKCREHIKLLPAKYQFFEGAWQD >KN541232.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541232.1:27488:29097:1 gene:KN541232.1_FG002 transcript:KN541232.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTTMTKLVLVVVLLLHVSGVLAAAARTLPGEEWLMPEAGGVERSQNL >KN541232.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541232.1:23826:24032:1 gene:KN541232.1_FG003 transcript:KN541232.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTTVTKLVLVVVLLLHVSGVLAAAARTLPGEDWLPEAGGVVRAVVEMLVGSKSNGNGGGTCC >AMDW01027071.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027071.1:269:349:1 gene:AMDW01027071.1_FG001 transcript:AMDW01027071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFTFAEAYDFEDLESMIQEVARGFKTK >KN541232.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541232.1:17488:18351:1 gene:KN541232.1_FG004 transcript:KN541232.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAATAKLVLLLVVLLQFSGALTAAAARTLPGEEWLLPEGGGGVVRAVVGMLVGSK >KN538895.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538895.1:152172:155852:-1 gene:KN538895.1_FG001 transcript:KN538895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGESVAPLSPQTIGNAFVQQYYNVLHSSPGQVCKFYHDSSTLGRPDSNGTMTSVTTLTAINDEFLSMDFSSCLIKLENVDAQLSLNGGVLILVTGSIGHNGTMRHRFSQSFFLAPQESGGYFVLNDMLRYDSLQETLLTETNDSPQERLLTEINDSLPNHVDDNTHSVTFTSEPETSGNVNETADLELPSAENVNDNVENLPANDSSPKENVLVEACTEVVSSCAENIPAAAAAPAPRASTQKDVTKQSYASVVKVTKEGTPTPPVAKPKPKPKPKTTAKVTDNVEKAVSSPVKPTNAADTTSPNDKNVLVEQGYSVYVKHLPYECTVKDVEEKFRKFGAIRPGGIQVRHRQPDGFCFGFVEFESRQSMLAAIEASPISIGSKASIVEEKRTTTRVVNGVTHIENNGNARGGRFQQDNRGGGYRGDNFRGREAGFVNNGNYRDGDNMRNGFRNQNEYSGRGRGPQGNGYHQNGNGGGYHQNGNGYHQNGDGYHQNGNRYNQNGNRYHQNGDEYYQNGNGNGHRQNGTGYYHQNGNGYRQDRIFHNGNGNGRPARFNGPRQTPVQA >KN538895.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538895.1:119972:124785:1 gene:KN538895.1_FG002 transcript:KN538895.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRWSAVEGEIPPALACAGLQTLDLSYNQLNGSVPASLGALPGLHRLSLASNRLGGAIPDELGGAGCRSLQYLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGSVPAELGGCVELSVLVLSNPYTPIGGSNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPRNWSACQSLEMINLGENLFSGGIPNGLVECSHLKFLNLSSNKLTGAIDPSLTVPCMDVFDVSGNRFSGAMPVFEQKGCPSSQLPFDDLVSEYSSFFSYQALAGFRSSSFVLGTDLTSYHSFAQNNFTGPVKSLPLAADKLGMQGSYAFLADGNNIAGQLQPDLFSKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNQLSGLIPTSIGQLNYLISLDLSRNHLGGEIPTSVKNLPNLERLSLGHNFLNGTIPTEINQLHSLKVLDLSSNLLTGEIPGALADLRNLTALLLDNNKLTGKIPSAFAKSMSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSSADSQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGRSSRRREVITFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACLLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >KN538895.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538895.1:157658:159334:1 gene:KN538895.1_FG003 transcript:KN538895.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNPIQKRRLERIEADDDSDEGLGSPVAAGAAEDGNETKSEKRKKEKKRKKAKALEALEEKENKEREEMKKLESSLFGALYAPPQFGTEVEAVDPDKDAPSFFMDRSGGDGEDDLPVYDEGLSSEDEGDDMVGRERKPAWVDEEEVTEVDISKVARLRKLRKEGDERVISGKEYEARLRGHHPKLNRFAGWADLDRKAPLPGSSDNESDEEGGVDDILRNNDELVVKDNAKLLPDMLGFSRLVDANAQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKAAFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGRDEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTIKINGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSPDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAIRSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >KN538895.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538895.1:190305:193484:1 gene:KN538895.1_FG004 transcript:KN538895.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNSEYQLPRKFSCRVHSFFVEFGQRDLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKISSLTQYAEPLTSYLKSLGDNEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLKNGHSALDMFQQQMDTNGTLQRAQEFVYSNGKEQPPTAINIDKSLLKDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQGMCESNPPEKILRLKGSDHAPFFSKPQALHKTLVEIATMLPVKAS >KN538895.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538895.1:112538:115285:-1 gene:KN538895.1_FG005 transcript:KN538895.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGRVVNALRRTSVSSNPSLLQAHVGSSGGYNTTSAPHGNAGGYGSSGVSHGNAGDYRSSDVYNTTNTYGAGNFSHSGQSGGSFGGNNGGISGGRFGAAGSSNLRNSANFSHGGQSSDGGSNGGNSASNFGQGGGFGENSGGYNSGQFGAAGYNATSVPHGNAGDYRSSDVCNTTNTYGVSNFSHTGQSGGSFGENNGGISGGQFGAAGSSNLGNSANFSHGGQSSDGFSSGQFGATGGSNGGYPARNFGQGGAFGENSGGYSSGQFGAAGHSNGGNSASNFSNASFGANSGGFSSGQSGATGRNNGGNSASNFSLGGSFGANNGGISSGQFGAAGHSNGGNSTSNFSGGSGFGENSGGSSNGQYGAARGNNGSNFSHGGGFGANNGGYSGGQFGVAGGINDSNSTNNFSHGGFDANGGGGFSGGQFGAAGGNSSIKTAARGFGGSRGGGGGAMGYGGSQVQYNGQDDLLGDDFFDDKEDMYGSSRA >KN538895.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538895.1:161430:166200:-1 gene:KN538895.1_FG006 transcript:KN538895.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVLGGGGGGGGLGDEAPELERQMGCMAGIFQIFDRRRRLLAARRRRRPPPKMLPPGPGHTLPRSSSNVTAQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINEQLFVQRPLKSSPSLKDPVMDTRSGQSNIGFRDIVKDSINRDTGGLTVKTTVKDARRNGQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPANAVESSRRFPEQSRFSCDDRRLLRPVEAQENKKPSTRLKELPRLSLDSRKETLNSSSRQKNFSYRRTDDSLMDALRPQDSPGHRRASSVIAKLMGLEETPNATGVLTVDSYEPERSPRPAEDTQKEHPVPSPRRFCQDPRESLPKDESPAMKTKPSPRILTESAPWRQQEKIATSSKASQCRDAEVRPRTASLYAYIERRGGGLEFLECNKDFRALRILEALHAKDAKRQNDGNGALTVAAQQAGDALNTSSRHFQPPIVVMKPARSTEKQPGVSLASVDPLAGFRNLRKLQARDAPCIGEHETSTNEKVHSRISRTQSKSDEPASRASSPRPTGSSSPRTVQRKAESERRSRPPVSPKSPSKKSSEASSPGGRTRTKPSQGKNRRDNEVSNSPRSRIGMVKEVDISIMDFQKPLASTPSHKGTPSVLASDQKINSLENAPSPVSVLDTSYYHTRLSYSFKDGETHSSEECWNPNSLPDTPQSKTSSEVSQIKPENFEALIQKLEQLQSMNDEVANKKDHQYIYEILLASGLLHKELSFVAMPGQAWPSSCLINPELFLILEQTKPDFASADQTVTKSSKANTEKLHRRIVFDLVNEITAQKMNIHCSASQSAKSLQLRKYNGWRLFKDLCTEVDRLQSESSAIKCSEEDGDERMLLVEDPLNGIEDWSFDSESPSTVLEIERLIYKDLIDEVIWDEATGKMQGGQWNLKRQLSFSSTS >KN538895.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538895.1:103879:108950:1 gene:KN538895.1_FG007 transcript:KN538895.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQALYSSGSDSEVEDLPDAIASVNIIQITDGIISESLSKLNLDEDKIATKTTSSNVMVEGSFEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGL >KN538895.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538895.1:127995:129947:-1 gene:KN538895.1_FG008 transcript:KN538895.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVWCAASGGGVAAARPLVGGGGAVGTAKAPLQTSRPFNIAHRGSNGELPEETAAAYMRAIDEGADFIEADVTATKDGHLVCFHDTTLDATTDVADHPEFASRRRTLELKTLRSKQRYAFRDRSYNGGESSRIITFDEFIDIAAGAASRVVGIYPEIKNPVFVNRQSFAPTSLVYAAGLTDSPMVLLVDDTTVRTEDTSQSYDEVTSDEHLDYMREYIVGVGPWKDTMFPMRQYNFQMFPI >KN540945.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540945.1:14897:18557:1 gene:KN540945.1_FG001 transcript:KN540945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVECDLHMTSGEGEGCGSQLCPPVHHDHVRFDSEVTGNSYCSCRLAFYDFCNRTIVTNETKPVIEKATIEVYKALLPKTMVIADLGCSTGPNTMLFMSNVINMIAHHCSKLDEQDHVEHQFFLNDLPGNDFNQLFRSLEKIKNSTTTCDKGDIPPSYYISGLPKSYYSRHFPRHNVHLFHSSYCLHWRSQVPEGLEASGESLLNQDVYISSTSSPLVVKLFQEQFQKDFFFFLQLRHEELVNGGRMVLIFLGRKDEDVYKGDLNHMFGFVSKALESLVGKGLVSKEKLESFILPVYGPSVDEVKEIVAKSRMFDLDHIKLFEANWDPYDDSEGDVVLDSANSSLNIRNLIRSVLESMIASHFGGSILDALFQEFRSLVAQHLKREKTKFAVIVMSLKKIY >KN538895.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538895.1:134252:135808:-1 gene:KN538895.1_FG009 transcript:KN538895.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTGFQLRRSVVSQGSTSSCMPEQGVGVGAEAMDTSSSDNASCQNKPLLPTASSVGGGGGRPPANGSMKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSRVDGPNGRKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >KN540945.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540945.1:26974:27927:1 gene:KN540945.1_FG002 transcript:KN540945.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTIAGIFAANDEPSSPADQDGDGETVYTLTPASRLLVGDRATCNMAPFMRLLARPEVATMFFGLDAWLRDGDTGAATLYQAAHGGVPAWEMTKRDSSYNRALNEACAVDTSFVMDIACSVLDLPQAISEAPADGTVNFVAGNMFEYIPSANAVFLKYVLHCWGEEDCIKILQQCKKAIPARGDGGKVININAVLESEEPQDNTLKETQVLFDVYMMGIGGGEREEHEWKKIFFGSRIQ >AMDW01038871.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038871.1:52:850:1 gene:AMDW01038871.1_FG001 transcript:AMDW01038871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKDFIHDIGEKIEGAVGFGKPTADVSGVHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPISLIYDDIKSTYNDIKPGSIIPYLVRVVLLIDVPIIGRIKLPLEKSGEIPIPYKPDVDVEKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSVASAELTESATIEKQGITTMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKE >KN539000.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539000.1:111933:112268:1 gene:KN539000.1_FG001 transcript:KN539000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRCAEQPWPMEGQYNATGDGGGGRRRRRLHDVVGGEEGDGASDGRVTATTYNHEANAFSGSGGCCSLQSLEVDAGNGKKAVAANTMAAEAGAGDDKEVAVVYARESPR >KN539000.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539000.1:83037:93750:-1 gene:KN539000.1_FG002 transcript:KN539000.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSSPRSSHLISHRRSELAVEAKGRVVSKKPSGGSPVNKMSSGPAPAPVSFKKGDEVRVRTPVGRLGTTTLRLVMWLGAVVVSDADEDGHLEVIYNGNFPRDDPFRTVRVAVKDIRITFQLPADYMYDYNPPADNFDLVARIQLTILCTPLAFLSFVKIKNQGMQQTKHLAGLRMRRWRRQLLLLEARMESQQRWQQVHLTSSSSWADLMMTSVAAASDDGPDEGSTLEAGVPFGSSLAGVLEERVKSFQSIAKKCDGEGRVHVSKEINVKIPPGITSLQKRVMVALRCSIRMEVPLGQTKELVVSPDYIRYLVRIANSKMEANKKRMDGFLDLLQAKGLLGSSKGCATADNESLVAKRSSDSYNYDADNNCDNGFVESSLEASYLESQDPVLQNGAKHGFGNAKRHVLISLSFYPAFISPHGVILTQEEALPTLSGNTSHCLSTAALEITGEPIEKLFLWGQSACALTVGREHHILTFGGRGGPSEILNDIWVLERANNRWSKVDCSGDFFRPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTSSIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGQRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHGSVSNVDLEGHEENCNPSDNVKVVIDTATLGSAPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRVSQDNIHVLFPVGKTFHALITDKHLKVQPDDSCVFEELLPFSENKLVGASISLQKALEILLVCRGSILKDELAISRKASKTPQTIMRELVSALLDKKGLPGQLLEQLPTRWETLGDIIVLPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLVGNNGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDETLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >KN539000.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539000.1:33310:41746:-1 gene:KN539000.1_FG003 transcript:KN539000.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFKAPDYATWKKEAAACDKNNGNDKDGSIPVRSKYPRHPPPRFELAEGLDYKKIDKFMDERAISIAKTIANDIIIPDPAPEWMCHTFLDKHDELEPIFVKDSPRCFLRYFKNCGGYGMSWNLTITAQTLTCMVSFNALRCAKVVLEGRAPELFGMHANPNCVTKYGYFPLHEAAERFSVEMIKLLLRFGASANVRTVGDDVIEDLLPLHIAIENTCLHKYLEDNLSPSQNHLDYIYKLIHLLCLPEMEKHNLLEELWYYIEDGKLIQSAILLLAAQEQIRGGCSSKINGSSKKDGFGIISKRILRLSFALRWEKGSNGMAQKLREEKRALIDCTGLLVDVISQAGEPLSAYVQAHSEAPHVEVMEHVSTILKEYGFYPTAEVIDTMNLIWLIDANMAFTETANRHASEEKAGRKKVGRGWDPTYTRRNFFPYWRSVLRTLCPVKVYPVYARADANSGRDLEQIRIFQSNSMANRSTRTQNRMLDSVGRIPPFANNHQSKRSFSTCATGAFRLLKLLKFYS >KN539000.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539000.1:155238:155528:-1 gene:KN539000.1_FG004 transcript:KN539000.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKERSHVHLCLTDYRDGTYTYTVHHIDVAPFFLRSDDPDVPDPGAMEEAVLPPPATRLATRPETNGLEFHHLLRAADGGDMIVATDDQRRTLIF >KN539000.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539000.1:19524:30590:-1 gene:KN539000.1_FG005 transcript:KN539000.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIYRCSRQKVAWSATGCTLLISLLFFFSDSPHRILLNKKPLNFKTAPSRLLREISISSRHVQRVNNSSAEFVANLNDRNVEIVQHIEDSAHNIINATANVTSEWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSREGAPDVKIWLQRYSPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYVTANGTIRVLLRSFETIGRVCMADSADGGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNEVMTLEDTEGMEFSYPAVIQTMDDFIHITYTYNRTQIKLSEMTNKWSLLKEELTFPEGSVPFKTCHASTIVEKNMFLVAYFGGTQEGADDVKIWLQRYYNGSWHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKARFDAVSNQTCGFVSEVKFELKASSRDMIKHLTFSLVKKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPEVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >KN539000.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539000.1:158197:161659:1 gene:KN539000.1_FG006 transcript:KN539000.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEGLEAESGATALEHLGNGAEDVAVANEVLADGAPLAYQGDVHQGAERVLLLLILLVIGTEAISPSCSPAGTSLLLLHLRTKAQGGKNGTLLTPSCAWEEEAKGEKNGTLLTPSCAWEEEAKGEKNGTLLTPSSAREGDEGRFSRRAAPRFKGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSIRCSLLGEYKKLNAWIVKERIKCCVRSMAVELFNQHEEGYCLSRFGESNIWVAPTGHIRFRLVTRTKRTDELVCQNYLDLRNVIRQTVFRNYSMDQIPEDWRSLMSLMLHNPHEHGYLICNYAPLIPIENRILFYFRAYEHMRFVLAYTNDAAYRDILKKLPYQNRWFQITEGNYLLEASLKHKNYGVDDNPEKAHDPETFFKYYRHSNCHQLDRCFMIEEVGGYSAEQFELIFIVKYPLFLLLLQQELQRYNQLRCLKPHTLFFYGNIQDAEQSCAMIYHDQLDNPQATVGELMCTLEELYQGTDLTVALHRRITRHTDEYVCTFV >KN539000.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539000.1:149493:151885:1 gene:KN539000.1_FG007 transcript:KN539000.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDVVTYGIMVHGYCTLGELETAIKLLSEMAARGLELNPVAYTSVIALLCDKGQVSDAVRVVEDMVMHGVVLDAAVFTTVMSGFCRKGDLAAARNWFDEMQKRGLAADGVTYTALINGLCRAGELKEAERVLQEMEDKGLDVDAVTYTVLIDGYCKVGKMTEAFLVHNKMVQKRVTPNVVTYTALSDGLCKQGDVCAANELLHEMCNKGLELNIFTYNSLINGLCKAGNLEQAMRTMIDMDEAGLKPDVYTYTTIIGALCQFRELDRAHSLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKRLLEWMLEKNIHPNTTTYNSLMKQYCIEKNMKSTTEIYKGMLSQEVVPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFRLTASSYNALIRLLNKKKKFTEARRLFEKMRKERLTAEPDVYNFYIDLSFNEDNLESTLALCDELVEVTLVKSIADTDDDFAEEHISIMRFLEEMWEVLGYYRYVWDCSSWLLCNLQGLLLQAA >KN539000.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539000.1:120803:121288:-1 gene:KN539000.1_FG008 transcript:KN539000.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHRSSPRSSRPIIHRRSELAVDAKGRVVSKKPSGSGSPINKMSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLAAVDNTAPLPQGNVAAPRPNKAGKSLPRLKMQMLEKKQLRANSEALFAS >KN539000.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539000.1:77580:82373:1 gene:KN539000.1_FG009 transcript:KN539000.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRATSPDSGRGANGYGYSHQTKPAQTTPSYNHPQPPPPAEVRYTPPAMNPPVVPPVVAPPKPTPDTILGKPYDDVRSVYSLGKELGRGQFGVTYLCTEIASGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEVERCKWDVNDSKLVVTGCYFLGGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLLRIAKEMMVSMLSEHPWLRDGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGGMQQPMRLK >KN539000.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539000.1:43612:43824:1 gene:KN539000.1_FG010 transcript:KN539000.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCAMSNNRGACSGAFTIPDCAVMTRGMCRQNMILPPNWMIWTTLDVPAAESSGVSAGAGEGVAEEGR >KN539000.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539000.1:105590:106075:-1 gene:KN539000.1_FG011 transcript:KN539000.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPHSSRPIIHRRSELAVEAKGRAVSKKPSGSGSPINKMSFKKGDEVRVRTPVGRLGTTTLRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPTTAGKSLPRLKMFVLEKEQLQAKSEALLAL >KN539000.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539000.1:114848:119773:1 gene:KN539000.1_FG012 transcript:KN539000.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGSKGNRDWSDCDDAFREEAGRAIVALTEGEQGHEHGLSLATALVGRYPQSPLARAILARCYLQRNSRQQERVQLELAAVLAPRCPHIASLLIDALISMDLFDEAAEVRDRALRVAEPTDPALHYTFVSNRYSSVDHHDNPFDLEYRKAHGRETIRGQRARIEKGKGLAAASPEPASTPEWPPETVDLGIAGDRWSRISEEERQALLKVSFGEMKFYCRSRGLMDMTSMLSDAEVFVKKGWSSYWICPFCSGMIYVEFAAFKSHINEEHIVGKEFLSLVPERISDRRTKILREVKEIIFELIDLEVVSLNLLYIMHKFIMNRVRPVAPLVVSMCGSCGIGQLSSTHLQELCELLKPLKLVVQTQRGWEHQKHHNDEQESQQDSLVVHTHRGCNHHKRRNGEQESQQDSLVGITWSQETGTLSFDCEKIASRETDGSSQADRLFACLLSEPLLEDPMELCFSMWRECFVDGPDILNNISRELGKAKLKFSSWEELKGIQGGVYFLPKAIFERDIDIKTYFDSWIGSAQVEMLLIDAEVDYWKESLLKTCQVDCLAVISPIAKACLWAKLVNDPLEDALLAHPQNCHKPQVPLDAILRSLWHIRRFCDDLWEIPCISPDVKARVYRAILLHIFRSWDQCKTCDLPSSAIFMVDSLRSFVIDEKAGNISAYRVVESILERLHVAQTPLHFEFKGESLVPQTAIVPSLLGCICLAHNLFGLHIIEKKCNCVNEVPMKTKSTFFHSINLGSVEGTTLESFSELLKAVDKQSVCDFRNGGFLRWPDNKGNHINMHKVLISLAAELDISHIYEGLQSESMYTLVSAHAESWKGLIDRYSQANLRPEILFFEHGRKRDHRL >KN539000.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539000.1:130530:131339:-1 gene:KN539000.1_FG013 transcript:KN539000.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEIQTEDIDLPLTRIRPVKKVALVVLTGERGLCGSFNNNVLKKAETRIEELKQLGLEYTVVSVGKKGNAYFIRRPFIPTERTLEVNGIPTVKDSQSICDLVYSLFVSEAVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDINGVCVDATEDELFRLTTKEGKLTVEREKVKIETQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIELRKNLSMVYNRQRQAKITGEILEIVAGADALA >KN539000.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539000.1:132276:141021:-1 gene:KN539000.1_FG014 transcript:KN539000.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLLELRQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKSFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSIWPGTTIHKQPKYGSLKDNKLKVFSANPNKTCSFYRSWAPNTLNERMLSLVFGLTNQSNKPKALKSSCKFEFLEHGALTAWMIYMIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDASDSPNDKINFFFEASHRSNMDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAFSVPRGPTEVCSAILETETSNSTVYPSLPSPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPIFVQKILNSGDISLIVGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVESNSHPDIDENNHQLDIDEHTSQPGSGGNKIHGPTLSKESTIYPPRMANPISDSSMEPDTVQQASLGGNPANGDLDRDPCGSDNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVAALHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETSAAAAVVP >KN539000.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539000.1:96018:98954:-1 gene:KN539000.1_FG015 transcript:KN539000.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVDAKGKKPSGGSPVNKMSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDAHSSASSSSMALPRRSSPRSSRPIIHRRSELAVEPKGRVVSKKPSGSGSPINKMSFKKGDEVRVRTPVGRLGTTTLRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPTTAGKSLPRLKMFVLEKEQLRANSEALLAL >KN539000.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539000.1:46944:52061:-1 gene:KN539000.1_FG016 transcript:KN539000.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFKAPDYATWEKEAAACDKGNGNGKDGSITVRNEYPWHPPPHFELTEGLDLEKIDEFMFERNISIAKTIANDIIIPDPAPKWMCYTFLDKYDQLEPIFIKDSACCFLRLFKNWKGYTMSWNLTITAQTLTCMVSFNSLRCAKVVLEGRAPELQGMHANPNCVTKYGYFPLHEAAERFSIEMIKLLLRHGASANVRTVGDDVIDGLLPLHIAIENTCLHKYLEDNLSPGQDHLDYIYKLFHLLCLPEMKIFLDTTRLLAGKTNNLLEELWNYIENGKLIQTAILLLAAQEQIRGGCSSKINGSRKKNGRIQRYAFLAPHVEVLEHVSTILKEYGFCPTEEVMDTINLQPYNCKMSETKSCSEGWEKGSRQRMGSHIYNYTRRNFFPYWRSILRTRCPVKVYPTYARADARSGRDLEQIRAFESNSSMAKNRILGSVGRIPSLLASNHQSKRSFSTAATGAFQALEAVEVKHEYKRFYS >KN539000.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539000.1:109453:110349:1 gene:KN539000.1_FG017 transcript:KN539000.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSALACAVDLAKDTGDWICWMCPDPQCMMVFLTAECFRSHVADEFFPDLQRSPPLVPERISEEQEAELIGSISCAVKLAPSDDDDDDESERFLSKIKSTLQRIKDRKALSVDLLDNLVEFTNRWMIEEETAATAAADPPQNPICSIAKLHPVALHVLALTLDTIMPGFFERSTMPGLSAGDDDAKLQDSCDHFDYVSVVGEDFVPSIVVEEDALRIITDGSSSNQDDLFRWLSRPRRQDPVTSWSNMRQACLDNGARVLEKLIASAAALVEKIKLKRGLIEMNTHESYFTKKVHFTVP >KN539000.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539000.1:167723:168178:1 gene:KN539000.1_FG018 transcript:KN539000.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVDAKGKKPSGGSPVNKMSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADEDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPPTAGKSLPRLKMQMLEKEQLRANSEALLAL >KN539000.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539000.1:66885:67544:-1 gene:KN539000.1_FG019 transcript:KN539000.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATISSTTRKLLPIASADKTLLSLNAECVAAPTLQDALATNGSSPAKDTETAPAPDIAEFDSVQVLIDIAGDTQVPIPPDDALEVVPIPVAADMLGEGRQRSLRLARQPMAGLPMSLRAQLNLCRRLGTVPTEGVLTDKALADFKAMFNSPLPQDAIDALVQLVGFDKEDAKAADMALANYLGPSDNGCQEEIAAA >KN538739.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538739.1:105839:106690:1 gene:KN538739.1_FG041 transcript:KN538739.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEGNNGVSGGAVSFSEEQEALVLKSWAIMKKDSANIGLRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHFKYGVGDAHFEVTRFALLETIKEAVPADMWSPAMKSAWSEAYNQLVAAIKQEMKPAE >KN538739.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538739.1:69183:74899:-1 gene:KN538739.1_FG042 transcript:KN538739.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAESRKRQRRTAKEKPGAREGATEWKPEDVEKAAAAAEGVAELDEIDREMSRPELRKRQRRTAKEKPGAREGATEWKPEDVERAAAQEPEGAELDSGLSPADSRGKRQRGVEKVKRRTRNKTAKERPKETTEKSAAQVPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAAARKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQPDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSVAYSTFANLPAGCGAMSTGLCLGFAFSGINLETRWAVDINKYACASLKHNHPYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDAEDVNEPLPEDIFDVEELLEICYGDPNNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYAMSRLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKVGNDQPKDVIEYNVAPKTEFQRYIRNNRKDIQDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGGRAVLKLPESFIYADQETVVKSSAGTPGSEIADSEQLFE >KN538739.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538739.1:37250:38125:1 gene:KN538739.1_FG043 transcript:KN538739.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPIPRGRGPWAVGTAGVRDKGDPGTQPWTRWPGSLALPCGQDRWTMSNGPVTTDEEESIRTRTRLSAAQSNGPVTTDEEESIRTHTRLSAAQVKLLVHTTAINRGNDEQEVKRFFLPILICHHPHHDPGGIMAYGIALPHSASASGLIIGDEHR >KN538739.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538739.1:4703:11403:-1 gene:KN538739.1_FG045 transcript:KN538739.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSELLFFLPFILLALLTFYTTTVAKCHGGHWWRGVTMTSLSMFCLSRAAILGVIERKMEERVEKLSKEDASVEQDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLGIARRQRLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIKDVHYKGYDIPSGWKILPVLAAVHLDSSLYEDPQRFNPWRWKSSGSSGGLAQSSSFMPYGGGTRLCAGSELAKLEMAVFLHHLVLNFRWELAEPDQAFVFPFVDFPKGLPIRVHRIAQDDEQE >KN538739.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538739.1:133787:147652:-1 gene:KN538739.1_FG048 transcript:KN538739.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMHMKWFSFLEWPSATFASSFIKTQSSLAQYYLLMFVKIIELCKVQALCSQPSCFPLAPPPSRQAISYLPQRGEKSNLSKYKLTNQSAHRFVVQLKGKETRAEPEVSSVSKASGGSSEHINKLPPPVPVQEEEEEEEEEEEEEAPEWLDVLLRTKFWGQCKQHWDASRAEVCIFCLRCRQVLCPRCSHDEPGHRLLKVRRYMYRSVVLARDLQDLNVDVSRVQTYIVNGQKGVHLRPMRRSPQFKPHVGVDISQDDFSGPEAERRHKQTLGIVVESSPQQSIPQPFDASPVRDEDATMVEAECGQVQTNATESESSAVGDADEHPIGGKNMLAGGIDKETSLAWMLCGNELFIWSYLASVAKDCLVLEVPSSLMGNKEEKSLCGNQWAVCIVRWGSSGASTRSSGDILHRRSSTGFILCNKRTQAIAYWSDIYAESSDATSGNCRINSLIAVAVPGGINECIVIASQPTGTLWMFWCSPAAVRRREIHKGTLGVYNADHSQKNSGGRSLAWLPSKASSKAAERTFFLLTSHELQCWSISFGHDINCKKIGSQEIVGSDGDMGIKKDIAGQKNIWLLDMQIDDHGKEIIILVATFCKDRVSGSNYTQYSLLTMLYRPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATIAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALTGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAAGAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLTEKQQKHKKFLQFLVLSKCHVELSSKQSIYHPSYDINRTHWFFVIQNSIMNADDNFDGTAMLTVMEHGEKLSGMIQLRELQNALSHKRSSINLSPQSKNQTTGALWNLIQLVGEQSRRNTVLLMDRDNAEVFYSRVSDIEDLFNCISHQLQYIITGEENPSVQMQRALELSNACMTLVQAALRYREEHKDWYPSPEGLITWNSQPVVRSGIWRVASFVMELLREPGAADMSMKSNLWSQLERLTDILLDAYIGLLTAKFERGDEHGVLVQEYCDRRDELLGSLYDLAKQIVDAKYQSPISNIEIRAQLSQGLMPRGSTGFAGKLSCLALANCDGVMETTEVTDNLELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLVNRRQHAKLLRLGEEFPEELANFLKERDDLLWLHEICLNRFSSASKTLHTLVSPEEDANLTSNRKSLSFVERRRFLYLSKIAAAAGKDVDYEVKVAHIDADTRILNLQEEIVQHDPEYAQDKYTTKPFRPLELIEMCLKGDRELSLKAFEVFAWTRASFRSSNKGLLEACWMNAADQDDWVSLQEESSGGWSDEVIQESLQGTVLFNASRLCYSPDAVVYDGSFEDVLPVKKEDVHLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTVSTAEPVDMDS >KN538739.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538739.1:65223:67308:-1 gene:KN538739.1_FG049 transcript:KN538739.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNNVDHGGGWHAQYSPGQARPSSEMSGSHGAGPLPPPSPGMALGFSKSSFTYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGLHIFPALFPAIVTRHSEGRAGDGLADEARHRARLSQGPRVPSRRFLQGQILSVRPSNDLICCIVVVTYGGHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEGGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGMKPGQSMMFSTGSEYDSGNYASDIDRLRKVAFESSIEDSSEYGTHSSADSGEPPMRQQHR >KN538739.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538739.1:39437:42881:1 gene:KN538739.1_FG050 transcript:KN538739.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWLDAAVISGLLQAFLFHLASHSFVPSYRTFSSFRNASQSFIGVNYGTIADNLPPPASTANLLKSTSIGKVRLYEPQPDLVAALAGSNISILLGVPNGDVPNLASSPAAASAWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSPTKARISSQHLYISTVHSMAVLSSSDPPSSGAFHADLAGSLDPVLDFLKQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAGSGLTYTNMFDAQLDAIRAALDAKGYSGVDIVIAETGWPYKGDADEGGATVDNAKAYNGNLVAHLKSQVGTPRTPGKSVDTYLFALYDEDLKGGPESERSFGLYRTDLTANYDIGLAAAPGTAAPATVTPVTVQNTPQPSRGMTPTGYCVTAAGVPGTTQGQQVPQTSSCYIPAGAVSRRADAAVRRLVWLGVLLCLVTLVRK >KN538739.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538739.1:92274:96239:-1 gene:KN538739.1_FG051 transcript:KN538739.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGENDARAPLLAGRRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEREYYEKQFATLRSFEEVDSIEESIVMSEEDDIAEQKQSEFAMKISNYANMILLALKIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >KN538739.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538739.1:113511:132653:-1 gene:KN538739.1_FG052 transcript:KN538739.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRFSVREGDPSKGEHDITFVLDRIFEGACRPFKVRVEQVLQSQPSLIISYKLSNTLEFYGYTISDLLGGDAALCNTIWSLRDATQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELINTYNSMMVPASGKRPNFDPVISAILDPIIQMCEQAAEAQKSKGTLARRGRTSSDPTGNNRDSISVDAILSKNSSTSFLSGESSSKIYLINCLSAIQEPLMGQDVATSYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMPYIKDYSSTGSKDDARPLADIVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQKTTSNVPTNKVKLAVRLLICRLLSLWPLIVLSKLLFALIWRMDQLEWLTAARKENLKFGRLEISAANQGQPDKLHRRTGQVAQRVLRVELERLCTVVPWRYSAGPTDELPVQYRMGGCTVQLGCDDASGTAWLGGTRGLGLLVSNVTPRAIVLTLPPNCSRPLNESLDALFTDNYAPTAQNALVVSSCDPQAAARLSNCSIPPEAYLEKSCNSIRCVLPSTKANVDGTNVTDPFLNKSEMQRLGSDCRGLVSASIYSNTAGPALQLTALELDWWGGCGCSSHAICDGFTPPTTQKEAFRCQCQEGFEGDGYTAGAGCRRVPKCNPSKYLSGSCGKLVQIGLLVAGVFFGAMVMGITCLVYHLLRRRSAALRSQQSTKRLLSEASCTVPFYTYREIDRATNGFAEDQRLGTGAYGTVYAGRLSNNRLVAVKRIKQRDNAGLDRVMNEVKLVSSVSHRNLVRLLGCCIEHGQQILVYEFMPNGTLAQHLQRERGPAVPWTVRLRIAVETAKAIAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRVGSEVNLAQLAVDRIGKGSLDDIVDPYLDPHRDAWTLTSIHKVAELAFRCLAFHSEMRPSMAEVADELEQIQVSGWAPSTDDATFMSTTSSLCSSAPSRCTDKSWGTAKSKRQAAANAVGQDKYFESRAERYGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVAKVEKRDVFTGTFMPSTSLTGGYRVCAYLDPSEPNHAKIKQLLLSLLVSRKDAFVPVFRSNFGALLDTVELQLASGGGKSDFTALNDATSFEFIGEAYFGVRPSASSSLGTGGPTKAALWLLWQLAPLTTLGLPMIIEDPLLHTLPLPPFLISSDYKALYAYFAAAASQALDAAEGLGLSREEACHNLLFATVFNSYGGFKLLLPQILAHVAQAGEKLHERLAAEIRSAVADAGGNVTLAALEKMELTRFQYGRAKADLEIESHDASFAIKKGEMLFGYQPCATRDPRVFGATAREFVGDRFVGEEGRKLLQYVYWSNGRETENPSVDNKQCPGKNLVVLVGRLLLVELFLRYDTFTAEAGKKVVITGVTKASTSVVNRTA >KN538739.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538739.1:210671:213004:-1 gene:KN538739.1_FG053 transcript:KN538739.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding VCSALLALIHLMLTVKLFEKFGPGSLASTRGGVAEACSVVRRVGSKLDPADGSCLPLDIICLHLKKAALDRLSSGEGLVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGIRDKIISLANCLRLIDDLAKGIVELRDDLEGRIASTNRQLISLEAVSCGSRPTDGFRQERWESRSVQNLDQINWKDEWV >KN538739.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538739.1:148634:150046:1 gene:KN538739.1_FG054 transcript:KN538739.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRPRLASLTRRLSSCRDGDLATLLLVLRSPPASSTSLPRALSSAFPSPSDSFPLGKLPSLLPLLPSPLLSLRFLLWRLPPSSPLPSSYALSSLAASLPDLSSAVPLLLSSSPQPLPLRHYALLLGISAHAGLFPASLALLRHMRSFGLAPDAACFRSALRSAVSPGDVCAVLGIMSACGVSPSVPLVVTSVHKLATAGDFVGARQLIEKMPEFGCVTNVAVYTALLDGMCSFGDVDAALGLVEEMEGGSLGAGCVPNVVSYTCLVKCLCGKKRMGEALSLLDRMTGRGVMPNQVFVRTLVGGFCSEEMVVDAYAVVERVVSDGSVSSDQCYNVLLICLWRVGMDGEAEGLVQRMMKKGVRLSPLAASVMVRELCNRNRLLDACYWIGVMEENGVLCDTDVYNGLLLRLCVEGHVGEALALAKKVAERGILIEASCADRLMDLLKQYGDEELAPKISELRRCSEVLSH >KN538739.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538739.1:151506:155308:1 gene:KN538739.1_FG055 transcript:KN538739.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLASVPTRPRSHPFRRRRGSAAAAPPLLPDQIAAAAAAKRPAESSTSASSCFHSEVISATSTTSLAAAQRPEKRPRYQDADEEQPAASECSEIIGGARPRAAEVEVSESSCLASVLESYLACPEQLANDAETTAYSSAREDLTLSETEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDDEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVNWIMENSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMESAIRSELP >KN538739.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538739.1:78998:85365:-1 gene:KN538739.1_FG056 transcript:KN538739.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAAETAVASASGSGIWSRRRDEITLDRLQKFWNGLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFMQIVMYGKTLQRDASDINRLNTTGETRIRQGEQEDPSVHPWGGLVATKDGILTLLDCFVNAKSLRVLQNVFDNARAREREREMLYPDACGGSGRGWISQRLASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRLSLLRMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTDFQCEVFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFGFEDVGMNVQVHRDGINLDQFEDYFITLRAWKLDGTYTELCVKAHALKGQSCVHHRLVVGNGFVTITKGESIRSFFEHAEEAEEDEEDAMDRDGNDLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVCLALELLEERVHVACKEIITLEKQNKLLEEEEKEKQDEQERRMRRRTKEREKKHRRKERLKEKERDKGKQILGLKSSDDNSCSTLRNSTSTNDESTNTPDSRDSASEEEDNSTVVDLCSPDTFVDQTACREISVQNNMNYCNTLTEFARTNSSDLFTSGQSKSSRWNLRLRKDFPQDQSSCCYDECGDENGSIGDFQWQSKERTRHSARSCNSVFTTNNRTRDRHNYISFSCDPRDDYVINDSCSSSSTGSGRETKMARKTGVERPRVQYRRCYPLDNFIVSKESRTGNTQQKNVAPKQVWEPMDSQKKNFLDNKNNGSEAVCNVDPTKLVEQDSSECPNFDAGHEPLSQSSERSRDICKSETDQPCENNEKNQATSCGGTIMVDKQDCYSTKDEGSGHDEELMMNSASSDGLSSCTSEADRESSTSSVTSLSAQHQESSSSDSEESPERVNSIEEAPSTKTVSRSLLEACAGKGFREYQPKAMHRPHNDRLGFNIPPFQDQLLHHQSMHVPTHSSATMGLHNHPWAAPASGYMQYAQPSHFYSNPLGFGVPGKQSPDFPVQYSNVHHFPAPAFSYAPPEPIRKTTPSFRVMHTSPPYRNGLHQSQTVGHPHGDPTLERHPSQPKPLDLKDAPGENKSSPEGNASFSLFQFNLPIAPPAPPSSKDDKSGESATRTPLAQVQVQPCSREQTDVKEYNLFCSKNGSMFSFISR >KN538739.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538739.1:43899:51045:-1 gene:KN538739.1_FG057 transcript:KN538739.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRASTAPRSLLFRAAARRAPLPVAVSRKGFSEQSVFPITDMIENFQGPSMENTPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILGKCFLILFVTSVLVYYVWLLLLIKLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDINAVKLITKFGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKVAIVASYIYENN >KN538739.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538739.1:101963:104379:-1 gene:KN538739.1_FG058 transcript:KN538739.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGGEEEETYAPRAASRSRSRRPTPATQYNAGPRKPRGPNAPRSGGLAPKVLSIDVPAISMGELNNITGHFGQSALIGEGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALRPLLSKPAGA >KN538739.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538739.1:54265:61046:-1 gene:KN538739.1_FG060 transcript:KN538739.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSKFALVQFHGLALLHQIRQNDRLAVSKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQVVLLDIVKNADAMILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEVAVGNTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKSSEPVELTEAETEYAIKVVKHIYSSHVVLQYNCTNTIPEQLLENVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEYVPATGKFSNVLKFVVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEVVPKNARSHTCLLSGVFIGDAKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >KN540792.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540792.1:5317:6838:-1 gene:KN540792.1_FG001 transcript:KN540792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPEPIKKHCGSLSPVLQHVLFYEVAGLPPFDSVVRSLRSSLGATLATFAPLAGKLVYLEDTGDVAIACSAADGVKFVAAESDADVRRLTGDELQDLVTFQKLVPELDMSKLPTSVLGSGWHRRRRTRALHGLIEINNNYINFR >AMDW01033246.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033246.1:167:352:-1 gene:AMDW01033246.1_FG001 transcript:AMDW01033246.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTEATANGMPVELGGSGSRKRIRINGESSTQNNASDTRQWSKAEFSTRIQQIILELQGALGA >KN540792.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540792.1:30802:36121:1 gene:KN540792.1_FG002 transcript:KN540792.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSPPPPDLFELHGGRIRAWITNVGATVTSLLVPDNNGVLGDVVLGFDSLDPYQEKCSTFNDACLNNLKLVTSTSNYGWSVWGEMYCEYWTVVFSLGVPNGTSPYFGCIVGRVANRIKDGKFTLNDKQYSLAINNPPNTLHGGFKGFDKIIWEVAEYVKGENPSITFKYYSKDGEEGFPGDVSVTARYSVLASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHTVQILGSQITPVDETSIPTGEMMPVSGSPFNFLTETTIGSRIDQVPGGYDHNFVIDCGEVKSGLCHVAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGENSCRPFCFVLSTSLMLQWLYSFQILLHVTICYSEFTRISRYKEISCRTCRQDSFEDEVKDIVGMETDGGLWDGTEYGGGVGENNHMNPRNSRVFLVTMSLTHTSVGHVATTTSRLP >KN540792.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540792.1:13241:20010:1 gene:KN540792.1_FG003 transcript:KN540792.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEQRLRAADLDAKAASLRRSIHDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQLKTSAATCFGSLPPFRIAADLRFPAISAMTTNMRPNDTFNTISHKLSKRQLISAFFLLNCDNQDPNKSLKVSGCGIENYSRHNLENSWKIYEGEGKILPRFRCCVSIHGTVLIKQVYGTIWRVHHVVALQREITLQEENAAYEKAISNCRQKIQEKQMEVTLLWSNLKEMEISEQDLKAQLDNAQNEQYASQNKASAAASDNTGNALMEAESLINLKSNDLKEKNEELAQAERLIVDVHAKEKELERLNNLHRNLQSRNNDGSVARNPFRAMHEDSDAKAVRRPYQFGIRTEGLKSSKNVYPHTKGLCFYYQTYNYLQDV >AMDW01038031.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038031.1:3:743:1 gene:AMDW01038031.1_FG001 transcript:AMDW01038031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VASWHIKLVPVPPTVTVFDVVRTPERGAIDVLTKWQEIAPRLPDDIMVRVIAEPRRVTFEAMYLGTCDELLPLMHHRFPDLAMTRADCNEMTWIESIPYIHLGSNATVADILNRSSISRVNTKNRSDYVRHPIPKSIWKKIFAKLQQLTNFGEVQLFIDPYGAKISRIHESATPFPHREGVLYNIQYITYWNGDANGTLALKWSRDLYKFMEPYVSKNPREAYANYRDLDLGRNKVVNGISSYHHGK >KN540013.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540013.1:481:3000:1 gene:KN540013.1_FG001 transcript:KN540013.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKTR >AMDW01023621.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023621.1:70:270:-1 gene:AMDW01023621.1_FG001 transcript:AMDW01023621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIGTLALLAVVCAVIVVLRPAHLVFAARVIGVSGGGGEKGIRINIIANNTSKHARVQYRSMKTE >KN540013.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540013.1:49321:52033:-1 gene:KN540013.1_FG002 transcript:KN540013.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEVVAPSDEAWRQDLETAPAQNGSTTNVGSPSRSPSAVVSSHGDLVANIVMLPDTLSRCSTMGGSFRACYWRTQMDLLLINVARESFCTMGMYELRPLLAGDPTLSDFQLALLKSLLASFLSSPDNCPYLERGLELFNRGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLKRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPTDAFVEDNTAEKHEVKEMSRDQLVQKDIVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >KN540013.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540013.1:42617:44050:-1 gene:KN540013.1_FG003 transcript:KN540013.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRVVLFPSLGVGHLAPMLELAAVCIRHGLAVTVAVPDPATTAPAFSAALRKYASRLPSLSVHPLPPPPPPPASSGAGAAAHPLLRMLAVLRAHAPALGNLLRGPHAAQALVADMFSVYALDVAAELGVPGYLLFCTGATNLAVFLRLPQFCAGSSGSLRELGDAVVSFPGVRPLPASHLPEEVLDRGTDISAAMLDAFDRMADARGILVNTFEALEGPGVAALRDGRCLSNRATPPVYCVGPLITDGGAEEERHPCLAWLDAQPERSVLFLCFGSRGALSPEQVSEMATGLERSGQRFLWALRAPAGTEPDAAVSLLPDGFLARTADRGVVVTASWVPQVAVLQHASTGAFVTHCGWNSTLEAVAAGVPMVCWPLDAEQWMNKVFIVEEMKIGIEVRGYKPGALVQADIVDAILRRIMESDARQGVLERVMAAKESAAAAWKEGGSSCTAFAEFLKDMEEGNVAMAHSNQVET >KN540013.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540013.1:13382:16636:-1 gene:KN540013.1_FG004 transcript:KN540013.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKASTPAGGAVRDGLRRLTQLSLVSKVCSELEAHLGVGDRVLAEFIVDLGRASPSVAEFDAKLKAHGADLPDYLARTLHTVIHAIPTHADDAPAPAPQNPASRGTGARVCGKDKAEERVGDGDPGLYQVCRGKVTGLAEAGCFVRLDDARGREGLVHVSEMPGRRIAVKRGQEVFVKIVSVQGRNLGLSMRDVDQDTGKDLLPLQRARGEDDVPRPMANPSAGRAAATGIRTGVSGIVIPEDNQTGTALSRRPIRRMSSPERWEMKQLIASGVLNAKDYPAFDDEDSEGMNYQEEGVEEELEIELNEDEPAFLRGQGRSTIDVSPVRISTNPDGSLSRAAVLQSALIKERRDIRNEEQRALVDSIPKDLNRPWEDPVPEVGGRYLAQELRGVGLSAESMPEWKKEAYGKTVTFGQTSRLPILEQRQSLPIFRLKNELIEAVRDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDHTGPDTVIKYMTDGMLLREILLDTDLSSYSVVMLDEAHERTIYTDILFALLKKLIRRRTDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTYPVEILYSKQPESDYMHAALLTVSQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKSLGRNVPELLIYAVYSAQPAEMQSKIFEPTPPGKRKVVVATNIAEASITIDGIYYVIDPGFAKLNVYNPKQGLDSLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRINLGETVLNMKAMGINDLLSFDFMDPPAPQSLITAMEQLYNLGALDEEGLLTRVGRRMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKKGNFFQPEGDHLTLLTVYQAWKAKQFSGPWCYENFVQLTSLRRAQDVRKQLLEIMDKYKLNVVSAGNDLTKVRKAITAGFFFHAARKDPQGGYRTIADHQQVYIHPASALFQQQPEWVIYHEVVMTTKEYMREVTAIDPRWLVELAPRFYRSADPTKISKRKRQERIEPLYDRYNEPNSWRLSKRRG >KN540013.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540013.1:57115:57540:-1 gene:KN540013.1_FG005 transcript:KN540013.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIAAVPNISEGLAKISKKMMDLAAQLQVLAAQSAEQAMPLGAAERSDRRAMTFLRPRQQDFKRRLRSRMRHMQQPKVASTAPPLPKPPPRGLRPSCWACRWRCHRCWHLQPRWHGFRIATFNSGGGENDDFCYRGVTV >KN544345.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544345.1:495:2765:1 gene:KN544345.1_FG001 transcript:KN544345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSDIFLNEKLRYTWRSFLGFFSATDTLETERGAISWFYGRSRSRSYYLNNLIVVVIWLLHLFVFATIICPLAVLYMFGLIITTAISACRLIQHDYGGEVNNGGANLKSALKFVYSIALLQGVLFCYRFTLCYEQKRLVDLVVNKYRFVASLSESVMAYMQETMIGCEKDPSFVKGRNLVTYAVGLTKSGSPDDFLSGVRILDSLLNTPMYKYNFFLEVEVEVEEQKAMVKQLLLSASYSQDGGLHTLLQSLDCMRADNAEARERAARIVAHLAGDLHLEQFPQGIHCIASLLEGPPKDYDGNIPVNYRELMLQGLSILMKLAAHEDCQRSINKTEGLLAKIMAPLQSGLLNHNDDPNSAWYRTVRASMVVILWLVDAPGQTGKELRCKISGDVEAMASMERILGCQGCGLGSFHDSSLFMQALDIYTRMHEHTLSNIATREYFIEKLLLIFTHQVHKEANIIFGLAVVGEKLATLCSYGKANAKIILQVKDDVVGDLTKILVQDRYPKEYRISAAKILEQLCVHHTDDDEYIQSLKEALKVKSPEVLVRTLRAESADRQMLAAVLSLIVTMTRNLMDAEDLPPLIDAINREANGFSILAELQSMVTKLSMKSKVTIVNRLNELKLITEIFILIVRHGGRYTVEEAENLMKSLSKAARNMSEIEDIMVISGCSSSLKTLGSLVKEAEELLPRARASEMEVIIEHPSCVNRNQIN >KN545556.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545556.1:819:1089:1 gene:KN545556.1_FG001 transcript:KN545556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTVLALVSWLMLLALFITTATASLRWQLESHAPLLLAC >KN548991.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548991.1:266:436:1 gene:KN548991.1_FG001 transcript:KN548991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVQEGIVREMNGGFEVMGGYDRSSMAPKQQQREEESKWYEEEIDDDLKLCYALN >AMDW01018689.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018689.1:38:280:-1 gene:AMDW01018689.1_FG001 transcript:AMDW01018689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFGYGLSYSKFSRRLVAAAKPRRPNRNLLAGVIPKPAGDGGESYHVEEIGEDGCERLKFAATVEVHNHGPMDGKHSVLVFV >KN540332.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540332.1:13238:14648:1 gene:KN540332.1_FG001 transcript:KN540332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVILTLLILQSNPKLYFTKASRMISDDINIPFDQPCGLGKIAKLINAGKIDSSELITMKTLKVSRTTARAKAAVEAAGGTVRLVYYNNLGFRALLKPEWFAKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKREAARVIA >KN543545.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543545.1:4500:6994:-1 gene:KN543545.1_FG001 transcript:KN543545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLIYFNVQDNQLEGHVPLTIFNISSIRFFGLGFNRLSGSLPLDVGVKLPRINRFNTLFNSFEGILPPTFSNASALELLLLHGNKYHGLIPREIGIHGNLKVLMLGDNALQATKSSDWEFFTSLTNCSNLELLDIGHNNLVGAMPINIANLSKEISGIDLSANQIIGTIPTDLSKLNKLVSLNLNHNLFTGTLPLDIGKLSRINSIYLSYNRIEGQIPQSLGNITQLIFLSVSNNLLDGSIPISLGNLTKLQYMDLSGNALMGQIPQDILVIPSLTRLLNLSNNVLTGSIPSQIGHLNSLIKMDLSMNKLSGEIPKTIGSCVQMSSLNLQGNLLQGQIPESMNSLRSLEILDLSNNNLAGPIPLFLANFTLLTNLNLSFNKLSGPVPSSGIFPNTTVVSLSGNRMLCGGPPYLKFPSCLSKDSDQAAVHRLHVLLFCIVGTLIFSVCCMTAYCFIKTRMKPNGIDNENIFLSEMNERISYVELQAATESFSPANLIGSGSFGNVYVGNLIIDQILVPVAIKVLNLSQRGASGSFLTECDALRRTRHRKLVKVITVCSGSDQNGNEFKALVLEFICNGSLDEWLHANTTTISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDLVAHVTDFEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFNYGTTSLVDYVKAAYPNNILEILDASATYNGNTQDIIELVVYPIFRLGLACCEESPRERMKMNDVVKELNAIMKTYSAHTYS >KN540332.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540332.1:42460:48393:1 gene:KN540332.1_FG002 transcript:KN540332.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MANGYLCVEEDDPVLTGAGVRVDAISIQVPFQGRTTYHDTFSFVEPCFGLWFDGCYVLDRGSSRLMWTCSSITSFSMRLDLQFYVQNSGIYTVGDFMTKREELHVVKSTTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEVDIFPEVDSTWKTFNEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSSGKLVGIITRGNVVRAALEIKKKFEGEL >AMDW01034353.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034353.1:63:455:-1 gene:AMDW01034353.1_FG001 transcript:AMDW01034353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGSSNGQYGAARGNNGSNFSHGGGFGANNGGYSGGQFGVAGGINDSNSTNNFSHGGFDANGGGGFSGGQFGAAGGNSSIKTAARGFGGSRGGGGGAMGYGGSQVQYNGQDDLLGDDFFDDKEDMYGSSRA >KN538790.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538790.1:113479:116226:1 gene:KN538790.1_FG035 transcript:KN538790.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMNGKLEMVYLLSVKLICAKWKFCFIRSKVIDPPKTEVLDVTELAGDPVPHSPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >KN538790.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538790.1:81285:82343:1 gene:KN538790.1_FG036 transcript:KN538790.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDGYRRQQRRRRLVQRMAACFGMLSRRRRTARLVLWGGEARAARHGRMAGQQLIHFDLNKFSDEFEFGYYWRVQEFIYVQLS >KN538790.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538790.1:23109:23948:-1 gene:KN538790.1_FG037 transcript:KN538790.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEDPKLNLVFTSFFLRRPSARPPAAAISGKFEDFTPTAARSTVVDHCNGLLMLRSRYVVNPATQRWAAFPEPPPPRPGITRSFYHDEYLVFDPAVSPHYEVFLIPSVTPEEFVSKKLRPKVEESEWPPSPCFLSVFSSSTGQWEERSFVREGEAAGTIADMRSQPLLEQYNAVYWKRALYVHREANFVMRY >KN538790.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538790.1:12527:20754:1 gene:KN538790.1_FG039 transcript:KN538790.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MQLLIIVFRIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTDRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLTLQKKILSRMIELGMVPVLPSFSGNVPSVFKKLFPSANITKLGDWNTVDGDPRWCCTYLLDPSDALFIDVGQAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPNSFSSDVSKRKAISEVKKHRRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLAMTENERKQYEWNARTQVINLPAFLDTLLPANKFWSGLLKSYYLPRASKYFSRLTKSLQENQSFQLEEWRKDWIDYSNEWQSGKELYAVKATGDALAISSSLFRKYFS >KN538790.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538790.1:56331:59125:-1 gene:KN538790.1_FG043 transcript:KN538790.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRQGVTIAKGHKNYELMLNLQLGIRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCEFLEHEKIMDYSLLVGVHFRGAVDIDGDIPASPRLSRWDRDHFLSDPNRWSKIKLGANMLSRAELTIRKNDTDVVGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFRDFIYNAFQEDRAES >KN538790.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538790.1:28291:31580:1 gene:KN538790.1_FG044 transcript:KN538790.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLMDEHYNESHAPLSLTPDKYGFHYLVAQFSMNVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQCHANANANANASAQTSRPWHGFVKRIEITMKMPIILSGISRPLKQFLFEFKG >KN538790.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538790.1:99388:102381:1 gene:KN538790.1_FG045 transcript:KN538790.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVGKFFDSVGSIFRGSDTLPWCDRDIIAGCENEVAEAANEEQKNESLMRLSWALVHSRQPEDVNRGIGMLQASLDRSTSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQSVVEDKTRRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSSSSSRKK >KN538790.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538790.1:120241:122556:1 gene:KN538790.1_FG046 transcript:KN538790.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSLHLPCNSRTGFAGKTQGIRLRVIPAGRVGFVRTTVECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEIVRRKEGKAGKKK >KN538790.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538790.1:86885:93118:-1 gene:KN538790.1_FG049 transcript:KN538790.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGGAGARWELSAAREYYDYRKSVYGDVTHRALLVDAVGTLVVPAQPTAKVYKSIGEKYGVKYSEDEILARYRRAYEQPWGGSRLRYVDDGRPFWQHIVTSSTGCSDLQYFEELYQYYMTEKAWKLCDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLHVLKCDHWFDAVAVSAEVAAEKPNPTIFLKACESLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVEVAK >KN538790.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538790.1:37725:48027:1 gene:KN538790.1_FG050 transcript:KN538790.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGWIGGQCANQVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIAETFPEPNRRLLQRILKMMYIIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDDNGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSTDNGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEEWLQARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQHGPISVKSGCRNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPRNCC >KN538790.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538790.1:105275:108256:1 gene:KN538790.1_FG051 transcript:KN538790.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYWLPYTFGALGLSAFTLWLLRHSSLMGNSDIDNWIHGAKKLLVWCWDENVQKPVSSIRYLTNTPQQSKGVTGKQYIQFPEDTLSKCENISSSLCERYEMEPGSPEELACLMINQVAFFSPTLKFQTKKFLRDFKEVMPELEHVLRVQQVTLAFGRWLLLPVLLKPARVVHGQVTQRRRGTAQLKRLQLLSGAQEMLAEFQYCTDKKEEAQCSLGLLLYSIYRFYRGVESSSKETGEWI >KN538790.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538790.1:175074:178532:-1 gene:KN538790.1_FG052 transcript:KN538790.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding IYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKKVHANSVAQLDRAYLQYKDGAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKSTSIAETNSPSKQSSKDSMLLQKPELRRTSSFDRTWEETVAESVANELVTQFQSQSNALPESQDAPKEAKLVRSARSTREEKKNIDPNEVKQTRPQKMMDFRNINISQVELQLTYEGLPFAVSDGKKFKAKSTSQKEPTASLIAASDFNLSDSDGDEAGGSDQLPAFLKKPNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGDADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKIKSKVPKGSATSQELGSELPPRGPSGNQTDSSSDDNDSSPTETNPKD >KN538790.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538790.1:50286:52414:-1 gene:KN538790.1_FG053 transcript:KN538790.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLSAWVEGSFDSDSSRASSPHLSRGDTDPSRFSKIKLGSNMPTRAELTVRKSECEPQIIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKIDI >KN542624.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542624.1:13713:13994:-1 gene:KN542624.1_FG001 transcript:KN542624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDGGGEAKSESGSVVPPHKSNSFSPAATTMERWMCSVAKGRDVVGSTSLVELEPSELVCRCDGDGPLKLLGIYMVASVRAARTASISRHY >KN544845.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544845.1:23:2780:-1 gene:KN544845.1_FG001 transcript:KN544845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LATNGRCDLPDSIELLRRRLEEDIARKRFLLVLDDVWNEDENKWNEDLRPLLNSVGGPGSVMVVTTRSLRVASIMKSLQPYELACLSEDESWELFSKRAFGRDVQEQEDLVTIGKCIVHKCKGLPLALKTMGGLMSSKQQVHEWEAIARCNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQKEGTIDLAQKGEFVFNQLVWRSFLQDVKTIESLSERGFSYDLIVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEDELKQINGSFKGTTSLHTLLMELSSNMDLKELKLRSFFLERGIEELKQLRYLTNVLELYNLRNIKSTSNAKEANLHQKQELSILELFWGRTLYDMPGDEDNNEEEMLESLKPHSKLEILGLYGYGGSKASVWMRDPQMFRCLKRLIIEQCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELERLEFKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLDYEAGDIDSMRMPLDPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLRGSNCFLATCSLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFHVSRCANLLDIPKMPTSLVNLGVSHCGSLVALPSHLGNLPRLRSLYMWKMDALKMLPDGMNDFTALEELRISYCAQLEKLPEGLVRRLPALKSLTIEQCPARR >KN539991.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539991.1:25392:28941:1 gene:KN539991.1_FG001 transcript:KN539991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKSQGSKKATRIFVARIPQSVDESMFRRHFEAYGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQDSHELDGTTVVVDRATPKDEEVRYPPSRGASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGGYYGSSQGMGNKKIFVGRLPQEANTEDLRHYFGKFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGHEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCSGIDYDFTSKGTVETEKRTTPYRMPGCTMLILSGTGTYVESVFQFIL >AMDW01038680.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038680.1:58:730:1 gene:AMDW01038680.1_FG001 transcript:AMDW01038680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAKSNLKPVSLELGGKSPFIVFDDADLDKAVELAIGGNFFNKGEACVAGSRVFVQEGIYDRFEQKLADTMKSWVVGDPFDPRVNQGPQVDKAQYERVLGYIEQGKAEGATVLTGGKPCGKKGYYIEPTIFTNVK >KN539991.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539991.1:49028:57804:1 gene:KN539991.1_FG002 transcript:KN539991.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGWLVVAVFNCCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYTVLAIYAFILILHGIINSLPIEWLSLFGHVGAIWNAAGIFVLTILIPAVAKDRPNIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPIGIITSVLFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGSGVGGIVCLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKYEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVLVGWVGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVAWYRAVSQSVELKSAATCDARGTCASRSAATCNGIRKSEGISVSLRRLQIFAIDVQLDYETDPPLDGVKVLEKESTLSVAVSQLASDFDRESNLCLERFSRTRRTPVISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKMSSNQTPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEDQATGIGISVQIIKNKLAPATLKEVGIDIRFGKGICYESEILELASSLGVIMKDDSGYWINGEFLANKAEAEKFLRENAAVADEICGTMRSQFFERQTAKCPYNMSLPCDTVLVVTEPVRLCVRMLRFGAGVRSIGVCYGMNGDGLPSRSDVVQLYKSNGIGAMRIYSADREALDALRGSAIDLALDVGDRNDVSRLADASSAASWVNDNVKNYYPDVKIKYVVVGNELTGTGDAARILPAMQNVQAALASAGLADSIKVTTAIKMDTLAASSPPSAGVFTNRSVMEPIVRFLAGNGAPLLANVYPYFAYRDNQNIDLSYALFQPSSTTVSDPNGGGLSYTNLFDAMVDAVRAAVDKVSGGGSSAVDVVVSESGWPSGGGKGATVENARAYNQNLINHVAQGTPKKPGQMEVYVFAMFNENLKEGDATEKKFGLFNPDKTPVYPITF >KN539991.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539991.1:7611:8745:-1 gene:KN539991.1_FG003 transcript:KN539991.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGVVGNNLPPRSEVVQLYKSKGISAMRIYYPDQEALAALRGSGIAVIIDVGGSGAVANLANNPSAAGDWVRDNVQAYWPNVIIRYIAVGNELGPGDMGTILPAMQNVYNALVSAGLSNSIKVSTAVKMDVITNSFPPSHGVFRPDLQRLMVPIAQFLANTMSPLLVNVYPYFAYRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFSAMVDAVYAALEKAGEPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFNPDKTPVYPITFPPN >KN539991.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539991.1:29739:32142:1 gene:KN539991.1_FG004 transcript:KN539991.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGVAMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAAFNGCVALPMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQLLQVIILLATGGANGGGYMASKYVVLVIYSAILILHGFINSLPIRWLSWFGQLGAFWNVAGAFSLTILIPAVAKERVSPEFIFTHFNAENGAGIHDKAYILAAGLLMSQYSLIGYDTSAHIIEETKNADWSGPIGIITSVALSTMFGWIYLIALTSIMTDIPYLLNPGNDAGGYAIAQALYTSFHRRYGTGAGALACLGVIAVAIFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVDSREVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSIATLGLLIACALPVFFRVTTARRSFVPGPFHLGRYGVFVGWVGVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLAAWVFHARFWFQGPVTNVDTYNVP >KN539991.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539991.1:38634:41115:1 gene:KN539991.1_FG005 transcript:KN539991.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSVEVAVPADPAGDGDRDKIRLRQLGYKQELKRGLSVISNFAFSFSIISVMAGVTTTYSTGLRYGGPVSMTLGWLVVSAFNGCMALSMAEICSAYPTSGGLYYWSAKLAGNDWAPFASWITGWFNITGQWAATTSVDFALAQLVQVIVLLSTGGANGGGYMASNYVVLAIYGAMLVIHGAINSLPIQCLSWFGQLGAFWNAAGVFVLVALIPAVATERASVEFIFTHFNTENGMGIHDKAYILLIGLLMSQYAMAGYDTSAHMTEETKNADWSGPIGIVTSVALSTVFGWIYIVSLTSAVTDIPYLLSPDNDAGGNAVAQAFYTTFHRRYGSGLGGILCLGVVAVAVFLCGLACITSNSRMAYAFSRDGAMPFSKVWHRVNKQEVPINAVWLSVVVAFIMALTSLGSQVAFQAMVSIATIGLCISYALPIFFRVTTARGSFVPGPFHLGKYGIVVGWAAVLWVAAVTVLFSLPVAYPVAEETFNYTPVAVGGVLLLTVGAWALRARFWFQGPITNTNDGPL >AMDW01035129.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035129.1:127:433:-1 gene:AMDW01035129.1_FG001 transcript:AMDW01035129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIQEVK >KN541456.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541456.1:882:21605:-1 gene:KN541456.1_FG001 transcript:KN541456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLVVEMVKGPSSMVRYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQEVTLKLHRDGKLSSLDSSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVGKIARRVSLVRYPDPEEPEKPGLGSLTPSSKKSAGSSKKTRSRFTSGSKNRSSQGSAQSLGQQSAEPARKHNQKRKDEGSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIADDAGDGDGDGGAVLPISQLWWLLLNATVFGMVLGRRPDYDGSSSRPSVDFAMTFLCTLVLELLYYPKLSLPGFLICGLLLWIASRELAASGYVELGSADVSESVYEAIMGPVRHILSERKSRKIAAFLLINTAYMFVEFTSGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLTVSIGGLVVNVIGLVFFHEEHHHAHGEAHSCNGGLQSSENHNKLRNRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVSSVLPLLRNSAEILLQRVPRSLEKDIKEALDDVMKIKGVIGVHNFHVWNLTNTDIVGTFHLHITSEADKSSIREKASDIFHEAGIQDLTIQIECVKR >KN539991.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539991.1:4977:6345:1 gene:KN539991.1_FG006 transcript:KN539991.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPPQRLLPLVVVFLFVVGALPAATHEATSSPHLHPVVLVPGNTCGQLDARLTDEYEPPTPACRGGVRYGSRASAGGGWFRLWENFTALQEDPALSPCYADQLRLVYNPVAGDYRNVPGVDTRVVSFGSTRGFRSDDPARKDVCMERLVEALEDEGYAEGENLFGAPYDFRYAPAAPGLPSGVFSDFTSRLRRLVERASERNGGKPAILVTHSLGGLFAMVFLDRTPLPWRRRYIKHLVMLCLGVGGSPLNMWPLSASSSIPSSSLVALYRTRALPVTLGLRAPLVPLTSINGVGVPTIDKLVFWDGNFSAKPQVVNGDGDGQINLDTVLALQRLIGDDPDQGYFKSILIPNTTHKGVISDELALKRVVSEILGANSIN >KN539991.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539991.1:59250:60585:1 gene:KN539991.1_FG007 transcript:KN539991.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRGVQCVGVCYGMIGNDLPSKSDVVQLYKSNGITDMRIYLPDVEAMNALRGTGIGLIVGVANDILIDLAANPASAASWVDANVKPFVPAVNIKYIAVGNEISGEPTQNILPAMQNINAALAAASITGVKASTAVKLDVVTNTFPPSAGVFAAPYMTAVAKLLASTGAPLLANIYPYFAYIGNKKDIRLNYATFQAGTTVPDPNTGLVYTNLFDAMVDSVYAALDKAGAAGVSIVVSESGWPSAGGDSATIDIARTYVQNLIGHAKKGTPKRPGAIETYVFAMFNENQKPGEATEQNFGAFYPNKTAVYPINFQ >KN539991.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539991.1:35421:37960:1 gene:KN539991.1_FG008 transcript:KN539991.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVVAMDAAGADADQARLHQLGYRQELKRGLSYVLLLPFFYHTSLRSFFTKLSFLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVSAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQLLQVIILLATGGANGGGYMASKYVVLAIYSAILILHGLINSLPIHWLSWFGQLGAFWNVAGVFALTILIPAVAKEKASPEFIFTHFNTENGMGVHQKVYILAVGLLMSQYSVIGYDTSAHMIEETKNADWSGPMGIITSVGLSTMFGWIYLVALTSIVVDIPYLLSPDNDAGGYAIAQALYTSFHRRYGTGAGALACLGVIAVAVFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVDSREVPLNVVWLSVAVAFVMALTVNSEFIAAAAAVNFSSCSDLVVFSLQSLGSQVAFQAMVSVTTLGLYIAYALPVFFRVTTARKSFVPGPFHLGRYGLVVGWMAVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVFHARFWFQGPVTNVDA >KN539991.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539991.1:12438:13880:1 gene:KN539991.1_FG009 transcript:KN539991.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGVCYGMNGDNLPSQSEVVQLYKSNGIGAMRIYSPDQKALDALRGSGIAVIIDVGGSGAVANLANNPSAAADWVRDNVEAYWPSVIIRYITVGNELPAGDMGLILPAMQNVHKALVSAGLSSSIKVSTAIKMDVVANTFPPSHGVFSSDVQQFMVPIARFLANTVSPLLVNVYPYVSYRENPRDISLNYATFQPGTTVKDNDSGLTYTNLFNAMVDAVYAALEKAGTPNVRVAVSETGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETII >KN539991.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539991.1:663:3210:1 gene:KN539991.1_FG010 transcript:KN539991.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETNDHTPQEKVPDEKCTLSQGDDNFQVDKTLRYSGPDLPEDIWHHIHSLMPLRDAARAACVSRAFLCNWRCHPNLIFTEETLSLEQDARRKGGKARAFASKVDHILKNHSGIGVKRLKLVICYSSKINISSLNSWLQIAITPGIEEITLLLPTKYEGSNAPKVTTFTFSGDPVELSLGESSQVKKLDMSCSDVPNFIYYSITKLPYIVPNLTSLALSSVNEGINTPTVAAKFLHLKHLGICLDADKALPPEYDYLSLVSFLDASPVLETFILWVQQHDMKHECVSEDASHMRQMPEHKHSNLKNVMILGFCTAKSMVELTCHVLENATSLKSITLDTVCDPFDEDNIGRCYTTSTRKTGECAPLTREMILEAKRGSMAIKRYISGKVPSTVELTVRGPCTHCHDIKRARRSMLNM >KN539991.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539991.1:41759:44180:1 gene:KN539991.1_FG011 transcript:KN539991.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDQAADDPDSLRLHQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAVILILHGVINSLPIRWLSLFGHIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLAVAVAFVMALTSLGSQVAFQALGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVFVGWVGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNTSDGPI >AMDW01033362.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033362.1:55:463:1 gene:AMDW01033362.1_FG001 transcript:AMDW01033362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AHDTIAEAFAKVGDQEQARKHCEASIKILEKLYHPRHVIIAHELIKLVSIELSMGDGASAAAAFARADVIFSLYYGPDVKRILPYVDALRRTVSE >KN539991.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539991.1:61987:63938:1 gene:KN539991.1_FG012 transcript:KN539991.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQGVASMLTIALIIGAFASAPTTVQSIGVCYGVLGNNLPSRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGDQLSNLATSSSNAAAWVRDNVRPYYPAVNIKYIAVGNEVEGGATNSILPAMRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLATTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMMDAVYAALEKAGAGNVKVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGALEAYIFAMFNENQKNGDPTERNFGLFYPNKSPVYPIRF >KN539991.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539991.1:19748:21168:-1 gene:KN539991.1_FG013 transcript:KN539991.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLRDPTDRAAMSAPLLPRSAFVSSASFSSFSSVASFSSTSSSFSDPAVPSDVVEALPMSRDSFKALAVLRDHQGSVSSLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTTRDYLGKIFRHASYVQTRRNHRRLWIEHADSISCLAVHDGVVYSGSWDKTLKVWRISDLKCLESIHAHDDAINAVAADSGTISPASADGHVKAWGKGKAAHFLQGILISRDGVSWNALASWCRVSNGCMVYSGGLDKSIRVWWVPNGWKANEQKQQQENDTKDHKNCVFLRS >KN539478.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539478.1:30506:34213:-1 gene:KN539478.1_FG001 transcript:KN539478.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWARSVAWLDLRAAQQLNELWGGFAGVCTSVLKRICRSHGIVRWPYRKQLVALTIYKLLTELLLRNKRPSSEASITAPVASGMHLVRALIENWRWQPLPWRKQTEDMLVSGKSGDDTKNAEREKAKGLLEISKVAKQKALSASGLSTVILLTICCLSMDAGAVEWDIGLVTSSLHQAPYDDLLSLLKRFAAFVLTLKSGHIDRSPGAFQGVAKSQQGSSKAGQVSPPGKQNVLGGSAILSYGTQTKGIPTYMDDFKYGFPSSGLSLQTMKWWGTDSHTETTPAKDDNSEAPESANEASKGMTDDELDWGADEAEAEADADSAITTEPSAQLCSLRRKAVDDGRKLLTGKSCGGLELCRLNKRQKMALAQAFGASLPEQLRSKLG >AMDW01025467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025467.1:44:307:1 gene:AMDW01025467.1_FG001 transcript:AMDW01025467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAFATAVAVELTTGNSLFKKLDMQEIEEAAGVCLAVVAGAAAFAWVSSARTRIGQMFTLGCSAFVDSLIDNIVEALFSEGELQDWSDD >KN539478.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539478.1:102186:102601:-1 gene:KN539478.1_FG002 transcript:KN539478.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAVLLMALALTLAAAGAGAELETAAVVRRRQSRKPPSVCLEPGSPGATCCKGACVDTESSFAHCGSCNHVCKYGETCCGGHCVDLLSDHKNCGDCFVRCPSKKCSFGLCDYAG >KN539478.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539478.1:15966:23383:-1 gene:KN539478.1_FG003 transcript:KN539478.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIRSTPVQHWTLIEYCNCVENSKGDSVLGIVVFTRYCVVAICAIYSLSPFYLVYCLVESYYTCYYPISALLPSTCWLCVGCMRDRSMMAKTLQGTQQQYAASGFPTQQYPTSGWTQSAAEILQLDNMDQDTSVVRNIIHRKIIEYLNERKEFCNFDLSFLMEIGKCIDRHLFEKADSKIKYMDLETLRTRLNAIVNSASFRGRYNQYEHCMGAANFAHSLADKPKQMPERLANTIFTSCASTLPKCSPSIDVLHTGHIKEHFSGDAYQNDSSQPSTSGSSSSLSAVWDQTTCSSAMRTLPMDSFSTVNGQNLSTNNKSLYPTTGQGPLLQQYGECEMKQEMWSLSLEQSDQSNITTGNHDLYHAQIHPYINGEHKRDRCIQMKEKLGHTSDHEGFSREKSSNLSNHFMHHQQGFMTNYGACSPVSKTVDRAEQTSNSTVSKPTSPASDGSSGKHYPAKRLKVDVPHLVHVNEMEASKEQQPAANETYASAETVQSEATNSPTKSPCCTSLGDNIACTDNVHGMDMVRLSESAVQTEEEFRRENSDIEMKDTKVDLLDQTLSGDSLRARKRRGASVLYALTSEELKDHLCTLNHDTSQSKVPTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVEVENGNDKSSICGRCHHLSSAKAKYQKRFSYAETDAEAEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEKDNEDVDSLEPSTILGARELPRTRLSDHIEQRLSERLVQERQQRAIASGKSVDEVPGVEGLTVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYVYGSHVGLIIFQSVFPQIGYLDFCKKRGYQNLVKKAVKEGVVVERNTLYDFFLQPTNECKTNISAAWLPYCDNDFWPGEAERLLEKKDDDTSQKKETQLGRLLRVAKRDDRKGNLEDILLVHKQFCKHCHHPIVSGSSWVCTSCKNFFLCERCYAEELNTPLKDRHPATTKQKHAFERKLFFHGVRCHTRARGGGGCHMCVFMWKLLFTHSLLCDNADCSAPRCRDIKAYIADRSMIDLSISG >KN539478.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539478.1:51882:54447:1 gene:KN539478.1_FG004 transcript:KN539478.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDSGGGGGRARRSVEVDFFSDEKKNMKKSRVSGGAAAEAEYAKGPAAAGLAIKKEDLTINLLPAGNNARSDRSMVVDDDAASRPDHEEKSRSSNELAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVALMQQRPQMMQPPTQPQPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNRDQERGDSPDAPSTAAAWLPGRAMAPQMGAAGAAGGGHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTQQKSCINSLLFLSSFSTAKTAVDTSQ >KN539478.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539478.1:79160:81363:-1 gene:KN539478.1_FG005 transcript:KN539478.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRFHAGYFRISLALSGQALLWRTLSDASTDPRALGPVVRSLPSAAFVLLWSLALLTLVALCALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISWLLLLQAAPPLLHLRPDARPYRALWWAFSLPILALDVKVYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISASFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAQEVREVAASVLMLALAILSVAVTLALMVFTVLRTNDLLPHDDPFSCPPLAR >KN539478.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539478.1:5944:7731:-1 gene:KN539478.1_FG006 transcript:KN539478.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYPPCSDEYRLLCAGNVGMIRRTAADGDHPIRLLAELQIESGNGIHRATLLRYSQELGLGGWASTKVNYQPGRRSWCGDGVIVHAGMLWWVDLSFGLLTCDVFAAKPDMRFVPLPEGCKLPYSSDADHAKHRCVNVSDGELAFVQIHDDDAAAGRRAPSTIMISMWTLQQSDAGEESVWSLRHRVRVDEIWDHVTYRKTMMPRRVPVLALLHPKELGVVFFFQITSRNSWMFAVDLATRIVLECKKYKMPQLPTMYHSSRHVRAWELPHSICRGEDDETDGTLKITEYNIDIYLLVQNLTDELDLNFSSDKADELLSTTGRLFINPRFQELRNATAFPKYLSFVIVKATDAYEALCLMRDFVSHVSMDGSNIVPRVSAESIV >KN539478.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539478.1:71770:73752:-1 gene:KN539478.1_FG007 transcript:KN539478.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVALYITICSILFIVSKMLISFLLYKKWARKKRIIENSLTGGKMVMFRSAAMQSLSPKSFLTMIMGLSNKDIIGSGGYGTVYRLRVGEKAAFAVKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDTILHGKEETRRALGWEARHKIAAGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNHSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDSALESSFPAEEVKLVFKVADKCLESEPCNRPTMAEVVKMLEQAKNTTA >KN539478.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539478.1:24398:25712:1 gene:KN539478.1_FG008 transcript:KN539478.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAFGAAVAYPAGAGAPAALALAFVLGYGALLFLLPFSVYALEFLRQEFPIARTPLSVQARKQYEESGKALERMMKGYNPKDPAAVLFALDLGEIRDASVRLAVAVSAACAVAGGVAVGGGGMSYTGLSYAAAFFALPMLCLLYFQKTCAYPVDMPKHLAAYDRLHLKAIRYACVRFVAAVSAASAIAGGLVIGGASWIGLSYAAVFFALPMCLLYFREKYGFSMSDMPSLLKWCNVSVPMAALALLFRLSL >KN539478.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539478.1:9:524:-1 gene:KN539478.1_FG009 transcript:KN539478.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQMARLQEERAAEEMQMREAAKGRWVILVSVPHVAHDEFHFPPGTELRLNFGAPPFASRITVPRRIAPDRKAIDNYPYLAAADERHGRLLLYATQGPDPEPRPALDAFYLRPLGVHHGFAKAYFICDTTTREASRLPDPDHPFAILHPGNVGLLCYSISFYVAELQPAP >KN539478.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539478.1:94461:95727:-1 gene:KN539478.1_FG010 transcript:KN539478.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLKPVALLLLILNLCMYVILAIIGGWAVNISIDRGFILDSGLRLPAHFHPIFFPIGNWATGFFVVFSLLAGVIGIASGLVGFSHIRHWNYYSLQPAATTGLLAWALTVLAMGLACQEISLDRRNAKLGTMEAFTIVLTATQLFYVLAIHSGSRGPVPVERPHAVAAGY >KN539478.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539478.1:27831:28818:1 gene:KN539478.1_FG011 transcript:KN539478.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAVAAAAAGGAPWRGVVSAGRAAPRRRVALVVRAQSEPIASFDSAWCTTLEFEKGIQILKLAKYSWEIWMFDTDPSRIGNHM >KN538754.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538754.1:59904:60498:1 gene:KN538754.1_FG021 transcript:KN538754.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAALLVAVLLLLLVSSLSVRAEAAALCSEPE >KN540476.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540476.1:4709:7420:-1 gene:KN540476.1_FG001 transcript:KN540476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTDRSKRSVYDMKRNASVRIGSARVPYQQSRRTAPVRPTTTPVNLHNVHQSQQHKPSNPSDSQTFWTICPTCGMRYQYYLSILKKALRCQNCLKPFVALDLNEQAVPSGANQRSAGVWKNSGAPQNFPGSQANVGQQAQNSANPVHANFGSHNAHVETKRGADGNEAGGLKNKRKFAKATGNSSKASSVAGSKKRRKAMFESSESSASDTSTDSEEEIIEDGPAASNVGPDQHPRRSSRQKQEVKYNEDSDGDDTDCHGNGDDGFVSSPSLKRLRKGGLFHGGENNETKLNADTTGPGHDGPTNGVNNYNNTEDIERGSACAEQIKRETMSGGGNSAEKEKLSHSVSNNGLESNSDDAPNEVICADSEFFDFNQLRHVNQFKANQIWACYDSQSCMPRYYARITKVKHVPKFMLNFIWLEFDPKNKAEAVWSSGDLPVSCGRFKHGVSDTAKESSMFSHAIFYEKNKTRNSYEIYPRKGEVWALFKGWDIDWSADADKHKNYEYEVVQVLSDLTSSTSIIVMPLVKIKGFVSLFIQSKEASPYVIPQDDTLRFSHCVPRHTMIGTEKEGIPEGAIELDPAALPLNFGVAFASVVPESCCSVKVQGSGAEHIGSSSGNNCHKGSVDVGESQHATCANTGFATRTTKAEINEHNTRSAVEGTDDDEEPDDFAQAEVLYPESEFFEFSEIRSIHKFQPGQIWALYSDVDKFPNYYACIKTVDVKNNELQVRWLDACPQSEEERRLVREDLTVACGTFKISSFHGIQTYNGTEYLSHPVQAKPGRRNEYEIVPCQGDIWAVFKNWRTGWTAKDYKKCDYELVEIFGHTDSSIQVQLLRKVDGYRAVFMPDRREGAVKTIRKDEYPKFSHQIPCFHLTNERGGKLRGFLELDPLSVPEMFLFTESI >KN538754.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538754.1:97286:98248:-1 gene:KN538754.1_FG022 transcript:KN538754.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMQMNIDCDGCEDNVRKALQRLQGVDYVDVDRVRGKVTVTGSASQKKFQTFSLSEISLRVFRSTSLVVVL >KN538754.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538754.1:45220:46518:1 gene:KN538754.1_FG023 transcript:KN538754.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLTSTLNSLASVVGIAIIAFVLAAGFSHFDAGNLAPSFFPFGAAGVFRAAGVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSMSSITVVYCLMSLALVGMQRYTEIDANAAYSVAFAAAGMRWARYVVALGALKGMTSGLLVGALGQARALASTIRGVEN >KN538754.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538754.1:64998:65420:-1 gene:KN538754.1_FG024 transcript:KN538754.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTQPRHGHCSQRRSSGQQESREYPARARRPPPVRSAEMNQRVERRSGGGRNVLRRVTRGHRPGRKVWGLRARGAGGGADGRRCPGTEAPEAARGRKSGKRDGVARREGDQWRGRRRAGARGGRSPEVGAGGVAGEGW >KN538754.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538754.1:76650:84154:1 gene:KN538754.1_FG025 transcript:KN538754.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPVRQANTPYACSKLVYCNQLRPVCAIDLTLFLALFCRGLGVTRVVARRDSVVVQVEPTIDSIY >KN538754.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538754.1:120498:121675:1 gene:KN538754.1_FG026 transcript:KN538754.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSEILRLLECPDVMRCAAVCTAWRTAYRDLRRRGIAASRQTPCLVYRSAAAGLNAIGMYSLSDQRPYTIPIPDPISEQHWFGSSHGWLMTADCRSDITLLNPITGRRIALPPATTMQHVTLVLNEKGILDRYEVSFYDWRDPAKSTASPTHTPWKNTVAICTLNDDCIAMLIHQPYDQLSFAKVGGNSWNWLAVDYTFVDCIYHDGWFYAVTSMGVIHAFNLHGPSVVHKTIFPRIQDNNMHQEYIVQAPWGGLLRIYRTVDILAIEQRHSQVVRTLGFRVYRVSLDEQKLVRMTGIGEHALFVGHNASVCLSVKDHPTLMPNHVYFTDDDFETVFSFKSSRRDVGVCNIENDTVTKVVCPELWIYSLPPIWFTPSLIG >KN538754.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538754.1:26354:26851:-1 gene:KN538754.1_FG027 transcript:KN538754.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVEEHRSGAEVHTGHELCERKARELLVELGLPDGLLPLPSLEEVGYNRAAGFVWLRQTQAGGATHTFDTIGKQVWYAGEVTAFVEQGRMHGVAGVKSKELLIWVSISEIVLSPSGTKLVFRTPAGLGRALPVTAFQLNPAPPEAEKKDAAAADEADAAATN >KN538754.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538754.1:147254:148923:-1 gene:KN538754.1_FG029 transcript:KN538754.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERGAISSESSRLYGLFQRRQQAPMQTFDFKNCNSFSRNQEWAFNQQHAAARAAGAVNGHGPAKGLIHEMIFRKEGKPTSHLFDVRDAIASTRFVTPTHNNGVVYAAEGKDESDRGDAPRDAGEDDGGGGGGGGDQRQDAGLAAEFEPERGRSQISQQEEEVLE >KN540476.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540476.1:36757:37251:1 gene:KN540476.1_FG002 transcript:KN540476.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAGARWVLLLLAAVTVLLCLQLSPRDNAVDGAAAAAGPAQTADLPLQQPAALPREAPAEGDLRGLGASSSPVFVVAVLPAYAWRKKAAGDGDDGDGECAICLGEVRRGQVVKQLPACTHLFHARCIDKWLITSQGTCPVCRTPVDSAAAALQAVRVADQPP >KN538754.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538754.1:70750:72017:1 gene:KN538754.1_FG030 transcript:KN538754.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREVIQMTYRVYGLLTPYSSENKMTNPGVHFVLKISQYILLSFLEVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >KN538754.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538754.1:47232:50250:-1 gene:KN538754.1_FG031 transcript:KN538754.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNASRLGVAAVVVFALLLSLRQLEANDDVSVSCSDVVADVTPCLGFLQGDDDHPSGECCDGLSGLESRTQSCIQAAK >KN538754.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538754.1:17633:17959:-1 gene:KN538754.1_FG032 transcript:KN538754.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIRIIMKSFMSQANKVQGVIPYAQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYEIQISFKTRLDMSKLQAAL >KN538754.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538754.1:92155:95780:1 gene:KN538754.1_FG033 transcript:KN538754.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCNSGFGGRGYPVKCCSILSTEAVVRAIPFRKLRPGTLVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWSGLAFVYDKVRVAQEGDQAAKCEQFLSIFEREGCRMVEMSCEEHDRYAAGSQFITHTIGRILSQLNLESTPINTKGYETLLQLTKNTIDNLDRAFEKVKQMLYGRLHNVLRKQIVERVPIQ >KN538754.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538754.1:122702:126297:-1 gene:KN538754.1_FG035 transcript:KN538754.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSVTDWSGLPDDILSLVFDLLECTDLLCSAAVCSAWRKVFSDARNLNVCPRLQTPCLLYCTEAAGASAVGMYSLLERREYTIPLPDPPISNRTWIGSSHGWIVTADDKSNLILLNPITGEQIALPPVTTMEHIKPIFNQDGVLDKYEMSYYNGKIPRVEETPYEFDLPEYREVYYKAMLSSNPSSGPLRSYTHGWIVTADDKSNLILLNPITGEQIALPPVTTMEHIKPIFNQDGVLDKYEMSYYNGKIPRVEETPYEFDLPEYREVYYKAMLSSNPSSGDCIVMLIHQPYSQLSFARVGEDHWNWIPIGIFYTDCIYHGGWFYTVSVLGAVDAFNLNGPSVVHKRILKDMLTLGYEQMYIAKSPWGDILIVNRMTIIPRNGNPEIEETELYTSDIVVYKADIGEQKLVKLTGIGDYALFIGHTTSSCLPVKDYHMLMPNHVYITDDEYLWLLEFRHKRRDVGIYSLENNSLSNVVSPEPWKSWLPPIWMMPNLMKTGQLRRVYNGPDQLSELAVRSGNVAAYHVRAHAMAGTASLSRSRVGSGFSSLFSDDDFTCTVEINTSAVRCLGLRGSAVEAVFLNVSISTLVAAVHGCNVRWLADKADEVGKARIDMAELVAVAFL >KN538754.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538754.1:54373:54729:-1 gene:KN538754.1_FG036 transcript:KN538754.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVAPHKEELVAAAPRGMEVTTATTQHEEAAAVAPRGVETTTRLDGSARGGGDGSAASREEETRASASLLGIGCQRFDAIFFIFVKVSKNSKIVHNRQMCNNILRVRINVSVHPK >KN541509.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541509.1:1811:5073:-1 gene:KN541509.1_FG001 transcript:KN541509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVFDPSDPVLDPMWRQGVACFGFGAFHVTGLYGLGIRDAILAGSIHRWFGPGSVPTLPNKATARKLPALVVTCSCGIAAGGTESRFVQDGVRAECRHRHVRDPAKQLVLLASSMGMDLHTEGEAIRWPTQHIRFTS >KN541509.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541509.1:26869:26976:-1 gene:KN541509.1_FG002 transcript:KN541509.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding YGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >KN541509.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541509.1:18419:20964:-1 gene:KN541509.1_FG003 transcript:KN541509.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLDVVSFHKQALVFRQRVSDYLRRLSLRMLKLETEPIQLGHQQAVDQSSESKVGQYPTSMLQQQTSCDQQSLCIQVDTKLQEMPPNEHLLDQVPPRSQKKLCMDDRLSDLPDTILHHIMSLLSAQEVARTCILSKRWKELSASAPCLGICVDKFGMDRVRFSEFVAHLLLSRAPNSLHTFRLHSFAIDHASSWINRAIELKAQVLEFTDYIRWEPFYLDPQLMAFASQYLKCLKLTNVTLDSNAFEPLNHACPALENLQLSQCFLEVPVICSASLKKLDIMECSLLMNLQIQTPRLVSLRFRCLQYKCSSCSRYPVITAAVTLCDLPNAENIDLSCSGRQVTFGIEIQKFPMYGKLTSISLGEWCLSDKFSRLFCLLRHSPELEELTLKLEVERQDHNGEDEIRLMVGRSFSAENLKKVTICCAEGDARAAMLGNMFRANATSLKEMHIKHY >KN541509.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541509.1:5996:10194:1 gene:KN541509.1_FG004 transcript:KN541509.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDWYDTMMSSRDTLPPPDLRHGGGGASNQTNSAVPVSETPMEDGGGAPAAAGSSNTAAPPQPPRLDGPTLERELRRCNIKLGKQKVSGEASTATAEPPRKKLMHDGIRAAAAAPPPRSPPTRRIISYMVTAAAPLERKKTAADDRFRNLGTCSTRLRRRLSELDATEPEFVCEKTLRMSDVHRNQNRLLFSCKRKEDLDQCPITHLFTDKETQIVHKKVEIAVEKKKKKKIKKDEKKEIKKEEEKQIREKLGLKVTVFDLGGNEYGLTCSGSRGRGDNDDSDNDNEEEEEEEYQGKAPPANPKKEKSNGKEEHVVRASTSSSSSSAEAAVGVVAPMHEVSAAARSMLEEDACLGMVKLWSDTGSSLSSSKHNGSESSEEKRKKD >KN542562.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542562.1:2609:3133:1 gene:KN542562.1_FG001 transcript:KN542562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPAAASAPGLGSKLLHSATPIFVDAAGTPSPPPHRNRGVLVAAYG >KN542562.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542562.1:5846:7765:1 gene:KN542562.1_FG002 transcript:KN542562.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQICTARPHEYMEEFRTGEPELRPAIGRCVLSWTAGMERNEVWLNHHALLASVLKTRPSVSSGELLVAIVQQAGVREENLRVDVTHTEDFLLSFRTLRDRNSVFHRSHEIYVQEVPVSFKLCSRRSWADSSDLKFFTKISLDGLPAHLWEWETMRNRINELEGELVEIIPAADARCLGLFAWFKNPNKLPQLLQVEVPEKTGAGGSWREGSSSTAPPRAPRARPTLLYDVILHVEEVIDPTPLHAPATNGDEDVTRRNSFNCWAGRYDGAGPWPSDQGGSFRFGGATGSAGGLDRQALDLLPPAVQQPVRLLVREGTVEAANSAAMELHHLASEARGTSIEQPVAPDPLEAEVCSYGGKGSMLALSPVTQAMTGSAEPGWMVAGAMTAAGTEGMAPRPNVWFSPQQSQGSPVLVDASTPVFQDVPLPLENLARSPGSIVLPSPRIFRSISPLRLSEEEQVGGLMAQAMLSPLAHASVLASPGTMDALLVSPTLRGVTDTVRGCAFRGSRHDPSPASAPGHVSSVQTLPPLARRLSFEDMGGDPPLMARAAVLEEIFEALSPGGNPVGARPPSPPADGQSHAGPSVADGPPSFADRLSPPGSPVRSAPLLGRPWGWPISTGSLCAARSPFPLLKWLS >AMDW01011570.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011570.1:3:179:1 gene:AMDW01011570.1_FG001 transcript:AMDW01011570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAAVVREPEGVQVTVTPTTLTFESTGEVRTFWVKFAVRDPAPAANYAFGAIVWSDGNHQ >KN542617.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542617.1:685:3331:-1 gene:KN542617.1_FG001 transcript:KN542617.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADLLILLASAAESVSGRPAAGCQTRCGDVSIPYPFGIGPNCSRGKGFEIACDTRTRNGNGELVPTLAAANGTIHVQSLLVAPIPEVKVMLPVAYQCYDSSDNVTESFYGAVDLNNNGVYRISDKRNMFVVLGCNTMAYTSNGDSHGKGPYAGVYYTGCVSYCNDSSSVQDGMCAGIGCCHVDISPGLSDNVVTFDSWDRYFQVNFNPCDYAFLVAKDEYNFRRSDLQKDLNRTKPVWLDWAIRDGGNSSTSSSCPAPEVREKMPAEYACVSDNSECVNSTNGPGYYCKCNKGYEGNPYLVGGCNDIDECARSDEYPCHGDCRNTVGDYDCKCRTGYQPRGGGPKIQECSQKFPLPAQLALVLETCSHIA >AMDW01037992.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037992.1:15:719:-1 gene:AMDW01037992.1_FG001 transcript:AMDW01037992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GECSRRTTEGVIKRWSLATHLVGKALVVMQRQLYTHNSPGAFDALKDEYFLAITKNRILNLLKFADDFTSITSHEKLIYILGMYEALSEAAPGLLTMFTGPHKELVAERSEEILAKLAMSIRSMVASLIAKVRDDVSNTKNIVGVGVHPLTKYAVLWIERLAPHRDTLDLILASGGDDVASLSDLASRVVGSLEEKPVLPCDDDATAAATGSRHHLFHANNANFVLQSCKPLLGD >KN541613.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541613.1:3872:5925:1 gene:KN541613.1_FG001 transcript:KN541613.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYSQGYGVNNAALSTALFNSGQSCGACFEIKCVNQPGVPCRKKGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGTSTGWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSWNAAPAGWHFGQTFEGKNFRV >KN541176.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541176.1:3740:8495:-1 gene:KN541176.1_FG001 transcript:KN541176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDDDDEEGVSDVDELPTNPHGAAAVIHTTESEIWGLKSQEHPSLPSQPGEIQAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNMIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRYFLALLICSTFKCKVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPELLGDRQIGRWLKSGDNALVRDSAVVVAGGEVKQELALKLQFTESGEVELEMQWFPLNM >KN541613.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541613.1:23489:24906:-1 gene:KN541613.1_FG002 transcript:KN541613.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLARWADVLKEAERQGYGVLDAVRAIADKEIMECDLEIDQLRSFVHSMESLAEDMEYFDSLVSEKRAIKSAALIQWQDKLKEAASRGEEVLHSFQQREADADEATGQNASDHQQQQEEGSSSSSVPATALSFTRKALSGMARRLITTATALFSSDEEVKKLNSAVEALEKESANIGEFIALLQLEASPNPKRRRCRMFLQIFKELSPDNYASVAQVDGVSLPKGADSADSWELQELEERLQRALVDIGTSVEIMPIRDIRSMEWLAHWADVLNEAERQGYNVLDAVRANASKEIPKCDLEINQLRSFVHTMESLAEDVKYFDRLVNLCPLKISI >KN541613.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541613.1:16528:22215:1 gene:KN541613.1_FG003 transcript:KN541613.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHALVPCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSPAPSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKVAFYEVGKNPKFDYPSYLMFDLTGRFVLKKWLEVLCLLDGLNVYRSTGLSGRSYSLRFFILQYAIMYHLNISAGNKSISSVAFLLKSGLHANPLVLTRLFAASASAAPALLDPLVAALLRPSVPLDAFLANTLIRAHATSPIPSVRLRAAAFFPLMLRGAVVPNKFTFPFLLKACAALPGSPDVGLQAHAAALKFGFATDQYVSNTLIHMYSCFGGGFLGDARNVFDRMPKESAVTWSAMIGGYVRGGLSSDAVELFREMQANGVQADEVTVIGVLAAATDLGALELARWVRCFVEREGIGKSVTLCNALIDTLAKCGDVDGAVAVFEGMQQRSVVSWTSVIDGLAMEGRGKEAVRVFEEMKVAGVPPDDVAFIGVLTACSHAGMVDEGCGYFDAMKVEYGIEPKIEHYGCMVDMFGRAGMVERAMEFVRTMPIQPNPVIWRSLVSACRAHGRLELGESITRSLLHEYPAHEANYVMLSNVFALTQRWKEKSEIRREMSERGIKKVPGCSIVELDGEVHEFIAGDESHPQYKDIYRMVEEMARELRHVGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFALLRTPPGTQVRVVKNLRVCLDCHAAIKCISQVYRREIVVRDRSRFHRFKDGSCSCKDFW >KN541082.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541082.1:18901:19722:1 gene:KN541082.1_FG001 transcript:KN541082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGAKVKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFTNLAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMHDLMYEGENKTLNEKLFPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGENEARVLDDDDFVLVSRY >KN541176.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541176.1:26897:32456:-1 gene:KN541176.1_FG002 transcript:KN541176.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SLHVKCENEDLYLRIVILDMMLTNARGLTIKCSHYVPAFIPENTSLPCVIYCHGNSGCRADANEAAVILLPANITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLQFAPKTFIPALFGHASNDMFIQPHHCDRIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSMFFYNTLHPPQLPVKCSNNLGAFKVGTVTNESFIFEIISGLRGAGTNSCSSSIDASKFPNATTPVVELLSESVNQLSIKNDNDLDFLLDENRTLSEIDGDSAGSRLQDKSSGHNEESCSCTSSNRESWGRCSSLGGASDDSFPGDISDKQENMTVKALATPLRQKESKSTTPKTKEKKKSLWKKLNRERAGAGDSLSLRLKMCLSHSSRHKRVKSSGIV >KN541613.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541613.1:7537:11682:-1 gene:KN541613.1_FG004 transcript:KN541613.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGWGARIKDGSPQPGASGMFSRSGAKDGSRLSGCSSRASSASMPPTAKTECEILQSANVKIFSFSDLRIATRNFRPDSVLGEGGFGSVYKGWIDENTLSACKPGTGIAVAVKRLNQESLQGHREWLAEVNYLGQFCHPNLVKLFGYCLEDEHRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSSEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEMMSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSHVGAQTVATLALECLSYEAKMRPSMEAVVTILEELQESSHVDRKPAAERRQESTTGTGKKAPTANAGKNSGKPRWKSSLGETREKIGPNPTPLVRSH >KN541176.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541176.1:23998:25210:1 gene:KN541176.1_FG003 transcript:KN541176.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRHRRDGTDLLEAEPLLDDSHLMTGRDPLPAAYIAVEDDSSSSSSPSSPATKQVLHRFAHLPTDVACKTIVFLRSRWIVSVGGNPGGTVLFDTETRRVITGPKLLSAKLSPVAADVGDKIYVLSSRPQYLEDPDFEPWFEVLDLSRAMVVTTVDSRHTLDGCSWEALPDPICFPCMLSPAGYLAPPLILVTSYVLFLPYLLVSVNNMGKMTTYAFDTGTHRWHKLYDYGLPFFGSATPLVGHTGIFLGRCWESGPINAYRIRLVSSVPHLKLSVTEFPVKTEAHEEVGVGEEALCLASMEDEGSFSCITFRLDDREHHMSYDKDIHEFYTRKMYLNLTTYKIVEGEETGMDIVVRCKRDKALKIFSSHGFSSPPISFALSI >AMDW01017495.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017495.1:25:195:-1 gene:AMDW01017495.1_FG001 transcript:AMDW01017495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALLRASPAEEELELEVCVHLGVYVPPVFGEAGARLAVAGRGRDAAAAKYAPWA >KN543106.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543106.1:141:726:-1 gene:KN543106.1_FG001 transcript:KN543106.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSCRSCESCNNGFENHCPEGVFTYNSVDKDGAVTYGGYSSMVVVHERFVVMFPEAMPLDAGAPLLCAGITVYTPMKYHGLNAPGKHVGVLGLGGLGHVAVKFARAFGMKVTVISSSPGKKREALERLGADAFVVSSSAEEMEAARSTMDGVINTVSANTPMAPYLALLKPNGKMILVGLPENPLEVPPFSLVH >KN543106.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543106.1:5657:10042:1 gene:KN543106.1_FG002 transcript:KN543106.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASSIKAATTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPKNTEFISVFLCLDSSAPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRADGFFTIRCDVSLIDHFTAQEDEPVFVAVPPSELRRDLGGMLDTGSGGDVVFQVGGEAFTAHRCLLAARSPVLAAAFYGPMMEGGGHGGGVAIKIDDMDPLVFKALLSYAYTDSLSPQMQQGELEEEGRTMAQHLLAAADRYGMVWLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKGPGKFAADMATQEYDYLKTNHCALADEVVKGNHAEWMLATVETFLRHRLNSKGILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGESFSLAHATRLLFLKAKLRKRRELNLVNHLFLGYLDSPWLLVNLKALWNF >KN543034.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543034.1:3261:3569:1 gene:KN543034.1_FG001 transcript:KN543034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVARLEADGAASKIAAFGLATASLTINLAATRGPPSCIGDSAYYHLALVGSFLSGVAQVGAAVWVADDPRGRHAVGKKIMHTSIAPLLVAVGLTGAALLW >KN538857.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538857.1:82941:84250:-1 gene:KN538857.1_FG017 transcript:KN538857.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGERPPAAAEVRVLGSWASPFVMRVMVALRLKGVEYELVQETMGKKSELLLASNPVHKKIPVLLHRGRPISESLIIVQIRILRGTVPGDKNKASDEMTTALLYLEEAFVECSKGKQYFGGDSIGYLDIALGSHLGWIRAVERIAGVELLGGAKVPNLAAWADRFCAHPAVVDVMPDADVLVEFTAKHADLMRALLASK >KN538857.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538857.1:39253:42841:-1 gene:KN538857.1_FG019 transcript:KN538857.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) UniProtKB/Swiss-Prot;Acc:Q9SKN2] MDGSWIHGWMVLLPLGECGEDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSSLRQLVRQEASSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERRTAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAAPQTPFRPTESPNPRSRFPAAEAAPYVPVGHFRMPCHSMAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPLFTPSAVQGPKPMMPVQIKDVQHQQIKETRSPVMPVQVKDAQHQLLKGSLSPAIPVQIKDVQSQPPKEALSPAIPVQIKDVQLQPRNEPVSIGKGVVPLPAIRPPVKVEAPAEVKEASQPAAGSSVVQCKADTSPDSLPKTQLKTANADNADAKDDHLPVDAEEVEDIIRHLELK >KN538857.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538857.1:85514:90978:-1 gene:KN538857.1_FG020 transcript:KN538857.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVCQQKTEEPLVDLGCRCRGDLSKAHRTCISVWFRTRGSNKCEICQQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSMPRLPMDGSMASSGYHPGPRKPWKKKRRTPTRPQISSSRSPAAAATTATPAAAMISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLADADAAAAPSPFSSYQPSETRSRTSATELAHVWNKGVDETLGRLVAYLSSRGW >KN538857.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538857.1:102160:104728:-1 gene:KN538857.1_FG021 transcript:KN538857.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPFHHRVGIGLPPGLRAFNKTWCDGVLSSSQNNTGNTHSITRADQHEALLMNMKLTFMVVLMALTGSTIYITGFLRLSFRRLPTGGGLFLYRYDLTRIVRFVLNFAFFQFVPLLSSAFSQSENRSKTELLLILLWMLLAEIIRKKVQGMLLPTDGSSFNRGIGRFTLMDYAYDTSHLVWIGYLICSKLPDDKSLSITFAVLWFLCFAKLVLSAVNRSFASYSFHTARNPLVIAGYMTKVMDKYNRDGDGRSAVPANDMSSCKFVVMGEDALVVPDNDKEKTPKPVTTPGYGYGVGRRVVVAGGGHQHQATYCDENEQKHLHLCIAEPDDYSNKEWPLVTVKTIWEMREKHKHIFHGKRGDFLEDLCLSFSLFKMLRRRFEHYPMVEVGSDMARAMMLDGLLKLNFSSPGSNSSHDQLQRPFQVLLMELELLKNYYQQAAAPVVMSQPILFCINFLSSIIFLDFFIVAVVDILIVNKDAAPLYCRIMGWGRTPVSSPSLILSLTMLLVLTVILIEAHDFWTSFVFSDWNIVRMLCSYDRPSRRWLQKIYSVVIYIRYCLLSSSKNKMTIYQVSILDACGPIDKHFARTSQVTLPASATAQIIQALCSCDIINRSTGAINLPAGIDSNQMTTTEAILAWHLATELLETTTMDNEKKQRCGCRACPPSRRCRKKMIAAKTAPSSATGWENSFQDPTARRGVKLFHRLREKPADEAWDELARLWGHLVIYLAPSNDVQGHAKALASWGADLITCLWALCTHAGITRQPPPEQHDVEVHDQQQIDVVVVHRQPTHDDNSINI >KN538857.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538857.1:26851:32656:1 gene:KN538857.1_FG022 transcript:KN538857.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAKYPLFPYDWSFPQDLQLHGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >KN538857.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538857.1:98419:101493:1 gene:KN538857.1_FG023 transcript:KN538857.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIGDVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVAGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGMTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANADLAMKKAGWQRQAMVAEVEAAKAVAIREAELQVEVERTNASRQTEKLKAEHLSKAVVDYEMKVQEANWELYNRQKAAEALLYEQEKQAEARRREAEAELYAKQKEAEGLVAMGDAQSAYLSAMLGALGGSGAALRDYLMVSSGVYQDMARINADAIKGLEPKISVWSNGAGGTGGEVGEGGAAMKEVAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGAPSSTS >KN538857.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538857.1:67416:67559:-1 gene:KN538857.1_FG024 transcript:KN538857.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPHEVVARRSAAHSSVLEGAGRTLKGRDLRRVRNAIWEKTGFQD >KN538857.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538857.1:21000:21377:-1 gene:KN538857.1_FG025 transcript:KN538857.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVGALDDGGGWSWWLCASRMANRSSGGGNILPQWSRRVVKIPDLSCLGGGGRGGFGGSRRWCLACERAHAGGGGGVCAVLGVAAVVVALHRAPAFCCAPACAAPGAASASVGWGLVGSSPG >KN538857.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538857.1:78823:79035:-1 gene:KN538857.1_FG027 transcript:KN538857.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPAGERLAGEDENLTILSVSNTMSCGELSLTGMWLSRALRLASVAEKTVYTSTNVPATSAASPAPLE >KN538760.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538760.1:192951:209366:-1 gene:KN538760.1_FG001 transcript:KN538760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPTNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSSNLKTFEEKFLTQQPQSSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAQTKKQAQVDNETTEVASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPTQTVWSTRVNKSIPPSEDLKNPQSYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFEQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSTNQGNQGGVASTTGSSQMQEWVQGAIAKISNNTDGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKSSDSSMQKQHLMNSKTEDNTLAVRSGLGAAKLEDGTTSRGQMVGAKGAEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPSSQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNTRQLKINGSTNRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLEGEWYKCIRCLRQTCAFAQPGALAPNTSNELEAWPSLVWYHSLPAAVRADCTSSCVKRLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKVRTIKVCTLLFNKCKQYWTCASNRFSSELEEEYTITYINSDHRLPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFLAPSVLDEEKYVLVNGLQKLVLPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >KN538760.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538760.1:238271:239873:1 gene:KN538760.1_FG002 transcript:KN538760.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIEHSYLPIRGLKLHVAHIGKGEAATLLFVHGFPEVWYSWRHQMIAAAAAGFRAIAPDFPGYGLSEPPVDLTQASWQGLMNDLLAILDSLSIPKVFLVAKDFGVKPAYDLALCHPDRVCGIVSLGVPPLVESLSFSGLPEGFYIHRWREPGRVEADFGRFDTRRILRTIYILFSRSEIPIAKQGQEIMDLADESTPMPQWFTEEDLSAYTDLYEKSGLMTAIQIPYRTKAAKAEGAKPRFEMPMFVIMGQKDYILKFPALKEYMSSDKLKEIAPDYEITYIPEGSHFVQEQFPDLVNQLVIDFVSKHV >KN538760.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538760.1:166091:167611:-1 gene:KN538760.1_FG003 transcript:KN538760.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVAARAHARAGGVPRSEGMIQDRARVGSGGAEDALDVFDELLRRGIGAPIRSLNGALADVARDNPAAAVSRFNRMARAGASMVTPTVHTYSILIGCCCRAGRLDLAFAAFSNVVKKGFRVEAITINPLLKGLCADKRTDDAMDIVLRGMTELGCVPNVFSHTIILKGLCHENRSQEALELLHMMADDGGGCLPNVVSYSTVIDGLLKGGDPDKAYATYREMLDRRILPNVVTYSSIIAALCKGQAMDKAMEVHDRMVKNGVTPNCITYTSLVHGFCSSGQLTEAIKFLEKMCSNGVEPNVVTYSSLMDYLCKNGRCTEARKIFDSMVKRGLKPDITTYSSLLHGYAIEGALVEMHGLFDLMVQSDMQPDHYVFNTLIYASAKQGKVDEAMLVFSKMRQQGLKPNCVTYSTLINGYCKITRMENALALFQEMVSNGVSPNFITYNIMLQGLFRTGRTATAKEFYVRIIKSGKKDLIEQGLLEELDDLFLSMEDNDCSTVSTPAC >KN538760.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538760.1:212535:214991:1 gene:KN538760.1_FG004 transcript:KN538760.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWVEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVIKVIERSIKTLQREGLDIDREAWLKEAEAAERAGSMLTCQAIVKNTIGIGVDEEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVSKKSIWLKAAQLEKSHGTKESLYNLLRKAVTYNPRAEVLWLMSAKEKWLAGDVPAARAILQEAYASLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHGSKAKEVYENALKHCPSCIPLWLSLANLEEKINGLSKSRAVLTMARKKNPATPELWLAAVRAELRHGNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTQKDVLQRCVAAEPKHGERWQAITKAVENSHLSIEALLKKAVLALGQEENPNAADP >KN538760.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538760.1:226533:227325:-1 gene:KN538760.1_FG005 transcript:KN538760.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPGYVNELLLDFLKNHPVAI >KN538760.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538760.1:240678:242695:-1 gene:KN538760.1_FG006 transcript:KN538760.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGGNKKGRKDSPSPPLETPPRGELPSTSSSSSSRATKRHRVAGMADRYFPNDLPDFVAEAPDGGRGLLSLPYSSLSERLLRAALRIKDKVVEETWTRARRQVTDYTLYTGALGTALLLFKSFQVTGNRADLALAGDIVKECDAASRGLPFLTFICGRAGVCALGAVIAKHCNDQLLLTHYLSSFDEIIVTEKVPNELLYGRAGYLWACLFLNTHLGEKTIPHEHITSVAKDIIDEGRKLAKKGNCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEKDDVKNTLLYMIRNRYPSGNYPSSEGSESDRLVHWCHGAPGVALTLAKAYQVFHDEHFNQSAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLFRAKAFACFLLEKADQLIADGAMHGGDHPFSLFEGRAGMAYLLLDMVSPSESKFPAYEL >KN538760.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538760.1:158718:161678:-1 gene:KN538760.1_FG007 transcript:KN538760.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGRALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGVVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQSEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDREIEISETKGSCTRHLCNISVPLTVSTGSHKHKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTVMVLLSKPILALEFNSLEMHVDAPKIVIPHSKKKEVRYASARFNKLLGS >KN538760.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538760.1:271545:273921:-1 gene:KN538760.1_FG008 transcript:KN538760.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASRAAGALRSEGSIQGRGGHAGGSGGGAEDARHVFDELLRRGRGASTYGLNRALADVARHSPAAAVSRYNRMARAGADEVTPDLCTYNILIGSCCRAGRLDLGFAALGNVIKKGFRVEAITFTPLLKGLCTDKRTSDAMDIVLRRMTELGCIPDVFSYNNLLNGLCDENRSQEALELLHIMADDGGDCPPDVVSYSTIINGFFKEGDLDKAYSTYNEMLDQRISPNVVTYSSIIAALCKAQTVDKAMEPKEAIGFLKKMRSDGVEPDVVTYNSLMDYLCKNGRCMEARKIFDSMTKRGLKPDITTYGTLLQGYATKGALFDMHALLDLMVRNGIHPDHYVYNILICAYAKQEKVEEAMLVFSKMRQQGLNPNAVTYGAVIDVLCKSGRVEDAMLYFEQMIDEGLSPDNIVYTSLIHGLCTCDKWDKAEELILEMLDRGICLNTIFFNSIIDSHCKEGRVIESEKLFDLMVRIGVKPDIITYSTLIDGYCLAGKMDEAMKLLSGMVSVGLKPDTVTYSTMINGYCKISRMEDALVLFREMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVRITKSGTQLELSTYNIILHGLCKNKLTDDALRMFQNLCLMDWKLEARTFNIMIDALLKVGRNDEAKDLFAAFSSNGLVPNYWTYRLMAENIIGQGLLEELDQLFLSMEDNGCTADSGMLNFIVRELLQRGEITRAGTYLSMIDEKHFSLEASTASLFIDLLSGGKYQEYHRFLPEKYKSFIESLSC >KN538760.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538760.1:254836:258577:-1 gene:KN538760.1_FG009 transcript:KN538760.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGETLRAELSSRTPPFGLRLWIVIGISIWVVIFFILGFMCLWSIYRRKPKKSFDKIPVSQIPDVSKEIAVDEVREHAVVENFRVQESHAISVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRHFSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREVDRRSRRGHTANADTESKTSSSEFEISGDRRDSGPSARRLLDYQYEPLSLF >KN538760.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538760.1:217947:222047:-1 gene:KN538760.1_FG010 transcript:KN538760.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYCVQDSLIVTVEELVLQQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLIEDLLAILDALAVPMAFLVAKDFGAMVAYDFALCHPNRTCGVMGLGIPFGNDASSMNTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >KN538760.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538760.1:168770:170728:-1 gene:KN538760.1_FG011 transcript:KN538760.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLANEVSDLCIGKPAVSVLEALDAVLSGAQALAHRASYLWVLDEDDDCRLAGIVTFADVLTVFREQLQ >KN538760.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538760.1:152013:153112:1 gene:KN538760.1_FG012 transcript:KN538760.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWSKEEDDLIKNHMEKYGIGRSWQALSDALESENS >KN538760.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538760.1:235509:235613:-1 gene:KN538760.1_FG013 transcript:KN538760.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPVRGLNLHIAQVGKGKIFLCIHGY >KN538760.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538760.1:278346:295866:-1 gene:KN538760.1_FG014 transcript:KN538760.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRDFLKASRAAKAAGMEKGSYTIVHLDLASLDSVRQFVGNVRRLGMPVDVVVCNAAVYQPTAKQPSFTADGFEMSVGVNHLGHFLLARELLADLTSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLDGVSSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHGETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAKKVWELSEKLVGLADHDQVKVVLFTGGSWDGNMGLLLVQQIIDAILVLNIDDPPCTIGAAALLFVLASDVQENHLLDSESCVHFLLKLLNPPVNLVDSKAPSIGSKLLGISKVQMLNGSNKDSDCISEEILSKVEEILLSCQEIKSLDKDDKKTTRPELCPKWLALLTMEKACLSAVSVEETSDTVSRVGGNFKETLRELGGLDSIFDVMMDCHSTLENLIKDTSTSALDLNEGTSLQSAALLLKCLKILENATFLSDDNKTHLLNMSRKLYPKRSSLSFVGVIISIIELLSALSILQNSSVVSSSTYPKSSKVSQQSCSADVMGGTSFNDGKRKNSKKKNLLSNQTRHSCLSSKSEVSHITISSGSDAGLSQKAFNCSPSISSNGASSGSLGERHSNGSSLKLNIKKDRGNANPIRGSSGWISIRAHSSDGNSREMAKRRRLSENVITDSGGGDDPFAFDDVDQEPSNWELLGPKKKSPQKHQDKSGNGVLVASHEPDQPEDLNQSGTTSLFSAKDESSLLEDCLLASVKVLMNLANDNPSGCELIASCGGLNTMASLIMKHFPSFCFVVDNNYNTRDGASSCRDVNLDHELSSSQNSKAHQVKIKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLSSARVPVDLSQNPQSEETQRDVIALLCSVFLASQGASEASGTISPDDEESLMQGAREAEMMIVEAYAALLLAFLSTESMKVRGAISSCLPNNSLKILVPALEKFVLKYSTKSSQHIGYVIRCSLTTDRAVVVGGGGGRGRGVECQPHRVRRRPGPQVAVATASWRRRRETVVRSDFAAAGAATMGDSPQALSDIDVVSRVRGVCFYAVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKLIIHHPIEGNDAEKLCSEARKVIADTLILNGYGVH >KN538760.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538760.1:243515:253170:-1 gene:KN538760.1_FG015 transcript:KN538760.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVGGCCAVLLAATLLFSSPVTSYAYDSLDPKGNITIKWDVMQWTPDGYAAVVTLSNYQQFRHIQPPGWQLGWTWQQKEVIWSMYGAQATEQGDCSMSKEGSNVPHSCKKHPTVVDLLPGTPIDLQIANCCKAGSLSAFSQDPANSAAAFQIIVGHSGNSNETVRVPKNFSLMAPGPGYTCGRAMIVKPSRFLSPDGRRATQALMTWNVICTYSQFLAQKVPSCCVSLSSFDNDKTVDCPTCSCGCQNSKSTTGKCVKKNAPDLQSIIHGPGRWTWQPLLQCTSHMCPVKINWHLMLKDKEHNRVKITVTNLNYRMNFTEWNLVVQNPNLDITQISGFNYKPLMPYGGGINDTTMLWGVTPYNDLLLQAGPLGNVQGELIVRKDSRASSTTNKGWAFPTHGILIDNESFIMILTIPTYASLISREFIGIGYVYVHLHRGLEMRGGEKGEPWTEVLSWEPRAFLYHNFLSKEECEYLISLAKPHMKKSTVVDASTGGSKDSRVRTSSGMFLGRGQDKIIRTIEKRISDYTFIPVENGEGLQVLHYEVGQKYEPHFDYFHDEFNTKNGGQRIATLLMYLSDVEEGGETIFPSSKANSSSSPFYNELSECAKKGLAVKPKMGDALLFWSMRPDGSLDATSLHGEIPILWLLTNSNLSMLLLKERKEKRSFLAHVEDFNFSIEKHYNFF >KN538760.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538760.1:190112:192073:1 gene:KN538760.1_FG016 transcript:KN538760.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYEMIQNYKVLQDVFNKLKITKITELKLLVDSLEKERDFYFSKLRDVEILCQSPEVEHLPIVNAIHKVLYAAEDDPSMVAEAQAMISQQQQQSEQPMLSPILEASEERPAKQEAHKRKSISDLELEEFGMASSSRQRLSDISDVQLCGSPLTSFT >AMDW01040373.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040373.1:34:909:1 gene:AMDW01040373.1_FG001 transcript:AMDW01040373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAEDPLVRDEAILDDDDDDVDTDEEESESEDDSGEEFHAEPSKKAVYNKEGILEKLEDIAWPENVDWRHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEESEERKKAREAKKRAKEVQAQKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGDEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDKSQNKRRKMG >AMDW01026541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026541.1:106:258:-1 gene:AMDW01026541.1_FG001 transcript:AMDW01026541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SRDEEGVDGDGDGDDETLACGGSFSPNTDELEILLESYFVQIDGTLNSLST >AMDW01084905.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084905.1:46:582:1 gene:AMDW01084905.1_FG001 transcript:AMDW01084905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYVNNGNLEQWLHGDVGPVSPLTWDMRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKTWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGR >AMDW01037519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037519.1:298:615:-1 gene:AMDW01037519.1_FG001 transcript:AMDW01037519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGTKYIRLLQKGGN >AMDW01128875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01128875.1:282:1212:-1 gene:AMDW01128875.1_FG001 transcript:AMDW01128875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSFESASSSSAAALDFAEPGAAEESDVPEESDQEEEGYAPEAEEEAEADDEVEEEAVEASAEVAEEAEDVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGIVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEIFHRY >KN539050.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539050.1:104639:105713:-1 gene:KN539050.1_FG001 transcript:KN539050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAVYTHTHTEVYKWYLPPYTTFVPVQDKHQQTGAQSGQEGSSSSSIWQKLLMSPLSCLAIFIVVICITERRQIADDPINYSVLNIVVEVISAYGNVGFSTGYSCARQVRPDGSCRDLWVGFSGKWSKQGKLTLMAVMFYGRLKKFSLHGGQAWKIE >KN539050.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539050.1:42885:43115:-1 gene:KN539050.1_FG002 transcript:KN539050.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIELLGVCTRGRTLTLYRLQKKGEAAAAAEGEYLELRSLRWSSARRAVAKAEGDQLVRKHAEVGLVLVPAELG >KN544202.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544202.1:2634:3565:-1 gene:KN544202.1_FG001 transcript:KN544202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CGVVDIPYPFGIGPKCSRGDGFDIACNTTNSTGVLVPTLAAAHRHAIQVRKLTVFPRPEVKVMLPVAYMCYNSSGNVTKQFDGDVELNNEGVYRISDERNMFVVIGCNTVAWNQHGDSGGKGLYRNLYYAGCVTYCSDSQSAKDGKCAGVGCCHVDIPPELTDNVVTFQQWPRGDQVDFSPCDYAFLVDKNEYRFRRSDLKMELNRRMPVWLDWAIRDRDGNASSAASCPSPEVEKKKPAWYACVSANSECVNSTNGPGYYCNCSNGYEGNPYDKDGCQGRPRGQQNLIKIG >KN539050.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539050.1:110489:111328:1 gene:KN539050.1_FG003 transcript:KN539050.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRKTGKSVFPRRTCGMTRRRSPGNDLNDTIPSFVGTNPQLANVETTVKMANVCALIPFPATTAPAPPTALRYTTMAVYPATCTTAWYPITTNISSLVVVVFDADDDDDDVPRMLVKTSPPSSMRRVMRRSWELEKDSISTATMLDTVVADTDVKIRSKSMGLGTMLVFIGRAFSIKKPKREMKRKYSSWSQNGCAWKRKRW >KN539050.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539050.1:142329:143996:-1 gene:KN539050.1_FG004 transcript:KN539050.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYAATRPAYPPELFEFIASKTARHDLAWDVGTGSGQAIPPLAKLYKDVVGTDTSAQQLSYAPRLPNVRYVHTPPDLPLDGIHAAVAPPGSPYWAPNRRLVDDRYSGVDFPFDPVDGEAHTGPFEFSTERRMDLDGYLAYITSWSAYQTAKEKGVELLDEATVRGFADAWGGDRAEVKTVSLMREQRPSASAYTHDRNAGNEMASPVAVSTSVGCVGSKDDDDHSSENTSAQNEAGRQEEARLVERRCRA >KN539050.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539050.1:33660:34025:1 gene:KN539050.1_FG005 transcript:KN539050.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSRFISPLVSNSRSASGKVVLVLRGFPSFEIGVAEKLRVATVTARARFHRDAYRQRSGESIDAVLTEMPLNFVIESSPRVHDANWRPYNRVRLGRNFSAGTMKFSAHNEQTNLEQFA >KN539050.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539050.1:133598:137918:-1 gene:KN539050.1_FG006 transcript:KN539050.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVFGFYWIRETHRSYRNAEMIGFVECSLYRLIHVTEDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLIGLISKCLGCIFVQRESKASDSKGVSEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYTMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEGNLILSNLGLAEKRVYHAALNGNSRAIHQKDD >KN539050.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539050.1:18802:19371:-1 gene:KN539050.1_FG007 transcript:KN539050.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAFFCCLAFGHGGTVVKGQGKSERDSRSSDLRKQAWYMCFELPVLISDRKRKPFEAVGTIFFFSKTETNNAQRQTSL >KN539050.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539050.1:66296:77215:1 gene:KN539050.1_FG008 transcript:KN539050.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLAVPLLLTLCLLGAAHLLTVAHTLCSVQLMDALRGGNLQVEPNMLHSPKPLMSTRRGCVASPTIANLDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIEMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVATRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEKQSASGDWFKGHAAKDKRKTERTVGGRGGEAEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGVEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >AMDW01062368.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062368.1:36:176:1 gene:AMDW01062368.1_FG001 transcript:AMDW01062368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLPFQDRNLAGMYRKIHRGDFRCTKLFSPELIRLLRGVLVSNP >KN539050.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539050.1:150807:155571:1 gene:KN539050.1_FG009 transcript:KN539050.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAASLHGDSNGSTTTASSSSGNRATNRRNQCWETTAKQVSTVQGEAVQEQPAAAKNQPRKPRLVWTWQQNAKFSEAYKEELSRSGKVVPTKLLKRLKSMNETGLTLHNVSSRLQKYRLSLKRQTSHVDQSTSTDSTTASSIQTKQTPALLQQIIHPGALHTQLAPDVHQVITNLPQQHIRHYQPNQILSQLYNDEPANMNHQPVQILPQAHCNEPINMHMHHQQVERLSEPHSSEPIYKEYNNLAQRFTQVNYHGHSSIHDHHYANIIKKLLPPNVMQPCDLINALPQQPAAATACYMQSNTQTVSSALLVKGMQNHPPDHHIQAFGVLDMGTAQYMGQHLNMYTAEGNWRGTSTPQNMRPLSEVHRHVSEPPPSYFSNNTKANGRSQEDLDVVLQQQLVINDSLVIEYPMENIRRADNIPGGIRCYVDAAWDNGRTGLGIFFHDPQSHSAIFIQASSDKAQSALQAELTALYLALQIAMFLNFLGVTFLTDNATIADTAKKRRFMEEPGHWSLRPFWSQIISSVPTHLIQVRWIPRELNKMADKLAKDAKSSSRRDLVHSCQNIAHLAYPQRGCDAKHLK >KN539050.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539050.1:84394:89338:-1 gene:KN539050.1_FG010 transcript:KN539050.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDMVGVRKAAAESSSASSVGGERQRGGYGKCRLMNYMTSSSSRERGAAGAAPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLFAARPPSSTTGASGSGSSVICKSEMSRLGEDSDGESAQDMSSESDHEHLRDRCLVNSISADQDGFSSDDSESGNQELYPVFQYMEHDAPYGRQPLADMISLLANRFPDLRTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTPAEGTLSGHPETNVFHNSKIYDVPGKVTLPLIGLASHKFNGSMWTSNQEHEQQLTKSLLKAADDWLCQRRVNHPDYRFFLSH >AMDW01142303.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01142303.1:136:507:1 gene:AMDW01142303.1_FG001 transcript:AMDW01142303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVVAARRRDEETGERGGECGCGARRRTVGVRRGVVERGGEVRVRVWRAREWAGARVRVGGGAGAWGGFGGDSWRSHGRWSMASGGKTNGRSRRGESTMQDDDLRYEGPATSGDRTIGNNT >KN540471.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540471.1:8364:10717:-1 gene:KN540471.1_FG001 transcript:KN540471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYTGEEVLFWKQSLHQVRNIPCGTKGGVMISFDKIEVVNRLHKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDLFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPDSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMITKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRMLRNYLDNGSTKDHLEI >KN540471.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540471.1:42501:43724:1 gene:KN540471.1_FG002 transcript:KN540471.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGATGAVRKRHGEHAGDASRPFQSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYAVSRRPLPPWSPPPSPAVTHLCVDLADPAAVSAALAPLADITHVFYVAWAPHFVEEDQNREANSRMLRNVLSAVVPNCPALVHVSLQTGSKHYIGPPESIGKFAIETPFSEDTPRLDNCPNLYYDQEDALFDAVSRSRGAVSWSVHRPSLVFGFSPRSAMNVVCTLCVYAAICRKEGGRKLRWPGSLGAWEGFSNASDADLVAEQHIWAAVDPAARNEAYNCSNGDVYKWKQLWPVLAGRFGMGWSGYEGEEGRVSLTEAMAGKEAAWAEIVREEGLVAAAELDQVANWWFVDALFMDKWEFLDTMNKSKEHGFLGFRNTVKSFGTWIDKLRLYKIVPSCRIVSSL >KN540471.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540471.1:24083:25920:-1 gene:KN540471.1_FG003 transcript:KN540471.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAALALVLAVLLLSSTLAASDFCVCRSEQPTALLQKAIDYSCGQGADCTSILSSGGCYNPNTVAAHCSWAANSYFQKFRASGATCDFGGAATLSSSDPSFSGCTFPSSASAAGTTGLSPGVGTGTGTLSPGVGTGTGTNGTGMGSTLSPPGTSNFDGAAAAAGLLPRAEPAIFLAVLLLSFLALP >KN540471.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540471.1:16676:20106:1 gene:KN540471.1_FG004 transcript:KN540471.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRLLAASTKIVGVGRNYVAHAKELGNPVPKEPLLFLKPTSAFLHAGVAGAAIERARDVPEASAMDFIGGYALALDMTAREFQSAAKSAGLPWTLCKAQDTFTPISAVIPKSAIANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRSFST >AMDW01077845.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077845.1:167:1509:1 gene:AMDW01077845.1_FG001 transcript:AMDW01077845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGMNQSSSARVRRDSAARAMQGWHAHRLLTNGIYGDQMLKEYSRGRKHLVQSVLSRDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADM >AMDW01033234.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033234.1:66:492:-1 gene:AMDW01033234.1_FG001 transcript:AMDW01033234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRAILHGDFKSANILLDDQHNAKVADFGASALKSMNE >KN542185.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542185.1:4271:6655:-1 gene:KN542185.1_FG001 transcript:KN542185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVPLPCYLQSPPSAPHPRHSAAFSRSLRPCRPNGPPPAFASAEFPGSVPDIAQMPPRRRHRSVAGIDQDDLLDPDALADPDSSFYKINGIRVHHKVCTHEDSSDQSADSAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSGDDTKPINPYSMAFSVIATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKEYGVGEQEWQNKKDSNGSNLPANPLNRIWGKFLELCLWIAGFLMNMIRSIVSIVRSLYCKAVVAVLRSSVGVRLVRLVMDKFGILAVRNAWYDPSKVTDHVIQVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLTRAFGRPNNEQEQVLQAAV >KN542185.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542185.1:18108:18557:1 gene:KN542185.1_FG002 transcript:KN542185.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGGSDAAGSGGDEVGGRTAGTEGAEEETGADVDVEEESTVSEVDAEEEADVGDPSTVVERTNAVRASERAACAALEARFAARLATEEPVAGSTVLPSRGVKDVRTASATAWTASSRAARAESGRRVGGAMKNAGRERCVRKLDGI >KN542185.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542185.1:10816:14445:1 gene:KN542185.1_FG003 transcript:KN542185.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLQGGSYTSIKSMNEPKLGLWETLARKAKGILDEDGTAHKSDEYTKQKTPRKFDSSTGAQVRWQVLEKQSRESRSRWSFENHSRTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYMPNPAFDTLRPPNLSHDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKAEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDCDMENSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSNVSKPNALVPDTD >KN540684.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540684.1:2286:2756:1 gene:KN540684.1_FG001 transcript:KN540684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEACPGPFNEVHVLFNEPVDVTLLGHGGANFLLLERPPLAYVLPLVGDQRRAPLGEDLLQGVNGRDDVVRDPGEHRALEAEQVRRVVVELVHAGVVEVQALQGFDEVEDVRQRRLTSSRRLATRGKDGHRENIGRNEINVEITILFQKDRPKCVYQ >KN540684.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540684.1:35602:36480:-1 gene:KN540684.1_FG002 transcript:KN540684.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGGPSLGAATSAAPGNDMDANAVVHAARFRKERAMASGATRAAERKKRRALHHMATAVGPTPNQVLMPIPAVTSPPSLVVETSTHVAPSRTAAPNLAIILVPATTSAPRKTTASSPTKVPILPVVPILTIMDTPSPNTTSSLATGPSSAAALAARSTLSSGGRPIVVRATTFKKDRSRAGAATRAAERKKKKAMLQTSVVSIQAVAPGPTAKVIPATASKRNPVVAPSMPVAFSRVDEMSPPNTPSFDLVLTLAATDQPKTTNGSTAQRNKVSKSTENLNLELTLGTLG >KN540684.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540684.1:16827:23529:-1 gene:KN540684.1_FG003 transcript:KN540684.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAVAVLVAGTVWLCASSAGFMGGPYGGYRVQVHLVYRVERFSMLPLKLHQCNGKYTRNTLRVKQIKFYVLTCTPPAETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDKSGFPGIYDVPHFLKTLKHDVHIVTSLPSIMSKGKTKKLKAHKIVPPRDAPLSWYTTLALEEMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALQFKPHIMKISNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIEYREKNFAKKILVYRDRRIIGKCPLTPEEVGLILRAIGFDNSTRIYLASGEIFGGDRFMSPFRAMFPRLDNHSSVGPEKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGHVTGFEDRVRQVMFNSHFGGPHKRIHPESFYTNSWPECFCHMNPMNPSDKCPSDDPHDVLGGLLQNEEIEDVDLKTTDKIESTTQIEETMI >KN540684.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540684.1:6991:7746:-1 gene:KN540684.1_FG004 transcript:KN540684.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGADELPFHDWQVVDPASMAYIHHLVESLEHLTFDDACMLQLDGGDNASDLFNLHRPVITGVPHDVASALNTLEEILSRGSPTLEAYQREDIRETRVLQEEKVRTAMAEVRYIDGLVDEHMDAVEGTRARLHTARDTKQQVLEKITAAEAAAADCGEQTVDVTSLELELSEAEESEAALLAEFMNQWQSVLAVHKHRGVAKNRFEDEVVALMAIPQMPGHSEDQHLVGDAEERYEDSVLLLDEFLDMQY >AMDW01040437.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040437.1:26:818:-1 gene:AMDW01040437.1_FG001 transcript:AMDW01040437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLGFQNLYDKACTKIEEARTSLQPEPLFIRPSTQWYAHCAQSQKPSLTEAVLDGCGVWHICFSIHSSRDELEQALELLQPQWVISTTPPCFAMELSYVKKKCFKTRLTADDPLWKIFKNPLRKSVSFPSSPSTLLDSDMHTNEDHSISVDDDHDHSASPSGEECTDFDICTLELKFMPSPPVQEPDITLFGRARFGSEEIDIMREELCNQRIAVEEARAYSTAYLICDGSSEVETCPNSGTDFVIVQASKSQQGYSGYEDEDPS >AMDW01019332.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019332.1:7:210:1 gene:AMDW01019332.1_FG001 transcript:AMDW01019332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHGLRRLVAREAARSSFDEVVDTVRELTGASIAKRQVEELTVRAAQDFDAFYEQRAQQRDPSEDLL >KN541858.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541858.1:12369:17922:1 gene:KN541858.1_FG001 transcript:KN541858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELAKAGIPLNQLGVSTKPQEQIHDASNLQRQQPSMNHMLPLSQAQTSLGQAQQKLLLDMQQQLSNSQTLSQQQMMPQQSTKVPSQNTPLPLPVQQEPQQKLLQKQAMLADTSEAAVPPTTSVNVISTTGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPILGRNKHCSMINTEKVPQSAAPMSVPSSLEAVTAIPRMIKDSPKLNHNVKQSVVASKLANAGTGSQNYVNNPPPTDYLETASSATSVWLSQNDGLLHQNFPLSNFNQPQMFKDAPPDAEIHAANTSNNALFGINGDGPLGFPIGLGTDDFLSNGIDAAKYENHISTEIDNSYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSTINDGGFLNRSSWPPAAPLKRMRTFTKVYKRGAVGRSIDMSQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVKCIRILSPQEVQQMSLEGCDLGNNIPPNQACSSSDGGNAWRARCDQNSEAILKISMMKSKVEDVRSVFFESYAFAFCKCYAWLFFRYWNTA >KN541858.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541858.1:18256:19590:-1 gene:KN541858.1_FG002 transcript:KN541858.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAESVRLSQPQVITGVTDAELQILDEFEDVEYVRTRVEISLTDTSETMLADTYVWADAEDPNLYGEWDFEVREWKRLHMKDFLAMTHGFMDGLEQPESKSRVETYQSFMQEIQQPGTTTTQVEI >AMDW01073344.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073344.1:117:476:-1 gene:AMDW01073344.1_FG001 transcript:AMDW01073344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGIKRVCPGSRTVKANHDACLLRYSDVPFFDAADLTYGKLENNCYPFSYNVLYVVENMTAMKDKLQGLMSKLADRAGDKQLRLINDSVPYVDSKLRTSRLYGMAQCTRDLAGSECQKCLK >AMDW01038029.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038029.1:67:738:1 gene:AMDW01038029.1_FG001 transcript:AMDW01038029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGKLERAVHLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYAPDLDFVLHDVDETLKVQMLMRHSEKLAIAFGLISTAPGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRGGHCSCGDYW >AMDW01016426.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016426.1:161:241:-1 gene:AMDW01016426.1_FG001 transcript:AMDW01016426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYVSAAQLVPMLRDPRIAVVDV >KN540921.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540921.1:17254:22910:1 gene:KN540921.1_FG001 transcript:KN540921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVILLCSTLLLYSPAAVCTVGSSSSSTNATDKQAAALLSFRSMVSDPSGALTWWNASNHPCRWRGVACGRGRHAGSVVALSLGSSSLSGLISPFLGNLSFLRVLDLGANQLVGQIPPELGRLGRLRELNLSGNSLEGGIPPALAIGCTKLESLSLDSNHLRGEIPGEIAALRNLAYLNLRANNLSGEIPPSLGNLSSLHFLNLGFNMLFGEIPASLGNLSQLNALGIQHNQLSGGIPSSLGHLNNLTSLLLQANGLIGSIPPNICNISFLKHFSVENNELSGMLPPNVFNTLPMLETFDAGENMFHGHIPSSLVNASKLSRFQIMLNHFSGVIPPELGGLQGLKAFILTANDLEAKESNDWKFMKALTNCSQLEVLELEDNKFSGTLPSVISNLSASLTILTLASNKIVGNMPREIGKLINLGALIAHNNFLTGSPPSSLGMLQNLRILWLDNNYFSGPFPRVICNLTHMDSLDLGRNNFSGSIPIAVGNMVSLSSLRFSFNNFIGTIPTSLFNITTLSIYLDISYNHLDGSIPPEVGNLQNLVYLDARYNQLSGEIPITFEKCQLLQILYLQNNSFIGNIPSSFSEMKGLEILDLSSNNFSGQIPKFFGHFLTLYDLNLSYNNFDGEVPVFGVFANATGISVQGNNKLCGGIPDLHLPTCSFQISKRRHRVPVLAIVVPLVATTICILSLLLFFHAWYKKRLTKSPSTMSMRAHQLVSYQQLVHATDGFSTTNLLGTGSYGSVYRVLKLQTPGALKSFTAECEAMKNLRHRNLVKIVTACSSMDFNGNDFKAIVFDFMPNGCLEEWLHPQIDNQLEERHLNLVHRVGILFDVACALDYLHFHGTTPVVHCDLKPSNVLLDADMNMVLEIWFQLMETSTAMEFLFLKRLPEGGLQIIHLSEDSAFAREELKSVSPIGPTPSCRFGPKPRSHRREKSREGRRPEKRKREDTAHGGGEEGFKEPEKSKEAASQPMEADAGGPVDPRELVSSDDEIDYSVEPEFYDPDLDDVDERHETYVNQYRAMFVRNCKVKTDQILREGKGKRKNRKGKAADSSTTSEGEKKGAVYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >KN540921.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540921.1:35320:36917:1 gene:KN540921.1_FG002 transcript:KN540921.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCKIFFFRGIGEEPPNMIKGFHAPSKRYLGLQSLNSVVLAYEVNFAARYSCCEADYIDDGSRATGAVLSRAARMRQKLQSSLEASAIDIEDVPYQHAGHTAVKDNANETHFNIRVISPKFEGQSLVKRHRMLRLLSNRFI >KN539192.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539192.1:49104:50850:-1 gene:KN539192.1_FG001 transcript:KN539192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRAVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIIVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQENVVDESLEYLILATDGLWDVMQNEVSLVESLFVDYAT >KN539192.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539192.1:97705:101453:-1 gene:KN539192.1_FG002 transcript:KN539192.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTNIAAHILPLQCASSREITFSRCKSHPRLAWSSSTVVPARGLLHYTVLNSLVNSAKPITRRRKGLLGVYKTESMRKHNTNEKEVEIKEIFLGRENDKRPSESKGAAGCGQKQLLSMDGFHWPYQQLFRMMRSNFTSSFKGVDKGQDVQIIACDGWFRVAVRVGQLVIYVLTMAI >KN539192.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539192.1:14442:16938:-1 gene:KN539192.1_FG003 transcript:KN539192.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCTGGAGGVAAGRLPAVSLQQAQWKLVDERCELWEEEMEYVRWFHRYELVATGATPSLPSTSGCPSKLALPSTRSIERLGFPDDNDHTLRVKFFGGDHMLKDYSSTLIIHLEVIDGQLVTLVIESFVVDIPEGNTKDEICYFIENLLKFNLRTLRV >KN539192.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539192.1:55473:62478:1 gene:KN539192.1_FG004 transcript:KN539192.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGREDKYVRFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRSVLHEKKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSPTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPTLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDVCSNNSTGCNQAYLYCGDKENIFLQTACLPINSNNIDPNFGIYVPALNNVLQSTDFLAKLFYCVCWGLQNLSSRGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLQESVPARLLQKPAEPNFAAEEQ >KN539192.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539192.1:70097:79789:1 gene:KN539192.1_FG005 transcript:KN539192.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSPTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLRSSEVAKAKNILMFIVLCQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDVCRNNSTGCNQAYLYCGDKENIFLQTACLPINSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVCWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIANVQTYLKSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDPLLDALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTDGGRTGFFNSDVLRGGDFCGEELLTWALDPTSVSRLPSSTRTVETMSEVEAFALPAEDLKFVATQFRRLYRKQLRHTKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLQESVPARLLQKPAEPNFAAEEQ >KN539192.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539192.1:8913:12061:-1 gene:KN539192.1_FG006 transcript:KN539192.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSLQWAQWRLADERCELREEEMEYMRRFHRHEIGSNQCNSFIAKHVRAPLQNVWSLVRRFDQPQIYKPFVRKCVMRGNVETGSVREIIVQSGLPATRSIERLEFLDDNEHILHVKFIGGDHMLKNYSSTLTMHSEVIDGQPRTVVIESFVVDIPEENTKEDICYFVENLLRCNLRTLADVSEEILAIPC >KN539192.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539192.1:110458:112214:-1 gene:KN539192.1_FG007 transcript:KN539192.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGAGGAAAGKLPMVSHRRVQWRLADERCELREEEMEYIRRFHRHEPSSNQCTSFVAKHIKAPLHTVWSLVRRFDQPQLFKPFVRNCVMRENIIATGCIREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTVHSEVIDGQLGTLVVESFMVDVPEGNTKDDISYFIENVLKCNLRTLADVSEERLANP >KN539192.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539192.1:123631:127703:1 gene:KN539192.1_FG008 transcript:KN539192.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAAAAQTRGSGDDGSHKPLPPCCLKAKAAAAESEAKCHATVVSGWFTEPRSHSGKTSKVQYFNNPMWPGEAHSLKVENILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTEKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHASVENIDICEIDQLVIDVCKDFFPQLSVGFKDPRVQLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGEILLLLNHHMFQFCAPFVFVPHEDPSHIIGPAQELVEKPFFQTIARALKPGGVLCNQAESMWLHTHLIQDMLSICRETFKGAVNYSWTSVPTYPSGVIGFLLCAKEGPAVNFLSPVNPIEKLEGAMEAGREIRFYNSEVHRAAFVLPTFVRRELESHNTCAEKDKSETKPVAKPKKMKIMPNSAIPTAS >AMDW01033583.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033583.1:26:496:1 gene:AMDW01033583.1_FG001 transcript:AMDW01033583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHKAGIDYWANTLASAFAADGPLNAAHREITRLITLHGVAAHLLIRCLELHDFPHGDEAAWQRWWEHHDAFIPRAHNALLRLSSATSAAAAAEDFLRLRSALSPGRNDWPSEAKQHVRNARRDIGEARDAVILMRDAAVREFFETWMILKRSQASR >KN539192.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539192.1:65418:66585:-1 gene:KN539192.1_FG009 transcript:KN539192.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLLLLVGYVVRPPILQYHVVIPATRKWVQLPPRPSGQAFIKGQCTLRTWSTLYFTPSMSSQFEVFVIPYACVIPYASSLLRNIQLDPMKEEIEWPPSPCTMHVFSSRQSNGKRGHLFEKGRLQELWPTDDQIFQSFYTMPSTGREYFMYVAKPMLLRDINYHESPDHYEYDNGEAMEERKFEWDLDNDNVIDTKVRSNLDLPGYITFLGFHPYKEVVFLSHTLTRGLAYHLNTRKVQDLGNLCPKHYGTGMGIQAFIEGSFAYTPWIGEFPEDNS >KN539192.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539192.1:31365:39166:-1 gene:KN539192.1_FG010 transcript:KN539192.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNELGDDDDGEDEVGFGSARGRRERGGRQSEFSHRGRGGNDRGGRPSEFSYGGRRGNDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGPRGRGGRYGDLDDDEGGFGSSRGSRGRGGRMSGISRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGGMSGVSWKGGRGSDLDDSEDDETDSIDSRGSSRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDSELGEVDEDDGPSGFEDDLFDDDGGEKDLVKSPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDKKRPPISVVVVCPTRELADQAAAEASKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKDMSVLALKNIGNLSIR >KN539192.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539192.1:1093:2332:1 gene:KN539192.1_FG011 transcript:KN539192.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGGGWRGRFQPRPAFQARRPAGQVFQGKQGQAGGGVDRQYMVRGEGSKGDQAEIKLKEGSSLQKSLEEKDSAPDLQAQEKPKDEAPMPNPPPQANPKHAKVVRNLLEEALLDKPLLKL >KN539192.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539192.1:85722:87095:-1 gene:KN539192.1_FG012 transcript:KN539192.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIRQLHRHEPGNNQCTSFVAKHIKAPLQTVWSLVRRFDQPQLFKPFVRKCVMRENIIATGCVREVDVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMSKNYSSILTVHSEVIDGQLGTLVVESFVVDIPEGNTKDDICYFIENVLRCNLRTLADVSEERLANP >KN539192.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539192.1:117904:118194:1 gene:KN539192.1_FG013 transcript:KN539192.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLKKQMATVVEGSARQRCTFEVAVVGGWNTSDIEMAGRWRMLIMLLWRRSQGTRKGKESTWGHHTGRALQTSSQWMRNCAGEVEPFKAINRVS >KN539192.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539192.1:42293:44055:-1 gene:KN539192.1_FG014 transcript:KN539192.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRAVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIIVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDEGLEYLVLATDGLWDVMRNEVSPVES >AMDW01031936.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031936.1:78:441:1 gene:AMDW01031936.1_FG001 transcript:AMDW01031936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHGRKLWLTQGMFRANGGCGYVKKPDILMNNDPDKLFDPTSKLPVKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYAR >KN545135.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545135.1:1434:1826:-1 gene:KN545135.1_FG001 transcript:KN545135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKIVKGIISALVYLHHDRDPYILHRDIKPSNILLDKKFNARLADFGLSRTADNGTIQSSMVVGTANYLDPECMKTGKFNRSSDVYSFGLVLLEIACKKDENSYAQVWERYIDKTLMQAADDRLQGAFDKR >AMDW01039377.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039377.1:278:958:-1 gene:AMDW01039377.1_FG001 transcript:AMDW01039377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEGGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGMKPGQSMMFSTGSEYDSGNYASDIDRLRKVAFESSIEDSSEYGTHSSADSGEPPMRQQHR >AMDW01040774.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040774.1:25:2034:1 gene:AMDW01040774.1_FG001 transcript:AMDW01040774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSSEDDELVEGYMDVEDDTGTSNTDQGTGLMPSEMRSIRPRPSSVGNGRLMAADGLGTNDDPCLGMEFESDGAARAFYNAYALRLGFGIRVARSRSERRKGVELLIMKRFVCLKEGHHKKKDAEPSDKKKRKRLSIRDGCPAMMEVVRRGPEKWVIKKLVLEHTHVILSPDRVREVQLHRFSGKRCINECYTEVAFDMFWGTILDKYGLRENSWLRSIFEARHRWVPAYLTTSSFFAELTLTQRGETIGRFFRNNFSTRVPLDEFITKFDQHIDSLYIHETQKDLASSHPEQILKTNIALEKQARSTYTNAVFEIFQTELFEALQHYAVKVHQDGPYSKYYVDRDDPPTRHTVFYNVAEKKAWCDCCRYAFSAILCRHVLGVFILAGIMVLPETCIAKRWTKRAKTGPELIGHNLENGNCYMDSSTSRYNDLIHDAIKCAEKGAVSADNFRVAKEILRKAFMEIKCLGEKLTDDDLQQVDSR >KN544901.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544901.1:21:2542:-1 gene:KN544901.1_FG001 transcript:KN544901.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDRYSSKLPTISSLSLGLLLLISLASLTSSCTEQEKGSLHQFLAELSQDGNLSMSWRNDRNCCAWEGITCNRNGAVTDISLQSKGLEGHISPSLGNLTSLLHLNLSHNSLSGYLPWELVSSSSISVLDVLNISSNLFTGQFPSTTWKAMKNLVALNASNNHFTGQIPDHFCSSSPSLMVLDLCYNLFSGGIPPGLGGCSMLNVLKLGQNNLSGTLPDELFNATSLEHLSVPNNGLNGTLDSAHIMKLSNLVTLDLGGNNFNGKIPESIGELKKLEELLLGHNNMYGEVPSTLSNCTNLKTIDIKSNNFSGELSKINFSTLPKLQTLDLLLNNFNGTIPQNIYSCSNLIALRMSSNKFHGQLPKGIGNLKSLSFLSISNNSLMNITDTLQILKNSRSLSTLLMGVNFKGELMPEDETIDGFENLQFVSIDDCSLIGNIPFWLSKLTNLQMLDLSNNQLTGQIPAWINRLNFLFYLDISNNSLTGGIPTALMEIPRLISANSTPYFDPGILQLPIYTGPSLEYRGFLAFPATLNLARNHLMGAIPQEIGQLKMLRTLNISFNSISGEIPQPLCNLTDLQVLDLSNNHLIGTIPSALNNLHFLSKLNVSNNDLEGSIPTGGQFSTFQNSSFVGNSKLCGSNIFRSCDSSKAPSVSRKQHKKKVILAITLSVSVGGIIILLSLSSLLVSLRATKLMRKGELANNRNEETASFNPNSDHSLMVMPQGKGDNNKLTFADIMKTTNNFDKENIIGCG >AMDW01024057.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024057.1:46:312:1 gene:AMDW01024057.1_FG001 transcript:AMDW01024057.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGV >KN542890.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542890.1:10072:11958:1 gene:KN542890.1_FG001 transcript:KN542890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSDETSDLWVTAKEVGVRLEQYHKASSLTFAVQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRSMEEMAHEANEYRALFS >AMDW01034605.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034605.1:63:425:1 gene:AMDW01034605.1_FG001 transcript:AMDW01034605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCPIPPSRSAATPRLHLHHLLLLLALASDMEDAHHHHWTMVERRGNQLWASGLPFIIHGFNTYWLMSFAADQATRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTAPFHYDEDVFR >KN539927.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539927.1:63337:73771:-1 gene:KN539927.1_FG001 transcript:KN539927.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEGLPEGAAPGVGVDLYAQARKALSVRTPFEGEGTAPRVPTLPARLVNWSGQSDARKKHKKIQPQDVADVELPPQPATEPSAKTGVWEQFEAYFRPVNLDDIDMLMPKFPFGYGRLDSCILVPFVGSGKELMDTAETFDVAVAETSSYLGLGGEERVSNKEHSERSERSEQSVEQGIHEVVVRQFVGNKERGEQSVEQGIHEVVVQQENWPLEVEQATSSAGIVSSKCEEEGESSLNWLLGAKERFVLTSERPNKKRKLLGVDAGLEQLVLLPRSGAEANSVCDVCCLGESSTVSNSMLNCNRCKVTVHQKCYGLHVVPDGQWLCIWCKDLESTVQSLKKDADNTLSMPCVLCPKEKGALKPVKGEPGETAHGGNLKFVHLFCSLWTPGALVEDMESMEPVTNVGSVQENQWKLVCSICKVKHGVCVRCSHGTCRTPFHPICARESKHQMEIWGKFGHPNVELRAFCSKHSTIGYANSLERSNCASHQSPTETRLKDANLITGKVPKLRFTRKNKDKFMNYEATSFNSSNLIKVETIEQASLPHTVRSSDSLAIQGMEVDTDNLSVGGNLMRNSADVALVLRKLIDQGKVSVGDVASEVGISSESLEAALVGETTTFSHGLKLKIIKWLQNSAHIPAAQAKTLKGGLMVAHDSKPGGSEDTNSVNMKNSLVPDDEKGTTACLSDSAVMKSSSTRSKDNNKIMRDNTAVCATGVTTLLQNGIKKMAEAGAERECSSPVEDCAKGTPKEEHGGLISNNISGNTQFGTSMAIPNENKGTSPGKKRYNLTEAEPGSELEGVSSLNQYFPPGDNVKHELNSVENGVGNNHDCNADHVPGQPFSNFDDSHYYIHPLIKEKMTQLWDNTFKQDKLAPCHPEDPLCYPDEKRRVDSSIKLTETTDVMDQVSRAKSLGILEHSPDDEVEGEMLYLQARLLDTAAFLKHRYEDLIAKVVQNLSRELDAFSRRKWDFIFVNQFLRDVREAKKRGRKEKRHKEAQAILAAAAAAVAASSRNSTVRKDANDDVVPAKQENSPKFGTGPPKVGQRTSSLLRLKDLSKPPNNKISQDNNRSTFHMPNYSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYRNIENISGPWKCELCEDISPEDACVGDQSDCNGTNLSLVQCDLCHGTSGAFRKTADGQWIHAFCAEESLVKDKDTCCVCLHTVGACLKCNNGDCQTTFHPYCARHAGFYMNTKGSGGILQHKAYCSKHSIEQKEADMQQYGLEEFNNMKRMRVELEKLRLLCERIIKREKVKRERVLCDHDILAKTKDTLVFSYLTHGASSESATTSVNNKSYSGTMQRSDDVTVDSTISGKKAIRFSLNNRDAEINTADSSRTLISFKRKFSERGSLAGKQLPRRPVTSQKLEAGEKKTKDKKNRETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKDKLRNRNTQAHEPQEPGG >KN539583.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539583.1:87756:87983:1 gene:KN539583.1_FG001 transcript:KN539583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFEGATMHLPQENYVFEVVDDDDAGNSSRIICLAIIEGGEIVLGNFQQQNMHVLYDLQNSKLSFVPAQCDQL >KN543531.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543531.1:576:6249:-1 gene:KN543531.1_FG001 transcript:KN543531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TELGLKIHKQSVIFKALLVANETLALEFGGRYCLWRNSQMAIKMKGIFKGLKIISQMFVHKEHEMEIGYPTDVKHVAHIGLGTSDTSPSWMNEFTGTEDLSTGSLGTTTAPSRQTSWASLDFEQPRSMLPIEILPEKSGQEAPSCPDIPRGPRKVGNTMALQQAQETDKNTRWNDQLMVKVTSGYSHGLGLACFALVVAMAGATQFKVGGGNGWSVPAANAESYNDWAEKMRFQIGDTLEFVYPKDKDSVLVVEPADYNACNTASFDQKFADGNTVVTLDRAGAFFFISGVDANCRAGEKLIVMVL >KN539583.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539583.1:52151:58263:-1 gene:KN539583.1_FG002 transcript:KN539583.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMTSAALDEATGKTGQITVYWGQATAEGSLREACGSGLYSTVIISFLTGFGGGNYKLDLAGHAWGAVGPDVKYCQSRKVLVLLAIGGGVGKYSLASKADAKAVADHLWDFYLGGRSKSRPFGDAVLDGVDFDIELGGAAHYGELAGYLRAYRGRDGAGRKVWLTAAPQCPFPDRMLGEALRAGVFDRVHVQFYNNPACNYRDSNVAAFTAAWRKWATSLPRSSAYLGLPASSKAAGNGYVAPATLVGKVLPIVQKSGNYGGIMLWSRYWDKKNNYYSKTVKPAV >KN539583.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539583.1:37880:39477:1 gene:KN539583.1_FG003 transcript:KN539583.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCDHECDASESRACDSGCNGGLMTTAFSYLMKSGGLQSEKDYPYAGRENTCKFDKSKIVAQVKNFSVISVNEDQIAANLVKHGPLAIAINAAYMQTYIGGVSCPFICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGEKGYYKICRGPHDKNKCGVDSMVSSVTAIHTSKME >KN539927.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539927.1:24463:25017:-1 gene:KN539927.1_FG002 transcript:KN539927.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQVKQAAAGVQPVRCCSPVPKGRRAFAMKRHMYGGLGTRAHACDIVVRNRFSVWSRRNQKYIRKTRYFKFVALGSAMSKVRRKLQEWIKQHGLLTWAQYSARREELEAAEAGLQKLFHRRMPARFRKLKRRVLKEREQERRLLLKKQAHAAQGAPIMRALFVYVYSCLVQIFYYMRLIMLG >KN539583.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539583.1:6001:6774:-1 gene:KN539583.1_FG004 transcript:KN539583.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRANLSRGVKEIRFLFCQSSPASAPAREFVKKNYGDIKARNPSLPVLIRECSGVEPQLWARYDKKNIP >KN539927.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539927.1:13943:17474:-1 gene:KN539927.1_FG003 transcript:KN539927.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSSSARRRASLEVASPPELLEASPGEAAVPMNRKAVEKGEEAGPAPKLVQSEVTEVSTRAYANATPAAESSIRVVAPPATKFVQAEAIEVSTRSYARPAASVRSVASKPALLKQDSADEDKDKLVRLDKSREEIGREVVVEATRETTGASALVVEATRESTSRDIEHLISPSPHRRFRRTITSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLVDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVNVNPNDRPSAAQLLDHPFVQRSLQHKGA >KN539583.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539583.1:21258:31263:1 gene:KN539583.1_FG005 transcript:KN539583.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSRQENSCQLSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSGNAHRIGYSGTRAGRSNETEAVKHHHKCNFILHSILSTCVFNKYSIDMNCNIFSHNLLRLLKVEESLSQELAIERDKNKSLTERQTKCLSQYLLSASHFLMQLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKDASSTIQDLLEQLNAARKGRKF >KN539927.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539927.1:2787:5180:1 gene:KN539927.1_FG004 transcript:KN539927.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQESGSHTGRGEEIAARQLNPTASASPPRSLIMDGACCDGGGSPESGGASSSTSSYGSVSRLQKGVLNRSSCSEGRLQPDFLSKIYGDRFDNFTKNFEKSFGSTLRTLHLINETPVYEQDNSRCSHEDGTSAAEIKLSGADSQRPVHDIQESTSLSSMDNQIILHAGADQQLVKLPHNKASPEFDRHILNVFERSLNEQTRSNELKELEIGLNMRKLQLKQSQVALSSYSHMLEKIKISMGFQKASFREEKFRTQMEDTRHAELLRRLIDLLLTAVVFMSVCFGYGTYIYSYKRITAVTAACAAASRRSAMTGPNMPITFNVMLLGVLCGSVGRFCVDTLGGDGNVWLFFWEILCFIHLFGNSRPSLLYRMLYGPISVTDRTKASDLPYRVRRYTFYTVLSVILPCLAGLLPFASLSDWKELVVEYMKSKFIRINTEV >KN539927.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539927.1:11487:12806:1 gene:KN539927.1_FG005 transcript:KN539927.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g05750, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05750) UniProtKB/Swiss-Prot;Acc:Q9MA50] MLSSPAAPAPNDVTLLTVLSACADSPSSTLARRLALSVHARVLKLFPSHLLLSTCLARFYFASRLPHLALQLFDAMPVRSAVTYNTVISGLMRNGLVAAAFEVFDGMPAPDKVSWTALIDGCVKNGRHDEAIDCFRAMLLDGVEPDYVTLIAVISACAEVGALGLGMWVHRLVVRQGLQRNVRIANSLIDMYARCGQVELARQVFGGMRKRTVVSWNSMIVGFAANGRCADAVEHFEAMRRREGFKPDAVTFTGVLTACSHGGLTDEGLRYYDLMRAEYGIPARMEHYGCVVDLLGRSGRLEEAMRVVTTMPMRPNEVVLGALLAGCRMHGDVGMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRGLMKARGLRKRPGYSGVEIDGGVHGFVSGDRSHPQAEEISRMLGLLMHEMAGHDYDHVIDCLNGG >KN539583.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539583.1:64240:64809:-1 gene:KN539583.1_FG006 transcript:KN539583.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MERRACAVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLRAMLVRHPELFYVSVKGLRHSVFLVEAFDDDGRLLVEDDMLVARDRLEELVREGKRIRRAKKKGLLALSDDSDEDDEEEDGEEQDSVQVDGEFGDLLEVGGIGEDWEEVGDLGEGSDGDDDAELDALEEFWVKKAVAEGLVDTGSELDAW >KN539927.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539927.1:42354:45242:1 gene:KN539927.1_FG006 transcript:KN539927.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVAAAMVVVVMVSSLRGGVEAKTTIEPCTGSDSCSALLGYTLYADMKVSEVAALFGTDPAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSIRNANALASADPDAPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPIAAPGDILAIPLPDHGLIVANGTYALTAGNCVQCSCGPGNLKLSTGLQSRCPGPHQFPELTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPPPSSGSPSVQGGSFTLPKVSTANGPAGSVSEAPWMNKPHQILSSFILCLLLLYSQM >KN539583.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539583.1:11903:14049:-1 gene:KN539583.1_FG007 transcript:KN539583.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MTQPNLTYTPLVMATHKNRVILATTTTTMSSPSSRHPSPKQPPLATTLSTNARAPATPTTTQEACAADRHRDMGDAAVPAMVVEEEQEHVFRSRFPPVAVPDGVTVPEFVLDGAEAYADRVALVEAAAGARSYTYGEVARDTARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRALAAEIKKQVEDSEAKLVVANEVAFDKVKDAGVPVIGVGDNKEWMPGAISWDELLAAADRTGAGVVPVDAAQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVAPETAGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLRALVDHRVMFAPLVPPVMLAMVEEAYGLTEHSCITLTHAAGDGHGHVAKKSSVGFILPNLEVKFVDPDTGRSLPANTPGELCVRSQSVMQGYYKRKEETERTVDGKGWLHTGDVGYIDSDGDVFIVDRIKELIKYKGFQVAPAELEAVLLSHPSVEDAAVFGVPDEEAGEVPVACVVRRRGAAEGEEEMMAYVAERVASYKRVRVLHIVDAIPKSVSGKILRRQLRDEFIKRMKPSA >KN539583.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539583.1:42075:43352:-1 gene:KN539583.1_FG008 transcript:KN539583.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRERESRALQKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLPPINVGHIKGINEDKPSAGTSEKIPMVGLKPEVDSSKDDRVETIEILPLSARSLDQVGYGEVVAAVDTKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKVAAEAGRQLHCASPRNLKKS >KN539927.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539927.1:6972:10558:1 gene:KN539927.1_FG007 transcript:KN539927.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type-6 [Source:Projected from Arabidopsis thaliana (AT4G31300) UniProtKB/Swiss-Prot;Acc:Q8LD27] MDASLMGAPSAAAGDNPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEELEPQNSLLDILAAGNPDPMVQ >KN539927.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539927.1:18395:20687:-1 gene:KN539927.1_FG008 transcript:KN539927.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQRSRRPPRLSRRNAIRQSAYVARPASQLSPPSDQPAFWYSAEESVLEERVVEDEVGDHAEAGGAEAAALVVEEASTSSSSAVSVHAAMVIRRSILNWRKLDLIGAGSSGRVYKAVAEDGFVFAVKEASLIGPESYTRQTAGQLEQEIFLLSRLEHENIVQYFGAKKEETVLRIFLEFVSDGSLASVYEKQQLEESTISSYTRQILNGLTYLHHHNVMHRDIKCANILVDKNGAVKVGDFGLAKEIKVWKQKRSCTGSVYWMAPEVVRGNPYGYSADIWSLGCTVLEMLTQRIPYPDDNWVSKSYSFRLPTVSSNSLLIQFYQVSVFYQIGRGQLPPVPGSISPVARDFIHKCLQAKKVQGQKVRMLVVGNS >AMDW01024585.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024585.1:23:109:-1 gene:AMDW01024585.1_FG001 transcript:AMDW01024585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFK >KN541078.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541078.1:18036:25901:1 gene:KN541078.1_FG001 transcript:KN541078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase 2 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G56360) UniProtKB/Swiss-Prot;Acc:Q9FM96] MGLHALLLLLLLWISASAAASRPPLDTLGIPPQDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSIVTCKNTCWEAGKAARDKLKKKVATYKSGVVIRNQEIQKAKVAFAKDEAELVKLKGEEKILQGLVDKLTEQKKFIEKAEEEERLRKEKEEKRMKEEAEKQAADEKKASDASQEVDSQENHETVQEDESKVAEHHDGHATSHDNHTPESESSVEQHDPESQDDISIKAAPADESPPEETSAAPTKEQESTPADSEGLSREELGRLVASRWTGEKVDEVSKDDKNEHEAEHDMPEHSEETHEDESDVPESAEDSYAGYHSEVEDDRHKYDDEDFSHESDDEYVDDHDDHVASYKSDDDQKGDDHSDFTASGQASWLDKIQQTVQNVLRTFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRISALTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCDEQKLKELEQKLEASSNQRDHDEL >KN540588.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540588.1:4:778:-1 gene:KN540588.1_FG001 transcript:KN540588.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGAHGATRATTTVPLPCLPLRALSSRAGEVDPRPRPRPEEIIIGGGADMVSPTAAASGGGEAMVGVGMSAPWLIGAAGASASASATIKLGSDPVAPATATEDSALLRARHLLSKAEQHHLAARHGYGEGEGGSSNPRPRVAAALVCLVNDDLPANQRPEFVVLEADDSMVELIRDLIVAGGGHPEHGETTGGFVSLAPCECNDVPNNKILPPSSGITNASSLATANGIKIMIPAQSASKGSRRRLFSMQTTRYLS >KN541078.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541078.1:32323:33712:1 gene:KN541078.1_FG002 transcript:KN541078.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYQILDLLKIQGPEIRTGFLKDGKPVQLKKGQEITVSTDYSIKGDDNMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGKHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLQAESCVDHAAVFKSITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKQTDSFDWTCSDEAPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAISNAKAMGLCNSGESVVALHRIGTASVIKLLTAN >KN540588.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540588.1:25168:29491:-1 gene:KN540588.1_FG002 transcript:KN540588.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGSFLLLLLLAAPAFGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGIVCNGANVAGVVLDGHGISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNRFSGPIPDNIGNLRSLQNLSLARNNFSGPLPDSIDGLASLQSLDVSGNSLSGPLPSSLKGLRSMVALNLSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSHNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVINLSSNALFGNLPMLAGSCTVLDLSNNKFKGNLSVIAKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPEAVVQYPKLTVLDLSSNQFRGPIPANLLTSSMLQELYIHDNMLSGGLSFPGSSSKNLSLQVLDISGNHFNGSLPDEIASLSSLQALDISTNNFSGPLPASITKLAALTALDISINQFTGSLPDALPDTLQSFNASYNDLSGVVPVNLRKFPESSFHPGNSRLDYPASSSGSGSSSGSAGGRSLSAAAKIALIAASIVALVILILVAIVCHYKQISRQFPSSEKVSDKNLHKTSKDMASTKGKDDKGGLVVSADELGAPRKGSTSEALSQEEKLSGVGGFSPSKGSRFSWSPDSGEAYTQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFSKEAKKFANIRHPNVVGLRGYYWGPTAHEKLILSDYVSPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQVSVKGMKDVLGIALRCIRPVSERPGIKSVYEDLSSI >KN540588.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540588.1:15847:16245:1 gene:KN540588.1_FG003 transcript:KN540588.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDDCTENGVRLPNVDGDILTMVVDYCNMHVGNGANAGDDTAKGSSSAEEELKKFDAELVQALENPVLFKLILAANFLNIKSLLDMTCQRVADMMSGKTPEQMRETFSIENDFTPEEEAAIRQENAWAFDD >KN540561.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540561.1:43199:46169:-1 gene:KN540561.1_FG001 transcript:KN540561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLHLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYARYPQDIQVIHELVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFERWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLF >KN540588.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540588.1:14735:15197:-1 gene:KN540588.1_FG004 transcript:KN540588.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKKEVADDTILLISSDGEHFNVPHTASLIKGKSPEQIRKEFGIKNDFTPEEEEEIRKENTWAFE >KN540588.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540588.1:44346:45059:-1 gene:KN540588.1_FG005 transcript:KN540588.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALLPKLRIPAAASRRTLPPFRSSSTASQDKLAAVKERSPQLYDEYGADSCSILTSISSF >KN540474.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540474.1:1193:1465:1 gene:KN540474.1_FG001 transcript:KN540474.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNGNSALLRCWNGSTPCTTKCWKIDQQQHAHHVAINRLEQGVRDRGRHQTAIPAIILVAVAIFVAFELDYFGHDNNYMDRDSGDYKDQ >KN540561.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540561.1:6535:10942:-1 gene:KN540561.1_FG002 transcript:KN540561.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDEREAEAEARREKEAGNAAYRKLYLETAVRHYTRGALLDPRDISFLTNRAAAYLLMSKYKECVRDCDEAVEKGRELRADNKLVARALARKASALLKLAACAADYDPAIRALQQSLAEHYSEETLAKLGEAEEARKEIEERERLDQEAADHHRDRGNDFFKQKRYQEAAMHYTEAMKKNPKDPRVFSNRAQCHIYLGALPEGLEDADKCIALDPTFLKGYLRKAKVQLLMGNYEIALATYVEGLKCDPNNLEVLDGLRRCAACIKRANGGDSRAEDLREILGDLHLNDDLCNKLQKSMDEAAVLKKEASDERLKRIESERLARTLEDLYLSQVQQRKETEESLSRVQQEFEQLKIQQDEVTVELQRVNEQNENLLGQLSDSREHFEWLLSEHDQLLRERDNAVREVEELRQKRGQMLSVLVTAMHCEFSSSEVESATENFSNSLKIGEGGFGCVYKGILRNMTVAIKVLRPDSLQGQSQFEQEVSILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLMCSDKRQTLTWQARIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLGVNLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLSTGELTPQSDVYSFGIVVLRLLTGKPPVGIKKIVEDAMEKGDLNSVIDTSVGEWPHLHIEQLAYLALRCTELSRRCRPDLSGEVWAIVEAIRDAALSSPSSSRSAQDQNSPPSYFICPISQDIMDDPHIAADGFTYEAEAIRSWLCNGHDTSPMTNLRLEHEELIPNRALRSAIQEWLQQHSMSL >KN540561.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540561.1:27405:32306:1 gene:KN540561.1_FG003 transcript:KN540561.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRVVAAAAVLLLFAVVAVARLDLDDDGDDSEVLDELLAVDEEEERGELGGGGEAAAAEAENAEVLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKKTGAPAIRIQSKDSAEEFLKKDQTFAVGLFKKFEGAEYEEFVKAATSENEVQFVETNDRNVAKILFPGIASEEQFLGLVKSEPEKFEKFNRAFEEKEIIQFVELNKFPLITVFTDLNSGKVYGSPIKLQVFTFAEAYDFEDLESMIQEVARGFKTKIMFIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGTKYLMEAEINAKNLQDFCLSLLEGTLPPYFRSEPVPEEKGPIEKVVGRTFDSSVLESPQNVFLEVHAPWCVDCEAISKNVEKLAKHFNDLGQTNLKFARIDASVNEHPKLQSSFIIAIAMWPLLKENINNYPTLLLYPAQDKSNPIKLSKKSNLKDMAKFVKEKLQIADVETVAAGDIVKDEL >KN540561.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540561.1:23652:26964:1 gene:KN540561.1_FG004 transcript:KN540561.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRGGGVGVGGANYYVQSPSRESHDGGYKSSSMQATPLQFGWKRATPVYNSPNESPSHPSYGRHSRSSSVSRFSGTLRDGSRKAGGERKALNDKGWPECNVIEEEGPYEDLAGDTGLSRRCQIVLGFLCFVLLFTVFCLIIWGAARPYEPDVVVKLQFGWKRSLTMDDFYAGEGTDHSGVPTKLVTLNCSLHIAVYNPASMFGIHVTTGPIRLLYSEISIGVGQVPLYGAGGGLMLSSSGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >KN540474.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540474.1:4254:12942:1 gene:KN540474.1_FG002 transcript:KN540474.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DEAD-box ATP-dependent RNA helicase 29 [Source:Projected from Arabidopsis thaliana (AT1G77030) UniProtKB/Swiss-Prot;Acc:O49289] MGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGHDIAAMARTGSGKTAAFLVPMIQRLRRHDAGAGIRALILSPTRDLATQTLKFAQQLGKFTDLKISLIVGGDSMESQFEELAENPDIIIATPGRLVHHLAEVEDLNLRTVEYVVFDEADSLFSLGLIQQLHDILHKLSDTRQTLLFSATLPQALADFAKAGLRDPQIVRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARNIHISKFRARKTMILIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMAYLLDLHLFLSKPLRPAPTEEELLKDMEGMNLKIDRALANGETVYGRFPQTIIDLVSDGIKEVINGCTDLIALEKPCTNAFHLYLKTRPMPSTESIRRVKDLPREGLHPIFRDVLGSDELSALAFSERLKSFRPKQTILEAEGEAARGSNQWLDVMKKKREVHEGIINLVHQKNNVDHEPKEELVENISNWERKDVCGNKRKLQSFRDEEYYISSVPQNQHLEAGLSVRANEGFVENRLDAAVLDLVDDETSGMQAQKTRYHWKKNKFVKLNSGDRVTATGKIKTESGAKLKPTKTGIYKKWQQKTHRSIDTGRKFGGFAEEGASTTGSHQRGNRKHTAAGWGRRYIPNADVPSEIRNPEQIQKSRQQKAMDIARMKNRSTKESKFQKFQKNNRRHDGPLKDGKFQKNRRPDGNGKNRRPDGNGKGRGKGKGNANGFGKGKGKMKGKGTR >KN540561.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540561.1:13928:18838:1 gene:KN540561.1_FG005 transcript:KN540561.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALTLALTAMNLLLAFGLGVLINALGLDLLAAVALTVEPGSPVKRPLYQGRPPMGRSEAMESCPRKGVVNSKEKLVEENFYLITESGEQGRVPVLLLKLNDTTPKRKPVIVFLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERANNNSTYIDALKSAWRNGDAMPFILDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAVVDTRYSVVVPIIGVQGFRWAIDNNKWQARVDSIKPLFEEARIDLGKSEIDTEVVEKVWDKIAPGLDSQFDAPFSLPVIAPRPLLLLNGAEDPRCPVLGLQEPVSRAAKAYEEVGSADKFMFIAEPGIGHQMTANMVKEASDWFDRFL >KN540561.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540561.1:34493:41785:1 gene:KN540561.1_FG006 transcript:KN540561.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT6.1 [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/Swiss-Prot;Acc:Q0WUG6] MSFEGEEGGGDREVGCSTDSNNSSSAGWRRKLLVQRSQVTSLFGAERLWGRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEEHRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKSYQPRASIFPNTLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNILSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGKVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFSEEIQKLKDDVVHKGLGVVVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQDNSKISQDNSRSADTQNTPDKSKCLLVCGSVHMLSSILGMMEAGKGRVAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNRIKDPVLFSESAQLKFPVFESIHQLSRRPDVNFSTYSTVVGNELICHQDSRFEVWGTKGYGTQQTGTTRKLPEYQKSEAYNISTPIASDSTPDEAGLQRNISTPIASKFDKRMDYFGFLGHEENSGSLYAVQFVHTLSNSKIDIGMLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >AMDW01038271.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038271.1:146:667:-1 gene:AMDW01038271.1_FG001 transcript:AMDW01038271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQ >AMDW01040812.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040812.1:197:1336:1 gene:AMDW01040812.1_FG001 transcript:AMDW01040812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSSRPNNVSVTILLSACARLRSLVHGREIHGYAVRNLAALDLPLMNASVSMYGRCGQLVNARTVFDSIGSMKSVVSWTCMINAFCESGQPAEALQVFEQMRLAGVKVDEVTLLAVISACTMLDCRSELGEWVEEYAHENGFLENTRVANALIHMHGKMGRVRRSCEIFDSITVRTVVSWTAIIQALAVHGHGVAALVRFSQMLRQGFQPDELVFLSVINACGHSGLVNEARQLFKSMVEEYHITPWMEHYGSMVDLLCKSGMLEEAFEFVLAMPVRPDPVIWRVLTGACRDLGNAILARKVVDHVIEMEPEYGGNYVLASNLYAANEDWRRVVDVRMEMGVWKETSRYSTALSYVEVNVEENAESLHPPTNDAYR >AMDW01040812.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040812.1:1604:2048:-1 gene:AMDW01040812.1_FG002 transcript:AMDW01040812.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKNNGAMVLCFATLFAMSVVFMSCDATGRRNAGVLDDAGVLCFTWLNCTNASCQKECKAGKWDAKKSSCGASDVCCCRAAKLLVLDEQAVR >AMDW01040906.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040906.1:1108:3564:1 gene:AMDW01040906.1_FG001 transcript:AMDW01040906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTELATLLCIPLFSLLLLDVVAGNFTGPHQIRLRCGATSTAIDSDGRTWEGDANSKLAVDGLAANASYLDPLLPSPVPYMTARIFSSNFTYWFGLNPGRVFLRLYFYPTEYADRAAADALFSVTAGILVLLNDFNPSQAAHAMGRTYLILEYSVNVPSGDLDVTFSLSPHHTGSYAFVNGIEVVSTPDIFTKPAPTFLNVGISDPFPISVNIGLQTMYRLNVGGETISPKDDSEFCRTWGKDSPYISGDSGLNFFKDDTVTISYPRTMPSYIAPVGLYETARSMGLKGYINLRYNLTWILPIDAGFHYLLRLHFCEIQHPITKANQRTFFVYINNQTAQKMDVIVLSGGIGVPIYTNYIVGPIGYGQTDLRVALHPDVETNPEFVDAILNGLEVFKLQDVNKSNLAGMNPIPWSHRDGDPRLATIGGAIFVLVVLLIASLSMCIINIRKKRVDHGKTNKELLLATLLSKKSNLCHQFTFLQIQEATSNFDEAFLLGKGGFGNVYKGELDHGMKVAIKRGDPLSQQGINEFQTEIEMLSKLRHRHLVSLIGYCEDESEMILVYDYMENGTLQEHLYGTQKPPLPWKQRLEICIGAALGLHYLHTGAKQTIIHRDVKSTNILLDGKWVAKVSDFGLSKVSADKDKTYVNTVVKGSFGYLDPEYFRRQKLTKKSDVFSFGVLLFEVLCARPVINPELPEEQVSLRDWALSCRKKGILSEIIDPHLQGEITPQCFRKFTETAEQCVADYSMNRPSMGDVLWNLEVALQLQESAEENCEETALNVLSSPLTTRLQPSSRSIMSISGQKAVFSEMMHPDGR >AMDW01039861.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039861.1:17:292:1 gene:AMDW01039861.1_FG001 transcript:AMDW01039861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGTFIAIKDLDSIRVLVEHLEVQISSMADSVEFAERDEEAVRFGIEEVKKKLELFMKSVDDLGEQADRCSRDIRRARTIVLQRIIHHPN >AMDW01037215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037215.1:50:543:1 gene:AMDW01037215.1_FG001 transcript:AMDW01037215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEH >AMDW01040531.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040531.1:191:1212:1 gene:AMDW01040531.1_FG001 transcript:AMDW01040531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRAKFILLFNIFWRKFAPVLSPEECAVSITNIIRHHESEYLSSLEESYMNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLTKELAIGK >KN542230.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542230.1:6214:7420:-1 gene:KN542230.1_FG001 transcript:KN542230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGDKVIVRGGFCAEELRTCIWRKAGCKIIVSIVIVEFQLRGKSYVEANSPKIYLLTREKVLNLLKFYSNYNSCK >KN542230.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542230.1:13496:14929:-1 gene:KN542230.1_FG002 transcript:KN542230.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVISADLKCCRCKEKLSKILCSLRGKQYRFTYKYGIEKTEYEDKDDRVIVRGNFPADKLRSVIWCKAGRKLVRDIAVVDFE >KN542230.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542230.1:9064:9907:-1 gene:KN542230.1_FG003 transcript:KN542230.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGGFCAEELRKCIWRKAGCKVIVSIVIVEEAGPCSIM >KN540428.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540428.1:37510:40082:1 gene:KN540428.1_FG001 transcript:KN540428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNLFEVQVSNRLTVNVLSVNVGRIIVAMVIAFESLISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSDKPEGSNQEHSLLSLNWAYQDSDAQPAAAACSVVGKKNHPAGNVGGQFLPDDPQQRTKKSGGWSPFATKRRPPSPPRPPQESLFESNPEAAAVAEVLKATINPRPRRAAQNGKNYY >AMDW01036258.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036258.1:53:522:-1 gene:AMDW01036258.1_FG001 transcript:AMDW01036258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRDPEHQNDQSTENFLKLPATSFLAFNHEVHLTSSSTVGTRKSMQPNHALGATLAKRRMFVGNVHGDEPVGREVLIKLANWLCDNYLKDPL >KN540428.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540428.1:46158:50774:-1 gene:KN540428.1_FG002 transcript:KN540428.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSSELKRKHDREENEAAESNDWMMSPGYANPAGSPVPTPLSGKGSKAFAKSKAAKGQKSCPQTPLCASSPGNPVTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALSLQEHSVDQQISEMRDKLRGLTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSNMGPIDVYLVSQFEEMSGMETPPRTVQPVSMDSLENPRTPLAAEPNKAAESQPNIQDGLLMPSDAPSSSQDIGGMMKIVPSELDTDADYWLLSDAGVSITDMWKTAPEVEWEGIEKFNAEDFLEVSTPRQQDKPSSDIMDGDSCIS >AMDW01038745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038745.1:76:282:1 gene:AMDW01038745.1_FG001 transcript:AMDW01038745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKWLSETEKGSEAENYVREAYVGGCSLHHFFRSYDGAMASKSLSIYSHGSIRSSEKSLRRDLCKRALS >AMDW01039993.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039993.1:845:1165:1 gene:AMDW01039993.1_FG001 transcript:AMDW01039993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQ >KN539059.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539059.1:112160:114539:1 gene:KN539059.1_FG001 transcript:KN539059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLCSLLLATALLLLSISRTEAGEVGVCYGRDGDDLMDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNVVPYYNQGTQINGVAVGNEVFRQAPDLTPQLVPAMKNVQAALASLGLADIIKVSTPINFDAVNESFPPSKAVFQDNVAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRGYATFGPNAGVVDPWSRITYYSLFDAQLDAVYYAINQVSGDSVRASMAQARWGHLTPRVPVEGSEGGHPSGGQLSKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMLDVSVYIFALFNENKKAGASVERNFGLFYPDGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACNNGADCSAIQQGTPCFEPNTMVAHASFAFNDYYQRMGQANGTCDFAGAAYIVYQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIQPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPEIGNCVLPPMLELDKAY >KN539059.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539059.1:75182:76674:1 gene:KN539059.1_FG002 transcript:KN539059.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLLLAAALPLLFLSRADAGDVGVCYGRDANNLMDPPSVVKLLNANGITMVRIYDANSTVLQALANQNIKVMVAMSNRDLASGAAKDFNSAVSWVKNYMVPYYRSSQINGVAVGNEVFQQEPNLTSQLLPAMRNVQAALVNLDLADTIKVSTPISFDSVNVSFPPSAGVFQDNIAQLVMSPMIDFLQQTNSYLMVNFYPYIAWAHSNGQISRDYAIFGPNASPVIDQSSGITYHNLFDAQLDAVYFAINRVSGATVRASMAEATRGRPPPRVPVKCLECGHPSGGRLPQLATLDDVQVDVATKANAQAFNNGLISRALFGASGMLDVSVYIFALFNENEKAGASVEQNFGLFYPDGTHVYPVDFHGGGVCPTKASWCVANSNIGNNRLQAALDWACSNGADCSAIKQGQACFAPNTLVAHAYYAFNDYYQRKSHASGTCDFSGAASIVYKPTPS >KN539059.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539059.1:7287:8033:1 gene:KN539059.1_FG003 transcript:KN539059.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKASNILLDTDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGVLIIEIVTGQRNNRPYLFEQNEDIISTVSIPASSYSTMWYYLRLQVWRRWSEGTVAKMIDHSLGKNYPEAEVLKCINIGLLCLQENPVNRPTMADIMVLLNSNASSSIPAPAARPTFSFDGNSRYSQTITQLSAR >KN539059.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539059.1:146176:148867:-1 gene:KN539059.1_FG004 transcript:KN539059.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRDTLVTNSWYPDHATDSIKVPDVGWFNAAVAKILAALVEHTWATTTSNATAKKYFSTGEEEFNPKIYGFVQCVPDLSPEQCKECVRTLHDQAKINYMGNSLPWASTYSVWCSLMYSVRPFFGGRAMLQLSPPLPPAVDTPVGTHEPGAGKKKSVAGLAAGIACSVGVLLILSVLVFVRFRRRTKAAETDHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGTVYKGVLSDGQEVAVKKLLGTTGHGLDQLHNEVLLLAELQHKNLVRLQGFCLHQEQTLLVYEYIKNGSLDNILFDTTKGNSLNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLAEDMEPKIADFGLARLLGEGHTHSTTTRVVGTFGYMAPEYVADGNVSTKIDIFSFGVLVLEIVMRRRNSDSGDHDNVNLLTDVWNSWTKGTISQFIDQSLDGYSRSQALRCIHIGLLCVQPDPDERPHISSVIFMLTRENMELQPPAQPAFFFGTESASSSPSCGQRSYVYDRYGFASVSVNDVTLTEPYPR >KN539059.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539059.1:77:508:1 gene:KN539059.1_FG005 transcript:KN539059.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFDATSGQLVNTSGDYASAVYDVFSGMLVNATADYAAKDSVRRFGTGEMGFNVFDSPYHNIFSLAQCTPDMSEADCRSCLGDIIRKMTPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGLPPSPPGLSAPSGLPP >KN539059.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539059.1:156096:156635:-1 gene:KN539059.1_FG006 transcript:KN539059.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding GYMAPEYLTRGNYSVKSDVYSFGVMVLEIVTGRKNNHSYNSQQSEDLLTMIWEQWVAGTVLEMVDPSMNSFFSESNVMRCIHIGLLCVQGDPAERPVMSSVVLMLGTDTVELHAPAKPTLFARKGGEESGVASGGMSIVSLEEQS >KN539059.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539059.1:142399:143099:1 gene:KN539059.1_FG007 transcript:KN539059.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNAFSNTSIKVMVAIPNDDLASVGQDLGSSTNLVKNNVVLYLNQGTLINADPSSISIEYATFHDNGGVLDKNTYKTYFNLFDTDLDIVYAAINKVSGVSVLDVKATESRYPWGMKIPPLADANVDSLAKIANAQA >KN539059.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539059.1:124978:125373:1 gene:KN539059.1_FG008 transcript:KN539059.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRKIQRPPAGMISVARAPGATATDRLVLVTSPMSVGHWRRSAHHHREDENAKAKLNCHEDGLLHGDIVAAGDGSLHREEEGEQGGADGGGGRWRWRVQRDVRHHTREIDCQRRCGCLGIDGRWEWKPF >KN539059.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539059.1:55594:57077:-1 gene:KN539059.1_FG009 transcript:KN539059.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLLLAAALPLLFLSRADAGEAGVCWGRDGDNLMDPSSTVSLLKANGITMVRIYDADPTVLDALANQNIKVMVAMPNRDLTSGAAKDFNSALNWVNKYVVPYYRSSQINGVAVGNEVFQQVPGLTSQLVQAMRNVQAALARLGLADAIKVSTPISFDSVKVSFPPSAGVFQDNIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSNGQISRDYALFGPNASPVVDQTSGIIYHDLFDAQLDAVYFAIRHVSGGTVRAQARQGRPPRRVPVMCSECGHPSNGGFHSLATLDDVQVDVATKANAQAFNNGLIRRALFGASGMPDVSVYIFALFNENLKGGASVEQNFGLFYPDGTPVYQVDFHNGDGGNVCPTKASWCVANSAVGSTRLQAALDWACSNGADCSAIQPSKACFAPNTLVAHASYAFNDYYQRKSQASGTCDFSGAASIVYKPSPS >KN539059.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539059.1:22957:29473:1 gene:KN539059.1_FG010 transcript:KN539059.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKKKTSWSQIVKNGRPANTSVTTRNLRPQDLGAVIFGCTNNTIAECHSRQLFGLPRTHLSYVQNIKEGLPLFLFNYDDRKLYGIYEAASNGKFCPESNAWSQDGKGKTSYPAQVQSLIFVSLEAAFLLNLNETTPTSDEHVRSSWAPVYAGNNGLKSEKIVKSYADVVNNNKFEQVRTGDVDAEHASSGNEHVNGFDDLDCGDTTPESEEYALSGKEVEVQQQQQLQQQEQSGQQDKLSFKGVLEKLKMLSVQQRKSTFYANATETESIDAYSCKDVQDDLPENLDSEVDQFSWGHSKLLMHSLDSESCTEVKLVDIVKELYERIAIMEKKQAWSNKELKYLQGVNERLLKRVVELKGTVKTLNSKIDPLTLDDSLSQFVEQCLGSEDVIYLVGGFDGFSHLPSLDSFSPSLDVLTPLKSMAVGKSYASTVALDGKIFVLGGGDGVCWFDTVNCYDRRRDDWTTCPSFTHDKGSLAAVSFNGKIYAYGGGDGNECFSDVEVFDPAYGKWIKNQSMLDKRFALAGVELNGAIYAVGGFNGVQYLRFSIGGYDAEAKTMVATVELYEPRMPSWVMAEPMNYNRGYHSSAVLGGSIFAFGGVKGEGDAILDVVERYKEGCGWVTTESRNAFEAIFSEWKNYVFIKTQGMGDVPAAVRSLLLLLILEVTADGRRRRRRCSGDAPVALLRRREMILGLDMCADVIVGDELQRGISGSQKKRLTTAKMLVGPTKVLFMDEISTGMDSSITFQIIRCIQQIIHMGEATVLVSLLQPASSPAAASPDAGCPLPPRSRRRLCLLSRLTASTSSACSATTSAQVHPVASPEPGTPPRRGLCSRRRRCGRGMELDA >KN539059.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539059.1:100663:101127:1 gene:KN539059.1_FG011 transcript:KN539059.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCSIVATGFLIYGSAREIPRDHRWWYSLLASGLVPWAAQFLIGAFALGFHLMLGAKNRGLVIFVYVVSSAAVLFGTPDIWGPFRLGLGRAIWCRAGWRGVINIHKRPSSLLGFVRLLFTSPLIHIRRTVFAVLICATFVVAIALDITMPNY >KN539059.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539059.1:121361:123430:1 gene:KN539059.1_FG012 transcript:KN539059.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYLLHALLVAAALPLLLLPHAADAGEIGVCYGRDANDLIDPPAAVSLLKTNGISAVRIFDADPTVLAAMANTGIKVTVAIPNADLAAAGQDLRSATDWVANNVVPYRSRGTLINGVAVGNEVFKQRPELTGTLVSAMRNVHRALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSFFMVNLYPFFAYVAQPDKISLEFATFRPNAGVLDGNTSIRYFSLFDAQLNAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSGGRFPLSSMLAAADTDSVATIANAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPAIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIQQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCNFSGAASIVFKPSPNIGICDPNPSWCVAKSEVGDAQLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTGRASGSCDFAGAASIVNQQPKIGNCVLPPNNAGTEQTAIEDQSTANLPATAWQ >KN539059.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539059.1:129738:131850:1 gene:KN539059.1_FG013 transcript:KN539059.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNHKLSALLVATALPLLLLSSADAGEIGVCYGRDASNLIDPPEVVKLLNANSITMVRIYDTDPTVLNALANKGIKVMVMLPNKDLASAGADVGSATDWVKNNVVPYLNQGTLINGVAVGNEVFKQQPDLTGMLVSAMQNVQTALANLNLADGIKVSTPIAFDALDVSFPPSDGRFKDSIAQSVMKPMIDFFVRTGSYLLVNLYPMYAAADPSTHISIEYATFRPNNGVLDEKTGIMYFSLFDAELDAVYAAISKVSGGSLRASLAQGDQMLVQVAETGHSSGNTFGGPVVVEADADLNAIATIPNAKAYNNGLIRRVLSGSPGKHDVSAYIFSLFNENLKPGPATEGHFGLFYPNGQQVYEVNFQGGRSPCPTNASWCVANPNVDNAALQRALDWACNNGADCSAIQLGKACYEPNTLVTHASYAFNDYYQRKGQASGTCNFNGICDPNPSWCVAKDSVGEAQLQNALDYACGSCADCSAIQRGAQCFNPDTKVAHATYAFNDYYQTAGRASGSCDFAGAATIVTQQPKIGNCLLPPNNA >KN539059.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539059.1:132498:132788:1 gene:KN539059.1_FG014 transcript:KN539059.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSTKQEIEEQWGLYDGFDLKVERKLYIRHIWSKVRSAVGAPAPAHQPQQGQEASPSHLVEGAQFLHEPAQADDPGAVAAIPKQEHHHAAQGVR >KN539059.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539059.1:85099:86036:1 gene:KN539059.1_FG015 transcript:KN539059.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVSVYIFALFNENLKGGASVEQNFGLFYPDGTPVYQVDFHNGDGGNVCPTKASWCVANSAVGSTRLQAALDWACSNGADCSAIQPSKACFAPNTLVAHASYAFNDYYQRKSQASGTCDFSGAASIVYKPSPSICDPNPSWCIAKPEVGDTRLQNALDYACGSCADCNAIQRGAQCFDPDTKVAHATYAFNDYYQTAGRASGSCDFNGAATIVTRQPKIGNCVLSPNNS >KN539059.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539059.1:32391:38686:1 gene:KN539059.1_FG016 transcript:KN539059.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSLAHSLSKSLALAHPLLFLLVSPSSPNLSTHSYDHRAFLLIGSRLVPTSLHVVNVGPVFRDQYHSFAPDSPMPWLPLAQHSSSSSAAAAGDAHTIGEQNAVDAVVDGSRKLVFPTLLAEASDYIAALEMQDVLCSTDYYNISASLKLSLKFLLYWTKALNDDVWQEAQLALCSAMCRGSLLLRVHAALLLLAALPALIAGQPWQICGDNGNYTANSTYQANLKQLAAELHKNVSSGTGRLFASGAARAVPDAVYALALCRGDITNATACANCVGTAFQDAQQLCPYGKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGNVSGDVGRYDRAVTGLLNATARLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPSVPGTTGGELS >KN539059.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539059.1:108149:110568:1 gene:KN539059.1_FG017 transcript:KN539059.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLCSLLLATALLLLSISRTEAGEVGVCYGRDGDDLMDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNVVPYYNQGTQINGVAVGNEVFRQAPDLTPQLVPAMKNVQAALASLGLADIIKVSTPINFDAVNESFPPSKAVFQDNVAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRGYATFGPNAGVVDPWSRITYYSLFDAQLDAVYYAINQVSGDSVRASMAQARWGHPTPRVPVECSECGHPSDGQLPKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMLDVSVYIFALFNENKKAGASVERNFGLFYPDGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACNNGADCSAIQQGTPCFEPNTMVAHASYAFNDYYQRMGQANGTCDFAGAAYIVYQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIQPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPEIGNCVLPPMLELDKVY >KN539059.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539059.1:40096:42160:1 gene:KN539059.1_FG018 transcript:KN539059.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGDDGGGGGTGSYSGSFVGTLPSSGRSAPMSPNEYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGDDGGGGGTGSYSGSFVGTLPSSGRSAPMSPNEVSITELEPR >AMDW01032259.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032259.1:1:465:-1 gene:AMDW01032259.1_FG001 transcript:AMDW01032259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRRWKRFFPAFASIHAAIEAAEPGISRREFRDATDKVVAMLCSATDDEAVAEELRVVLDEMMVEALLTLELVPAMPKTLASTDLAQDVGALRNHPSERVRGLATGIVRGWRASVKDELLKAAAAMEKLSQALEPDEADDHHAKILQPSPPKKTAN >AMDW01019127.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019127.1:50:229:-1 gene:AMDW01019127.1_FG001 transcript:AMDW01019127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CLDLTHFCIGRGGIGMNIWRLLKIISCSCYLGREAEELVKAVLADGKAKSSRYVVGRWK >KN540382.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540382.1:6347:8009:-1 gene:KN540382.1_FG001 transcript:KN540382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYCSMEFLIAKFLCDPSSFSSFLCNQQLFGELLMNPIFSYGGTFRCSDDLQTSIKDTRVSDSTVVGDGRHDEAARHQVWREHDLQHYHILFEERMEGNLLDGEGIHEAGNLVVIEGKPAVPDDDVVLCRREREDDGEALVESNGNLGGHTPGGDVLVVEADE >KN541685.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541685.1:71:2210:1 gene:KN541685.1_FG001 transcript:KN541685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSWLKARPRFFKLMQELRLAGSSPENELPPRSRICRRRRWPRLAGISPYSSLELKFRLCRKLKFPMAGGSPPARLPEGSVSAATGVGVRRSHVTPSQLQKLVLLFQEASALELLPLNAALKASSVASSLLLPPPPPPATAATIVRMKRRDNSSLRLIMVIGRVVFLDWFVIMEEPAAEKAEKALAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KN540382.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540382.1:30760:40151:-1 gene:KN540382.1_FG002 transcript:KN540382.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASNVEFIRSRSDKRRVVLPNALECLLISDSDTDKAAACMEVGVGSFSDPEGLEGLAHFLETTTFFFDVNAANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFNIGSCETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVERMFSDIKNTDQRSFKCPSQPISEEHMQLVIKAIPISERDYLNISWPVTPNIHFYKEEHMEDIISLVFKYILLLKENGIHEWIYDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPPEEWLVGASLPSKYAPNRINMILDELSAERVRILWESKKFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDFSLKEAHEKVKFPAVLRKTPLSRLWYMPDMLFSTPKVHVVIDFHCPLTSHSPEAVISTSLFVDLLADYMNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYYQASNYLSLILEDQNWPWVEKLEALSKLEPDSLAKFIPHLLSKTFLECYIQGNIEPNDATSIVQEIEDTIFKSPNSVFKSMSPSQYLIRRVITLENELKCYYQIEGLNQKNENSSVVQYIQLHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEVVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWGEIEAGTLKFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAAHRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >KN540382.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540382.1:25937:28024:-1 gene:KN540382.1_FG003 transcript:KN540382.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTAAAAAPFLLLGDAFFNKFIRLTRDERIGPITSSAGRAFFSRPVPLCDPVSRRRASFSTAFSFSIAAPDPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSSSRGGAAAAHPRPLVAVEFDTYKNEWDPSDDHVGVDLGGIVSAATVDWPTSMKDGRRAHARVAYDGQAKNLTVALSYGDAAAAAALTDPVLWYAVDLMEYLPDAVAVGFSAATGEAAELHQVLYWEFTSSIDPKEETVILWVVLGLCGLLLVLVAAGVLWFVSQWRKAGELADGDIDDEMGYDELADEEFFVESGPRRFRYSDLAAATKNFSDERKLGQGGFGAVYRGFLKELGLAVAIKRVSKGSTQGRKEASRESDVYSFGVVALEIACGRRPAELDEEDPSKARLVPWVWELYGKRAILEAADQRLNGKFDLEQMERLMVVGLWCAHPDHAHRPSIRQVLNVLKFEAPLPSLPPKMPVPSYFPPPDLVAPVSVEGTSSTDGPGVSECGSSGSNAGGGSGINDRLLDP >KN541685.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541685.1:3774:7039:-1 gene:KN541685.1_FG002 transcript:KN541685.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLSFILTVGAANAGGSSSDEAALLAFKARISEHRSLALASWNSSNSLCNWEGVTCSRRRPTRVVALSLPSSNLTGTLPEVIGNLTFLQRLNLSSNGLNGEIPPSLGRLRRLRILDLGSNSFSGAFPINLSSCISLTNLSLAYNQLAGRIPVELGNTLIRLEKLALENNTFTGPIPASLNNISSLGYLNMDYNYLEDQIPPSLGNIPALHQLSLAENSLSGEFPPSLWNLSKLTVLEVFSNMLQGIIPANIGDSFPDITYFGVADNRFSGVIPPSLFNLSSLTAMYLSGNRFRGFVPPTVGRMQSLVYLHLHDNRLEANNRKGWEFITSLANCSRLQELVLSNNSFRGQLPNSIVNLSTTFQRLYLGECSISGSIPQDIGNLVGLEILYLAFTSLSGAIPTSIGKLANLVEIGLYNTSLSGFIPSSFGNLTSLNRLYAYYTSLEGPIPPSLGKLKELFVLDLSTNRHNGSIPKEILELPSLSWYLDLSYNSLSGPLPLEVGTLANLNQLILSGNKLSGQIPDSIGNCEVLEFLQLDKNSFEGGIPQSLTNLKGLNLLNLTMNKLSGRIPETIGRMGNLQQLCLAHNNFSGPIPATLQNLTMLWKLDVAFNNLQGEVPDGGVFKNLSSALVAGNDKLCGGIPQLHLAPCPILDASKNKKRWPKSLIIALPTTGSIFLLLSATVLIVLVCRKCKQRPNSQATSPGTDEHYHRVSYYALARGSNGFSKDNLLGKGSYGSVYRCTLEDEVAIVAVKVFNLQQSGSAKSFEVECEALRRVRHRCLTKIITCCSSINPQGQEFKALIFEYMPNGSLDGWLHPTSSNRTPSNTLSLLQRLSIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPENIAKTLQNSISTVGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKFASAAFPDRVLEIADRTIWLHEEANNKDITDASITRSIIHECLVSVLWLGISCSMQQAKERMLLADAVSKMHVIRDEYLMSQVVKK >KN540382.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540382.1:14976:24509:1 gene:KN540382.1_FG004 transcript:KN540382.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAADFDGGFGGAGEDNLSMPLGDFMAFLDNEDWKEQQHEWRWAINGHQTVGIGEGQTGSSLVGNQGLEMPVDSTSSENAFQNHEEIFENKENWSNYSHTDPSHSQMDVMVELNNGGESFDHSEDTSYRLLSNDFLENSRNGNPENQHLPMDALNHANTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFTSVPMFDHSAVIPDIPYTELNIGDVPGTMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQRSFMNSSDPMHPTFHKKYDIPRNGSSSILGNSSRNCFSLDSNRDSDLCILEGSRSLASGHVLPPQGLQHNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQPLLDAPLASSLLDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVTLDDDDEDDDAEPHLKKPVLAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTLSEYADTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLFMV >KN540964.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540964.1:10873:14855:-1 gene:KN540964.1_FG001 transcript:KN540964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRLGFQCVGILHADLGTSPLYVYQNTFKYGIKHEDDVIGVLSLIIYSFVLFTMVKIVFIALHANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVTRYNDHGKPPATLRRAQWMKSQLEKKPAKIAVFFLTIFATALAISDCVLNPSVSVLSAVNGLKLRAPHLTTDEVVWITVGILVVFFAVQRFGTDKIGYTFAPVVVVWLLLISGIGIYDLVKYDVGVLRAFNPKYIIDYFRRNKKDGWVQLGEVLLTFTGTEALFADLGYFSIKSIQLSSTFVLLPSVLCTYIGQAAYLRKHMDQQHIQNAFFNSIPRPLFWPMFVLAIMTSVIGCQAMVSCAFATMSHLQTLNCFPRIKILHTSRRYSGQLYSPEVNFFLCLLSCVITLSFRTTGFIVKAHEICVVLVMVITTILMTVVMLLVWKVNIWWIVLFFVVFMSTETVYLSAVLYKFTKGPYMPLAMSAVLMVIMFVWHYVHVKRYKFELEHTVSPNKVRELLERRDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFISMKHLPIPYVDVSERFLFRQVEPKECMVFRCVARYGYRDTLEMADDFVTTLVEYLQYYIRDLNLYNTVEPLKMSCPSIRIDSFSWDRRPSGHGIYAEEMLTPIQSFSELTMHPVGMSSRLAQFQTTKMSLEEMLKIEEDQKLIQREVDNGVVYILGESEVVAKPHSNLLKKVVVNYIFNFLRKNSRKGEKMLSIPRRKLLKVGITYEI >KN540382.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540382.1:45654:53498:-1 gene:KN540382.1_FG005 transcript:KN540382.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVERLFSDIKNTDQGSFKCPSQPLSEEHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEEHMEDIIGLVFKYILLLKENGIHEWIFDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPPEEWLVGASLPSKYAPNRINMILDELSAERVRILWESKKFKGSTDSVEPWYSTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAVLRKTPLSRLWYMPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYLSLILEDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFKSPNSVFKSMSPSQYLIRRVITLENELKCYYQIEGLNQKNENSSVVQYIQKEACEDAKHVCIQVHLDDALSNIKLQLFALIASQPAFNQLRTVEQLGYIAGLSLRSDCGVWALEVVIQSTVKDPSHLDARIDEFFKMFESKIHELSDKDFKRNVKSLVDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDQHIRVGAPQRKTVSVQVFGGEHLAEFKKAIAETDTPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >KN541595.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541595.1:91:3343:-1 gene:KN541595.1_FG001 transcript:KN541595.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCSWHGVTCSTQYPRRVTALDLSSEGIIGSISPCIADLTYLTKLQLSNNSFYGIIPSELGFLSKLSILNISMNSLEGNIPSELTSCFKLQKIDLSNNKLQGSIPSALGDLTELRTLILASNRLSGNIPQSLGSNLSLTYLDLGRNALTGRIPQSLASSTSLQFLILTSNTLRACSETRRQRRRRKRECECQPRDGNAALSPAAALSPPATVPSAGHLLTGFGKKEDCKDTAFVRHLVLNSFVGSIPPVTAISPQMKYLDLRYNHLTGTIPSSLGNLSSLTYLCLIGNNLVGSIPDTLGHVPTLETLAVDVNNLSGPVPPSIFNVTSLTYLGMANNSLTGRLPSNIGYTLPNIQQLILPNNKFSGSIPSSLLNASHLQSLFLTNNSFTGHIPFFGSLQNLEILDMAYNMLEAGDWSFVSSLTNCSKLTQLLLDGNNLQGNLPSSIGNLSSSLEHLWLRNNMISGLIPPGIGNLKSLNTLYMDDNYLTGNIPPTIGYLHSMVFLSFSHNRPSGQIPGTIGNLVQLNELRLDENNLSGSIPA >KN541595.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541595.1:23760:26027:-1 gene:KN541595.1_FG002 transcript:KN541595.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding TFNLANCGLTGSIPLLGSLPNLQKLDLGFNMFETDGWSFVSSLTNCSRLIRLMLDGNYIQGNLPSTIGNISSDLQWLWLGGNNITGSIPPEIGNLKGLSKLYMEYNLLSGNIPPTIGNLHNLVDLKFEENSLSGQIPYTIGRLVLLTRLRLDRNNFSGSIPVSIGQCTQLTTLNLSYNSLNGSIPSNIFQIYSLSVVLDLSHNYLSGGIPEEVGNLINLNELSISNNRLSGEVPSTLSECVLLESLEMQSNFLVGRIPQSFAKLVGIKIMDISENKLSGKIPEFLTSFSSVYYLNLSFNNFYGEIPIGGVFSNASMVSVEGNDGLCAWAPTKGIRFCSSLADRESMHKKLVLTLKITIPFVIVTITLFCVLVARSRKGMKLKPQLLQFNQHLEQITYEDIVKATKSFSSDNLIGSGSFGMVYNGNLEFRQDQVAIKIFNLNIYGANRSFVAECEALRNVRHRNIIKIITSCSSVDSEGADFKALVFEYMKNGNLEMWLHPKKHEHSQRNALTFSQRVNIVLEVAFVLDYLHNHCVPPLIHCDLKPSNILLDLDMVAYVSDFGSARFLCPKSNLDQESVTSLGCLKGTVGYIPPEYGMSKEISTKADVYSFGVILLEMITGISPTDEIFSDGTSLHELVAGEFAKNSYNLIDPTMLQDEIDATEIMMNCVIPLVRTGLSCSVTSPKDRCEMGHVCSEILRIRHELSKIDGE >KN541595.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541595.1:18519:20138:1 gene:KN541595.1_FG003 transcript:KN541595.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLTIIHNRRTNNLDPVVLKCNEPCTKCKIVRVRCSSEFLRLIVGFPDRHGRPAADHAVGLDLPNQVLWRALPQRSSSSTATIAELADYMTELGRTPTSWSKVDGICNDKAVFAVDRRGDFDGAR >KN541595.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541595.1:17957:18322:1 gene:KN541595.1_FG004 transcript:KN541595.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSIRAALLCMAPAIAVVPLYDRLRDRELFRPSTTTPVAAAGDANQAAETRNYTNHTNPAPVPHQPLIIRLSDAAASPNHADYPTPIIIILASATHPNTTPVPVPPRQPDKNDTLNLKA >AMDW01040138.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040138.1:23:1144:-1 gene:AMDW01040138.1_FG001 transcript:AMDW01040138.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDMQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIGHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYM >AMDW01040849.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040849.1:265:2326:-1 gene:AMDW01040849.1_FG001 transcript:AMDW01040849.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LCNLGADVQSSLAPIVLHMGDDRWASPMLLENIDLDVQTIFLQNRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYSQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPATGAAPATTAAPAPTAPENSGAQSPAS >KN538767.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538767.1:210045:212274:-1 gene:KN538767.1_FG001 transcript:KN538767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSCCGGSKKVEQGCVSASMSSNTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKKLKATNTSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGGAGGDQRMIVYDYMPNLSLLSHLHGQFAGDAEPERRPDMRAVVRILRGDADADAKPVRMKSIKYADHLMEMDKSSVYYGEDSGGDGEEDMDDEEVEEYSLMEDKSSVNFGVFGAMPVQTMHDPYVRRFGGGDGIKI >KN541652.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541652.1:5653:7352:-1 gene:KN541652.1_FG001 transcript:KN541652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSTKPATAAAKIQTAPAAAAAAISSTSSDPEEHFACISIDSRSVLVLFWSASDHLTYLGVDMEADNWSTCRIVLGRSAAETLLLQQARRLAGGDDEGGGVDVVVVVRDHGVQVVGSEIAVEARLLDITQPIQDSKDAYTFRKLNKRTIEQLFVEPVPI >KN538767.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538767.1:277201:277774:1 gene:KN538767.1_FG002 transcript:KN538767.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLQVRRRAMALRKVIPGGGDAMDEAALLREAMDYVVHLRAQVDVLRRVSEAVQLQRRSTSSTSLRDYSWSKCASKGEEDSSMNMKR >KN538767.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538767.1:190099:190452:1 gene:KN538767.1_FG003 transcript:KN538767.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFLLAAAVATLFVVGSYATELTFKVNEGSSATSLELITNVAISEVEIKEKGASDWTALKESSSNTWTIKSGSPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSVYKSGIQL >KN538767.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538767.1:231358:237310:-1 gene:KN538767.1_FG004 transcript:KN538767.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGDEYLAEPEDEVAISMWPENIGDKHQKQFKMEKLGKDQDALEDANFQQKPSSVDLNRLMELANSEKGVSQMQYFVKHWEYKRANTARLLKEQIGLLCQQRKEIEQRKQQILEEQQFQDESYYAVKRQVPILDEVYKDEWKRPSKKNDDLSHNQELKIDAEYDSISYWKERAMQLEKTLEASLQRERSLEEKLEENIKNLQSHTPVEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDYEILSGEGIEEMNNVKKEVMASGKATKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVTRREKMADIRVREAVQKAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELILEGCIGDNVPLEVTGDVLRIRQILTNLISNAVKFTHEGKVGINLHVLDKQFPGCRIEGGQLHSKAHSAPAAAAEHFSASPRKCDNGTLGCSNHEDACQTGIPSNDNFGEHHDGEEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASDDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFSFVLPCKIPVKEDHSDDPDDMPSSGGDFTTSDIEGSFIFKPQARPYLLTSGVSVMNNTKLIGGNLFYDPPNILEDRKPFSNGFVLAEDHSTNSASTAHQSNGPSVSRTNEEQHDNAMVIELNRQAERVSSSRGDTTSVSGLIHEEREPCRVHEEKSLHKKSKCSPSSNKAKILLVEDNKVNIMVAKSMLEQLGHGIDIVNNGLEAIRAIQKRQYDIILMDVHMPEMDGLQATKFIRSFENTGCWDASVKPEHDQMIAGSDNLSDCAHMKKQGKRVPIIAMTANSFSESAEECLAAGMDSYISKPVNFQNIKECLQQYLPPQ >KN538767.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538767.1:259810:260108:1 gene:KN538767.1_FG005 transcript:KN538767.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLREFAKDSVRLVKRCHKPDRKEFSKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KN541652.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541652.1:14240:14578:1 gene:KN541652.1_FG002 transcript:KN541652.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPGEWCGAARWARKPGDEGADGWHRSSATGVGVGLDGNAKGAGNGGSSFPLPVGTLALPGAPLLLCGEFLDWIEAATRQRWKLMLLKQWHPVPGSPSAKTGEEADGWWN >KN538767.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538767.1:153476:157448:-1 gene:KN538767.1_FG006 transcript:KN538767.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPTRLHLLLAALLVAPTLAAAQPRGFGGVVAPPPAYARTLAMADPAPESDAPAQTFASEDGVPNVRARVLGGATSINAGFYSRAHPDWFRSHGEGGEAMNWDMKLVNSSYEWVERELAFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTKVGATTFDSSGRRRSAADLLAFARPGRLRVAIRATVTRIIMSPIEPVARRGRSPQPAVAASGVVYQDRLLQQHHALLRPGGEVILSAGSLGSPQLLLLSGIGPANDLTSLGIPVFADVPDVGKHMFDNPRNGISIIPSIPIDHSLIQVVGIPSANGNESYLEAASYIVPLAPILRRGGPFSPPSPLYVTMVTIMEKVPGPLSEGSLWLTSSNPLESPAVRFNYLSRREDLARCVTGMRRVAKVLESTTMDVFRSAMGSLSQDSRRREFRIVGAALPVDWRTNDTALGDFCQQTVATLWHYHGGCVAGSVVDRDFRVFRVRALRVVDGSTFRETPGTNPQATIMMMGRYIGQKMIDERHSRRQVRTSTDSSSNA >KN541652.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541652.1:9378:10852:-1 gene:KN541652.1_FG003 transcript:KN541652.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVSFVSAGDLATKEALEWAFGCTDAVKACGEIGRFQDDLTAFKHGKSKLDVATSVESYMKEHNVTGEVATAVISNMVENAWKTINQARFDRSSLVPAVNRVSNFAMSIMFLYQDSKDAYTFSELNKKTIKQLFVKPIPI >KN538767.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538767.1:279728:282086:-1 gene:KN538767.1_FG007 transcript:KN538767.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNGLLGLLNAGVLVLAVVVLGGGIWLSNRAATTDCERFMERPVVALGVLLLALSLAGLAGALCGASCLLWLYLLALFLLIAALFVFTVFAFVVTNRGAGWVVSGRGYREYRLGDYSTWLQRRVENSANWAKIRSCLQDGKVCEKLGARRETMDQFVGRNLSPIQSGCCKPPTGCNFAYVSETVWTKPSGFNSTDDPDCTTWSNDQTALCYDCQSCKAGVLANLKNDWKKIATVNIIFLIFLIIVYSVGCCAFRNNRRDNSYPAWK >KN538767.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538767.1:252323:258779:1 gene:KN538767.1_FG008 transcript:KN538767.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRREANQTKGTPTLSRAGTLAKQQQQTCVSAWTRRPRRKAETEAEEEEEEGAQAAASGGGEPCAACGYIATKKCSGCKRVRYCSQGCQSKHWQSGHKFKCKQMKKSSPADKLSGGGEEDRNKLSGFGPISLVPARRKLNKVIFPYDEFLKLCNWRDLDYLPCGLLNCGNSCFANVVLQCLSCTRPLAAYLLGMDHSRECYKRHEDWCFLCELQCHIQRASESMHPFAPKNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEFGGEKAVDPSTQETTLIQHIFGGRLQSQVQCTACGMVSNRYENMMDLTVEIHGDADSLEECLDKFTAVEWLDGDNKYKCDGCSDYVKAQKRLTVYQAPNILTITLKRFQSGRFGKLNKRVTFPMKLDLTPYMSSTDGSDQYDLYAVVVHLDMLNASFFGHYICYIKNYRGRWYKIDDCKVMAVDEEEVHAQGAYMLLYSRRTARPRPLIAVEELMKQQQQLKVCPSNGQNHLIQEDVPLEGVPSLKPSEDLEVHFESNNRSLHTMDREPDLDMHMSFDRDKFTNNDIMHPPVSTVSHALDENTRGDSGFPLEESNTMGSVQFGNSTYETSLVHSPAEQCEEPASCIDSVDYMEIDTEAGVEVERWSRPALGNSVGVMGNGTLVPALENGLAGKPIPGFPDKPSRINSFFAEGCQTGDNGVGSSQELNGHCNGEPSCPEQGVLTNGGNTSSPSTQCYENKFATSTNSNYSIGNGDTLSSSNSLHAGKQNAGFTYNGFNPKPYKEPSGSNTDLNNTCNAKPSEDNHNKCAQNLPAKDCQGGMPFLHRGFLLKPCSRGKPGKCDDGLSISNGTSSSFVSSNSKSSNISSSRNGEGGTPFLSPSFRTNHCRESAAMDTSASSVHDLRTSYNISLEPKSIGAAVPSDLIKESWGEDGTTFGTVYQQRATSVDNLSSRHDENGHVILGANNSSYGGENGCNNGILDMNSSSSQRDAASSTMMASEKGIGPKTTDQVNYISDALEHDGLRRRLTSNFPDQNGIDAQ >KN541029.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541029.1:15283:23214:1 gene:KN541029.1_FG001 transcript:KN541029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFMLVIHSCKDLHMCHLQDNFYCKTESSLLPASQEKHRVFCQLPNSDWALCTVITTSGDDSVLKLPEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVPLYGNEYIDAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTYKLNMKKADEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFTMVSAVLWLGDVSFTVIDNESHVEIVVDEAAETVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKSSLPQMFASKMLSQSDNPLPVPYRNSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGVHIGSASQLVPRTVGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >KN538767.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538767.1:191704:195756:1 gene:KN538767.1_FG009 transcript:KN538767.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVAQADASLKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFDDETFANMKKGVRIINVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHEHVTVTPHLGASTSEAQEGVALEIAEAVLGALKGELAATAVNAPMVPAEVLSELSPYVVLAEKLGRLVVQLVAGGSGIKGVKIGYSSSRDPDDLDTRVLRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRISEERILLDGSPEIPIDSIQVHLANVESKFAGALSDEGDIRVEGEVKDGKPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNANVNFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDIPSVEEFVFIKL >KN538767.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538767.1:145004:145183:-1 gene:KN538767.1_FG010 transcript:KN538767.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMEVAAARAAEAKTEGLLRGACALLAAAAALLVGLNTQTETVLFIRKKATVKDVQAL >KN538767.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538767.1:265537:266517:1 gene:KN538767.1_FG011 transcript:KN538767.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELANIQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAVLDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPVIGSCGSDKQIYLGEL >KN538767.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538767.1:206202:206591:1 gene:KN538767.1_FG012 transcript:KN538767.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDYLFSDLDPYLNVEFARFPHADSVVPNGAGAGAAIELDFTCGLGVSVGGAKQSYSSYTATDLAHSGSSSEVGVVPEAMCGGGGAIDLDFTRPKPQPY >KN541029.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541029.1:32455:35424:1 gene:KN541029.1_FG002 transcript:KN541029.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPPGPPPPPCTDYDMAYFKAYSHIGVHEEMLKIVNENFVRKRGWMCPLFLVEVVLDVGCGTGVLSIFCAFAGAARVYAVDASDIALQAMEIVRENDLSDKVIVLHGRIEYYYIRIFENQDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNSHRYQDSVYFWQDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPSVVRF >KN541029.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541029.1:29235:30201:-1 gene:KN541029.1_FG003 transcript:KN541029.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVIGIPLASFAYAEEKIERKTSRSSLVHKKGKKNSIIDRMSKLSQKTDSYVQGFKEHITLGPKISDTLKGKLSLGAKVLQAGSIDKVFRQYFQVDKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGGITRVPYKVLIPAKRIKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISHTKSFEYLQRVISEFR >KN541029.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541029.1:25084:26685:1 gene:KN541029.1_FG004 transcript:KN541029.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKCGLLSEACRVFDQMPQKDAVAWTAMIDGYAKNGSLEAAVLSFRDMKREGLVGADQHVFCSVLSASGGLKDGRLGKSIHCCVTKAGFELEVAVRNALIDMYAKSMDVENASRVLKINPGGWNVVSGTSLIDGYIETDCVEEALGIYVELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAQVIKTDLIRDSFVGSTLVDMYGKCGLISLSMQLFNEIEYITDIAWNAVINVFAQHGHGREAIQAFDRMIYSGIRPNHIAFVSLLTACSHAGLVEEGLKYFYSMKEAHGIEPKEEHYSCIIDMYGRAGRLDEAYKFISEMPIKPNAYGWCSLLGACRMRGNKELGEVAAQNLMKLEPGNTGIHVSLSGIYASLGQWEDVKAVRKLMRDSRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQKDIYEKLEELTSRIKEEGYIPDTSFLPCNLEDVAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICIDCHTAFKFICKVERRDIIVRDNSRFHHFVNGRCSCGDYW >AMDW01029989.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029989.1:7:350:1 gene:AMDW01029989.1_FG001 transcript:AMDW01029989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKIPFLLLAVLLLLSIAFPSEVMAGGRGRGGGGGGGVAGGGNLRPWECSPKCAGRCSNTQYKKACLTFCNKCCAKC >KN540925.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540925.1:15150:27122:1 gene:KN540925.1_FG001 transcript:KN540925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVALPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVNEFTNPRDGSSSLLIQDGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRFNEYRTLTLENDKLRGECLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEK >KN540925.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540925.1:27798:30779:-1 gene:KN540925.1_FG002 transcript:KN540925.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCFSPVGMLIAGAILCLVASTAPAPVRSAGRTTFSVSSFGAAGNGIADDSEALVKAWKFACRIPRSTVLLPSGHRFLISPVTLQGPCNTKLTLQIDGDVLAPPGMGYWPKARRPLQWLNFKWLDGFTIQGTGTVDGQSTLLRSVSPANVSQHWYVSGVKPTLIRFYSSFNVSVRNIGITNSPQCHLKFDSSGGIKVKNITISSPGDSLNTDGIHLQNTRDVDIRSSSIGCGIGDIRVSEPHARSFCSLGGLGKDNSLACVSDVFAEHINVENALYGVRIKTWQGGKGTVRNVTFSNVRVANVATPIAIDQFYCDGGGARCGNRSDAVEIAGVAYRRVVGTYTYQPPIDKPLLIVAMRKNKGHDCMKVPTRNEG >AMDW01038346.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038346.1:60:790:-1 gene:AMDW01038346.1_FG001 transcript:AMDW01038346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEVMGSNAMQSLKSILNPVSNPSISTKLVNKNNVTTSTDPLYCSTGSHLLKRSVFDNADILQSGAILSMIVHDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADETPSGIGNILSSIWLNPGNHDLALTDCRELWDSSLKINPPVDEKILCMEKHRRRMKFFCLDSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLLFV >AMDW01026806.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026806.1:2:292:1 gene:AMDW01026806.1_FG001 transcript:AMDW01026806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPTNDTEIGLETCFPWPPPPSVTVTVPDMELHFDGGANMTVPPENYMLIDGAT >KN540002.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540002.1:706:1113:-1 gene:KN540002.1_FG001 transcript:KN540002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKVQMPTEAAAPSLSPAPVEGKPREAAVGEKRKATEEPAPAESDLSDASESAAAAATIADDGGKPASEAAVAEDELPYDEWKVQRRALLDRLWEETMSKVKLPDDPNLYYDYSDDPDGLLLDYDSETDIEMD >KN544517.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544517.1:3011:3149:1 gene:KN544517.1_FG001 transcript:KN544517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGEAAPHADGVSEEAAAAAARPRGFRLLGEDKSVHQALGGGK >KN540002.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540002.1:54052:56680:-1 gene:KN540002.1_FG002 transcript:KN540002.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIFFSDLQDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMRKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIEFLGKSLEDICPAGH >KN540002.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540002.1:31297:43478:1 gene:KN540002.1_FG003 transcript:KN540002.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVVEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVKLRAFENDTSFINGGYHEISKGYKRRVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITVFIPLLVDESSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAESGVLNQCLQELLDGIQEDFYAIVDWAFRLDPLSCIAMHGITDRYLSAQFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >KN539206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539206.1:118747:121435:-1 gene:KN539206.1_FG001 transcript:KN539206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRMAAGVGFEVAILVGTYRKMVLWVFGYGSLIWNPGFDFDEKILGFVKGYKRTFNLACIDHRGTPEHPARTCTLESDEEAICWGIAYCVKGGLKKEQEAMKYLERRECEYDQKISVDFYKEGDSLKPAVTGVLVFVSTPDPVGNKYYLGPAPLEDMARQIATANGPNGNNRDYLFSMEKALSNICHEDDSIIELANEVRKVLSRPKEKITGSDSPLKSHALVHLSALPEGTVVDSR >KN539206.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539206.1:4502:4789:1 gene:KN539206.1_FG002 transcript:KN539206.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSLPLPNLPSPGTGETLTDLEAPLPRQSHLSTIAGERGTIGENRASPTARSTGHPSRWSSALDPPRHRICVATLRRQSDGCRAPPSRRHWI >KN539206.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539206.1:50272:76723:-1 gene:KN539206.1_FG003 transcript:KN539206.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSLCPPFVILLWYTMVHADGSVVRTYEHLREHGVLEGLKAIWPMPTMAAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRGYHIIIIKLLGHYQLLLFQRGFALNYLNHHDKFDDAKVYFILIIHEDLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKIVASYQTTNGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFDHFLPYFYVIFLTILLFDRAKRDDDRCSSKVAEDDQITKLGEIPVLDLALGGDENGVPALCAECADGYEKEASKVRAKTDGTTLALTYFPGWPHANEPQTSRKAELMELRRKWGILCQRVHSRSHNDQASVPSPMPWWCKPSSVSRDGEARTELNPSSAGLRLSFGTPGDHDRSESVDERGADTTLSLLPPDAAAAAATWQDTRGRWSEGGGGGGADGETMTVNGLDATVDALGRARRMTGRRWSLARLSGFGYQLK >KN539206.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539206.1:31473:32681:1 gene:KN539206.1_FG004 transcript:KN539206.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFGQRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLKLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGTFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLMLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHPSEFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYVFPINLPAGFKIENGFREKLGNWQRLPYTKAYDKNDLHPIHNVGRLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLREFILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNVRRKMLGLILSGCRGVDEMDSPLWFAEEHNQESSNES >KN539206.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539206.1:15372:22760:-1 gene:KN539206.1_FG005 transcript:KN539206.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRSVEARMPKMVRASTAISPGRVVVVAGAAALVVVVLLCAAPAPAAVEAVPAGAEVSEFPGFHGELPSKHYAGYITVGHQPLKRHMYYYFATSERNSTTDPVIIWINGGPACSGFSAFLHSIGPFKIEGPMIHARDEPRTKLNPFSWTKMSSLLLVDSPAGVGYSYSENEDDYVTNDTSRVLDLYDFLSKWFSEYLEFLSNPFYIAGCSYSGVIVPVLAQEILKRNEDSGRIKINFKLKYFYFVFQGYSLCNPAVDVEIENNAFVPYAFRMGLISDELYQNLVSTCNGKYWNNKGPSCLANLEQFHKQISGINMEHILCPPCRYQMGITKEANEEYDFGQMFELLSESSEYGLECNNQELVLEKLFDTKSSREKLHAKPIEILQKWKRCPNFIQYTRDIPTLTEYHLNEFFQCSGDHALLVPFSATLEWLKTLNYKEIEKWHPWFVEKQIAGYSVRYENNILFATIKGAGHVPSDYLPFEVFVAYQRWIDGSDSL >KN539206.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539206.1:101661:105665:-1 gene:KN539206.1_FG006 transcript:KN539206.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLKSTEKFGAWIKLAEREIWEMSEFANKFSVLHLDGEGGGADDTEEALIQTSSSIKEADAGKNALSDTLVLNYDEGSLVSSSGDYQMPLGPDLVINQKKDLLDNMDEWCKTHHAASGLTQRVLQSTISEHDAETQVLDFVKKHVGSSPPLIAGNSVYVDLLFLKNYMPQLAAIFSHVIVDGYSFPIS >KN539757.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539757.1:66663:68423:-1 gene:KN539757.1_FG001 transcript:KN539757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGADGDGLPPGLKFDPRDDELVSRFLLRRLQGKPLPLHGVILEADLLSVPPWKLLAEHGRGDEGFFFAEARSKNGKGSRQKRTVEGGGVWQGQRVCIDGEKLLVPDGGGGGGVEVEIAWRKYMLSFFAEGERGSSGWVMHEYAVTSPAELAASPIRLYRVRFSGHGKKRKREPQSGEDGVGRARAAPQSARTEDALVEERIPPPQPVPIPPIARTEDALDVGTEGRAAPQSAGTDAGVDGLYSWADFEFPEISMDELPSCIDFTTTDPSCLDIELSMGDLHEPQSTGIESDLLEEFVPQPQPVLVPPLAALVEVADSSEGPDQGCSVVMHDSSAVFTHLSDPIVLPEEEEEADRPDAPAGTMSLDYQNYSLSDFEFPEYPLLDVAGDADGADQCSSNVMDDSSMVFSHLEDLITLPAEEAEADACSAAPAPSLDNQKYSSQGIIDSEAPALSDFEFPETIDEVLNSINFTMAGPSCLDMEFSMDDLLDFDLPAD >KN539030.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539030.1:67427:71805:-1 gene:KN539030.1_FG001 transcript:KN539030.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMTRPTGPEPRTVPVKSPSNDPPTKPFLSAMFGRMPKKSNNTKYYEVLGVCKTATQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQIFQNRGGFGGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGAAATCHGCHGAGMRTITRQIGLGMIQQMNTVCPECRGSGEMISDKDKCPSCKGNKPDTVTGDIVFVLQLKDHPKFKRKFDDLFIEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALTPGQCRSLEKILPPRPRNQLSDMELDQCEETTMHDVNIEEEMRRRQQHRRQEAYDEDEEEDAGAGPRVQCAQHNNSARLGLDVETDAPLVATGQLHLFKKILTSES >KN539757.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539757.1:54:2191:1 gene:KN539757.1_FG002 transcript:KN539757.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LPNGKIPINPKCVNIVFGIPRTGVTIKPNNPKHESIKTLKHLFRVSSGTQISVQQLQVHVKELLYLDYIDASGCNVPWGEPRVAFWTDQAAKPLKKADKGSGLYAIYGSCKNVEANCYAKAFEPYKETVNMAGLGPYADLVRQLDDGSFSFEFCADTHITSAETPKKRVYDMGVCEHAHHDHVKLPVLVIF >KN539757.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539757.1:31945:36850:1 gene:KN539757.1_FG003 transcript:KN539757.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSSSREGEQQEPANERLTATGGGGGGSPVSDQELSDGEEVSDGEYQAGDDCSGYAVRGRGFVEKEHMFDKVVTPSDVGNLGRLVIPRQHAERYFPRDVDKRSVVLLFEDGAGKPWRFLYSYCGSSQSYVFTLGWSHFFRENRLNAGDTVSFYRGATEATRDRLFIDSKRQMHHINRLFQLLVRVRTMVSSFGEAGSPPPPNSPLPTAQNFTYKALKDCLQDLLYYNLLSLMGRNHTSQSTPLRFACGVNAKIRDAIPKLIALIQFQSLSLPDPVLDTPAGAMVLSNCISLCRGVWGIMGFLCSENMKEKDEHRMLQVHAFDDLNYSAQDRITRMKEQTMPSSLSDPIYLLPTAIRNLLYLDLSNCSDIHNTDIKYFPYSFTDLRRHPYQSIWWKNNRVHTQCNLKSYRCLQQIIINRLLSDGFDEGDITSEQSLTSICIFGESGTGKTELLHEIYNDQMIIEGFHLRIWINMCDEKRLLEKIIEFTTCAYCYDAPSSILEETVREELNGKRFLLVLNDADIEKQCFWTDVWKLANVGAAGSALIVTTRSEEVASLFGAMRTYDMNSLSKEECFMVFQEHAGCGLDINNYHELTKVGWKIVEKCGSNPLCIKALSGLLCHSETALSEIDSLAGGIVPALRLCYDLLPSHLKQCFKFCSLFPKDYVFVRHHIIQLWISQGFVYPEEGSQPEDTGLQYFNELFCRSFFQHCPFSNDHGDKFVMHELFHDLARSVSKDESLSSEDPFFSLPENICHLSLVISDSNTVVLTKEHRHLQSLMVVRRSASEYSSSFVPLLKILGLNDLLMKCGFLRALNLSCTTILDLPGSIGWMKHLRFLAMNNTKIKSLPTEIGQLNALQTLELKDCCCLIELPESTKNLTKLRHLDVQKEPGNIHVSMPSGLGQLTDLQTLTVFNIGDDLSHCSIRDLKNLSGLRGHVHITGLQNITAALSEFPSLKSLKIEGFQKLKSVSFCPEMPMLQKLEISDCKELVSIDAPLLSVSNLKVVRCPKLHFGGSWLEGCLMWEEFKRDKCTIKYLLVKTMKDDGWNWRKYGQKDILGSKYQR >KN539757.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539757.1:21655:22125:1 gene:KN539757.1_FG004 transcript:KN539757.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKVAEKADQEDVTATIADIKSASLPAGNSTTKTSKKRSRPSPGSNTVKKLFKDDDEAAQEESEK >KN539757.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539757.1:73256:74789:-1 gene:KN539757.1_FG005 transcript:KN539757.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYAVTSPAELAASPIRLYRVRFSGHGKKRKREPQSGEDGVGRARAAPQSARTEDALVEERIPPPQPVPVPPIAVMMDVGTEGVRARAAPQSAGTESALLEEVALLDEVVPPPQTVSISPPAALVDAVDDADCADQGCSGMMDDSTMVFSHLPDIITLPAEEGDATGGPDIITLPAEEGDATGGPDIITLPAEEGDATGGPDIITLPAEEGDATGGPDIITLPAEEGDATGGSALASMDYSWADFEFPEINVDELPSCIDYTTTDPSSMNYLHEPQSTRIESDLLEEFVPQPQPFPEYPLLEERVPVVDVSSDADGADQCSSKVMDDSSMVFSHLEDLITLPTEEADQADACCAEPASLLDNQNYSSLGVIDGEAPALSDFEFPETTEEVLNIIDFTMADPCCLDMEFSMDDLLDFDLPAD >KN539757.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539757.1:5819:8320:-1 gene:KN539757.1_FG006 transcript:KN539757.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGKTSLAQHVCSEEAVASQFDLNLWAWVSQEFDVVGMTAKIVEAITRPRPDCSELSALHGTMVEHLAGKRCLLVLDDVWDDNPIHWDTITAPLSCCAPGSTVVITTRSKMVAKMVTPNVYHLDCLSDEHSWYMCWRRASRGGATIDDELASIGQQIAKKCRGLPLAAEAAGTAMNTSVTREHWNHVLESNLWADNDEAKNNVLPALKVSYDHLPAPLKRCFAFCSLFPKSFVFDKDALLSPSHGIGKGKYVMHDLYQELAQFVSGHECRMIHQLNLTGADKTTRHLSIVHDESNSDKELLLKSFCSHDLRTFLFLARMEQVIRGEMPCRRKIVPCGLVTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTGIQMLPESVGALFHLQTIKLNHCSSLTQLPQGIKLLLNLRCLEIAHSNDVLFKEPFCSLSKNICHLSLVLSDSNAVVLTKELGHLQSLMVVRRSASEYSDFLRALNLSGTTTVELTSSIIGRMKHLRFLALNNTKTESLPIPTDCSDSSEELEDDSDKEIVNQVQNLQPNTSLFELAIQNYPGNLFPIWIQDSSLGMLVSITIDDCQNCNEIPYLGDLPSLKYLFIQKMYVVESFGQRSNSLTTDGRCTESVGDILFAILEWNKQGGFPTASLSLCQ >KN539030.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539030.1:100324:106661:-1 gene:KN539030.1_FG002 transcript:KN539030.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MAVASLRASTRSLSFFPCSDSMANLTFASTSLAMTSSSSHPSISTPTPMTSMSTEFQAVTSNKQRNLEGHGEGADVRRVELHDRDSQLPHGFKGMAALCVDEDGVEALHGAEEVVEVFVVPSHDVEVILEELRSRAWEGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFVQFLELIPFLKGHIPTWIGHGEEFFGGVVSVNILAPILLIILTTILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKKPRRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVLAGLFNVHELSHILSVGTLTGYSVVSACVITLRWNDKTTSRRSLGNMSIWQEALLIAVAAGFALQFRQVYVDPPGFSCPGVPIVPMVSVFFNMLLFAQLHEEAWYRFVILSLLAVGVYAGYGQYHAVPSSSDHPHPAVAYHGIPSEAP >KN539757.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539757.1:43601:60939:1 gene:KN539757.1_FG007 transcript:KN539757.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKKCLLVLDDVWDDNPNHWDTITAPLSFCAPGSAVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHSCTTATIDDELTSIGQQIAKKCRGVPLAAEAAGTAMNTSITRKHWTHVLNSNLWADNDEAKNHVLPALKVSYDHLPTPLKRCFAFCSLFPKSFVFDKDALVQLWTAQGFVDAGGERQPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYLELAQFVSGNECRMIQQIGNECRTIQQTNLNRADKTSARHLSIVNNESHPEQELSLDSFCGQDLRTFLFLSRLEQIIHGEMLLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGIKLLQNLRCFEIAHSNVQMPSGIGALTSLQKLPVFVIGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKYCQNCKELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKASFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEAIWFNLGEVFVLMEVSRFLDGVDERNGGFQMDGDEAKESAMDGLASETASRISDVSKKQPKRKRALVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGATKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEGSKGYQNKGEKQFESFKGTEKDRSPSSKGAKTESKSSFHTCRKFLPFVSYISFTAILKKSVTILMQYVTVDASLKVRKEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPIVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIAGWRKLYHQKELCRWGVRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPGVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIFDVPVDINFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSFPYGIGKLVESLRVQFPCVPKLHLKNKIQEIADFTNNRWQVKKDILDWCGLSSTRFCVICNSGLLSSTDKGEKQKESWNQKCYGTGILGKLVWLSGLFWVTLWLDE >KN539030.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539030.1:24393:26764:1 gene:KN539030.1_FG003 transcript:KN539030.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGEEEWEEVVAGAEEAVQGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEELPFASRVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDEKEAAGKKGNPGGPAGVLKWILGNTQAKLMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >KN539030.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539030.1:76551:79978:-1 gene:KN539030.1_FG004 transcript:KN539030.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) UniProtKB/Swiss-Prot;Acc:Q9STS3] MASSKEAYRVELRAAARQLGERGLYSAAKWYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAM >KN539030.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539030.1:89480:89962:1 gene:KN539030.1_FG005 transcript:KN539030.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIESEAGDASDDGIGAETDSSASTAGFWGAAPSPPSVLDAVCRSRKPNATATAAAPSAMSKGFPSYIPPEPRRDQSFSDDGEVTDWDDEDEDEEEADVQDEMAASPQRSYVDHDDYNGDGDELSELAAEAAAEVEDEVDRKADEFIAKFREQIRLQRL >KN539030.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539030.1:108522:108791:-1 gene:KN539030.1_FG006 transcript:KN539030.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANELVSLRMLDKHFGDDEAVMSADELVKLYRGLFAWFDRDGSGAVELEEFRAEMKEVLLAVASGLGFLLVQMVVEDGRSVAKHLSGRL >KN539030.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539030.1:8600:12764:1 gene:KN539030.1_FG007 transcript:KN539030.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSLGDSFSATSVKAYVAEFIATLLFVFAGVGSAIAYGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGLFYWIAQLLGASIACLLLKFVTHGKAIPTHGVAGIIELEGVVMEIVITFALVYTVSQALYFPVYLLFVKHGEVRMEGHMLGRMRRRDHLLLMDAAPPPAAVAAAVQTPMEPMEFLSRSWSVSASDISRVLTGGVGARRSTNFVVDRLSGMLMPETLALAAASGTNLSPRKRLLASHCIEIAELAGADHDQVASAVEAAVDVRSPGDLMTLTASAATGALHRKRVAVYINKKSQVIVKLKSKRIGGAFSKKKKSGVYGVDDDVQAWPAPPACGGGAVPPAPETASSEKCQFGLRTAQGVVEFQCESRAQKQDWVESVKNLLRQAAGGTAQLEHSFESLRLSAS >KN539030.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539030.1:146667:148637:-1 gene:KN539030.1_FG008 transcript:KN539030.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKAFSSRSPAPPRTNSGELGLAAGSGGGGGMDRWTGVLNVPLSRGGPPFRVAASLVLTPAKTLAIQSMVTKATMKGSSGNQSPTTSLLKYCPPKTVILGFSKGGVVVNQLVTELACLASESAKISDDVSGSSPPLLTRNLLVPISATEFLSSVSEFHYVDVGLNHAGAYITDHAVIKEIANYLMHTSKNLCFVLHGTPRQWSDPNRSWIQMEKEVMLRLLQDEAQRCEGRLVLSQKRYFDGKPRSLLMHFEILEVMDIG >KN539030.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539030.1:120915:122460:-1 gene:KN539030.1_FG009 transcript:KN539030.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNKGTATPVLLNIYDLTPANDYLYWLGFGVFHSGIEGQLSFALADGRAVIMGKGENRDNVSLGSKWWQNWKDNYAFHGMEYGFGAHDFPSSGVFEVESKSCPGFIYRKTVWLGTTDMSHGEYRSFIEKLAGKYHGNSYHLVSKNCNHFTDDVCSFFDCLLPESVQVSPVGRVPTLRPVADDDLDSISTVSDNNEEDKHLLPAVSDNNEDKHLLPAPSNDLHSVDVPLKLAKDVL >KN539030.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539030.1:81372:87054:1 gene:KN539030.1_FG010 transcript:KN539030.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MEGGGEALFLDGVGEDGVRKFVASGLRVLESKKRKEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATIQGKRNTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPDGFGYFRNNMKCQKHTNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGYGFYGEVIRESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKLLFDTHFSVEMENTAPRLRSAESWVASILPPKRAVM >KN539030.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539030.1:27687:28712:-1 gene:KN539030.1_FG011 transcript:KN539030.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTKPHPSSRLLLPTTTALPNPSPNPSRVTRVNPSVLRPIIESGHIPVIATVAADETGQAYNINADTAAGEIAAALGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >KN539030.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539030.1:3274:3743:1 gene:KN539030.1_FG012 transcript:KN539030.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVGFPDKLFRCARCRRRFQHSYCTNYYGDGAPVEAGAGVCDWCLSDAAVVAGKKGPSSLEGNEEPFSREYRGRSKQAASTGGGEQEGGGRRVSKAGAVRRYKLLKDVLC >KN539030.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539030.1:94676:96786:1 gene:KN539030.1_FG013 transcript:KN539030.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEAAVLLRFVRPACALTSDEASAAARRIGAVSHPNLVPLRAFYVGPRGEKLLVHPFYAAGSLRRFLQEGIVDSQRWNIICKLSISIVKGLDYLHTGLEKPIIHGNIKTNNILLDANYECKISDFGLYLLLNPAGAQEMLETSAAQGYKAPELIKMRDATRETDIYSLGVVLLEMLAQKESAKDNTPNPRDILLPVSFKNLVLERKISDAFSSDLVRQSKKSGKEKNLNAFFELATACCSPSPSLRPNTKFILKKLEEIAK >KN539030.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539030.1:158722:164559:1 gene:KN539030.1_FG014 transcript:KN539030.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPPFPTALSYPSIFLCLSFVRSPLCCVHKQQRDAAIPTRAPIPISGPHGILGALCCLSAPSVAHDRVDSINGEQRHSSTLQQLLAWEKKLYREVKARERLQVQHDKKKAELSDQEYSRKIDVDVLKLKAAWEKARAQLSTASQAVAATSASIAELRDTHLARQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSRTSMDPTTEIHHETTRALEAAMSSWCAALGHLAKHQRDYVHALHGWLKLTLQEAPAVVAAGLEEYFLKASVAGDAVSSHLEASNDEFKRKPLATLLLNSHIHIYCTCGLCLTGQYEGGPHGILGALCCLSAPSVAHDRVDSINGEQRHSSTLQQLLAWEKKLYREVKARERLQVQHDKKKAELSDQEYSRKIDVDVLKLKAAWEKARAQLSTASQAVAATSASIAELRDTHLARQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSRTSMDPTTEIHHETTRALEAAMSSWCAALGHLAKHQRDYVHALHGWLKLTLQEAPAV >KN539030.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539030.1:113456:117495:1 gene:KN539030.1_FG015 transcript:KN539030.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGGAWHEAAAEEEEAEAEEAQAPDGGVGPEPDHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHRSNRNMYKLTLDGVDVMGERLAQEVPFLFGFTAIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGGVQFMSALKVFKRRVAYSNVGHDRSKIYPHIVYEELSKAETMNQCTDVADVDSCMLEERLLRGLKLVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHSEGADVINHMIDHFVL >KN539030.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539030.1:30523:32359:1 gene:KN539030.1_FG016 transcript:KN539030.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHALFALHSSSMQQSANNETLGLVNKSWEKIFMEGVKAKQVEDGLHLVIYTLHRSCSRQTELYNEKNTHLEDKKSFRNRKKGCPSCGLSFWASDAAYSYL >AMDW01038585.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038585.1:222:378:-1 gene:AMDW01038585.1_FG001 transcript:AMDW01038585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPAAEEDGGGCVARWMRRDVLLGLALGQFVSLLITSTGFSSSELARR >AMDW01035388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035388.1:27:533:1 gene:AMDW01035388.1_FG001 transcript:AMDW01035388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WDPDSPSTKPHLKDYKVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTISPLPHMFVIKDLVVDMTNFYNQYKSVEPWLKRKDAPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHAN >AMDW01027560.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027560.1:5:85:-1 gene:AMDW01027560.1_FG001 transcript:AMDW01027560.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPSSFLPAHLLWPHPASLAGAN >AMDW01037130.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037130.1:172:585:-1 gene:AMDW01037130.1_FG001 transcript:AMDW01037130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLMMRLESDLAQVLMSACRGELGDVSLTWSPEMAMVVVMASEGYPGSYKKGTVIRNLEKAEQVSPVVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARARAYDAVDVVDWPEGFFRRDIGWRALKHKQVANY >AMDW01038925.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038925.1:138:760:1 gene:AMDW01038925.1_FG001 transcript:AMDW01038925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARRIVQWVQQPSTIEVAALIGMQLLHTENFQKTSYLSSVLMAEEYTCSCLSVHVQGKDLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSE >KN542823.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542823.1:629:1672:-1 gene:KN542823.1_FG001 transcript:KN542823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGADAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPRPVDPIWTPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLTKIRTGQLTPLSEQELVDCDTNSNGCGGGHTDRAFELVASKGGITAESDYRYEGFQGKCRVDDMLFNHAASIGGQPVTVYIDASGPAFQFYKSGVFPGPCGASSNHAVTLVGYCQDGASGKKYWLAKNSWGKTWGQQGYILLEKDVVQPHGTCGLAVSPFYPTV >KN542823.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542823.1:10685:11701:1 gene:KN542823.1_FG002 transcript:KN542823.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNATGFRSPKPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTV >AMDW01033770.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033770.1:83:383:-1 gene:AMDW01033770.1_FG001 transcript:AMDW01033770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CRSGKCTQVIRPVKNKTFEGSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNVDCHAPVQDLLFNRNQ >KN538776.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538776.1:114482:120578:1 gene:KN538776.1_FG001 transcript:KN538776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDAVWKEEFGLVKPTHCSVEPQPRRNWGTSLEELIEHSWSPIQPKWVWVHKGERLEDSTLGFPATREEIHRFGGRARKIFKIFPRFVDSRSFAEVVGERKMERRWKDQPRFNNKRRSDERDQECWEDAVARREEEDLRAKLRRDLERKKEEMTRKKEEDSRREEQQRRAAESWRREGDPAAAKKGKMVEDPRGESSFRPDFQSRAGDLHIGKENKEDEIAKKCYKCEEEVLRQSVVGLLTLESGSCSEKLQEVWVRAEGVPSIARTEKVMMKLAHLIGDPVEVDSISLIRETVRVKVLCRDPSKIYGTSEVFLNRIGYKITWNPEGIKQDIPHDPKPGHGKDYKRRREDKDSQEDSEGEHSNKEPEEKGGANHNSLNLSKKQGGMQDDEEDIDLEIDAGEKVDIPDYFSDPSDEVMPDQVLLLKFTSEEEGTRSAVKEVEEEFRKDSLTVPKQREGEQELPLDNVHQDNMDGLMGNSDKGGTAEKLEDQQGSAMVAISDGTSFHDLMEADLRQLEEMDREGKTQHDAGVEDGFTQSETKKRRKKKQIVAVATRQSSRIIRDGVPVALKAQKRTSVKNDITGLGNPGRRRQLAEVETIRVSFKLRELNQFCTGKNFEWLTKPAEGHSGGLLLGVNTDLFNILDRDVGEFCLGLILESKADHKRWSIYNIYGPVQSERKESFLQELLLKATTQQCDVMIGGDFNLIRSVTEKSSRVINRKWMDGFNRVIADAELRELHRLGNRYTWTNKQCDPTREWRRLASAKLRGDLETLRDSLLASIHEAEGRFTPADTEPV >KN538776.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538776.1:73132:73362:1 gene:KN538776.1_FG002 transcript:KN538776.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDKDFVIDFLGGEFGGDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEARTAAEAGVELRHFRISRFEAGNAMGN >KN538776.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538776.1:41631:46672:-1 gene:KN538776.1_FG003 transcript:KN538776.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSSSMNTLRECGTCQLTSLVQLPDLFGDANEAIPDEEQQEGEDTAPCGEQRLVLLPERNCSTQLPKYPLYMGNLINGEIGEGKIGDAVLWVCGIGDGYGRKFLVQPEDISCHVDLCHIFLHFSSNLLFNMYKLSFRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAESSKGRENSCMERRKRRRLEETVNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPAYSNQNGMCVLAMIASTVDQDPVWSQLRSLPFPDYPLPSAYGLGYLGSSNLGGAGTPTSPNVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIQSQVLRLQDRLSKARSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVAMYSNEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQVANEGYQPFENAKQPMDKSLELTEVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEVRSMPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMKDGRGPVSGFKTQSKEASKTPATKKKTESTSPAAKKPKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKKQEAENSSCAARKEISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >KN538776.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538776.1:174073:174697:-1 gene:KN538776.1_FG004 transcript:KN538776.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGEKQQGRERRWTATGQRVGMGATREEEVRLGGARGQHEGTGAAMTGYVRGWGRQWQGSVKGCGRHEPWRCYPAQGHTRECT >KN538776.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538776.1:25267:26257:-1 gene:KN538776.1_FG005 transcript:KN538776.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVVHTSSTFVNPRGLRIFTQRWVPAGGDAPLLGAIAVVHGFTGESSWTGHGFSEGLQGHIPDIVPVLEDCEAAFAPFRADYPPPLPCFLYGESLGGAAALLLHLRDKERWRDGAVLNGAMCGVSPRFMPPWPVEHLLWAVAAVAPTWHLAISQGNMPDRSFKELHHRAGGEDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWFKSHAAAATPGEGQQ >KN538776.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538776.1:168096:171934:1 gene:KN538776.1_FG006 transcript:KN538776.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSPLPDEPPQPPLRERSPKIIRIINDRRNNLRGWYKILLQLANAALRKYAQQTGEQYELHTIYGETFLKDRNERAEYIHINFMASPSSCQCLQLQASPVCFFAEVLRPPRFKYHEAEITLCCIVRPSPNDADSCHGCLIENHKIDHPEAGMRFCGKTDANGDGYGWDWPSIADVEYRFFDPDKDVGLVEYLDGVITDIKARIRALSTRDEDDSDEDSSDDDISAAWPCRLLNLSASLLKRFARLALAIMKTTSPCVALCNHHQLISIVSMVVLQITTELIIRRLACTSLGSTTRWKEVAMPGIGHRQLMSITGFLILTGMLAL >KN538776.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538776.1:129448:138877:-1 gene:KN538776.1_FG007 transcript:KN538776.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDALFITGVLGNVTALFIFLSPIQTFYTIVINGNTGVQQPEPYVFTLLNALLWLYYGVSKQNGLLIATINGFGAVMEAIYVVLFLLYAANQNMRGDRNNMCVLQRVDVCLSTHSRDQSIIFKQIRVIQDENVDAMPFWLSFFLFLNGGVWLVYGIIDRDMLIGIRVIQDENVDAMPFWLSFFLFLNGGVWLVYGIIDRDMLIGIPNGIGFLLGTIQLIVYAIYANFIHWDNLRLFLRGLVGRQALAAPLLPNAVEGQEA >KN538776.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538776.1:68047:69451:1 gene:KN538776.1_FG008 transcript:KN538776.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDVGEVRMRDVPMATEFGPAAPVGGAGSGSGGTGAAAEVAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFFWGEEIGDCIADMNKMGIVGDNNFGEQKSHHRCRRKKHDVRMLDP >KN538776.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538776.1:48111:48653:-1 gene:KN538776.1_FG009 transcript:KN538776.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGGGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERLDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPAGAEPLAVASSSHDIDAASADPANGEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQASIRPR >KN538776.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538776.1:91784:99901:-1 gene:KN538776.1_FG010 transcript:KN538776.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEQEYVRNSRGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRECGMRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCHRFFKSICDMEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPVVISLLTQVEDVIPRWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSKVKLPFFVLHGDADTVTDPECKFGPKKFKKMLHVSKWNVLFILKHISSFQQRFVYNTIWLL >KN538776.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538776.1:122526:125988:-1 gene:KN538776.1_FG011 transcript:KN538776.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIVKLTSLLGEGYKLLKGEKDDIKYLRDEMSSMNALLVTLTNMDGLSDQQKEWRNKVRKLSCDMEDCVDRFMHKISEHNGLAGDIDIHIHDFMARVQDAIEHRDRYKLSESVTTATPIHVVAIDPQHLALNEEEDGLVGIEGPKQKIIRMLMDEEEGSRKLKVISIVGSAGIGKTTLAKQVYLEIKGRFDCSAFVSLSQNPSMNKIFTHILSQVGFKTRRPLHREYDLIDELKQYLRGMRYLIVINNIWSESVWDTICLAFALRKCGSRVIITTCIEDLGSVCRPNFYGHIYKVEPLNDFDSRMLFFRRVFSNEDACPDHLIKVSEEILRMCGGVPLAITSVASILAGQGDMMIEKWGRILSSRGYELETDPTIGWMRHVLSLSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVMEKFGYDQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMIDLIISKAIEENFIAIFDDQHTMRGSHEARRFTLHFNRGLENTEQNLALTRSVHHQTRSISFLGPIQCLLVISEFKYLRLLQIEVYYSNIGSYDLTHMCEFFQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKLSTSYDTSIEFYEGLGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRDGDLSLEAGDRLNFWSPPPLHLRRLHVSEVVFSYVPDWITKLDKLTSLVIRIREISKDGFGVLARLPCLLFLRLRVHRVKEEDATIVVRSRTFQHLREFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPVGIEHLTNLMQISAHIHLAHHWMCQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYVNIQFIQM >KN538776.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538776.1:151740:154658:1 gene:KN538776.1_FG012 transcript:KN538776.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNYPVRKGALSLDDAFPCVLGIRMAMMRSWFSFEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDDPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGSLDVEVEEETPVAIMAEGKQHALAIGYTKMSAKDIKTINKGIGVDNMHYLNDGLWKMERLE >KN538776.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538776.1:162842:166322:1 gene:KN538776.1_FG013 transcript:KN538776.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoamide acyltransferase component of branched-chain alpha-keto acid dehydrogenase complex, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06850) UniProtKB/Swiss-Prot;Acc:Q9M7Z1] MTFFFLNSFILKGDQVDEFQRLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVALGCFFNLNEVGETLLKMMVGDSQTVSHDSIASSTDHSHAVDAANPSGEGSVPSGTLSTPAVRHLAKQYGLNISDIQGTGKDGRVLKEDVLSYATSKGLCKEPTSALEENIDQVELLEGRGSLPDATSYEDRRISLRGYQRSMVKSMTLAAKVPHFHYLEEINCDALVELKASFQNANKDHTIKHTFLPFLIKSLSKALSKYPLLNSCFVEETNEVIFKGSHNIGVAMATEHGLVVPNIKNVQSLSILEITKELSRLHEMASHNRLSTEDIAGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDESVYPSSIINVTIGADHRVVDGATVARELFPKVPSIALLA >KN538776.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538776.1:89121:91497:1 gene:KN538776.1_FG014 transcript:KN538776.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRIGAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEA >KN538776.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538776.1:51362:52614:-1 gene:KN538776.1_FG015 transcript:KN538776.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAKADAAAEAAKMDLLEDDDEFEEFEIDQGMHRSVSLSSILFFVDDDTAEWDDKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELESIPSKN >KN538776.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538776.1:110559:111860:1 gene:KN538776.1_FG016 transcript:KN538776.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCLSLLAQRRGYSVAAAVAKGAGRRADEKKLLYFSPSVSSTPPLERSSSKGTSQASSVVTGATTRWRRDGRICRRCPCKGWICHHWHGDGGGSAAEALMARVCRRWRSWEG >KN538776.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538776.1:59824:65484:-1 gene:KN538776.1_FG017 transcript:KN538776.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTHASQPQHQHVPLVQPHHMWYLGGGQIFVAETMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAICGRDGYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTTYEDMKYAIRKLDDSEFRNAFSRAYIRVREYDDRSRSRSRSYSRSRSYSRSRSPKSVSRSPSPVDERSISRSRTPVSSPSRGRSVSKSPSRSLSRSPSPVKSD >KN549041.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN549041.1:301:459:1 gene:KN549041.1_FG001 transcript:KN549041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKVNGFACKAAVTEDDFYFKGLAAAGNTNNTYGSVVTGANVEKLPGLNTLGVS >KN540217.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540217.1:59939:60005:1 gene:KN540217.1_FG001 transcript:KN540217.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEEYGLQSSKSTSDDLQQKE >KN540217.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540217.1:38627:52583:1 gene:KN540217.1_FG002 transcript:KN540217.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNVVAFFRGRSILITGSTGFLGKVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDITSELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLHLIMDVIPGDMVVNAMMVAMAAHSGEQAQTIYHVTSSLRNPAPYAVLSDAGHRYFFANPPPRAGKNGRLRRMRFFSTVASFRAHMAINYKLPLEILRLVNIALCGMFSRRYDELSRKYKFVMHLVELYAPYTLFKGCFDDINTEKLRITMRKQEDKNDGGYCFDFDPKSIDWDEYFYKDIAFLPQWLQPHWTPAAEFCACVSSSSCEVRFPLVSSKNLVYDNVLQNSFKHIMFQTFSSLGLSCGPWAHSLYGLVLIRADLCSIKGLERQYVSIWDLINIWSKTTNWRQTVQNAYIHCIREEKHLINRDSQNVFLENTIIFSILKCDGASNLCHKNYKDATVVTRVANYLTNDTEDITIFRSKLASILWCSKYNTRKVFMQPEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIESDTFLCVVAPGKTLTNRKELLGALCKYVMSIDCAESLQKEWIRNMKPYPISLSLRNLQDILDVNKSMDEGCFNMAVRMLTCNPLLLFLDDTIHYMDLQFWILLPHSFLGHFTLFVLDMKARTVFILDPLHIPDTFRGPHPTLHYVHKIANIAVNVKLTIEEANPTWNDDIYLWNRKIPRDVPKIENWYFLTT >KN540217.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540217.1:26307:27267:-1 gene:KN540217.1_FG003 transcript:KN540217.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAISVPHHHLHAHQRLHDAVVLPLLWQPEHPPDAAPAARRRRCGVAAVGFFRGVLNGDGRRLLESALEVGHVLVLLLDDDGEREEKDEEECGGADDVRWVGEATDLLLHDDHDEQPTKPLSNPMMMPRLCGKFLTQETGVPVLEKGEVDHEVAGEVHGGADKEHRTGGGHLLDEAGDDADVGAEVLEEAEGVERLLVVAQRGAELLGVERKDVGGRLGIPAH >KN540217.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540217.1:9407:12678:1 gene:KN540217.1_FG004 transcript:KN540217.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLPDDPLGPILSAHKKLVAAKLAEDAEEHKPKGEGRREKRMAAEKGHVIPTNHLDSKEKELIKIATQGVVRLFNSVSKAQNCRKGLNPSRSKDAKVLAKETKQAFLTELSKTSNQSQKGKASSSFAKNNSKDEDEPGWAPLRETYMLGSKLKDWDKMQTSDVVNEQTETPLDDSSDEE >KN540217.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540217.1:20428:22884:-1 gene:KN540217.1_FG005 transcript:KN540217.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPAHAPLPSFVGVGGKPPKRRRGRRRSESTVAALTNGQVYNPLHRVVVSGDEARYSAILFSLPVDGVAVRPLDEAVDGDHPAMYRPFDYGEYAVFCYLPENMTPEVMKHAHKLEAFAAVRTTTTASASASAP >KN540217.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540217.1:53922:57108:-1 gene:KN540217.1_FG006 transcript:KN540217.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKSFFPPPNVVHPTAQIEAQLRVSPRGTRKSGILIDLFSTISYTTPPLFRLVYLFHTAPPLSPSPGERQPPAGHGYNCGTPWLPRQSTTASPSLTRRSSRVHNQRQPNCHKSISHAVLLQGLERQYVSIWSLINIWSKTTNWRQTMDSSETGKGPTEILPNTKMPGQVDNSKITYQQIDLITNICQSEHKKSKKEDVIQITPQGHKNYKDATVVTRVANYLANDMLKGLHRYIRVGAKHIELSQEKWLKLDVMTWPIIEKFPERMQTDGSSCGLWMLNYVEYWTGSKLSDRVTQEDITKFRSKLASILWCSKYNTRKVFMQPEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIESDTSLGIAAPGETLTNRKELLGALCKYIMSIDCAESLQKEWIRSMKPYLISLSLTNLQDILDVNKSMDKGCFNMAVRMLTCSPLLLFPDDTIHYMDIQFWILLPHSFLGHLKLFVLDMKGRTVFILDPLHIPDTFRDPHPTLHYVHKIANIAVNVKLTIEEANPTWNDDIYLWNRKIPRDVPKIENWYFLTT >KN540217.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540217.1:15016:18987:-1 gene:KN540217.1_FG007 transcript:KN540217.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVMAGALVSVSTGVMESLLGKLSSMLEKEYAKKKTVEKDVLFLRNELSSMNTVMRKYAMLSEPDLQVKTWMKEVRELAYDIEDIIDAFMARSEKPDDPTTGIKGFIINSIVKLRELFSGCTISQEIEELKNQVLEVNDRRKRYKLDVSVPMASCESIDPRLPAFYSEVGGLVGIDGPRNKIIKLLREEAVDEDCGFVNQLKVVSITGFGGSIYSLEPLSDSDSRMLFCKRIFHGEHFCPSHLIELSKSILRKCGGLPLAILHIASLLATKSNTKEEWELVLHSIGSALENSHTLQGLKKILLLSFYDLPPHLKTCLLYLSIYPEDYIIKSKELIRKWIAEGFIAEDRWKRLDQVAESYLNDLINRSMILPLDIKDDDGVQHYQVHDMVLNIIISMSKEENFVTIIDGHKCSSLEEKIRRLSLQFNDSENVVVPTNRANKNSVRSLSVFGSIKQVPSFMNLQSLRVMDLGCCRLLENHHIQCLGSMLQLSYLVLHSQFITELPDEIGNMQHLEVLDVRMCSIQALPDTIVQLQKLVCLYVSIKAKLPERIGTMQCLEEMFHISSNSIRLAGDLKCLTKLRDLAIAFEDPVGTESSTLRYREVVRSSLAALGRHSLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLIHLQLRISRMEQSDINILKGISSLIFLRLVFTGHAPHGRIVIDNQGFQALKEFLFRCFISGIWPLFAPGAMQKLQKYHLTFKLQKEHCNNNVLDFGLQYLSSLQHISAVIIPSGATSEYTLVAEDAIRSATSIHPNQPTAEIFVQ >KN540217.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540217.1:34398:36571:-1 gene:KN540217.1_FG008 transcript:KN540217.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MENISRRFSLVQCSEEGTPRGSSRYGNEYGRDSVPPVNPSANPNLVNEHSDRAYSWWPLICAPWPIAADNVVGSSTPNPFA >AMDW01032941.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032941.1:142:348:-1 gene:AMDW01032941.1_FG001 transcript:AMDW01032941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIK >KN540429.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540429.1:24532:27813:-1 gene:KN540429.1_FG001 transcript:KN540429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLISIIVLVLLSGESNANPAASQQSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVILDATTDIANRTEFANRKRTYEVERQNMTGWFVVDFTLEELKSLRVKQRYNFRDQQYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHVKWSDGKKFEDKFVQTLLKYGYKGEYMSEDWLKQPLFIQSFAPSSLIYMSNMTNSPKIFLIDDTTVRTQDTNQSYYEITSDAYLAFIRKYIVGIGPWKDTIVPPINNYLGPPTDLVARAHALNLQVHPYTFRNENMFLHFDFHQDPYLEYEYWLGEIGVDGLFTDFTGTLHRFQECTTPYPKNEKNAEALLQKINYMLKDSGY >KN540429.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540429.1:811:1353:1 gene:KN540429.1_FG002 transcript:KN540429.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding IQRISFRTIREGCVFCTFEFKSTFEESCGQIGWVLKLDNNLMPILPHFINVKLSDDGPWSLQDIDYCEDPNEDDDARSQTVQAAYYDWDFDNSANSIDIQDNSVQGSHRGFGFLGFHPFKEVVFLHYSLERGLAYNMNSFKVQDLGNLCPKDYGFDTEPYVESSFPYTPCWMEVFPEEQI >KN540429.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540429.1:11385:18615:-1 gene:KN540429.1_FG003 transcript:KN540429.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLASSSYRRRIVQSRDAVSSPAYWSRLPARARPPAHTRIGAGVLPAATSVSHFTDLLLEKQVHGVESSVITVLAMQRWETLSQMAYKSGKLDKAHGKLALRMLDSIVQQSGLDRITHIYCMAVHILVQAQMPSQAMSVLRHLALTGFSCSAIFSSLLRTISRCDPTNLFSVDLLVNAYVKEGKVLDVAAAIFFMDECGFKASLFSCNNILNALVGKNKSEYVWLFLKESLDRKFPLDVTTCNIVLNSLCTQGKLRKAESMLQKMKNCRLPNAVTYNTILNWYVKKGRCKSALRILDDMEKNGIEADLYTYNIMIDKLCKLKRSARAYLLLKRMREVNLTPDECSYNTLIHGFFGEGKINLAIYIFNQMLRQSLKPSIATYTALIDGYCRNGRTDEARRVLYEMQITGVRPSELTYSALLNGYCKHSKLGPALDLIKYLRSRSISINRTMYTILIDGFCQLGEVSKAKQILKCMLADGIDPDVITYSALINGMCKMGMIHETKEILSRMQKSGVLPNNVLYTTLVFYFCKAGHAKEALKYFVDIYRSGLVANSVIHNALLCAFYREGMVAEAEQFKQYMSRMKISFVVASFNCIIDSYCQRGNVLEAFSVYDNMVRHGWPPNICTYGSLLRGLCQGGHLVQAKEFMVYLLEKACAIDEKTLNTLLVGICKHGTLDEALDLCEKMVTRNILPDTHTYTILLDGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYMFQEIICKEGLYADCIAYNSMMNGYLKGGQINEIERLMRNMHENEVYPSSASYNILMHGYIKKGQLSRTLYLYKDMVKEGIKPDNVTYRLLIFGLCEYGLIEIAVKFLEKMVLEGVFPDKLAFDILIKAFSEKSKMSNALQLFSYMKWLHMSPSSKTYVAMVNGLIRKNWLQQSYEILHDMVESGLQPKHTHYIALINAKFRVGDIDGAFELKEDMKALGVVPSEVAESSIVRGLCKCGKVEEAIIVFSSIMRAGMVPTIATFTTLMHGLCKEFKIDDAFHLKQLMESCGLKVDVVTYNVLITGLCNKKCICDALDLYEEMKSKGLLPNITTYITLTGAMYATGTMQDGEKLLKDIEDRGIVPSYKHPESLEWRMENAIKRLNTIRNCRKGISFKNEVELLPWSLRGDEWVECGSESCWLTLRNDTSTLVDRAGPTAWTDGLCSLPAELPIMNTEFAQAYMLVEKSRKRQYKNNGVHCHPGHWLAWHDNASNSGSGSIVLPRQQ >KN540429.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540429.1:8043:9422:-1 gene:KN540429.1_FG004 transcript:KN540429.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAATVPGEASSSSAAAATTTVLDSLGEDITRIVTPVSTCMLLVVLLVSLLSSPSSPSPFTAAFSAAAGPGGGGDDITTALITAVTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSSLSVLLLLGGHVALLLLSRLRLPLDAASFALLLPNAAAALALAALSPASVPIALHQAALVAIAVLTAFWFTLLPEWTTWALLVAMALYDLAAVLLPGGPLRVLLELAIERNEEIPALVYEARPVDPRHGHNWRLWRERTQSGAELDANSTVEVLGEVLGTNLGASSAGNLGVSAIRSDERVGLAGDARNLRLGTSMPNLSSDSASAQVEVLPASPEVSVSVPEMRVPLIQPRPERTRDEEDDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSIALGVVFYVLTRTLLETFVMQCSSNLLMF >KN540429.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540429.1:3173:4249:-1 gene:KN540429.1_FG005 transcript:KN540429.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEKQRWCKLCNKSFPSYCSLGGHMNLHSTRRRKKKPPPPPPSPRKAPPITGASGSRYDFRERRRQRPVAPQSQPEPDHASSDDEPWTLAPKTECQLCFKAFHSCDALSMHMKAHGHHGRKMVMVEQRASRKPSSAFCRVDDDGGHGFAAVSYAHCKRARSKRIRMDVFPTPVVVVTHGTEVVDAACILVMLSKDAYKGSDSLDEDPQLDGSLDCGPQKTEMEPSSYRLGVTGDTELIKPENSSSYEEIKFGSLSNVLKATAIHECRLCGKVLASGSALGGHMKSHSVPAHKKVATFPKTSVTPSRKQLLEVQNELHELNLPALSNRDCSSTRAESELNPWWVASSIQSERMMGVV >KN540429.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540429.1:22778:23047:1 gene:KN540429.1_FG006 transcript:KN540429.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFLNEVDLGAFTKRSSALGTLKPSRTVLRLFIDSETLTMMEGPSQTVLRTFIDLMTTTMVMVKDLHKLFFGLSLIDGDDNFDGELVI >AMDW01040695.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040695.1:379:1481:-1 gene:AMDW01040695.1_FG001 transcript:AMDW01040695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HKSFPLANKKTGLTSANGKLCQLCEQYSTEALFYLQQNETQTEILSILHHACANVAPLKQQCITLVDYYIPLFFLEVSVVTPEKFCESVHLCRKGTMLRLPTRGDTCGICHHVLVEVLIMLKDPDMQLEIVEILLKACSKADNYVQQCKKMVLEYTPLILVKSQKFLETTDVCSAIHACKTGTQASAETMLLSAAS >KN541976.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541976.1:7357:11281:-1 gene:KN541976.1_FG001 transcript:KN541976.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMSPFHFDILSLSKTFETRDPRTGEVIAKIAEGDKADIDLAVKAAREAFDHGPWPRMSGFARGRILHKFADLVEQHVEELAALDTVDAGKLFAMGKLVDIPGGANLLRYYAGAADKVHGETLKMARPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKASPALAAGCTMVVKPAEQTPLSALFYAHLAKLAGVPDGVLNVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDTAVNLVHMASYTNKGEICVAGSRIYVQEGIYDAFVKKATEMAKKSVVGDPFNPRVHQGPQIQRTKRCSASCNNHLCAITTKIDKEQYEKILKYIDIGKREGATLVTGGKPCGDNGTVEEAIQKANSTRYGLAAGIVTKNIDVANTVSRSIRAGAIWINCYFGFDPDVPFGGYKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >KN541976.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541976.1:17982:20740:-1 gene:KN541976.1_FG002 transcript:KN541976.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLCVQIQGWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGLGWSFPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPEHMIRKASLSPVCYK >AMDW01033399.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033399.1:263:337:-1 gene:AMDW01033399.1_FG001 transcript:AMDW01033399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFTAVTDIAGGFSAWKENELPTNK >KN543195.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543195.1:8679:10036:1 gene:KN543195.1_FG001 transcript:KN543195.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVARVLVCLEEVGAEYELVNIDFKAMEHKSPEHLKRNPFGQMPAFQDGDLLLFDLPSKIFRFKQRKLAKCISFSESRAVGRYILRKYKTSEANLLREGNLTEAAMVDIGIEVEIHQYYPVISLIVYECLFNLAMYGVPTNQKVVDDSLEKLKKVLEVYEARLSQNTYLAGNFLSFADLSHFPFTFYFMATPYASLLDKYPHVKAWWDGLAARPSIKKVTAAMVLPLKA >KN541567.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541567.1:12774:14079:-1 gene:KN541567.1_FG001 transcript:KN541567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENVFTQSALLCVLFLSGSIVVGCSPTMEEEVQKNWRTLMAPLLHVNFSSSDHISTQADPVYYFAAYGLIPSDDAIKYYGLEATMDIYGFNLEHGQQTGGFIWIYNTDEASAVNKVIAGWNVEPESYNDSQTHFSTWFIEGSNVCPDMRCPGFESVFSSEIIPGMVISPVSTTSGKKQYITVRVSKV >KN541567.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541567.1:21155:22751:1 gene:KN541567.1_FG002 transcript:KN541567.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKGTRLHNFRDSNLNFAAEGMPAVKKDDVRTHRPVGMRKGRWVLGDITEVLDRNSWRLGKIAKVLKDDYFVIRVTGCMQMREFHISCLRFPHAYHGKQSAVINKVREQSEKQTQHVDQTFHHSKMVMEEDHHSNEANDHITKRHKAINLCPSSSARNVKKKLELTRMPPDDSIPGASKKRRVDAHEVHRQTRKPQPLKVSAKNDIHRDLFCRPSSERYNDLAKNNLTKRKPDSIVRPPSQMPLQVREENECSVASCSVNFSEHSMNTDTQSVGVRNSFPDDAMSSCPSMLRQESDNVHGCDFKMDVHELELQAYQSTVRAFYALGPLTWEQESLLTNLRLSLNITNEEHLLQLRHLLSS >KN539035.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539035.1:80310:93601:1 gene:KN539035.1_FG001 transcript:KN539035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELSVDWQRVKVYRLTDGGKWDDQGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGGFFADESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITHDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDILILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNVSRASNSAGNTETEVNRTKPEILLNVCELLCFCIVHHPYKIKCHFLINNAIEKILALTRRREKFLVVAAVRFMRTTISRNDDFLIRHVVKLNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQIGPYWLYCYLITNFLLSTSSLCLLSNEMVFLFGYCKYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRSEEDDEPLTIAKLKRKLMNSKVDGKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTETSRQAGGDCVSAMGNLSTEKAVNTTNTNDSEPYSVR >KN539035.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539035.1:134640:137006:-1 gene:KN539035.1_FG002 transcript:KN539035.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSQPAGRTGNIEAIFDFINSEIVTAAVLAVLAALVVALSTYGRRCRHPALRFLVWGVSTVFLLLSISIISELLESAKGKSCEKKPPMVVPGSENPVSQCMWMVLLWVVLILIIKGNADTAASAVHMVAASPASGDVSIDGHKVRPPVEHLFKYCWLFYLVAKCIPVAQKGNWWKPREIAIFVAFCVVAFAKVVIKLVADWRASSSFAVGKNARLVSGYMAQLVEHHDEVGGGEDVPRYIVMGEKREHVEENPHGYRIKRHVLDDRFSGLVTLDRVWRLAEHGDGDGLLAKRRELRDLCLSFSLFKSLRRRLSGYPLAEEGSGEPLDFVLRGMDGVGDVVGAVADRVFRVLVDELWFASDFYYSCLPLCSFSGLCAALNHLCSVLIVAGAATVGRIYIDRKVIVFKVLDNPQVPDVKQDGRPYYAITMVLLLVVVLGEIWEIVAGVCSNWTKMALLGHYIRHEPQWRRCRRAHAVLDAVLRFRPARRWRNKIGQNSVLEPRRFCRRSGLLSEKLYGRAGLMRSVEVSPAVRDTVVRSLMSSYGRSSRGNVSAAERRVGGKVDWLWYGSRKSWASDDGDGCVSTTDIILAWHVATRLYEMRCSLHASSPTPSASSSDMTAACHLSNYCAYLASAAPELLPDSAAWTEKRYREMMLYIAPSESVKGHVEAMARGGEFVTLLWALLLHAGITARPEAPSRIIP >KN539035.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539035.1:53662:56990:-1 gene:KN539035.1_FG003 transcript:KN539035.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MRVGVCVWSVCAWWSALALQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >KN539035.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539035.1:94751:97955:-1 gene:KN539035.1_FG004 transcript:KN539035.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTPPRHILISARILSFVITDKGANSFLFTQYKYLAAFTAVFAVVIFLFLGSVHRFSTESQPCQYTRGKACKPALANAVFSTIAFLLGAATSVASGFLGMRIATAANARTTVEARRGIGPAFAAAFRSGAVMGFLLASLGLLVLYVAIKVFGLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHNFAAVSYPLLISSAGLIVCLITTLFATDLYRVKTVDGVAPALKLQLLISTVLMTVGVLVVTFTALPHEFTMFDFGEVKRVKNWYEQKQCIAPCSMYILARIYESSALVNGNDDNGRHLFFCVTIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVSFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSHRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGMAVINVLSPKMVEEVRRQFAAIPGLMEGRATPDYASCVRISTDASLREMMPPGALVLLAPLVAGTFFGVQTLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASDHAKALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >KN539035.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539035.1:127503:127876:-1 gene:KN539035.1_FG005 transcript:KN539035.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVAALRGVQAGAWRPGSSRGPWRSSMWWWLGAKAREVAVWTLTIQARIQLPRFGDGVCNWRS >KN539035.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539035.1:42589:51111:-1 gene:KN539035.1_FG006 transcript:KN539035.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQQARGGGIDIEACARPIAVDHRIKLPYYFRIAGNLLRQAKIYRDENNLVDLYVILLRYSRWKLIEVLSELESLKPVVQQQITEHNRARGGAIESNSINGTIAVNNITKQHMANPYTYQPFVGSNNGSFQRPVPGGNHQMAPLMSAQPDRPTRKQLANLPFPKEETLARHSILGPNGLHGQWTGPVTAIKVQYPSNLDLIKSDVSSLFPSVLNQDGQNGPSTISTDSTQIENDDMKSVLSLDDGRWSKPAEECASVPSVSLEEELSQLNIKQPSPPPVLAELERRPIAPSEVADPTPGLAVSETGRYQNLHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYVTALIIPKQKSTSDSCEATNEDELFDVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRRKHGIFHLSDPGGMGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNPDVKFDVIDLR >KN539035.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539035.1:146646:147351:-1 gene:KN539035.1_FG007 transcript:KN539035.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFAWAVEDGLKLFKRLVLAGGTPCPTLSLLPRPLLTAPTCPANGRTYTTASTCPRSSRSTYNNVAHGRAGDFTPPSPAR >KN539035.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539035.1:107011:112644:-1 gene:KN539035.1_FG008 transcript:KN539035.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVITGQACMKGRAEALREEVRTLLKGLKEVQKILDITMAIQRLGLDMYYGNEINELLHFVYSSDYNDKDLNLVSLRFYLLRKNGYNMSSGIFLSFKDNEGNFIVDDTRSLLNLYNAANLRVHGEKVLDEAATFTISRLEGVLESEDSILSTEVSFALEAPIFRRARIVEMRNYIPIYEIEATRNETILEFAKLNFNLLQLLYCEELNTITLWWKELKVKSNLSFSRDRIVEMYFWMNGALYEPHYSHSRIILTRVTAFMTIIDDIFDTYGTTEESMLLAEAINRWDESAIGLLPEYIRGFYAYLLKTFDSFEEELGPEKRYRLKRLVQAYTKELKWRDEDYTPKTLEEHFEVSMRSSGGFTLAAASFVGMDDIATKDIFEWILSYPSLFKSFDIFVRLSNDIVSNKREQTGDHYASTIQCYMKEHGTTIHETYQRLRELIEDSWKDMVEHCTNPIDDQPLIVPQTVVNFARTVTTMYTHGDAFTSSHTMKEMISSIYVVPIQV >KN539035.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539035.1:59803:61483:-1 gene:KN539035.1_FG009 transcript:KN539035.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVSLGESNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >KN539035.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539035.1:29215:33188:1 gene:KN539035.1_FG010 transcript:KN539035.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTAAAPPLGIALVRPTRARRGGGLSLSSCCYPSMCGRGILRGGCARMREPLQPAPIGMDRFLCGYMRRDGHEDGERSQGIEDALMFGPDDDNGSNIPTQVETLVRGTATVATPEYKSIPDLDYLQNDLIHLVVMQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >KN539035.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539035.1:131441:131734:-1 gene:KN539035.1_FG011 transcript:KN539035.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSGMVDALPAKLGDLLQQEYTLLSGARGDVGFLQSELGTMNAALLHYESLESPDVQMPSRNRVVSAKPTASGRSEAEPRSVRLLTHEMRR >KN538800.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538800.1:166181:169021:1 gene:KN538800.1_FG001 transcript:KN538800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPQSNPTAAPCLCPLPRCGKRYAVQADLKAHTKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENVDKDKEEEVKEKEKELEENEDSPVAEVDEPQPSQAVAEVPQQCAPSPPPPPPPPILQEHPQPVVAVVPNVDEQEVVAKPAVIAKIEVEDERDEDVCFQEADRYKDAELEDSNLLDNDTPMLPCFLPSPSEAIGTDGSSTSCGTRSSVTNAIAPATTTSTFAGLFASVTTSSTPQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTNASDPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLAASTSSSPPSKLSQGRFTTGNTPTTSHPHPHPHPHPPQGRFMDNVPQPPPPAKLPHRMFTDNSVQQWHHRSNQQMEMEPGPMLPGGLGLGLTYGSGNSGLPDLMMGPSALYGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGSAQAPWEEAKRKTNGRTIL >KN539035.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539035.1:67349:73542:-1 gene:KN539035.1_FG012 transcript:KN539035.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLKTAIIEGDVVGFNRYDRQAVADHANNLASKIRSNLTNSTKALGVDILTGFGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPNGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHNGVFASKITPAKDGKPVLIELIDAKTKKHKETLEVDAALIATGRAPFTKGLGLENVPNLYCIGDANGKLMLAHVASAQGISVVERISGKDNILNHLSIPAACFTHPEISMVGLTEMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAGVSHSVNEPVAA >KN538800.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538800.1:223676:226736:1 gene:KN538800.1_FG002 transcript:KN538800.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAPPPPLAALRPAPFPLPRLLPCPASAAARRGAVAFSLQTNVRLLKPNRRSRRSRYPYYDHDEDEDDDEAEFEFEEGEEEEDGYETDDDLSGLEYPGVLYSNNPRAPIKKPGREKPALKQNWEGRQPKTRDRCDTSKKVDALHAKSKASRSTGLVDIDNEVELKNESISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTNMYERHMACFQISQASAEERLEFLLSVGVKSKDMKRMLVRQPQILEYTLSNLKSHVAFLVGIGVPSARIGQIISAAPSFFSYSVEQSLKPTIRYLIEEVGIEESDVGKVVQLSPQILVQRIDSAWKSRFLFLSKELGAPKDNIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRDTDVLKVLTSLTQVLSLSLEENLKPKYLYLVNDLKNDVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCKRKRPGLHSIISIRHTYINITDTSLTTV >KN538800.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538800.1:135891:138920:-1 gene:KN538800.1_FG003 transcript:KN538800.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDVGAWAMNVVSSVGIIMANKQLMSSSGYAFSFGTLRAFARSSSSSPFPSPQIWIRRFGFVRATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFADYYLNGRWLLNYNFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVETERKASAPIPRNKSDMLDDSEDVPLKARVSGLPSSDLEEGEMKS >KN538800.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538800.1:185647:186246:1 gene:KN538800.1_FG004 transcript:KN538800.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKLLEEEFSKLKHAHDAAILHKCHLENEVLRLKERLVVTEEEVRRLRSAAGGHTASGEGGDIMGLGGGACVAGSPSSSFSTGTCQPTSFGGGGGGDHLGDDDLVYVPEYGGYADNSVVEWFSLYGLI >KN538800.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538800.1:201167:202802:1 gene:KN538800.1_FG005 transcript:KN538800.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGVGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSDTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGSEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDNHLLGTTCAHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >KN538800.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538800.1:129614:130021:-1 gene:KN538800.1_FG006 transcript:KN538800.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTETVPLERLEYIHLHKTAALLEASVVIGAILGGGSDEQIESLRMYARSIGLLFQVVDDILDVTKSSEELDKTAGKDLASDKTTYPKLLGLEKSRGFAEKLLSDAREQLSGFDQETAAPLLHLANYIAYRQN >KN538800.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538800.1:228260:230782:-1 gene:KN538800.1_FG007 transcript:KN538800.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKCSVLLNRAREFEPSRANGGYILSTSSYPQIRQYAASPDEHLRSLPSLLPPPPGQELPLAYLRAQRQSSGNYRGIQAQRRPLIDQTGALQSSFPESICLKEELQSLSMPRNSPNAGRNLVGHPHSSSKSSSKPCHFHFFRGYCKKGVNCQFFHGSVPELHNPRQVHPFASLSKLDMEIRELLIGIPPPVAVDRLPSMYFEMYGKPLRPDGWLTESQQHGRTGCSLTSLLMGLNTIRVVEREHGQYHVVLVEDARKKYMDCLGLAHRCNLMDTGTGSNQIYMTFPVHSKFTDDDVENYFKYCLNFIVTRQFGPVSGVRIPYQEKRMFGFVSFLYTETVRLILSKGTAHFICGSRVLVKRYMEKPELRKIYRKNKQFDYREHRTSGFSVTNEHYIGNGMKKNSHKSDDLDEASAYEDSDEIILPDSLGLY >KN538800.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538800.1:187768:188070:1 gene:KN538800.1_FG008 transcript:KN538800.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLIVVKVWDHDLDVCRQQEMVAREDGYRFCHPIAISVSSVSVSGPNKSGPLDSEAATKSSIIFMHPATLHG >KN538800.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538800.1:145340:146241:1 gene:KN538800.1_FG009 transcript:KN538800.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVALDKAFGKVTKLGRSIGRSRDYDAVCPQTKFVKCPEGELQKRKEVVHCVILHEIDAINSRLEKSVLTFARTYYLNKGSLVNLSLQNPD >KN538800.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538800.1:124084:125730:-1 gene:KN538800.1_FG010 transcript:KN538800.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNMVLVLRYPKVHTLTTRAVRGELTSTSAASDNAYFDAVRMVSRIGQYSSYLFRPEHGELAANGCSTTRPFVCDDGVEGNCAGDLRGGASFCDILTELSPGDHGVLAVVPNWNCNSTDEFCSRLGPFQTGGGATNTTDRMLAGFAIVMQDLRCEPHGGEKPAARVSAVFRAVSPWEDQQLAVRRTGLGGATLSAEGVWRASTGQLCMTGCLGVVDAAAVGDEACHYRVSLHVPTTFSIRHRSIIVGRITAGDGSHFPLSFHQSVPPKHPWNRFGRSEASLRVAYDYTMVKNAGKLLRRSEPSGFRSSSIAKALVSYPRQAGGAAAAADEMMSLSDLADDLSLHFQPGSKLPFLPEQKVWPQWPALHLDMLSVGPLVGSYSPPFRTLPSTPVARAEIDGGVEQQLLNVSAVLSLSGKMFGWSPVMSLEGVYNQEDGRMYLIGCRNVEAPWRIVSTSRDLEDGMDCSIEVRVEYPPKTTRWLFSPTATAYISSTRDAGDPLHFNTTELRTTPISYRGGRRDAPPDTLTEQTIEGLVCIAMLSGTIAAA >KN538800.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538800.1:203607:205262:-1 gene:KN538800.1_FG011 transcript:KN538800.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPKKKNASYAFTCAILASMASIILGYDIGVMSGASLYIKKDLKITDVQVEILMGILNIYSLVGSFAAGRTADWIGRRFTVVFAAAFFFAGALLMGFSGDYATLMVGRFVAGVGVGYAIMIAPVYTAEISPASSRGFLTSFPEVSINLGILLGYVSNYAFARLPLSLGWRVMLGVGAAPSVLLALMVLGMPESPRWLVMKGRLADAKAVLEKIADTPEEAPRVFQSAGITGDDQLLGTTCAVGVAKTVFILVAAFLLDRAGRRPLLLTSTGGMVVSLVGLATGLTVVGRSPDAQVPSWAVGLCVASILAYVAFFSVGLGPMSGVYTSEIFPLRARALGFAVAVACNRVTSGVISMTFLSLSSAITIGGSFFLYAAISSLAWVFFFTRLPETRGQTLEEIGKVFGMDDTAMEAEDSAAYRERLLPTSP >KN538800.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538800.1:235915:241468:-1 gene:KN538800.1_FG012 transcript:KN538800.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLVILCGFSCSRGSWIIRNGGHMLQCQLVLRHKIEKLEPSCANAIVQYANIAKPSWISWYAFSARDEAIQALINEAKSYYMRFPPIQHLQQLPLLQSPSTRYDYESPSLYLEPQFQSLNIPGDGLPRDYLNAQVNVLGSGVHAMHNQRQSHPRGRQRDFFGEGPDFTIYITINFDGGRLTKENIRDYFKKFGPVINVYLSCKPGNEKYTFGFVTFENADTVSLLLSKSTPHFIFGVKVRVKRYLEWTKQEQRKLPQENDHFDNVAHRTSCANAFDGMPRDYLNAQVLGSGVPELHNQRQSHPRGSQRDFFGHNQRQSHPHGSQRDFFGQSTEFTIYITIAKNILTWKNIRDYFKKFGPVINVYIPFKPDNEKHTFGFVTFENDDTVGLLLSKSTSHSISGVEVRVKPYLDRTKQEQRQLPQRNDRFDNVAHRTSCANAIEGHSGQKMPNFIELSQETLTHRFGDFDSPLTHNLSEKKTESPEDDHATKESNVDESSEFPAMQRCLPDDLFSEEQ >KN538800.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538800.1:151920:161627:1 gene:KN538800.1_FG013 transcript:KN538800.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYAKVLLTKIGVETALRYAIHGIHLITSAALAWQKHMGKIVEMEDISRVYQLFLDVKRSAQYLMEYIRAKINLWSLSSSDLILFLPLTTADKTQNSSVPPVEGVAGGGTSYGWVDGGLQASSLGNGAIDPTKIHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRYLHLYTSTLDDYDSAVPGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFISAVRAEAESRGESLTKSERYQLYKELRNCIDITEPRDYSSSEEMVQRLTSASTTLRRMLALPSFQDCQENNGLGDMMDEDIMNNVAISETVIEDRFCLEHGTKADHPKAKEYYSDPRLAAYAVPYAPILSSTDAAKNSLRREVEILKSEAHWSKSYFYLWDEPLNMEQYDVICSISNELRSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGVYLGPDRYALDHGPIDVMRGERVTAAHELYFIRLARCRSLFQKGHYGALSLQNLVALSPDVDVTMAISGSSYYMLSLRNLSQVAIQEKEAAYSTSGRSLS >KN540424.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540424.1:51189:52349:1 gene:KN540424.1_FG001 transcript:KN540424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLGVVFAAVLLLLAVAARRASSQSYNAIYNFGDSITDTGNLCTGGCPSWLTTGQPPYGNTFFGRPTGRCTNGRVIIDFLGTHKHNIFTSIDSALNFHCKLKDDELNLFARAADRFGLPLLPPSKASGGDFKKGANMAIIGATTMNFDFFQSLGLGNSIWNNGPLDTQIQWFQQLLPSICGNDCNSYLSKSLFIVGEFGGNDYNAPLFGGKSMDEVKGYVPQIIAKIASGVETLIGLGAVDIVVPGVMPIGCFPLYLTLYQSSNSDDYDGNGCLKSYNSLSVYHNGLLKQGLAGVQAKYPAVRLMYGNFYDQVTQMVQSPGSF >KN542961.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542961.1:2006:3310:1 gene:KN542961.1_FG001 transcript:KN542961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTNEEIITFYLAPKVVDSRGFCVAAIGEVDLKKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVPVPMQMPMQMHAAANYQQQMAMASASIIQVPMQMQMPSMSDQLQMLDDFSTGSLMAPPPPPPSYSTLPGFPLQINGGAQQFVGNPSMYYQQQQQQQQMDMAAGGFVQYVCV >KN540424.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540424.1:2420:18796:1 gene:KN540424.1_FG002 transcript:KN540424.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPPSRTEPTRMGSSVKDAGGGKEEQQQQQLESPLLEAAVSSGGGDGGGGHGIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFIYIVTSRRCRLTWTGFSMLAFSGLPDFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVVISLVILLCRDYISYIFTDGEDVAAAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQALVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILMWVTFRTNWNREGKNRLRASKVSHVESVVSDNEEKRRLGYQFQVPVVETVTPHLAEEAAGDGIWFPATRSSFCGHVTALERTVLLRKGDVLFPVTVAASQGGRDDEDMRRRCSKWRAYPGSGPPGQFTVSPGSVGLWVGERRAALEFLARGDGVPLRHQCGSHVVSEMSSSPQHDHLLQDSTMAARKEVTHGFTTAAAWPDAKIAGHCCRRQASTPPARLLHRCVIVCLALLCSYFQLVGFNFVCKEIRDEVAIFLLKVQIRA >KN540424.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540424.1:41338:42914:1 gene:KN540424.1_FG003 transcript:KN540424.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFADFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSNDVSARLAVIPLVQEARGLDAGPRLVQKLLGFGDHRSADIVTKVAQEELAHVSVGLHWFLKVCQMMGRVPDATFRDLIKEHDVVLKGPFNYPARDEAGIPREWYQEKFKHETPSKLSEVHDRLACIVEMEKENSSLNG >KN540424.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540424.1:34456:36088:1 gene:KN540424.1_FG004 transcript:KN540424.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKTRLATGHYKSPREFAGDVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGMEATPKQHTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSISKNKRKAENPVAGQDEMNHDIELEKTEHARLDEVEQDQMPPVQETLHNPEPESIDIEPPKENTADDNERYVGSSSPVHLEDQKGENAGRSSSSGSSSSDSGSSSSGKCSHPLQIFILAAYLFAINSIKDIFIYICITYEIYREKHMQIQTQIVHQQMALMLHSHPERNIYRPGDGFGTVYLVF >KN540424.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540424.1:29634:31546:-1 gene:KN540424.1_FG005 transcript:KN540424.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFLGKADVVLRGFSGYNTRWALRVLARAMEGAAAVGAADPVAVTVFFGANEASLPDWKQVHQHVPLDEYQSNLRAICAYFKVLLGLKSIGFPQLELIDAAALWSNGPPLKLYLSRLHQSMNRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALCDGLHFTPLGNKILFDCVLETLESIGFSQGSLQPDLPLFHDIDPKDPLKAFEI >AMDW01047006.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047006.1:36:332:1 gene:AMDW01047006.1_FG001 transcript:AMDW01047006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGITLSDAEGNLPTRSSSSPEQEIAWEVVFSDFDASRDPHVVYSVEFLKNQGIDFDLARQIGVTSTAFGEKLIAILPPPSRRGELTWSAFGGAYDMGYL >KN540424.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540424.1:45114:45466:1 gene:KN540424.1_FG006 transcript:KN540424.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGGDCRPIGWLLGLPFALLAVVVSFIGAIIWIVGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >AMDW01038608.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038608.1:260:700:1 gene:AMDW01038608.1_FG001 transcript:AMDW01038608.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEANKRFAEINNAYEILTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFS >AMDW01030449.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030449.1:114:389:-1 gene:AMDW01030449.1_FG001 transcript:AMDW01030449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDLKFRSRFCFSVGSIYRAPPLFVDTTLTSEESSGAIRRGTGERMDAEGRCKLVGVAVVDPIDDVFMNTFLSLPTECIAYLNATISITEPS >AMDW01040182.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040182.1:168:1215:1 gene:AMDW01040182.1_FG001 transcript:AMDW01040182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DETERLVEIMRSRTPDLCNEDQRVPRSSTKGFEAIPFSDRWSTPAKQIDVRSPCGTEVFVPSNVLDVASSPIELAKAYMEAQTSASVQESQKRKFRALSHGVEVENSSSKVFPKIATDSPVCWPGSVVRNYPNYLTPQSNKGRTLPPTSSRTTYIGSVFPRSNKYTGSRDAYNNSSGKPQFSSPFPVGSK >KN540895.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540895.1:1800:3059:-1 gene:KN540895.1_FG001 transcript:KN540895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDLLMMQRLVVEEEEEESQEEEDYEIVMFRRVWTRWHGRNFGSFDDTTYPAMRYTFGRIPKSSFVGCDNGLQIFSIKLLLRNTNTNSTDHQLQWPLHVYGLVATRDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVDSGYLPGPTRHCIGKRSEVEFTISVLDRSIEATIISVQLVGGSSWPDHLQGRLVSRTANTIHQEIVLLDSQKQQDGKMPIDDDGFIQLSRRVVSVELAGQLIVQVLAFNSQQQQQQVVDNDKDNKKDEIVFDPKEASLSVETCELQLGGGGPCKLQISVAWSLVDRLPPVGYF >KN540895.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540895.1:38174:38837:1 gene:KN540895.1_FG002 transcript:KN540895.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDHLLDALLAAARAALSHLHLPFPGSNPKPHYSDDLHLLGVGVAHFIHRSPPFTTRACFRRTKHPPPPPPGPPPHQLLLCVGIAFHNLLDNHLLHHFHTLLIHANKPHFDAFLSNLPFAKLKVAPPPQPSASVSPAPPTPAQTGDKEDTDTAANHSPRSTLPVRLLNIPVDRLRSTLSTLSLTDLIDLVARSLPSPDTHPDKKKLFSVHDFFRYAEFE >KN541963.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541963.1:11247:14672:-1 gene:KN541963.1_FG001 transcript:KN541963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGEGNFGKVKYARHLATGGHFAVKILDRGRVVSLRAGDQIRREIATLKLLRHPHVVRLHEVAASKTKIYMVLEFVNGGELFERIAVKGKLSEKEGRRLFQQLIDGVSYCHDRGVYHRDLKPENVLVDQKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNKGYDGSLSDIWSCGVILYVMLIGYLPFDDRNIVVLYQKIFKGDTQIPKWLSPSAQNLLQRILEPNPMKRIDMAGIKSHEWFQKDYIPVLPYDDDDEDQINDEPGDKNSHQINAFQLIGMASSLDLSGFFEDEEVSQRRIRFTSTHPPKDAFDKIESSAMELGFQVQRGHSKLKLMRNCNGSKNPESFMVSAEVFELGPSVNVVELRKSHGDPALYRQLCERISSDLGARNTEQIFATASLEDDLQNSNAGTPLFAL >KN540895.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540895.1:18738:21713:-1 gene:KN540895.1_FG003 transcript:KN540895.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKLPLADGEDLLSCCGELLAMGEKLEEAVTISGKLPLEEGMGKGKRRPVVAELEDEEEFEDEELTEQIIARDFRVSWEHRFSPRYSFHDTTTVSPMRYTEGPIPRYACCCDALQIFSLQVKEAKDGLDWPLHVYGLVATRDSVDQRRNLLFKRTRDNCQILTPQDSHLLLTGPSRAVVVIDPVTFEVELKVRGKTEAEDKVLSLTVFMHHTAYPYTKHTYMIRRCLSSKHGELELTYAALVGTVEATMVSFQVTEGSWPDHLRGVVVCKTASVEGGDIVLLDSRDGRMPINCNGAIELSRRVVSAELGGELSVVLVALQANNSSEIVSRGRVVFTPDEAGRSSGVFDLVFCKVEATVCWSLLATLRQMLSGNP >KN540895.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540895.1:15628:17836:-1 gene:KN540895.1_FG004 transcript:KN540895.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQEALAVAEQRVRLHLQRSMGFSITLVGNWSNDINKHRYRISIDAGREEFLGLMKKKQGRMLDICSTTVDGMPVTPPDLKLAIPAGEFGRPEDRQTFHIVELENPQDPPSITNYQVGTGCLSDIYFCLREFTPANARLQSQQRRRVLMVCGLMLSETQRFMQMQREVIENIHANNGERQDITHLDGLIHDWGVESNRRVAAADHQHQHGGGEAPAAAAAAPGQVGTLIHGYTNQVS >AMDW01011774.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011774.1:87:221:1 gene:AMDW01011774.1_FG001 transcript:AMDW01011774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNMSYYAIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFV >KN540140.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540140.1:55363:58608:-1 gene:KN540140.1_FG001 transcript:KN540140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRGLTEVKEKVTDKEKKRTSLRAVMRTSALLSADQPPPPPAVLSVEPATAMPPPASKMAFNATRCSVTNGYWAYDRSKKLPYTDQTCPYVDRQDSCQRNGRPDSDYLYWDWHLDDCLLPRFDPVSMLEKLRGKRIMFVGDSLQLGQWLSFVCLVNSAVPDTPGAKSMERSRTLSVYTVKEYNASIEFYWAPFLVESNSDRNIALGAGGRVLHVDAIEEHGKHWRRADILVFDSYVWWMTGYRIKSVSEDWGREGGIRCYNETWPITQRGYRGSGSDRRMMEVMSDVLGRMRTPVTLLNITQLTEHRVDAHVSVYTETGGLLVTDEQKADPQRYTDCIHWCIPGVPDTWNRLLYAHL >KN540140.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540140.1:1669:5112:-1 gene:KN540140.1_FG002 transcript:KN540140.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKIRLQQQKGLSPDLLRYKGPIHCARTIVTEEGLFGLWAGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQMQNDQLFMCETGRLMVLFIARPSTRFANFLREIVVESNGLWAGPSHPEGANARTTSSSSDISRPTLAAPDAAVLVCNSACAPGVLYRSVGPCRFLDCTYIRRGPSATTHRGEAIGPKNRRSGSTDESLSIPVRRIDSPHAFNALSETQLPKSEAQFGCIEPLTFVLNRYPDQIFLKGYPDQIW >KN540140.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540140.1:45062:46207:1 gene:KN540140.1_FG003 transcript:KN540140.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSWTAPTALCISLPPCRDRGQKTAASNYDEAEVEVLLHTAQQIPLTLTSSAASTRSCPDHRIRSRRGLMLSPTPRRDGRDGGVFCSVEWGGRAGEGGDEIMVGNLGKELYTRDTKLRVVHTLEEHVQPRSTLAALNGLHLSSTGADGIAPLDMARASCLVMCVLGLQLENMVLCGKLDFVVPSFGRKGAMFTCVNIKNHY >KN540140.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540140.1:25600:29718:-1 gene:KN540140.1_FG004 transcript:KN540140.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARRNGAPLSLAAVVFALFVFATFLYNEDIKSIADFPFGAGALRAKSPDLHVLQETVGAAHLAAGSIAKRGEEANANANAADAGVKVDEGQERERDVTLPSVKEGGADEARRREDEEAAEKESSAKAAAATAALRTVVSVPDTCDLYRGNWVYDEVNAPVYKESQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGNSNIFYAHEYNATVEFYWAPFLVESNSDNPQVHSVPDRVIQWHSIAKHAHNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGATEYVEVDRPVAYKEVLKTWAKWVDRNIDPNRTTVFFMSMSPNHITPEAWGNYGGIKCAMETLPITNRTTSLDVGTDWRLYAGAQEVLQTFRRVPVHLVDITALSELRKDAHTSVHTLRQGKLLTPEQQSDPKTYADCIHWCLPGLPDTWNQFLYARIASAPWSSDQ >KN540140.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540140.1:16058:17099:-1 gene:KN540140.1_FG005 transcript:KN540140.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRHVAKVALGGDPKVAFFGVFDGHGGKSAAEFVAENMPKFMAEEMCKVDGGDSGETEQAVKRSYLKTDEEFLKREESGGACCVTALLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDERERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWVVSDPDTTTLGVDSQCEFLILASDGLWDKVENQEAVDIARPLCISNDKASRMTACRRLVETAVTRGSTDDISIVIIQLQQFSR >KN540140.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540140.1:51262:54934:1 gene:KN540140.1_FG006 transcript:KN540140.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGAADWLKHRGPDWSGLYQCEGNFLAQQRLAIVSPLSGDQPLYNADRTIVVVANGEIYNHKKIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDMLDGVFAFVLYDTRTKTYMAARDAIGVNPLYIGRGSDGAVWISSEMKALNEDCVEFEVFPPGHLYSSAAGGLRRWYKPQWFAESVPATPYQPLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTKRHLIKTEAAEKFGAELHSFVVGLEGSPDLIAAREVADHLGTIHHEFHFTVQIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMSMDPEWKMYNADLGRIEKWVMRKAFDDEEHPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASTNHANANANGKANGHCAMAANGTNGVGLVVANGTANGKMEA >AMDW01033942.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033942.1:10:342:-1 gene:AMDW01033942.1_FG001 transcript:AMDW01033942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSLETLIGENRWEGALRRLERMVRDGEANNGPVPSAPSHDIYRAHPELVLLLRGEEYLRIKRLPNAAADATRFYHEHIQNLYRSGTTGSSFVDTGVLKDIQDWENGSRATP >KN544021.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544021.1:1:3083:1 gene:KN544021.1_FG001 transcript:KN544021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NQYTCLDGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMQVAAGVVVAKDGSGKFTTVGEAVAAAPNNSETRYVIYIKAGGYFENVEVGSEKTNIMFVGDGMWKTVIKASRNVVDNSTTFRSATLGNQSFSSIREPIFQTFFCMHTAVVGTGFLARDITVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDIYGTVDFIFGDAAVVLQNCNLYARRPDPNQKNVFTAQGREDPNQNTGIAVQGCKVAAAADLVPVQANFSSYLGRPWKTYSRTVFLQSKIDGLIHPRGWLEWNGSFALDTLYYAEYMNRGDGADTSARVSWPGYHVLTNATDAANFTVLNFVQGDLWLNSSSFPYILGLS >AMDW01031457.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031457.1:35:416:-1 gene:AMDW01031457.1_FG001 transcript:AMDW01031457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTDEQRRTLRRKIREMMDRVPETAEITDPAERKAKMLELLTKYQLVVEEEDPNWPEDDEDGHGFSLGQFFDKITIKAEKKNDDDEEDDAKGNQSDKEIVWEDDNYIKPIRDVKTMDWDDTVFTDF >AMDW01036838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036838.1:61:622:1 gene:AMDW01036838.1_FG001 transcript:AMDW01036838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVSIDPRIPALYQNTANLVGIDKPKDELISWLMDAEGELKVISIVGFAGIGKTTLAMDVYCTLKTSFQCRAFISVSQRPDMKNLLKDMLLQLYEKGAPEDRGLDLLQMVTKIRDYLLHKRYLVVIDDIWCLTAWQTIKCALPENNHGSR >KN541676.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541676.1:61:12625:-1 gene:KN541676.1_FG001 transcript:KN541676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAILLRTASLISEACRNAEKLPAALITGGAVEAAAAIFLCFFVPPGGLFENHGKGPFFLCYGILIAVGVFGLGEASAGFWVSGNLTGRRSVGSEVHKNMDLPEFLAKILQAIADACLDDNKLPGALISCGVLQAAAALSLIFFQAPGGIFGHHVLNNAMEYSMTNMLHCRVLKILQSKRGKLLRESLSKKFSKYYYWLRSLFGRLFPISLDNQNNNRLYDLYLSPLQETYYIGVVSPALAHAIGHSPRTAPVPMEEVEAGLLEGGIRWLAETILDNLDADKLDEWIRQIRLAADTEKLRAEIEKVDGVVAAVKGRAIGNRSLARSLGRLRGLLYDADDAVDELDYFRLQQQVEGGVTTRFEAEETVGDGAEDEDDIPMDNTDVPEAVAAGSSKKRAGEGASNATGNSVGRKRMRMDGTSTHHEAVSTHPWNKAELSNRIQCMTHQLEEAVNEVMRLCRSSSSNQSRQATPPATNATTSSYLPEPVVYGRAAEMETIKQLIMSNRSNGITVLPIVGNGGIGKTTLAQLVCKDLVIKSQFNVKIWMYVSDKFDVVKITRQILDHVSNQSHEGISNLDTLQQDLEEQMKSKKFLVVLDGVWEIRTDDWKKLLAPLRPNNQVNSSQEEATGNMIILTTRIQSIAKSLGTVQSIKLEALKDDDIWSLFKVHAFGNDKHDSSPGLQVLAKQIASELKGNPLAAKTVGSLLGTNLTMDHWDSVIKSEQWKSLQQADGIMQALKLSYDRLSNPLRQCVSYCSLFPKGYSFSKAQLIQIWIAQGFVEESSEKLEQKGWKYLAELVNSGFLQQVESTWFSSEYFFMHDLMHDLAQKVSQTEYATIDGSECTELAPSIRHLSIVTYSAYREDKYRNISRNEEFEKRLMKVKSRSKLRSLVLIGQYDSHFFKYFKDAFKEAQHLRLLQITATYADSDSFFSSLVNSTHLRYLKIKNNESGGTLPRALSKYYHLQVLDIDLCGFEIPHISNVINNLVSLRHLVANDEVCSSIANIGKMTSLQELGNFIVQNNLSGFEVTQLKSMNKLVQLSVSQLENVRTQEEACGAKLKDKQHLEKLYLSWNDAWDGYDSDESYEIEYGSNMNIETEEEELSVGDTNGAQSLQHHSNISSELSLSKVLDGLEPHHSLKHLQIYGYNGATSPTWLPSSLTCLQTLHLEKCGKWQRLPLERLSLLVKLVLIKMRSATEVSIPSLEQLVLIDLPSLNTCSCTSVRNLNSSLKVLKIKNCPALKVFPLFENYQKFKIERTSSWLPHLSKLTIYNCPLLCVHNPLPPSSIVSKLSIGKVSTLPTVEGSSTGTLRIGDDPKYRDEFFDEDSDQLETLDDKVLSFHNLQFLTGLTICGCKNLATISLESLRQLVSLKSLKLYKCPELFSSNVPPELTCEYMSGANHSALPSLERLHIEYCGITGKWLSLMLQHAQALQELSLEGCQQITGLSIGEEENSQPNLMPCFLTNLTCLKQLE >KN541676.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541676.1:18914:19507:1 gene:KN541676.1_FG002 transcript:KN541676.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVETQWELDRLVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTAMMVDNGDVAMAIESKDPDKITTQAEQLDEYVDIGDEMPTATYQSVEIEKDTEVASSGSSSSSDSGSSKDSVSESGNAHSLV >AMDW01035680.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035680.1:180:368:1 gene:AMDW01035680.1_FG001 transcript:AMDW01035680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLQFNKKK >AMDW01033590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033590.1:5:494:-1 gene:AMDW01033590.1_FG001 transcript:AMDW01033590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQLTVSPGEVTYGYVAKAGAPFSRVVVTDDGVVRRLVWDAATRAWKTFFQAPGDSCDSYAKCGAFGLCDSNAWATSICRCVKGFSPASPAEWSMREYSGGCRRDVALDCGTGDGFAVLRGVKLPDTRNASVDMGVKLDECRARCVANCSCVAYAAADMSG >AMDW01040110.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040110.1:73:987:-1 gene:AMDW01040110.1_FG001 transcript:AMDW01040110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPIPSLLMANLIYYSLLIILPFLLLINFYKAMFSSRKQAGWLPPCPWQLPIMGSIHHLIGDLPHRSLRDLSRRYGPVMLLKFGQVPFIIVSSPEAAKDIMKTHDSIFATRPQSEIMKIITKRGQGLVFAPYDDQWRQLRKICIRELLCTKRVQSFYAIREEEAARLVKSISSDQAHLVNLSKKLADYATDAAIRIITGTRFENQEVRDKFQYYQDEGVHLAASFCTANLCPSLQLGNTLSRTARKAEIYREGMFAFIGGIINEHQERRAQDMYHKEDLIDVLLRIQQEGSLESPVSMETIKFLIF >AMDW01040044.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040044.1:80:1172:-1 gene:AMDW01040044.1_FG001 transcript:AMDW01040044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAMLETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIVLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKIVNSGDISLIAGASLSDDKSNGTGVSPLQLRTAAMALLRHGSNMPLNRCEELMQ >AMDW01031975.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031975.1:2:417:1 gene:AMDW01031975.1_FG001 transcript:AMDW01031975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCHFVPWFLGLQARGPLSLRLRQLEIRCPTKTKDNVYVTIVTCVQYRALADKASHAFYTLINTRSQIQAHVFD >KN540980.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540980.1:27901:28812:1 gene:KN540980.1_FG001 transcript:KN540980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTTDEGDEGEFVPFPRRDDVTDLAVCDPISRRYVILPAIPGDLITSGEQRDCLFDFNAFLAPATEEEMADSSFRVVATAQCKSKLFVFVFSSRSEEWRSFQFDSGSIFAADVSSSVLVQADFFLAPRYYAHGCLYWVLKEMDKLLVLDTREMVFFTIDLDRDEHMSNIAILEEAEEDMVGVFSLRTDLGFTTRTQLCYTIRQVEADAANGPPLNFDKIIPLPLPMEYMFCIIDAADGYLLLEGSLLDWFECSLEEGRPDTVYFSLEPKTLVLKRICVLERPITAAKIYTGFPPSLSPSSL >KN540980.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540980.1:98:703:1 gene:KN540980.1_FG002 transcript:KN540980.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QWGIGTSSSWSSLGTEEPPNFLGRFDYVDGCFYWTVPWPDKILVLDGLKMEFSVINYAHRVEDGFRACIAVDREGTPGMLTVGEYLGNGEFRFSRIAKQSDRESPNERLSENTIQLPSYYNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAEPCV >KN540980.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540980.1:21507:21854:1 gene:KN540980.1_FG003 transcript:KN540980.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRLATVLGSLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEKGGEEPGELVHHGIGADVLGAVLESIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSKLDAFMKA >KN540980.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540980.1:13470:20432:1 gene:KN540980.1_FG004 transcript:KN540980.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVQANGLMGPNSERPQGEEEGRGGDRKWERDLCPSEGTGARGTRGDPAGPAASAAATAEAEESAARARAAGAPPASLPSQLTADPSGARAPTLPPSSENSANAPPLKGEAYNLQFGSFPMNGGTGGSTMQFPARTSSAPPNLDEQKRMQAPLQFGGHNPQIPPQGVVPSSLQMSMGLHGANAPQVAQQMYIPTIQHHHQLQPPTMMHQAAGIPYGPAAHQLTPQMSGMMNVGVAPQFTPQQPNKYVTGPTRKTTTVKITHPDTHEELKLDKRMDSSGQRGLPSVQQQSQPVSTYGSPMGFYQQNSYNQSTMFYPTTSGVGQVPTVSQGPRFVSTQTVSYISPSMNTGPGSNKDNIAGSTTSGHSQVTGKPHPAGLHMEKSAVQTVTISAPPGKSDVNKLKPAEDAVSHRQKDNEAVSGVRKSGENESKASPITEKHPTPVSQPLQALAANQETTAAASFVVNSVPGDDGKSKESIQRTGSFKDSNKNATKDTRNLSQEPQSASSAEDLKVHTSVKDVCCGVSLMESKGVNKESEQTNAASAASTEMLKAADASSMDRSSARSTSESTENVQEVGKSDVAIGDSEKSGITNKVSPDLTKDDISSGSTGNESHEVCTLDLAEQLPEGASNPDNLDTATSVTDQGQLLKEPSSSVSDENVIMDRLHQSAEKMSDLVDDTVASVASSETLPESIIQNANAKGNTSGNQETGSATSSNILNVLPVPHSVASEDPLKPESMLKDQSSTAPAASARPVSREKPSVEITRTKFTAGKRKKRKEMLSKADAAGSSDLYNAYKGPEEKVDFIGASESLDSSSIADHELPDESSEKEVNMGEDEGKKKVELDDWEDAADMSTPKLERSDSSNQTTEANGRKRYSRDFLLTLAQSCTNLPVGFQMIEYASVLFPNLAGKSYVVDHPSPGRGADRPASRGDRRGAVIEDDRWGKSGHLFGSGRDMSMDNGPPTMNHRGAPGVMRNPRGGLINVGPIAPQMSRSGSDADRWQQKGIFPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGSVVGSGPRRGAAPMDYGPRGSAAALASPSSQQVGHRGMPSHSRGFGTQDIRFEERSPLDHRTTVLPPRKDEAITLGPQGGLARGMSIRGQPLISNAELSSADSRRMVSGPNGYNSASTAREEPGSRIPDRSGRIAPNTQFAGPSNRPASQEGRSGNKSYSEDDLREKSISAIREYYR >KN540980.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540980.1:10810:11634:1 gene:KN540980.1_FG005 transcript:KN540980.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAVCDPLFKRSVLLPPVPDDLTANEGSLVDFGLCLAPSQEEEADTSFRVICVARYSTKLVAFVFSSVARQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVDGCFFWTVPWPNKILVLDALKMEFSIINYAHRVEDGLRACVAVDREGTPGMLTVGEYLGNREFRFSRIAKQSDRESPNERLSENIIQLPSDCNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAEPCV >KN540980.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540980.1:24063:24470:1 gene:KN540980.1_FG006 transcript:KN540980.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVCDPVYRRYVILPQIPDDLITSGEQEGLLVFETFLAPAATEEEMVDTTTSFRVAARANYESKVVIFVFSSLTEEWHSSRSVSWSLLTADPWLSATAQAHLWFFPRYYAHGCVYWVMHLVDMLLVLDTSKM >AMDW01139468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01139468.1:1848:2480:-1 gene:AMDW01139468.1_FG001 transcript:AMDW01139468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLEVFEEDILLVMKEEKITRDEALHLLLEELMDAQHRVEEKLDHLVEMFGVMGDMSNKIPKEFNASNRSVTTTVEAATSSLLQAPHSPTPSSCSKMCPDDNIMLVRARSSHISEEPATMDAFEIGDGENKSCYSYLVTMDLPEVTHAKCSTVGSEVKCGIDQAGVTFQTMSNASKEVPVCIQFVSNVVPRPFADIKLNMVLDTTIQI >KN540195.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540195.1:39068:43348:1 gene:KN540195.1_FG001 transcript:KN540195.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDIEDRAAVAGDAGMEVVGMSSDDMDQFDFSVDDIDFGDFFLRLEDGDVLPDLEVDPAEIFTDLEAIKTSGGEGVQDQEVPTVELLAPADDVGVLDPCGDVVVGEENAAFAGAGEEKGGCNQDDDAGEANADDGAAAVEAKSSSPSSTTSSSQEAESRHKSSSKSSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQGPAHVPTQGTPCMAMPMPAARFPAPPVPGVVPCPMYRPLTPPALASKNQQDAQLQLQVQPSSESIDAAIGDVLSKPWLPLPLGLKPPSVDSVMGELQRQGVANVPPACG >AMDW01022523.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022523.1:103:297:-1 gene:AMDW01022523.1_FG001 transcript:AMDW01022523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVKVVAVALALWCCGAAVVASAAASSPPLVSPKAKPGVRPKLPPKTKLTTITFSPHHKRDYQ >KN540234.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540234.1:52633:54002:-1 gene:KN540234.1_FG001 transcript:KN540234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDERAVAVSVERLWKVCLDVHSLPKVCAGFIDTVEVEGNGGPGTIHIMKLNPAADAGSVYKTKIVVCDSATHVLKAEVLEVKSKVGNLKSHSTETKLEATGDASCVAKLTVEYELEDGASLSPEQEKMIVDGYFSMLQMIEAYLVAHPAEYA >KN540234.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540234.1:5990:6604:-1 gene:KN540234.1_FG002 transcript:KN540234.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAEERSQTDKLVDGMDFGELCNDFECISSSYMEATARQLACDILDLRDDNRAFTCYAFSVKYKAFIK >KN540234.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540234.1:43436:44728:-1 gene:KN540234.1_FG003 transcript:KN540234.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVSDEHAVAVSAERLWKAFMDASSLPKACAGLVDDVAVEGNGGPGTVYTMKLNPAAGVGSTYKTRVAVCDAASHVLKSDVLEAESKVGKLKSHSTETKLEATGDGSCVAKLKVEYELEDGSSLSPEKEKDIVDGYYGMLKMIEDYLVAHPAEYA >KN540234.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540234.1:36453:39908:1 gene:KN540234.1_FG004 transcript:KN540234.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MICKECYAECAAGNCPGCKEAFPAGSDTDGDDSDSVTDDEAVSSSEERDQLPLTSMARKFSVVHSMKVPGAAANGNGKPAEFDHARWLFETKGTYGYGNALWPKDGHAHGGAGFVAADEPPNFGARCRRPLTRKTSVSQAILSPYRLLIAIRLVALGFFLAWRIRHPNPEAVWLWAMSVACEVWFAFSWLLDSLPKLCPVHRAADLAVLAERFESPTARNPKGRSDLPGIDALAETASFARTWVPFCRKHGVEPRCPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSSALREGMCFMLDRGGDRVCFVQFPQRFEGIDPSDRYANHNLVFFDVSMRAMDGLQGPMKIKLFLTKKKSMGKKTDRAEDDTEMMLPPIEDDGGGGVGDIEASAMLPKRFGGSATFVASIPVAEYQGRLLQDTPGCHHGRPAGALADVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNAGMYPFTSVFLLAYCLLPAVSLFSGKFIVQRLSATFLAFLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGNGGGEGGGEGNDEEAFAELYEVRWSYLMVPPVTIMMVNAVAIAVAAARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMIISLLWVYISPPAGARERIGGGGFSFP >KN540234.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540234.1:23081:27979:-1 gene:KN540234.1_FG005 transcript:KN540234.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSWERLAADARLQGSCFDALIGMEEAEGSEGEEEEAAEVACPFCDEEFDGFGLCCHIEDEHQAENRAGVYKLIHEVFLSCFHYRVVKVCPICYDAVGMDLVSHITSEHPSFFKGKWRNRRVSHGSHSSTRATLKKDAAYLQYRFGGSTRAASHNTDPDPLLSSFVGNFTDTDLPKDVQQEFREETDEKSDSLVQKEQKPVESADEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >KN540234.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540234.1:46836:49042:-1 gene:KN540234.1_FG006 transcript:KN540234.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDELAVAVSAERLWKVFMDASAMPKVCAGFVDDIVVEGNGGPGTIYTMKLNPGAGVGNTYKTRVAVCDNAAHVLKSEVLEAESKVKPCGVISIVRQSSASNSRSRFLGMMAFQANFQLHADETLLLLPIGAGVGNTYKTRVAVCDNAAHVLKSEVLEAESKVGKLKSHSTETKLEGTGDGSCMAKLKVEYELADGSSLSPEQEKTMVDGYFGMLKMMEAYLAAHPAEFA >AMDW01025384.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025384.1:17:265:-1 gene:AMDW01025384.1_FG001 transcript:AMDW01025384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVCGALVCFFVLLVARAAAETAPVERPTLPSADVAIDGNGNGARAGAGKAAGAGAVGGGIVSNSVLVALLDSRYTEMAEL >AMDW01035444.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035444.1:26:549:-1 gene:AMDW01035444.1_FG001 transcript:AMDW01035444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEDPGPSDVRSHVPTLGADRSHLMQGSGVHPHDDVQRGGLQQLGAGDGGGDTGSESTRTDRPPCVDDAHMRTPTRNVMHIHGAHVPDEMVPKFGMEFKSYEMAYAFYNKYAVHAGFD >AMDW01038227.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038227.1:102:434:1 gene:AMDW01038227.1_FG001 transcript:AMDW01038227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EQDVSYVDADWGLHRQPYTSLYFSYQRTVRSQILLSQQSLWLSSLDMLYAALCNLQLNNAIYLSDYTTVVKVLQERKDIMQAIEDTGASGHSTPFSASFAGTGVQIRWIP >KN542377.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542377.1:1089:2711:-1 gene:KN542377.1_FG001 transcript:KN542377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWAPQESLPSYLWAFTAKEEEAYSGENDERQQILQLIIEEKQPEISELPGGGIQVHQEIDAAVAELIEQEVLDELEQRVGAQLEASADQQNPVEQHQDEDDKLSLDNLLEQHRWEGALRRLTRMVRNGEAINGPVPDAPPQDIYVAHPEIVILLVAEEYLRLMRSGNAAAAMRYYHDKIESIYSGDTGSAFVNGGVLKEIRDWVNAKRDTPLGEDEATTHMENICHAIHDYLKLYFPAYR >KN542377.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542377.1:10264:12283:1 gene:KN542377.1_FG002 transcript:KN542377.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METSLLQEEAHGVLDGCVASQGTPNRSPCVEVFIPHRHTPRSTPIPLASTPPDDMCVDEDEDNSVEEDDGGDPGLGPSHADQGRKFRSEAWKEFVKIRVAGVVMQAECSSNLSTSSIYDFPAEDELVAQAPADGDLLIVFCSLLILSVLCSLPAPHDWGVPVCGEKKGGWGISVWSQDVPSIRCGLVCAFYEHCQNVLCRLGIPLA >AMDW01025149.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025149.1:35:220:1 gene:AMDW01025149.1_FG001 transcript:AMDW01025149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVARGYGIFEELLARRKGGREAGERRKDDLLDVVLDREDEWKKESNPVLDRNAIKGLIT >KN542591.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542591.1:7968:8522:1 gene:KN542591.1_FG001 transcript:KN542591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLRNPLPMAKACDELQRVIGSTRRIEESDIGRLPYLQAVIKETFRLHPPVPFLLPRQATTTIQMLGYTIPKGAKVFINIWATGRDKEIWPKPEKFMPERFLERATDFKGADFELIPFGAGRRICPGLPLAVRMVHVVLASLLINFKWRLPVKVERDGVNMTEKFGVTLTKAIPLCAMATST >KN542345.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542345.1:2339:2731:1 gene:KN542345.1_FG001 transcript:KN542345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLRNPLPMAKACDELQRVIGSTRRIEESDIGRLPYLKAVIKETFRLHPPVPFLLPRQATTTIQILGYTIPKGAKVFINVWAMGRDKDIWPEPKKFMPERFLERATDFKGADFELIPLGWSMWFLYHY >KN542345.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542345.1:16503:16734:1 gene:KN542345.1_FG002 transcript:KN542345.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGEPALEVAITADEPVEVEAKEEVAVAMAISDGVDREKGVEPVEEKPKDVMETGEGAIEPEEDEEPEEAEAGG >KN542345.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542345.1:7313:11520:-1 gene:KN542345.1_FG003 transcript:KN542345.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIVSVKARQIFDSRGNPTVEGLTPFREGFCEGRWDLDTVNDCCVGFKARVKVSPRFNANVDLSPRSIRCCAVHSIPPLAIHFSTDLKDAWYRSVGPALARGFIIVEALLTVGVVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPVEPY >KN540769.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540769.1:2095:2410:1 gene:KN540769.1_FG001 transcript:KN540769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGNRVAAENTKGTEPAADGVGSSKQTVPTDASAMAIDEPGSLKVEPDNSNKLQDQPSVHQKT >KN540769.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540769.1:9048:10371:-1 gene:KN540769.1_FG002 transcript:KN540769.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSFSLAAILLIIIMYCCPTGLVVAARKGPAAAGGGDDSAMRERYEKWAADHGRTYKDSLEKARRFEVFRTNALFIDSFNAAGGKKSPRLTTNKFADLTNEEFAEYYGRPFSTPVIGGSGFMYGNVRPSDVPANINWRDRGAVTQVKNQEDCASCWAFSAVAAVEGIHQIRTHNLVALSTQQLLDCSTGRNNHGCNRGDMDEAFRYITSNGGIAAESDYPYEDRALGTCRASGKPVSQFFSSGVFGAMQNETCTTDLNHAMTAVGYGTDEHGTKYWLMKNSWGTDWGEGGYMKIARDVASNTGLCGLAMQPSYPVA >KN541100.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541100.1:2238:9921:-1 gene:KN541100.1_FG001 transcript:KN541100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRQLNSRRSSSSGNWRAAAAIFHAQAQKAARTDPVEAAALNAILGRWGTSPPKTWNTTGDPCSGTAVDDTNIDRSQTINPGIKCDCSDKNNTVCHITKLRVNSLSVVGPIPAELENLTHLTNLDLQQNYLTGPLPSFIGKLTAMQYIADTGIGSNKFTGGLPEEFGNLTKLDQLYIDSSGLSGPIPSTFSKLKNLKTLWASDNDFTGKIPDYLGSFTNLEELRIGDIVNGSSSLAFVSNLTSLSVLILRNCKISDDLGTVNFSKLSGLSLLHFAEIFHTTNSREAFLHGLLRTICNYYSFAVDCGTNRSMRSSDNTIYEADPTNLGAASYYVTKETRWAVSNVGRFYDAPNGSYIISSPQQFLNAKDSELFQTARMSPSSLRYYGLGLENGNYTVLLRFAEFVFPDSQTWQSNGRRVFDIYVHGDLKEKNFDVRKTAGGKSFTAVNKVYNATVSKNFLEIHFFWAGKGTCCTPTQGYYGPMISAISVTPNFTPTVRNGVPKRKSKAGAIAGIVIGASVLGAAALVGIFLLIKKKRKTAQQQEELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFASKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYERGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKKETAPLNSYPGIAGRIDEGRLGLYN >AMDW01033043.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033043.1:67:429:-1 gene:AMDW01033043.1_FG001 transcript:AMDW01033043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATTS >KN541100.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541100.1:20479:23788:1 gene:KN541100.1_FG002 transcript:KN541100.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSASKGVIGALFEKLTKLVEDKCTNLVGMSKNIVFLKDELPTMSALLEKLEDADELDPVVKAWRNQVREMAYDIEDCIDDFVHHVGGGDVEAGFIDKVSHFLRTLRARLETAEHIKDLKIQLIEINERRKRYKFDLDDTPSSSFVAVDPRLPALYSETANLVGIEGPREQVIKWLTDADQQIMVLPIVGFGGLGKTTLAKEVYQKIEQQFNTKEFVSVSQRPDVTRFLKGIQSKLQIRLSSEYCEVKDIIDNIRAYLQHRRYLFVVDDLWDAPTWNIIRSVFPENGMGCRVIVTTRSEDVARWVCCNHRRFIYRMEPLSDENSRWLFFNRIFGSEDGCPSQFREISAQILKKCTGLPLAIITIASLLANQPAPHKKEYWESIRNSIGTWGSGTNPTLEGMRQILHLSYKDLPRHLRTCFLYLGIYPEDFTIKRDDLIRQWVAEGFVHHFHGGTSEEVAKSYFNELINRSLIQPEETKHGEVVSCRVHDMMLDLILSRCAEDNFICVAYNLEEMSGQHEFKVRRLLVDSRVGDSGDTKVSGTTAPRLLQLRSLQLFGVSLSLLSLSKYIRVLILHLGKTGTGGNERVDVTAIGQLFQLRYLKIVRLHHALVIELPAEIQGLQYLSTLEIDCTNENSLPSDIVHLSRLSHLIVPSGIGLPDGKIGSMKSLCTLQKFEILNIKSAIGLGELTNLKDLELYSKQALSEREIDALVTSLGKLHKLVSLCMSKFGGCIWYDEDNRLGSLSNPPLDIERLGLGGWRLRQVPRWINGDLQNLCFLVLDVIEMSTDEVRLLGELPSLSELCLSVKRLAPCSASLVFGAGFPALECLEFFCGGDMSHLCFEAGVMPNLRKIILFYIDIEWSGTAPVGIEHLLNQRLRDIQLLPVTDTTVDAERIRLAFTESIRAHTSRGGAEPYLHFARIRRSSLIGATL >AMDW01054995.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054995.1:102:368:1 gene:AMDW01054995.1_FG001 transcript:AMDW01054995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVLHEYTARCREITSLVLTRLAKLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDAVSGLQVLRQGV >KN540839.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540839.1:2353:3277:-1 gene:KN540839.1_FG001 transcript:KN540839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRKAMADARLSKADIDEIVLVGGSTRIPKVRQLLRDYFGGKEPNQGVNPDEAVAYGAAIQANIVGGDTDNNTRAMVVLDVTPLTLGLETAGGVMATLIPRNTPVPTKRARLFSTYKDKQTTVTIQVFEGERSMTRDNRLLGRFDLAGIAPAPRGAPQIEVAFEVDADGILSVSAADRATGRSERITISGDDRKTSREEIDRMWLDGNQDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGSRRDGDG >KN540839.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540839.1:38076:40312:-1 gene:KN540839.1_FG002 transcript:KN540839.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASESLQCKVLALRVSIHCEGCKKKVKKVLQRVEVSSFIGEASLETDFFPFSFHFKKAEKNVTKTDRIMCSVDSEGVYRCDVDGRSNKATVTVTGKVSADTLVRKLRRAGKHAEQWPEEQQQPNGGH >KN540839.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540839.1:31527:33922:1 gene:KN540839.1_FG003 transcript:KN540839.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKYSSTSKSAPLTPHPTAARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTGENFRLLYDTKGRFRLHSIKDEDAKFKLCKVRSVQFGQKGIPFLNTNDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDALGHQFATRMGNVFTIGKERKPWVSLPKGKGIKLSIIEEARKRNAEAAAEA >AMDW01032305.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032305.1:98:442:1 gene:AMDW01032305.1_FG001 transcript:AMDW01032305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGP >KN541376.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541376.1:821:989:-1 gene:KN541376.1_FG001 transcript:KN541376.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEETKVALVGCPAEGGKEKTQIVGEDVTGGAGVDHSPRPKGGGLGDQNLDAKE >KN541376.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541376.1:13442:16827:-1 gene:KN541376.1_FG002 transcript:KN541376.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVDNFDWEEVNTINNYALFMGYVWMAVRGMGYLVVLWTTVILLGGFVSALEKKDFWCLTVITLVQTIGVSTVFPSENLHKIVLSYIQGFVSTTYTFYVPKNSEDDKNIRAENMKDEDGDGGRRSRTTKEKPKHWPRWLLRLVAFVPYLLQQLVFAVVAGPLALAVTVLYACGLVITTGLAAWRLLHRDYGDGSNNLRPALDVLYTMVLLQGVLSYYRFSSRFCQERLLHDVAKAYNFPHPKEFGRRHSPRWLCYVVKDSLREYIRKTRIGCEKDPSFAKGWNLVKYALDNLMVSEFPNWRCFVNGASILGAILENPKLEEQHRLIKEHLVLLSSPSGGDLLHKLVQLTDFGCYHTIRISAVRILAHLASDLRPEQLNFPQGIHSIASLLEPPSDEKGIEFYYLENIQELMVEGLRLLGKLVSNEEWCRAIAEKEGLLAKIMVPLRSDMLHTKYHSDCRRPIYRELIGASIQVMRHLVTTPGATGEKLRSEISGNWDAMASLEKILHCDQCDDCLLMQGVMEIYSRLRGRDIARMREPFIKRLLLIFTRRPTDEDSMLLAAGEMLAALSSQDKENTKIILQAKQIVCDLIKILEDNTIKCGIIAAQILEQLCIHHSDGDDDEYVQNLKEHLKVTMPKVLLAKILHMKKSGISKIIYGWYNQNREILKAVLSLSVTMSLNLMNAQDLAPLVDAITSKATGFSFLGNLKAMVKLLGRRSTVNDLKTWKLVTEILILTIKHGSRDAIPEAKELMESLSEVAERISDIEDFMVLSGSSGPKTLNSLVKEAEEQLRIADAPEMAVVIDHACSVNGTQTQ >AMDW01024769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024769.1:82:300:1 gene:AMDW01024769.1_FG001 transcript:AMDW01024769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADAPKDSGSVTSTGTVASVLIATVTFAAALTVPGGYVADDHPNAGTAASAGRFAFRAFAVSDTMAFLCSI >KN541459.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541459.1:9831:16557:1 gene:KN541459.1_FG001 transcript:KN541459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKAVFISLQELFPQVDPRILKAVAIEHHNDVDSAVVAILDEVMPSVTSTSPPTVSSVRQETAPCCIGISSASDGTSETGDSSSAGHGKQVEVDENVHSTQCKSSMEITNDRQRNVVDEVESHSSYPWMNEQLHLPIRNVPEPVDISYVGHDGHLLSEYLDAILNGESGNSSTQPNVAYVHKQDSDNPIPADGCVTKDNSITLPLDYVDMNDVNYSLKSSAGVSNSEYSFGTCGTYQFAHVLNIPIPDARKSSKGLGGEQDTNSIGKADLLPDLNLNHLATIASTHSVSIESLEDSISDAKSNKNDLLPSLELVSKMIQDVEVLEEKAEVAKHESSIAGTSILTKVGKLKEMLNHAKEANDMHACEVFGEKSILTTEARELQSRLQRLSDERKNYLVVIEEIRQTLEHRLVAAQQEIDAAEEKKIQKEASAQALLDEQEKEMNLAVEESRKLQKEAEENLKLKAFLVERGQIVDTLQGEMTVICEDVSQLKQIVDERLSFCKLQRSKMSSLSSSLQSSLHKSGSSADRAIEAVESTDKHTVAEGANAAVGDDPNGSKRIIHVWNGSGMADKDNGTGGDTNEDGWEFC >KN540478.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540478.1:4834:7656:-1 gene:KN540478.1_FG001 transcript:KN540478.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPLPNSALRLYNYEMLDKATNKFDKKMVVGQGRTGTVYQCTLLGNNGKEVRVVVKRFHNTLTEDSVKSVRDRVTDLWREHHRNLVDMHDARYENTYMHHIPMLEKYSFEKRKKTN >KN540478.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540478.1:40617:43328:1 gene:KN540478.1_FG002 transcript:KN540478.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLIPVLLQKIATTLSGAAQNAISSQLGKEATLLFDAENSMREIESEFDVMQAFISQVDPYCVNNQIFQSWLKHIQKVALQVENIVDEYAFLLGKMNGTESFLRNTVHKSKKIKVWHNVASQLQQVKSTLQNLTVIKERYGIKISDNDGTSSSRNISRQIYSSGSSHLNNDDDDDAMVGQQDNLQYLTDYLSVASMNRAIITIHGMGGSGKTILARNIYRKQDITKNFNCRAWITVSQNYQIEVLLENIMKELNIGCPTNNLEEMVRTIRGYLENKRYLIVLDDMWNRDSWSCFDDAFSKTSQGSKVIITTRNEDVAKLADLKKHIISPKTLTPDDSWILFSKKAFSKQPEAKCSKGLKEHAKKILKKCEHLPLAIVAIGSLLSYRDVEGREWASFFYQLNWQLTHNPELNMISNVLSSSLNDLPTHLKNCFLYCGLFPEDCLIRRKWIIRMWIAEGFVEDRGTETTIEEVAEDYLKELTQRSLVQVVEKNELGRPRRFKLHNMMREITSMALKRERFALICDNPDVTSLRDAARRVSVHTGGQDFQSGVAWRQLRTFLLFDNRVSISWIRNASSNFRLLRVISLRNSRLQDFPSAILGLFNLYYLDLSRSREVKKIPKSVARLRNLQTLHLGSTLVSKLPREITLLTSLRHLSVSEDLCGTSIEGDISRLKSLHTLKDVKASKNVVQNLNNLTQLRSLSLTDVLASDGDVLWSSIGKLKFLTRLAVASRYAYEDFDLENFRAPQYLEKFYLDAKLKNGAVFPVSGCFQNLKLLVMRFSRLVHDPLSSLSKMPNLVYLELNDAYDEEKLAFCSKWFPKLQHLSLEKMNNLNSIEIIEGTMENLTDLKLIELDNLNAVPEGLKHLKMLQRLFARNMARDFTDGLEEEQSKGFLKHVASIEYV >AMDW01031980.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031980.1:154:417:1 gene:AMDW01031980.1_FG001 transcript:AMDW01031980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLKGAKINGTKIGGGRGSPTFRCRASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAAQADNQ >AMDW01039043.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039043.1:58:783:-1 gene:AMDW01039043.1_FG001 transcript:AMDW01039043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRDKHAKRAYFVGPVSPPPPPPLPASGESPCLDWLSSKPSRSVVYLCFGSLTHVSDTQLDELALGLEAAGKPFLWVVRTDTWAPPEGWKERVGDRGMVIRGWAPQKAILAHPSVGAFVTQCGWNSVLEAVGAGVPVLTWPMVFEQFVTERLVTKVLEIGERLWPEGAGVRGTKDEEKEVVPAKAVAEAVTKFMEPGGGAGEAARCAIKELAVKANAAVAEGSSSHRDLLRLIDDLMQAK >AMDW01032596.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032596.1:40:333:1 gene:AMDW01032596.1_FG001 transcript:AMDW01032596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLICMYLFISSLYFC >KN541829.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541829.1:6391:7167:-1 gene:KN541829.1_FG001 transcript:KN541829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSFPAEIIHPARLGCMLRLHVVEHPTGDAAAVAFQCDGCMLPGEGTRYTSVVDNHPTHLALHTSCALATPTLQHALVKGTMELRHEAPAGGGVCSACFETVRGFHYYGSRKTGKGEHPKLHPCCARLPVSIAVQGGLTFELRAEVSHRCTGCRAMEWYYRPWCYRSTNSPDHRVYLHVKCIREIMESPGGGGGGGAGDEDDRVVARLLERADQSSKLERRVCKILVILVRVVVRMLIGDPTALLTEGVSAIVSPW >KN541300.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541300.1:3202:4906:-1 gene:KN541300.1_FG001 transcript:KN541300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLAKELGLSPPAAVTPRRRPPPARVPASPSGGSPVAVGDLWLRTKGAGGGGDGFGSHSHESEMDLAMLVTDFLENGGTGGADSRASSDSDSALSDHLADNISIYKQGGDEKENELLSMVHSLLFSIHESDLLAFKRGQCSASCIRHLLVKLLRYSGYDAAVCVSKWQGFDKIPGGDHEYIDVIMNSDTEYRLIIDIDFRSHFEIARAVDSYDSLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWHSKYERIDLHIEQDFHSTASDHALCIGHLKRLKSSLQSELDTERLLMMPIKTDMKRRAKFERRRRRSLLSF >KN538735.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538735.1:101413:101559:-1 gene:KN538735.1_FG001 transcript:KN538735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDLRLVEAPVTSTACEEPSEVTTSVEVELQIQCGELELVKQFT >KN538735.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538735.1:195009:203395:1 gene:KN538735.1_FG002 transcript:KN538735.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSGRRGPAAVVLAAVAVLLSASQALSAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPSLWPPYGETFFHRATGRASNGRLIIDFIGFLGDVFTWRNKQFRENDYIRERLDRAVANGAWREWYPLVLVRNGDPHHSDHRPVIISTEGAHTGRQGQRGERPFSFEASWVKEDHCAQIVSEAWELGGLVGDGNVTDRLRSVAGSLQSWNVNVLGDLEKRRKKLKKELERCRRSSLNDETSSLEDELEKKGDAVGYQSSAHGVVEEILKLNEEIKLKCCLALWLCWSERNRVREGEKCRGSAWIVHGVHLRMAEVEKKKEAVPRESPKNLQRWDKPTTDHVKVNCDAAFNPGSGNGGWGCVLRDADGDVVAAYRGRVNNLMHPLHGELIACLQGVQAAVDMGIGRVMIETDATAVIQAVYTNDFELSDVSFLVAELQSLLRLNFISWSVSHVPRLCNRVAHELAAMGSVCDLAEAPVLAPIPAQIMYLVADDSAVS >KN538735.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538735.1:48375:49766:-1 gene:KN538735.1_FG003 transcript:KN538735.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdate transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/Swiss-Prot;Acc:Q0WP36] MASSAGDPLLSGEAGDGRRRFVPSTIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAILLFLGVTGLMTTLYRLLPLPVVRGVQLSQGLSFAFTAVKYIRYVQDFSRSSSASTSVPRPLLGLDGLVLALAALLFIILATGSGDDEDVNRDGTSRRRRSCSRVPAALIVFALGLVLCFVRDPSILQGLRFGPAPLGLVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGSKEESFVMLVCAGVSLTGSSAALGFFSGIVLYLLLRLRDLDWDIRGLLGRWGAGRRQSTNKANEDGAGDA >KN538735.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538735.1:9310:15576:1 gene:KN538735.1_FG004 transcript:KN538735.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/pyruvate cotransporter BASS2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26900) UniProtKB/Swiss-Prot;Acc:Q1EBV7] MAASTTCPARSMASVSRALLHPRPHAAIASAAVRTAARLGGGLGIVCSMPSYGRKEKEEWGLTIASAPATTAAPALRSCQLLCKAEANISSNLPESIPSKANQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSALGGSALAVFWRNRGLPANDKDDFKE >KN541300.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541300.1:21456:27730:-1 gene:KN541300.1_FG002 transcript:KN541300.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP3-complex subunit beta-A [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/Swiss-Prot;Acc:Q9M2T1] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVAHFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLHDLLPDETTSLEDTVDVLFSDNSPGVVGATAVAFNSVCPNCLPLISKHFQRLCETLPDIEEWAQILLIDIILRYVIARHGLVKDSSIFASNLTLKSQGSGDSALIGNETCGTTSTITLFRHYIEEYSECLEGDIINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTQRSSPDATYVMLGNILVFAKTAPQLFAPFYEDFFICTSDPYQTKALKLEILTTIATESSIPAIFEEFQDYIKDPDRRFVADTVAAIALCAHKLPSITTSCLGGLLALVFYESSISDSANFDGEAAVLVQAILSIKAIVRTDPASHEKVIVRLVSSLDKIKEPAARSLIIWIFGEYSSIGNIIPKITPAVLKYLAWSFAAEMLETKLQILNAAAKVIIHSPEEHLEEFKKIMAHVIKLATCDLSYDVRDRARFISRLLPYSTTYLNGNNSSCQSHNEDMFKELANHIFDGKMPSTFHPTNNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHKTMEPTRGVGNSSESINSDAESGSSTYDSGSVYDSESEVDGSSDRNAADSNTKDNQEDPLVHVYDASVDQGQTARDVEDNFASLITTDLTELMSKSALETWLDEAPAEPVQVSTQASSARVSFTNRSFERKPKLHMLLDPSNSNGLSVLYAFSSEVSPVSRLLVCVDLLFENVSTNQLADITIKSEEASGSEDGLDQTLQGSASVPTIVLDKEIQLLAPEQTEKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKLEHNDDSEEHTDKNLLIAQSLASKILSNANFHLVSMDMPVTFSIEDASGLCWRFSSEILSTSNPCLITILAEGHISEPLDLTAKVNSEDTAFGLNLLNRVVAIIE >KN538735.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538735.1:165986:173971:1 gene:KN538735.1_FG005 transcript:KN538735.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAIAVVRSAYFFADVDLHFVATGAAARRALSLARGTHLVAASLRYSGSRCPPKWPKLPEPSLSLPLLRKSGTDDSDEPNNKSALVRWYRRPRPCPEASRDGERSDEERDAGGGEIIEGWTDPISAPDDSYSCIACCSVQKKHKKDGNLILVAVGTTNGQVLVLDSTGVIWKSAPHTGKVVSLHFARHGRVLYTAGMDGIICELNSRTGESKDTIKATKKPINSFTLSHDEKFMGVSSKITRLFSVSEKKEILRIPSDVGPVQLMSVSDDGRFLVSHVDNNKEVQVWSCDQDSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLAVSKKGVAHVWHLQTLSQNEVLPTKISVKNSLDKKGRIPIISAKLCDNNEDNTVKVHVVFGSPNVLQFKVVELDDTCKDINLVAEYDELAKQDTVSPQERNLEQEAKANSKDAEPVQGKAKKRTSSVLDSTNDTTKEVNPEYNLDEPTMEEKLASLNLLNKSEVTEEQPPSLAPPSADSVHVLLKQALRADDHTELLKCLYNRDEKVIVKSVSLLTPADVVKLLKFFVLLIQSRGAKLVCMLPWLQALLCRHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYLFSGVSDGETDEEDAVPPIIYEDKDTDDEESEVDAMETDEESQELGDVTDASEHSDGSDIMTD >KN538735.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538735.1:205425:207502:1 gene:KN538735.1_FG006 transcript:KN538735.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKSGKKEDYEPETGCLRWMNGFSQYHNKLLMDELENLQKLHPDVAIIYADYYGAAMEIFFSPEQFGIENPLVACYGGGGPYGVSETARCGNGEYKVCDDPQLYGSWDGFHPSEAVYKAIATGLIWGSYTQPPIATTTISCLQITELSSSVEYKVLYDL >KN541300.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541300.1:18441:20794:1 gene:KN541300.1_FG003 transcript:KN541300.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQKVHVRAAPLARALRTRVAAATATAAASASSPERALLGLSEPDLRQLAVDLGQQSYRGKQLHDLLYKSRAKQIQEFSHVPKVFREALVGAGWKVGRSPVHHAVTASDGTTKVGCPLRCSFCATGKGGFARNLHAHEIVEQVLAIEETFKHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKELKIGQRMITISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDAKEHAEELAELLHTCGGGYHVNLIPYNPIRGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSSEPNLVRA >KN538735.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538735.1:93:3473:-1 gene:KN538735.1_FG007 transcript:KN538735.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEHKSILPASPNGKSTHLKVHPVRLAVAAAGRKETHLAHAPTYAAALRRENAELRVTNSDLARRLALLSGKHTAAVAVADEIRRLRLGEQKVAADTKERTPEKLAVLPKSISVRSTSYLKLNQQSQAATATPAAPNRKPRTSSNPTNPPSSRAYDGGKKGDEQKAQPADSGAELEVYNQGMFKTELCNKWEETGDCPYGDQCQFAHGVAELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLLRS >KN538735.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538735.1:175511:178873:1 gene:KN538735.1_FG008 transcript:KN538735.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNPLVSSLSLYDIAGTPGVAADVSHINAPAQVKGFMGDDQLGEALEGSDIVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFANACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGQLSDFEKEGLENLKGELKASIEKGIKFANA >KN541300.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541300.1:12926:14812:1 gene:KN541300.1_FG004 transcript:KN541300.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTKISSDAISRNASGSRRRRSGSRRKGTGLSSRVSSSVAAVTPRSEGEILQCANVRSFAFNELKTATRNFRPDSVLGEGGFGSVFKGWVDENTFLPSRPGTGMVIAVKKLNQDGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLQDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATDAVGMCKD >KN538735.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538735.1:124974:127887:1 gene:KN538735.1_FG009 transcript:KN538735.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTDPMFARAGVYLMSDASGLSHGPYLGTATCCSLASLLILLIKASVYSPAQEIGPELSPSLADHKLSLKKLSGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHGIDPESILAYKNAYPGCYKTPRSPTPYSGKFYSRSDSETKRKSVAHDDRDIPISFLADGDSMFIACQGITVHYKLSDPLSCISSATDTFPEIHHDVISASISPRRQRHDSPPSASTNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDDTPVLTVDDGSADVCLKPMGFDLEAGEQGKFAVVLVHGFGGGVFSWRHVTNLLSRQVGCTVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELGSQVDLLISFCSDMGLRSVVLVGHDDGGLLALKAAEKLRASGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSSKSTQVMASRLVNSRLVTISNCGHLPHEECPKALLSALSPFISGLVSSDDSLQRL >KN538735.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538735.1:162495:163276:-1 gene:KN538735.1_FG010 transcript:KN538735.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNSAGDPVYESAAELSEIFEAGWPSVLAAPPRPPDAERKRRLSGLLPRLPVGAQVTVAEIMKKRDGCLREVNGMMEVDLDRADSATLDELDRMVAEHGAALAGVVKVKQDDGDKLMATFSCLVIFAPGNAQKKDGV >KN538735.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538735.1:44550:44720:1 gene:KN538735.1_FG011 transcript:KN538735.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEATASRDGMEFALQRGVRKLVLESQTVKTWCICGAKELVRGLKSLPCYNRWRT >KN541300.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541300.1:28014:29910:1 gene:KN541300.1_FG005 transcript:KN541300.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGGGGAIELRRRMAAQCLAFERQIADGRERTKAAASAFSAALLSARSLSNHTISQREKINQLKDQLRKLEADFAQALSSHGRSETLQTSSAVQVSNKTKYDLTGQSITNATATNDQLRSLVTDKRASRDEYANVISSQLEAIEALEAKTDVAGKKNLDEAFMWYKKFLGFQVVGGEGVKFVFSKIDIQNPDNEYSFCIKLNKDRYNLLQCTPFLKDSEELVKDLNCSNDLFKFVRIMRERFQAAAINGTPFCMFSL >KN538735.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538735.1:29624:31489:1 gene:KN538735.1_FG012 transcript:KN538735.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLVVATGENAEPRVPDFPGMQKFAGCAMHTSEYKSGEQFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMFGLSTFGIAMALLRWLPVQLVDRFLLTAAHLILGNTGQFGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEMTRQGVRFTDGKEEQFDTIILATGYRSNVPSWLKDAGDLFTREGISKVPFPNSWRGRNGLYTVGFTQRGLLGTSSDALNVAKDIHCQWRERDRSAINVLEISNSSF >KN538735.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538735.1:59593:61222:-1 gene:KN538735.1_FG013 transcript:KN538735.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASSGLDAGFLPPPAAVAPDDAVGYYDPPAGADVDAAALPEFAAAFPPCAPDAAAAVLAMRREEEEACPYLKFAHFTANQAILEAFHGCDHVHVIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPTGRDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVRPWMLQIAPGEAVAFNSVLQLHRLLGDPADQAPIDAVLDCVASVRPKIFTVIEQEADHNKTGFLDRFTEALFYYSAVFDSLDAASASGGAGNAMAEAYLQREICDIMQFGYSN >KN538735.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538735.1:103297:104537:1 gene:KN538735.1_FG014 transcript:KN538735.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNYHCTTSSTASALLKLFHPPPRRRSRIAPPPPGVEVRPFPDECEVGDFRNVRDGIYDDGSPEADGGNAKGTTGIDIRAGRDTKGTSDGVLEESDGFASKGREDVDGVGSGGTKCYAPSLPPYARRVAASQGSAAPPHPIEMARGTTDSTGCGGWHAVYGNTCPRCFQFSLRVFVYSALPAKTNIRMCSASHHCSVGVKQGRPPRSRAYATRPDGQADSPATHRHARSSPMSRPCDVPSSDSARRAVRKLRRAPQI >KN538735.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538735.1:153802:158968:1 gene:KN538735.1_FG015 transcript:KN538735.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHENASCGLLQGLGLRETRLLWRTERPSKATCFRRKGPWPGAAVLCSRRNWEFEMEQQFILRVPPSVAERIERLMNQAAGASSSNPDDASLDLSFSEDGRSGTFMIGNESFPASLLDLPTVMESYKTYDDSVLIKTADIGQAELVHRVEKHLMNIMHGVSVSILLVLMKEKTVSGTFLAVVTVVVVSVEATTRNDDHGLAILVGTIVALVVYCIWKPYQALLSCIERRLRRKKAAAAAATAAEPLPPEKKEDAGRPLVQAERLRAAFRIDGLLREYSHGEIQAMTDDFGCVVGRGGSATVFRGVLEDGKAVAVKRIVCDESVGEADFLSEITIVASVHHYALVGLLGYCLQPGGGRYLLYPFYENRSLDYWLFSGEERRRHLPWATRRHVAVDVAKGLAYLHHECKNQILHLDIKPANILLDGEFRAHVSDFGISMSIGRDLTSVDTRGRGTLGYMAPEMLVNALSAKSDVYSYGMMLFELVGGRRNFELAGSGGASQARAPPDFTKEFLPCVMRDRMEEGRLMEVVDAAMARGGAAGVDEEEVEVVVKVAFWCTQHSRDMRPGMTDVVDMLEGRAPIPPPPVRPEFLGDTFLVSCARTAMST >KN538735.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538735.1:191177:193045:1 gene:KN538735.1_FG016 transcript:KN538735.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFVRPYWGGRAAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITLSSQELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLIDELKNLRKLHPDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGSSVEHKAMYDL >KN538735.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538735.1:129637:149255:-1 gene:KN538735.1_FG017 transcript:KN538735.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGERARSTTHRGPHSEVDVPRAQPLGGCTRGPMCLSIAHGGPHLGMDVPEAQSIYEGSRLGAGSRIWETFLIVLVVYSAWVSPFEFGFIPKPTGALATADNVVNAFFAVDIILTFFVAYLDKMSYMLEDDPKKIAWRYSTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHERSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVVIQVAKSGEVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTAFLSIVQSNVGDGTIIMNNLIQFLKEQKENSVMAGVVKEIESMLARGNLDLPITLCFAVTRGDDFLLHQLLKRGMDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARVEGGADLSSGDTGLYACIAVEESDTELLNDIIHYGGDVNRARRDGTTALHRAVCDGNVQMAELLLEHGADIDKQDGNGWTPRALAEQQGHDDIQLLFRSRKAATASGHHHVPSSTTTRVAPAAAAAASLIGRFNSEPMMKNMIHEDADLPSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPLSRGGLAAATGSPNLSSGSRNAVIRVTISCPEKGNTAGKLVLLPQTLDMLLELGAKKFDFAPTKVLTVEGAEVDEVELIRDGDHLVLVSDEWDAEKMKCKS >KN538735.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538735.1:52025:54034:1 gene:KN538735.1_FG018 transcript:KN538735.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLYFHRNASQARPIRHHLLAYLDACASRAHLAELHGRLVRAHLTSDSFVAGRLIALLASPAARHDMRYARKVFDGMAQPNAFVWNCMIRGYSSCEAPRDALALFREMRRRGVSPDNYTMAAVVSASAAFVGLKWRSNGDAIHALVRRIGFSSDVFVMSGLVNYYGAFRSVEEASKVFEEMYERDVVSWTSMISACAQCGHWDRVLKMLSEMQAEGIIPNKVTIISLLSACGQTQAVDKGRWVYNQVGKYGIEADVDIRNALISMYTKCGCLSDALEAFQAMPARYTKSWNTLIDGFVQNHEHKEALRIFEEMLLHGVTPDGITLVSVLSACAQLGELRKGRHVHSYIKDNGICCDNILTNSLINMYAKCGDMAAAERVFQTMTKKDVVSWTVMVCGYVKGHQFTMAFNLFEEMKIAEVVAHEMALVSLLSACSQLGALDKGREIHSYIEEMNVAKDLCLESALVDMYAKCGCIDTASEIFRKMQHKQTLSWNAMIGGLASNGYGKEAVELFDQMLELQDQKPDCITLKAVLGACAHVGMVDEGLRYFYLMSSLGVVPDTEHYGCIVDLLGRAGMLDEAFHFIKKMPIEPNPVIWGSLLAACRVHHRMDLGKVIGQHIVNVAPNDVGVHVLVSNLHAEESRWDDVEHVRGLMGSRGIEKTPGHSSVQV >KN538735.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538735.1:32719:33846:-1 gene:KN538735.1_FG019 transcript:KN538735.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MANVNVNPGRFLEPGQHVQVGSPFRIQRADLIVPPPQWQHEANLLAQIEPMAHDDELDVQRLHIRNYLQNDLAFGFHYNGVNVVRLTNHDRGTNWRVAHAAKVGWIMFLGFPLDFRTQSYVSHTVGLFGKLDYWQEMDIVPGRVMLRAFYDDVDMVPRRIVIKQIPNQGGQGESWTFSFFVLNNDFAGIQAPDLDPDLENNHNNGPVQEEQLDDHADGWGNWEQQGENQDQHATGDSGVSHQNQNSDAVANLQIVPFVPLVDPALEVVFTVINEGHSFFISDETQGRIHDFFLRKELLEKLANRISSSLVLGVVPSSTPFFSAGSHKKKVKRGNHNPTFQKKNDR >KN538735.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538735.1:81894:85350:-1 gene:KN538735.1_FG020 transcript:KN538735.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRNVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSLVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKDKPKLGMKESLKVLFSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKRDMSAKEKVDPSLLKAPEADVLVEHTNGTIESEATATESSPSNSSPSN >KN538735.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538735.1:182999:186463:1 gene:KN538735.1_FG021 transcript:KN538735.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTSGRRGGGLLLSPAAAAVVVAVAVLLSAAEAATEEPCYPRLFSFGDSLTDTGNFAFIYGNDSREPALRPPYGETFFRRATGRFSDGRLVVDFIADALGLPFVRPYLSGRTAGDFACGANFAVGGATALSPAFFRARGVPMADIVHLDMEMKWFRDLLKLLCPGDLAGCTGMMNQSLFLVGEIGGNDYNLPLLSGVSITKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCVPNYLMIFKSGKKEDYEPETGCLRWMNEFSQYHNKLLIDELEKLRRLHPDVAIIYADYYGAAMEVFLSPEQFGIEDPLTACCGGGGPYGVSGTARCGYGEYKVCDDPQKFGSWDGFHPSEAAYKAIAIGLLRGSYTQPSFATTTNLCPQITELSSSVEYKVLYDL >KN538735.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538735.1:36558:37139:-1 gene:KN538735.1_FG022 transcript:KN538735.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRANPDLTTQANFPSVLAADIPQSPLLHLTGPPHRPAHRRQVGARARYVEPLLKGYFTWYPRSTFPFPRVLSALEMTITDTGKLSLAIALGGGRYVVTEFNPIIGSDVATLLYFSSKKGVCEDIDYPSQVARGQPQHGLVLVGVLVVDHLLTRDPFDVMPVSCFVLKSYKEGGPRETFAHSSCITTRDAL >AMDW01037551.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037551.1:111:662:1 gene:AMDW01037551.1_FG001 transcript:AMDW01037551.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPTVESAQPANGAAPMGECGTEYRGLPDGDAGGPMPSSARTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLVLPVIWSIPEALITAELGAMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVVGLTAVLTLLNYRGLTVVGW >KN543510.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543510.1:567:4412:-1 gene:KN543510.1_FG001 transcript:KN543510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTFSLGSRINLLANKLAEIWNHGIGKIEKHGMKLKMLLYLNAEHEAWCKKYMELYQELRENWERLYWDEGYSKKIAEDHANYDSAEEDDLDFSPYSRRRHTNVEPNKDIGFTASKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMENNFGQHDSRFRNRHDSNYAPRNMENKFGSNDSDFGTQSGRSFRHDPSFRNQHGLNFQNESNFRNHQYPNFQNQRDPRNRVMSSEDQELMSSDDQEF >AMDW01085378.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085378.1:191:1378:1 gene:AMDW01085378.1_FG001 transcript:AMDW01085378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFMLWTFWKRFQGREHYSEIKDKVKNTHLFAYETSYDITRYKILFPVLQKEAAAIASHYPCMREIDPERIVHCCLYGLFLYLCLPKHLENEWAARASTYWVCDGIIQGDRAWEISHALSKEIKWDLHPSLLNERLEGTYFNENKMAYPRIAISTSNTPKTLQNIKAINTNDVSSYFLAPDEISDKSDNVLLVLADGLFDLSTNLRVLQLSHCAFSFASPPFIGCQNLRFLGLDHCKDNETTEQIDPRKWQFLHSLWVLNLLYTGWYQVFSKEVAHLIINLGELNIVGPECWQYIGELQGQLPDVHRLQIVKSMDQPKATTYVGNHLFEQTKLEILDLSGNTDMTSLPTNLSKARRLQVLVLDGCHGLENIVSDGLPPSL >AMDW01030252.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030252.1:71:324:1 gene:AMDW01030252.1_FG001 transcript:AMDW01030252.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGNDMLGKKPIKIDDSEEYIVHCEISSDSLDSDGNFSSSELDALILDIYKTE >AMDW01036832.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036832.1:14:448:1 gene:AMDW01036832.1_FG001 transcript:AMDW01036832.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPATHQPLPNTKVVSQTGTSTQSTVTTESAKSNGMAYPFDPEGGCSRDMSVPTDSMEQSSRNTSSHGLDPMVNWLLEAELPADEPWLDFTSSNEDDFSGIVKQSAWDGSTTDWLLDYQDFSMDDSSLIDGARVQNSDGLNF >KN541091.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541091.1:38:1569:1 gene:KN541091.1_FG001 transcript:KN541091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LKMPSDQQRCHISKAPDHIRSSNPEHYKPLAFPVGPYHARSGVPAPEKAQRLKEQCVDEGGGDGDVADGLRRLCDGIIFDADDDAYNYLRPVYQAVEEHCRSRTLRLLCWVRGHANCPNPWLLLGIVAIITLLCFIVQQLQHATLRKNAN >KN541091.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541091.1:31414:32397:-1 gene:KN541091.1_FG002 transcript:KN541091.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VVVLVGCGDSGMDIALDLLAVAREVHLSAKAVEAAMTPAMSKMLARHANLHLHPQIERLCDDGRVVFADGSVVAAADTVMYCTGYRYSFPFLDTEGKVTVDDDNVPLGPLFEHTFPPSLAPSLSFVGIPRKPKIKLMQAEALRIQSHGA >KN541091.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541091.1:28741:31104:1 gene:KN541091.1_FG003 transcript:KN541091.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRIEFLVVVTAVLFLLMSILDMFRHRSRHNLIKYFLLILDGISDSTLIYTIGLMQSVPSLKKDLFPVWALILVNLRSSVCFISAYGIPDQQNRRFTEVARVMALIGVAFLNTTFNSRFKHPIWALWAMQVVRCGYLLRVYRLATRSYLHGWSSPLLTAYMGTPDGVAANGDTATMRGYKYLVSGDQKQTVEVKPPEYKFTLFVPEHRRKMLVTLDKVWQQDASDTSSTDILTPQMKDMCLSFALYRLLRCRFDDLSLPSDSVVNTRRLISKIIGKGNADFATQISNYSEKTFRIVRSELAFLNDYFYTRYPVLFWRGFPIFASCHPVLTIAFTVWLGKDLYKIYKPKQGGANVDIIITWGFMFIIVFKELWKMIIYLLSDWTKVMVLCEYTAESFKHAPRWLCKGFLWLLCTRRSKIVHHWHNKVNQYEFLQSFNYRPCKWNILYYGTLGLFPRRRDGEKPGKSIELPEDVKSAILRSLCSQNLERDSLEPNFPILFSTFGLPCSHIILVWHIATSLCEIELSQRYNGCLTDSELQHAVKAGKNSQPYVVKEERLEGALQANYIVASCISRYCAYLLVSEPDLLPDTYLSSAEVFESTVKEASDVLKGSDNLQSIYRKLMYHGDVVNVDNMNRRHPSVILARSAQVAKSLVETELMARWEILAGVWADMLVHIAPSWNAAAHKKCLSTGGEFVTQIWAILSHCNIQESKLWPQQESPKDNEVEEHEASVGGNQAPAGHGGEMPAQGVPTRHDEDEPTGTQPNGQDAASAARQDDLEVEEVCAARE >KN540650.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540650.1:6810:9820:1 gene:KN540650.1_FG001 transcript:KN540650.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRAFFSRKGRGNSSGRSRSMREAAMNVDWSPRPSDLAAAKPRPPAAEDETERVFRKFDANGDGRISRAELAALFRSVGHAVTDDEEIQSHEQEEPAGRTGEIYMALKVTEPTCQELAEIPSCLVEASSHFGNVSLEISEEELAS >KN541091.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541091.1:3849:8469:-1 gene:KN541091.1_FG004 transcript:KN541091.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVENGTLTPGFIQFIVALTTLLMVIRFGLDALRHRSRGNVTQIVLATLDTVTHTMITYSLGIMQHRSATTSYYQLWAVLLVTLRYSVKIGRPAGIAMKQTPLFDLMSSFWAAHILRSHSVSMLLKVPGWLLWSINSARIIHGFISSADASNVHRENMRLLTDYMRHEHTTTVQVQRPDPSSMKGYRYLVLGEGKKLKKRELAEQGVDGVETTEAISRILLALTDENNDQELITLERIWSHQGRCSHDGCQCNLPPGCCDILDQKTKDLCLSFALYKLLRRRFFNLPIHEARLQKTRRLVVYGILGEGDAANYKRAFRVSEAETLQLYAIIFAQGFPWIRLVLSTLLIGGISSVAVAVYRFSKSAKEDELGRVHIHHGVYFTWVILSLLGAKEIWEMTTYVFSDWTKVLLLCKFVEQPWWMRCWVGNLARALMRMLLCSPPLFRRWHGKVGQFNLLFSRHSSIHLSQQVKEAVVDSLRNSVRQNLVLNNYLEQAISKNSLRIRLVRPSDNQEQEQAPQNSQADGGHRVSVEWLQGSQKKSVEWQLQDDVHTLLVWHIATCYCELKLAETRNVGANYTWLSWRGFGCRRRPSDADNPWRPHYLVSRTLSQYCAYLLWLVPPLLPGNSLMAKAVIRQVYRERNRLLGRRVYLPFSWCTSTTKVLDKLETYRSGEIQLFADEAGNANTTILRKGAELGMGLITAARSADSEALWKFLSDFWAGFVVHLAESTKASQHKMYLTAGGELSTHLWALLSHAGYLGATPHGDQTSDTVLQQPQPYNPDVQL >KN540650.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540650.1:38957:39277:-1 gene:KN540650.1_FG002 transcript:KN540650.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPVVAVIDGEKIMVPSGKILLANRLVDKILAIKRTLHIDDPGRGAQPSGAAGAGGEAQRGRVPNGRDALCPPGQACPVPDHDPEPLAIATRRATPWSTTTKRPG >KN540650.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540650.1:17960:27175:-1 gene:KN540650.1_FG003 transcript:KN540650.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSALLPALLKKAGESLSTEFSFIGGIEHRRSELYTLLLAINQVIYGAEEQASKKPAVKSWITKLKLAACDADDALDELHYEALRSEALRRGHKINSGVRAFFSSHYNPLLFKYRIGKKLQQIVEQIDQLVSQMNQFGFLNCPMPEDERMQTYSYVDEQEVIGREKERDEIIHMLLSAKSDKLLILPIVGIGGLGKTTLAQLVFNDVKVKAHFQKHMWVCVSENFSVPDIVKGIIDTAIGNDCGLKSDNLELLQQRLWEELSQKRYLLVLDDVWNEDEQKWEALRTLLCSCKMGSAVVVTTRNSNVASVMGTVPPLALEQLSQEDSWTLFCERAFRTGVAKSCEFVEIGTKIVQKCSGVPLAINSMGGLLSRKHSVRDWLAILQNNTWEENSILTVLSLSYKHLPSFMKQCFAFCAVFPKDYEIDKDDLIHLWISNGFIPSKETSDIEETGNKVFLELLWRSFFQNAKQTRSLKEEYIYGYKDVTTCKIHDLMHDLAVSISGDECYTLQNLVEINKMPKNVHHLVFPHPHKIGFVMQRCPIIRSLFSLRKNHMNSMKDVRFMVSPCRALGLHICDNERFSVEPAYMKHLRYLDLSSSDIKTLPEAVSALYNLQILMLNRCRGLTHLPDGMKFMISLRHVYLDGCSSLQRMPPGLGQLSSLRTLTMYMVGNESDCRLHELKDLELGGKLQIHNLLKVTNPLQAKEANLENKKNLQQLALCWDSRNFTCSHCHSADEYLQLCRPEEVLDALRPPNGLKVLKLRQYMGTDFPMWMEDGVTLQYIVKLSLRGSVMCVKLPPVWQLPFLEVLRLKRMERLKYLCYRYPTDEEYGNQLVVFQKLKLLSLEWMESLENWHEYDTQQVTSVTFPKLDAMEIIDCPKLTALPNVPILKSLSLTGNKVLLGLVSGISNLSYLYLGASQGSSRRVRTLYYIYKGEREGNTDTKEHILPDHLLSWGSLTKLHLQGFNTPAPENVKSRSGHMMSVQDLVLSSCDCFIQHEGLQSPLWFWKSFGCLQQLEIRYCDSLTFWPEEEFRSLTSLEKLFILNCKNFTGVPPARLSARPSTDEGPCNLEYLQINRCPNLVVFPTNFSCLRILVITDSNVLEGVPEGLGCQGTLSALVISRHPRLTSLPASIRCLSNLSYLELANNNSLTSLPEGMQNLTALKTLHFIECPGITALPEGLQQRLHGLQMFTVEDCPALARRCRRGGDYWEKVKDIPDLRVTRTWASSLSCLEILQHIQIWRPGVLKTYHESFAGDLELEPCKPEVVRGLRYDSLLLAGHDRRRGVQVPACRIATTMCLNGGRWPYQGQVFKGKQTYCETFPWSLETCLNLATCVSSTCTSRPCWMLFLHLILYFATLKIMDHPFVRGCGLNAVTDSQKQEKDCQRTEHSTDLKEHSKNVSSTCTSRQMSAFHLMYALSQQIKVGRAPPM >KN540650.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540650.1:12661:16965:-1 gene:KN540650.1_FG004 transcript:KN540650.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLQKEAQLLGRVGSDVEFIREEMESMNSFLEHLSRTAHLAGGHDKQVRTWMKQVRDLAHDCSNCIDKYLQSGDLAVHLARGHLRHYIWWTYWPVRKMVAQHKAALRLRELRDRVSDVGKRRLRYGVEIPKKEVAQILPSSTPSQGVVAAPGAAEDEDDDDDDTHNQVVAATAGGPDLRRRALVPRSLEDFCAEKLVNWVNSSREQEPGQQGSSSIPSIAIVALDADASAAAAQGALDLAAVHFNKSVSINLQKLHRPWDIPLLPQEILCYILFQCRQQGTGQGKSDYTNQREAFLDREKTYAEIWKEIDKINIQDKIEEVKSKIGEVGEITEEVENKKIEETKHLKAATDIPLDKPLGMLYQALRFIVNMEGPIMIGRSSEEIMQEVAMMLKWHMESVVPEPLIRLDYIQYQDILQKVFLDSEPPQAQDTSTSIATTLGEDHIKEILNNHNITLDNHKIALDIIRELLRRPQLLEGNSVKEQAKGVLQGGRDQNCVIDIDITETEEKMHKNPRETKAKVTTAAVEETKEKVEEISWPIKFSLFMKGIVDKIKVFLESKRTLFILIDDSEYISQWEGIKNGLNLLLLACSNGSAVIVITKNSQNAREFCTLGEPITCSLVGLYHDIVLKITNQKRNEGGNNNSQIFHDILEKCDPNEFCMRMFTHALYANPKRSEEELCRLHGSLQVSENSTDTYATNAKMIFKFSYRDLPREHKTCLLYLSIFPQGQNIKRSTLIERWAIEGLITKEDWPTVVRHGKRCFQALVDRQLVTPVELSAAGKVKSCKVDGLVHDFITKISKKEHILDMRLSQLRARHFSTFSGLRLRASDTIDTVVEKLPRYLHKLRLLKLLDLEGCQYHLNKNHLKVICSSILHLKYLSLRGTDVADLPNEINNLHELEVLDIRQTKVSEHATRSIVLLKLRRLLAGQVDPSTSHEMGKPLRSAVQIPRKINKMENMEVLSNVKAYSRNGAELKEIRKLGQLRKLGVVISNNENHLKNLLWAMSDLKECLQSLSITILKTRNEGSIPTDQKLLPYDLYNHLIQPPKVLESLSIDGFTDIVRLLTLFAKGSDELAKVTLRRTLLKKKNLVHITTLPKLCCVRLRQNAYTDKQLTFEKEEFPHLKNFLVEQLHETDMIDFKKGAAPELEKIVLFRTSIKHLCGVGVLPNLKELELKENGYLVLLPEDGTVSADPVILEDGTASTEPIIPKDGAISSEPTNKSTLTFKKEEFKHLKYLLVEGRHMQTDIKFEGGAPELEKIVLSNTNIESLDGVDGLAKLREIDLKGNRTLLSLFATANHIAKVTLSDTCLKIDDLKILAKKPKLCWLLLLDNSYDESQLTFNKDEFPKLKLLVIKCSKISDINFAEESACKLEKITWSFTELKSLSGIDNLPELKELEFKGDSVPLQVRRDISAHNKKLIHNKT >KN540650.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540650.1:41964:45668:1 gene:KN540650.1_FG005 transcript:KN540650.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVDAAASKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVAKYKAAGAKSVAVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRSVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGDPLLTERVWDAIVKAFASQLKSAFTASSFVKEIFTLGYPRLFSMIENLLERILRDTDVKGTLPALTPEGKNHMTAAIEIFQTAFLALCHSRLSDYINSIFPMSGRGIPSKDQISRLISRIQEEVEVVRTHGHLLARVLQEIGKVLLLLAQRAEYQISTGTEARQVTGTVTPAQLKNFALCLHLQDVHTRISSIVSTLPNVTSEKLSKSLEAIYTVACDSVSPLFKEMFDRLGSCILKMHEQDFGTHGMDATMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRSMASRVLILFIRHASLVRPLSEAGKLRMTRDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSQAKG >KN540174.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540174.1:1459:3954:-1 gene:KN540174.1_FG001 transcript:KN540174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDWGYGRVYTVVVVNCTFPSNPNAYNLGGKLLVHAYYSTASRRYERFVALEEAPGSYDDARFRPPFAYDYLYCGSSLYGNLSAARMREWLAYHARFFGPRSHFVLHDAGGVTPEVRAVLDPWVSAGRVTVQDIRAQEDYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRALEDVLAQLQPYTQFTIEQNPMSSKLCIDDPTEDYSRSNCLCHAISVGIQLDSRNRYTILQLAYEICSFLEGLVFFVEIIPFLLYSFTTAQARHHREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCRKFVPKPANGSKVMFEGIPYVYDDNMKRLAGEIRRFEKQTIGDVHT >KN538876.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538876.1:70516:85732:-1 gene:KN538876.1_FG001 transcript:KN538876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPLLASTSRATASVASSSSANCPDPMDEGPSVSVPGLPFAEQLRAAGRRASSGSPGGPEAQVQALASMSRGIYPLARAEALRGLAAVLETADAPGVVVELCHGCAVGLMRDEDEGVRLASVRLIALCADKLNTREGSDGNGDNQTDIMFLQRVSEGVLLQSLSKKVIKPNTGSGSIIKGEKVPPKLIYPCAAGIFAHGIEDEFHQVRTAACKSLGALSKLSAQYAQKALDLLMGMMNDDTEAVRLQTLQALFHMATYGCLTVQEMHMHMFLGLLVDMNASIRDAARKILGLVNLPKLQMFKSVIDVLITSLEKHQEEQEIYSVLFSVGKNHGNFSANIAKHLAKEISMPSDGELILDKPRIKALLIVSISVAFSDDKHNKRDIPEVIFSHAISLLGKISCAIGEVVDQNSLLSYFCQRTGIPFWETKLPSTEYGESEGCSVETVADIRPRIEKTGKSTKCLDEVLTMQSVKSIIETVERTWTIRKSCNIRDVRNILRTCKEELRILASNSSGSTGAFLSFLCEYLDAVQFIVEILRSFQLDNSYDLGPTSPDILLEKLDTSIRRMKCCYAGFNRGMEIQVCELALLANLFGLSKVGIQSKLVLDKLHWVINRLDCLCADGSCELSSFSREIKKAFDANFVGHDIFTLLELFHPKPTTDYGMLKTISADLQVRDNDPENPSTYVCGLPVAVSLYISLCNISSQDRLWLRMIVGESIQHTFLELSSFGGNDEVKSCSTIIPFYATPMACSFVLRACLVMECPYGSVSIHQECNRGPSGSIIELSDELDVYFVCTKRRIKFDDTLVRILLAAAVVSFVLALYDGAEGGEVGATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQCEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMDTEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPSDGKINEWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKKADSGKNLLLVKGAVENLLERSGYIQLLDGSVVLLDEGAKALILSTLREMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGSTEDISSKSFTGKEFMSLSDKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKFADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGVFIIWYTHGSFLGINLAGDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFNFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYMPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKED >AMDW01073145.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073145.1:49:573:1 gene:AMDW01073145.1_FG001 transcript:AMDW01073145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEEDAHLPVGQRRDGPGHDEPLRAVAEEALEGADGEEEPCGDAVGGEAGEEAERLADVLGDGEAVELQLGEPHERLDGVGVVGEGVVVAGDALHPHRRHEPQPPPPPRPAIASSAAATIALNSSEWLLLLLLGRVRGGGMGGRREVGAARRVSFPATAGAASAGCGLLGNSRRG >KN538893.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538893.1:143427:144270:-1 gene:KN538893.1_FG001 transcript:KN538893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSASPIPYRVGPFDYHPAVLCRCGTKAARWISWSVDNPGRRYYKCANARSGGCDFFDWYEGPTSSFIRDLLNDLRAAVFNLRREKDELQIGVEDGRSKALELDEATQELDTLRRELATVRSAANESEAKLAVLKDRNCRLENERIVLLLLLVVHVVIRHNGNMEFGILHLEVCWQYCNMAI >KN540788.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540788.1:459:7364:1 gene:KN540788.1_FG001 transcript:KN540788.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGGKLKEMEIEPVGLYQERAWSWRTLLMPRHKLQMPPGCSLRLGKVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQLSVVIVNQVDSSLLIFLVDHFFFLSWQVIAKARVPIVKFVERKSEIAFDISFDMDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRKKEHNLGILLIAFFDFYGRKLNNWDVGISCNSARTFFLKTDKNFANPDRAYLLAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDVNLITSLGPNRSILGTIVRPDSVLLDRKGWNKDATIPDMLTEPWEPLPRQFDSDNDAVYNWHVIDDEPLPRNTRSSSEDTSPSPTQKRKSSKLKQRSRKKAKADSSSGNNAENGFKRGKGLAQCDRSHQSAGSSRRSKGPREYDRFTNTLPQYTQYISRW >KN538893.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538893.1:191531:192936:1 gene:KN538893.1_FG002 transcript:KN538893.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQRRNAEKNQKSKGSQLEARAVGLKVICPICKHKYHYKAFSPVLIPTDEAAPFCPPPPVQLANEKQLIDHYGSKHPKERPPSPSE >KN538876.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538876.1:47330:48197:-1 gene:KN538876.1_FG002 transcript:KN538876.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARASAQCFGEEEYIDLDLSSCRGFEFRVCRSAAAPPPCGDEMLFRGSRPHKAKHQQEADAGGGGASEKLMDEEEHAASVRQRKSFSGVIKWRPPPAPAAAAARPPPPPPFPTRRSSSAASDPPLKRCSSARSESEGLIQGAIAYCKRSQQQLVLARKSVSDAALCSLQFQTQL >KN540174.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540174.1:53638:56128:1 gene:KN540174.1_FG002 transcript:KN540174.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARCCLVARRRSGVDRARGLWWQCGLAGAAIGAEAAKWSLTWRRRVDRRCGRRSLSAAAAEEIIASSAVFGFSDWSEERGSVTGTTAAGSGAVLAVAADEGGGGDGEGRGIDLGRTRGWGEGERMISVRGEENCIVCELFVVNGNRPNRHLSNLGYDEVIEQFAARTGLGYTRLQIKNKWDKLKIEYNCWEKLRTQTSLGWDSRQTEMATTERWKKLKSFMKAGLQNEELLEKMFEDIRNAGADHWSLGQGTILTSTTHAIHIADDNEIDEETYKVEPTIKRKRDDGGSKMDKGKKSKSGSQKMVEEMSKSNDLSAQTLPSIQSFARSREDPPGCSIKDVMALMEECGAVEGTNEHFIATEIFFIKQDQR >KN538876.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538876.1:17882:26825:1 gene:KN538876.1_FG003 transcript:KN538876.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQAYEYDQLGIRSVRRMARRQIKSQYNDSVRNIIIHSDISDEPLGNYSYKETLTVTFFFDSGTVLRKQTSNPGMLSDSMLAAENWRSKSKKASGTPMKTLIDEEFSKDVNARHTSPGVVGRLMGLDSLPSFGANNQHRYAQSHAEKSSPCCAHERRSFSECIPHRRSTDEMPEVKDVFEVMEATRMKIHRSPRSKNGNVTSTFGKTGSPDLDQMRQKLMDAKRLSTDESLQISEELSETLDVLASNKDLLLQFLQKLDPIVERDLHDHDSPSSTANCITILKPSRRNQFTDTDNIYSQDKGAESYFYKQKEVEHSQSRPYTKLPNQSPKEDSGSLRQKLSRSSHQEISDKRVCSTRIVVLKPSLDKAQDIEGAFALRNELSRFDFRRHKPCHGDAMWSPCTEEYIGPLRDSETFDDVAKGSKEIARGVMKQMRAARGVGTRKHIFKPETSTFVSDERSQPLSSRSNVKSSEVFHRSSELHDGYASSSFTSSPAYSTETKVSREAKKHLSNRWKATHRYQHQADKNNGFSMLGDMLALSDREASKVATQKISNRKYPKGESQKDRMTSTCNSPLGISSNDGWRDVATGSLPRSKSVPTPFNRGVQKSNNRKRTGRHNEFSMLKDVLKVGPYDSEHACNSRNRKSLFQDATFHSDGADRVSSDNEERAIIEREIHVNSEEPVNGIALANPSKETLLHPSNPDNELDTVYYLDTSPVVPGQKKELCSPDRQNQQMHQQSPIESDDHLLVPRLNISMTQAEGIEQHQCNDNPVCNFEEKSASAMRIDDHQSDGNQVPWMIPQTGSESPVSSDKDDQQSPVSVLESSLDAEDIYSGLRMQLRLLKMEATDSADDTELISSDDELTTESQPLPDKEISPTFRDEEERDFSYVLDMLIVLGVNAANRDQLLDMCYLSECPAGSDVFDVLENKYNSLILWPSPERKLLFDLTNDVIVDIITSVMQHSSKGLSWSCSSRLDQEGFVEVVWQRVVELRQEMEYAHEGLFMDLGWVGSEDGIDLVASEVGKMVHEDLLQETISEFLGVTKSAMICGWNEP >KN538893.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538893.1:117966:118517:1 gene:KN538893.1_FG003 transcript:KN538893.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGPRVEDPVLEEVQPWETAVDELRLSMMDLQRKVEEDHKLVQEQLQNQAVQAQVHKDEVMQNLLSIQQMLGGTTSCMGQEIKGTPKTPGFAQSVVGGGKAVDTNAASTSRHPLFDYRNLSCPITTQAIETTDLPPTVFTPKPVSTPPSEWNTSVYFTTPTVPPPIPPVPPPPPNQNNLPQN >KN538893.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538893.1:148592:163423:1 gene:KN538893.1_FG004 transcript:KN538893.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSASAGQKVPLIDASMAPKMEEACPTTPEEPRHLSPADERLAAKESDGELNKSHAEVCREADKNSAAYLLAKRAKLQALIKEEWAKMDFSRVHVGDWDEEAGCYKYVQLKSCITESPYKPLSCLCFHESKFGGLSPNRSSGRRDTLIGPVCCGNLWSSGRCSALISPPRTANSSGVKRNMVEGLPAELPIVDVAARLDGKSSELPENGALLKDAEESQDLGGNPVAELTLHEGKEVILVDDNDSEQEDGGSGKVDENAPRVGLRFKTYDDALKYYKQYAVDSGFSAIILKSSYLKSGVCRRLVLGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLDHNHPPNQSSVSHMNCYKKLTDAKNEETASRSKGRRNVPIGDKEQGSFTEIGRLKFGEGDDEYIHNKYEIILENKYKKELQADEESSHRTPLTVTKFYMEEQLAKEYTINMFKKFQDELKATMYCDGMPTKVDGQFVTFVVKECSYMEDGKEKEGRNYEVYFCKQELVNCECECGFFQFTGILCRHILSVFKLQEMFEIPIRFVLDRWKRDYKKLHADALCKNDEMLPDVLPDGIIERHDILFTQSRQVLNLGFISEGRYLVALKLLRQAEKTLLDDGLPRDRQAGLLLSFEAEAPENDEGIFSPEFSEGVKNSQSTNAKRRGRPTKKLIESDSDTVLRPNKEQDFLRSSFVTDESNMIQGAPSASHLESPHLGVDLMEGIPPNLSFNHHFGMDVNHQHQVPNQPRMLPSNFLQAPSDSQGYGNQWAFPTLQVFLQMYIYKEMTDTMTPPALVITTLTNLRILRQQHIKRQDPSLEESDGEDIGVPEVGMVFNNHTEVNRFYRRYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVELAILDHNHPVSPAMARFLNSYKQLSGPAKRRLRMGGPGAMPVEEPSKMPVDKLGALEELLFGESKHHSFVERGRLKFQPGDSEALRLFFTQQLSKVYTHNIFKKFQDEIEAIMYCHVSFINVDGLISTFDVKEWIFLEDGKRTMSKIFTVTYNTDKNDLTCICGGFQFNGILCRHSLSVLKFQQVREIPPHYVLDRWKKDFRQLHVMGRPSSDVVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREMEKFMLNSNTHDDTQPRIKSRVPKANKPNTVVGQNLVNVGTYNGNDGPKATTEASSLTQGLDVQKGGAEKGIVPAGYIGLPANVQQFVGSQTAIRPSIVYMVPSGVDPQAFGNGVLMPVVYQQMFQVPQQPNGTIPDTSANGKRKRPRAQKPTETSEQSNGTPATGPTSG >KN538876.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538876.1:136531:139034:-1 gene:KN538876.1_FG004 transcript:KN538876.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPISPGRRLLPVVVLFVALCSIPGEIWALASPKAENWLQGKVLDAIGLSSSIGVAGRESRNDDLWSPLYSNRLAVMSPGDSTTDARSQCDTSLKYITFCGVEEYMEGIFSQRFVTLDTIDIFITHEWFPSKPTVYFLCNGEDKVYLPDVKDANNIYTFKGEESWQPLTELPEKKCKRCGLYEEDTFKHDLYDEWELCSSDFKKGKYTHFKEGQFNATFLCPNCTAPAGDSANHDSSSEVETKKSSVTVIIIVSVLSSVLVIIALFGGYKYWLKKKRERDQLRFLKLFEEGDDIEDELGLGNEL >AMDW01073145.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01073145.1:11564:13586:1 gene:AMDW01073145.1_FG002 transcript:AMDW01073145.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MLRKVAVAFLACAALYLAFAAYSRRESLGEVRLPAVTNRVYLDVEIDGQHIGRIVIGLYGDVVPKTVANFRALCTGEEGIGHKGKSLHYKGSRFHRIIPGFMIQGGDIVRGDGKGSVIAMANSGPDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEV >KN539792.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539792.1:61333:69113:-1 gene:KN539792.1_FG001 transcript:KN539792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSWKTLLFCSFHISQTWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVEHEFKLVGLHSLVGYNVVTSRRRNVGKVRGFTFDINTGAMESLELDSFGISIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAISRVQRLTQRRLIYWHHTSAAARIYEPQLFQQRHTVRGSGQAAVDPGLIPDGILLVLDGVDATYSYLLAAMAKEEYYYLFSFSFQRVLKSVNALSKPEDGRLSGRCNIRAQRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDLAFSGATMDSSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQKNTSGRIEGPSEEMHPNANGMDIDSDKEETYNVEGMAENSEDCRVRGGGDIDAECYLNSPKHEVPLHQESKSDSELKEATKTEYEETKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPHHHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHNCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSSCNRLVQLPTDFAVPSKGTRRLKCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRRRRSPDLYESFGERTPAARTSDTKGKAICVADEGEHPSAKVRGGRGLPLPGILKKGIHGLESLKLR >KN540174.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540174.1:17866:20778:-1 gene:KN540174.1_FG003 transcript:KN540174.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRTLLLLLFVSIAIHRAVAQTTTSTAVRGDGKRFVTYSFPSFASALLHLPANLTVLNNASISQGALQITPDSSNSADGYLVNQTGRVFFSTPFTLWSPAPAAPGGGNGNGTYVASFNMVFRVNIFRTNTSDPGEGVAFVVASGLDPPPPGSYGGFLGLTNASTDGDDANRFVALELDTVKQGYDPDDNHVGLDVNGVRSVKAVPLAPFGIKLGAANASNYFVWVDYNGTSRHVWMYMARSDDGVPSPKPPSPVLDAPLDLSTFVAEKAYFGFSASTGTRFQLNCLHMWNMTVELLDDGSSSSGGGQTRRKLGLGVGVPCGIAALAAGAVVAFLYIKKRRRRVGDDPESLSSPAVFKFNKSSINLRSLAGTPKEFEYTELRKGTEDFAAKNKLGQGGYGVVYKAVVAGDSDGESVEVAVKQFSAANTKGQEDFLAELSIINRLRHRNLVRLRGWCHQNGVLLLVYDYMPNGSLDKHLFGSAAVLSWEQRYNIVAGVAAALNYLHHEYDQRVIHRDIKPSNIMLDSAFGARLGDFGLARALDSDKTSYTEMVGVPGTMGYIAPECFHTGRATRESDVFGLGAVLLETLSMGNIILQITYTRPQGRSTGQHGLFLHQRKIIDD >KN538876.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538876.1:5355:6150:1 gene:KN538876.1_FG005 transcript:KN538876.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDSPASYIHMVQHLIEKCMTFGMSMEECMETLSKRADVQPVVTSTVWKELEKENKEFFDKYKQLRSEKGGVSSS >AMDW01073145.1_FGP003 pep scaffold:O_longistaminata_v1.0:AMDW01073145.1:9065:10825:1 gene:AMDW01073145.1_FG003 transcript:AMDW01073145.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADNDKWALEKARALQDLEEEFNQQIASILSCYQLPEHIRLDLHEQHRNDYRVPDDLRLKFVNAVFEGKSWMLDQDEELRVQARKESEKFWVEAAGAAKKAQALQDMEERNMQLFFKHYPGVQDMPDHIREYCFRKFMEDARDEVDVRFGIRNHEMRLRIRAWEESQQFLIKTMADGRVAKKVQALQDEEKRYVQGVKKTFDSENISEYFQQAFLQQGLLDNIRLLFIDDIEEKFNMPDDEEEPKGYISEDYNRLKAQALQDLEYKFNQQTARILKRYDLPEHIRLDLQEQHYNNYKVPDNLRIKFINAVFNGNPRILDHKRELKVQACKEAEKFWIEAAATAKKAQALQDLEERYKQQFIKPSYAREDISEHMQEYFLRERKITDKAYLEYKNNVEDKFAIRNHEMQLKFLAWEKTQQFRIKMMADEQAAKKVKALQDMEERYVQDYINKVERLDVPDYIKQGVIQEYKVPDGTRLRYINYIEEKFRMLDDQEERKVHIWDNFKNLKIPLTIKSPITVAIMFSIGIMILFSGFLVPKMPKSLKIMCWATSIVICFAAVLSYDNESSKTTAPTEMHDLENPPSI >KN538876.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538876.1:143365:148660:-1 gene:KN538876.1_FG006 transcript:KN538876.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLTNFQNEHIVVLYNPKTSPSVPPQQTTGFLPEKSREKAQEHGRMCVHPHHVLVQGLQDKIKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDTNFEGPALLPDDSEKQQFAEELLAYTDAFNKASYSSIVAKGDVSDEAVAALDKIEAALAKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSAIKNYDITKGRPNLQKFIEEVNKIHAYTETKQDPQFLLEHTKKRLGACKFAMEVLPPTMPSASKQPPLYDGETRLYMSYICPYAQRAWIARNYKGLQEMIKLVPMDTNDRPAWYKEVYPKNTLPSLEHNNKIIGESLDLIKYIDINFAGPKLTPDVDIAYAPFIDGFQTLFAGIKNYDITEGRANIQIFIKELNKIDAYMHTKQDPSEVIALTKKKLGVRTYDDIHIDQLVKDAGIG >KN538893.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538893.1:176148:180427:-1 gene:KN538893.1_FG005 transcript:KN538893.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAACEGQGEVVRLLLSWKANMNARDRWGSTPAADAKHYGHFEVYNLLRARGAKTPGHYVARWYGSKVFVKILDKDSFSDANSINEFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLETKGRLQPYKAIRFSLDIARGLNYLHECKPEPIIHGNLSTKSIVRDDEGKLKVAGFGSRSLIKVSEDNPQMDQTTSKFNSVYTAPEMYRNGTFDRSVDVFAFGLILYEMIEGTPAFHPKPPEEAAKMICLEEKAYTGVLGSNTFGQADVRRDNRTPEQDIHEFY >KN538876.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538876.1:134941:136149:1 gene:KN538876.1_FG007 transcript:KN538876.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQEHEAESWPPAIYHQMPAILEYLEDHRVVVVSAAPGSGKSSVLPRCLAESGYGPVLCAQPRHLAAFVAMAKVGEEWDSDVEFTTTRQLLDRFSSPAPAVLAGYGAVVIDEAHDRTLGTDVLLGMVKAALATGTTMGGRCKVVPPGDVLVFLPENADITDVHARLLGLPVLGLAVRYVHDNLPAELIDIMLINSPVPDGRRRVVLATDVAETAVLVHGITYVVDTGLVSEQPPVRISKEAADARAAIAGFSGPGRCHRLYQPEEYDDLDEHTIPHIRQDGAAVKFALMVKRHAADGIPGFEIFDPALEPAVLKNVFGQLVNGGYLDKLGNLTDKGEREAYDED >KN538893.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538893.1:111449:116404:-1 gene:KN538893.1_FG006 transcript:KN538893.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAPLFSSVLLALVVAVPADFDVGGRLLGVGLCSVRGDPNEHYDPHGDLYLRPLLQLDSVHQFLDDVISLLVSCISAYFFWKASSAMGASTSTKRPLTSKVTNEGENDRVKYASSAMQGLRMSMQDALAVELDLDALKSTSFFGVYDGHGGAEVAMYCAKRFHVMLCEEESFLNNLPYAITSVCSRLDDELEAPNVWRASLYPHRSSESSSESSDCFQFLSTGSCANVWRSSEAVSYKLPSYEESTACVVIIRGNQITVGNVGDSRCVLSKNAGIFLTTISGDFAYKNIVYRPQYQMVTHFPDIRVAKITGDTEFLVIASDGIWDHMSSQDVVDFVHEKLNSGEETLRSTCEKLVENCLESRNNATATLVQFKPGADQPIPALPNIQEGSDEVAGVAANQPIPVLPNIQEEGSDEVAGGGAVAEQHQDNPEGGGEQQLDLDDALDGEALALLFGQP >KN538876.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538876.1:96381:98675:1 gene:KN538876.1_FG008 transcript:KN538876.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFRSRRTLPIEEDGEDAPRADLLPGHQRSDRRSASSTNLDQHVVLGSHAPGHVVVDVRPTAANVDGGGNLELDPVGRMPVHFVLAFHDLTYNVGRPRRMAFWRRSRHVETDATTARGGGARAREGALLLDGVSGEAREGEIMAVLGASGAGKTTLIDALADRIQRDSLRGAVTLNGEPLGGRMLKVISAYVMQDDLLYPMLTVAETLMYSAEFRLPRSLSASKKASRVQELIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQGIAKSGSVVMMSIHQPSYRIIGLIDRLLFLSRGRTVYYGPPASLPLFFSEFGHPIPDGQNPAEFALDHLSLPLKEAIRMSIARGKLVSGATTSTGDNGTSAAAASSTAKVATYANPWWAEVWVLARRAFTNTRRTPELFLIRLATVVVTAFILATVFWRLDNTPKGVNERFGFFAIAVSTMFYTSADALPVFLVERYIYLRETAHNAYRRSSYTVSNAIVAFPPLVALSLAFTAITFFAVGLAGGAGGFLFFALIVLASFWAGSGFVTFLSGVVPHVIIGYTVVVAGLAYFLLLSGFFITRDRIPSYWIWLHYLSLIKYPYEAVMQNEFGAEAGRCFMRGVQMFDGTPMARLPVETKVRVLEAMSRSMGLELNSGSCITTGPDILARQAVTELGKWSCLWVTVAWGFLFRALFYLTLVLGSRNRRR >KN540788.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540788.1:36286:41722:-1 gene:KN540788.1_FG002 transcript:KN540788.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ERKVELRNGAIEDGPPQKSLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFKGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFILAATNLPWELDAAMLRRLEKQQEARHAMFEELLPSIPGTMNIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEGRQEKVPEDVAEKTEPYKETVATKEEFIFLHGASNAT >KN538876.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538876.1:40144:42738:1 gene:KN538876.1_FG009 transcript:KN538876.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRCRAHAVLMDAKNQQSGEGQQRPAQQAAGMDPAKAAAQAATRRKKMTKQLTGKRDDTALHGAARAGQLVANQAGETPLFVAAEYGYVALVAEMIKYHDVATACIKARSGYDALHIAAKQGDVEVVNELLKALPELSMTVDASNTTALNTAATQGHMEVVRLLLEADASLAVIARSNGKTALHSAARNGHVEVVRALMEAEPSIAARVDKKGQTALHMAAKGTRLDIVDALLAGEPTLLNLADSKGNTALHIAARKARTPIVKRLLELPDTNLKAINRSRETAFDTAEKMGNTESVAVLAEHGVPSARAMSPTGGGANPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKQINKLHDEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDAGSLSPGQALGEANISHQTAFLIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGKAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSASGMSEAEWVEEEFKRMYAI >KN538893.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538893.1:193522:194736:-1 gene:KN538893.1_FG007 transcript:KN538893.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVHPLLVAFLVVLLVGTCQARPAPGKAASSSSSGVVDGITAIYNFGDSISDTGNYLREGAAAGAMMEHTVAPPYGAAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDKGADFTHGVNFAVTGATALDAAALARIGVAAPHTNSSLSVQLQWFRDFMGATTKSPAEVRDKLASSLVMVGEIGGNDYNYAFAANRPRPGGRSAADVGRMVTGVVESVVLVPEVVRSVVGAAREVLEMGATRVVIPGNFPLGCAPSYLAAVDETERAAYDGNGCLVGLNLFAQMHNVLLLQGIRELRRSYPEATVAYAEYFGAYVRMLERAHEMGFDGAALTKACCGAGDGGKYNFEMERMCGAGGTAVCARPEERISWDGVHLTQRAYSVMAELLYHKGFASPTPVKFPHQ >KN539792.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539792.1:8556:13900:-1 gene:KN539792.1_FG002 transcript:KN539792.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAGKKLGRGLLRLESLQSLSLSGNNFSGDLPADLARLPDLQSLDLSANAFSGAIPDGFFGHCRNLRDVSLANNAFSGDVPRDVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDLSGNAITGDLPVGVSRMFNLRSLNLRSNRLAGSLPDDIGDCPLLRSVDLGSNNISGNLPESLRRLSTCTYLDLSSNALTGNVPTWVGEMASLETLDLSGNKFSGEIPGSIGGLMSLKELRLSGNGFTGGLPESIGGCKSLVHVDVSWNSLTGTLPSWVFASGVQWVSVSDNTLSGEVFVPVNASSMVRGVDLSSNAFSGRIPSEISQVITLQSLNMSWNSLSGSIPLSIVQMKSLEVLDLTANRLNGSIPATVGGESLRELRLAKNSLTGEIPAQIGNLSALASLDLSHNNLTGAIPATIANITNLQTVDLSRNKLTGGLPKQLSDLPHLIRFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLSQPEPTPNGLRHKKTILSISALVAIGAAVLITVGVITITVLNLRVRTPGSHSAAELELSDGYLSQSPTTDVNSGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTANCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGDAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLALEILTGRTPVQYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >KN538876.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538876.1:29241:29780:1 gene:KN538876.1_FG010 transcript:KN538876.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQGASNLVNGNNVPYVAGLGGTSSAAIVQGNGNGNGGNKNIPFVNAGDLPSGATLQNLLFGTTTVIDDELTEGHELGAAVVGRAQGFYVASSQDGTSKTLVLTAMFDGGGAEAHGDTLSFFGVHRMAAPESHVAVIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFSIHLI >KN540788.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540788.1:32767:33504:1 gene:KN540788.1_FG003 transcript:KN540788.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRTVVFSAPPASPDCIAAAHVSSASNIAFWQPGMSRHWIASRPEPDVIQDIIYYSGEEKQGFHVLTNREEVLVFAPRAGRDPNALLEMTCASYQLRRRANHLPASFIATRYLVESRGKLLMVVRHCTGNPRVRRRTRMFRVFEMSLLPTGAYWLEIHELSGRALFLRRGCSRAVEVSQFKMLKEDTIYFLDDANVDMCDSMVMNNGSRYNMGIYRDGKKIRAGSRQFPRGFTADCSPPIWLVP >KN538893.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538893.1:165052:171977:-1 gene:KN538893.1_FG008 transcript:KN538893.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYLLDHVNAPTLSLSPFSTFQHGRSGSEDESRIGQRFLEQSSGDSKWEQGQYGVKLIKGDLLALGNGLLRRPSHVISLPAYGIGRKEFGLPMGARHLLQSVRTASTATAGQPKLDIEDEQSEDQKQNKRKKEASPEECDQAVEGLSSAKAKAKAKQVQESVKAGQSIVRKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEIKQTAEDLDEFLNKVRRGDHVSNDEILNFAKLFNDELTLDNMSRLPLLIYLPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPKAAEEDLALKEMTGPTAREEEELREAKQHDKEKLCNFSRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYMAAREESDKAAEVDEEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQILDRDLDGKVTPEEVASAAAYLKDTIGKEGVQELVSNLSKDKDGKIRVEDIVKLASQTDENNEDEEEGRQ >KN538876.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538876.1:57636:60661:1 gene:KN538876.1_FG011 transcript:KN538876.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGHWNFVYPSILQNNLDLLAKKRRNRFAIPLQYHQEQEKEPISCFGISIEIPFMGVLRRNTIVAYFDDPRYKKDKKGSGIVKFRYRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGSPENKYRPREDKYGTLEEDSEDEHGTLEEDSEEDSEDEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKGGGDSRVGGGNGGSRAGSGGVPASSGGTVVPQLSGLAGFSCGVPSVPSQLGLTKFSYCLLARRFDDNATDSDELILGGAGGKDDDVRMHYIPLAQNASTRPLCSVYYYLALIAITVRRKSVQLPKRAFVAGELEEAPLSRRRDSA >KN539792.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539792.1:42895:45383:1 gene:KN539792.1_FG003 transcript:KN539792.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMPWSYFAGIFFRFDSVTFRRTQESAGRHEAMQQKTGLVEAIIVEIQDRSKIGGSYLHSDAICCTPELDKEKSCKVGEVIIQPNPDNPDWPKRVQTFFSGRDEEASMVTQVVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVVYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLALSVLISIAWIGYELYFNATDPLSELWRRAWIIPAFWNVLSYVLLAIICILWSPSRNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDIVNMHVFPEDKRA >KN540788.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540788.1:8611:12234:-1 gene:KN540788.1_FG004 transcript:KN540788.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHSEGTVNFRCLEKNLRQVQKSVQILQDKHEISETPNEFSKLQIAHEFPARANEASAFSTFGARENDHSTQVAKHEVAFVPLQQVNAMQSPAVPVQSSNGYILQQLVPVSLSTQPDQQQPSQAAVYYMQSQNPIKYTESEPSESAVHVIQPQIQNPEARVAVDLSQKSSQVTELYPQPQDQRLHLPAQQVESQAWRTQPLVVQPQQYNIQQVPPQLVQQQTSSPQAQSAPQVAVLYPPYSSQKPASATTEPLLRNMVVHSPYSSPQQKHHEAMPSFYGQGNTVLLPSTDLNIQHQQPQPLQQHGLSSCPPQPSKPNHCSVASYAVQGSGQSYSATFKNPSNCAATVVAVLPQHPASGPMAFHHLGPQVVHNQPFGNMFETASVVGYPRDRVESVALPVVAMLHPHENGQVQKSVQILQDKHEISETPNEFSKLQIAHEFPARANEASAFSTFGARENDHSTQVAKHEVAFVPLQQVNAMQSPAVPVQSSNGYILQQLVPVSLSTQPDQQQPSQAAVYYMQSQNPIKYTESEPSESAVHVIQPQIQNPEARVAVDLSQKSSQVTELYPQPQDQRLHLPAQQVESQAWRTQPLVVQPQQYNIQQVPPQLVQQQTSSPQAQSAPQVAVLYPPYSSQKPASATTEPLLRNMVVHSPYSSPQQKHHEAMPSFYGQGNTVLLPSTDLNIQHQQPQPLQQHGLSSCPPQPSKPNHCSVASYAVQGSGQSYSATFKNPSNCAATVVAVLPQHPASGPMAFHHLGPQVVHNQPFGNMFETASVVGYPRDRVESVALPVVTAAQPADSVAMADKLNAGSNVTSPREWSG >KN538876.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538876.1:88727:91091:1 gene:KN538876.1_FG012 transcript:KN538876.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPLFDRRPSPMEEAEGLPRSGYLGQLHHHQYYQPQSNMLPLEQSPPTSTKHTSVTLAQLLKRVNDARSGSSTPISSPRYTIELGGSKPESVSSESDDHRSDDGGSEGQPRALVLKFTDLTYSVKQRRKGSCLPFRRAAADEPELPAMRTLLDGISGEARDGEIMAVLGAERESLHGSVTINGESIDSNLLKVISAYVRQEDLLYPMLTVEETLMFAAEFRLPRSLPTREKKKRVKELIDQLGLKRAANTIIGDEGHRGVSGGERRRVSIGVDIIHNPIMLFLDEPTSGLDSTSAFMVVTVLKAIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPSELPPFFLDFGKPIPDNENPTEFALDLIKEMETETEGTKRLAEHNAAWQLKHHGEGRGYGGKPAMSLKEAISASISRGKLVSGATDGTVSVAASDHSAPPPSSSSVSKFVNPFWIEMGVLTRRAFINTKRTPEVFIIRLAAVLVTGFILATIFWRLDESPKGVQERLGFFAIAMSTMYYTCSDALPVFLSERYIFLRETAYNAYRRSSYVLSHTIVGFPSLVVLSFAFALTTFFSVGLAGGVNGFFYFVAIVLASFWAGSGFATFLSGVVTHVMLGFPVVLSTLAYFLLFSGFFINRDRIPRYWLWFHYISLVKYPYEAVMQNEFGDPTRCFVRGVQMFDNTPLAALPAAVKVRVLQSMSASLGVNIGTGTCITTGPDFLKQQAITDFGKWECLWITVAWGFLFRILFYISLLLGSRNKRR >KN538876.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538876.1:108026:111726:-1 gene:KN538876.1_FG013 transcript:KN538876.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVAESGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGTPSRIESGTGNVAPVDDTQSQKFLSLGLHSASRLNGKINSSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSNSSFGRLPNLDLNVPLDPHDPAESLPIVPDSSNILYHETVQLQKAHVPPVAPVSTMSNGLRRNIDSTSNLSDAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSGKHVEESENNAGLNLSLSGKHVNESENNAPNVAVRSEPAESAKRITREVVIPRTDKSPVEEVVKLVPLNENPHKTISSTVAGIERMASGCLVKKEPEEQSQRHAQNDVEKAQPLESQSVGLANNRAEIEKPDGAHQVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSPTTASVSSRCSPLMATKQLPLGDRDASRAGLRVSASQPSLPTEPCCNPDEANVDCKPKMSHVNSRNAVEVCGPLQSSSNPIPEPSISNSRNRFGFDGMSQGSAEMDCSEDDDNIVSQLSTTNKPHGGTLGNNQTSDSMGLGRNLQKEHDSNTHQNCSFVTNKIDMQGISDDKRIYVKDGVSPHSCQNSHQSGNVVNEESKNKQLLGLDKNTPMNNNGSTIRVKTITGSSTADPRRLSSLETSTSPKIESIMEPYKESGSCLEKSTTPKIKSKGCQSPLGKQAANCSEDHVENAAVKIEHQTVSEEVAKVSELHPRDSVLGEDSHPDGASSSQPHDECGMVKSASERSECDKSKPDSCRTTSVQNERDGQVDGPHWRGMGYPYVNVNSKRDWVSSSIWNERWERFMQSERENKGEYHDHRYGGRGVGSRGHPRNFRGPRMNESELYFDDEPMARRRRPFVDDLGHMQRIPHRRHRSPPMNNQLQGSLMRDMGIDGFSGRDVPDPRLLAHEHMEDLSDDMMEERFYVPHSRRHHIQGDHAFIHRNRSHSPGQRRGAPMHLHRGRSPETMGRSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDTFEPHLHPAQLAELHAEAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGNSGLPPGELDGRFRRHRGRDEEEEDHRCRGPHGWRDGSRAKRRRY >KN539792.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539792.1:32556:32936:-1 gene:KN539792.1_FG004 transcript:KN539792.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEGGVPAERVAAAVNDLVEVRDGLVRLRGFLPPPPQAEQSSSRPPCAAELMDATMSKLMSAMATLGGSGDIAGEVDAAGRWTSVAESADPMVVRREGESSAGRTRRRRGGGSRSKPELPLID >KN538893.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538893.1:125405:127753:-1 gene:KN538893.1_FG009 transcript:KN538893.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNRADVHIFLCGFAISVNNAAVSFNEINTNSVEHAETVLRTNFYGAKMLIEALLPLFRRSAANSRILNISSQLGLLNKVRDPSLRSMLLDEASLTEGKIERMASRFLAQVKDGTWSAPGRGWPAVWTDYAVSKLALNAYSRVLAARLARGGDRVAFLSNITVRMHDNGFN >KN538876.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538876.1:132333:133085:1 gene:KN538876.1_FG014 transcript:KN538876.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRLPVVPAIRVGSQLHLAAAYTAPGAGRRGSSGAAGPTPALMADVRVVVRRHFPVGPNPTKGAGGAVIVQKVAEDIAVRRQPSRGLRSPESVERVLAERVLPLVGHPFDRRAVAVASKQICAYVSVACADPRVAHGGVRVLVLVDTFACGTLFHAAPPRTQCPDDVSSLEVGAVVRTCPCMEIVGPGSKKDPQAPTSGEHGGVVSTCRCMDIRARMPSKKPRSVGVIGDGRPAKDGGEDRLKGWVPW >KN539792.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539792.1:17266:23209:-1 gene:KN539792.1_FG005 transcript:KN539792.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPVRWAVVVVVMAMVEAAAGRFVVEKNSLRVTSPEGIKGKYECAIGNFGVPQYGGTLHGWVEYPKSNQKACKSFEDFDISFKSTRSGGRPKFVLIDRGPREFAIYRTDLLLLIPLSGTECYFTTKAWNAQNAGAAAVLVVDDKSEPLITMDNPDDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKAANESGKPWLWWDYVHDFSIRCPMKEKKYTPECAVHVIKSLGLDVEKIKKCVGDPEADEENPVLKAEQDAQIGHDKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSEDVQTNECLENNGGCWQDRDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEALVCSPIPFVQHHLIIIFILAVNYNLVDILPSSASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEAANQHHVAHAGDDI >KN538876.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538876.1:49500:56078:-1 gene:KN538876.1_FG015 transcript:KN538876.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAGSRSYKSMRLTAKRLEDAALSYRGEDRVQLLRRWLVMLRETQRAAAAEKEAKRAGHPDQQLPVLDLYMDYETGAEPMNFIHVFLYSQALECLVLSMAKRAELLQFAQCAISGLKINPEISRLDDEILQLQQRINGMDALRSNSTSRRSKASQTVAEVNKLKVLSESLANSAAKAERRIMENRLQKEESLIFRVTKTNEVSVTEKEILAEISGLQKQKDLLEDELKKCMRTGFTVLRSYDPLIEIFVRVILKLRLQEEDLSKSIASCKVESSTVGAWIIFLEDTWKLQSLYEELRKKQTNDELDKCATCFAKLINHHLYARVEELSTCIDSIKTFVDNLKIFDNRSVSAEDGNNGSSKQSNPRKYLEEEYLEAEKKVVAAFSLVDNIRAIYLSNQDYQARRDDPDVKKLFANIDKLRVEFESVPRPLLQIEIKEREERAKQSRSLQAARSSGQAGHESPIPAQLRTRLPSESDSELAKSDPEYREYSADDISGWEFDDLEDDGARLSVKSI >KN538893.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538893.1:104648:108203:-1 gene:KN538893.1_FG010 transcript:KN538893.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASSSVTSKLTNDGENERVKYASSTMQGYCPTMQDALAVELDLDALRSTSFFGVYDGDGGLIFTLIFFQQMSNYSSVSITDLRVLDDDLQRSNEWKESLYPRGNGECFQFLKTGVCANLWHSEELGFLLPQQAYRAPLYEGSTACVVIIRGNQITVGNVGDSRCVVSHNGQAIDLSIDHKPTVGSERERIVRAGGRVLAKRIPVMGSDGRLMRGWGYFELKKNQNIPPSQQMVTCDPEFTIVDITANTEFLVIATDGIWGHMSSQDVVDFIRKELHSGEENLRAICEKLLDHCLTSRDNVTVILVRFKPGAAVIPILSDIDEEPVLSDVEEEPHEPQHNPGDGGGGGGGAGGQQDIGGESEELPLAHFPQE >KN538893.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538893.1:186690:190518:1 gene:KN538893.1_FG011 transcript:KN538893.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNLEIPRAETFYPNLVKTLALFIENLLSVEDLSKEPQVERELMLIKINVEPDQRPEVMVLVDIFRAKVVDISENTLTIEVTGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKNSLLTSVNKTVNGSFDQPSNAGGDVYPVEQPYEGSSMNQVLDAHWGVLDDEDSSGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKSGLSRITTVAPGTDESIEKLVQQLYKLVDVHEVQDITHLPFAERELMLIKVSVNTAARRDILDIAEIFRAKSVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEIICANTVTVLTHIVSKTHSPHVMDTFAEVNTCF >KN538876.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538876.1:30281:32033:-1 gene:KN538876.1_FG016 transcript:KN538876.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEK >KN539792.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539792.1:47461:52909:-1 gene:KN539792.1_FG006 transcript:KN539792.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRARMRWAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRGRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYSRQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELLALLSQPLGERIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADISMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDSEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHHGDVSKLRTSNGHMSESGVHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >KN538876.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538876.1:67315:69834:1 gene:KN538876.1_FG017 transcript:KN538876.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLEMLVQLAVVVTVLCAAVRAYTPADSYLFLCGTSGNATVDGRTFVGDAGLPASVLAAPQSTEANTPANQVTGAGDDSPALYQSARVFTAPANYAFSAKPGRHFVRLRFFPFRYQSYDLAADAAFNVSVQGVVFVDGYTPKNGTAVVREFSVNITGRALVITFTPTGKKVAFVNAIEVVSHPDELIGDTAPMVNPRNQSQYTGLTAKALETVHRINMGEPKVTPNNDTLWRTWLPDWTFLHESSFAAHNQVSPAMIKYQSGYATSLTAPSAVYTTVTELNTTAAMVGNTQAQLNLTWKFDAPAVSDYLLRLHLCDIVSKATLGVVFNVYVGQWRVLQDYESSGDTFSLLATPLYKDFVLAASDAAKGTITVSIGSSTATNALPGGFLNGLEIMRIVGSTGSIDGAMSPRGSKIKTGIIAGSAVGGAVLAIALGCVAVRMLRRKKKPGKQPSNTWVPFSASTLGARSRTSFGRSSIVNVVTLGQNGAGAGAGYRFPFAALQEATGGFEEEMVIGVGGFGKVYRGTLRDGTQVAVKRGNRLSQQGLNEFRTEIELLSQLRHRHLVSLIGYCDERGEMILVYEYMAKGTLRSHLYGSDLPPLPWKQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDDGFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWATRRLRDGELDRIVDQKIAGTIRPDSLKRFADTAEKCLAEYGVERPSMGDVLWCLEYALQLQVASPDSSVTTLQRSSSISSVMTDATVSANLGDLDGMSMKRVFSKMLKSEKEGR >KN538876.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538876.1:151534:153675:-1 gene:KN538876.1_FG018 transcript:KN538876.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSSVKEALPAALGSASEPPRLFDGTTRLYICYFCPFAQRAWITRNFKGLQDKIELVGIDLQDKPAWYKEKVYEQGTVPSLEHNGKIMGESLDLIKYIDSHFEGPALLPEDPEKRQFAGELIAYANAFTKALYSPLISKADLSAETVAALDKIEAALSKFGDGPFFLGQFSLVDIAYVTIIERIQIYYSHLRKYEITNGRLNLEKFIEEINRIEAYTQTKNDPLYLLDLAKTHLKARPLPESNAQPS >KN538893.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538893.1:195203:198952:-1 gene:KN538893.1_FG012 transcript:KN538893.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding NFSVLYDVEARNALLSGQGSLPGGAIQLRGSHDVKERQGEVSVITRLGDPSYKLELSSLVPYNGLPRATLHFPIGQVSVEERRNEADEKMLSLYGIAKSDFLDGILTAQYSENDINLRYCYKDNELTLIPSVSLPSNAVSLGFKRRFGPSDKLSYRYDFTTDDWNAVYKRTVGKDFKVKAGYDSEVRVGWASVWVGQEDGKAKTAPMKTKLQLMLQVPQDNFRNPTFLFRVKKRWDL >KN538876.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538876.1:35467:37483:1 gene:KN538876.1_FG019 transcript:KN538876.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEINAQMTGTGEEFDSEVAEIRAAVVNEPNEVEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKSGFDVLHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVELSKGNGKNALHFAGRQGHVEIVKALLDADPQLARRTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKECLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFISSAYIVVGKHFQWAALLVTLIGGVIMAGVLGTMTYYVVRSKRTRSIRKKVKSTRRSGSNSWQQNSEFSDSEIDRIYAI >KN538893.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538893.1:140222:143061:1 gene:KN538893.1_FG013 transcript:KN538893.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEARQVQQIERSLVLYRQHPETEDTQATEGEEEPEPLATQSPDATHEAEHLGTETIDGSESDSDYFPGDDCPSDDEEEAVNIEKQYRELKKIKGGRIVDLDDVDIGGSKGTPSMQAREEVGNETLYEESDSEQSIEEVGSEGEVTSKTNKFPRYKEKPGHNLVVSSPPGSSVQNRKRKAPTSATTSANLSNTSMTTSTRAPMEQLQMVKVNAKAKVATQQGGYASVNLQAIVPHSQASTSASIQIKSGKASISLSAQEPAQKGKKQQLVQPAKKAKKSAPGPLLLLPPWQSDKL >KN538681.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538681.1:924589:926151:-1 gene:KN538681.1_FG053 transcript:KN538681.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAASSSVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLFCDKDYPDKPPTVRFHSRINMPCVNPDTGLVESKKFHMLANWQREYTMENILTQLKKEMAAPHSRKLVQPPEGTFF >KN538681.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538681.1:1003485:1003853:1 gene:KN538681.1_FG054 transcript:KN538681.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSAMFAGGVSARTGPHALPLARIKKIMKRSAGDSSVVDGGGGARMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVAAAVQNTDLFDFLVDVVMADGHDDDHTDYK >KN538681.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538681.1:903110:903427:-1 gene:KN538681.1_FG055 transcript:KN538681.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTKTMELPEMSLHFKGGSVMNLPVENYFVVAGPAPSGGAPAMAEAICLAVVSDVPTSSGGAGVASDGPAIILGSFQQQNYYIEYDLEKERLGFRRQQCASSS >KN538681.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538681.1:972286:977730:-1 gene:KN538681.1_FG057 transcript:KN538681.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLGVEVASAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNERFYFNVSDPSNLPELALEAYVYNINRSIDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIAADITGTNLSTTHEHRAEVKTLHTIAKEVQHQHHGHGHLPASFADQPSKYAVDQMKPEPQQPKIIRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITRHFEKQKNPEWNAVFAFSRDRMQATILEVVVKDKDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDIAITDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVASEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPGPKFNEQYTWEVYDPATVLTVGVFDNGQLGEKGGEKTSSSKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPDVIRMRYDRLRSVAGRIQTVVVVCPGEHSVLLKANMGAAESSSKLAGSIHEFTVKDARGSDVELSRYKGKVVLIVNVASRCSGLTNSNYTELGQLYGKYKETGLEVLAFPCNQFAGQEPGSNEQVVEFACTRFKAEYPILGKVDVNGGNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTSSPLSIENDIKNLLGA >KN538681.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538681.1:1011350:1032796:1 gene:KN538681.1_FG058 transcript:KN538681.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAYVYTYLKLRQVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMEVDAMGTEGFEVKIGVVAIEVSTGEVVHGEFMDGVSRNGLEAVLLGLAPVEVILGTPISFATEKLMVAYAGPTSNVRVERTSRVCFSEGGALAELLSLFEKSGVDAPTVENGGHLMEMNEENKNPHGIEGIMAMPELVVHALALSVRYLKGFGMDRIICFGSSFRPFTANTEMSLSANTLQQLELTHPLCDRNQICARHDAVSEISESIGSQKYSTNNLQDEEDMSCSSSVRSDLSTILSSVLRMLADAADQGDMLNLFIASVDQFPEVAEGHAIVEMAKQKLELLITEYRKQLGLPVDRKVPSSWMKVNSTKKTIRYHTPEVSKNLENLLLAKEKLAVICRTTWNNFLMDFGRYYAQFQATVESLATLDCLYSLATLAKQNKYVRPNFVRENEASQIHIKDGHHPVLESLLGVNFVPNDTELHANGEYCQIVTGPNMGGKSCYIRQVALITLMAQVGSFVPASSATLHVVDGIYTRMGASDSIQHGTSTFYEELSEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCMVIFVTHYPKILNILREFEGSVGAYHVSYLATRKLLEVADRQMVINNTETKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSSCIERASVMAAKLQQELSEREKNKFCRLMDVPRESSPKELCAQPYQGLAEACHRILFNVTSAQSNDELTDTLSSLREAREIALKAIKGWDQVLMLFHSVQVASSDNVLRNLSKMILGQMLFIEDDFGTNVAESRMHHRAPALPRLLRSAQLRGRGGMDLSRFTAPRPGLQIGAAGNGFRACSLRRLRHRGCGGNLMGASALGGCGSRGLFYLAPNHGSPLALRTRGRALRCQGNDSLAYVDGPLEGTNGSVVDSTEDEANSSGLDEEKGDDDAENLRDLLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVTTIQEIISKEADAKEAVRTATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASAQEEIKECQESLSKCEEELRRIQEKKMELQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKAEKAISSVDAVVELPAPAEEQVSDEEDNVSEVYDYSSDAIDDIPERDEVSNVERLIVGDLAVEGIEQLESSREMSDDESTDKLLVEPQKEAEPDIDKSKQGKKQEIERKESQPSNAPKASLKRSSRFFPASFFSSKADGEFTPTSVFKGLMKSTRKHAPKLVVGIVLLGAGAFFLNRAEKSSQLFQQQEITTSIEEVTSTAKPIVREMRKIPQRVKKLIELLPHQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLEDISAYHFLPAAFCGKAKLNEEVLATTAAVGMIAHRFAVLPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKTVTSDDESETVDGALAI >KN538681.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538681.1:982704:984373:1 gene:KN538681.1_FG060 transcript:KN538681.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] METPTLTTLLKAAVATFPSRRALAVPGKLVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGARLLITNPEGNAAASKLGLAHTTASLKDAAGQVHLAGFPASAAAAAKDFANDPSDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRAVYRLTEADATVIVLPLFHVHGLLCGLLASLASGASVTLPAAGRFSASTFWADMRGAGATWYTAVPTIHQIIIDRHTSKPEAEYPALRFIRSCSASLAPAIMEKLEAAFGAPVVEAYAMTEASHLMTSNPLPEDGARKAGSVGRAANEAAFRFGWFHTGDIGVVDEEGYLRLVGRIKELINRGGEKISPIEVDSVLLGHPAIAQAVAFGVPDAKYGEEINCAVIPREGVSLGEEEVLAYCRRNLAAFKVPKKVYIADELPKTATGKIQRRIVAQHFVVPVLPTKA >KN538681.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538681.1:944457:945310:-1 gene:KN538681.1_FG061 transcript:KN538681.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEPAAVSIPIHDHHGKAPATSSAVPPAAAAAPAAAPAVAPRKVGIPFFRRGDHHRGSRCLAFLDFILRIAAFGPALAAAISTGTSDETLSVFTEFYQFRARFDDFPAFLFFLVANAIVAGYLVLSLPFSAVLVIRPQTIGLRLLLLVCDMIMAAMLTAAASAAAAIVDLAHNGNLRANWVPICMQFHGFCQRTSGSVVASFLTVVILMFLVILAACSIRKR >KN538681.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538681.1:970053:971889:1 gene:KN538681.1_FG062 transcript:KN538681.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSASAGAGSPTVPPSAAPMEPVKRKRGRPRKYGPDGTMKVSTAAVQHQQQMLSAPPRMGSVSGADMVGGGSGLDDSAQKKRRGRPPGTGKKQQLSSPVKLSGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRHPADGAMSRVHASSHYKNPAIYEGLYEILSMSGCYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASTVQVVLGSFVQGGSKPKSKKAGKQQQQQAAAAAAFSSDSLTGGGQDASPSSGHNQNLTPPPPVTTTGGWHSSGIFDTRSSNIDINSSRG >KN538681.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538681.1:897568:898185:1 gene:KN538681.1_FG063 transcript:KN538681.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILISLLVTLGVLALIFYLIFRPHMIAATVDSAALTQFTLSTNSALAYSLTVDLTVRNPNKRVGLYYDNVESLALFDGQRFGYAPLDSFYQSTEASTKLSPAFKGQQPLQGDITAANFRTQQAAGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPASAPNAPAFQRTDCKVWF >KN538681.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538681.1:912011:912463:-1 gene:KN538681.1_FG065 transcript:KN538681.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAFFPDDHSTCRSYSSASAVNDVADDDDDEVIIRGLRSSNRRLFFEPESTSSIVVKGRAVDAAAFDGATAMSIDSADPYGDFRRSMEEMVMSHMNGGGHDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLVVALASSPSSATASSSAF >KN538681.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538681.1:933773:941442:-1 gene:KN538681.1_FG066 transcript:KN538681.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MVEEGDGRRMTPFLRKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVDDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKIMDPLLNSLNHIEPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTDALQRIFPPDWNSLEFLGEPSSSISTGESDDYSDSDFSGDEDEDHNIDDS >KN538681.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538681.1:956227:956631:-1 gene:KN538681.1_FG067 transcript:KN538681.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDAIIGCSSQQQRAGRVDRVHSATGDRVTERHHCRPAFRRTLGAHAPGVPRQATPRRHQTSHGAVAGRGLRRNRNTSMFAPPAHGEIRGGASASIEEEEEEQRRGFALPQSARRRRRDRSGLGISFQLLA >KN538681.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538681.1:999304:1002379:-1 gene:KN538681.1_FG068 transcript:KN538681.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSAASSPPSPPSPWDDVSWEEATSSTVLQHYKSLPKKGKPQGRESTVLAAFLLSTPQQDARNLTVLSLATGTKCLGAARLNHHGDLVHDAHAEVVARRALLRLIYTEIGRSGASDWLVASGGVMPVPPSPSELIREQLDSVNGCDDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGASEGYSICWNKSGFHEVVLGKTGRKQGTSSKAACSPSTESLLCKRRLLEAFVSIEHPLIKKFHCEEMSYRQMKDMAHEYQQTLELLRKAPFFSQWSAKPVSLDSFTVSRKCMLFSRCSSELLYKLSL >KN538681.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538681.1:947262:952623:-1 gene:KN538681.1_FG069 transcript:KN538681.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKSSNCGICQLFAQHISFGEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALASLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSSSSGH >KN538681.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538681.1:907116:909515:1 gene:KN538681.1_FG070 transcript:KN538681.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAPPAAAAAATNYEVDLGNLMAYDPSHHLPAASSSREELREECLRKGTELAQAVADALFALPATEDRDGPMVRLPPPTTRFPREKHLPRPKPPTKWEQFAKMKGITKHKKNKREWDEQTQTWKRTYGYDRVNDDKDIPIIEAKATDEPGVDPFAQRREDKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAATALPITGTKADIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKPPKNPGKHRKFLPVVEGKGMGNQEKQQNDKILNALLAKSSEDQMDVGRAITMYKVKKEKQRRKDKEKSSSSNKLKPQKKTLKKSSKKKA >KN538681.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538681.1:920308:921061:-1 gene:KN538681.1_FG072 transcript:KN538681.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVVHRPTKHPALLTAGDRYDLLRRNVDALHLIQVGITLAASPTAAPALAFEINLSDFDQRVHRHAAESVQLLAEHGVDLAAHRRHGVRASALAPLLMSSGLVCSHGAVKWVTFHSAYDFAYLVKLLMGRKLPRSMAEFLNLVRVFFGDEVKRAAGRCHQAASDSLLTWDVFRRMRELYFLKHGVEAYQGVLFGLELDMDMPSNKTPSHSLPLVVAR >KN538681.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538681.1:986367:991410:-1 gene:KN538681.1_FG073 transcript:KN538681.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSGFPVSGASLLLKLRLLSFICCRNFHSNGLTGNIPSELGKLQNLVQLRSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHSDGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWVRHSTLSLSHCDSEAIKYLQQQEEIGKLVDPELTNVRTEDLEVICSVVSLCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALSL >AMDW01038855.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038855.1:54:851:-1 gene:AMDW01038855.1_FG001 transcript:AMDW01038855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNYLHLCNPTTRQWLWVFPPALQHDTVVGLYSHGHSSEYRVLYYREIGLGPEFYISIVGSGKERSIWPHSSSASLRKWLAKGKEETQFNEPFLFHGNLHWLPHLGGQNKIVVFDTLDEVFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIEIRRFEEDDGWYLHIVSQEGDVLVDGFDWQFHYDIKGNLLEKFQCSGRMLNITPHILQESLVPHEVFQILDNESRHEPHFFRGL >KN546147.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546147.1:669:1042:-1 gene:KN546147.1_FG001 transcript:KN546147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAAIWGEDCLEYRPERWIGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEEFEVDENYIS >KN543769.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543769.1:1987:5666:1 gene:KN543769.1_FG001 transcript:KN543769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEADLMCSLLEQGQLAPARPADPPTIERRNHPTALARAAAKAFLWFGNTVALVMIGMVFITLVPRCSSMECAFGCVFCIFMALMIPVFGYSPAPLVCNGLEQLGLTTRMDADIGIIFDFGFSLLPFVTLLIDMESWTLSLPPFPPHSSASSLTWACQRREEIEGRMKLLGTHVYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSQAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEK >KN538724.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538724.1:66913:68705:1 gene:KN538724.1_FG037 transcript:KN538724.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MQCICTRVTPSRSVGEISRSRSRNDISLNVSPSSRMLTTCTLKTPSYGNKSKSKEKINPRDMFTFSYRFNTDIPMTETPGASMDEYLQNRPRIVGAVFPDKRKRTKLSDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPHVPVKATSLLLMEVTDYKLDGLDSNAMPSHLALTVRGSLYPRPEGRKSLRGHVEMSVGFNLPPVLALVPEGVIRGVGETVLRQLALQMKQDFDNGLAADFKRYRREKLTEKKTTT >KN538766.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538766.1:227453:229242:1 gene:KN538766.1_FG039 transcript:KN538766.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPQVEEREVEVEVEEVRRPKLRYLEFVQVAAAQATICLAGLYALAKDHAGPLRPGVDAVESAVKGVVGPVYGRFHGVPLDVLAFVDRKVNRKSQVDDTVQELDRHLPPTLKAASAKACAVALGVPDVARELTAEVQQSGVAGAARVAYAKVEPVAKGVYGKIQPAAKDLYVRYEPAAEHLAVSTWRSLNNLPLFPQVAQIAVPTAAYWAEKYNKVIAAAADKGYTGAQYLPAIPTERIAKVFGESSPEAQPSKSESAKTQ >KN538724.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538724.1:128046:132404:-1 gene:KN538724.1_FG038 transcript:KN538724.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSASNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDSRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >KN538724.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538724.1:45601:47520:1 gene:KN538724.1_FG039 transcript:KN538724.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704B1 [Source:Projected from Arabidopsis thaliana (AT1G69500) UniProtKB/Swiss-Prot;Acc:Q9C788] MEEAHAMPVTSFFPVAGIHKLIAIFLVVLSWILVHKWSLRNQKGPRSWPIIGATVEQLKNYHRMHDWLVEYLSKDRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGEVYRSYMDVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILSQACKAGRVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKFLHVGSEALLEQSMKLVDDFTYSVIRRRKAEILQARASGKQEKIKHDILSRFIELGEAGGDEGSGSFGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPAVADKLRRELAAFEAERAREEGVALADAEGGEASFAARVAQFASLLSYDAVGKLVYLHACVTETLRLYPAVPQDPKGVVEDDVLPDGTKAGPRICLGKDSAYLQMKMALAILFRFYTFDLVEDHPVKYRMMTILSMAHGLKVRVSTSV >KN538724.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538724.1:166059:172171:1 gene:KN538724.1_FG040 transcript:KN538724.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYEIASDTTGYEAASSECNRGMAIQSPTDPAPHSLRLPAPDVEQVKAELSNLLQRSNMTSISLWVKNMNMGYTPEDKVRVFPIRRGGADDSSEVRLLPAAPAPRRPNEIKKANKTPEERRKEIEVRLAAMRLMEQQKHNATSASSSTSQSQSPGDEAPSSSCQSSVSGHRADRRKGGSRKAAASPVSGRMNQVREFWATVPLERRLAFLSTSISELKSHYATAMHKEKDAASLVSDVLNEAIRFATRSGKWEFLVCGRCEEHFADAESLVHHAMEEHVGVLPPRLNDVVPEEIDDAWAEKLTGSTWRPVDATAALKILEEELADNVGSDRDKDSMSSDIWSTKDKSDTSDSSTSPHNEECESFGAVTREGDRKWPLSDDEERANILERIHSSFKILVKHKNLSLSHLNKELMQASGLNDRNTDKDEEVADKDSFPKNHSNLEKVTLDSDSSLLILDGQAFEMRSDRDNAVADPFLSWLYTGPSVEEQLLDWNRMLEVRSDQCTRILHELEKEFSALQNSYEQKHDQLSSEEGLLAVDSLLCEEQRRRDDVDPYPFQGYEELLKKRQEQLELNAEELFNGCRSELHAISTILREVKTAPFRYDETFSGMTSNHRDYDGTEEDEWGFYDFEHSNDSVVQLVVSRLKEHVAMELNKIDARIMRISSVIEQLKLKLGPASVLDYRTIILPLLKSFLRTHLEELVDKDARERSDAASEAFLAELALDAKRNANKVSDTKQSHDKSKDKKKVKDSRKSKDLKDLSWSDQYLVRPDSVDEETSEQSLSTSDNYLNDQEEFRNRLRLEAEERKLEETLEYQRWIEEEAKKKHLAEQHRRTSPGSDGSACLRTDVNLNRDQDKHHCAQNNSHTHLEGINFGDFRFSEVPLKEEHSILRSCDSDLLQTKEKNLNEVHNGLGYPGTRPIASSDVDLIKPTVKVNGVWKNVEYTKATLKANGVGKNAENTKLPSIPSTQKSRRSTSQAHKKYIQGVTGTFPVDDGDDDTRPSIRQSGSPVSRWSSSGKAVDTANHSYQDTKQNQLPLLSYSHRVHGAHSAGRENSSSEK >KN538724.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538724.1:9458:11716:-1 gene:KN538724.1_FG041 transcript:KN538724.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKYRFEGLENSSDVLCCDLVMWRSESADLQHSGSSTTEPKTQENTVQDSTSPPPQPEVVDTEDSSADKNSSENQQQQGDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSVSAASHFLQRVRAALPGDPPLYAPVKTNGTVLSFGSDLSTLDLTEQMKHLKDKFTPTTGIKNTDEMPVGLCAEGLSKTEESNQMNPKEKVSADRSPNVAQHPCMNGGAMWPFGVAPPPAYYTSSIAIPFYPAAAAAAAAYWGCMVPGAWNAPWPPQSQSQSVSSSSAASPVSTMTNCFRLGKHPRDGDEELDSKGNGKVWVPKTVRIDDVDEVARSSIWSLIGIKGDKVGADHGRGCKLAKVFESKDEAKTSTHTAISSLPFMQGNPAALTRSVTFQEGS >KN538724.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538724.1:94256:103968:-1 gene:KN538724.1_FG042 transcript:KN538724.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCCSGILADWCFGLQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISSNFTVAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYTASGTPNSPNPIRSYTNSPAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINISQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLPGPSSGQSFRQSYLRHCADSEVTNSITAQVVAALPVRRKGRGCGVACCVSSSSSSSVHGKNSAAAAEGHAGGIGIAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSDAALKRLHNEVVDTELFSRLQEIHGKDYHSFVARKLVPVVGDVREANVGIAPELAGVIADEVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIAKELGSSDSSELKNTMLDIEAEIKLAFDHRRHGDDSASFSEEMKELGLERAKLHGWQDTYVFTKAMGEMVINSMRGDIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGRGGAAAAAAAEGMHVYHVASSTMLMSSIAGHGAGGDGGRFDNGNTEALIGEMSEEEKARFHFDVRSIEWTDYITNVHIPGLRKHVMKGRGVGGGASSSNASLLAGASV >KN538766.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538766.1:284292:284795:1 gene:KN538766.1_FG040 transcript:KN538766.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSASLAGKVLAVADRGGLAYDPAVSPTEAWAPVSPILDMGWKGRAAVVGGILYSYDYLGQVKGYDPDTDCWSKVEGLEQELPKFLCGATLANVGELLYLVWEGKWKGKGKGKGEARSMVVIDWAAIAVTKDEEGRLTGKVLSRDTIVFKDMPKGSAITHCIALEL >KN538766.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538766.1:260037:262182:-1 gene:KN538766.1_FG043 transcript:KN538766.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDHIELHQKRHGRRLDYEERKRKRAAREVHKRSRDARQLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVEDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRMEKAGKWEVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYSTLGVLTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KN538724.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538724.1:143786:148521:-1 gene:KN538724.1_FG043 transcript:KN538724.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATGGGGGVGGGGRFDASRAVDVSWRPRAFLYEGFLSDAECDHLVSLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTGMVQKTVNQCKYYVTNKVRNTSHTLITLADGKTQLVKILFSPQARLSQPKDDTWSDCAEQGFAVKPAKGNAVLFFSLHPNATLDTDSLHGSCPVIEGEKWSATKWIHVRSYSYRQRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMLPTGMSALPSIVLPVCVILERSENGESMQMLRYGQGEKYEPHFDYIRGRQASARGGHRVATVLMYLSNVKLGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPVKGSAVLFFSLYPKATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSDSPGFCRKSCNVCTS >KN538766.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538766.1:145163:148455:1 gene:KN538766.1_FG044 transcript:KN538766.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding LDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHIAKTSPIESVSSSPPRISNNDKVSHDKILGKDGSTCANTNNMQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKGSNAARSHNDLHSTNKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFVKEKSHPKSNKHDMQNSKAKMLPSPLKESKVESHSAPLRSNASKLTAQLRRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAVVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >KN538766.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538766.1:264582:267388:-1 gene:KN538766.1_FG045 transcript:KN538766.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BAH1 [Source:Projected from Arabidopsis thaliana (AT1G02860) UniProtKB/Swiss-Prot;Acc:Q9SRX9] MKFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLSELMAFYMNLRRSKKNNGDMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRIQMERVERVRLAKEHWESQCRAFLGM >KN538724.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538724.1:786:7761:1 gene:KN538724.1_FG044 transcript:KN538724.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKGGHGAAAAGGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRTSIGGDDDLLAQQKLQQPPQQKPAKANLGRPADDHRHRQLHGRDGSPTVVTYNLVCISMHSGVSSTAIGFSAYRKIICFTSSSALSEFLVQRKSAHMSVTDPASSSEPRSFPYVVKQRCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQDVIWIFLNSLHMGMLGEGQIHVVAESNEDDGLAAKHLRGLLFARHTLFSVFEDGVLDALTMLPMHSSATFALLAFDGKVHSQKFIIKAIVLKVSVATGDWCVDTKKGTAYWV >KN538766.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538766.1:257411:259118:1 gene:KN538766.1_FG046 transcript:KN538766.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQRAKRNRCQLTRTPYGRRFANKDINLYLAFLFELIAARGPSVGLNVSLSRYDLFHGHLFLASGTGRLGILFHAKEYPAFDMELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAHPDGIIYQDMIRDYVRDVRTIYEDDFGEVAVDVNYLNVANSAPANRVFIC >KN538724.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538724.1:114820:115853:-1 gene:KN538724.1_FG045 transcript:KN538724.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRVTAHRRPDLPCLTAFSPQGSFVYRSTGHGKAMVATAFGVSKAATAKVFSGAHNLLDEMPPPRFIALSGITKAAFFQSVATNIKPPESHRTRYRAFAWYTIHTNQHISLSSILLVTVVKNN >KN538724.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538724.1:72631:73961:1 gene:KN538724.1_FG046 transcript:KN538724.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRSRRLAVTLLLLLLLFAVEKITEGLGLKHHVADVDEEDDAARKAGHAAKSAQHTASEAGRQTSKKAGDAKEAAEATASGASSKAEQAKEKTKEAAKGAAGEASKRAEQAKHKTKEAAEAAGERGAEVHEQSTQGKAKVEETAKEKAGEGYAAAKDKAGKAQETLRQSTDAAKDKAGKAHETLRQSSDAAKDKAGKAQETLRQSTDAAAEKAGAAKDSAWERTSSAKDAAADKAGSAKDAAWEKTSSAKDAAAEKAGAAKDAAWEKAEAAGEKARQSKEAAKGKAGAAKDAAWEKAEAAKDAAWETAEAAREKANEGYEKVKEKARETADAAKEKVEEVKERVTGADDAADHGKEKKHHHRHVDGKQKPRTVDEL >KN538766.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538766.1:248460:248690:-1 gene:KN538766.1_FG047 transcript:KN538766.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKMDDCSGGGGKQQAWCRIVNEATGDVVAEVKGKTTAGGVALGDDVLTLTVEPNVDHALIMGMVLVYGLMNHTM >KN538724.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538724.1:89516:93433:1 gene:KN538724.1_FG047 transcript:KN538724.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVDGGGGCGGLDTQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQIAHSMCTYNFAKAFSKYLHVLFHGNLPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >KN538766.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538766.1:208288:213284:-1 gene:KN538766.1_FG050 transcript:KN538766.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MDDHNLDYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVQPYILRIATGEESSILCGEHILELLRRLEMTGHTKLLAFSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCTMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLQQIFHSWSLLATGILMLL >KN538724.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538724.1:150753:153763:1 gene:KN538724.1_FG048 transcript:KN538724.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKIHMGAAVVEAEEEEGVGVVGEAMVEGMVDMITTKEVMVDMDTRVDMDTKGGMATREDMATIKVVMEGMDTTKVDMEDMKMVAGTTTGTEVVVVAAAAAEATGDTVVQEDMNVQVRHMNVVAEVEVAQAAEAMPGAVDEWAVAVGEATKTIRSSLVGCASPVVGCCMKNKNCGCVLHRTEP >KN538766.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538766.1:235472:247378:1 gene:KN538766.1_FG051 transcript:KN538766.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHKAEATVGTPAAKAGGADATAAAAIDVIDSSVENLHGVARPTGAVPASSTVSNSGVKKKRTKYINVPSAEELSLWKARQAVANGRAEAWGRLISQSSESPSVPIYTTHFTVGHGGNYDLRLTESFPGSLICKLKHVKRGAALEIYVSKAVHVNGKALDKTAKIFQQLPEERSSTSTFSATCAFQQGQYPVTKAYVNLYCKESIKWTKHISSLCQRVLLSGPAGSEIYQESLVKALTKHFGAKLLIIDPSLLASGQSSKSKESESYKKGDRVRYIGSVQSTGIILEGQRAPDYGSQGEVRLPFEENESSKVGVRFDKKIPGGIDLGGNCEVDHGFFCPVDSLCLDGPGWEDRAKHPFDVIYEFASEESQHGPLILFLKDVEKMCGNSYSYHGLKNKLESFPAGVFIVGSQIHTDSRKDKSNSGSPFLSKFPYSQAILDLTFQDSFGRVNDKNKEALKIAKHLTKLFPNKVTIQTPQDESELSQWKQLLDRDVEILKAKANTSKIQSFLTRNGLECADIETSACVKDRILTNECVDKVVGYALSHQFKHSTIPTRENDGLLALSGESLKHGVELLDSMQSDPKKKSTKKSLKDVTTENEFEKRLLGDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDMEALANLTDGYSGSDMKNLCVTAAHCPIREILEREKKERASAEAENKPLPPPRSSSDVRSLRMNDFKHAHEQVCASITSDSRNMTELIQWNDLYGEGGSRKKTSLSYFM >KN538724.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538724.1:162204:164554:-1 gene:KN538724.1_FG049 transcript:KN538724.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKRTTLVYSFVARGTVVLADHAEVSGNFASVAAQCLQKLPSTNNRHSYNCDGHTFNYHYVISVVLENAILFSVSISVLMVMDALGFGIGWIAYCVVATESAGRQLPVGFIERVKEEFSKKYSGGKAKNATANSLKREYGPKLKEHMKYCDAHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKAGTKIRRKMWWENMKMKLIVFGIVVALILVIILTVCRDLNCW >KN538724.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538724.1:43509:44480:1 gene:KN538724.1_FG050 transcript:KN538724.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWKDLVDKVLDAAEKCPRTASLIYTLSTGEEPEDELPIPDMAHFKQETEELDDATAMAPKASLDVDKILKVLDVNCLTEDAAPSANLLGSNGVINCGVGLWILPAFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFAYFDVLTPASKRREAARAWGFECQCDRCRFEAGDAIVGQELTKLENELVNGRGDMGALVVRLEERMRKSMLKERRKAFLRASFRSAYSALFDSDKLVRKWGRRVPGEAAVAESVAGAIGGNESVLRAMLRGADNGSGCGNRLEVEDKVVRIGRATYGKVVKRQAMRALFRLTLDADSNKCL >KN538766.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538766.1:276929:281209:-1 gene:KN538766.1_FG052 transcript:KN538766.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRRYDVRHDQTPRLVSPPRLASSPAACAEQPPLAATRAAAPASCYFRRGLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVELLVYFWILLNILTVYICCLAMKFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPESRSQLQQKQESKSLLICPAASLPRESHHAVQHLDLDRDQLLPVQDQSQRGTLHQDHQQDPSLQIPLPSPNDADVLLGTECRGIMVLKRFDVCRPRLLLNRCRSTIHTFSALSDYWMILYAYL >KN538724.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538724.1:17510:26263:-1 gene:KN538724.1_FG051 transcript:KN538724.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPSPSPSPSISPGDLVSFAGKCSAKRELRLLHGVVLRRRHLLPAADAVAALAKLLRFAAVSPAGDLRHAAALLSLHLPFLSSASSHLAFFYNTLMRGLAASSSPAAAIELFAAMRRAGAAPDAFTFTFALKSCSRCVSQRRLPSDLHAQAIKHGCLGAGSSHVHVHNALLHAYSSRVVVGDARRVFDEMPARDVISFSGLLTLHLKANDLDAARVVFDQMPHRDVVSWTAMISAYARARRPREALALFDAMPVQPDEVTMLSVVSACTALGDLATGERVCQYVESNGFGWMVSLRNALMDMYAKCGSLTEARNLFDGMTVRSLASWNTLISAYASHGDVESTVALFHRMLADGKSVKPDGMTLLAVLTAYAHKGFVEEGRAMFNAILSGNYGKVDLTIEHYGCMVDLLGRAGQLEEAYKMIEQMPIPSNSVVWGALLGACRTHGDINMAERAVQKLRSLNPEEGGYYILLSDMYAASGRTTEAMEIRRIMNQAGAQKTTGQSSWSTPFELLGQWPRHVVTVYVTVLSTIADSLGRQYIVLLGVLVMSTYYGLEKGVFFGTALHKLHDNVHKGAYLGILIGAQEPVLRDRNLERHHLLPTSKPVAVVGRDLGEIRGGTEWAAYHGAAERVAADGLLQRAAIEEDLPVVVVDEHGVELPVGAEHGQPRLRRRAIELQRRRRQEPCNTRLNQGCNRKIPPRVYKLSIGAACALTWPPDRIIIQVLDDSTDPAIKGWPINFQDLVELECKDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAGVWRISAINEAGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRGVSVWKKLHLLYSFFFVRRVVAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMNAIRNPGSIHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLVCASYDLVLGAKHYYLYIYLQAFAFIALGFGFAGTSTPCS >KN538766.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538766.1:248970:249404:-1 gene:KN538766.1_FG053 transcript:KN538766.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVLNGRGCTVYDSTGSIVYRVDNYGSSCSDNVYLMDLRGKIVLNILKKSLLTKAGLSPTAI >KN538724.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538724.1:55035:56718:1 gene:KN538724.1_FG052 transcript:KN538724.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTNCWAISRSSQRGSKNNSSDGSFMVPARNHNLINRKHLLLREKDASTGWQITKAATENSTNAVHTPMKTRWWEKNMKSSNMKNIESQEDFDKQLLLASDKLTVVHFFSPSCGACKALHPKVCQLAGMHPELQFLMVNSNEQKEMCRKLNVHVLPMFRFYRGAEGRICSFSCTISTIYKIKDALKRHGIQLENLGPDKGLQESELQNSDIDASYNMDGGVGAAVPNNE >KN538766.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538766.1:250796:253193:-1 gene:KN538766.1_FG054 transcript:KN538766.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCRDDGTALLPEGERLPLSLARSSEFVAVKTCVEIESKYMDYLSKLVGKEIIPCGPLLVDSGEVGAGSEADGVMRWLDGQEPGSVFQNQKGHHLYELILLLCSSEGALIGMCVYIYARFSGSATYLSLSLLSVQVIINWLNKQMCEMSTADSLIGN >KN538724.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538724.1:69173:70710:-1 gene:KN538724.1_FG053 transcript:KN538724.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAAKYCDILQGGGQGCEGIAEIEASSVFNICHKMKALAVLFRRGTTPPLPRSLERDLRARKRSLED >KN538766.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538766.1:198214:199868:1 gene:KN538766.1_FG055 transcript:KN538766.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQNIEGVYKVAIDAAQHKVTVTSSVGADALVRRLHKSGKHATVWPLPPISNS >KN538724.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538724.1:83078:87137:-1 gene:KN538724.1_FG054 transcript:KN538724.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGAPPRPAAGIRVRVPLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIKPNKRKSRSSRKERCRTQAPLLPGLPDDLAITCLMRVPRLEHTNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVFVFKRDRDRKISWHAFDPVHQVWKSLPPVPAEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARINKWLRAPDMLQKRHCFGSCVINNRLYVAGGECEGIQRTLRSAEFYDPNRNRWSYISEMSTGMVPFIGVVYDGKWFLKGLDSHRQVVSEVYMPTSNVWSVTADEMVTGWRNPSICFNGRLYSAECRDGCKLRVYDRDTRSWTRFMDSRRHLGNSRAFEAAALVSLNGKICIIRNNMSITLVDVSNTPTVIEINSAHMWDVFARKGQHRSFIANLWFTIAGRNFKTHIIHCQVLQV >KN538724.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538724.1:74533:77366:-1 gene:KN538724.1_FG055 transcript:KN538724.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLMEAQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCMTLNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSAKACSDIVLQYRWIAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFILPSQMHNLMYPLAMVIGAANALIMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLQDTNPRAAAAALEAPLLV >KN538766.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538766.1:219164:225640:1 gene:KN538766.1_FG057 transcript:KN538766.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNVSFAHVSEDASAPMASPDLFPSVSFAHVSADASTEATGGATAVFGGDASTGAPRLSLVWSGETQAKHTLEIDLSDAQIFKLGPTEWLCVSGESEAKDGVEEHILNGFMLTLNSLRQKSYSRAIKVVLRTEAESKAFYLAFQQWKHRVISGKAGEPLENGLIIGSKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLVSPPTFHTLDFTTMKEEELYEIDIPLNFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSGWAFSISFVISFFPCIALEADGSCSFGYFLEAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQQLPSLQPQSPLWDYHYGQD >KN538724.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538724.1:120524:124585:-1 gene:KN538724.1_FG056 transcript:KN538724.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVAMVVVVAVAAVARGDMSADRTECADQLVGLAPCLQYVQGEAKAPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPGGDKGSPAAPAKDNSTSTTDSRAVQAANVGSRSSAASPGAALAAVSGSKRWFKTSAETLDHTFEGDFIATTFMLPRKRSKDIEKKEGVRGFGELNSKPHLCIWAMSWRMSLQIHGLTRDLHPLVESSVAREEFRPWRHMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >KN538766.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538766.1:275696:276363:1 gene:KN538766.1_FG058 transcript:KN538766.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEQGKTVVVVGVDDSEHSNYALEWTMQHLASGMAGGGGAELVIVHAKPSPSSVVGFGAGPGSGEVVRYVEADLRKTAEDVVEKARRLCIANVMHALIEVIEGEPRYVLCNAVEKHNAGLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKAKRSRAETA >KN538724.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538724.1:49945:51489:1 gene:KN538724.1_FG057 transcript:KN538724.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGFLSDPSELRELLFASAVAVRGAIAHAYHVFDQIPRPDRFMYNTLIRGAAHTAAPRDAVSLYARMLRHGGGGVRPDKLTFPFVLRACTAMGAGDTGAQVHAHVVKAGCESDAFVKNALIGMHASCGDLGIAAVLFDGGTREDAVAWSAMIAGCARRGDIGAARDLFDECPVKDLVSWNVMITAYAKRGDMALARELFDQVPERDVVSWNAMISGYVRCGSHLHALELFEQMQRMGEKPDIVTMLSLLSACADSGDLDIGQRLHSSLSDMFSRNGFPVVLGNALIDMYAKCGSMKSAHEVFWSMRDKDVSTWNSIVGGLALHGHVLESIDMFEKMLKGKVRPDEITFVAVLIACSHGGMVDKGREFFNLMQHKYRIEPNIKHHGCMVDMLGRAGLLKEAFEFIDTMKCEPNSVIWRTLLSACRVHGEIELAEHANRQLLKARNDESGDYVLLSNIYASVGEWFGSEKMRKLMDDSGVNKEAGQTFVDGSVKDIMQSFGQSRSHSERKGFIG >KN538724.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538724.1:31586:35024:1 gene:KN538724.1_FG058 transcript:KN538724.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLVGCGAVLLWAFVGQLVAVGRLLALFGLAGDADPSPPPTALPPRRVYKSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFEDIFDVKHFIDTLRDEVHIVKQLPKRFGLEDSNNVLNMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFHALKFTPQIEALGNKLVQKLQAKGSFVALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSFILKALGFQKDTLIYIAAGEIYGGEKRLEPLQAAFPKLVRKEMLLDLEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKVVEGHRRFLGFRRSVLLDRQKLVGFIDLYNNKTISWNNFASSVQETHRNRVVQPSCRQKLENKPKEEDYFYANPHECLANSRFCSRTKDAISVRI >KN538724.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538724.1:157558:160558:1 gene:KN538724.1_FG059 transcript:KN538724.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVVGVARPSSPAAAAAHVAYKIPAGDGPYARAKHYQLVEKDLDASIAWFWKAINSGDKVDSALKDMAVVMKQRGYHADAIDAIKSLRHLCPKQSQDSLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQGNFMAAEVVYRKAQMVDPDANKACNLALCLIEQRRPADAEAVLADVLAGRYHARDDDGHHPHTGVKILAKVEELMARITGEEEQPEAAGLRGDHSGLQGADGSLLEDMVIN >KN538724.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538724.1:57714:61544:-1 gene:KN538724.1_FG060 transcript:KN538724.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIGTCTLSISSYMHVNSILIVRQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHSDVKLCTGIVKLVIDKCSYIFQSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCARDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSAIPARAMDAINLIDEMADTPDKFDAQNLNLVVQTLSRNGRSACARLVLDRLLRDDFPVSQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNASTYQSALSSVARIDAERAMDLVQSMMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCIMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRGHIVQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDACIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYNSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRITGYEKPILSNLISSTQVNSQ >KN538724.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538724.1:117462:119494:1 gene:KN538724.1_FG061 transcript:KN538724.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPTEEDTDQMFVRSLSSGNLSTIETFESPPALLDSLNSQRPIIKESFNFKKSEGDPFHVETTVSLMSPKPGKEKSCTHKRTILPRYGSMEYLPPHSPVVGMISPKHQAAAVRVQKVYKSFRTRRQLADCAVLVEQRWWKLIDFALLKHNSVSFFEEEKPESALSRWSRARTKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDVGEGKDVSMEDHCPRWKLLEQCIRYLGPKEREFYEVVIENKKLLYKMSRKIVDTSEGPKNSKWIFVLSTTRVLYIGTVPFLSIKQLVNIGICHLSIVHIQYMNHNSETSPITDEMDTFLQKSKGTFQHSSFLAGGATSAAGRLVVDNGILKVHGTADYVVLFLGLHSSKDTFSDSVIHLSQAVWPHSGHYRPTEANFREFMMYLKKRNVDLANIKVSRQR >KN538724.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538724.1:35897:41627:-1 gene:KN538724.1_FG062 transcript:KN538724.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRKAFRVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFGAPDYAEGMASFQ >KN543371.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543371.1:899:1255:1 gene:KN543371.1_FG001 transcript:KN543371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKIDRVNRALRTHMDSIVAEREAAMEHDLAASRKRKDFLSVVLAARESNKSSRELLKSDYISMLTYEHLLIGSATTSFTISSVVYLIAKHPEVEDKLLREIDGCLHLFAPTANHY >AMDW01024050.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024050.1:4:304:-1 gene:AMDW01024050.1_FG001 transcript:AMDW01024050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WKALDFASLKHSSISFFNGEKPETAASRWARARTRAAKVGKGLSKNGKAQKLALQHWLEA >AMDW01021966.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021966.1:64:282:1 gene:AMDW01021966.1_FG001 transcript:AMDW01021966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDRRERRTTEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEH >AMDW01032248.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032248.1:221:460:-1 gene:AMDW01032248.1_FG001 transcript:AMDW01032248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLHRAALAWEKRGEMFGGTGVSHPPRPAAAGKGGAWVEDARRAGGRLVVRRPGRLRAASATNWRLAPT >KN539498.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539498.1:48292:49627:1 gene:KN539498.1_FG001 transcript:KN539498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAAVVRVVSRRTVKPAAPRPRESIPLTSWDLSMLSADYIQKGLLFPPPPPCLLVVDHLAAALSTTLNTYYPVAGRFVTHNHPQGGCSVSIDCDGQGVEIVHAVADAVTVADLLPPDAHVPPLLHSFFPLGDAVNYDGHHLPLFVVQVTHLVDGVFLAFVYNHALSDGTAFWDFLNAWAEIARASCLAAPTSPPPLFNRWSPGVVLPARVAAWEVKPIIYTARYFDPSGVMMGSSPRFDMYGCDFGWGKAVAARSGKANKMDGKASLYPGREGGGSIDAEVVLTPHHMAALDDDHELWAAVTPDHTLFLNNNKP >KN539498.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539498.1:84574:88473:-1 gene:KN539498.1_FG002 transcript:KN539498.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MGLARRAEPSTVWHGQEARPGLLYGGNDTFFESTGLYHRSSVRRVDLKTGKVLVQHEMDGRLFGEGLTLLNDKLFQVVWMKNQGFIYDRHNFSKRESFTHKMSDGWGLATDGKVLFGSDGTSRLYQLDPKSIQVMKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFVTGKLWPKIYEIKLRPVDGPQDGSVEKLCPKASFYR >KN539498.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539498.1:18996:21075:1 gene:KN539498.1_FG003 transcript:KN539498.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) UniProtKB/Swiss-Prot;Acc:Q8VZ40] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVADDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >KN539498.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539498.1:50580:53104:-1 gene:KN539498.1_FG004 transcript:KN539498.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQNEQQEKEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLVCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRRPAHPRLIGEFDRTTTVATPTQLRWPPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLQVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYETTISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRK >KN539900.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539900.1:71352:72208:1 gene:KN539900.1_FG001 transcript:KN539900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVAFVTGGGDLVVPAFDRVLSGYTARRVLALAPRLVDAGVLRSVGAARISAADARRCAEMMFVGSGLPLLPIVEWDGQPVGDGQVGKIALALSDMLCEDIKAGPDRVLVPYDQAS >KN539498.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539498.1:71173:71988:1 gene:KN539498.1_FG005 transcript:KN539498.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNCAQNINKAPSQEEGFYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLRILCNVYALYLVHKHLGDFLSTGSITARQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDTDVPDGYQEHLRPLLKQQLKLSRL >KN539498.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539498.1:12727:14437:1 gene:KN539498.1_FG006 transcript:KN539498.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVRELQFTKQPCCSCSYSSDDDSFTRIAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >KN539498.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539498.1:93472:98588:1 gene:KN539498.1_FG007 transcript:KN539498.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKPWELKEDVEIMMEEEEEDDSSNLLQARGRNKKHALINGDEQQQQQQQQQQEVVEEHKSVFFDPTQGLWKCRHCDWTYLLNGPSRNDILNHQGYCQITTNLESLVQSESFYSSPSKVSEHVTEVSGKNEVTRVDQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENGSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSFHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITRRVILRKRKRTVRQTSRDEPPKKTQIAEPSANTSNQTVSERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGQMSGSDNCASWLFSCFEPGDSPKKTDAGPEKEPLLPGKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNAKKEDFGTVSFSGSSSVEAHSSSSASIINPGQSATGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQETPPASHTFPTPGVKIPDANPAKFIPDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGADASMPLIDTPASEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTLDIFILGIANLIGGLPIIFHSMAELRSIGEVDEREEQDGHYWLQLGRRSKYRLHVAMAVLSYLLFGLLPPLIYGISFRGRRGGGDVREKKMVAVAAASLGCIALLAIGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLITNLLAHFALITDQPPAAASSSSWASY >KN539498.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539498.1:63186:67497:1 gene:KN539498.1_FG008 transcript:KN539498.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGGEVDHLAGERATAQFDVEHMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLPRKELFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYRFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTKEGKYVHSDVPKQLLYGTMVFVRQTIVADASKALSRATCIAVRYSAIRKQFGPQTGGPETQVLNYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTHKLEAKDFSTLQEAHACTAGLKAVTTSATADGIEECRKLCGGHGYLNSSGLPELFAIYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQYLMQCKCGVNTAEDWLNPAAIREVFEARALRMAVNCAQNINKAPSQEEGFYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLRILCNVYALYLVHKHLGDFLSTGSITARQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDTDVPDGYQEHLRPLLKQQLKLSRL >KN539900.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539900.1:10034:10909:1 gene:KN539900.1_FG002 transcript:KN539900.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAPLLVLLLSSSLAAGQSTGDTVVFWGRNTDQLEGSLREACDTGLYTTVIISFLSAFGYIPGTYKLDISGHQAAVDLEDYLWNAFLGGGRPGVSRPFGDAVVDGIDFFIDQGATEHYDELARRLYAHNKDYKGRLGVMLTATARCVFPDQRLQAALSTGLFSRIHVKVFGDGRCASRREELEKWMAAYPQSRVLVGVVASPEADRDGYLSHKDLYYDVLQFINKLPNYGGIMVWNRYWDKKTGWTAGNEPGLLDQVL >KN539900.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539900.1:40380:41528:1 gene:KN539900.1_FG003 transcript:KN539900.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFQLILSTFVVTAAFTLLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQAAVDQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCSGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVMLTFAVDAGSNPSYFAVLVKYENGDGDLSGMDLMQTGAGAAWTPMQQSWGAVWKLNAGAALQAPLSIRLTSSSGNTLVASNVIPSGWKPGASYTSTVNY >KN539498.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539498.1:23507:24233:1 gene:KN539498.1_FG009 transcript:KN539498.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIGEAGGGAVVMGCKVLPMWRESGGMVDGARKTMVHGKKAVARVKELLRRAAQPRSPHPHPQATGASRWKKKKKKKEDDKYVLHGWQKVMSFQARDSSNSKAGDDSTSKLSFKWDAGSCSSASSSAMYSPLSAVSAPAKAPSSQQQQLRPWSTVPDDGEQRMAQWITTDSDFVVLEL >KN539498.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539498.1:3932:8826:1 gene:KN539498.1_FG010 transcript:KN539498.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVVGGGGAAVVLVALLVTVVLAVMRSAGSSSSSSKRGRLPPSPMALPIIGHLHLIRPPPHRAFDRILARHGPLVYLRLGPSTHCVVIGSADVARDFLKFEASIPERPPTAGIGRRTNEVHARFDALLETIIAAKEEARRLQGRRESSSSKDLLDMLMDAAEDDTAEVKLTRDNIKAFVLDIFTAGSDTTATTVEWMLAELVNHPECMAKLRGELDAVVGRSRLVGEQDVARLPYLQAVLKETLRLRPPAVFAQRVTVEPVQLRGYTIPTDTQTQSEPAFCGLASLSVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVKAEGITFAKLACLAHCAGANVRSFRADQSTIHDFRHHLVRSASSQDCHLIASYHRKPFKQVPCFVNPSLLHFKLLITNQFYHCIAFQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGSLLYPSSSSPIFYTTMYRPPSQNAHVSRHYCF >KN539900.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539900.1:27194:34725:-1 gene:KN539900.1_FG004 transcript:KN539900.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREKKSFVPESEDEACGGDPNSEPSPDGCVVPDSEDEACGSDPDSELPPDGCIVPDSEDEACGGDPDSELPPNGGFVPESEEKACGGDPYSEPTPDGCIVPEFEDEACGGNPDSELPPDSCSVPRGNWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVSPSEFLQVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGSDEHHIRYPVDRYDRFWQSASTAVNASRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGRTLNESSTGSSDAIAMMTIKTKYSVKRNWEGDPCAPAAFVWDGLSCIHTSIGDIQYNPRGLHKITALNLSFSELIGDIDASFGQLLLLRHLDLSYNNLSGSIPDFLGQMPLLTFLTGNNPNLCGNHTCDPNSNKNKRNKFIGFVIAAAIVATVFALSLSALLIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDGTDVAVKVESQTSLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCDDKKHRCLVYEYMDGGTLEGRLRGREDPPEPPLTWLQRVNIALGSANGLNYLHTLCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQASHPSESNDVYSFGVVLMVVITGRTAIFTIDGTERNLAQCVRDWLSSGRGIEAITDPTIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMWSSSPHSMRSSTTISSSIGFTGSGRADHDAAADSIAVLQVD >KN539498.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539498.1:73335:84222:1 gene:KN539498.1_FG011 transcript:KN539498.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MAGLAPPPLLLLLPLSSSSLSPAAASHHPTSPPSRTRRRRRLLSAVASADGDAPSPVSVSASAATKGPSSSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVKFLLIYSFLLKISTNAIIEPKCSWCVGIRLGGLDGWHGNNTIHIFSAGSLPLLPVSVEITYGLERILMSLQGVDHFKNIQYTKGITYGELFLENEKEMSAYYLEHADVDNIQKHFDDFEEEARSLLSLGLPIPAYDHVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGYPLGTYQESNLIYPHVSEKPSRKGVVGQPRAFVLEIGTEELPPHDVIEATKQLEKSLIQILEKRRLSHGKVRSYGTPRRLAVVVENLNMKQMEEEIELRGPPVAKAFDQEGRPTKAAEGFCRKNNVPIDSLYRRTDGLNCEYTFSGKTEYIYARVKESARFADEVLTEDLPTIISGISFPKSMRWNSNIVFSRPIRWIFALHGDLIVPFCFAGISSGNQSCGLRNSSLANFKVEAAELYLHTLEKAGILIDMQERKQRILHDSSILAEGVGGDIIAPDSLVQEVINLVEAPMPIIGRYDVSFLELPKDVLITVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHERLGTMLDKMKRVENTVAEVALLLGINEKMIPVIKDAAALAMSDLATNIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDVFPKTDPGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQILVENKKNFDLTKALTLVAEEQPITIGSGVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLIERANCPYLASQTAIEMEAFSRTEDFPKIVEAYSRPTRIIRGKEIGSALEVDASVFEKDEERALWSAYLEVADKIHPGVDIKAFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLTKVASLPKGIADLSFPGFSALPISEVPTTKEN >KN539900.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539900.1:22399:23446:1 gene:KN539900.1_FG005 transcript:KN539900.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLPAARAAILVFSTSELASLAISIVYTYARVERWKCGNMFDACNISKVAAKGRGSAEVCKLDSFEEANCLKEVMSHSQQQIAHSKDELLVRIERMHKEVEVKLSIFENAKSAFDMAEMAGEAGSEVEKTRMAALAAGSQFIDAKRILQALEQVGEVAVSLIESLKHKATSMVVATTKSTFMGCGLVPAAGGQQDDNNAGEDQKLDSGHLHIHATRPTHAVGPTDQQ >KN539900.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539900.1:55437:57315:1 gene:KN539900.1_FG006 transcript:KN539900.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRETTSFFGIQMEAKYSYTPSFIFFVVAYAVAAAYSLLVLAVPAGSALSRLALTTDVVLGMVLAGAVASAGAISDIAKNGNSHAGWLPVCGQIHAYCNHVMAALIAGFVALAVHFVVVMYSLHIVTDVICPCH >KN539498.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539498.1:98993:101981:-1 gene:KN539498.1_FG012 transcript:KN539498.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQSGSPKARQPVFQRMRVTLTIGVIGLCVASYILGAWQGTSTSIHPSIIYTKSQCGESILRTSSNSSGRSSSDARLDFQAHHQVSFNESSLVAEKFPPCQLKYSEYTPCQDPRRARKFPKTMMQYRERHCPRKEELFRCLIPAPPKYKNPFKWPQCRDFAWYDNIPHRELSIEKAVQNWIQVEGKRFRFPGGGTMFPHGADAYIDDINALISLTDGNIRTALDTGCGVASWGAYLIKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVISTERIPYPARSFDMAHCSRCLIPWNKFDGIYLIEVDRVLRPGGYWILSGPPIHWKKYFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVNSRKIYETPQICKSNDVDSAWYKKMETCISPLPDVNSEDEVAGGALEKWPKRAFAVPPRISRGSVSGLTTEKFQEDNKVWAERVDYYKKLIPPLTKGRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSAHDTLGIIYERGFIGTYQDWCEAFSTYPRTYDFIHADKIFSFYQDRCDVTYILLEMDRILRPEGTVIFRDTVEVLVKIQSITEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGQPTQKQ >KN539498.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539498.1:28501:41471:1 gene:KN539498.1_FG013 transcript:KN539498.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKASSPSPTSTARETRSSSARGHASSSAAPTLRRSTRETTSRFSSSSSSSANKLKGSPAKQSANNNTAKRKMNDTSTSTRPIKKNKRLNAKSYLALFSTPQQTAKSPPAPGGTSRLYSNFGNVAPPLGVQVDDDNASTVPMQDSATLLEHEKADTQEQGYQSGLHKVPEVVLEETDVSKNKADEHASTSEPLIPVDLCSNDNASESSHGMEVKEQTVGCSSPCFVTDLPNRPCSIVHHEEVAKKTIEAGDPREIKGASTSNQALVTHSDGTDYNEYLCAVCRSRETPGILKFKMRQLRRCHHERLWERSFKKNLYDRMGRTGSIWLCTLCTKKRLQFGLFAVSEGIESLWDVKEVKWKDLGYEYATWELETSSFLCTPEAKDLKRNYESRYEDARRGFDPAKINKVKQFPFQKLQKLPDGFPPGLDKDHLSSLNRLREFWHNSDGAICLDDQERVIKTILFSMSILPDVCQPLLIVSTSASLSLWEAKFNRLAPSINVVVYNGEKDVRKQIQDLEFYENGLVTFQVLLSHPDAILEDIQTMESIVWEAVMVDDCQSLRVSKCLEQLKHLSTNFRMVLLSSPLKESIPEYINLLSFLNSEGSVISSSSNGDFTDTGDILATLKEKFARHVAFERKADSSKFLEYWVPARLSRVQLEMYCYTLLSNSPALRSHSRTDSVGALRDILVSLRKCCDHPYLVDQSLQSSLTKGHSLTDILDIGSGGGAGNPMGDILDDFVRQRFGFESYERVERGLLVPKKQTALNMFNDKTKGRFIFLIDSRACVPSIKLSSVDAIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTVEEKTLILAKHDHILDSNVQNVMPIVSHSLLSWGASFLFNRLEEFQKHDYSSKDSEDDDLFMNNVFLEFAAKLSTNVEASTKMENAVISRAQQSGSFYSRDIAVISEREGISAVDGDLPKFWTFWSNLLGGRSPHWQYISEPVQRNRRKIQNMEDQMRIPAEETDEAIMKRRKIGEIMDSSPKILPVKDNDAVLPENSTASSSHETSVDDTWQELGAESLQGTQKGLHTQLKPELSKLYELLELPETVKCLCEELLDYILKNHQVSQEPKGILHAFNIALCWRAASLLKHKINRRESLALSVRNLNYECDEVLAEYVYEKLRILKKKFSRRASETSKQSQSTPVNNTSPYKQQTSPKLRSDGSICHQVTTIDGDLENVSHEEAPHDILTEEMILEQKELISVLETHREEHVLRDELLDRITEKRINLIHMVFSLREKNIQDKQENETTLLDMHKQKEVAKLRETCNLVVEHLRKGHIDSEDRDATVKLIIEWFTLLLYAFLNHMRCQHNKLKMQQSTSWNKELQLKEIFLQQAKSGHLDRSFDQQIPLPDSCFTLEEFSHFKEIVGNFPVGAATSANCQHSLASTMEIALVRSVSPSEVGNSEAAINGAVEVPVHTEKRPTSEVGLSQNRMDNDSDGIDSQGGSPLAVQHSLSSNPAFDNSNNLESSVASHRSEHLGDIAVEVNADNCGTTLADSPHLEAPTVAALPSQSALPMAMEVDIQTDHVVQSAQQNIVTGRVPQEEEREGSTTVTSAQPLQPEMRPSSPVSGISRERTNPDQRRESRQPEAAPSSVDPTQLFPVASLMFNHPPLGNEPLKNELHRLQVNMDSLNKIYELKKSQLQTECSQEIEKIKQKYDLLIKEQDSAHHQHRKTLDDLYGKVLLNQSLADDFRVKFVSTSAAQARAVSPPLCQTTRQTAGVSQQVPTRPSVAGSIALPVGSSSASRPSLQRHCAQPSHVDRSSSSGGSHSSSPSSQVVRPPPAILGSVVRATSTPFSHTPAARGNYGVGSEVARAPAPHLQFRLPRAHPTAPANQQQRQLPVRLESTCSRTQLTPVSTPVNARQLSSQSVSPVSNSSSSSSSHPGPALSNPALAANSSSNPVLSAGTVALPPSPHPPESIAAPRGQQKGAPSGLNTVPVVGSGLPASRSMSDSVSLDAWLTSNLGLKDGETSTPRTRMDSHRTVDVVCLSDDEPEEH >KN538954.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538954.1:68555:68915:1 gene:KN538954.1_FG001 transcript:KN538954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWVRTITSPFRKVFGAQPHKDGGKRPQQPNSGMMVQHVVVDAERSKLHGEVMACAYEDVQVMWDMLDKARIREFNSS >KN538954.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538954.1:121201:121437:-1 gene:KN538954.1_FG002 transcript:KN538954.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVIGVERRGVLLQLRSGGLPITMQEGDSEEAPMALATNLPACMPARGEGVARRREGGEDSAGSAAAPVGYRSTVC >KN538954.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538954.1:43107:43415:1 gene:KN538954.1_FG003 transcript:KN538954.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKKRSVFAFLFRFKSNGGGGGRRDEAVAREEQRYYGHQQQPWGRTTTKTKVRPSDYDDDNYYYGRQWYAERDIDRKTSEFIDRVHRGILAGGSGGEQDG >KN538954.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538954.1:71228:72139:-1 gene:KN538954.1_FG004 transcript:KN538954.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIVLDRIANDATRRATFKKRRRGLVKKASELATLCDVDACLVVYGEGDAEPEVWPSTEVAMNVLRQFRALPEMEQCKKMMNQEDFLRLRIGKLKEQLRKMDRDNHERETLILLHDALQGRLGTYESLSVEQLTSVDCLASARLKAITDRLVEIRAPNEDGQVLLPPPPPPPPALPAPPPPPAPMLPLAPPPTHVTPATPLSSMPPPAFHHHHHHQNHFISHRGNDQNAWLMNVARNGGDLGALVYSAFASSSSSSTGGAGTSAAGAAPGPDMMDLANPDMPGFGWPWDDDSAGPSFPPM >KN538954.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538954.1:101179:102544:1 gene:KN538954.1_FG005 transcript:KN538954.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPAPRVVTSFLLAAALSIVAAEARRGGGGGIILTLRWNFNYGPAGQALGFDGLRNPEIVANCSDIAFQTALWFWMTPRGTKPSCHQVMVGEYRPGPADVAANRTAGFGLVTNIVNGGLECNRAGDARVNNRIGFYRRYCQVLGVDVGPNLDCEHQQPF >KN538954.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538954.1:39847:40152:1 gene:KN538954.1_FG006 transcript:KN538954.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKKRSMFAFFFGFRSNCGGDGGRKDEAAGREEQRYYGHQQQPWGRTTTKTKVRPSDYDDDNYYGRQWYAERDIDRRASEFIDRVHRGMLAGAGGEQDG >KN538954.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538954.1:126973:130235:-1 gene:KN538954.1_FG007 transcript:KN538954.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEECKGGGMSPSSSMDSSTHPALSTTSSSACRPPAARRDLSTDLRLGLSLSTVKSPYESIVHASHVVCSLMGLMNSQVHADWPPIKPFLRSALQKASAAGGGGGGARRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLIKLCHMFKTPITYADVMECHQQVPGQKAAHVLTYEDQDGDWMMVGDVPWELFLSSVKKLRIARMDRC >KN538954.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538954.1:164071:169600:1 gene:KN538954.1_FG008 transcript:KN538954.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLELSCGKKKKEKSLVMRTATNCSRSDGNDFAELLWENGQAVVHGRKKHPQPAFPPFGFFGGTGGGGGGSSSRAQERQPGGIDAFAKARKAPEATVATSSVCSGNGAGSDELWRQQKRKCQAQAECSASQDDDLDDEPGVLRKSGTRSTKRSRTAERRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPTAMQHLQIPPMAHFPHLGMGLGYGMGVFDMSNTGALQMPPMPGAHFPCPMIPGASPQGLGIPGTSTMPMFGVPGQTIPSSAYSVPPFASLAGLPVRPSGVPQVSGAMANMVQDQQQGIANQQQQCLNKEAIQGANPGDSQMQIIMQNVPVLKRSMAEEFHMVEDATRLQAVILDFQCSFL >KN538954.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538954.1:174932:178288:-1 gene:KN538954.1_FG009 transcript:KN538954.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALMSYTSRTEEEEEAAVGGEVGVGGWWDDPDGDELRARFKAFTGQRRDWPQPKLLFWKGLLLRVARRLRLCSAPARLVLGVWFARPGGLTPLCLPQVLEEMRADGEILLKSELIDPTTGSLYQLVRRMSQMAVISKQPIAQDDILVFKSLIEERAAEIVDQLRNSHWTSTCIVTISKFNAFFHGQEDSLVALCYLTQCGKARYIVDRRQDSVEGVKFSLMAAQVPAVSKLDHNTLHLILTEEKLQQQLDVLDRQWQMSRRRALVSFKSGDKQSAYRYVRQSKLFSESRKRCTPLLERVEEVTSLIASAETTKKVNEAIKVSIQAMNEHHVSVEEVNEHLKEVDDLVATQREIDAALGSVILQSMDSEENTEEEFMKLEAELQDEIPHVQEDPVSHANEELPNDEDVDSLSNNLSNIKLEAI >KN538954.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538954.1:92447:92824:-1 gene:KN538954.1_FG010 transcript:KN538954.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEAATGKRLVADLNVQELTNLGLVIDERINHLKERIERLGGTALMAPPPLTQPAEASSSLPPLVPYANGAGMEGNKRMKVSTHQKGWFINMSTMTGDAGTSIDVEGNTGVGTSARGDMMHLSN >KN538954.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538954.1:49985:50419:-1 gene:KN538954.1_FG011 transcript:KN538954.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLLLSVATGRGLPLSSIGHRELEAESSRPNDATMGKARSVAVTTGDDVDLSDDGELFDASSHLYLLYYYYYFSDFKGAAG >KN538954.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538954.1:14654:16899:-1 gene:KN538954.1_FG012 transcript:KN538954.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELTRSYSALYHQYLQVTQQQNHRHPDHHLIMNNISNNRPNSLTQISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQF >KN538954.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538954.1:105183:105371:-1 gene:KN538954.1_FG013 transcript:KN538954.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTANCIDILIAIILPPLGVFLKFGCKIKLNYYEIGSCMHMWLIDLIF >KN538954.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538954.1:142844:145501:-1 gene:KN538954.1_FG014 transcript:KN538954.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/Swiss-Prot;Acc:P55826] MSIPGKLRAGLGALGVRPPPPVCVLSPLCILDSLVKFDCAERLRRRFQGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >KN538954.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538954.1:52628:53313:1 gene:KN538954.1_FG015 transcript:KN538954.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKKKSALASLFGFKSGGERRRQQQEEMATAAAAGRKQQQQLQQQRSYYCPERRRRVWPSDEDNDNYYAERDIDRRASEFIDRVHRGMLIAGGEQDG >KN541722.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541722.1:9032:9280:1 gene:KN541722.1_FG001 transcript:KN541722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAGDGTEVAWASSQGRSGGMQRAGGRVLRQVRKSAGGGAPVRSWWKPRAANACVVVTELMGDKLLERLRGVPGESLAR >KN541722.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541722.1:11174:13099:-1 gene:KN541722.1_FG002 transcript:KN541722.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFAHHRDFGSYDGGWLFLSISQSSCHGLHNINKNVNSKRFRFSLPDQRLYQIRPTTAEADKFIVIVAATLPCQPTEPGCVAAGIIDLHSFPDHPRYIAFWKIGDKSIPAMNHEPEVVEDLLTAAMALAPSSSSPTENTSSPPPPPPPTPTSAFQVFQREDQKVKSINDIDGVVRVEHSWIKLADLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFYDRTTVFKNDDKRKYHRSDIGKWSGSPPQVRHCFPEQVLNHVPNEADQVADREFDGIALSNYFCMLIESTFLG >KN541374.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541374.1:6119:10367:1 gene:KN541374.1_FG001 transcript:KN541374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGAMGSLLLKLGNLAMDEYNLQKGVKKNIEALRREMESMQVALRKVGDVPSDQLDEQVKLWARDVRELSYDADDVLDTFMVRVDDQGSNSKGMVKKVAGSFGKAKARHDIADEIKDIMERVREVAARRDRYKVDAFVARFDSGVTDLATSAVDPRVYTLFRNEAELVGIDKARDDLIKALTMEAQGLPNQQLKVISVVGPGGLGKTTLARLVHNRLKPQFDCTAFVSVSSTPDIKKVFKDMLLELDKTKYKDIHNLIRDERQLIDELGDFLNNKRYFIVIDDIWDIPSWTAIRCALVANNAGPGSRIIATTRDFFIAEQIGIPHKLKTLTPESSKKLFYGRIFGSEDKCPMDLVEVSEKILNKCGNVPLAIVTIASVLAAANRMRNPTNEWNKFTFPSKDLLTVHMYISRRLRNWKISIDKDVDSRGFIQPEKDGDNLFELGDIYFNELINRSLIQPSGYRSGMPYSCRVHDMILDLVHSLSIKENFVTVLGGILQQTSPASKARRLSLHNSKLEFTTTQTNLKMSQVRSLSIFSGSGISLLPSFSSFQVLRVLDLENCDLKEGCHLDLRHVCNLFHLRYLRLFECNYDRELPKEIEKLKFLQTLTLGSGVRLPSTIVELRRLMFLHVDSDAILPDGMGNLTLLEELSSIDIDKSPNFAKELRNLTKLQELELWWGEMNESLEEALIESLCNLHKIQDLHIIALGNSSLDFMGERWMPSGHLRRFVVDGISSFSTVPAWIRKNPSLLTNFSNLSINLMELRQEELEALGRLPALLFLRLHAGRTGCLLTCAAGEFCCLRSFYLRVRYSLRLTFQQGAMPRVQSVHLLFSVKDKRDGGNVDFDFGLENLISLEHAYVYLERTTSTDSDMDRAKSALRHAVQIHPNHPTLEITEMI >KN541374.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541374.1:15459:22823:-1 gene:KN541374.1_FG002 transcript:KN541374.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLPFIQCSSGTHFHISTVEDFISIDCGLPSGSSYVDEKTNITYISDDQYIDTGENHKISSEHHGAEQFRSGLNLRSFPTGGRNCYTLYPAIKGQKYLIRGMFMHGNYDNKSQNLVSSPLLFDIRIGLNFWNQVNISSATMTYTSEAIVLATVNSISVCLLDNGKGTPFISSLEMRPMKSSNYPAATPNHPLLLQDRRSMGANSTIRYPDDPYDRLWWPSQNTSEWIKISTTSMVRRYPDDVYEVPASVLKTAATTSSNSTALNFLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGSDLQGAISEKFSMLRSLEYLDLSGNHLNGTFPEGLCKNRALKLRYDTANGDPCSSRSSKKKKKAVLAVAIVVPVVIVSAILMFIFCKKQSIVKSRGQEHCGDHVHIPDNREGGFGPVFHGQLKDGTQLAIKMCSPTSTPGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGLRKVAGGKNAIIQKLSWQHRARIALEAAQGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGLRKVAGGKNAIIQKLSWQHRARIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEFVLICIQSFISIKKTTMQILRILPAKNANKYQRTSFVVTLDAINKTNCVFCSNYFRYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTTGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSIVVAQLKDVLALEQARLRYSISDISQGGANAELSYSLPMPR >AMDW01040926.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040926.1:91:2745:-1 gene:AMDW01040926.1_FG001 transcript:AMDW01040926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMDKNHPFGIGQMENGNGSYASEAVTSDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVEQIGVDEDLPLFALKLINSAIELGGSSIRKHPKLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNIFEELANLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNATSRPELLPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHIKSQMGWRTVVLLLSITARHPDASE >KN541108.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541108.1:829:2978:-1 gene:KN541108.1_FG001 transcript:KN541108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIQVWDKWEIQSMVLVSFILQLFLLLTGRLRRRNINSLLRVSIWLAYVGADLVAVYALGLFCQYEQKYILGKHSFKNTLPLLWVPFLLVHLGGQDSITAFSIEDNNLWLRHLLNMGIQGTLSLYIFWKSINRINRSVLISAAFIFVSGIIKYGERIWALKSGSRDGLGKSSMISRKKEQSSPRQSSKDDIHSSMGRASYALQTALLARGLFVGQTVLQLGKGADERFGNYFKTNNNQELVVEEKLKMLVMELGMMFDLLYTKAMVLQSRVGCVFRCAGQVFTVVSFILFLQAEKHDGHSHNDVVNVAISYTLFVGAIFIEACSVAMVLASPWTRAHLKEVQLKEECEKLSNYMNYLRETYPSMLPVSSVVDDGVLHPAPADDLCKRNCGRLLVLEKDAQLLVNEQDSACPFEPAIESKEDLKLSLEEIKEMWTRMLVYAAGKCSRELYARQLGVGFELITFVWLLMIHHGLGDAATEVKLLTSDDPSLPELGSLVADGGNWGPWREQPRYAFNFCHQGAEAGIPSTSLESLLSSLLQTTMMPETEQDVIGQDQAGTSGHAEENGQEETRYEISVTLKELK >KN541108.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541108.1:24657:26799:-1 gene:KN541108.1_FG002 transcript:KN541108.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRTATGGWYVKTVVHEHNHRLVESCGERKHFSHKSFDQAVKETILHLRKNNVSLSKVNCKLGSMHGSMNALPFTKNSVRNVCAEIAEDNLQDDVKKTMQLFQKLSKSDPDFKFSAQLDEENNIKSLMWCSGRRIFFAALILVVFSVPFLCNADQAPTMAAAIRKVRIKPAFGYPIVRHAAQTYTIAAYNIFVEEVSKSTSYIVTYDEDRESSDTAVAKMFRHNLLDSISNEVVKIGELDPKAF >KN544484.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544484.1:2015:3220:1 gene:KN544484.1_FG001 transcript:KN544484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVFAGKAIATSVITYVINKAFDYLKDNKEAGGLKPTRERLEKLLPQIKVVLDVVDMERVRDHSDALDAWLWQLRDAVEEAEDALDELEYYKLEKEVKKIQADSKVSSSLYQYKGKIVQRFNHTFNTGSLKRLKNAVKALADVASGVERFFQVLNQFGNKFDQKQGVEFKNLLETGSLPHSLVLGREEERNIIVEWLTKCGNSASEQIVSNIPIFSIVGLGGIGKTTLAQVICNDSKVKDYFDLFIWVCVSHIFDVETLTRKVLQDVTMTEIRVIGLNALQKALQEKLSSSTFLLVLDDAWNDESLHGWETFVSPLRYGKTGSKILLTTRMQSVADLAARAMQGDCKSLPLSGLKETDLLLLLERHAFFGVNPDDYKNLQHISKKMVYKLGGSPLAAK >AMDW01030075.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030075.1:49:123:-1 gene:AMDW01030075.1_FG001 transcript:AMDW01030075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQ >KN541203.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541203.1:11970:13305:-1 gene:KN541203.1_FG001 transcript:KN541203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFIGLLAVPSVARSPQYADMKNIPLKMVGLLCVTVFSVVLYVPELCSVWNNFAGLEIWIFSTTVVVTAYAQLCLPSSSSSLSHWAEIKFLRKNVPPVPPQPRHTGDVGSSPTFEQLSVDSCQRSSVNHDKHAVRQPQGVGHSVNNDVVALMFITVFPYDLLWLELQRVKGGDLVLSHFLLFLCSALGAVAVLVSSLQPAGASGRAAAQVLQKICTMVLTVTVHAMVAEQLGEDTLVLACVTELTAMLLWFTVHPGHVDIIKGTLTRQFRSQKFGLFNLSLAVVILIISMYGNERKTLLSWYSAWALKMFSFSAALCFFDLVMIYQWPGSIFSTEGPNLPIWVLMVSGIFFSTTAAMVALIPVGHWIAHSVLDVTRFTIFMPQMVFGCWLCFYYLLFSQLLRLAFNLWPVQKRISPRKKEGVEGATSSGK >KN541580.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541580.1:583:2934:-1 gene:KN541580.1_FG001 transcript:KN541580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAIRQVDVVVSAMSGAHIRSHNLMLQIKLVEAIKQAGNIKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAVENANIPHTYVSANCFAAYFSPNLCQMKTLLPPEERVGVYGDGNVKGDGLSFSHG >KN541580.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541580.1:18893:19717:-1 gene:KN541580.1_FG002 transcript:KN541580.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGSGYIGRRIVAASLAEGHSTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAVRQVDVVVSAMSGAHHRSHNILLQLKLVEAIKEAGNVKLEN >KN541940.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541940.1:2298:4879:1 gene:KN541940.1_FG001 transcript:KN541940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQAGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQTIWNINSLRGKSVKRYSLATGFACVKGFLLERKPESAAAMIKLLHKHSPDEKKQLVMDELQKLVAEWPAVVIKRQKKDDRKALEEALITDIPQMISSMSKLRLDISVNLEKLTSQPETA >KN541940.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541940.1:10069:13387:-1 gene:KN541940.1_FG002 transcript:KN541940.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKIPFLRDTAELCEMWCQLRLIETLRGVLWAQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGEGARKTRTRERPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNQKRKHKSGRSSKQPATARAR >KN541940.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541940.1:6672:9412:1 gene:KN541940.1_FG003 transcript:KN541940.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSKEIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHLYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSWFFDEQLQDEVDSEIDPDFALPSSILPEEFGENSVTASIARRYNLRPRIRPR >AMDW01031642.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031642.1:23:232:-1 gene:AMDW01031642.1_FG001 transcript:AMDW01031642.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILARYLKTFRSADPAWFYLHVSCQLIGYGVGVAGWATGINLGNMSNGITYTLHRNIGIIVFALGTLQ >KN540830.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540830.1:3784:4583:-1 gene:KN540830.1_FG001 transcript:KN540830.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFPLLVLCLLPIIVCSVVDAELTHLHFYFHEVDAGTPNATVVNVASLHRNSSTFGDVNVFDNALREGPDPASRLIGRAQGLAVHASLDETGGLTAINFVFSDYGAYSGSTLATQGHFITTGPSERSIVGGTGKLRFARGYMTSKLLSSTDTAIVVVFDMYFTLDH >KN540830.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540830.1:27744:30060:1 gene:KN540830.1_FG002 transcript:KN540830.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKGIPQEQLPSQELHPPPMPVINLGHLSLDDPTVRSRVVNDIAKACRDLGYFQVISHGISQSVMDGAIEAASEFFKLPNEIKKEEYMAKYAVEVRVVALKLMEAILEGLGIGKEYMHEKFEEGLQLLSVNCYPKVSQSDTSIGLAAHSDYGLLTILLTSCQGLEVVDRSSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRAVLNPQEARISLASIHGFALHEKVSSVKELVDEENPQKYKESSFNDFLEHLTANMDNRQRNFLESLRM >KN540830.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540830.1:22444:26321:-1 gene:KN540830.1_FG003 transcript:KN540830.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAESIAYHKPMVLRTISPAHNPPLTMGGPQHLEELAGVRMDDPLMWGFKGFPTTDAKLQRWVLTAEDICFRNVFMSYIFRLGKCVPITRGAGIYQDHMTEALEVLSTGDWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPVTPIVLPIVHSGFEKVMPEKSFFGRRPPVPLWNKEIHIIVGEPVEFDLPSLKQAARTVPQDSCFERKGWPSIMPDGLDEAAQRWLYQKISDKIQSVMEILRKRLLDLKQH >KN540830.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540830.1:5673:14300:-1 gene:KN540830.1_FG004 transcript:KN540830.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPELAGAIPLIDRFQWFTRAYALELRHCFAEQLQQLIVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEVTNDLDKLKSLCDEKDSSLQAALMEKTRLETRLKSGQGQESSNRTGVSGNHFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >KN540830.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540830.1:35611:37182:-1 gene:KN540830.1_FG005 transcript:KN540830.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAGSLYMASQHKGVPPLPPPPPRPLPVINLGRLTMDSASRALAVRDIVLACRERGCFEVVNHGISGSCMNGALEAASEFFQLSTERKEEFASDDIRQPIRYDTSSRDGISMSRSFLKHYANPLDDWIKFWPTQPPTYREKMGEYAVETQRVSMQLMEAILQGLGLGPSYLQEKLEGGVQFVALNNYPQSSAKKADKIGLAPHSDYGFLTILLQSSPGLEVMHHEDDAWTSVPAIPGALHVHVGDHLEVLSNGQLKSLVHRAVLNPNESRISIASIHGLSIDEEVHCAEELVDEHHPKMYRGSSFQDFLDFLPANMNRYKRYVESLKIDKP >KN542921.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542921.1:1196:2940:1 gene:KN542921.1_FG001 transcript:KN542921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLADPRDAWLPITESRSGNAYYAAFHSLSSGIGFQALVLPVAFSLLGWTWAIICLTVAFAWQLYTLWLLVKLHEPVAGGTRYSRYMHLATTVFGEKWGKILALLPVMYLSAGTCTALIIVGGGSMKLLFNIACGEVCLARPLTTVEWYLVFVCVAALLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSVAKGRVAGVSYDPVRATGEEDGAIGILNGLGIIAFAFRGHNLVLEIQVKSLSHSFRLHSATDNDGKDKVTDECMFAINSQATMPSTLKHPSHVPMWKGVKAAYVIIALCLYPVAAGGFWAYGDQIPPNGILSALYKFHSRDVSRVVLGTATLLVIVNCLTTYQIYAMPVFDSMETGYVHKKDRPCPWWMRAGFRALFGAINLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMRPAKGTAMWYTNWGLGSLGMGLSFVLIVGNLWGLVEKGLHVKFFKPADFQ >KN542921.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542921.1:10884:11357:1 gene:KN542921.1_FG002 transcript:KN542921.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSMGALAAVCVYMFVFVSSARAEDPYRFFDWEVTTGNINPLGVQQQGILINGQFPGPEIDCQTNDNLIVNVHNRLSEPFLLSWQVHFTQKRSQALHPVNPAFFIFISLHTILRTIP >KN542921.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542921.1:4413:9786:-1 gene:KN542921.1_FG003 transcript:KN542921.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKWSQPALVESKHGFYVCLLSAMPSIQCVAPFLPYQATKVHNSIWVAGFTYLGTIPIYSHFFSRKRQANSKSGSLNSAMSRSKIEQQNPQSTTALLLQQTMDLYPPGTSTRDFTCSYCRLIQEPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLECMRIFLLENLD >AMDW01036475.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036475.1:45:323:-1 gene:AMDW01036475.1_FG001 transcript:AMDW01036475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSTVPTFPCLRYLSFAYLDGLEKICDSDVTFPQLETLKFTGCPNLMSLPFNKGTVPLNLRELQLEDVKLWKNLIWEEEGVLDLLEPYLKIK >KN539203.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539203.1:105450:108899:-1 gene:KN539203.1_FG001 transcript:KN539203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMIAQWCTENGIALSPLENQEEDLVTNNERKSFSELFDRISSSSNISEKRQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNPELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAITFLISALQSGTMEARSNAAAAIFSLSALDSNKAKIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKLHENKSRATKSGVIDVVLKAISDESLTDESLTILALLSSDHETVEEIGETGGVPCMLHIIKDDQCKRNKENAVAVLFSICMYDRTKLREVVEDENLNGSLAWLAQNGTSRARRKAAGILDKLKRTIHKTHYSC >AMDW01033545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033545.1:22:375:-1 gene:AMDW01033545.1_FG001 transcript:AMDW01033545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRALAILAATGFVAMLACVQAAGDESYTFMKDAVQSPQVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYGDERIGNMTRFADTLSDTSPSSPAQRFVSEDGVINSRPR >KN539203.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539203.1:85000:87133:1 gene:KN539203.1_FG002 transcript:KN539203.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHKPTHPPLSSPIPKIPNPCNFRSCSLTTVPPAGDLIPAMRLQRTATRVLRPAYSTTQARGPRTSSSNLSSDLVMYVLPMHGGGGGLNNPVVDLEGACSLNQSPWDLACELENPNPLLASTLVFDAITYQRFFHFAFDGIVYIELIENMCDFLYPEDLFDKYLVHIPHRASFTFNNDNDEEMEVYDMIWKQQQGEEMKDLNPSQKEKQDGETKMVKDHMNVEPLVLEEDMLDKGIEDFGEKKASVWYYHKNNCKRWHCQNIVDGPNALCEYHLAKSHPNTPTSVKVATAHSKSCCIITMSHLPKSSFKPTLTGEPSSLRAASASMQKNSQSHKRKVGNGLSEDAYYSYSLFSPFHGKDQDDSSKGRVATIDYQQKGFLQQDNIVLIKERDDNKEYINVDNLFDDFSIAGDDGQSDKDYFVGGANNPHVKKGKQ >KN539203.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539203.1:70105:73643:1 gene:KN539203.1_FG003 transcript:KN539203.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASKVLGSTYFTTQSEASHAYVSNLPPTSPTPAPVAYGGGGGDLGGPMVTPDGVCQLSLSPWDLPYELEDPDPLEAPFDRYMACIPFRASFVSDSDNNDDDQMEVDEDKSWNQVENEEVNDQQQADHKVDQSGDPAARKMKGKMNESSMVGMEIMEHTDKQAGVWYCNKNDGKKWHCRTIVDGPKTLCDYHLAKSRSYYTRTGEAGAAAASSKSGRAKAPAIAKPKSSSKRTPAGESSAQNNSIAAAAAADRGSSSSKQQAGAEEKEILPQGNAVAMEEKMDGKKLYDGAYNSSDYSSDTASDDESDEDYTVGGASKRRTKKRKMKLSVKKVQFSKMMKKRVKERSLKSLL >KN539203.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539203.1:9965:14165:1 gene:KN539203.1_FG004 transcript:KN539203.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANARGGQRGAVVAGPAGVRGGVVGCARPGDGVAFTVAEHDGVGVGVDELATDEPRELAKIAPFVPELPEGGAVLALQVTVLPPNRRGLALGVIVHHSACDGVGSTHFLHTWAAACAGDRKLPEPPVIDRTLIRDVPDRHDEFASPTNEVNDLFKAPDAGKFLVTFILSREHLQGVKDTVAGEASRRGVPPPRCTSLVAMDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGAVSAAEDRSGAGGIEVGIALPPESMDTFRRCLADATAWLSSSSQCN >KN539203.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539203.1:59437:59718:-1 gene:KN539203.1_FG005 transcript:KN539203.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLDLLQLHLQVLRAAFLKIENLIPANGMQPSGKPTGRKKEKEKQRQHSNQSQIDALDQLWVKKKEADVEKERQRAERCKVAFALDQQQIDF >KN539203.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539203.1:94525:97104:1 gene:KN539203.1_FG006 transcript:KN539203.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQEAPFDKYLVRIPRRASFVLNSELELEDDTMESKDKICNHDDEEEEEVKNQKPAAHSLKKDGAARKRSRKGNDEPILQEEEEDMVMKAEESEDKEATIWFCKKNDGKKWHCRSIVDRPNTLCDYHLARSRSSYTPSSENGASATAAATCSSGPTKADAIGKIKAPPAKSSGAKRNSPGGAAASSSKAAAATATAPSSSKASSSSVSVTRRKRRKKSTNGSGGDYYFYDLFGPFRGKDRRNHGVVSASEEDHKAGLLKAKEKMEYIDVDNLSNNSSISGGGDKENDDDYVVGGAGKVRAEKGKGKIAVEKVPFQKMVKKRTVKERSLKSLL >KN539203.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539203.1:55559:55951:1 gene:KN539203.1_FG007 transcript:KN539203.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPASCRGQSGEDSTVIRRVGILSGKVKLITASVQDDRRADLLGLGDGAAQALGIGGAVVCRVRRRPGTTKAPSGAALGASPAEGEPPWRWQGQVAVRCSAAAAAVGTSEEEHGGGRSHRAGTGVGSE >KN539203.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539203.1:75518:77057:1 gene:KN539203.1_FG008 transcript:KN539203.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSDHLCGLPDDVLRHIISLLSAKEGGATAVFSRRWRPLWRQAGTVNLDTEPYLYPAAYRGYNFPEHRRSAFVGHALAALAACESPRVLSLRLVSEEIEGGAAEESCLRHLHGVRVLKLQLDFYAEYIAMDAGGGGVPATFPNLEYLELDAHCKDDHDMATELTVASVLRWCPAIRDLRLRLSVADAEGRVNVIYNSKRHMIHHARMMRNSFGQDVQTKIDVDVTNITTSSP >AMDW01014803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014803.1:8:136:-1 gene:AMDW01014803.1_FG001 transcript:AMDW01014803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLLLGLLLSITCLLQVLLVAANPQPPPPPSCNKSDKEL >KN541354.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541354.1:13805:17940:1 gene:KN541354.1_FG001 transcript:KN541354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTNKRKRRKDTQKSRMCAASMRTVLVRSPSSDKILKIFVWLFQLMIIVDDAGAFLPALNHSPWDGVTIADFVMPFFLFMVGISLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDCGLDVIRRYRYQLVVALLLSTMYTVILHGVYVPDWDYQISGPGSTEKSFSVRCGVRGDTGPACNAVGMLDRTILGIDHLYRRPVYARTKECSINYPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYALATSGAAGLLFAGIYTLVDVYGFRKLTIPMEWMGKHALMIYVLVACNILPIFIHGFYWREPKNNLLKFIGVGA >KN541354.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541354.1:18964:24302:-1 gene:KN541354.1_FG002 transcript:KN541354.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASGDQPAGAPSDKLRHVESMSELPSGAGRISGINAVVLGESLAAEEHDLVYPSADFSADALVPSPKQYQKMYERSINDPAGFWSEIADAFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKLTYSELLDRVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAGLAESEKQGVAVGLCLTYENQSAMKREDTKWQAGRDVWWQDVVTSFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTRHSRKSLRVLGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQVIIYVLNPVIVDEKGKEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVAVEHEVKGQGIYAFVTLVDGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPGVVDQLIALKDC >KN538936.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538936.1:80126:84886:-1 gene:KN538936.1_FG001 transcript:KN538936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGFDLDFVEKLKLPSYHAKVMDGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGPSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRNRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLLDLANRAMEEFFSLMKENESLLVKKKENGLLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGPSNLHDGLLQLKNLQMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDVSIDTILPGESKTAQSSVQTSSTAARRMEVRLLPSGCVIEEMENGYSKVTWMVHAAYDERAVPVLYHSLLRSAKALGACRWVASLQRHSQFLSGLHKYIFCPDSTMTEVVMRRKVLYLVKQMTSSFTGLFASMSKATLQDGDDTHFAHQIVGGATGEPAGLLLSATTTIWLPGVNPRRVYDHLRDEQCHGEWRCLLGEQLHQGNALPYGAPLNGETVPEFYRMVNGLHEGHAISLINPREMGGNISNTLLLQEARTDLSGSLIVYARTDVNTVHSIMNSSLNPATVFLVSSGCAILPDCLESFPLHPAATADQAGTSSAAITSRSETGGSFVTVTYQMFFSSQGGAAPASSSIHQGRDALKKATDMFKVVLDTLTVA >KN538936.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538936.1:181234:189337:1 gene:KN538936.1_FG002 transcript:KN538936.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRQRKASSEANANVFMPGGPNGISFPASNRAHDWGYGGVGEEWEASYARKLQLMNFLSALHQRTANSLVTTRMDANMDTPLEQKQKDSSAIIVLDSDDEDEDTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQVLLTLPTLPNERKRRKTEPTTLVDVDGGTNLGKRKRKNHQNQAAVDSNLDLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDYNHDIRIHEDLGHVYRICGMIVRKAKTIIDYQWKKLSRHDEAIFRYVNEARETKSSRCLLQKDTFLYYYSMYRLAETLASRTRTNYYESRSKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQKRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIILDVHLNHLSP >KN538936.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538936.1:32927:36691:-1 gene:KN538936.1_FG003 transcript:KN538936.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYYEEDWTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSVKTKRKRYQINLCTSVI >KN538936.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538936.1:126043:127272:-1 gene:KN538936.1_FG004 transcript:KN538936.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSFNPEFLQAHVELWNLTFSYLKAMALECAIKLGIPNAIHRCGGSVSLSELVISIPVPETRKPHLPRLMRFLAAVGVFSLDNPTIDEEVTEKGMGIYRLTPLSRLLVDDSIGGIGGHGSLSPFVLSQTTKYHVSAAMNLSDWFMTEDKEVAIEMPFRAAHGIDLWGVMSRDANMNEVFNAGMGSDSRLAINFIISKCGEVFEGISSLVDVGGGTGTTARAIAKAFPHIKCSVLDLPNVIDTITVDGIVEYIAGDMMEQIPPTDAVLLKYILHDWNDEDCVKILKQCRNAIHAQKPGGKVIIIDIVVGSPSKDMFEAQVSFDLLMMVITSGKERDQHEWHKIFMDAGFSHYKTRPVLGFLAITELYP >KN538936.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538936.1:149544:154852:1 gene:KN538936.1_FG005 transcript:KN538936.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVHAFESGDCSDGSAAAAICDLLNAAADAADAEADAEDEAAAGVAEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPVASNGSVYFVLLFKELAKVLVLIQRRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYNATLRIGNAIQEMCKKMVNQKNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGDDVTSATDKIAKDEDADLLECFSFAMDGASLAVIWTYIDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHSLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKVISMVPSSQRFDILQALIKNSIFPSLTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFCHALELIGLILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >KN538936.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538936.1:14854:15896:-1 gene:KN538936.1_FG006 transcript:KN538936.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFSSFCAGGTIMGVRGRVPLPEREREMAAGDSVCCVGSFEGWLVGVKANKGRYFGDRRRFLMNSFSRDVIRLPLPSGASRSADAYTRSLPIINGSGVLHCTINAAKCVMLFWKVVLSSSPDSGSKCVVAATSMVKDAVKLALWRPGMKSWSVCDGNLHMLSFGKFTTNLLVFEICEDDNGLMVSRVESCVIKLPGVMGTANETWSIVEWRGKLLIVVTYFGEFGHNIIEIRVYEVDCSTNPATFTEIKSLDGDCIFISQLSSKSFRLSHYDVVKDDLIYFMDGRSFDKSVYNMKDGTMAPITADMSEDKIWTPDGRLMNSTWLFPPE >KN538936.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538936.1:148270:149271:-1 gene:KN538936.1_FG007 transcript:KN538936.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGNGNRKRPLVAGGAGEEDRLRGKKRRAAGALEKTVTRTDLDSHQNRFRLACGGVGRSLIPMLTRREAIAASFLRKEEDEAQAAGSTPPPQPEENNTAVVVVEQQQEEEEEAAGTTPPQPESDTAVAVVEQQQQEVEEETTGPGGGRKKKKGRWHGGLPVTLVHLRGGMKRLLLTRWDSSGAAIIKGEGYLDFIARCGIKEKDVVHVWAFKQQGFRLFGATYPPGPLYILIAGTARLAAPPPPVAQSPPSC >KN538936.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538936.1:42447:46328:1 gene:KN538936.1_FG008 transcript:KN538936.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVIPASGPDATRVARSAPGPHLRGPHEWAQVTAVSEFRGTQVSERDECQFAISDSQMTFHQRYLSCTYTYTLEKPKPKGKKEKSKKPIEVPKPPPPLCDFVVWIDKETHLKDVEDLRRGELWWEEDFHYRFRNAYIQNRKKKWVEEKEQKEKEDLAAERERKVAREKHARKPGRRPLGRASTPVSPSRMYIIPLSCM >KN538936.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538936.1:8202:11014:1 gene:KN538936.1_FG009 transcript:KN538936.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTSKPPIIGRDDQQQKLEAMLLSSTGRLAVIYVVGDSGVGKTILVNSVCSKPSVREHFKERIGVKFLDHPMNDVHEIKLACLNQTDCRKLFHMRLHGKEEDEQNQTYLPIYYQRVYDITGGSPLAVILLAGLMHNKEYPHEWDRVLKYLESAKEKRLNRILSLSFDDLHHELKLCFLYFTAFPVSYKGLVAPRHEKTVQQLGQLYLRQLTTRGLVTEASADGDYDIRHFFLHDSVYLFARSEAQEANFMELHDGDYFPSPDRHRSPEISRFDLTKLLKRSKFLRVIMIEGLNIGTELPEAIGGMVHLRYLGTRCQSLRRIHPSIGNLKNLQTIDVRKSSVHELPCSFWKITSLRHVFGSKLIVPRWTHELKQLNTLKSVRALQDWDGSMLTRMVNLKLLDVTILEKLKEEKARKLSDNLNNLNNLTTLILKGVDLPISSIFTAPSLQFLKTIELIGTLLLTTPSPEIDKMTTSPSDFHLPNLSKLSLPKTCLQQGFIGKLGKLPLLSNLILEDVSFDGEELVFRPNGFRCLKKLEVNDTSKRVVIEEHALPKSVSLHFIGKSRNYQHSIHPTHEFNKKIRQEDITLFQRICTCHQKEITKG >KN538936.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538936.1:162384:165600:1 gene:KN538936.1_FG010 transcript:KN538936.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRYVSRSLGWLDGDNVFFRSMRAADAFRVLSSRGAPPSIKTCNAFLEALVRAGQLDAAREVFDEMRDSRNVALNEYSYTAMIKALCKAGKVDAGFEMLAELWRAGLQPTVVTYNVLMDALCKSGRVEEAFRLKGRMEEGGMTPSVVTFGILINGLARGERFGEVGIVLQEMEQLGVSPNEVIYNELIGWHCRKGHCSQALRLFDEMVSKEMKPTAVTYNLIAKALCKEGEMERAERILEDMLSIGMIVHCGLFNTVVAWLLQRTGRLESVVSITNEMVTRGMRPNDPLMTACMRELCKGGKHQEAVGIWFKTLNKGLGVNLATSNALIHGLCEGKYMKEATKVIQTMLNKGIELDSITYNIMIRGCCKDSKMEEAIKLRGDMTRRGFKPDLFTFNTLLHAYCNLGKMEETFHLLDQMKTEGLQPDIVSYGIIIDGYCKAKDIRKAKEYLTELMDCGLKPNVFIYNALIGGYGRNDDISGAIDAVETMKSNGIQPTNVTYGSLMYWMCHAGLVEEAKTIFAQARENNVDLGVIGYTIMIQGYCKLGKIVEAVAYFEEMRSRGISPNKLTYTTLMYAYSKSGNSEEASKLFDEMVGSGVIPDNITYGTLIARCSEVNSLDKDIEHTAELSSGSLTKDDRMYSILSNGINAPWCQKEAASSVE >KN538936.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538936.1:63151:64207:-1 gene:KN538936.1_FG011 transcript:KN538936.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPFEVIGERYLPLFTSDNCDPTAKRLTVGKDISARLSTAVSSEGQELKNSVSNSMVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSLIFLPVLPSLLYASSSPALDDANIPSTSAIATTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNSETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEG >KN539258.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539258.1:67446:74083:-1 gene:KN539258.1_FG001 transcript:KN539258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDAATLDPELLQLPELSPGALRENPALAEALYSQWLALPETSKLIFCLNDFSREHMQQQTVQVNSLIEDAKAGATLNVTGSSASTNAASSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKVVSEPVREVIPQFYFKHGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDAAGSGTVTRDAFVDYWINDNKITMDTASQIFEILRKPDYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTTEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >KN539258.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539258.1:106185:107609:-1 gene:KN539258.1_FG002 transcript:KN539258.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRANVIQLFRNFRCYKWVLHVFINVRGEKTIGLEAKRWYTVADVKLMIETLEGLPACSQILTRMQSGVGVALTDGQMLQDQHVKNNDTLLLQQNVQFFVKSWEGKTLTVVLKTSDTGKQIKDRIAEKLRIKESLYYLCHKGRLLLSEDTLLDHEVESNSTVYIRLRNSAVVKPNAKKR >KN539258.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539258.1:29006:40757:-1 gene:KN539258.1_FG003 transcript:KN539258.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPNARFQAAGAIGDAAIREWGILSDDNKKSLIVYCLNYVMEHASSPEGYVQAKVSAVAARLLKRGWVEFSDQEKAAIFFEIEQSVRGIHGPNRQFATINFLEALVSEFSPGTASAMCLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNTADRILNVNASVAEEKACSAAFRLMFQILSWSFKHNVEHANSEAKINSGLRSDAINLKKFERSLVKPGSVWSDVLISSGHVHWVLNFYTAARQKFSYDTLWVDSPIATSCRQLIVQLCSLTGSVFPNDNADGQIQYLVRILSAVVHWIEPPDVIAASIRSGASESEFVDGCHALLSMASLTTCSLFDNLLKSTRNYGTINLLSALTSEAVKSFLDNQNEEETWGSEALDILLETWNVILGDVDSEKSPMSVDGAIAASNLFKIIVESHLKERDEQLALYAQIARSAADTTIPFLAQLFSERFARLSQRNGENDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPYVVEVAQHPVVALSWSIINFSRQCLDPGIRARYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVNRAEIDSVDKHVLQHSRKMLNSFAWENNQGERVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLTGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENANRSDLKSVAQQADVVYMVNFERSCNNVHLLQSAVIYMILKFVVDFVDGQAVFLDAKETSVLVMLSLSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDDSDIVDRCLTAINALASYHFKERLGGRGGLSSQLMESEGSNGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLILCEQPLYQRLLQELVEKQQNPTVKSRLGMAFHNLTSSNNLSNSLDRPNRQRFRKNLRTFLGDVSGFMQIK >KN539258.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539258.1:77506:83712:1 gene:KN539258.1_FG004 transcript:KN539258.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVLTPPSVSSGSGAAFAFLLAASPKQQQPLTPMGSTASRGGAGSGFLPPDPSSSRTPTCSNGIGASAFLVSPRPGTRSANDGGGFAFFRSPEPERTAGDTTRSGAPFSAPPNPVSGPAGSPASAAAKEPSQISGDGGLVAPPYTISSSPAQKSRSSTLWSRRLAHAAAEGRTSPQPPRDEQLQITLPPPQQKVTKTVLPPATGEPSRGATLSSSAATTCCTFLTSPAKATNQGLFSSNFKSGWFVVLRRNMRCFWVPDLVNLGGSNRKAIRSSKRELTNATRGIERDIGTLQLEEKRLVAEIKRTAKTGNEAATRILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVAAGMQSASKAMGALNKQMDPAKQMKVMQEFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEDLANQVLDEIGVDIASQLSSAPKGRIAGKKVQADESSELDELEKRLAALKNP >KN539258.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539258.1:95162:98206:-1 gene:KN539258.1_FG005 transcript:KN539258.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGTDEAPSSATKQRVAAAKLYIEKHYKEQMKNLQDRKERRCSLEKKLADANVSEEEQHNIVKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKNTGNVYAMKKLRKSEMLRRGQVCLNPFKNFECIFTSIVCSLSSMFDFTKVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYSNFPDLNEKDVTSAKPQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFRGVEWDKLYEIEAAYQPQVTDELDTQNFEKFEESSDNIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMGTLLPFFSISNHMFLSREYHRTSANHNCIMFLPSDLEPYFYKANYLRHMIEL >KN539258.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539258.1:22371:26250:-1 gene:KN539258.1_FG006 transcript:KN539258.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLMGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKGTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >KN539258.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539258.1:88131:91314:-1 gene:KN539258.1_FG007 transcript:KN539258.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKYKLGRKIGSGSFGELYLGVNIHNGEEVGIKLESVRSKHPQLHYESKVYMQMQGGNGIPHMKWYGVAGEHNVMVIDLLGPSLEDLFNSCNRKFSLKTVLMLADQIINRVEYMHSKGFIHRDIKPDNFLIGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFTSYFHYCRSLRFEDRPDYSYLKKLFRDVFTREGYQLDYIFDWTTSKNPQMGSTNKLIQVGDI >KN539258.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539258.1:45550:47343:1 gene:KN539258.1_FG008 transcript:KN539258.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDVSRCHRCGLWSNGSAPITVSASITMRSSRVTAHAVYLDYPTRTEQKNGGNLVVMRPDRRRPRDDFFKGEGGGGSLVVVQPDRDRRPQDDFGRAAADSEKDASPIHAKPRKPLDQNPEGMDVAGFSKHGGKCYADNLRRYCNSGKLIQACCVIDEMVLHGQIPETKCCVRIIRGLVKTGKANKARHVLEVMVLSGGVPDTISCNMLIAQLCRGGQLSSALQVLEDMRFSGCSPSGITFNTLIRCMFNQRMYDRAISFWKEQLRLGWPPYEMTSTLLVDLVCKNCGPQKALEVLDELSLEGCQPDVVTYNALISASCKAGRLNDAKFILTRLAAEGLEPNGTTYCILLHALCNMRRWDEVCDLLEDMNQANRDPDVTTYNIFINYFCKYGHLDQAIDVLERMVINNCSPDIVTCNTLLNAISKKGMVEEALGIARCIRENGYKLVLITYNTLIDALAKKAEVKKAMVLFDEMLSDGISPDDITYGSLVMGFCKKDMADEALDLLNQMLTLGFEVKTTTFVMVIQALCRDGKVEAAAEITKVMLSRNSIPGNSLCSSIVTKVAKSGWTKEAQMLHQKLVECEILKEDAEVILSS >KN539258.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539258.1:18930:21029:1 gene:KN539258.1_FG009 transcript:KN539258.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGVKPGVNSWNCIISGCVQNARYDEALSIFCEMCESESPDAVTVASILPACTGLMALGIGKQLHSYVIRCGIKLNVYIGSSLIGMYSECGEFGYARSVFAAIEEKNATVWNELIRSYINEERMNEAWEAFSLMKENGLQPDTITYNSFIAAYARAGQKEQAYTLLSNMVEIGLKPNVVSMNALISGLHHHGHHADALEAFRYMQISSGGEAKGWALPGNSIQPNGTTITSVLSLLTDLRLDRLGKEVHCYAFRSGLISNIFVSSKLVDLYGKTGDVDTAEKVFRGISNKNVVTWNSILASYRLNRKPEIALKLFHEMIKSDLLPNLVTLQIALLSSGITMALQHGRELHGYIRKNWPDGYPTALASALIDMYGKCGKIEDARLVFECTDEKDIATWNAIMSAYLLHRIPGEVKKLFKYIEQSGIQPDPVTFIILFSACKQEGSMEEARRYFYSMEDVYGIQPTLKHYTCMVDIMGTAGLLEESLELIQKMQLEPDGCLWSILLKACKLHSNLEIGEKAAKALFELEPNNTSNYMSLSNIYADNGLWESTEALRVAMTEQGLNVERQCSRLYLGTDVHTFEAGDSSHPAFEKILSTWNDLSDRMEQSGYPPQDIEPYSNAEADPLSCQHTERIALCYGLISVRVHDPIRISKNFRMCMECHSSIKFISRDMNREIFVSDGCTYHHFQNGACSCGEMW >KN539258.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539258.1:101689:103983:-1 gene:KN539258.1_FG010 transcript:KN539258.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDRIWSGRLRVVSCGDRCEIRLEDPASGDLFAACFVLPGQREAAVETVLDSSRYFVLRIEDGRGKHAFVGLGFGERNEAFDFNVALSDHEKYVKREHEKEAADGGGGGEENDDGQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGASAKPKASMLLAPPPGSAGKLRSPLPPPPNDPAAARMNSGSNAGIRAPKEPAKRNSDAFSDLSAMKEPVFRFKFQVNIVVNAFSAFSCRQNLPSSTESAQTKSTGAGWAAF >KN539258.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539258.1:62344:65208:-1 gene:KN539258.1_FG011 transcript:KN539258.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYRLGRLDPTSPSCGGGESQEMVRPRMVLFGDSITEQSFRPGGWGAALADTYSRKVE >KN540550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540550.1:35376:37269:-1 gene:KN540550.1_FG001 transcript:KN540550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVQADDHVPFTDKDLETEESMWSLYERWRAVYASSGDLADKVSRFEVFKENARNYGAVTPVKNQGPCGSCWDFSVVGAVEGIYKIKKGKLLTLSEQQVLDCSGAGDCNGGYTYYAFDYAIKTGITVNGTGNPPYYPPYEAKKDKCRFDPNKPPIVKISGKSFVPYGDEEALKRAVYRQPVSVLIEASRDFQSYSGGVFKGSCGTALNHAVLVVGYGKVHHGPRYWIVKNSWNTTWGENGYIRMARDIDFEEGICGIATYAMYPTGIVGTPADTVATY >KN540550.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540550.1:2343:2591:-1 gene:KN540550.1_FG002 transcript:KN540550.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVGSVGRHRRSETRQSSVKTSEDTSGISGSRKDTLNQMGRSLLIGEPQGGACISDGTPEENFSLSLLSFSRLRLWGARCN >KN540550.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540550.1:22516:22998:-1 gene:KN540550.1_FG003 transcript:KN540550.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLVLAVALSAMLLLAAAAADVDVTVRDSDLESEETMWDLYERWRRVYASSSQDLPSSDMMKSRFEAFKANARQVNEFNKKEGMSYTLGLNKFSDMSYEEFAAKYTGGMPGSIADDRSSAGAVSCKLREKNVPLTWDWRDSRAVTPVKDQGPCGTYAT >KN540550.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540550.1:14784:17485:-1 gene:KN540550.1_FG004 transcript:KN540550.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRLGLNQFSDMTFEEFAGKFTGGRTGSIAGDLRDGAVTYCKPPAVGYVPPSWNWTKYGVVTPVKNQLTCGSCWAFSVAAAVESINMIRTGNLLTLSEQQILDCSGAGNCNGGYPYDAFDYVIDRHIFGQSGKSSVLSSLREPEAEMQIRPYIDQIVVAKIEFLHCLLTIIPLYIYFVHIQRKPPFVKIDGECLVPSGNETALKLAVLSQPVSVVITISDEFRSYRGGVFRGPCGSNPNVDNHVVLVVGYGVTMDNIKYWIIKNSWGKTWGEYGYIRMERDILNKNGICGITTWAICPLKNKPRLASADAAAAVAAY >KN540550.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540550.1:5406:8554:-1 gene:KN540550.1_FG005 transcript:KN540550.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADEEDVTLTDKDLETEESMWSLYERWRAVYAPSRDLSDMESRFEAFKANARYIHEFNKKKGMSYVLGLNKFSDLTYEEFAAKYTGGKVDATAFTSATSSPDEELPVGVPPATWDWRQHGAVTDVKNQGSCGSCWVFSAVGAVEGINAIMTGNLLTLSEQQVLDCSNTGDCIKGGDPRAALQYIVKNGVTLDQCGKEPYYPAYVAKKLACRTVAGKPPIVKVDAVKPVANTEAALLLKVFQQPISVGIDASADWQHYKTGIFTGRCKTAPLNHGVVVVGYGVNTTPDKTKYWIVKNSWGKGWGEGGYIRMKRDVGTPGGLCGITTPYGVYNGPCGTSVNHAVTTVGYGVTQDNINYWIARNSWGPGWGESGYIRMKRDIAAKEGLCGISMYGVYPIKRTAAISSVVDVVTSY >KN540550.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540550.1:25268:25889:-1 gene:KN540550.1_FG006 transcript:KN540550.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTVAGKPVVKTDGTLQVASSETALKQSVYGQPVSVLIEADTNFQLYKSYWIVKNSWNTTWGESGYIRMKRDVGGNKGLCGIAMYGIYPTKTKTSTFSAAARAASVIADAALY >KN540450.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540450.1:43841:46343:-1 gene:KN540450.1_FG001 transcript:KN540450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTLRLQGVALAVVLLCRLAPQLVSLSLAGGEARVLLKPKSACYPPSPLRASPGEGYGATYTSIDGSTTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSAWRRPSSSLSNAVPRLPGRCTGERCCQSIIPPTLNFYELRMFNFENGTSEEDAEFWGSTTPCRYVFLVEHTWIDTVYDDMKDFNRSDSEFEAVPVVLDWAIRNVYNCSAAMRNKTDYACRSAHSECFNTSDRQGYRCKCSKGYEGNPYLDDGCIDINECLRQKEYGCYGDCTNMLGAYTCVCPPGTSGNPTERNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIKTKQKFFEQNGGVILQQQMHSGGGAHGFRIFSTEELKRATNNFAADRVLGRGGHGVVYKGLLEDKTVVAIKKSKMMEKAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLIYEFVSNGTLYHYIHAKEPKADIPLNIRLQIATESAEALSYMHSSASPPILHGDVKTSNILLDDKFNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEEDRSLVSCFTTAMKVSRHQELLDNQVRNEMSDEMLQEIAHLLMRCISMNGEERPTMKEVAKRLEMLRRYQQHPWAEAEDNADEIQSLLGREQQNANYQLGQQDVLYLEEGNNYTFSL >KN540450.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540450.1:44:6417:1 gene:KN540450.1_FG002 transcript:KN540450.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EWLIFVSLSRLNVKANNDQETYLADEQHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKIIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHCLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSRKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKALKRRLGLHSGSSVVFGCICNGDSNTDGLREAVRVALHGGAQGDSVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAMRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKSNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >KN540450.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540450.1:31507:33984:-1 gene:KN540450.1_FG003 transcript:KN540450.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSVHLQASAVVLLVCLVPVSPAWAQQAPGCPDRCGNISIPYPFGIGSRCARDINFQLECDHTSSPPRLSVSTRDLVSLSLADGEARTLVSTKKQCDNQFYIQYAPMLNTTLSFGFGNSTAYRFSAKRNRLVVLGCPVVGYFVDAKKNYVNGCISMCRDSQAADDSRGQCTGEMGCCQNTIPRTLTLYESYMLFLNWSEEAFGRADSTTCRYVFLAEDKWINTYSYRDHFNRTDDFGVPAVLEWAIRDVGNCNAAKRNGTDYACRSKWSECVDASDGEGYRCRCSKGYQGNPYLDGGCTDIDECQDKEKYGCYGDCTNTIGWFTCICPPGTSGNVNEKNGCRPKDKFTLALKVVTGVGLGVLMSVFMGFWLHLGLQKRKLIKTRQKFFEHNGGILLQQQMRSYGGAGSGAGGFKIFSTEELKNATNNFAADRILGRGGYGIVYKGVLEDNTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDPEADISLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFDAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEEDKSLVSCFTTAVKAGRHQELLDSQVRNELSDEMLQEITHILMRCLSMIGEERPTMKEVAERLELLRRYKQHPWAKAEGNEEEIQSLLGMEQNNANYQLRQQDVLGLEEGNAYTFSL >KN540450.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540450.1:18043:22008:-1 gene:KN540450.1_FG004 transcript:KN540450.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPSSCRFAANSPCTYSFSCRLAPSGVVDAPHRLEQAAAALTRAEQQQQEAHHQQWRTRRRKHGSRRWNRRMRYRPTRSGRYPVSSIPSGLHTYYVNQDKPKNNATLQYYAATDYRYVFLADAEWLNSSYRGDFNRTGDFAVPVVLDWAIRNVGSCEAAMRNKADYACRSANSYCVDSTEGEGYRCNCSIGYEGNPYLDGGCQDIDECERDKDACFGNKCTNTLGGYLCMCPPGARGNPLIEKGCVKTDLGLTIGIGVGSGAGLLAMAFGAVLLTREIKNRRANMLRQMFFKQNRGHLLQQLVSQNTDIAERMIIPLAELEKATNKFDESREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPLSLSWEDRLRIATETARALGYLHSAVSFPIIHRDIKSHNILLDGSLTAKVSDFGASRCIPTEQTGVTTVIQGTLGYLDPMYSYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPEDDGLVSHFTTLLTRDNLGHILDPQVVEEGGKEVKEVAVLAVACVKLKAEERPTMRQVEMTLESIRSLFLQQEAIHSMANKNSKENHVSMSYPANEGTSMESTRQYSLEEEYLLSSRYPR >KN540450.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540450.1:49598:50607:-1 gene:KN540450.1_FG005 transcript:KN540450.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLMALICAVPVIASGVWFTLAQGEECARLARWPLAILGGLILLAALVGVVGAYWKSRRLLQEAGAPGRKKKRGKLAICKAIDWTKTVQSGTHARLRSQVLNGASGTHAHARPAPCFTDDAK >KN540450.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540450.1:6977:9403:-1 gene:KN540450.1_FG006 transcript:KN540450.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTLHQAVALLAVMLVCLAPVTPASAQPWPGCPDKCGNISISYPFGIGAGCARDKDFQLECDDNTPHFNYLDDREKKLVSLSIADGEVRVFVDAGSNCHDDRFKAISGHYRTPDYGRSIAYRFSTARNRLVVLGCPVLGYLVDADDNYVSGCTSTCRRSQSQGDLPGQCTGESGCCQNTMPRALNVYKPYILTLNKTEEPTRNVPDQQELPPTEPVFRHLDSTKCQYVFVAEDKWINTTYSYRAFINRTNDFSVPVVLDWAIRNVGNCDIAKRNKTDYACRSVNSGCVDSIDGPGYLCKCSQGYEGNPYLDDGCKGVSVGVFLLPFMLFCLYLGLQERKLIRTKQRFFEQNGGVLLQQQMRSYGGTSGGAGGFKIFSKEELEKATNSFAADRVLGRGGYGIVYKGVLEDNMVVAIKKSKMIEEAQTKEFAKEMCILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLDHYIHGGTLNTVISLDSRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGTSKLAPNDEFEIATLVQGTCGYLDPEYLMTCQLTNKSDVYSFGVVLLELLTRKKALYFGGSEEERSLVSCFMTAVRDGCHEELIDSQVRNEMTEEVLQEITHLVMRCVSMSGEERPMMKEVAEKLEMLRRYQLHPWDKGDANPEEKQSLLDMEQRNVD >KN540450.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540450.1:41238:41723:-1 gene:KN540450.1_FG007 transcript:KN540450.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKSYPNTVAAYVDVRDIARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLKKSLHEAVLCMQQKGHLPLIYPVPKRAYL >AMDW01040345.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040345.1:95:1142:-1 gene:AMDW01040345.1_FG001 transcript:AMDW01040345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWL >KN545312.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545312.1:556:1860:-1 gene:KN545312.1_FG001 transcript:KN545312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKLRTGIIPEAVSLEHVDKSVAYDRSSAPKDFQVSGWYEGTEDDSDKESRVVTNLGEFSYDLEKNNAQTFQLERTADSRVINMVRLDFSSNHGNSELTCIYRFRVHGREPGSP >AMDW01039714.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039714.1:350:1013:-1 gene:AMDW01039714.1_FG001 transcript:AMDW01039714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGFTASCSTRRNHRAIFLGSRQFSPIIYSPARRAASRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESILSSLTGSAPGEVPKKMESSDVVLVTGATGGVGRRVVDILRNKGIPVRVLVRNEEKARSMLGPDVDL >KN539837.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539837.1:12079:13245:-1 gene:KN539837.1_FG001 transcript:KN539837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYKHDRLETFKLRSKAGLLKIVGVLVSVGGTMLVSLYKGKSLRLWNSILQHQKEQQTKSATNQLRGTIFLAGSSLTFACWYLVQSKVLKVYPYKYWSSMVTCLVGGFQTAFVGIILRRDKNAWMLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVIFTVVFDSILMGDDLTVGSVTNQNGLCQFMCQMLPYAKN >AMDW01040433.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040433.1:772:1332:-1 gene:AMDW01040433.1_FG001 transcript:AMDW01040433.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFAMDVQNFLVVRFHYNGEFIYDGRQKHYCGGSEAISHIDRDKVSLPEVKGHLKDHYAVEEPMLLHWLFPMKELKDGLRVLLDDKVCQLMSDCTSEAEVADIYVETQVAQEDSSDAEDVGSDNDSDFEDEIEEISSDEEAAEIFEVDTKGKKPVMIVTSIPDKTQGQIESLRQCHNLAKKDKEEAQV >KN539837.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539837.1:66593:68947:-1 gene:KN539837.1_FG002 transcript:KN539837.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLSSLSAVKFHPDPEQKLDIELRADQFTCQVPPSQSILRITRDKMRVFDAMLVISVQLSYSRNCDGSMLFTLTDLNYTWEILVKRL >KN539837.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539837.1:35762:38644:-1 gene:KN539837.1_FG003 transcript:KN539837.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGRFPRMICILRNDPKAAPHVHIGHTKIISDEPWHLGTGHFAISEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDMIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKVQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGTSSGTKVPRKPRSAREPGSKRDTAKKKPIQSRSAGLEDARGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKEAIKRARSLIAEAEKAANALETVASTSPFAQASLIEARKLVTEARLSLKHVDDEGPADSASDDASQDSGASDLHNHDMANQNDVIKQENKPVNGMELPPSNVNGRDFYFDVSTLTETDHLRDYQRIENSMERAYLLPSASSAIQDVNGNHRMKDFNAHQLMVNDESITIDQIASEVAEIYPDEPQEDDTLPVQKSKTRWVRGRLVEVEE >KN539837.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539837.1:18233:18679:-1 gene:KN539837.1_FG004 transcript:KN539837.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGAGTSRAAGWKAPASMVLVQLFNSGMILLSKVSINGGMFVFALLSYRSVFGAIFILPFALIFER >AMDW01019843.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019843.1:4:183:-1 gene:AMDW01019843.1_FG001 transcript:AMDW01019843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGGPSYAVELGRLDGLRSTASSVNGRLPPPTFNLDQLTALFAANGLSQADMIALSGQPH >AMDW01029616.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029616.1:52:402:1 gene:AMDW01029616.1_FG001 transcript:AMDW01029616.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLREAIVKGLGFQSEELKVSGFDVRDALVGQAVAYEFDIEVGRKVVPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIRRGKSGKRAFDPTLPPFQLAGPMELWIQDGDDVRLALP >AMDW01040578.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040578.1:98:877:1 gene:AMDW01040578.1_FG001 transcript:AMDW01040578.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPDGLGGLSNLRTLDVSFNQISGTIPASIATLPSITNLILCHNHLTGGIPSFPDSSPLIRLDLKHNDLSGGVPNLPSTLQYLSLSANRLTGTVDSVLPRLTRLNYLDLSMNQLDGPIPASVFTLPLSVLQLQRNFFSGLLQPANDVTIQVVDLSYNRFWGPVSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSTNTRPADQCPEWRG >AMDW01035831.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035831.1:61:490:-1 gene:AMDW01035831.1_FG001 transcript:AMDW01035831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HMESGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQ >AMDW01035136.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035136.1:144:532:-1 gene:AMDW01035136.1_FG001 transcript:AMDW01035136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTEKADVFAYGVVAMEIIAGRPNFDESLEDDKKYLLGWAWRLHERSQTLEMLDPKLARFDEEEVVRVINIILLCTVGLPEQRPPMSKVVSMLTEDTEMSEVDM >AMDW01072032.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072032.1:337:513:-1 gene:AMDW01072032.1_FG001 transcript:AMDW01072032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMVARGALSCGLGHLGGLSPALNPRQACRIPDVLTGLDYAGDDPFTGWDNHSNGGM >AMDW01062767.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062767.1:182:289:1 gene:AMDW01062767.1_FG001 transcript:AMDW01062767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GACGFKNVNQYPFSSMTSCGNEPIFKDGKGCGSCYQ >AMDW01013095.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013095.1:2:235:1 gene:AMDW01013095.1_FG001 transcript:AMDW01013095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESHDAAYEVRKGELLFGYQPLATRDEKVFDRAGEFVPDRFVSGAGGAARPLLEHVVWSNGPETGTPSEGNKQCPGKDM >KN539491.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539491.1:52464:54703:1 gene:KN539491.1_FG001 transcript:KN539491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATDENGADDRAGGESTVDHLRSHMNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVRESSGSNTGSGGASAAAAAAAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALRQLEKPPLRMQSLSEQEEGSPTSVLTAAQIGTEALGGGFSNYSSGSGSLAPSAAGTDEHVDGGGSPASSVDREDGCLSPSIPTAELAMQAPNTKISIATTDAKEASSEASVFRLFGKSVVVKDSDQLHLLSGSNIATSGSVERATRSILVPSFAAAPEGSSSNPWPSSMQQVLYFLPRSDGLAAQPVMPWFSYNGSLPCALFYPAAAAAANQQCHRDSEGVEFRASQREGSLTGSNTASSVRGFMPYKRCAAESELLRSEAAGGEEAVADGELTRLCL >KN539491.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539491.1:97243:100967:1 gene:KN539491.1_FG002 transcript:KN539491.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYDSDDDDVVLVQQGAGARSARAKDGRYSSWSQSELEKQMFSWSLKDVLNKDLLKKKASSMRQQLKRPGLSFAQTSSSYTVFFAKKADPKDIFREVYAPKEADILLLTDRKPRHISDLGRGEKPLVIASVLKAEDAEGNTVVRLSSKHVEQHFGLGSSLFAVFLINMTTYNRIWSALDAVVASVRNTDIIRMIVNCNPKVGQECSYSSELPLHLPDRALGGLEDFKLNKSQKVAVLDCVSAMQQRSSSVRLIWGPPGTGKTKTISTLLWAMLVKNHRTLTCAPTNTAVVEVASRVLNLLEDPSAGSGKACFLSDVVLFGNEDRMNVDGNLTKIFLEKRARRLQKCLNPGSGWVHSLSSMIRILEQPLVQYDSYVKQIEREIEEYLAEKKRNKNKNKENDKRQVKEDVPKVIPIMPFKEYFISNYKRLENDLNVCVKTFCDDLPRSATTAENFSYMTQALRMLKLFGKLVEPEPEQSLKTLFKLSSDGSIGSLFQNFVTYVQDSVSTELKDDRAQCLQKLKHLSDHFELPNVFDKRSIEDFLVRNAKSILCTASSSSRLHYLPEASPFDLLVVDEAAQLKECESLIPLQLPGVRHAVLIGDEFQLPALVCEDAEFGRSLFERLSSLGHPKHLLDVQYRMHPGISKFPVSSFYENKISDGENVLHRDYEKKPLAGPMYGSYSSINVDAGKESKGKHDKSLMNPIEVAAVTRIVQRLFKESVDTGRRLCVGVVSPYKGQVRAIQERLGKAYETHGGFTVKVRSVDGFQGAEEDIIIFSAVRSNATGSVGHCLWILGNANTLASSKTIWREIVADAKNRGCLFNASEDKELNNAIIRAVIELDEVDDLLKMDSLRISGSRFGLRP >KN539491.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539491.1:15502:17803:1 gene:KN539491.1_FG003 transcript:KN539491.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHTYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADIMWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYHDPYITDIGLKIKFLSTTYFGGICEPSRDLNKSKLHDLRVIMEDWRNYMSMPPSLKRFGAFSWGVPQNCREKGATTSSTLPTLMPSVRPANGLDFSESSGESGFSPWASIGLSPNPIRLPVTLTATAPPPLRLTPPVACHLPLCLLHPSASLVVAAAGRSMVARSPLVHHHHPAPLLPPPPPATSAQHAGQLLCLFVLSAAPLLEGHVGMGFDLNLGLPSLRHHVTSGAADEVAGGCRPPWPRPQQGRRITGTNDDDVVASALWRQQQKCGDMEAGGRRRCSHVAGVLCGGARGRLVAATTASVILKWIYTSMHGTCVYW >KN539491.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539491.1:64996:70415:-1 gene:KN539491.1_FG004 transcript:KN539491.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEGRSPAARGVEQQFNFRNVEKIVTQYEHNKDEKMLMWYSKDREKRLNLSCVSSVVLGHKTVHSIYGSPRLMQKNVLQSNLDFSEPFFSPRQRTWSDLDYYMEKVTPDVVNRVKHSCRDIKVADKLNEQIITQLPKQKSSEGLHVAYGATSLKDIFVWGDVPGNVLDHGDVSKANVSLPRLLNTTHIIDVQNVACGEKHAAIVTKQGEVFSWGVDNGGRLGHKVSVSVSDPKIIESLASTRVKAIAFGAKHTCAVSISGELYEWGEGTHCLGLWGDQYQRSQWFPHKLFGPLDGISILKIACGHWHTAIISSAGQLFTYGDGTFGVLGHGDMLTVARPKQVESLKGVRAKAIACGPWHTAAIVERMGTVKSNAPSGKLFTWGDADRGKLGHADKKMKLVPTCVEPLNDFDFAQVSCAKAQTIVLTITGVVFTIGSKEHGRLGNPLSEDTSICLIEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSYPTLVEALEDKQVHSIACGFNFTMAICLHRPLSSKDQSVCSNCQLALGFTRKKHNCYNCGSMFCNSCSNNKVSRAALAPDRSKRYRVCDACFSQMQKVEEHSKLDPQQKIQKDEVCPIEIRSYTPKLARIFKEANAIMEKMASAQSPHQRSQNLAVPDHVRTLRWGLVECPSQFRCVRDSIPYCSTLNKQTVSGSIVRVMNETMAPKPASSLLKSANDSKTELDLMENILLEEVKQLQEQVTTLAKQCRQRSLKVQLYKRKVEETWLIAKDEAAKCKAAKDIIKVLIDQRDFLSKNLLAGEKLDNSRIMPSHIASAKSLKAELPDPPDKNVFTSEFQQSKSNRDHHNSRQVDRECTQPSIASMADYSVTHQNCRRTSNGSTGCTEGTDATTAPTDSNGVIEQIERGVYATVVTSPGGKKCIKRIRFSRKHFGEDQAQKWWEANESMIFAKYSSMEQTVG >KN539491.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539491.1:82929:83240:1 gene:KN539491.1_FG005 transcript:KN539491.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN539491.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539491.1:5167:14182:-1 gene:KN539491.1_FG006 transcript:KN539491.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRATQQLSNRKKQLKKDARKAEKAEKASLRQQQQPPQADADDPFAANYGDVPVEEIQSKTISGRVWTEVGGLDEAAAGRSVLIRGAAQAIRPVSKKMAFVVLRESMSTVQCVLVASTDAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDASRSEAEIEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRVQCQVENKFREYFLSKNFVGIHSPKLIAGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELETINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHLPELLTKRATECGIDASTISSYIESFSYGAPPHGGFGVGLERVCIILTTVAFRLCRKWNTLTSDDELWRRLFKDRWGADAAAFYAPEGSKSWKDVFIVQDRCDRYGLGVRIIREGSDYYLIYQGEIQRYLGSRQHVSCDSKDAPAQSGEDEQRQISDRILFFLGDLEAACADAKRVKV >AMDW01031342.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031342.1:26:416:1 gene:AMDW01031342.1_FG001 transcript:AMDW01031342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVLPDGYEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFDTEKSEQLDWEKRYKIINGIAR >KN539491.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539491.1:79237:81089:-1 gene:KN539491.1_FG007 transcript:KN539491.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGATIAFDFFSNKLLTIGSSLWNHGSGNSLNGVKYLPDNKLETVHKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFDVNINKKLFDQASNLLLELDPEEVISRGQATLSHKQKLIDECLEKSYEIALGCGRFGNCLRYVVLTRTNGTFSRSIGAVVYKVPELNNDNMLKISLRSLNEEDTTSISKEYGGGGHRNASSFLLSVTEFDRWKVGAEPCNTKM >KN539491.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539491.1:31132:35481:-1 gene:KN539491.1_FG008 transcript:KN539491.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAPAPAELTANVLGHPTPSLSEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDPQYVHIVMELCAGGELFDRIIERGQFSERKAAELTRIIVGVIEACHSLGVIHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMR >KN539491.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539491.1:1215:4649:1 gene:KN539491.1_FG009 transcript:KN539491.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding WTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPASSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGYWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAIKC >KN539491.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539491.1:89649:93033:-1 gene:KN539491.1_FG010 transcript:KN539491.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRILSNCRMAVAPNRGMIDGAGDFLLLNLSTDVFDNFGLYYWALKVSLQAFFLSFILIFVLPQQFRIRGSIGSQIIAQIGIFIMSTAVAFCWEISHHFVQVVHTRRCSFAPPQSTAAAETNPTEYILETLELSDPRSLMQYLAYQDLCAVSECNLEPWRRAAFFEESGETYKRIVTACLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLAAAFNDSQICIQEDLLVKICTWCARTLSSLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPAHSLGPASIKWANFSTGRKGNVTAIASTQRGGLHTKAFSMADVLRTSIYQMVSAFEHDMRANAKASSLEKNWISEGRKPVFGSQAVLVQKLSLFIEYRAV >KN539491.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539491.1:38519:41066:1 gene:KN539491.1_FG011 transcript:KN539491.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLLRIASACLGPARRYARTRKDEDGGDNGGGGVADGLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETGSAATFVGVYDGHGGADAARFISDHLFAHLIRLARESETVSEEVVRGAFSATEEGFLTLVQRTQFLKPMIAAVGSCCLVGIIWRGVLYVANLGDSRAVVGYLGRTNKITAEQITRDHNACKEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPSICTRVLSLQDQFVIFASDGLWEHLTNQQAVDIVYKNPRAGIAKRLVNTALKEAARKREMRFVDLKKVEKGVRRFFHDDITVVVVYIDHELLQEKNVSVPELSVRGFVNSVGPSRFSGFDAIS >KN541465.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541465.1:4240:6524:-1 gene:KN541465.1_FG001 transcript:KN541465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSNKNPEPSSSSSSGDLDGKTVQELLDMDKDAVSELVSDLFHQLYSLQNHLDVDDDDHWSEDNEQEDRSQLQERLAFYRIIGYQLSMGGKIDELDIAKLKEKYSPEMLYNKGYFQYYEDSLEWYFDPERCMLTSLDDYQRLVLCDNGLYMDWDQYHSNYSTYESDLAYVKFCEELVNETKWFEDYLVLIAVKDKVTIGQWDKVKNIVYLQALKIALRIRVVALMQVMAGFQEHIWSMRFDCCNYKDFDGVYFEVWKRVAKQKMEFTDALSELYREDMFPLRNVDIKDELDNIRYDIYVACIDETVPENEARQLIKEAVIKMVHRTRAKILEVTAQNRGDNSGAKLAMDN >KN541465.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541465.1:23034:27402:1 gene:KN541465.1_FG002 transcript:KN541465.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQPRRHQPTTSLRRAQPPPPPTPAGAKPESNGKASNSKPASPVQAPSERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDIAGRVIAEGLRPDETNVAKAMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENSSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRVVAQSLSPDVTLVEKVEGASEANNMANTMTQKFWDSALALQPAEESDALSESLDELVSAVSYRLGMEKEKARINLLLLHLTVSESESYLNRIVQYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLKLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAIAVASMGGWKVKN >KN541465.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541465.1:7324:14349:-1 gene:KN541465.1_FG003 transcript:KN541465.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSATNSNLIVYLVHKYNVKAIHAAQISNVVRGCMQLAPVLAAALSDAFFGPYPVALAGLAFSLLIAILSNLLDMRCDVLDFVGEDEGAEAARLLANLLVMGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDIPS >KN541006.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541006.1:3534:8372:1 gene:KN541006.1_FG001 transcript:KN541006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLSETGKTPHRRQSEKACDKTTTSHIRDRGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSALVPAGGHVVTTTDCYRKTRIYMETELPKRGITMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIDLVSKMCHSKGALLCIDSTFASPINQKALPLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQREIYGINDNLIRFSIGVEDFEDLKNDIVQALDKI >KN541006.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541006.1:32779:33755:1 gene:KN541006.1_FG002 transcript:KN541006.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDDERQDHQAAAAVHGQGGGGGATAERHHRSKLTLLPLVFLIYFEVAGGPYGAEQAVSAAGPLFALLGFLAFPFAWGVPHCRVGRRRPGVRVAGAVRADDGDGSAEDAAAAVGGAGAASFINKQNTHDVSGRSPYR >KN541006.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541006.1:11748:14900:1 gene:KN541006.1_FG003 transcript:KN541006.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLLLLLLLAGFLSFSPAGALRFDLQSGHTKCISDDIKVGAMAVGKYHVVAPEGGGSTSFSSSSSSSSSAQPLLPESHRVSLRVTSPYGNSLHYSENVQSGNFAFTASEAGDYLACFWAPDHRPTATVGFEFDWRSGVSARDWTNVAKKGQVDMMEVELKKLEDTINSIHEEMFYLRAREEEMQELNRRTNSRMAWLGFFSLAICLSVAGLQLWHLKNFFERKKLL >KN541199.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541199.1:19546:21044:1 gene:KN541199.1_FG001 transcript:KN541199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEMRLDDMHAASDATAVELNASKRAREPSTGRHCRRVHPRDQDKRLEIMLLYNAENCIEIAEEAEKILGYSPDTFFSIHSDQGKLSALIALAAHASGPKETERLTHLASPARKDGSDKNPRGMCISVCANPYCKIP >KN541886.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541886.1:127:2825:1 gene:KN541886.1_FG001 transcript:KN541886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTFLTVQPVAWCLMISGTGSDCITHLHPWAITSLAALYAPNRNLSHSSLTDDEFRDSFHSRNQKGIRAVYTLEKDRLSNNEDENALYDVMRKEVRQAVDEIRTQLEKVVTKSEPSEKATSADAQPTQVINELRRSYTSKLEESEMRKQELLAQLAAEEQRGHELTKIVKELLPTAKKNMNSERQPRYRRRSNDRARVSRRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRRDVVQNTKIETPIALPKVASPVEADGVVLPWLQWETSNDLQTSPCKPKTQGASTACSTSSRTMSSRGSWSPGDHDSAAGSKDTLLTRFEEAASRRSSCPDNTQRSSFHIDDYMHLRRSHDLLLERWRQNERIGDGGLILCSRSSIM >KN541886.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541886.1:21528:21872:1 gene:KN541886.1_FG002 transcript:KN541886.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRKEPETTAAVEEDPSDWIKAVASVFRMLGEMREREKREEEELREERELAAWVAATRAESYARFNMRLPTPEEEAAFARDHAHEIDFSGGLRGEQAPRRQPWHPPSPRLGN >KN541886.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541886.1:7834:8079:1 gene:KN541886.1_FG003 transcript:KN541886.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREREKREEEELREERELAAWVAATRAESYARFNMRLPTPEEEAAFARDHAHEIDFSVLRPEDYGESKRRVGNDGILGRLD >KN541199.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541199.1:26479:26764:1 gene:KN541199.1_FG002 transcript:KN541199.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLSSLSAVKFHPDTEQKLDIELRADQFTCQVPPSQSILWITRDKMIINTPVQEGGRCNAG >KN541886.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541886.1:4626:6544:1 gene:KN541886.1_FG004 transcript:KN541886.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVELGAPPSPEPLSPLRELIDVPTVVYVADEDPKFAALADQAYAVFPFLFVGRHHVVQLRSSCSSYTNKLLVKSLKALAYKRMDLNELDEEHSAHYLRLRLEENQLQEAMEGMAAGGDEMRVALARMETLKKSVQFHFRRMQFAAHELRKVVLKEEEMYKKLGMSMRILM >KN541199.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541199.1:22865:25489:1 gene:KN541199.1_FG003 transcript:KN541199.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHAYPSTADCILLLQQIASSSRRGCPPAGLVCLHRYSGGQVLPGMLILRLNNEPLQIQYMSYVTIKQVNHNSNHSWPSLLSQAIPHQKQVIGTVLYKKLPKKASPALYGIVLKCFFLVDQKDTVI >KN541394.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541394.1:3750:5912:1 gene:KN541394.1_FG001 transcript:KN541394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKIDLPENILRDYIPDADKVKWKLDNNHNITYFTEDDVKRMTNNYNTKLGNGAYGEVYKGVLGDNRLVAVKKFIRANKLDEFAKEVIVHTQVNHKNVVRLVGCCKDENAPVIVFEYAANGNLSDRLYCGDTLISLGTRLSIVIECAEALGCMHSMYNPIVHCDFKPSNILLDEHFHAKISDFGISRILLTGNTNLTIHVRGSRGYMDPTFASEGRLTPKSDVYSFGVVLVELITKTKPTDMEKNVIRRFIQASTKRKGAKELFDVGIANEGNIKILEGIGKIAKDCLEEDIEKRPEMNEVAARLRELRKTLEQGREKTGWQFFSGGQNALKKENQHERSDCSSSTVSYKRKSRGIFNWIDTASYNFKNNGGQILQNISNLKIFSREEILNITQNFSTTLSKSYSSDIYLGGLDDNTRVAVKIFTDVSKSREEFVQQLTIQSRVRHKNIIKLFGCCLEMDHPISVCEYVPNGPLSKYLVKEDQDTGARSLLDMNARHCIALGVVNAIACLHEECRDKLCGSIRPWNILLDGNFCPKLCKLTPINEFTTMTSDIVNMYMAPESISNFSHGFITAKADVYSFGGLLLEIVFGRNTIFWEELVVKEPLDFVKIFYQEVYLKQRIADYLDPCIIQAEDYDASRSVATAERMVITAMWCMQFSANRRPSMQKAVEMLQGTIDIDEPPNPGLPPFFDRMRYSSCDEDDFSYTLSLSHPSSDEGEF >KN541394.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541394.1:27676:28462:1 gene:KN541394.1_FG002 transcript:KN541394.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQPASKLLDDDQMEFLDKAVDPEATSKDCNGDNSVVRARETTLQARIQQLSSESKKLSMNVEHIITQVSQAVNMNCGAEENSLLKEISINLDLWSNFFSKPPPKIIRLMEGLRVLKGALSGEARLPNTNLVPAQQDQINQHVDMLRTAQGKVESSCVALEALTSQYNIEQAIEEGNKRECLRQAQKIKAEIALLQAKLQQVEDDYSRAQCQQDVVIENLELPS >KN539442.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539442.1:70090:70710:1 gene:KN539442.1_FG001 transcript:KN539442.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSYNTKLGDFGLARLVDHDKGWQTTKAVLGTAGYIDPEFITTRRPSVQSDIYSFGIVLLEIVSGRPPVLLQEGSPPFMLLKWAWSLYGRNAILDAADERLWAAAGGEEDDARQMERALIVGLWCAQPDTAERPSIVQAMHVLQSDDAKLPELWPQMYMASPSPAKNFAMGEYRLSGVSSFASSGAVPSSATTGTTRSSGSFAY >KN539442.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539442.1:104534:105361:1 gene:KN539442.1_FG002 transcript:KN539442.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYYKEKILADVRQLVFQEVYHEECAKQINGMYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKKILYDETEVVRMTNAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDRPMEDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKTDKGKRVRADDNVVYEITGAMDNMSETMRFTHMTHPNESLFKIIDEMTEYSVMVRLELQTYLATMRI >KN539442.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539442.1:46319:48264:1 gene:KN539442.1_FG003 transcript:KN539442.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMSQGRREFEAEVRIISQLRHRNLVQLHGWCDSRKGLLLVYELVAGGSLDKHIYNTDRILTWPERYKIIMGLGAALRYLHQEWEQCILHGDIKPSNIMVDSSYNTKLGDFGLARLVDHGKAWQATRSVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEIVCAKPPVVLQEDEPSFVLLRWVWNLYSQNAILDAVDERLRVVGVVRDERQMERVLVVGLWCAHPDLSERPSIARAMNVLQSDDARLPDLSPQMYKSKASPPPRDVAVGVDYGGVSTGSTFSGSGVPTSATTTTTRSSGSFVG >KN539442.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539442.1:76083:77334:-1 gene:KN539442.1_FG004 transcript:KN539442.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMLLNWNKDLTEQVDKNRSTPLHFAASLCKDFAASLSEYTVITWMSRTPLIPVLLANPVQLYQQDSEGLYPIHVAASSGAIRTIKYLIEEQPDEIAGLVDFKGRTFLHVAVERGRRNIVEYAHRTRSLARIFNMQDNDGNTAMHIAVRNGNKYIFCILLRNRKNPEKLILLALTHCNASGGCRRADHFQKKQADEAKESEKLTTSTQTLGIGSVLIVTVTFGAILAIPGGYRADDHYNGGTPTLAGRYVFGTGSSGSMDCNCNLCDDDDCVSMLIHGAIACTPCCNSDVC >KN539442.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539442.1:96313:97342:-1 gene:KN539442.1_FG005 transcript:KN539442.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTGDGSPRHCFTFPDIVVCDPLTRRHQGILCPPDLSGYQCLGVFLLDGDGDGGGIGMSNFKGDEDGDMLVLDETTAGFSLVTFPENVRESYDKRTFRIIAGGDGIAMRVVRVINNDLKVFAQPGGGDGEWVLEQRVWLPAAARGLPGLRMLIRLVGGDDADEMWIDSGWSKTVSTVACIHFALHDVSSAIILLAEY >KN539442.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539442.1:17446:18435:1 gene:KN539442.1_FG006 transcript:KN539442.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCAALYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHAALDRLLQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSSKLFGLWSYFYQRTLVFDAASKFLISYQHWTFYPVMCFARINLLIQSAVFLLSSRKVPQRGLEIAGVAAFWVWYPMVVSCLPNWWERVAFVVASFVITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDIQCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVSPFVRDLCKKHGLPYAAASFWQANVLTWKTLRAAALQARKATSGAAPKNLVWEAVNTHG >KN539442.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539442.1:82092:83421:-1 gene:KN539442.1_FG007 transcript:KN539442.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKAKLTVAKAKEDTAKPPMNPWLLFLASRGDCDGITEHILLNMEAATQQTKAAKEEAGACHRRPAPSAGSSPLDQANPAIPTMATTQKASGDDGHQSCLDLEGVTIEGDTALHVLATSGDGWSYLRSAEIICSKAPHLLLAQNNKGGTPLHCAVRAGHSQMVSFLIDLANKPRSNLQVAARLKEVLRKGTAFLPLHDAIRIGNKEMIIKLLEFDPELASCPTDEAGISPLYLAIVLQRSDIAKLLHQMSPENLSYSGLSGQNALHAAVLQGKVGKKKLL >KN539442.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539442.1:59954:60520:1 gene:KN539442.1_FG008 transcript:KN539442.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIACATTSMAFMELVVGEEATCDTYTGTNNCPEVTHTKCSTLGLDVKGDTDQAMVAFLAQPCVFLLNMDNTDVAPHDSVSPFIIDIIPYALDDREEMLRGRGNIGQEQLCPMEAITC >KN539442.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539442.1:5056:6015:1 gene:KN539442.1_FG009 transcript:KN539442.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine desulfurase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65720) UniProtKB/Swiss-Prot;Acc:O49543] MRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASVLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIQWAQH >KN539442.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539442.1:98862:100334:-1 gene:KN539442.1_FG010 transcript:KN539442.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKYHHCVGVFLLDFDRNGSFSMSNFKVTCVVYQPYFGVSGDVGTITVCEYMEDMWDWTRHLKPDEDDDLPKLFQWYVVVPHLRTRPGIHLHGRDSLRFLGHAGGSIFWAIKEDEGSQLILDENGMIDPHILRTPAGVRGSELRAIVDGNGDRHNVRVVVLEGETLRVVTWLCDTDELVLEKSLHLVEATRRLQGYKESCFCGGVDIVTVSTSCAVVTPVEEKTTWMVSIDLETMEVTECKYASVAYPYLSLAVTMAAATVHGSTADRDDDKNLDPPPTSLGRMDQPLAGTTMTAVTMMATAPRSTVDRDDDNAWIRR >KN539442.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539442.1:63477:63752:-1 gene:KN539442.1_FG011 transcript:KN539442.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKGELGLSLPSPRWLVIIAIAAREGEQDLTPTCLPTGSIAALFPTVGSTTAALLTAAEHLPTIGPVAATSPAHHGRIHPSRGQSAVDP >KN541405.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541405.1:13840:15325:-1 gene:KN541405.1_FG001 transcript:KN541405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKMLGGKNKPPHPPFVLPDPAATPCLPPRSKTMALGGNSTHSRHPSVLPDEAAAMEMPEEEDMDLNTSRARNIMRNNRFANQLGIRKLAQIFQSSVAKKKAVGKKSKALLLGEDVAITGDCVTTGTGRTSKRVLAPENLEETMRCTRQRAMKQVSTTSLIEATEVRLEPDLSANQNQGDTNNTGQGKWLPYYYFIVNMCGNLTLSTELEPMGLSTTQQGK >KN546177.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546177.1:103:983:-1 gene:KN546177.1_FG001 transcript:KN546177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QGADSLELALLDDRMEVAVPVGAPQIEVPDGMDPFSTFILTVRIMRKGSRFEGAWVFEWPIDCDTANFKDFVDDISEKYPWGIDETGGDLADTMVDTYLANPFEHFEHVGVDEEDQYSIGSDTPESDSDDTPDPEYVPGVDEDEDDYGDDSTDDDEDWVTQDAKPDDETPI >KN540060.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540060.1:20389:21294:-1 gene:KN540060.1_FG001 transcript:KN540060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQLCLLTTTMLVAVVVFLPCLATATGKTGQIAVFWGRNKTEGSLKEACDTGLYTTVIISFFSVFGHGRYWTDLSGHDVSRVGADVKHCQSKNIPVLLSVGGDGYQYSLPTANSAKDVADHLWHAYLGGGRRGVFRPFGDAVLDGVDLYIDHGGPANYDVLVRRLAGYRGKPVLLTATPRCVYPDANAAAALGTGLVRRIHPRFYGDAACTNKTDGEGRRSLFDWEDWDAWTSRFPASQVYVGLPAEETAADWINPESLYYGVMQRAQTASNYGGAMLWDRGADKAYDNYYGRALKDFV >KN540060.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540060.1:46470:46991:1 gene:KN540060.1_FG002 transcript:KN540060.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGVSASTFKSPVFIGLLAVMCIAVVLLLHHCVLVTFCDTRRRRRRRRRRGATAQQQQQHVQQGEEEDDEEDDEDDMMSSSSQAKLVVCPYKKAEEWGEAMCPVCLSEFGDGEAVRVLPECMHYFHVDCIGTWLRANTSCPLCRADTTPSSGDLHHHLSISVSLEEILVRT >KN540060.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540060.1:9108:9920:1 gene:KN540060.1_FG003 transcript:KN540060.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSLLLVVVSCLAAPATADCVFGYVKGRYGLDISGHPVAAVGADIKHCQSKGVQGGADHYDELARKLHGRGVALTATVRCSYPDSRLQKALATGLLGRIHVRIFGDNQCTMSPLDAWEKWAAAYPRSKVWLALVASWEQDEVGYMFRKDLYYGVLQFILNKPNYGGIAIWDRYYDKKANYSGEG >KN540060.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540060.1:21961:22850:-1 gene:KN540060.1_FG004 transcript:KN540060.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLRPPLLATLHCSLLVLLIINGAAAAGKTGELTVIWGRNKDEGSLRSTCDTGLYTTVVISFLTVFGHGRYRTDLAGHPLAGVGADVKHCQKAKNLARRLRSFGREKPAVRLTASPACSLALFDDEVETMKTLSLFERLHVRFYNESSCDYNYFETRPFWGAWRTWTSRFPAARVQVGWPAMEEMSGFVDPQTLRESVLSSVQDDANYGGVMLWDRYYDKITGFGRAIKDIV >KN540060.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540060.1:40794:43122:1 gene:KN540060.1_FG005 transcript:KN540060.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSRPNREIPRLPTTACALMRRKKTHRTKLSLENLPEQHSFLAVDRFEIRFPLQKQQTKHVDGWVAFASASRAKHFVLDLSPAVHTNHQSEEHKYEFPVDLLNGQNGSPIISLRLGLVCLKLPSDFLGFKDLIKLELHLISDLGSRINLFLANCPALERLSLSHCSMTDLNIPNPLCHLQYFKVVNCCVQSIESHAMSLTTFEYAGLPVPIKLYDSLKLSQANIRLDKGSAHVGYLLIKLSAFDTKATGFTKNHSQFTCLRHLASPQLEYFAVHMNANYSGPSTLTVTNCISPVVHHHLKRVHMTGMIGLAGQFELAKYILLSAVDLELMIVDVAKERFAHMPWVLYPDKVLTVEQIAKDYLDPRGLYRHVLKVWGIFPW >KN540060.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540060.1:3501:4358:1 gene:KN540060.1_FG006 transcript:KN540060.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKALLPVAAMLLLVSGQLAAPRGRYGLDFSGHPVAAVGADIKHCQSKGVQVLLSIGGQGGEYSLPSSQSASDVADNLWNAYLGGRRAGVPRPFGDAVVDGIDFFIDQGGADHYEQLARQLHGRGVLLTATVRCAYPDSRMEAALATGVFARIHVRIFGDDQCTMFPKDAWEKWAAAYPRCTVFLTVVASPEQDEGYMFQKDLYYGVQQFIDKEPNYGGIAIWDRYYDKKANYSGEG >KN540060.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540060.1:48523:50400:-1 gene:KN540060.1_FG007 transcript:KN540060.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEIKKGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMRQMNKQMNLPALQKIMREFEMQNEKMEIVSSTMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVGAPSTAVAAPVGAGKVAAQAEAAGGGDGGIDDDLQARLDNLRKM >KN540060.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540060.1:36272:36934:1 gene:KN540060.1_FG008 transcript:KN540060.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRRKAAAEKKAAMAAAAAAGGAPGAGGGDWWDGFCMRMSVIMSSKSSMVSEQMQAADTMKLKDNCLFNY >KN540060.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540060.1:15203:16117:1 gene:KN540060.1_FG009 transcript:KN540060.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGRRSWLVPLAMVLAVSSCFAGPAMAAGKTGQMTVFWGRNKNEGTLKETCDTGLYTTVVISFYSVFGHGRYWGDLSGHDLRVIGADIKHCQSKNIFVFLSIGGAGKDYSLPTSKSAADVADNIWNAHMDGRRPGVFRPFGDAAVDGIDFFIDQGAPDHYDDLARNLYAYNKMYRARTPVRLTATVRCAFPDPRMKKALDTKLFERIHVRFYDDATCSYNHAGLAGVMAQWNKWTARYPGSHVYLGLAAANVPGKNDNVFIKQLYYDLLPNVQKAKNYGGIMLWDRFYDKQTGYGKTVKYWA >KN540060.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540060.1:82:784:1 gene:KN540060.1_FG010 transcript:KN540060.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding VFGHGRYSLDLSGHDIRRVGNDIKHCQRKGIAVLLSIGGQGGGDSLPTNASAADVADHLWDSFLGGGRAGVPRPFGDALARKLYSYGNNKGKGVMLTATPRCRFPDRRVEKALATGVFARIHVRMFGDDVNCTAAPRESWEKWAAAYPASQVYLGLVASSEQDPGYLSPKPLYYTLVMYIRDRLNYGGKMIWDRYYDKKTDYSIGKLI >KN540060.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN540060.1:45527:46134:1 gene:KN540060.1_FG011 transcript:KN540060.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVLALAAVAVSGAAAAWTTTFTMHNLCPYTTCPVLGCAADINAACPADARVGAGCRASPQFFKEMCPEARTTATDVEATPQKCFGPGELKVVFCPTN >KN540060.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN540060.1:17414:17761:-1 gene:KN540060.1_FG012 transcript:KN540060.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQETSGEAAVATGLIGRIHVRFYDDRRCSYDSSERRPFYGAWLGWTARYANASVHVGLPAAWDAASDGWINPAALVFDALPLVRGTPNYGGVVLWNRHFDRRSRYGQTIKGML >KN538933.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538933.1:122500:123861:-1 gene:KN538933.1_FG001 transcript:KN538933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWEVLEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPLSSSTITTATHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAIADLLPDVSDIFAASKAGESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKATLSELIVSRPSACSRIAPEGNENAPSFPDLDLADPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFVMNNVHYIAHKIKDSPELRGLIGDEYLKQLTGKFRLAATRYQRTAWLKILNCLRDEGLHVTGGFSSGVSKSALRERFKSFNAAFEEAHRVQSAWYVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLETSVTDFFEGCPPSLHNRRRSHG >KN538933.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538933.1:85099:91278:1 gene:KN538933.1_FG002 transcript:KN538933.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARKEHQFLAELGLAPRNPGSFACGAWGGSGPVVIAEVVEASAREYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLERNNLPGSIFTAFCGGADIGQAISLDTRIPLVSFTGSTKVGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTFLDQLVEVYKQVRIGDPLENGTLLGPLHTPASRDAFLKGIQTIRSQTPLRHRNVVRISSLPPPTGLFPVIHCDHSDLG >KN538933.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538933.1:16147:19806:1 gene:KN538933.1_FG003 transcript:KN538933.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALCASFVAILLTTMLFLKAISTRCRRRKYNLPPGPKPWPIIGNLNLIGALPHRSIHELSRRYGPLVYLRFGSFPVVVGSSVQMARFFLKTRDAAFIDRPRTAAGKHTAYNYRDITWSPCDAYWRQARRVVLTELFSARRIESYEHIRREEVHALLRDLHHASSSAPPSSGGRRAIVIKDYLSTASLNMITRMVMGKRYVQGEVVHEEPGSARTTLAQFKELLEELFFLNGVYNVGDQIPWLEWLDLQGYVKRMKKVSKALDQLLEHVVDEHSERRQREGNGFVARDMVDVLLRLADDSSLEVKLSRDSIKAFTQDLIAGGTESSSETIEWAISELLRKPEVFAKATEELDRIVGRRRWVNEKDIPDLPYIEAIVKETMRLHPIGPLLAPRLSREDTSVGGYDIPTGTRVFVNVWAIARDPTLWDASEEFVPERFLGKKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQLSIANLLHGFTWRLPKDMVKEDLSMEEIFGLSMPRKFPLEIAVEPKLSSHLYKGD >KN538933.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538933.1:182459:190111:-1 gene:KN538933.1_FG004 transcript:KN538933.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >KN538933.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538933.1:2115:5987:-1 gene:KN538933.1_FG005 transcript:KN538933.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKKRRAWAWTTDLNGLVRESHSSGRVCLRHCFVDTGRADGMAMRALHDGWCRVGVMGCHHGAEISEALQISENFFVVVIKCALDIFLSFLARMSFTSLKELSPFLVLDALKKGEGGTDLAVFFGGSDQNCVSAFHVFAAVASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPFQAVAAWAVPVQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANRIVAPASTSSNAPALAAARACASAPARRSASAASRHAASGSRRAAGASRPAARGSLPAAGDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVVAYVDLDPFLVVVFLVFFSC >KN538933.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538933.1:166609:170943:-1 gene:KN538933.1_FG006 transcript:KN538933.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ethanolamine kinase [Source:Projected from Arabidopsis thaliana (AT2G26830) UniProtKB/Swiss-Prot;Acc:O81024] MWAPHGIWPVGPTLQWDTRARQPEREGSRESREFVVCLQFGFCFSREECYARLCRGICKELVRGWSSLDSSRFSIETVSGGITNMCESLPAPWQFDLSNFVVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKELRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKVLLMLGAISFILFKEPLLFIFFLHHRRAHIHKAILFNTIHVWLYVFVFLPEKLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKDSQYHFFRNYLQPDRPSEVQVQDLDALYVETNTYRLASHIYWALWALIQAKEAYASPGRKNIV >KN538933.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538933.1:132677:136503:-1 gene:KN538933.1_FG007 transcript:KN538933.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWVAAPPVYDLREFGGVGDGRTLNTEAFVAAVASIAERGGGRLVVPAGRWLTAPFNLTSRMTLFLAAGAEILGVQLLLICKDERYWPLMSPLPSYGYGREHRGPRYGSLIHGQDLKDVTITGQNGTINGQGQSWWSKFRKKVLNHTRGPLVQLMRSSNITISNITLRDSPFWTLHIYDCKDVTISDTTILAPIVGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSTNITIHNVTIRSMVSAGVSIGSEMSGGVSNVLVENVRIWDSRRGVRIKTAPGRGAYVSNITYRNITLEHIRVGIVIKTDYNEHPDEGFDPKAVPIIENISYTSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSVGLVDRKNHVFQCSFVQGQVIGYVFPVPCKNLDLYNERRELVKQSALQNISDIDYSF >KN538933.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538933.1:64528:67293:-1 gene:KN538933.1_FG008 transcript:KN538933.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPFLLALAVLVVVSSCVRLVSGAGDGAATYIVYLNPALKPAPYATHLHWHHAHLASLSVDPSRHLLYSYTSAAPSAFAARLLPSHVAVLRGHPAVASVHEDVILPLHTTRSPLFLHLPPYDAPDADGASTDVIIGVLDTGVWPESPSFGDVGMGPGCFSSDILAGMEKAIDDGVDVLSLSLGGGAFPLSRDPIAVGALAATRRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAELGNGETHAGMSLYSGDGLGDEKLPVVYNKGIRAGSNASKLCMEGTLDAAAVKGKVVLCDRGGNSRVEKGLVVKQAGGVGMVLANTAQSGEEVVANSHLLPAVAVGAKSGDAIRRYVESDADAEVGLTFAGTALDVRPAPVVAAFSSRGPNRQVAQLLKPDVIGPGVNILAGWTGSVGPTGLTVDERRSPFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTGSPILDAASNTTATPWSIGAGHVDPVKALSPGLVYETSVDDYVAFLCSVGTSPPQVQAITAAPNVTCQRKLSSPGDLNYPSFSVVFGRRSSSSRSTTVKYRRELTNVGDGRSVYTARVTGPSDIAVAVKPARLAFKKAGDKLRYTVTFKSTTPGGPTDAAFGWLTWSNGEHDVRSPISYTWGM >KN538933.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538933.1:22116:25553:-1 gene:KN538933.1_FG009 transcript:KN538933.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYESVHQMEEDSCQDFNRAEENNIRNSIVKIPAGTRVYVDASWKEEKTGVGIFIHNPSNHNAIVIKAQSLNAGTPLLAEAEGLFLAMQIARHLQLQDPIFLSDNSEIVNAVQNEDYIQNPGHWSLRPILSRIKNFLQDQQVRIIWISRELNKVADGLANLNHISIALASHSLLPSIKVRPWFSHLHQPRYILQMEFLLWVSYLTITLATILLFLRTLILRHNRRVYNLPPGPKPWPIIGNLNLMGSLPHRSIHNLSKKYGPLMYLRFGSFPVVVGSSVEMAKFFLKTHDVVFADRPKTAAGKHTTYNYSDMTWSPYGAYWRQARKVCLAELFSAKRVESYEHIRREEVRALLRDLHAASGRVVALKDYLSAASLNVISRMVLGKKYLEREVVHEGEVVTTPERFRWMIDELFLLNGVLDIGDSIPWLGWLDLQGYIRRMKKLSKMFDQFLEYVLDEHENRMCREGESFVAKDMVDVLLNVASDPSLEVKFSRDSVKAFTQDLIAGGTESSSATVDWAIAELLRKPEVFAKATDELDRVVGRGRWVTEKDIPSLPYIDAIMKETMRMHPVAPMLAPRLSREDTSVDGYDIPAGTRVLVGVWSIGRDPKLWDSPEEFMPERFIGSKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFAWRLPDGMTKEQLSMEEIFGLSTPRKFPLEVVVDPKLPADLYVSAC >KN538933.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538933.1:109071:111582:1 gene:KN538933.1_FG010 transcript:KN538933.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFSLQIFSWVANKISGKQEANRFPANSSAPYRANVSDCRKDEFSDWPQSLLAIGTFGNKQIEEVAQVENSSDNVQSVQDTVKFTEEEVDKIRKEFEMLLAIKDQAEAQRSHDDDQVGLQKRADGEDNEKHIRQLINKRIIVSKSKNSLGKKGNTLKPRSVASLLKLFMCKGGFTSVVPEPRNTFPQSRMEKLLKAILQKKIHPQNSSTLVAKRHLDWKPDETEINECLEDALRDLDDDGAKWVKTDSECSKGPGKLGVYGVTT >KN538933.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538933.1:128875:130629:-1 gene:KN538933.1_FG011 transcript:KN538933.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAGILVPEVLEKWGFMEDYSWIDAGARDYFADPWTLFVSQMALMGWAEGRRWADYLNPGCVAVEPRLPNRRNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLHHLADPGHCNVFSAFTSH >KN538933.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538933.1:144654:145798:1 gene:KN538933.1_FG012 transcript:KN538933.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSGLDAPAVEVEPNTAETAADELPLIKAPADQQSDESASEAAGEKAQQLTIFYGGKVVVFENFPSTKVKDLLQIVSTGDGVDKNTGAAATQSLPRPAHNSLPDLPIARRNSLHMFLEKRKGRMNANAPYQANCTAAPSKQANGDKSWLGFGQEMTIKAGDMTS >KN538933.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538933.1:151618:162364:1 gene:KN538933.1_FG013 transcript:KN538933.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPKLAAKPSPPTAAAAAPRPKATAKPPLGAGYRDDDDDDFQSPPRASSRAARALKPSDNGAASRRPSKSSVSNGKKGLNRYWRRDGGLHSRPNPMDSTVSMPDATCDLENGGSQVAQMLSSNDRISVQLEGNAKVELGKSESDPTTMRKERNGSGACESDHPARLIEPRLLTLVTNCDFGGADSMDSKELGSAIHPSVSKDRNVENESGGASVCTFALHNGNCHSSCVESELEMLNAKYDLGPRDCKESQEGPGLCSLISEERTVAAEGDATFTFEERGNTSSGLEACKGSHCLDPVEPKLMDSCATHALEGDGCDDFEIGTQLNELINLCMEDYTEGPLSNKVACLEGNGMDCGSFNSSCEVQCPLCGSNISDLSEELRLVHTNSCLDGDKPAKEPNSDNQNEPCGESNVEKRRVMEWLRNLGLSKYEEIFIKEEVDWETLQWLTEEDLLGMGITSLGPRKKITLALCELRKKNDDANDLAADMLNLENTKKAKIPMNGNKLITEYFRCPSSDQRQKKACKVNTPSNLNSQKNSNAKATGGRRTVKGKVKDTPIWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTKSFCHGKIYCSSVTANLVHYKIGIPWDRLHVLPLNEKITIAGINLTCFDANHCPGAVIILFEPSNGKAVLHTGDFRFSSEMANNRVLQSSPIHTLILDTTYCNPRYDFPTQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLYMEVARLLQKKIYVGAAKLQILKHLGLPQEIMHWFTANEAESHIHVVPMWTLASFKRMKYLSTQYADRFDLIVAFCPTGWSFGKGKKRTPGRKWQQGAIIRYEVPYSEHSSFTELREFVRFISPEHIIPSYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSVQSKPQGGQVVAVGEGRSMGSDSIEISVPVGAQVVYSKYAGTELEFDGSDHLILKEDDIIGILDTDDVKDLKPLNDRVLIKHGHLEYIYLQVTAVGPGPLVEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDSSIQDGLLRLWTYNNAVLLSLVEVGRLVKNYSIVDNAKELVNKVVS >KN538933.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538933.1:92474:96022:-1 gene:KN538933.1_FG014 transcript:KN538933.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVVSRGCSRLVLPGMQPSSASAASSSSFFSRGGAGGGGDRRPLPDGPFAGLVICVTGLSKGRHMLFLNAQHSFAGRKFEHAVKHGAKNGLFVVTLGWFVDCVRRSMRLDESLYSIKNIGENGMPLGEFNRLVGAPVSGNSCLPPMMFQEKTFSDTTEKHRLQTSRKEHDHDEFLFTNDSIYIDLGISGEMRKKVSDAATREGAKLLDHWFIGCHATYVVCEDASVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHLSSDLARQVATILENAQTFQENRKIGDVPSVNSNSSGVPSTQGEIDEIHQERQKFVEVAKKNVRDRRARRMQSCEVPIHPITPVKLMESICWTVSEPTTSACIYTESSWSDDAFEQQSTTFFDANGDGKDDQSSNSFTRPLRESEKSEVIFKNHFLTVLFPIDRFGELGPSSRTFFSNGGFTRIQVLDHIYNFYQENMSSDEINVALQTDSRHADRLRSLYASTESAERGFVTFKRIDFLGSRRSFEGLKRLSRENNSNVYELVIRA >KN538933.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538933.1:29092:31394:-1 gene:KN538933.1_FG015 transcript:KN538933.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLPPWASFVGVVLATVLFLKAVLGRSRRVYNLPPGPKPWPIIGNLNLARKMCLTELFSAKRLESYEYIRGEEVRALLRDLHGAAGGVVVLKDYLSTVSLNVITRMVLGKKYLDKDAGGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIKRMKKLGKMFDRFLEHVVDEHNERRRREGESFVAKDMVDVLLQFADNPNLEDLIAGGTESSAVTVEWALSELLKKPEVFAKATEELDRVVGRGRWVTEKDVPSLTYVDAIVKETMRLHPVAPMLVPRLSREDTSVDGYDIPAGTRVLVSVWTIGRDPKLWDAPEEFMPERFIGSKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLSLANLLHGFAWRLPDGVTREQLSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAAA >KN538933.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538933.1:114008:117522:1 gene:KN538933.1_FG016 transcript:KN538933.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPANCPIDPPLITKMNQQQFSNTRGDTPSHQGINEEILVSVAVYLWCRFAGIAWAIEDASDLCDERFDKITFRRPEDAAKETGSAMVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQVNPGWPQLLFASFDGSDTIATLPSRIIPITKTGMYNMYFIHCDPSLAGLEIEGQTVWKNPTGYLPGRMAPLKNFFGIMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMSLWYFEYAEFNETGVRPKGITFWAVTFGTVKRTVARVIILIVSMGYGVVRPSLGGLTSKVVMLGGTFFLATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTLDKLQARRSMAKLDIYRKFTIALAVTVLVSIGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVISYLWAPSQNSMRYNPYLLVNFNLDNTQVLLFHLAFHCSRCAE >KN538933.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538933.1:60722:62479:1 gene:KN538933.1_FG017 transcript:KN538933.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELPSWASVLVIVLAAVVFLLATILRHGRRAYRLPPGPNPWPIIGNLNLIGALPHRSIHELSKRYGPLMQLRFGSFPVVVGSSEEMARFFLKSHDIVFTDRPRTAAGKHTTYNYTNILWSPYGAYWRQARKMCVTELFSARRLESFEHIRDEEGYIRRMKRVGKKLDRFMEQVLDEHDKVRRQQSDRFAARDLVDVLLQLADDPNLEVQLRRDNVKALTQDLIAGGTDTSAITVEWAISELLRKPEILAKATEELDGVVGRGRLVTETDMPSLPYVEAIVKETMRVHPVAPLLAPHVAREDASVGGYDIPAGTRVLVNVWTIARDPALWDSPEEFMPERFIGSKIDVKGQDFQLLPFGSGRRMCPGHSLGLKVIQLSLASLLHGFEWRLPDGVSAGELSMEEVFGLSTPRKVPLEVVVKPKLPAHLYTGP >KN538762.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538762.1:120348:127870:-1 gene:KN538762.1_FG001 transcript:KN538762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLCAMFAPALSPARYTREKSAWSVSQVSSPAPEAWEAAQRTACHESSYAAGRRCSGARRYSTETTMARYRLAPERPVPALYDDAWAALQWVASHAAGEGQEPWLTAQADFGRVHVGGESAGANIAHHAAMRAGAEELGHGVKVNSLVLIHPYFLGGEGDGYSESDEMGMALLRELIRLWPVVCPGTSGCDDPWINPMADGAPSLAVLGCRRALICIGGKDAMRGRGRLYCEKLRECGWRGEVEIWEADGQGHGFHLLWPTCTQAEAQDNVLLAYEVHIQTQLVYLGESDAQMFTARSLQLDIQQNIFCVSFSGMTSQNIKHYARKIDVELLHGRLIVHEYPQLQGTKASLSLRATEKEMFICMKGHMHSISNQTCGCKPLIHHGSFNIPKHSWIKFQQPRFFYAYHICSQITTLRNTIVSCVTYPQEIRNMESEKHIICRHPPTYGNLITVLSIDGGGIRGIIPAVVLTFLESELQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVVREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNNNTMDALLSDICISTSAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALVAIGEVSKQIFKKNPDFFPIKPMDYGRFLVISLGTGSPKIEGKYNAQKAKSWGVLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEQNYLRIQDDTLEGTLASVDVATRDNLEKLANVGEILLNKPVSRANLETGQMVPACDDSEITNGEALKR >KN539195.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539195.1:75476:78312:1 gene:KN539195.1_FG001 transcript:KN539195.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGVGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKDTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPLKMSYVVGFGNKYPKRAHHRGASIPHNGVKYGCKGGFKWRETKKPNPNILIGALVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLTNVHVKSGIDKNTIFSAVPPMFPTPPPPPSAWKP >KN538762.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538762.1:92210:92659:1 gene:KN538762.1_FG002 transcript:KN538762.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQITLSLLIILLLALVASVTDASSQSHSQEDELIKFMESRALKRLRNRPSKNGPGEDDPWADPAGRFSHLAMRGGVSPPESTKEDDRIAALPGQPRGVNFAQFAGYVTVDRKNGRELFYYFVESPYDASTKPLILWLNGGTYTRLIC >KN538762.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538762.1:39801:42895:1 gene:KN538762.1_FG003 transcript:KN538762.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLRPASPCLNLAPPTADGPGRSRSAVTIGGSRPLSVCLRVGGSSRRDFPCTTMASRDHSGLTRQLLDFQHGTVDEIDGEHDPFMELKARFMDFKQRNCVDNVSNYQNLAQQQTPKVNDYGAVANFFNINITEFFGIAHFLFLVENVLVVGHSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLSLHGGYYNFIDCTFEKWKLVYRQGLEGGSKYAIKNRTTWS >KN539195.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539195.1:39780:55582:1 gene:KN539195.1_FG002 transcript:KN539195.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/Swiss-Prot;Acc:Q84JU6] MEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCEVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHCILFRSLFCFRLIIWLMFIIYICYICSHGFTLTFIPDTTDVCLDENAVSSDPLLAFLLDEKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMESTLKAKQHLEAMMWCIRHKFLEDIPLRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRNLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQIMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKDYRSSSLKTEINKTTPFQGKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISENAGFHEVHIQKTKVPPKENVLRMQRAIQAIGSHGSLLKSAVLRHISAPKPSMLPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >KN538762.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538762.1:163914:166907:-1 gene:KN538762.1_FG004 transcript:KN538762.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASETEPDAVVFEAPAHFRIYKSGKMDRLHRPPCLPAGVDEATGVASKDVVIDAGTGLSVRLYLPKIKEPSKKLPVLVFFHGGGFLIESADSSTISIQSLIGSADATPSLVAMEPHADEVVFDGPYFRIYKNGKWAVSAQDDWIAEHGDTARVFVAGDSAGGNIVHEMLLRASSNKGPRIEGAIVLHPFFGGSTAIDGESDDAVPKGSKLWAVACPGAANGVDDPRMNPTAPPGAPALEKLGCERLLVCTAQEDWLVARGRAYYGAVAASAWRGSAAWHETEGEGHVFFLRDPGCDKVKQLMDRVVAFISGA >KN538762.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538762.1:9245:15608:1 gene:KN538762.1_FG005 transcript:KN538762.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSFEQSVKSSVEPVVEVNKSVAAVPELSEANLVSDGVDNERKKMRGQKASITAKVSEDVLDNNRIRSVDSSRLPTSGKACNIQDAAVNENFSKTSAGSSSSRVERSTTSEPELNHSNKQASGTDNLKSSRGLPSVSTVGTISSIHGSEKEAAMPNNRSLVKNIPRQQAAAKVVRHYPSEMTLFPYEHFVKLYNFDKVELRPFGLVNLGNSCYANAVLQCLAFTRPLTAYLTEGLHSRNCNLILSQNCVTYHGSKKEWCFMCEFEKLILEGRRGKSHLSPTGILSHLRDIGSSFGPGREEDAHEFLSFFVAFNCLINFDRYAIDTMQSASMKEAKKNGVYGLPEETTLVQLIFGGYLRSKIKCTMCQGSSEQCERILDLTVEIDGDINTLEEALHRFTSTEILDGDNRYNCSRCKSYERAKKKLTISEAPNILTIALKRYQSGNFGKINKAVRFTEYLNLSNYMSTADDISPVYQLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWHKMDDSQVKPVSLEKVLSKCAYMLFYARCSPRAPNSVRKMILAQDSSRTKKAKQMVDPGPPSLEGGSYLSRHQGGQSCRDHIVYDLTYTFGGSSYTVVESPSPSDSSSLFSNSDAGSTSTFSSDSTDSTRNSTSMEEYDYIFGSSDQMYPLFGSTSLAQWKYLYADTYQPRLITRKGWESATLST >KN539195.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539195.1:3869:6279:1 gene:KN539195.1_FG003 transcript:KN539195.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGFGDSGAQGVRQLGGDYHDWDMASARELETSASSLAETIQQGELPAKASVIAPTILETGKSFTAKGMEVLERVGKETMEFIIEETGMDVDKGTGEGDPQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLVAEQKTYYDGKLKEIQQIFSLSTKIDEDGPDSNKGKRIEAADSDSDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNEIVKRTTNRLETIHSEGVHRLSEMCCLAVSQLLLLGKSVISASSKPKNEDDENDVKIEWPEDHISKAKIIRWKAQSISVDIEKVSASFATGISDVAEAYMATIQNALADKKDDLSNQNSVQEKAKYISNHLNTDQTSAVSKLQDALQYLAYVVVCSSMPSV >KN538762.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538762.1:168139:188095:-1 gene:KN538762.1_FG006 transcript:KN538762.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLRSENPMRGSLRPASPHTWHSSLVRVLRGGVEKKANLSQRRRFTGKVYRFGTGLELGALWIKEQVDWEIATETLSKEYHPKLPATNHYCKSLSSLIRETYAHCHVPCARIPAAGWSSGDDSDDDDSLLDEVLDTKQVIMNEMRNRQMKKRARCSLDSPMSSPFVWSFTPLDPTSVLEKFPSPKESVTEEEEKTKGKEEEEAQDGIRMPWNVIPGTREDALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEYISTAETGPAVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKKKPTTGVIEELQKDPWPVPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLLEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSSDNDLGLSFNFHMQKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCLVYDIAKKDGSNTIGQAANVVDFIDTNKFRLFKIEELIGGFDQEAAAAVMARLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKETPSSFSLSPRISIFPQFIFNLRRSQFVQVFNNSPDETAYFRMVLDREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDQQDHEVFAQLLKSPHEEADTISRERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNSSHGGDVIFTDDTVQKISNLHYLAFVVSSVYIPCMPMTSVLPGFYRLCINCWCFNKVFIQTVSSNYPRVQTTYELRLSEAYMLPHQKLSPQRLICCHMATPSPNPHLSMSINGGATVSAGWAATQPRRRRTVDHSSRSCLLRPSYGSTRGFGTRRIAVRRASQKNSSPPLMTTEQEADDEVVLESPAHFRIYKSGKIDRLNRPPVLPAGLDEATGVTSKDVVLDADTGVSVRLFLPKLQEPSKKLPVVVFFHGGAFFIESAGSETYHNYVNSLAAAAGVLA >KN539195.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539195.1:109896:110484:-1 gene:KN539195.1_FG004 transcript:KN539195.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWEEPINVSPDEIIHGKGMNTKNTMKMSIDKMTMAAAAELKRRALTRSLAAACANTDSSSVAHILCLSGSRYIIVFTGQHLRLALLSALPNRRCSSSASSGDLLAKTEPIKDSAWEGCVQLLSCVPKLTVMLSRLRRTVLVQSDGGEWAKREGYHHARTGAVGCASDGDRKCNVPLT >KN539195.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539195.1:206:2814:-1 gene:KN539195.1_FG005 transcript:KN539195.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLA >KN538762.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538762.1:158095:159033:-1 gene:KN538762.1_FG007 transcript:KN538762.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESDADELVFDSSYLRIYKNGKWAVSAHADDWITEHGDTARVFIAGDSAGGNIVHDVLLRASSNKGPRIEGAIMLHPFFGGSTAIDGESDDAVPKGSKLWAVACPGAANGVDDPRMNPTAPGAPALEKLGCERLLVCTAQEDWLVARGRAYYGAVAASAWRGSAAWHETEGEGHVFFLRDPGCDKAKQLMDRVVAFIASA >KN539195.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539195.1:113575:117464:-1 gene:KN539195.1_FG006 transcript:KN539195.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPSVDLMDSENKGSKVTANGHANGRTDSDSSSVDDGENTDDEDDSANTTLPYRANELQRLALACDDGSVRFYNVPESGALTYYRSLPRVSGRMLSVAWSNDAKFIFSGSSDGLIRCWDSTSFYEKYRITAGLGGAGSGNELCIWSLLFLRCGTLVSGDSSGSVQFWDSRHGTLLQAHTYHKGDVNALATVPGQNRVFSAGSDGQVILYKISKDELVADKEVAKEQVRKWVYVGYVRSHTHDVRALTMAVPICREDVLPEEKTKKIRRREKPLEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARESIVNGDSVMLVQSANWLDVLLVVVQNKLTPSTSSRGDATVRHLARLKSKGSRKIISSATSTNGTMLAYSDCVKPCLFALRHKGGKKFTLDKLELPKGLPNSQCMLFSIDSSSLILAGRDGKIYANVLHFAKQVVDIATREISNVFQPMRKMDGASKEPPVTKMFLSADGQWLAAVNCSGDIYIFNLEVQRQHWFIPRMNDGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGDWSKRNTHHLPRRFQEFPGEVIGLSFPPLSSSSVVVYSARAMCFIDFGLPVVQDGQLPNGVVAEKIDSQKGSNKKLKRKAREEELRQEIRNNFDFFAFKDPVLFVGHLSDNSVLMGVKAIGFLPGSPVK >KN538762.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538762.1:140103:141060:-1 gene:KN538762.1_FG008 transcript:KN538762.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPATTELRFDTPLLRIYNDGRVERLFGTETTPAGFDGATGVTSKDVVIDDATGVSARLYIPDLPSSGPGHHRKKKLPIVVYFHGGGMVLDSAASPTYHRYLNSLVSKAGALAVSVNYRLAPEHPLPAAGGANVVHNVAIMAGAGQSSLPPGATVEGVIILHPMFSGKEPIDGENAETRELTEKLWPLICADAEAGLDDPRLNPMAEGAPSLQKLGCRKLLVCSAESDIVLARAAAYYQAVMASGWPGMAEWLESKGEEHVFFLNKPECEESVALMDRVVAFLAGN >KN538762.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538762.1:77443:81355:-1 gene:KN538762.1_FG009 transcript:KN538762.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRARRPAAAAHGAKAASTKSDRMMEKDQRKGAMPVKKGSSANAVTKGITNRIQARRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIIFSSAKNTSLPGGEGCVPAQLMPSSPVPNSEVSPANCHSPPTRPSMNGVAGAKQTPRKPSPSAAVAQDDRSGAGKENQSCSNTPARNCRHSPLLQKESKSRLPAAAAPEKRRATAQTISTVPDRKRLADTVSNNSEKASQDDSSVPNRLSEELLRCLLAIFSQMGSSSASGQDEGQAALSPSVSGSCESSEDAYPQDPYGILEFGTRDVGSYKRFHVIDATSFDQTAMENDTMLTRKLKALIRRLSSVDLTGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKHVNPGGVTKGAADDMTMRGVFGLEWPEPLVTFALSCGSWSSPAVRVYTARGVEEELEAAKRDYLQAAVGVSAPAKVAIPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQKAMRIVEDGRRGVAAESRRVQVLPYEFRFRYLLAS >KN539195.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539195.1:104617:107787:1 gene:KN539195.1_FG007 transcript:KN539195.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVAEIIKKRIPGLYQDTNISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPRDLDKNSPGYQTPVYVEQPRQQPRLQQAPPPPQRQVRQPPPDYEDSYVRGRGRGRGRGRGRGWGRGGYGGYGGYGNNQGGYNQGGGYYDNQGGYGGYDNQGGYGGYDNQGGYGGGGYGYNQGRYGNYQVTYLVLLKQKMVDITEDGVACAGGAIGITVAGTNEAEVAVFLVEGDMAAAGGEEWVAVVDEETEDPSSEQMGEENPWATGDI >KN538762.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538762.1:45644:46413:-1 gene:KN538762.1_FG010 transcript:KN538762.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSGDDAELGLDVECLAAAARRTRARRSHSEAERKRRERINAHLDTLRGLVPSASRMDKAALLGEVVRYVRKLRSEAAGSAAVDVPGEGDEVVVEEEEVEVEGCSCDAGERQAARRVKASAALRAVIMSQEELLAVESYKQRRFSADFA >KN539195.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539195.1:84731:92449:1 gene:KN539195.1_FG008 transcript:KN539195.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSTGRPFTGSFSGQSGSVPGAFHHSGLHNIHANFNLPNMPGSLAQRNAAMSGLPSSGVQQPGGSISARFASNNLPVGMSQLPHGHSGVSSRVNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGSPQMMNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDANDSAPYDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGSTSDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRSSASQTSSLGSYEQLIQQYQQPQTQNPFRLQQVSSATQSYRDQSLKSIQGGQTPPDPYGLMGLLGVIRMNDADLASLALGMDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHIPACYNAEQPPPLQPIHFQKFQTLTLFYIFYSMPRDEAQLCAASELYNRGWFYHKEVRVWLTRIPNVEPLVKSPHYERGSYGCFDPNNWETIRKIPPAQEPSFIGRMKLLFMLRAQYHCLTHRDRENS >KN538762.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538762.1:97282:100017:1 gene:KN538762.1_FG011 transcript:KN538762.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNTLSFSFVLIICVAALHANGSPEEARFREFVRSRRSSTVAVSGSNGYSVHEPAGTRVSSRLQEEYSVSDQSNLKAADKITALPGQPEGVGFNQYGGYVTVDEKNGRALFYYFVEATTDAAAKPLLLWLNGGPGCSSVGYGAMIELGPFRINSDNKTLSRNEFAWNNVANVLFLESPAGVGFSYSNTSSDYDKSGDQRTANDSYIFIVNWLERFPEYKGRAFYISGESYSGHYAPQLAATILTHNMESKRMIINLQGILVGNPCLDQFKNLKGQIDYLWSHGVISDEVLANITKNCRFSPSDGKACSDAMDAFDSGNTDPYDIYGPVCINAPDGKFFPSRIVPGYDPCSNYYIHAYLNNPVVQKALHARVTTWLGCNGDLDSVCPLTATRYSVGDLGLAVTEPWRPWTANREVGGYVQQYTGGLVFISVRGAGHQVPYFQPEKALIVVSSFLRGALPPYVKQQ >KN538762.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538762.1:131458:133811:-1 gene:KN538762.1_FG012 transcript:KN538762.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPHDTRRRDQRVVEAGALWRHAEAGRRGALVQRCHVPAEDLMAEEHRYGGCGFAGGGRQVTAKARVVAVSVDYRLAPEHPVPTAYDDSWQALNWVAKNGRSGPEPWLRDRGNMSRLFLAGDSAGANIAYNMAMRAGKDGGQLEGGVAITGILLLDPYFWGKNPVGAETTDPARRRQYEATWSFICDGKYGIDDPLVDPLSMPAPEWRKLACSRVAVTVSDLDDFKERGKAYAAALRDSGWGGEVEEYETAGEVHVYFLDKPSSPKSAKELTFVAGYLSHE >KN538762.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538762.1:148034:149080:1 gene:KN538762.1_FG013 transcript:KN538762.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPILLFLLCSRGGSGAPAARRGMRVPPLAYDDSWAALKWVLANAAPGTDQWVSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPMGADAMDPAYLQSAARTWSFICAGKYPIDHPYANPLALPASSWQRLGCSRVLVTVSEQDRLSPWQRAYYATLRSSGWPGQAELYETPGEGHVYFLTKLSTPQAQAEMATLVAFINRN >KN539195.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539195.1:32153:38750:1 gene:KN539195.1_FG009 transcript:KN539195.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGRFEALPRLVVFDLDYTLWPFYWYPISSLSHLAFFRSSIEEKLESECRSKRESPSLYRHAKGIMFALREKGIDMAIASRSPTPDIAKVFIDKLEIKSMFVAQEIFSSWTHKTEHFQRIQRKTGIPYKSMLFFDDEDRNIESVDHQLYPATIQLSNILVVNVHDMISKMGVTSVLVENGVNLDMFKLGLSNFATNVAASSTDNGSPNLQGLLYLSHINRPYGQIKRWFGISDCCSMGDSPRLFRHAKGIMCALKEKGIAMAVASRSSTPDIANAFLDKLELQPMFVTKVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEQTSFNG >KN538762.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538762.1:154109:156006:-1 gene:KN538762.1_FG014 transcript:KN538762.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAADELLLELDSLRIYWSGKMDRLHHPVLAPAGVDAATGVTSKDVVVDADTGLSVRVFLPARPDPSKKLPVLVFFHGGAFVIESAFSTTYHGYAASLAAAAGVVAVSVEYRLAPEHPVPAAYDDAWAALQAASSHPAPKIEGAVLLHPWFGGNTLIEGESEATARDMAKIWEFACPGAVGGADDPRMNPTAAGAAGSSDTDPIKKNNPSL >KN538762.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538762.1:92751:95576:1 gene:KN538762.1_FG015 transcript:KN538762.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKELGPFRVNSDGKTLSRNKHAWNNLANVIFLESPAGVGFSYSMNSSDYSDVGDQRTAEDTYVFLLNWFNRFPEYKGRDFYIAGESYGGHYVPQIATIVTFVNHLFDGDTPFNLRGIFVGKPLLDEYKNGEGNLEFLWSHGVISDEVWAKILANCTFTSSDDWPCFVAAHSFQRGNIDRYNIYAPVYLHEQDGTFRSSGYLPGYDPCIDYYIPRYLNNPDVQKALHARADTNWSGCNGDMDSICSLTATRYSVKDLNLTITHKWRPWYTPDNEVGGYVQQYEGGFTLASVRGAGHLVPSFQPKRSLVLLYSFLKGMLPPADIPK >KN539195.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539195.1:7114:15634:-1 gene:KN539195.1_FG010 transcript:KN539195.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVFLDNLVVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDNLKSNLLEKLEDCLLNFQKEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLELLTLNHKDVLVRTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLIIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQGTENKNVKNFLNMGETTFKPLRQPFFLLDTASSESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >KN538762.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538762.1:53636:54884:-1 gene:KN538762.1_FG016 transcript:KN538762.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCTWGFFPVGFPGCPGFEKLISSQQHGTDQPNTPWLGTTGGAEADGVAGAENGAPVSAAVEVQSAPKPAKPVRVKLTCHVVTVSKSGECEYMIEGNKHVDDSSVYPLLEVYLSIQILTEQSLQDREHVEVSLVA >KN538762.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538762.1:103695:106791:-1 gene:KN538762.1_FG017 transcript:KN538762.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDWVTVLKKGNGLAVCSKPLGKRKAWICELELGLAVQWPRFVSSDWLRRYARPCFLYWRWEMFVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGTSGPTGSAARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >KN539195.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539195.1:66058:67011:-1 gene:KN539195.1_FG011 transcript:KN539195.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-related protein KNOLLE [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/Swiss-Prot;Acc:Q42374] MNDLMTKSFMSYVDLKKAAMKDLEAGGDGVELPGVGVTDERLKGFFQETEAVEEEMAAIRDALARLNAANEEGKSLHQPDALRALRGRVNADIIAAMDRANAAQRRLSAGCREGTPLDRTRTALTAALRKKLKDLMLDFQALRQRIMSEYKDTVERRYYTLTGEVPEEEVIERIISEGRSEELLCAAVAEHGKGAVLATVHEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEQLDDIERHVNSATTYVQGGNKELRKAREHQRSSRKWLCIGIIILLLLVLLVIVPIATSFKRS >KN538762.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538762.1:62428:62652:-1 gene:KN538762.1_FG018 transcript:KN538762.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVLPPPPADRREGAAGPDGELTYVRARVERVVGSKDSEAFYMINPNEGGVGGASAGDGSAPELSIFLVRMK >KN539195.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539195.1:79587:80228:-1 gene:KN539195.1_FG012 transcript:KN539195.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGARCGPDSYTYTVLISSYCRIGVETGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLRKGCSPNRVTYNSFVRYYSVVNEVDKAVKWMREMVARGHGGATSSTYTPIIHSLCESGRISEARQFIIEMAESGHLPREHTYKLVKDEIEKAHEEALPVELCQSIDAGIKERFQQVLRMKPIMRSVTR >KN539195.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539195.1:126637:131057:1 gene:KN539195.1_FG013 transcript:KN539195.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTPVLVAADLATPLLTTTNPAERRRDGVCGRVPIFGEFENRVQAVLLVLGGSDMPPGLVSMAVPTTFDEKSTTVAARRVASLMRFREKRKERCFDKKIRYSVRKEVAQKMKRRKGQFAGRADFGDGSCSSAPCGSTANGEDDHIRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMTVQHPADLSKTGDTDDSKANLCAEHNQTTMKTDTEMVPEQEQKADVLPPTKEEDSMATS >KN539195.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539195.1:94826:96582:1 gene:KN539195.1_FG014 transcript:KN539195.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAFETGGVLRLLALILLAPLAGVLYYLVSESAGIQVLIFASMAGARVADVEAVARAVLPKFYCSDIHPESWRVFSACGRRCVLTANPRIMVEAFLKEYLGADIVVSRLAEILSPIRTRLIASALSYECTSFTRKDKYRALAGNDGTVVSKPNIDEKKAMGC >KN539195.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539195.1:97109:99620:-1 gene:KN539195.1_FG015 transcript:KN539195.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRTCAFLLAYSLLVLVFSLPATEASHRIFSDLQSVEVEPENVDDTLRSGFHFQPPKHWINDPNGVMYYKGVYHLFYQYNPKAAVWGNIVWAHAVSRDLVNWVMLDPAIYPTAPFDENGCWSGSATVLPDGTPAIIRDFQRWAPAPRRALHSGDTGMWEYPDFYPVVTSHGVGGGGGVKVKHVLKVSLDLKSVFKRDDADVARVGGGKKHVVLMCNDPSRSSYAEQLYKPTYAGFVDVDIAPTGKISLRTLIDHSVVESFGGHGKTAILARVYPTKAVGDKARLFVFNNGESDVKVTNLNAYDMGSAKITTDA >KN539195.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539195.1:61511:62563:1 gene:KN539195.1_FG016 transcript:KN539195.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWAAPPPGYPYAQVRTAPNMADAAVARLAQGTKVVLPLNQVKSVNPSASMTNRGERYIQIMTTDNHEFWFMGFVSYDKALKNLYEALQRRA >KN539195.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539195.1:17702:21440:1 gene:KN539195.1_FG017 transcript:KN539195.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEALIRAFDCEAKGGGAVRHVALEATPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFASGLLWGLSATDAALLGNFFGAAAVSQVGVPTFDPKMLQAVKQILEKAVKRPCTHINGNTFTFQRSSIHDELHKSLQEAAMLVCEQKQANSPATDNGDVCSINELTSLPS >KN539195.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539195.1:68898:71431:1 gene:KN539195.1_FG018 transcript:KN539195.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNPGKGGEGIGGSVGRVEGRRRMLRRRRRLSLGRRIKCFRSMLVLGLNMQSAGGFPASLRPGRWLDLYSGTGSVGIEAMSRGCSEVFPRTEVKVYLFANLMTYLLYHKSRVPNVLTIYMNAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLANAEKSSGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMAESCGSLIKVADRRFGRTNLLIYGPTWAEKKRRS >KN539195.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539195.1:119434:125851:1 gene:KN539195.1_FG019 transcript:KN539195.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEMSVAMLMSFMTGPVIGKRFYRKPTMRRADDRNGWMVMLNYRTLKPLASSEASAQAAVADAHHGHPPRVGVQGPYPHASLHSRSPVRRRPSRALPISTCRSEDAALEARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIDDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAKEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDSCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGELLAEGEVPIGIGENTKIQNCIIDKNARIGKNVTISNSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >KN539195.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539195.1:24363:29112:-1 gene:KN539195.1_FG020 transcript:KN539195.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-H1-1 [Source:Projected from Arabidopsis thaliana (AT5G27620) UniProtKB/Swiss-Prot;Acc:Q8W5S1] MRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMEDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIKQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQLLNLMCEISFFTQAPIDQKSYFPEQLTQIEVVLAQTRLYVVGTESIHVLQKFKNEEVYAL >AMDW01034153.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034153.1:23:517:1 gene:AMDW01034153.1_FG001 transcript:AMDW01034153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRRELRLMHEFLSRMDIRNRNNKIYEIWVEDVRMLAHQIEDIVDDYLHLVSHKDKHDDTGWTTYLKKGFKRMKGPNALLSLNRIAPSVKEAEANLVHLFQAKERWVQIVADETSGESSCYIVEASRHLASISCSLSEEDLVGVDENRKRLREWLAGDELERE >AMDW01021242.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021242.1:23:169:-1 gene:AMDW01021242.1_FG001 transcript:AMDW01021242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVNGVLNWVATPAMVASLLLFYPPYYLFKTVHSFLSYLFPDDLARK >AMDW01010974.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010974.1:14:181:-1 gene:AMDW01010974.1_FG001 transcript:AMDW01010974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTNCLLALAIAGVVLVSLPGLSRGDGECNPSGAIRSSTTHRCQDCCKAGQSYP >AMDW01082621.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082621.1:97:1735:-1 gene:AMDW01082621.1_FG001 transcript:AMDW01082621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPENDYAMVMIHMRSFSLPSLVSKLQTRSTMKDQFMMSETAKLSSCRRESAFGVFGCELSTRDGNERQAGQLIYASRERDGENRRRFSSVSLIRAGENGRNRIKVICGRSVKDSSACGEYFSIFLVPPLNFRVQNKHCNLVRKCAQSLRWLPARALIHYYLKLHQLLRITVKLQEDRLALGDSWFFGHGTRCSTQLLHFCHN >KN545005.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545005.1:146:2490:1 gene:KN545005.1_FG001 transcript:KN545005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTALLVQATSQVARTMFESTFDLYLFLSYLVSVGGQGSSQTASSTAMLLLKLAPVSLSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSYFTLACLLDFPKSADGDVLSPWFPNPTELVNLVRRFSGSIMSENSAGNADCFLSSTINLAAVLVRHGQYEAAQSLLGILDTHMNYVKASQADQDTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRYHLPHYAELCTVIYCLPEDIESHEVVLVL >KN540115.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540115.1:62881:64589:-1 gene:KN540115.1_FG001 transcript:KN540115.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSFAMDDGEAYLRDMMAITESLDGDMMAIAELLDQARSYSFADLQSHDPPPPAADDDDDDTVSALMMAMMKTVDAPAGDGDGGDCPICLNSGGGEAWKETACGHRFHARCVARWARVGRKGMSCPMCRRDMLSPVELLVRDIRALYGDEELSDIKIPL >KN540115.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540115.1:49936:57823:-1 gene:KN540115.1_FG002 transcript:KN540115.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKVRHWLGLGESDSARSRILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRGHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSSA >KN540115.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540115.1:26377:31986:-1 gene:KN540115.1_FG003 transcript:KN540115.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.4 [Source:Projected from Arabidopsis thaliana (AT3G15990) UniProtKB/Swiss-Prot;Acc:Q9LW86] MVVNNKGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIIHFTSQMGFVQVMHSVFKHHDEWSWQTILMGVAFLAVLLTTRHISARNPKLFWVSAAAPLTSVIISTIISFVSKAHGISVIGDLPKGLNPPSANMLTFSGSYVGLALNTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAARLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGVVPGTASYRSMAQYREAMRVPSFLVVGVESAIYFANSMYLGERIMRFLREEDERAAKCNQCPVRCIILDMSAVAAIDTSGLDALAELKKVLEKRNIELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKTQP >KN540115.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540115.1:8487:11439:1 gene:KN540115.1_FG004 transcript:KN540115.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPGPGSSPAASARSSKNSTGELPENLSVRGIVAKPNPPPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYTAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLADTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAISEEQGEKLPKPGTTFDGAATKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECVDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAESA >KN540115.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540115.1:20277:23677:-1 gene:KN540115.1_FG005 transcript:KN540115.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQVHSEQKRYYFDSLLPRVPLPILRQVTAHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSRERDHDSEHDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRDVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEQYNSQRYLLSPVLHSQGHSEEGKSFHLLKFDWAIVS >KN540115.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540115.1:58472:62662:1 gene:KN540115.1_FG006 transcript:KN540115.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSSDPNSGRGKSSKRNLPSWMGSKDGEENPGKKKHTATHEKAQKGSDFSKLLDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVESDNGTIVSKDWILESHSQRKLVDIEPYLMHVGKPWRKNKELVESGEDQKKPHKEHQKKVERSHIKTSPSAGIEAKHSDVASKQFSPTKIKQWAKNDLAQTISWLESQEEKPEPNELKAIAAEGVITCLQDAIESLEQGNDVKGVAEQWSFVPHVVNELAELDGRRKEGSLSKEQLSQLAIKCKRIYQAEFAHMHDNDNKHQSKPRSDDAQYDSDGTIEMTEEEIDLACRQLPGVCGRQ >KN540115.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540115.1:16679:18847:1 gene:KN540115.1_FG007 transcript:KN540115.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLRAGRLEDAREVFDGMPRRDVVSWNTIMAVQARAGSHGRAVGAFLEMMRQGFRPDHTSFSTVLSACARLEALEMGRCVHGLAFKSCSSGNVFVGASLITMYANCGVVSCLEQVLDGVESRSVALWNALISGLVMNHRVGDARKAFDRMPVRNVVSWTAMIKGHLTVHEVNMAFQLFKLMPVKNSLSWCVMIGGFVTHEKFSAAVELFNSLMRNGEEVTTVILVKIVNAFAGLKSIRGGRCIHGLAVKSGFVYDLVLEASLVLMYCKLLDITEARLEFDKMERKHVGSWNAMLCGYIYSGKIDEARKLFDSMNNRDKISWNSMINGYISDGRIADATELYSKMTEKSLEAATALMSWFIDNGMLDKARDMFYNMSQIDVMSCTTLLFGYVKGGYMDDALDLFHLMQKRTAVTYNVMISGLLHQGKITEAYKLFHESPTRDSVTWSCLVAGLATNGLIHEALQFYKKMLLSNIRPSESVVSSLISCLSNYSMMVHGQQFHATTIKIGLDSHLLIQNSLISLYCKCGEMIIAQSIFDLMAKRDKVTWNTIIHGYALNNLGQNAVEMFESMTKAQVDPDDITFLGVLSACNHMSLLEEAKYFFNAMTCTYGILPNIMHYACMVDLFCRKGMIKEAERLVKSMPFEPDSAIWTSLLSGCRLTGNDKLAEHAASQLIAIDSCTKMPYLHLISVHGLTNKLTVIDSLRNQIKSSATEKDVGYSWI >KN540115.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540115.1:37594:37863:1 gene:KN540115.1_FG008 transcript:KN540115.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFLNEVDLGAFTKRSSALGTLKPSRTVLRLFIDSETLTMMEGPSQTVLRTFIDLMTTTMVMVKDLHKLFFGLSLIDGDDNFDGELVI >KN541257.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541257.1:9202:10463:1 gene:KN541257.1_FG001 transcript:KN541257.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIALDDGDEHAIPNLNEAVAEEAAVADQEDDQVGGDLQGGANHELPGGDFQGGANHVLPFDLNLYASDHQGEIHLDDVDVMDQVLQVNGGHNHNAFPFDLNFDLNFDDDEEDLQYHPGKPFNRHDYQT >KN541257.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541257.1:29916:30716:1 gene:KN541257.1_FG002 transcript:KN541257.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVTASVGALNPLLTKLSTLIEGEYKLLKSVKKDIIFLRNELSSISVLLEHLSNKEDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLACGDANANFVRKIGSKIKKLWGKHQITEFIQDLKNRVMEEDQRRKRYQIDDFISKPSVVEIDPRLPALYEEVERLVGIDGPRDNIIKWIMNKERSSEQRKVVSIVGLGGLGKTTLANEVYKTIQGGFRSTSFISISRTPNIRKVLVDMLKELGNVGDVSEDERNLINRLRGFLKDK >KN541257.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541257.1:13132:15122:-1 gene:KN541257.1_FG003 transcript:KN541257.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGTAAEEVAEPADLMQEGTAAEEVAFRPPFLIPAPTTGDIGSDMRGLIPVVESISSISHGEVNNPSYHQRTILEDDSDHKALFEKSLVGQINIEDMSGKAKDVINEEGSSEDGNSEEMKDSDDDVGMVIGGYAQDPYDDSGLEELMQD >AMDW01038460.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038460.1:207:326:1 gene:AMDW01038460.1_FG001 transcript:AMDW01038460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >KN540680.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540680.1:30754:41824:1 gene:KN540680.1_FG001 transcript:KN540680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCDRSLRVPQPTDPARHLPYPRNRIVPKDAEHRIAYTRQGIRRLRLGAEKCRRTAVLPPESALPAPDLPPESAEANLQVARDLWRGMSEEEQQAFLKVSFQDMKSYCRSGGELEMISLLSDAEQFVKLSASSSCWTCPLCGFKIILDEERFMVHMENFHIEHEEYKKLRSSLPKRVPDNEMELLKSWRWEPMPIDGDDLAERTEILSKLKKLVSQLIDMEAVSLCLLYIMHKFIMRRVRPVTPLVVSMCACCGIRQLSSAHLKELYEFLQKLTPILADYVHQKAQNGEQESQQDSLAVTTWLKETGTLSFDYGKIVSRNTDGSSNPDEIVDGLFDESLLEDPLVSWGGVWQRCLDLGPDILNKISEALNKLKVNCSSCEELKQKLGDVYFLPDAIFETDIDVKPYFDDGIGSVQVEMLLIDAEVDYQKKMLLEACKVDYLAAILPIAKACLRAKMNNNLRPPNGLELQAPLNIILRSLWHIRRFHDTLQKIPSKCTDVKDGNSQIGKTLCKIFDSWDNEKAGKPCDPCDSTRFADFTNSLVYKKDGQRKTAIDIVKFIFRRLHSSQTPLHFEFKGETLDHQTPVEPSFLGCICLVHDLFGLHIYENKFNCVNEVYTEYRYTTFLHSIDLGAVGKTKVESFSELLKAQKSRIESCGHMVSQYSLECPPRLFMTVFEWKEDKVGHINMHEVLMSLAVELDISHFYGDLHSGSKYTLVSAVCCNDQGQYFCFARDNNRWLIYDNNRRPMVLRRSPDAVERATQLMCRYKKSPLAYAILAEAWLASGNLVMAKTHLRSATVLAPRCPYISLALAAVLVRMGSWEEAVRECARGLGAWMPTDPARHSPLPEDSINAIVSSPKGQQQRIAVERERIRLMRFRAEKGKGITAPIASVAPKWPPESADLDHARHRWSRMSEEERQAFLTVSFQDMKSHFLSRSGTSRWQTRRALSGAEELVNGCGSFSYRLCPFCFVIFVDATEFMSHIDSFHIVGCKKELRSSMPERVTGCEMELLKSWRWEPMPIDGDDLAERAVILSKVKSIVSWLIDKDAVSLSLLYIMYKFIMSRVRPVKPSVISMCGSCGIGQLSSAHLKELLDLLKWLPHTHTDYKQENQKDSLGLATWLEESGTLFFDYGKNASRKADDSSQPDEFFDWLFCESLLEDRCESWLGMREKCVNLGPAIFKKITEELDKLKLKCSSCEELKQKGGVYFLPKAILESDIDIEPYFYDGIGSVQAEMLLIDAEVDYQKKRLLEACKVDYLVAILPIAKSYLWAKLNNNPPEKVLPLPPPNGLQLQAPLNVILRSLWHIRRFHDTLQKIPRECRDVTDGDFQIEKELLEIFDSWDLVKDGKPCEPSGSTRFADFTSSLIKKSGKMTASKIVKSLFQRLHLSQTPLHFEFRGETSELQTPTEPSLVGCICLVHDLFGLHLYENKFNCLNMVHTKFAYSIELGAGGETKFKSFSELLVARESRNGSVGQKVAQYSLLCPPRLFMTVFDWEDINGSYDNMHEVLISLATELDISHIYKGLHSGCMYTLVSAVAPASNILDWMEITLIWVIPILSCQPAGSWQESIERYRQSKLRPEILFFERVE >KN540680.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540680.1:939:3073:-1 gene:KN540680.1_FG002 transcript:KN540680.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCSRTCESEHCLGTYAQAPLMRYGKYCGVSYTGCPGEAPCDALDACCMLHDACVQATDKAPLMRYGKYCGVSYTGCPGEAPCDALDACCMLHDACVQATDNDYLNMLCNQSLLDCVAAVRSPAARIRTFEGNQCNVTDVADEITSLVEAAVFAKRILHRP >KN540680.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540680.1:19075:23316:1 gene:KN540680.1_FG003 transcript:KN540680.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVSQDIQNIDTLILDLPTLQTATHNFSQQNKLGEDQDKRKELDWEKRFRIISEIARGLQYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLAKLFGGDQSHIVTNRVAGTYGYMAPEYAMCGQYSVKSDVFSFGVIILEILTGRKSMGSYNYEQSVSLLGLIWQHWTAGTVLELLDPSLISSSQQCCDDRDQMLRCIHIGLLCVQENPADRPMLSSVTVMLRSSTPPLRAPTRPAFCMPWAASGEELFAASSELVSANHVSVTELEAR >KN540680.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540680.1:9663:11592:-1 gene:KN540680.1_FG004 transcript:KN540680.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGFVTHVIGIQLFSEANIDLSNISYPVYKQQSNHRPNIQEINPASHEHIPKIQSSEYCCILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESAKPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFVCAYLCSICSDAICVNACTTH >KN540680.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540680.1:5731:8237:1 gene:KN540680.1_FG005 transcript:KN540680.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPTREESVYKAKLAEQAERYEEMVEYMERVARAAGGASGGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNDAHAATIRSYRGKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGDERKQAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDANDDGGDEIKEAAAPKEPGDQ >KN540680.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540680.1:25723:27166:-1 gene:KN540680.1_FG006 transcript:KN540680.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLFTILPAPLCPISLSLSLIVRLDPGYLRIGVTPPQFSTSSPSPPPSPPHQLISTSPNWTIDVSDARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISEASVNITPVEDYVLPPEAYFYRQVRL >KN542693.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542693.1:5315:7458:-1 gene:KN542693.1_FG001 transcript:KN542693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLVHLPQQLLVLLLFIAPFFFFFLIRSIHRRDGGSVRLPPSPWALPDRDKFLMLLERGVKLFATPSLPDLYPSSRLAELISRRPRQMRRHRDEVYKFLDIIIKEHQENRSSSDDQDLLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELIRNPRVMQKAQNEVRQVLGKHHKVTEEALRNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFHVPQGTMILVNMWAISRDPMYWDQAEEFIPERYEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFDWELPDETELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPVDM >KN539061.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539061.1:70876:74930:1 gene:KN539061.1_FG001 transcript:KN539061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACYKEIDDLLKRRGKPAGGGGAAVGSGAVKSPTVTSKIDSYLQFDKGFEDASIPFGPVEASGRSLLSVEDRLEPDSHPLALTADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGTYTLHLDTGMTIKLYMFENDEVRTEDKTFYTEEDFRDFLSRRGWTLLREYSGYRIADTLDDLRPGVIYEGMRSLGD >KN539061.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539061.1:145054:145695:-1 gene:KN539061.1_FG002 transcript:KN539061.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLRAGWRMLRMWTVAGWRMWTAAGWPYLAAGWETLGMWYRRRIWTLGGVTTLDQALRPHCAERKEKMMAGAVVRKLSCDHVFHKACIDERLRDREHGMRCGLCNRVAGWVLPWNASPANLTDHNAQRFQHIRARGGVRTLNRALNDECPICQHMMVAGDDVRTLSCGHDFHEDCDIAKWLRDNKNACPVCRQINRPVQR >KN539061.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539061.1:51937:53915:-1 gene:KN539061.1_FG003 transcript:KN539061.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDVPENANDHCPGTQSEAAGKVDACAGCPNQQICATAPKGPDPGAIALFVLCETILQVDTLFPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >KN539061.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539061.1:13059:22280:1 gene:KN539061.1_FG004 transcript:KN539061.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRASGGGCGGDAREGFQGIFRSNAVKKFWQQFHPYCNSSAVERIKFCVQENWPEDILSKALEDICLEKNYQEKCVLALVHSLQSYEDRSPHRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNIMMAGLDGSDAFDNHDLFERNSTSAWHSEMDIDGQEPGISESRNLVKNIGKVVRDLRYLGFTSMTEDSYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQAVPLKFLHALLTYLGDSLDNESGSSGLKSPLASHPSSFPGIGIPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKLFWQIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTVGTVQEETELSHEVLDATIISSNFWPPIQTEDLTVPSSVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPIHAAIIMQFQEKPSWTSKTLATAIGVPVDSLNRRISFWTSKGVLTESVGPDADDPTFTVVDSTSDFNKNSTVNQLSERFQITEEEGESSIASVEEQLRKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVSDEKLEMRDGSYFLRK >KN539061.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539061.1:122174:122554:-1 gene:KN539061.1_FG005 transcript:KN539061.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHANSAIVCGLVKKLKHQEKLDAAGVQFDESLKNVDAHQSKGELIRDEKIGKRQEARKRYTISDSFPPDMACFANDHGPVQKYSWEWGSVVINSNKLKTICGTNQVLENTKTWNLTETLGHKS >KN539061.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539061.1:146085:146501:1 gene:KN539061.1_FG006 transcript:KN539061.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRIDRERTRRERKERGWEGEARKQQRGDGGRGSNGGEGRSAPPALVLVPQLRPVEGAPARLPPVAICREGMKRRGEVFTMSCCGETCHQRCFEALESGDNDEISGLPGLLQPGPHVASAVAADVIFASSGAVLRI >KN539061.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539061.1:9881:10150:-1 gene:KN539061.1_FG007 transcript:KN539061.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFTNFSSDDEFEYSSGVLVDSVSDASCDDFSDEDFQYEEVDARYYRVSKRTLKAGGLVGLEGGKLGTAYYRSVKVSSDYIMLNLLF >KN539061.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539061.1:129154:129603:-1 gene:KN539061.1_FG008 transcript:KN539061.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAATTSTSSPTQPRPPRYSAEGRAGGGEHDGAYHGERLEPTPERHHHRRHRLHRRQSSPSTVVVLEVVVAAIWIRLCPTSVASGATWVRAARHGFGRRGQPLSRGLRRLLLRLPSAAGAAREGGEEAGLALHAVAVMEAGGGVSASS >KN539061.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539061.1:80268:97647:1 gene:KN539061.1_FG009 transcript:KN539061.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDIDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSRILSSDRSSARLLSAPTTLPRRHAREAREHAGILVAVGREAEARPLPSDVPARAQKLRLLRRDGEPQVVDPAAAGYGVVDRADDADRLVPREEAQRAVGAIPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKTSPQLLADKDILIRSVLNRSEMKDGHYVFDIPRIANDLKITMNEVFDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYVEVDFPLVMEAAKAELARKGGVAVSILLQRKLLLGLRVSAPATLSRRAG >KN539061.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539061.1:118918:121860:1 gene:KN539061.1_FG010 transcript:KN539061.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPVPRLDGAAMQIRNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYVYVLTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSIAVKDGKALENEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMKWKELPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLNTLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKLYHRMTDFYTILYRESEIIDLLFSDWGVTDTFALELL >KN539061.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539061.1:149300:149938:1 gene:KN539061.1_FG011 transcript:KN539061.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKPRNTQDITRTMPSSDVPCGRTTTTTSSSRRQPRIAGIVLRKKRFVLCVAGMCGVRRVGEQRWFRPSAFLRMNDMQLDAHIFRRMFASLEKRYGVRQLPEFKAALPELGELVRALKDQFMRYRREVSWLSPAPSSCHGDNTEKKKKKKATRYGRPVFPRKRTLVFFRDRRPGHLEYEFVTKTQAQGTEHKQATQVLAQLRKLGWSRLM >KN539061.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539061.1:37996:51347:1 gene:KN539061.1_FG012 transcript:KN539061.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEHPHQITYYTTTSSLCPRRRKRGDDEAAHHLVFPMDLDSAAAAHQQQQQQTTSQDKLKALAYEYGHEFRVFSSATFESMTSNLPAADQEEDDDFYELQPADYFNLVSNRIGALSKTMKLTAEQSKVLKTRKMREAELAAQRAKIKKAVMRVRFPDGYILEADFHPSETVQSLMDFLKKVISRPDLPFYLYTVPPKKRIKDTSLDFYTIGFVPGANVYFSYDLPEGSELNTDSVKSGPYLREEIRMLDGLPIVQEPVHQPIDSTMNSSSAHQSDVSQSDFAPPANKKPAKPKWFKRVLEGDDETAKMCADFAVIISEIRSIFRLSSFDVTRKEEGEERKLAGCTAICVLEPGNGEARMHQISPCICPTITLEFFTVGGTEHAGWKGILLKEEEHQPIYHVRRIFTKGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSDFLQHIKYVSGSYDSGEGFEKLNKEISEYEKSNKSESPRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEVRVQFKDVPGDIFKCKRQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKVKSIPYQPGSRGPKEADELSERVGYMQTHGYIWIPPTLA >KN539061.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539061.1:23114:26115:-1 gene:KN539061.1_FG013 transcript:KN539061.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHGAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIKPDEVELVRRDYAANEGWETFLSYEDTQQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARKEHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKCLV >KN539061.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539061.1:59410:61582:-1 gene:KN539061.1_FG014 transcript:KN539061.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSSCSLTSSFLMNEDCAGMMRGCGCWSEEASPLSSGGVNSLWWDELEFELELEEEEEFDPVDLLPTDPFGMNLETTFTAAIASCIEDLTVMSSAGRFGDSRDDAVFADLSYYLNQAFVLSPEFQFGGYRGVFEGPLGFGGLSAGEGDSFGFMKNPSSSGNADDSFGFVETPPTSGNAALECGDAVEVVPVQEGGVPHEGMMFALDYLGLRDILSVERVCKTLHSAVRNEPLLWKSIHIEGDLRQRISDAGLLHLTQKCPDTLQCLSIACCVNITDQGLKAVLESNPRLTKLSILGCPRLTLDGLISNLKSFNTKAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPRFLHANRFLSDCNDGYALDIEMCPICQNYKLVYDCPDEGCDDRRSGNCKGCTVCILRCYECGRCVDKLAFKESFSLDWVCPNCQEKKDLSPPMK >KN539061.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539061.1:76095:77262:-1 gene:KN539061.1_FG015 transcript:KN539061.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDMDVAVPFTWVESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >KN539061.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539061.1:106144:108254:-1 gene:KN539061.1_FG016 transcript:KN539061.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAPPCPCYDTPEGVDILGRYDPEFAAILTRDALAFVAGLQREFRGAVRHAAFRSGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSHLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAAGAAGDAGEEMARVEAEVGAERFRRGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVHHPGASSPCKL >KN539061.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539061.1:136876:139510:-1 gene:KN539061.1_FG017 transcript:KN539061.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEETSRLFRIRRTVMQMLRDRGYLVTELDIDLPRADFVARFGDPVDRDHLVFSRHKKDNGADQIYVFFPKDAKPGVKTIRSYVERMKQESVFNGILVVQQALSAFARSAVQEVSQKFHLEVFQEAELLVNIKDHTLVPEHELLTPEQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >KN539061.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539061.1:99688:105137:1 gene:KN539061.1_FG018 transcript:KN539061.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVNYPLVAALVAFALAQSSKFFTTWFKEKRWDARQLIASGGMPSSHSATVTALAVAIGIQEGYRSATFATSVIIACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQVVAGCILGILIAVVMRLALWSS >KN539061.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539061.1:56485:57465:1 gene:KN539061.1_FG019 transcript:KN539061.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCSVPYLFRTLLPIPTAFRSTTRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSRSSLLSLSPSSALMVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSVFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >KN539061.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539061.1:26868:29260:1 gene:KN539061.1_FG020 transcript:KN539061.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGEEGKQQPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMASLYESLGAGGVLETDAALLAEMRGRIEEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSVISLDRVSLKAKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >KN539061.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539061.1:68439:69616:1 gene:KN539061.1_FG021 transcript:KN539061.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPEGHGLYPGHPSLRGYARFLNLDTGTLVHARIPLLRDGYVAIDSVDGLLLLLLDPDPNQEGAVRLLHPFTGDTAELPPLGTLLPHLGSRLLDCPAPYRIRSLARVVCASVSCNATGAGAITVLLALSVVSRVAFATSLDRQWSLSIYECVTLSSPIASHGKIYLMHTDRSCGEKMHQILRIDHPPAAAQDGSGSGAGRALQEPKLVATIPARKLDHFQGLVECGSEILVLGYKNWSTSRISVFKLADLVLQRFMPIKSIGGHTLFIGERNISVASKILPTVKGDNLVYLHSGLVKYHLSSGSLSLAIDNCSLYGRAPGPSSLVHYIYSCCIRNRWSRGLIFRKDAPEWLVQDED >KN539061.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539061.1:132198:134087:1 gene:KN539061.1_FG022 transcript:KN539061.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPLLLLLLLLAVLLAAAPAAAQSATPREDDVRCLKEVKAELQDPDGRLSAWSFGNTSAGALCLLSGVSCWNPQESRIIGLSLSGFGLQGGIPSALQFCSAATTLDLSNNALVGVIPPALCDWIPFVVNLDLSGNQLSGQLPSELANCRFLNSLKLSGNSFSGQIPDSLGRLDRLKSLDLSDNRLDGQIPPQLATFGKDSFGALTVKRLHSCPLSEKAFRAEMGRVGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKEPGEAPLDWATRLRIAVGAARGLAWLHHGFQAPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEYGYVAPECASNPVATMKGDVYAFGVILLELVSGQEAATVTGDAAGEGFKGTLVDWVNQLKASGRIGDAVHKSLRGNGHDSEIDEFVKIAFACIMVRPRERFSMYRVYHSLKSIGQGRDVSEQFDEFPLAYNKDESDTM >KN539061.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539061.1:30033:33238:-1 gene:KN539061.1_FG023 transcript:KN539061.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPPSPDPMRPRAVEVFGWLWCGGKKMIKQILGRLPRKPGKAGDSRDAAGPNGNEPSNSYSVARSVEQGNKRSGNGDYVVPAGLTPNPMMNGTVVYHSNEPLPAFKDVPASEKQNLFVKKVNLCCAVYDFADPTKNLKEKETKRQTLMELVDYVTSANGKFSEVVMSEITKMVSINLFRSSSPTPRENKAIEGVDLEEEEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGVAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIARSMNSSHFQVAERALFLWNNDHIENLIKQNYKVILPIIFPALERNARGHWNQAVRSLTLNVRKIFSDHDSAFFGECTQKFNDDELKQEESNSKREALWKRLEEAAVPRSDNNNPVGTPNGKFSQAAG >AMDW01083459.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083459.1:176:1678:1 gene:AMDW01083459.1_FG001 transcript:AMDW01083459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPGDPLPDTNRDWSELPVDALSAVFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKGTDILCAMAKTAIDRSDGRMESFWAQKFVTCELLDYIVSRASSTLKSIRLIACTFVWGQSLATLAARCPLLEEIECSHHKMPADFFKYVGIVRPQLKRLRVHMQYYDYDEMEDEMKEHHDENEDDGEYEEPFDQWEARRNEDAFAIAENMHELRLLQISGNNLTNKGVYAILDGCPHLECLDLSDCYKIHVDDQLRARCAKIKHVWLPGQWPHVNCPDLRVIGENEGEDDGITLHDLWEAEVENLRAEAKMEDGSYGDYYEDYSGLSSPESCVDSKDVYCDDPNVYTMYHDYYSL >AMDW01038451.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038451.1:115:785:1 gene:AMDW01038451.1_FG001 transcript:AMDW01038451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGK >KN542271.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542271.1:6882:13179:-1 gene:KN542271.1_FG001 transcript:KN542271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNVMWRTVPGPRGGGIVLINASSFNGGLRALRTNGKYQRWNTGVSLQCIDRFNARFSSMMEWEVQVIPTRVQRPPFQVGGAAWLCGLQRRGSGEIQVGVRVADDDGNFNIPGGEILLISGGSLIELGSALEERFNMRFSSMMEWEVQVIPTRFQVGQARRLCGLRRRGSGEIQVGVSVADHDGNFSILGGEILLISVRSLIELGSALEDRLGSSFRFWNMSIFIRAGSLGQPFPLLTDLPSELDYFEVVVFMVGTPGSEVAPYGVKHFHIELCNHEYKGNNLTDLVQRLGFRSYSELYFLDSLYIANYTGPPYEGNTTSVAIATANQIIVGNAADSLWVLARNDQVDASDAPVTEKSGFIQFFVPISGQSISMYKDRMVRIREAVDEALAQANVKMKDSYIEYHHKKLDDDDTICSAGVMCNTHVYVKQRFRGGGNSARGPPFPAAIPLKSYIETQGYKKERLTRWFVEKKLPSSLIEPGFDDSGFFLNNSAKKCGAMLSETLEGTHKCSRCFDGFEESDIFYDPLKEEFIIAVPTIEFTPAAYKKDWKACKKILEKYFRYPTPTGKLHYPLMVDEMIGRIDKLEEIKNAGILWRDRVLLYRHPCFKTDAEMIAIFFQLVLHYHTLDPAERKIFVSCFGEDLKWGMKAELSRELKKVMNFTAYDKRGQLKLQKVYIENDGFSLIDMCRCALQHVVRPGKVSQVDVFVLLCFIFKDLVPKMIESMLKYSPSEISEGIDKSQNHLKMIEILGFLEPGRDLR >AMDW01019959.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019959.1:82:291:-1 gene:AMDW01019959.1_FG001 transcript:AMDW01019959.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLR >AMDW01039566.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039566.1:305:929:1 gene:AMDW01039566.1_FG001 transcript:AMDW01039566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PHDHPSAETLAEKEEKHLASNLITKFELMQSSEISAAAEKLAECQETITNLRRQLRALKSPAVSGNLDSPMSNSRPSSSDYKPQSLACILAEGEDSSTEDAISPATKEVHSKKEPDAASRKSVAQDGSVNAALKAVEEELTQAVVHPIFPEPSQETISADLKKKRRSPSLL >KN539640.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539640.1:81791:82888:1 gene:KN539640.1_FG001 transcript:KN539640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLVISLSLFLSHLDSPFSFVRLSRSGLLDWSMDGGSNANVVRREYVVYPPEGPRPPPPEELRERAREIARKRQQQQ >KN539640.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539640.1:341:6872:-1 gene:KN539640.1_FG002 transcript:KN539640.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTLSKGNKLTIVWSSKVLDLGINKRDRGKKRCRAKPQKKDEDTTDKGILDEGPLDATKEMNGVGKGDSRAACKRPRRAAACSDFKEKSVRLSDKSSVVATNGNKMEEEEMDAVKLTKLGPEVQRPCRKLIDFILHDADGKLQPFEMSEIDDFFITALIMPMDDDLEKDRQKGVRCEGFGRIEDWAISGYDEGTAVVWVSTEVADYECVKPAGNYKSYYDHFYEKAQVCVEVYRKLARSVGGNPNLGLEELLASVVRSINAIKGYSGTLSKDFVISNGEFVYNQLIGLDETANTDDEKFATLPVLLALRDGCKSRVEVSKLQPNISNGSLKINDAECKEVSEDDDEKLARLLQQEEEWKMMKQRGKRGTTSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSEDSFYSDVPVRILNNWALYNADSRLIPLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAESAKLSSSSSSNHQDAGVSIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFADVIKKVAEFDKGSPAFISSNVALVERYIVVHGQIILQQFSDFPDETIRRSAFATGLLMKMEQRRHTKLVMKKKVQVMRGENLNPSATMGPASRRKVMRATTTRLINRIWSDYYAHHFPEYSKDADANEAKEIDDELEENEDEDAEEEAQIEEENVSKTPPSTRSRKLVSQTCKEIRWEGEAIGKTASGEALYKCAYVRELRINVGRTVALEDDSGELVMCFVEYMFQKLNGAKMVHGRLLQKGSETVLGNAANERDLFLTNECLEFELEDIKELMSVNLQSLPWGHKYRKENAEADRIERAKAEDRKKKGLPMEYLCKSLYWPEKGAFFSLPHDKLGLGNGFCSSCQQKEPDCDELQILSKNSFIYRNITYNVNDYLYIRPEFFSQEEDRATFKGGRNVGLKPYVVCHLLDVHEPAGSRKIHPASTKISVRRFYRPDDISSAKAYVSDIREVYYSENIVKVPVDMIEGKCEVKKKIDISNSDVPVMVEHEFFCEHFYDPATGALKQLPPNVKLMSVQQKATGALKKNKGKQICESDQVDSDKCTKVSKENRLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFTKNHPEAAVFVDNCNVILKAIMDKCGDADDCISTSEAAEQAAKFSQDNIMNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKINLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGASKLLLEYGGEPISWFQKKIRGNTIALNDHISKEMNELNLIRCQRIPKRPGCDWHDLPDEKRNVNRYERVQVKLSSGQLVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDNYQFAGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKHQ >KN542503.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542503.1:6764:7087:-1 gene:KN542503.1_FG001 transcript:KN542503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIIFQCFIVPMFMGGATDMGVVNKSLEKLKKALEVYQAQLSKSKYLAGDFISLADISHLPTVYYLLASAHASVLDAYPRVKAWIDDVMQRPSVKKVTEAMKTPSA >KN539640.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539640.1:69517:72094:1 gene:KN539640.1_FG003 transcript:KN539640.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRHLRRGVLGGIRDVVTAFIPFITAGDPDLATTAKALKILDACGSDLIELGVPYSDPLADGPVIQASATRALSKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGIANFMTVVKEAGVHGLVVPDVPLEETNVLRSEAAKNNLELVLLTTPTTPTERMEKITKASEGFIYLVSTVGVTGARANVSGKVQSLLQDIKQVTDKAVAVGFGISTPEHVKQIAGWGADGVIIGSAMVRQLGEAASPEEGLKKLEELAKSLKAALP >KN539640.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539640.1:57473:59815:-1 gene:KN539640.1_FG004 transcript:KN539640.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPQQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQDLVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKGEASDSGSKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSVKPEPNTPS >KN539640.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539640.1:83512:89868:-1 gene:KN539640.1_FG005 transcript:KN539640.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVVAAAALDPDQDELERAFPIVEPDHGHTKLRLAKEGLEAIKRIETPIAAVAVIGPYRSGKSFLLNQLLSLTCNKGFGVGHMRDTKTKGIWVWGTPIELDVNGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETIREADISRLSFAVEIAEEFYGRGKMLLLSQQNYCGLSRGISSDIKLLLTLLTFMRQKENLSSKWLMKLSNGCLTTMVNRIRDSLAFMGDNSTAFSLPQGRTLNGKEFVSFLRQAEDEARMLFDKQHFGKHHTTRSILKLDEEMRKVFGNFGFANEYQSSKLCEAKFSECEDKMEHLQSLKLPSMAKFNAGFLRCNQSFEMECVGPAKESYERRMSKVFGNFGFANEYQSSKLCEAKFSECEDKMEHLQSLKLPSMAKFNAGFLRCNQSFEMECVGPAKESYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIARFVIKFFLLEVAAWVIFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPVLDIDRQVSSLCEVHNMNMGDPNCCCAIIFSCLLALPRCQETNRKIIASFVQRFLRKLQSPKNRLIQV >KN539640.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539640.1:13798:14244:-1 gene:KN539640.1_FG006 transcript:KN539640.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARCSRGGRRRRRRGGAGEELGVNREFVVVEEDAAQREREEVRAQEAAGVDDARGATPCRRSGMLREATRGGRRRRTAASTSSGSDDATSIASARRRRLTIAANWGNSFSPVQLIWGQPLKPREVVVVRCQVVSLPVNGPAQ >KN539640.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539640.1:75846:79400:1 gene:KN539640.1_FG007 transcript:KN539640.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEYNAMLKRKLDEDLATVAMWRASGAIHSESPLGNKTSLSIVGSILSSQKCIEGNGILVQTKLSPGPNGGSGPYVNQNTDAHAKQATSGSSREPSPSEDDDMEGDAEAMGNMILDEEDKVKKRKESNRESARRSRSRKAARLKDLEEQVSLLRVENSSLLRRLADANQKYSAAAIDNRVLMADIEALRAKVRMAEESVKMVTGARQLHQAIPDMQSPLNANSDASVPIQNNNPMNYFSNANNAGVNSFMHQVSPAFQIVDSVEKIDPTDPVQLQQQQMASLQHLQNGACGGGASSNGYAAWGSSLMDASELVNMELQ >AMDW01031157.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031157.1:248:424:-1 gene:AMDW01031157.1_FG001 transcript:AMDW01031157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRVAEARHCVSQSHRFVGACMRKSNCEHVCMTEGFPWGECRFHGIERKCFCKKRC >KN540139.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540139.1:58837:63233:1 gene:KN540139.1_FG001 transcript:KN540139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDPEGYEEELRQLRRHFESSVFLFRQQAALASTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQTHKNEARNRKLQNILFTFLQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICNACFHGSSRIMIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQVPPDAVEPLFKQIVNQFVHDRSRPELMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPVDPKARPKAFGEATIASDVPGAELLDEDFSSEGEGSDDESDAFDSNDEKELQSARGTKQNLDGSSEANKLDTDEGMKEEDQLSGDEDDTEELDEDQDISDNDSEENDDELECDSDMDEENDVSESDDDEELSEKLDDSDEGSDQDDDSDQDDKSKNSSRKANKRKLSDYIGQLNAADASLRALKKLAGAKKAEASCDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGHDTKSVTFKMPSSDQLSLKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKK >KN540139.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540139.1:43297:44459:-1 gene:KN540139.1_FG002 transcript:KN540139.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQQKGVGNSKVVKVEKEESWDLFVNQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDIQSVSSKLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASAESIGVTAPPDIVVE >AMDW01029034.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029034.1:182:380:-1 gene:AMDW01029034.1_FG001 transcript:AMDW01029034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLEPKLHCIDHFIDCEMRDDLVTAQQTARRAWQENFKLSSGGVPVLEYEESLRSVASRALKLIL >KN540139.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540139.1:25588:30066:-1 gene:KN540139.1_FG003 transcript:KN540139.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MGGSSASPCDLDREFAPQIAQLLATPPLQPAKEYYDGLIQSRKHDGIRVNFSSKHGKGVCANKEFAEGDLILKDQILVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYWQSVGSSSDCTNRRHCHESDVGSSASSSGATKENSSTLPEEVLGSLITGDMSLPFTDHFSLPQVVPCRGCEEERYCSQSCADSDWETYHSLLCTGSKTEPSRRSALQKFIEHANGSNDIFLVAAKAITFTLLRYKKLKTQPEFQNNTDESNFSLLMEAWKPLSMGYKKRWWDSVALPEDVDSCDEDTFRQQIRDLAFTSLQLLKDAIFDSDGLVVASPVEDYFIHIDDLPDDEKEEAEKVTRPFLDALGEDYAAPCEGTAFFPLQSCMNHSCCPNAKAYKRDEDTDGNAVIIALEPIKKDDEITISYIDEDVSYEERQAQLADYGFIFEIFPYVKITHFWDEWPNK >KN540139.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540139.1:498:3707:-1 gene:KN540139.1_FG004 transcript:KN540139.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVPGEEHEEDNSMKVDVAFDGVHSPFRYRHKKRSKVWEEYKPIFLNGKVQFAECLYCHNRLSCKDSNGTSHLWRHQKICPGKEEAAQRRQKDSYFPCVLVNENDPVSPHDPVNDVITETLSDINSVTPSGNRFTSKVWKEFTPVYIEGKLQAADCIHCQKRLSANKFGGRSHLSRHLITCAGRRGRGGGQIHQKGLFYPSSVPSLKSRVQDELSPALTNGKVQIAEYSSKLLRASSSGDSTPKPIRVVPAEHSVPTPDYTSLMKQRTSFVTTPAGQETSDPDLVGMIALHGYPLSIVEHEEMMRFVKKLNPVVNLVSRNAMEEHCLTLFQKEKENIKESESGSYNVILDAIRDWNLDQKLLSLTSVGEVRGDTNTSLLKEMLIEKKCLPIGGTLFNVACVDYVLNSIVFKVQADILRLVGDIVMDFLVSLTQQQLLEVISQTGLKCPQEDAKWWHKLYFRLEVLLHFKKSFPSEERVSPEDTKTAESVCKILRTIYRVIEVISSPSSPTANIYFNEIWKVRTVLQEEALNDHREIATVAMVMQEAFNEYWQNSYLWLAIPVVLDPRFKFSFIEFRLKRAFGTDSASYLSVIRETVRELFNEYCHSLNQASDVVSNSEALCADDNDSLEDWDQHLHEQASRQLSSELDDYLEDAFAGGRFQAVYDGASDQG >KN540139.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540139.1:22940:25151:1 gene:KN540139.1_FG005 transcript:KN540139.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) UniProtKB/Swiss-Prot;Acc:Q9LSX7] MWSYLVNGYWVIVIVDILMYSLADSRAQEAINQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >KN540139.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540139.1:48609:52931:-1 gene:KN540139.1_FG006 transcript:KN540139.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELEDGYLVEQEKLRSTMDAENAQHAELEAQLSSDLEELKAAHLNLTQQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASRDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLDSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFEETCSMQQEQIQTLQKQLAVATNKLKLADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQVYFSFEVLVNMVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSADVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQVSDLCKWMPCLGGDSKTLMFVNISPEASSVGETICSLRFASRLI >KN540139.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540139.1:5576:15485:-1 gene:KN540139.1_FG007 transcript:KN540139.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLGWDPLLRLRMPIWSPPGANFFFGRDLGDADINGDALHASLVLPPSLAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDNSEDLSSTSRQIHSSGFGLICALSPSPAKNSGYGYADKVYFFDLDVQRTFIPSFLFRSPPLAAITFRDLVLYTTNEKWQKLEWQSLSVDLLPHPDMFTDARFNSSSSEDGKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPVGLEVQLHITEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDAEFQLEFLMQSLFFSRASVPDGGISRNLSCIKIAGLFLRDTFSRPPCTLIQPSMQSVPQEPPPVPDFGQNFCPQIHPFENQQLEFTSGIPLFSLYCLQLTPSPLPPKFASKTVITCEPLMVTLQEQSCLRIASFLADGVVANRSAILPDSSINSMSFYIKEFDLSIPLDAEEITRYSGTKNVCPQSSFMGARLHVENLYFCESPSEKCLLLNLDKDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTSNEQRTVRGSSPSLWKCVELDDIRFEAAMVTADGSPLLIVPPPEGVVRIGVAFQQFTTNTSVEQLFFVLGLYTYFGQVGERISKVSKGNCSATKTSADKLERKLPSDTAVSLTMNSLQLNFLESLSSNDLQLPLVQFGGEDLYLKVSHRTLGGAFAVTTNLTWKTVSVNCLEGESAIFGENDTAVTGEPNILLHENGHPNMRAVFWVDHRNKNQSKEARFIDIDITHVMPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRTLKDLSSGPLAKLFSPSHLTDKEDGMPNSKDNDYNSKFDLEVPDDLDVSIELRNWLFALEGTEEVGDWLSPHGSDHISREEKCWHTTFTNLHVSGRSSDRPGSAEKVIHKRALPIERFTAGIEGLQAIKPCLRDQLIGNATSNNLQTGSVFDNTSSIGDQGVDVEATMVICEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGIDNTFSPRKLSRQNSFGSIGTPRTPNLHSTTDAGTKELLESTVASLQIEILESKAKCTALVSQASGVEDQKCAEDIRQLNDKLESMQSLVTKLRTLI >KN540139.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540139.1:33381:38828:-1 gene:KN540139.1_FG008 transcript:KN540139.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDLCSDSEEYFSPYSDREDNLYFDDPNDDVSQVPVLHNTAFGKNSSEQLVGLDDDDWLNNTHALSSYKPAENTSDIIESSSGFNTDLNSRNSNWQYRTLPHTFMSSSYKSRPLSLTGGNNVESTHPTEKPNTVHYNGIGFPSPAIASGYKPYVSYGQGVSIDDDDDDVYEVLPQSFPFSHSSLGDKKIEEESTWTYNGFQTSSANGIEMPTSAMSTGGVSPYGGLNSHRIFPPSVPYNNSVNNFGVNGLGTQSHLNIEKRLFGRDERVVYDEALKQISQETTEENLPEGVMSVSLLKHQRIALAWMVSRENSSHCSGGILADDQGLGKTISTIALIQKERVEQSKFMSADVGSMKSVANLDEDDEVVIVMDKKQLKGESVNMLQDSTLFPSSEAASDAADLKPWASLPGSAVDRMVNAVKVEPKKKARVRPSSSSTLRSANRSTAGTLVVCPASVLRQWASELAAKVTESSKLSVLVYHGGSRTKDPTELTKYDVVVTTYTIVANEVPKQNSDEDMEEKNSETYGLCPAFSIGNKRKKDSEPMKKKKPKNSDADLDGGPLARVRWFRVVLDEAQTIKNHNTQVARACCGLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYEPYSVYGSFRSMIKYQISRDATRGYKKLQAVLKIVLLRRTKETLIDGEPIIKLPPKTIQLSKIDFSKEERTFYMMLEEGSREKFKEYASAGTIRENYANILVLLLRLRQACDHPLLLKGKEKDLIDTGSVEVAKKLPKETVINLLGQLEGDYAICSRCSDPPEDVVVATCGHVFCYQCVHKSLTSDENVCPSPSCGKKLSAQSVFSPGVLRFCIADKLESGATTSSSVEADGSPSICESSYISSKIRAATNILNSIVNTPALTWSDTIESSPSEVAPSKAIVFSQWTGLLDLLELSLDSSRIKFRRLDGAMSLNLREAAVREFNTDPEVRVMLMSLKAGNLGLNMVAACHVIMIDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKRKMVQSAFGEDKPGGSATRLTIDDLQYLFGI >KN540342.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540342.1:42193:43904:-1 gene:KN540342.1_FG001 transcript:KN540342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTYQYQQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPANARATATSSSDPAAKKKAIPRRAAGQSWEDPTLTDWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAGAIKEMNGKYVGNRPIKLRKSNWKERTDVDALERQKLYHVTNVELVILHDILWRKN >KN540342.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540342.1:34585:39273:1 gene:KN540342.1_FG002 transcript:KN540342.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLPAILLLSSALLLALASASASASAAAPAQAAFVDAASRRYLRDQQHHDQAASMSLDQVSAAVSVLLGFAPPPSLPAQSSSKLDKLLLPNPFDRPRVVLLLQIDGFHDKDDLVIVHSDESPAVDSGSEYFDSDLTDLANWLGGSYEKVDGKLIIPLESGESLTLLITKEADMEFASSLISLRKTIKRGIQVHEDFSGGVMSPAELLVCHFKGIKALEEEYGSTEIVKQGTDVVRTAVTKAFDLLRGAYNGLLAYEYATYQGHPPVFECEDRLDGIRREGERLAH >KN540342.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540342.1:19995:22209:-1 gene:KN540342.1_FG003 transcript:KN540342.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGGGDLDVMSDLKQQGMVQRRVIQDVRNSLYYSSAMSMALETNALDAYGGGDMGVEMNNGASSSVLDELLWENRMEQAVELLVVEQEGQLMQEQESGVLALQSLLGEARRANHLLLGYYRRSVLRGVDELRQKQKQRNNNNNIIIIKELVRTVLSTIVEASRSVVSSEVRRWAREEMDGLGVAFRELVHMAAADGKLSLLLEAARCALSYGPLLLLLDEEYLRELLARCMEEALAMYAAHLRQVLRLLPDNDDDDEGASSSMLLGRFLLSGVLRTSTTKHNCWCLLTTSGRKLVTLMQEVVDDVSPLLELDLDLALGSTLLHLLADLLRDYMLMQLGATAAAADDMMTVSLLINCTTLLSLFPLIARRIFTTTSSASQQPADFHHATNNNKGELHLHGLIVSIKEAAAQVWTCFCHHFIRHTIMSTTLHHKTHSSSSSIRHGANMPSSAFQVLFLRVRQLNSLYGAILTGEDGTMKKLLQELMEAIILFYLSDEDLHDSWIIRQASHAVPIQDTLLRQIQLDVHFLLQVAQFGGFSSDDFRDNALDSLRKAQAKVVPLSSSLEQQQHEEWAADAARHAMQVLMMGSQADEENSTDSVQKDELAADDDDDEMQPDAWVGACTCSDGKSSDEFVSIEDDQLAIHSGE >KN540342.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540342.1:3396:5680:-1 gene:KN540342.1_FG004 transcript:KN540342.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASLLDRRQQLSRRAWQTWHHSGHCPRGTVAVRRTTAADVQRARSLALFGRKKQTLPAPDVVTGNGHEHAIAYTAAEVYGARATISVWAPEIDEANGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDNRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSVGGPQYDMTLLVWKDPKLGNWWLSYGDGAGGLVGYWPAELFTHLSDHATMVEWGGEVVNTHPPGSAHTATQMGSGHFAAEGFGRAAYFRNLETVDADNSLAAVPLDAIQTMAEDAGCYDIRKAYDDDDGRGGWGAHFYYGGPGHNTASCP >KN540342.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540342.1:18663:19225:1 gene:KN540342.1_FG005 transcript:KN540342.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PROTON GRADIENT REGULATION 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G05620) UniProtKB/Swiss-Prot;Acc:Q9SL05] MAASSVSLPGARALPTWSSSVSGDSHSLALSSWAARPRSARPLRAPARMGNVNEGKGIFAPVVVVVRNIVGRKRFNQLRGKAIALHSQVITEFCKTIGADAKQRQGLIRLAKKNGEKLGFLA >KN540342.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540342.1:40038:41039:1 gene:KN540342.1_FG006 transcript:KN540342.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWQLLGQASGFLQDKYKQARLALGDVTPAELLVQEATNNDGGVGPDARTLACIADAAFDMDDYWRIAGVLRRRMARAGDWKEWRPVYKALVVLEFLLTHGPDEVPRDFLPDVAALRDLRGFTHVDDRGFDWGACMQRRCDSVLSLLTDAERLRDARRRIRVSHSTHELVQQHGSPSSSSGTGSPSSASSRTSSQSHASSWSVASSDSPTMVCLCAAAADYRHDKKFDAYTADDDWMQHLQVHNTTATLEYDDDHDCPNHPPHTTPRSWDAHVHDLSLLQRRGRPGTDASFCSRMLGAVNVSSRASGFQSLSQPERRSASKKLQRQLSMEY >KN540342.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540342.1:11453:15944:1 gene:KN540342.1_FG007 transcript:KN540342.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASSSKPPVVLGCGAVSADYLATVASFPNPDDKIRSLTLKVQGGGNTGNALTAAARLGLRPRIISKVSNDPQGKNILKELQDDGVDTSHILVAEEGNSPFTYIIVDNQTKTRTCIHTPGYPPMVPEELTQENLFAALDGADIVYFDVRLHETALLVAEEHFFVLVKGTPSSEIELCLRNNPVQASQRKLPILIDAERKRDGLDELLNFASYVVCSAKFPQAWTGASSTPVALVSMLLRLPNIKFIIVTLGEKGCLMLERSTTDASEAEEIDVESLLESLEKKEVLNSSMPKCIASKSNLRISADGIGSISGRLLLGTAEIIPSEELIDTTGAGDAFIGAVLYGLCSGMPPEKMLPFAAQVYK >KN540342.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540342.1:27437:31104:1 gene:KN540342.1_FG008 transcript:KN540342.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAIAQKISGVPNLQRRRLLLIMVLVEQLTASPWNNMMFMMYYGLVVEGRPFSQVKSKLKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCW >AMDW01003778.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003778.1:99:197:1 gene:AMDW01003778.1_FG001 transcript:AMDW01003778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEIEETRAILLATAALRAAAGDAFAEYQAE >AMDW01039133.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039133.1:140:886:1 gene:AMDW01039133.1_FG001 transcript:AMDW01039133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSFCKSQQRLSPHYSQMDQGVDKNVIDDSLVSNCDFPVVKKLEKCVDEEASVQCPFENKEDTRSLGMVCDHENNKGGVAEVITPPEKEAIESSSSINVADEDPSYGCQTPRESIFDPFAPGPEELACAPKKKMIKAPELPPRRQLSFDSDDYPVKRLSFEFDDAEEEDQFLERICMMFIDLIISNQALETTGKDLIGSNSPGSCETPSSEPLLTGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSP >AMDW01040371.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040371.1:526:1392:-1 gene:AMDW01040371.1_FG001 transcript:AMDW01040371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGMLAKMQSRRWILAYLLVVLVSLHGGANGFYLPGTFMHTYTPNEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMILDNLPVMRFTEQNGVTIQWTGFPVGYNPMGSNEDYIINHLRFKVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKVDSVKCPLELEKSQAIRENERITFTYDVEYVKSNIKWPSRWDAYLKMDGAK >KN540891.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540891.1:35484:39655:1 gene:KN540891.1_FG001 transcript:KN540891.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIRMPNCQPCVTALLLQEKSLKILFFGQGKTEAFPELPAKVLIINCAIQIEVKISTAKMIKLRIRMPNCQPCVTALLLQEKSLKILFFGQGKTEAFPELPAKVLIINCAIQIEVKISTAKMIKLRSALGVLEILSVLCISLAAAAAAAYTPVDNYLISCGSSVDTPVGQRLFVADDSDTVVLTSPASDAVKASPSAVSGLRDADAAMYQSARVFKAPSSYSFRIRDPGRHFVRLHFFPFVYLGYDLATASFKVSTQDTVLLDGFAPPPPAARGNASTTTTTAAAAAVCEEFLLDVARDTLVVTFVPLAGRNAFVNAIEVVSVPDDLIGAAESSLSTSESTGQQPNPAVMPLQTVYRVNVGGPAVAPESDTLWREWTTDQLFLVSTVTAAVTKKVSYNQTLNYLPGQATADDAPAIVYATGRELIIMNGSVFDGMKQMAWQFDVDGSASYLIRFHFCDIVSSVPGLLHMNVYVDSSASQKIQDLDLSAIGNGTLAFPYYRDFVLAASTPSGKLAVYVGSTSQKITTPAAILNGLEIMRILTTAGNVAVVEPTTPPGTKKKNNLAVVLGSEERGEGGAADADDELAVDGVDAAPRPDQLPQRAAERRRDATGNFDEGLVIGEGGFGKVYAAVLQDGTKVAVKRANPESRQGAREFRTEIEMLSGLRHRHLVSLIGYCDEQQEMILLYEYMEHGSLD >KN540891.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540891.1:1418:5075:1 gene:KN540891.1_FG002 transcript:KN540891.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFLSCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISNQSKERFLSPTVAPTVLCPLHQLLQDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSKGAVCPVCSTQMHRAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVSLLAQCGVKDLSALQERTVKIGKEEKIVCIAFHYLGRKGGFASALPVSRQSGGTGEQSSCLFRGLCPRGGFGAYSKG >KN540891.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540891.1:20463:24428:1 gene:KN540891.1_FG003 transcript:KN540891.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDDELTEAPGLGSPAVGRAQGFYIASSEEGVSQTVAVTAMFKEGEFTDSISFFGVHRTADSESHLAIVGGTGKFAGAKGFAKVAVVRPGDYRRYFIRYNRTRRSRDMSKFKIKQNSFRLCYRDQYGTTGACDESFLLRMTPLHKELSLRTEAIYVSAFYEMLKANGMHQWVVFHEHKNTIKKSVDNLKHALRRYKSSRIKLGLFYLVPRKDGKFGFFVRSPLLDKPIEYHGKRKIKLQFKKRSISPSIPSANVPAANIFTIACSFFSSHLEDASELKDIANIHLYSYLCFVIILL >KN540891.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540891.1:16082:18574:-1 gene:KN540891.1_FG004 transcript:KN540891.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLYNLFVLIVVFLGGGAPACSAATDTITPGHVVGGEDKVVSNNGKFALGFFKAPAPNQDKWFLGIWFNTVPNRTTVWVANGGEPIMDADADGSPELTISGDDGDLVALHPTTKSISWSTSVSAKNTTSNSNTAAVLLNSGNLVLQDTSNMSQPRTLWQSVDHPTDTLLPGAKLGRDKLTGLNRRLVSKKSMAGPSPGAYCFEVDEDTPQLVLKLCNSSVTYWSSGPWNGQYFTAIPELIGNSPGFHLGFFDNSREEYLQFNVSNEAVVTRNFIDVDGRNKQQVWLDSSQSWLTLYSNPKVQCDVYGVCGAFSVCSFSLLPLCSCMKGFTVGSVKDWEQGDQTGGCVRKNQLDCVGSNTSSSDSTDKFYSMSGIILPDKAESMQDVDSSDECMKVCLNNCSCTAYSYGSKGCLVWHTELLNAKLQQQNSNGEIMYLRLSARDMQRSKKRRVIIGVVVGACAAGFAVLMFILMFIIRRNKDKNRSENYGSLVAFRYKDLRSATKNFSEKIGEGGFGSVFRGQLRDSTGIAVKRLDGRSQGDKQFRAEVRSIGTIQHINLVNLIGFCSDGDSRFLVYEHMPNRSLDTHLFQSNGKFLDWNTRYQIALGVARGLCYLHESCHDRIIHCDIKPQNILLDASFLPKVADFGMAKFVGRDFSRALTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLELVSGRRNSARSEEECTTTTTTSTSTDTDGNYSVYFPVQASRKLLDGDVMSLLDQKLCGEADLKEVERVCKIGCWCIQEDEVDRPTMGQVVQILEGVLDCDMPPLPRLLQRIFERPSSVSTSTPVFLFVSSPKRQ >KN540891.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540891.1:14949:15224:1 gene:KN540891.1_FG005 transcript:KN540891.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGRKERAPRGYVPILIGGQGEERERILVRTEQLKQPHFLALLDLAVQEFGYEQRGILCIPCTTKAFRSIVGAATPTAAAIAGGEIKS >KN540891.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540891.1:24823:32473:-1 gene:KN540891.1_FG006 transcript:KN540891.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFAKALIEVKHSNPYHSQILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAAELWKKLNEKETSRVQPTQEDHAKTTERTSAAAVEKLCGELVVTFQVVLCHTDTRRQKEEALNFRAKKENEVSVVEKNISTCTCNTYIAYVYIVKGMHVQELTAEISVLEKQRDELEAQLKKNISACTCNTYIAYVYIVKGMHVQELTAEISVLEKQRDELEAQLKKVNISLNAAVGRLRQTREERDQFDEANNQMIFSLKAKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEANNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTDNFLKLTKYHLSTFKIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKERAESSPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSGNRK >KN540987.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540987.1:86:1697:1 gene:KN540987.1_FG001 transcript:KN540987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGASEANNYPRRSGLSFAVGTGVASANKPDVPSTRPLNRAELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGETNAGAVLAIYPGVVYSPAYYRYIPGYPKIDACNNYLITRYDGTIIDAKPWQLGGDSREIWDGSDLVDYNAVPSKSQESNSDRAWRMLSKPLKKGHTENFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPVTMKRFGSFWFKSGRSGNQVGDSPVLKTLVLLSSRSICDEELFLNYRYSNSKKRPEWYIPVDEEEDKRRWS >KN540807.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540807.1:1014:4763:1 gene:KN540807.1_FG001 transcript:KN540807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGFLLLLLLAAASSACLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQAAHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTTSEYKVAPSEKPKEHFVRFDSSDCSCICTCRKFEFMGIPCCHMLKVLDYRNIKELPQRYLLKRWRRTAKSANEENQGTLLFLICMRIHFAEAHDAGDLNLKIIPSARCPLHDTGVEAVRTGHAELP >KN540987.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540987.1:24062:31013:1 gene:KN540987.1_FG002 transcript:KN540987.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSPSTPRQLLSTVALFAFGAGLLAYGVHLSYAHVEPQRARTLARDQFVRDYLKRKYDKGGGAMALAPASSGGGPVVAEVEMNAGADQGATGTVRATVVQACTVFYDTPATLDKAEKLVAEAAGYGSQIVLFPEVFVGGYPHGSTFGLTIGNRSAKGKEDFQKYHAAAIDVPGPEVTRLAALAGKYKIFLVMGVVERVGYTLYNTVLFFDPLGKYLGKHRKVMPTALERVFWGFGDGSTIPVYDTPLGKIGAVICWENRMPLLRTAMYAKGVQIYCAPTADFSPSWQASMTHIAVEGGCFVLSANQFCRRKNYPPAPEYTFGGLDEEPSPESVIWPGGSSIVSPSGTVLAGPNYEGEGLLTADLGKKLGTFKYQIITLCPKNSIVTVKHHKNTKEKLHDKAERLIEEAAGYGSQLVVFPEAFVGGYPRGSTFGFGANISIGNPKDKGKEEFRKYHAAAIEVPGPEVTRLAAMAGKYKVFLVMGVIEREGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGEEPSPDTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKTSAAKSDSTTKPY >KN540807.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540807.1:37961:40924:1 gene:KN540807.1_FG002 transcript:KN540807.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDGRRLQQELQHHVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLGRREADIRNKMVFPRSGNLEGLMTIDDHDTTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDARRAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >KN540987.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540987.1:18667:22802:1 gene:KN540987.1_FG003 transcript:KN540987.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKGASHEAIPSLYFYCVMRIEKGRGAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRSDREFKVLTPAEIKDFLEEVE >KN540807.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540807.1:22427:26221:-1 gene:KN540807.1_FG003 transcript:KN540807.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVALQPERRTVEKKPDVKQRRVVITGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSTFPTRIAGEIKSFSTDGWVAPKLARRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEGRGIILCIEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAAIQAIRTGWVHPNLNLENPEKIVDVGVLVGSQKERCKVNVALSNSFGFGGHNSSILFAPFK >KN540987.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540987.1:11611:14129:1 gene:KN540987.1_FG004 transcript:KN540987.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 34 [Source:Projected from Arabidopsis thaliana (AT5G23210) UniProtKB/Swiss-Prot;Acc:Q0WPR4] MDHVHVQAGRTPERDREREKANLMFLESPVGVGFSYTNTSSDLQQLGDKITADDAYVFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENYINFKGFMIGNALMDDETDQTGMIDYAWDHAVISDRVYADVKKYCNFSMENVTDACDSALTEYFAVYRLIDMYSLYTPVCTEVSSSAAFGQRQVAVHGAAPKIFSKYHGWYMRPAGYDPCTSDHAEVYFNRADVQEALHANVTKIGYNWTHCSDVIGKWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKTVQEWTPWYDHQQVGGWTILYEGLTFVTIRGAGHEVPLHAPRQALSLFSHFLADKKMPPTAFP >KN540987.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540987.1:33101:35803:1 gene:KN540987.1_FG005 transcript:KN540987.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHARCLSCYPLLLLLLLLRPCLAVPPRSRDDDGSDDKLTLLSFSNDLEGPMPQELGQLRPCGGSGFWISPGTSSREASLRLWGGARSSRTSTLVLTTSKFNNLSGAIPPSFWNISNLINLSLAGNALTGTLPPNTFDNLPRLHTFFLQANQLHGYIPASLGNASDLLSIQLRTNFFSGTVPPQVGELKHLQNLRISSNFLQANESLGWEFITALSNCSRLQDLILASNMLGGELPVSISNLSTSLLILALEYNKISGSIPEGIGNLVNLQALDLSNNFFTGTLPSSMGMLTSLHGFTVANNTLSGQIPLAFGNLTELNYLIFLSNNFSGRIPSTLGNLTKLLELAMVNNSFVGPVPNEIFNIRTLSIMLDLSCNKLEGSIPQEIGNLKNLVEMHLEYNMFSGEIPATLGDCQLLQILYMDNNFFNGSIPTSLSQMKVLSQSKATMICGGIYPLHLPKCSSDPSKKRRHRFPVILIVIPLAATLGILVLFYLFLKRHKKRSTDNLSGESRQGHPLISYSQLVKATDGFSTTNLLGTGTFGSVYRGTLDCGSGENSNLVAVKVLKLQIPGALKSFGAECESMRNLRHRNLLKIITSCSSIDFKGNDFKAIVFDFMPNGSLEHWLHPDASNQIEQRHLNIQQRLNILLDVAYAVDYLHFHGVAPIVHCDLKPSNVLLDVDMVAHVGDFGLARILAEGCSSFQPSTSSMGFRGTIGYAPPG >KN540807.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540807.1:13474:21060:1 gene:KN540807.1_FG004 transcript:KN540807.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANTFDAEMSQLEGARKIYAQGVAGGADGSVLKLEFLTNGTVKELLRAIDVRLSALKQDLVTACARASSAGFNPDSVSELVLFADHFGANRLSEACNKFMSLCQRRPDICPHYSVSSTSSQWKSFDDGNVRGSSSSDMSLDETQADQGASSNKSIIGGSVSHIHRSNSQNSVDVPPEPSAVQHPKPTIQQSVEKQEKETDALPAPAPALAGGGSRRLSVQDRINMFESKQKEQTSSSGNTAACTSKVVPTKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDSSSLNEKRENGTPVGTPTSANLEVNSKARADGDANGLKHAVTSCQKDTSDALPLDSTAADAFSSSTLNTTSPSPLSAIASSSPQKQTAPRVEDDMVITSSIESESSFRKEVGASQGKGDVRMSEQAVSSVSTRARVKTSPRPTLPENHVTLSSPPLSQEHVQMTDEETIPIVHEVAVKKEQIVQKDNRGSRLRSKEIHAEADVVGRKDRPSRTTGKISDTRTRATSNPRANFRGSSVRDEAASTEAEVHDVNLQRKSLVRKVEDAGRKVAAGSEILPQSDCSIHQGTNLSRQSSSAEQELSLHGGKVKLISDGNAVPLEQTKRPTKGSQDRHDELQKKANELEKLFAAQKLTSSRRGKSTDVQVENTPRVNEVKPPPVLPERIYTKQIVKESITNEFDANELLKMVDTEGYNNNVPQSIISLEESRGKFYDQYMQKRDAKLKEDWKLQGEQKEATIKAMRDSLERSNAEMRAKFSRSSSVPDSTYISRCAHKFPPSQSVIKDKDQGIDSFLVEEEMNSDYLSGDGSSRSADSRKHFSNKVACNQKKSIAPVHRHSSRTVSSGYANRRNLPDNPLAQSVPNFVDLRKENTKPSAGLSRAAPRTQPKSFIRSKSIIEESKNISKDQSRKSQSMRKNLSPGELRDATSMNDVIYNWAPSKISNDQVEGVFAYSTHTAGSTKSFLRKGNEAHPAVGIAGFAPPMFANTYQNGDDDDFLDQEEDSPDETKDEEYESIEENLRESDFPADSDSENPGISHEFGNSDDPGSENGDVSFPNDAPTLGGTKFNAFAGNMHDTPGEVPASWSIRPHLFAYANDNSDGDAFADSPNGSPSPWNSNTLDQITDADVSRMRKKWGSAQMPFVGPNTSQQPRKDVTKGFKKLLKFGRKTRGADGLNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYPSAYDGFVDNDVFAEQDQSLRSSIPNPPANFRLREDQLTGSSLKVYFHSQFTELHNQTHLPCIKTFVLISEA >KN544388.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544388.1:2574:2729:1 gene:KN544388.1_FG001 transcript:KN544388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTVKDAPPAAAAAAANDVHGDADEQHPGYGDHGEVDDRKPRRRSWL >KN539964.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539964.1:57630:65028:-1 gene:KN539964.1_FG001 transcript:KN539964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNSLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESYIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLLETTPNRLLINVHWQQNAVGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECENIYMIISDSDLSPELCCLTNNRRLLLSSVLFGLIALDKYSDISQQHLFCLDISQDQTRLDGHQSFMCNKVSWTNCVLNDNSIPQVVI >KN539964.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539964.1:13049:13516:-1 gene:KN539964.1_FG002 transcript:KN539964.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVSEGYVLTVLAPNDEAMTRLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPNKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPKDTATGGEGSGSGSSGAAPARKAPAVTAHSKSKLRRGQ >KN539964.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539964.1:29716:30315:-1 gene:KN539964.1_FG003 transcript:KN539964.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPAVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAVGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTRLPGALVIVVECRPGNEEEWRRRLEERGTAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTADSEAISVRVVEFIGSILACDH >KN539964.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539964.1:25116:27321:1 gene:KN539964.1_FG004 transcript:KN539964.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCLSSLALLSPSSSGKVATRASPPVPSSAAPRRRPGTRLSVATGGEQLVTAQEASQGLEVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCMAIKDVLKLKEIFDKAGKFYIPPLLVIQKESNTHLANQGDQQYLLGTRTEMRWSSHKCRN >KN539964.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539964.1:70157:70974:-1 gene:KN539964.1_FG005 transcript:KN539964.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLRLSHAHYKTIGKGKLVIDVKYFFFYVHSETRELCDVTSCPASGDFLVAHQQTLPSYTPPGSYTITMKMLGDNDEELSCISFGFSIGFAASEATI >KN539964.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539964.1:17640:19086:-1 gene:KN539964.1_FG006 transcript:KN539964.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLTSVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHFQVENCTMLQDIQLNFGLTKLEYEDIDSALEYIFTKLPSTLPRLETLTVNCSELKRATLPEKTVKFMYLKHLRLELTFCVRPREADMFDFACILKAAPLLEILELHMWMPYDNQHYCEDHDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLDAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKSDHRNVLDVHEILLEDVQKREIYAIMKDRWVQEPKAMLSYF >KN539964.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539964.1:43190:44973:-1 gene:KN539964.1_FG007 transcript:KN539964.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGSN >KN548066.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548066.1:293:371:-1 gene:KN548066.1_FG001 transcript:KN548066.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKALIVFTLLLVAAFCFVASAQDP >AMDW01078666.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078666.1:222:545:1 gene:AMDW01078666.1_FG001 transcript:AMDW01078666.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSPAAFSLVLAVTVAVGLLGGALHYMLTRKREELAGEVVHDLQKKTRADDEPAEDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIGTP >AMDW01017076.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017076.1:60:215:-1 gene:AMDW01017076.1_FG001 transcript:AMDW01017076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SRPRSEQSLVGWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQ >AMDW01038975.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038975.1:147:570:-1 gene:AMDW01038975.1_FG001 transcript:AMDW01038975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNK >KN540539.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540539.1:21471:26690:-1 gene:KN540539.1_FG001 transcript:KN540539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDKETTVAAAGTNGMEAAPAMAAGSGSCSCTVVEIDVDDDAEAAAAAPRRTRPRPSIYRVPNHIKNMTNPDAYRPRLVSLGPFHHGEAELQPMEKHKHRAVAHLVERSGKPLREFMAAVEEIAEQLRAAYEDLDDERWSGEEFVELMVTDGCFLLEVMRAFQLQREGKKEEVEEGGDYEADDPVFSEHGYLYLRCDIISDVLVMENQLPLLLLDKLCHVAYADNLQEEERLRINDDSVLSFLFSSSDDAPAIDGPLGLHPVDVVQRNIRGTCHQNSGRRSNGVFMIPCAAELQEAGIRFKVAAADAGGGFAGAITFRGGVLTIPLLHVMDSTESMFLNLMAFERMHPGAGNDAMAAVIFMDNLIDTARDVALLKSRGIISNLFGSDEAVAALFNNLSKGAVMSPHSSLYGVQRQVIAHCRKRRNRWRASLVHSYFRNPWVFISLVAAFVLLAATVMQTIYTVIPFYTKS >KN540539.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540539.1:32761:34544:-1 gene:KN540539.1_FG002 transcript:KN540539.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAADLSILTVRTDFKILTLSLKMELGYYRTRIKFWEYYDFYLCNQIRQQVQQQGK >AMDW01032324.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032324.1:179:441:1 gene:AMDW01032324.1_FG001 transcript:AMDW01032324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSITYRAQDKQDGNME >AMDW01032130.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032130.1:29:307:1 gene:AMDW01032130.1_FG001 transcript:AMDW01032130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEELVFKIAVKMKRPVLDPCVAVGFLPFSSDCLRVGQLVNMRWRVERLKNPEDASLLA >KN540170.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540170.1:18399:18689:-1 gene:KN540170.1_FG001 transcript:KN540170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQCILHWNYAQNVKRILHTQVSTDQVTKQESTELNAAATFLWVNLVLHMKESREWRGSHLGELDDVGLFEELGEALTVDVVG >KN540170.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540170.1:24997:35921:-1 gene:KN540170.1_FG002 transcript:KN540170.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGGRTRVGRYELGRTLGEGTFAKVKFARNADSGENVAIKILDKDKVLKHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETADVNLDDINSIFNESGDQTQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQSQGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESSIIEGDEIQHRSSAGASSPPAQTNAASIDWLGGEPISKVESSSQIAPHAPQPSLSTNAAGAAVDFSQPSCRPWERGDLLRRLAMFKSSTWASKPKAASSLACARRGWVNIEMDKIACESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHLGDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFISLPVIAKSAIDSMKLTRSPQIDRFLSQAITILSGELGYKTDSTTGIDINHQDESCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQFHSRLPEHKQNSYSASVKKDKGKGKIRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHLSINNIDAPDTRKGVLTRGISATSGINGWVAEGTERENVEGRGEAGTDEGKSQSNAQVDLNLTMAGGLPSTHSVMPSMHDHFNDGGMGRDLMIGQPTGSELGGFAASFESRGPSSRKRNLEEGGSTADKPLNRLHPADSIEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNRPSSSGAGPSRNLSFDLDIDVNKFDTYKAEGPSALHNPSASMRASSVIAMDTVHSAEENSTESVEYHPCDVDDVHKPSSAVRSGGMSEALDLNYSNQAPQSSFVQPAAESNAREIGGSSMNGGEEVLNAETAPAFARDQLSLGVSGGSVGMGASHEAEIHGVDVSEHKTDSVVGDVEPAPELTENMGNTGESAPGPGMMDEFVPEDVGREEPQGDSQDVASRLVGRADSGSKICCSTKADSVESGEKMSHAIGHESNLQHSLSRNARVYSGIDLSKDEVTQIAKLPANDDYDPGDDLAANGGNDYEAGLPEFDPISHHNNYCPWVNGHVAAACCINTGSSTSTGLSGWQLTVDALETIQSLAQAQNQIMPSDSAASLYKDDHVAPSRKLLKRASHSKC >KN538681.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538681.1:506210:506548:-1 gene:KN538681.1_FG097 transcript:KN538681.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGETEAAGELTATRIETAGELPAPRIETAGELQKGAQIVMGEVEVVVAVIRRFSWYDASCLPPWLRRRRWSPARAPREGGRGRKGEAAPFSPTPCALEFVRELQKNGWPK >KN538681.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538681.1:547154:551260:1 gene:KN538681.1_FG098 transcript:KN538681.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRSEAEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIRSKGDKKKQQVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKIERPSPDEVDRSGYSPTPSRSTPDNMEPIEDGNTPLNRESPESALHESPIDLPALTEAQAAPITRWLADRTDNATTNEVNISHMPHHGLDGGAKASPSADAFPQLIGSQQNIHDNNELATVSAPMLPHEDFNNFPLGAIGNFDGNMNPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIIPTEFENHGVMQGEFMDDLSGLENLDFWPDDRNPQLSALYEDTPLLPYDSTDQDVLSMDSGAESLQDLFNSMDDSNARNNVWGNEPFLQGTGFPMSWPLQPNSAFPNQGTANRRLMLQLSESLSPDFDASMTRDECEDEEPGIVVTSKYVNEAPEESTAEKDMPSDGDDAEPTGITILRRRHAPTASSFSDGDDAESTGITILRRHQAPNASLLSDGDDAESTGITILRRRQAPTASSASSFTQQGAAVQRVRLQSNLDAAPCSSVDGSSSCIINEGESERTMEKPEIEENAGSTLAEGGTCHEDDQKEHDASAANAKSVLRLRKTAEGSDKENKQEEDEGVLDSHMLVATYPSCSAVNYLNLLNRMQFRFPFTKSLCCKL >KN538681.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538681.1:451658:454598:-1 gene:KN538681.1_FG099 transcript:KN538681.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNFVVMVVGLAMMGFIYTFIGVGVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVLFEAVLFTVALIVQSGNQADYDSDDEYIAPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNRFQQATISPAEQRNRCAIL >KN538681.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538681.1:518719:522493:-1 gene:KN538681.1_FG100 transcript:KN538681.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRSVGPARQLLLRPRPLPLPHAASSTRSFSRYYSRDDVSRYEALSTPVNWGVSIVPEKKAFVVERFGKYVKTLGSGIHVLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKPLMNITSLVGQIVDPYLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNEQIVRSINEAATDWGLKCLRYEIRDISPPRGVKVAMEMQAEAERKKRAQILESEGAMLDQANRAKGEAEAILAKSEATARGIRLVSEAMRTKGSTEAANLRVAEQYMKAFANLAKKSNTILLPSDAGNPSSLIAQSLQIYKHICQTNSLKSGRYLTDAPEETEPEEEELDSTDLPLSSGMLSPDMPDDHDKTFSLQRRHKDKH >KN538681.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538681.1:530458:531899:1 gene:KN538681.1_FG101 transcript:KN538681.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIAATLVLCFCLLHPTTSSVQPPSNISSCLLYNGVRNFSLPLSPSYATLLDSSIQNLRFALPDVSKPAALIIPTSKLDLQRAILCARNSSLAIRVRSGGHSYEGLSYTVENHVPFVVIDLMNLNRVHVDSVSGTAWVESGATLGELYYAIGQSNRSLAFSAGSCSTVGMGGFVSGGGFGLISRKFALAADNVLDAIFMDPNGNALNRGSMGDDVFWAIRGGELGIVESDLSEMSWIESTTKFARLNSTADLTDRKLGVKHYSKSKSDYVHSPISMQDTIKIIEYLSNGPQGFIQLNPYGGAMARIGSSKLPFPYRAGYLYSIEYSVSWKASDNDGADEYIRWLRSFYAYMAPHVSKNPWAAYVNYLDLDLGTNNWRNAIDGTSNN >KN538681.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538681.1:447782:448003:-1 gene:KN538681.1_FG102 transcript:KN538681.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRGGGRTPAADDRQKEPPERRRSNSPMDADLCCCSFALIGIAATVAASLLAFKCLLTTCYKL >KN538681.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538681.1:553157:557231:1 gene:KN538681.1_FG103 transcript:KN538681.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTAAAAIQSASSSTLSPHAAPFALPARPARAPLQDGDVSRSMDGSIMVHGADNKILGDAHPTSSSVTSCFRTQSCDTVLPDSTCGANTSQPRAWPEICSNAAYPSSICSTSVVFSYPSVMMASNHNQKNPLYPGMGSNGSRCSTVKIESPPNKISEANKISCGLTSKSSMTKYVSKSNEDAVKNAPFPQILEVGMEICSKEASPVSHTRPLHISTAGSDPCGSMADGVKIEPSECYVDSPCWRGRGTSLSHQTSVTQLINQESEALDAGQKKSTSTVQHCEVLTTSQNLDTIENKQNQSQSHVELSVSTKSGDIGKRETEVPHNKELEGAKQSAAKCTAEQKHSLELRDSSVKRSGLNFAAPDFIPSSVGKSKTVKGSCSTTGRNISGILKAMGNLSEMLRDSCLLDENELDEHEHTLLQSVIENLQTCIDRKRKGPINDDGSNKAGVRAPHSQSAILKSDAGDYRGSCTTNGGNVIVNKSVGPTRVLSDFGKNSLTWSQPSFNNIPRMISCEEDHSQILIYKNLWIDAERTNCELKYLLKQNRIKIGQESSMAHIGGPRNPSFQACDLGAGPSNSYGAAISYPPTLSFPKGDSTEETSRTRNTDLLYTGDRIRLGDNSVPSCSASTISHPIRPNNFQGDLLTGLEETGLHHHAQPVPQLAPNRVHREPRISTMDEASGHSCFTGADSILSGNSEYGLSSDWEHVLKEEIGWS >KN538681.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538681.1:603170:605773:1 gene:KN538681.1_FG104 transcript:KN538681.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRMLRLLASYNVVRCEMEEGADGKLSRRYAAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDARFNRVFNEGMKNHSVIITKKLLDLYTGFDAASTVVDVGGGVGATVAAVVSRHPHIRGINYDLPHVISEAPPFPGVEHVGGDMFASVPRGGDAILMKWILHDWSDEHCARLLKNCYDALPEHGKVVVVECVLPESTDATAREQGVFHVDMIMLAHNPGGKERYEREFRELASAAGFTGFKATYIYANAWAIEFTK >KN538681.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538681.1:607523:607750:1 gene:KN538681.1_FG105 transcript:KN538681.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHYDDVLVHDAGQRALGAELRQELARTENCMLAVSGHKKLSANNRSLRKLIKSRLTYLNPMNMLQVEVLRCLR >KN538681.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538681.1:527148:528831:1 gene:KN538681.1_FG106 transcript:KN538681.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIATAVLLSLLAVLRAGGDVDDGGGAIMEPCLAAAGVRNVTARRERDAVLHKKKYFKAKSDYVRRPMRIGELIRAIDLLSTEPKAYVILDPYGGAMDRIGSASLPFPHRRGNIHGIQYLIEWTANDDDHREEYMDWIRRFYEFMGSYVPNSPRTAYINYMDLDLGMNNWSNLRMYGGDGSPNPEVEAARVWGERYFLGNYDRLVRAKTAIDPDNVFRNAQSIPPLGSRRMSRIPRGISPKVASKDKTYDS >KN538681.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538681.1:457990:458960:-1 gene:KN538681.1_FG107 transcript:KN538681.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIDDDWDYQPCARVIHSRSNANAKATGAVGIPSPSRNQPRNPSRIPPPPPAAFLRKRKPLDPRAEAAEAGGGNAGGGGESGDGSWSAGDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSSKAASEAAP >KN538681.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538681.1:438253:440541:1 gene:KN538681.1_FG108 transcript:KN538681.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOL1 [Source:Projected from Arabidopsis thaliana (AT1G32540) UniProtKB/Swiss-Prot;Acc:Q93ZB1] MPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGEHWDPGDWYNLSDCHPKLMSAWQQLAVIACDAF >KN538681.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538681.1:537360:538949:1 gene:KN538681.1_FG109 transcript:KN538681.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTISPVLVFLLLSLHQSICSSAHDAASARSFSSCLAIHGVSNFSLPASPSYNTTLNFSIRNLRFTLPDVTRPAAIVLPGSKEDLQRAILCARNSSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLMNLNRVRVDSVSATTWAEAGATLGEVYYAVGRSSRSLAFSGGSCSTIGLGGVISGGGFGLLSRKFGLAADNVLDAVLVDPNGRVLDRSSMGEDIFWAICGGGGGSWGVVYAWKLRLVPVPHNVTVFIVDRTGPVEYVAGLVHWWQHVGPNLPDEFYLSVYFPTGSSDGNVSISFEGQVLGTKQQTLSVLSQNFPMLGVTESDLSEMSWVESTAKFANVGTISDLSNRLPGTNSYTKSKSDYVKALISRHDMVEIVRYLSAGPPGSIILDPYGGAMARIGSGATPFPHRAGILYGIQYTVYWGQSDQARANEYIIWLRSFYTYMAPHVSKDPRGAYVNYLDLDLGANNWTHPTGGSSMEAVARARSSWGAAYFGNNFNRLVSAKTTIDPSNVFNNAQSIPPLY >KN538681.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538681.1:567235:573789:-1 gene:KN538681.1_FG110 transcript:KN538681.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEGLIARSMNGEEHKQGRHNPLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMEGDAALEKLTYIQKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCSMDNAQKELQDTRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >KN538681.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538681.1:459508:465067:1 gene:KN538681.1_FG111 transcript:KN538681.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGLGLFWLHESRASAHAASLAPTEHVTCLFMGDCILSTLERGVSTLHLCRWVHSATCGVTLHDISQAEPVNGAKSDLVQKHEKVGAFQRIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKEISVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEKVIARVDALRKKINSVGKQHASVCAKSLTKREAEERLSEGRKKLEEVFEHGKWVIDDLVNIAKTLRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSSGKPEYLRCLIPFSYFQVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRDDDERNNIEKLTLAVLSHLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWLDVISKSDLLGKKTSSEFDDADDEVGRYRRFGPECAIRVSVQGQVGVKELKEKVHQLLTSQMSRIKAGTIYQETQRTDDNAPGQT >KN538696.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538696.1:356858:359456:1 gene:KN538696.1_FG039 transcript:KN538696.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIPERSQGPHKVSFFVDKEGAREVMDSLPETLNQRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRRGEVQKAPSIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFRVWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTTLLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACIL >KN538696.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538696.1:427654:431917:-1 gene:KN538696.1_FG040 transcript:KN538696.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKICVISRFARRGAAHCLIQFVGLEWRMFGRVWLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLENFKTSVVMGDEPDREMLKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNADQREKMVAAERRQVDERVKRIIELKNKVCAGSDKNFVVINQKGIDPPSLDLLARAGIIGLRRAKRRNMERLVLACGGEAVDSVDDLTEDCLGWAGLVYEHTLGEEKYTFIENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFADALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >KN538696.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538696.1:305671:309083:-1 gene:KN538696.1_FG041 transcript:KN538696.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLVSLNVFLLAIDIPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKAKKKESKETQEVKIVPMVAKVDSSVLQEDDSGDKILDIWGEDTKGDRKAKKDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEERSTAFVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAAQRLDSTVLLEKFFLDADDGDEAVEEGDEDQAADALAAQRKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPRLGRHKYTSKITYSKSLIFSYSNSFNLG >KN538696.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538696.1:398841:405860:-1 gene:KN538696.1_FG043 transcript:KN538696.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAAGADEASGSVKTRSSARRRRSVNRDGGGVAASLASQSAARAERSKRRSAKRSATLADQSSEGERAAKKMDLGVHEEEGEVKVAPSSASASVVEEQQGGKGGEDDGFGGSAGGGEGGALPLPSASGVEEGIPVEDEASSCCSSPLRKPYIPRVVIGHNAMGREIYKPIGGEDFSALDPWEAKYQAKRDGKSLSKSSGFMIDWDEKSKTGTILTSALLICKQSPSLDDWKSANQYASNAKVVVRFVDGTTVEGHFLYCQEHYNLAFYKIVVDRSIHLPSFNEGVKWAEEVFILGRDENSYLRTSYGRVQYLNPHMNERRHYVYIDGFSAPPEYYNGGPVIDLRGDVVGMSIRSTRGSFIPSNIILKCLQMWRKFRCIPRPHLQMKFWGMKFLNPAHLEVISCKCNIDEGLIVKEVSEGSIAEKLGVRVGDVIKFFNGKHISSTVELELLLLQISEDHFYNGNGLDSKIDIVINPIIVCDVL >KN538696.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538696.1:434167:437189:1 gene:KN538696.1_FG044 transcript:KN538696.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHASTGRQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQ >KN538696.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538696.1:408809:420643:-1 gene:KN538696.1_FG046 transcript:KN538696.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGGDGETQALEGGATPPLGSPVSDDGDATPSEDGVLYGETQAMDDAETQMVDDGLGEEEDGVAVDWGETQLVEDSEEEEEEERGGGGGVDDQEDTQLVEDIQDDEGEGDDAGGACEQAKSGAAECDNNAGDLVRTQLVEEHKEGEDCGNEGAGDGLETQFVEECPEEEEKVNNSSDDEDAGEWGKTQLVEDSDEERDDDGDDELSVDTQVLSDDEGLSNDEREMKFDMGGNNTRVNGALEHHTKNLVDSDASTDEEGDTVCEASERKCDLAAACEALTVNSLQQGKEQDSIHGAHLLRKVVDNSTSFSTSLGDHPDCGIDSDSHGYVQNHDKDGTKSRGRCSTAKKLFADTTVEESENNGKCFAGLSYIESQEPGDLSQANALELVDRLISIGGMSSQEPTPQKLDSAKPHVSNKRGTLMLAEKVDCNRSSNGMAEIFAWVDSREDDGGGEFFSKNKDILLHKSAGRGKSKSHFPRAKKHPTKIESGEIGDCKKGKNTKLSGKFEALPLSDSRMLSSDIKGKRASAHRTKKNLLKDLDLDDISNGKYLEIQQEKESVALHDVGPDTQIAVEAMEALAQCSPAKNLSAKDKPPLGNGKSKKAKGHSKNSPRKRTGSIQEGVTTRSKRRKLTEMTPEPEKQMYKGSILQGNPGDLKAKTKDKEAKSVPAKSNVLKISRDGDKCHGAPVARRTRHFSRNNPDEHTELCSNKHSKRVMNLRGGVSKVGKVQNDHIANEPGQPMISERTAKSASIYVEKESVEHTSATDAQNLQLHRDGSSQHTNEHTAQDREPCRSKPTIEDACRESSSHFPKQRRTQTTIVQPKDPAVPQSATNHETPQHEPRPSKKRRVFIRSVSDYVKYAKREPSNGRSTSLLSTIIKKSSAASHILNSSLSADSKTSGFSSSGNKHKGSHVEDASKSPRSNSDIHSSALKTPSKSANELSPTFSPLNPSKSSNRSLSKPSVARELLKLDPENNPSNCQHKDSRRKKNMTNFSIVFSHHLHGDVIKRQKKILARLGVCEALSISDATHFVADSFFRTKKMLEAIALGKPVVTSMWLENCCQAGCFIDEKKYILRDAKKEREIGFSMPTSLAAACKHPLLLGKRVYVTSNVKPSREVVIGLVLASSGQPLERIGRSMTKEVPDDLLVISCEEDYETCSPLLKREYGQPVNDHESTGASVFESELILNGIVIQKLEYERHRLFLDRVKQTRSTRWLKDTSHGRNVGQFTPVQKESCFWYNTPMTLGRLCAEMEVNSLTAVSLVCPDTVYRPCWGPGRCYIGTNLRLALSISSSTITECCPLSEKFIVHHHQASLGMGWGGDDKVVEMFVLGLLAFYLCFDFRCVNQKKDLHQMAAPTIFSNPLERWIFHKTKESVFLVDFVPKKDADGEIEEILNRLETVPEDKRQKLTFEDRCCTGFVVDDKSQELKILCSAHCLDHLFTSENPISAQEIGDLYDINIICDHYECSFRKDKTPDKIRYYSRANIVQIDCDKDLILLNVSKKNVLAYGKNGRACRHSHPALVPSKRHLEPMEKVLMVSWPPFRPRTVASGKVSHCDREYADTSKTNLVGYTMTLVEVNIQSEPGGSGAPLLDADANFTGVLHGGADGCSWFISLPDICQALTSWGILTQNAFFREWGGGGDDKVVEMFVLGLLAFYLCFDFRCVNQKKDLHQMAAPTIFSNPLERWIFHKTKESVFLVDFVPKKDADGEIEEILNRLETVPEDKRQKLTFEDRCCTGFVVDDKSQELKILCSAHCLDHLFTSENPISAQEIGDLYDINIICDHYECSFRKDKTPDKIRYYSRANIVQIDCDKDLILLNVSKKNVLAYGKNGRACRHSHPALVPSKRHLEPMEKVLMVSWPPFRPRTVASGKVSHCDREYADTSKTNLVGYTMTLVEVNIQSEPGGSGAPLLDADANFTGVLHGGADGCSWFISLPDICQALTSWGILTQ >KN538696.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538696.1:372692:375063:1 gene:KN538696.1_FG047 transcript:KN538696.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYSLRRELILRSTKSNFYACQLSLNIVKSRSYYTLVTFFQAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPLNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPTAGTTEIKEPHDQQSEDSDQEELDRFIAEIETAAEQQWEEEEAAEKEESSRLRYWDREEGSERRGFNRSYDNPGNEYRDQGRYTRDNNRRTADSRRWDDDSEIDVSGEEWDSDDDRDNVVGFDNDVDAPDEHPRRFESMRSEKSRSDRSRNYTSRGSRSSIEKPRNSATASGRSVFRESEDDELDTEDDELWGPDLKEERNLRAPKLNFANYHSGTSSEEDTDDNWKQDSRTGNTRKNTDESWDSD >KN538696.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538696.1:389352:395555:-1 gene:KN538696.1_FG048 transcript:KN538696.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTEIRGIVANLKTVPEELIEERSTKGRCCTGFVVSEKPKELKILSSAHCLDHLFTKGKPKSAQELDKLYQIVVICDHCEDSFRQDKAVNKQRRYSEARITEIDCGKDLMLLNVVCVAGPKGRACKHSHPALAPSKMTLQSMEKVLMVSWPPYRHRTVAVGEVSNCSREYDDISRTNLIGYDMTLVEVNIPSDKGGSERRKKNRGSKRNLSDGSGEDGRLAKKIHLEEEEEEEERMPLEDEASACSSPLCEPYIPRVVIGCNAKGKEIYKPIECEELRALDLWEAKYQAKRDRQMNLCTLKPCIPPTCLVDPKLLHIRESSTETVLRAAKFVMGLSSSVDGNPLSQCSGFIVDWDDKSKTGIIMTSALLICKKSSHTDDWKYASQYATDAQVVVHFVDGTTVEGQFLYCQEHYKIAFYKIVLDKPTHLPSFNKGVKWAEEVFILGRDGSSHLRISHGRVQYLNAHVNERHHYMYIHGVDAASEYYNGGAVIDFRGDVVGMYNLSTRGSFIPSNILLKCLQLWKKFHYIPRPHLQLKLWGIKFLEPAHIEIISCKCNIDDGLIVEEVSIGSCAERLGVRVGDIIECFNGKCISSTVELENMLLQILEDHFDEGNSLDSTIDIEIGVFHTRKGVRSTLNLTTNVSDKGEVVAIYPSPEKRFIQVVQLTKQIQVLPILPLVKRGHPPEYPPAGPSFVLN >KN538696.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538696.1:326459:335223:1 gene:KN538696.1_FG051 transcript:KN538696.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MGTAGKGAWVVPAPAYREVEGGEGAGDDSPGFRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTEASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGMSPLTSFVTYASMQPSFLLRPCVHQLILQSLPQSVPWTPHGCRNLTYNGHLHQLEDGHSLLVTEILLLIYDTSLNHLQLLDPCFLTEISLPVARSKKVVMTVHHVPSVGRCFAQRSYTRLSKLDWTESFEALSRRSL >KN538696.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538696.1:359982:364822:-1 gene:KN538696.1_FG052 transcript:KN538696.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SIS3 [Source:Projected from Arabidopsis thaliana (AT3G47990) UniProtKB/Swiss-Prot;Acc:Q8GYT9] MGWADGYKVTGRRYMKKRKVDYTTVFIFRLLMFVDNGLAAGMGLALDNVYTCLFVKFGHLRHFHLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLSRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQLDNTSMYLFACDLRDAKKSLKTSGFVLRSKVTLKSSVIEQNDFKLVLLLQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPSSEPDRPSASEVTAATMARYVRSSQPAGQNYLLRLQGLLLRQVVVRHGGGDDMASAENGASHVAAAVTAPATTGGVESELPSIVVDGGHQLPDR >KN538696.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538696.1:381489:387021:-1 gene:KN538696.1_FG053 transcript:KN538696.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSNSLLLQGRLRHAAASAVAAAAMGRRGVATSTEEYNRRNYANNISEYNTVFGHYLLRDAYDDMMLDGVQPVRDTFHNLIVGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKSKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKAPTTEETMTKIVEFVQQSKGWKNVERVSKDSSENIMMNVSEEELYNLPTAEYVHRRAFVYKQMTIYHVAIHACADLKSKETLEVLLDMLIKDGFTYDAFIAMQAMRCYLQCGDIDSAVKIFEEYTSSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNARMGTDLLLAASGEKTGGYTIANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLMNVSRVLDNLSIRFGPRRNSNAQA >KN538696.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538696.1:425811:426815:-1 gene:KN538696.1_FG054 transcript:KN538696.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML22 [Source:Projected from Arabidopsis thaliana (AT3G24110) UniProtKB/Swiss-Prot;Acc:Q9LRN6] MGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRSVNSITMGLPRFKEGLRNIRDVFDQYVAETADEDSNGTIDNEELRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFSITPASPFPVTPLI >KN538696.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538696.1:376067:378705:-1 gene:KN538696.1_FG055 transcript:KN538696.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATPVKASKNLQLQKQSPTNLKETNGHVCKKEVVNGECPVRDVKCISTWICKNLACKAVVTSEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDKNCCGSSCHIECALQHKRVGCFNLGNIIQLDGSYSCASCGKVSGILGYWKRQLVIAKDARRVDMLCHRIYLSYRLLGGTTRFKELHGIIEDAKAKLESEVGPLDGMSAKMARGIVSRLPAGSDLQKLCSLAIERADELLSSPDLHLRDSLPAACRFRFVEITSSSIVIILKETPLPSSDTIRGYKLWYWKSREEPSMEEPVVLSKDQRKVLVFNLAPCTQYSFRIISFTDDGILGHSESKCYTGSKELLFKRTTQNVAGADAHTHRREKTQAFKSTGFKIRDVGKILRQAWTEEGRFEGFCEDMHEASCDRSVTDMEQTENSEQGQLLSGACRKLQFSTFSVPDLNVEAPVPMDISPDKCYNSKIVPVRSNDSGGSETCAVGRSAEAEPPAVESRPEGCEQDGASTICREKQLARPRELDEDFEYCVQKIRMLECKGHIDNDFRMKFLTWFSLRSTENDRRVVTTFIKTLINEPSGLAEQLVDSFGEAINCKRQRNGFCNELWHDDKGQ >KN538696.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538696.1:312397:322214:-1 gene:KN538696.1_FG056 transcript:KN538696.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARAGRGRRTARMLLPGCSFEAPYCHCDDWGVTLIQELEDAVLKNQGPYMSYSELTALKEDRFNTSIQHRLTELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRTDISAEYWLHKKCAHPDRQLFDWGMMRIRYPFTMYGIGDSFSMDADDIHRKKRFSERISRLEEEEKNQAEIRKRKFFSEILNAAREYQLQVPASYKRKKQRNDGVLAWHVRARQRINRMEKSRLQVLKAGDQEAYLRMVEESKNERLKLLLGKTNELLEGIGKAVQRQKDAEHVSRPDESELPKGSESDDCSQISGLKVESPDEESPSDVDADHHSSADHSKFNAGHRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPDDRKALREKNFGQRQFNVLLTHYDLILKDLKFLKKNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVSLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQRQEIVRSSGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNEEEFWLFEKMDEERRQRENYKPRLMEGIEVPDWVFANDTLTEKIPADEPQNVLLTTKRRRKEVVYSDSFGDQWMKAEDAVEETPRMTPRAKRSAYSSDVQEVDFSERRKRHKSLVNIADDASIPMWTQEKVRAGVSSYSKDENEDDAEDESTTSGLQGGSFTWKTLRRKRSSHFSNSSDSKGRSAF >KN538696.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538696.1:345952:351261:-1 gene:KN538696.1_FG058 transcript:KN538696.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair helicase XPD [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/Swiss-Prot;Acc:Q8W4M7] MKFDLEGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLASPAASDPDSTPLCDFFESFDRAAAAGDLASYMPPGVYTLADLRALGRDRGICPYFLARQMVKYTNVVVYSYQYLIDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPEDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIQPVFERFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMSSAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGNKTLLTEEDLQNMAQDAMEM >KN538696.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538696.1:421466:425151:1 gene:KN538696.1_FG059 transcript:KN538696.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEKKSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPFVESGPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTEVDKKKGKKKKKKVKNDATEELYDGGVGEENDEAVQQSENEEPNVVELPIASKAKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAALFSVLSSTIFSLFILQILFYQISPDVFFCQFQEVPVARYAAVFASFVAGMASLVGIDFSAKILASLAKSFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTILTILQCCGMKLRGDDPGAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEGVLLRGLTWSKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREIIRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRIIHFRMLFETLLQKDDAVVWNAFTRIAGLPELEILRDGIVLFIKQHVIAKDTGKDLVSKFKIAKKALDNTAGVLM >KN545726.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545726.1:47:1105:1 gene:KN545726.1_FG001 transcript:KN545726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGELQDGSLPSSFELEALQSTSEIDKIEVEKIEQEAVTYAEALAAARENPNEEQLNIAAEARLRLQ >AMDW01039973.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039973.1:421:849:-1 gene:AMDW01039973.1_FG001 transcript:AMDW01039973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YRAITSAYYRGAVGALLVYDVTRRATFDNVERWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAGPGKGEKINVKDDVSAMKRVGCCSN >AMDW01040186.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040186.1:44:1261:-1 gene:AMDW01040186.1_FG001 transcript:AMDW01040186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGCESDAFVKNALIGMHASCGDLGIAAVLFDGGTREDAVAWSAMIAGCARRGDIGAARDLFDECPVKDLVSWNVMITAYAKRGDMALARELFDQVPERDVVSWNAMISGYVRCGSHLHALELFEQMQRMGEKPDIVTMLSLLSACADSGDLDIGQRLHSSLSDMFSRNGFPVVLGNALIDMYAKCGSMKSALEVFWSMRDKDVSTWNSIVGGLALHGHVLESIDMFEKMLKGKVRPDEITFVAVLIACSHGGMVDKGREFFNLMQHKYRVEPNIKHHGCMVDMLGRAGLLKEAFEFIDTMKCEPNSVIWRTLLSACRVHGEIELAEHANRQLLKARNDESGDYVLLSNIYASVGEWFGSEKMRKLMDDSGVNKEAGQTFVDGSVKDIMQSFGQSRSHSERKGFIG >KN541697.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541697.1:8637:12179:-1 gene:KN541697.1_FG001 transcript:KN541697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSIKERGFVPVTPLVIALLVRTVKVSNISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGADTAVLLSGATIVDRSVIITPVVNYQLPPDARKQSAGEKSSSAESVVRKAEDVVSSMLAKGFVLSKDALNVARSFDERHNILSNATATVASLDRQYGVSEKISLGRAIVGSKVKEVDDRYQVSELTKSALAAAEQKASIASSAIMNNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >KN541697.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541697.1:14604:18053:1 gene:KN541697.1_FG002 transcript:KN541697.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAEPGGLRRNERLNDDRGCRSGGERMGRGIYPRAGSAGPAAADQVFDAVTARTAEEREKLSAISRRISAAKAKIKTLSQSEEPLTIVSPAHHLSSCTNQEDFRPLFHDKCNDSSGGASIATISVNGGFNREYGLEGTLELFQFFSEENCDYTPKEGRLKVKNKPAEAKDDTYLGSLLDKSNFPTPQNISMSGKDMKIEELPPPPPSLISKHLAKNQRSDDVRFESSRSPAHSDIPSAENRL >KN542957.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542957.1:3265:3564:1 gene:KN542957.1_FG001 transcript:KN542957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAASSASVSASPDTPAAAAASSAALDSSANGTPITGDQLNQQQRPLSPYTRYEELKPPSSPTPSTPKL >KN538866.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538866.1:157271:158461:1 gene:KN538866.1_FG001 transcript:KN538866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAASLRSLAPLSAYRSPSHGIHAVVRDSSAYTTRPPPPPPTADGGGNGGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHRRGGAENAAPSPHSRHVGRDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYRDIVGGDKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLHCIDTWLLSNSTCPLCRGTLYVPGLTIESLMFDFDERLEEGRLSEECEDGFQSSRQKKPMDEEQTVTEKRVFPVRLGKFKNVGNTGVGGVDNGNAAGIVSREPGESSSSSLDTRRCFSMGTYQYVLGASELRVALQPGRNKNGVGSRLKGRATGISSVNAEIMEGKRICAKSKGESFSMSKIWQWSNVKGKLPAGSDNCSETASFPWMKRDATGDKSNM >KN538866.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538866.1:206448:209076:-1 gene:KN538866.1_FG002 transcript:KN538866.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEEMLKEEPMKTEEMLKKEDLRKAEEMLKEEPRTPMREAGRDKVERAAEVERVRDPKPNAGNGLDLEKYSWTQERPEVTITIPVPQGTKSSLVTYEIMKNHLKVGLKGCSFIIDGELFEPVKVNDCLWTIEDGNTLSILLTKENQKEWWTSVIKGDPELDPRDMKVPELRDCDVEAKETIRAMDPATSDDIQQEELLKNAQASFRLSATFPPFFFNLSFSESCGSVCTLPPFVCWCFNVSDAAISCSTRIWIPKAKIEDVEPKKCTYIVF >KN538866.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538866.1:129478:131712:1 gene:KN538866.1_FG003 transcript:KN538866.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTQLLQHTLRGLCTQGDSQWVYAVFWRILPRNYPPPKWDLQGGVYDRTRGNRRNWILAWEDGFCNFAASACDQEDTPAAAGYADYAAAGHEVKGLQPELFFKMSHDIYNYGEGLVGKVAADHGHKWVSQEANEHEINLVTSWNNPADSHPRTWEAQFQSGIKTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAFPGAGGLQDAAWAPSPTMELYDPYYGAHAAAAAQLHHISKF >KN538866.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538866.1:168562:168696:-1 gene:KN538866.1_FG004 transcript:KN538866.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHGNLKRRLSAIIDMIAERDSNICTTAIMLAGTTMQLRQFD >KN538866.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538866.1:187484:197161:1 gene:KN538866.1_FG005 transcript:KN538866.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQEEDEVEERVIRESSEAEERKRVREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSTAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLKDLRAQSLSHRVNWEAVLVHRGEDPELMKLDQTALIMSLELRESKPSEFVGNDLVQKLAGLVARHMGGTFFDSEGMLVKYQKMMRYLRTSIGSVVVPLGQLKIGLARHRALLFKVLADNIGIPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHFNKDNDIRQLGSSNSLSNSACSSFECELLDRRSTWINVGPSDSDGATTSQTSKNNQQNTLSDSFGILSVSTFTSENRPITNESRSTDDIAAAKNKERSSVTINSSSTSPSPSSPEVGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPLLPHHPGHELPSKVPHRAPLDSLKPVEDNTLDQEKDSAEVRQDAERTSDKSSGTESAKSEITLDDVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPAIAEIIAKCWQTYHGLIETTAEKHDRSSAKAESTTNRRGGDDDDGSGDERKPRYKKHDDDDDDDGERKPRYKKRDDDDDDDDGGERKPRYKKRDNDDDDSDDERKQRYEKNNRRRHDYDD >KN538866.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538866.1:124274:125475:-1 gene:KN538866.1_FG006 transcript:KN538866.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFQDMGFNADAVGGNEGMGVRDGKGYAFVHIATGFSHCPHPLYLKQMQQCRRGHHQKQKQKALECKKLQKPKDETL >KN538866.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538866.1:175457:177865:-1 gene:KN538866.1_FG007 transcript:KN538866.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAANIASIVVDPDVVSLDGRRDAIETETEVKEDGRIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPKWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPHIIACGNKVATYAMAVRFLAGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPSILSTAVIFGMLIALPITLVYYILLGL >KN538866.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538866.1:138590:146799:-1 gene:KN538866.1_FG008 transcript:KN538866.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-4C [Source:Projected from Arabidopsis thaliana (AT5G60930) UniProtKB/Swiss-Prot;Acc:F4K0J3] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSAVNFRITPVPAGEADEEKERDHSSMQDKLDKELQELDKRLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQVLQRKTEEAAMATKRLKESLEAKKSTRDAYGSASGSGVQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCANRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >KN538866.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538866.1:114717:120676:-1 gene:KN538866.1_FG009 transcript:KN538866.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPQEIDDNSGQEYYILRLKCVTLEEKRILLYVNPVRKRKMLLELATALLTVDDDEFWEGVLPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKVHEFKIKLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVSMVENCKDEFLKFDLEYDQIVVLETKPAKAATQDILTTHCIPSAMSDDLKT >KN538866.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538866.1:202749:204425:1 gene:KN538866.1_FG010 transcript:KN538866.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQFVKKIKITSDLKIGDSIPLQVSIWLSVMAYHI >KN538866.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538866.1:149074:152503:-1 gene:KN538866.1_FG011 transcript:KN538866.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSLLIPSPESRPRDLLLPPAIAASDPLPHGLTLRHPRKRCHFLLPRLCPPQSSGLEAPPQIKRPSSPSGQGRCRLSTVMSRAPPPPRCQTPSRTLESFGRHQIRSCLDTFTPPDRCHAAARHITRLRLIVFPCSYACSEGAFIAVTRYASSMVPTSIISQGIGWQHDRNLGLLDGFPRVAAIWAEKKCKPQIVSLAQAVNEIAQESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLMQVPLIAGSKLSMVLCLN >KN542712.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542712.1:2300:5572:1 gene:KN542712.1_FG001 transcript:KN542712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAAALLVFGILLNLDTAASASAWEDKDFFSYCPPSRCSEHGPEIRFPFQLESNNTTPSACGVPCMKLSCSGQDTILEHKYSYLGRPYKVTAIDYKHVTLTITPLAVPGLDSCPLLKSESLDDLEFPYGHDVNLSSCYIRYSGVAALVSCSAELTPAPAAANAIAGPISCLSNTTHFSYLVDYHLSTFLIPIHCEVVSKGPIPVPSFDSSEYKFREVAQRILNFADTTIDWNFYGNDATNNCLKCEIQGRRCAFSVQRNQTFCMRPGSHIKVIAATSSLAAFVVLSSMVATALYLSLRTRYNEEIHLKVEMFLKMYGTSKPTRYTFPEVKKIARRFKVKLGQGGFGSVYRGELPNGVPVAVKMLENPKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTEARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVASGHDLELAREMTQEEKAVVRQLAILALWCIQWNPKNRPSMTKVVNMLTGRLQNLLVPPKPFFSADSHHML >KN542712.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542712.1:9448:12758:1 gene:KN542712.1_FG002 transcript:KN542712.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVAAALLVLSLLLNLHTAASAWEDKDFFSYCSPSRCSEHGPEIRFPFKLESKNTPSSCGVPCMKLSCSGQDTILHNKYLGRPYKLTAIDYKHALLTAVPLADEDNYSSPSPCPLLKSISIRPNLITGYGYYNLGRYQNPCQTYNTYYAALVSCSTEFALASVPGPATDNDYIAGPISCLSNQTHFSYLVAYHVTMSLLPLDCEVISDGPIPIPAFYYSGYIPSMFTPSADRILDYPSGYVLSTFRESAERILNSSETMIWWYFYKYDCMHCEQQGRRCAFSSQRNQTFCMGQGSRVKVIAATTSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARWFKVKVGQGGFGSVYRGELPNGVPVAGKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPNVENQNVVYFPEWIYEQATAGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPAL >KN542712.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542712.1:5945:9153:-1 gene:KN542712.1_FG003 transcript:KN542712.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNASRSRPSAAPYAAGAGQNPQYCPPSSCGHLGNISYPFRLEGDSRQCVATPRPWYNLSCSNGRAAIQINKGTYYVTSINYTGEEFWVVDATLQDDDTNGSSCPLPRSDHLPYTKNYWRLYSGETSTDSYGAIDLHTVSHSWACFVNCFRAITDIMPRYRPVTCLLPNNSFVFVSFDDCAVGELQPSCRYLAMIPFDGWHISDSQLQNASYTDIIGFIRKGVSVSFPIGPYQSNDISVTECLKGSKRYFKQHTSRASIQDLTRALFWSETYSEVDCSNLGASKKDMIFLGIMVSAIDITKFHFVLFRLLLGSLVIFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNLHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPDSLMRPPDFDPPEWNEEGFQTNSSSC >AMDW01078898.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078898.1:2595:2969:1 gene:AMDW01078898.1_FG001 transcript:AMDW01078898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTTRLRRLVLFLAALSLLSPKPWPHPHGLGGRGLQSLGYPVSDRGNKAPLCPVRRFRLDPVCGADGVTYWCCYPEAACAGTRVRHGAGTARVGLAQRPSPGMCYWLFVLDTAIMLVFL >AMDW01018677.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018677.1:112:276:1 gene:AMDW01018677.1_FG001 transcript:AMDW01018677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMGGEKQFTSSGLFAADCAVARFGRAGDAGAVAIASEELVDVKCSTGLDGVGV >AMDW01039400.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039400.1:62:244:1 gene:AMDW01039400.1_FG001 transcript:AMDW01039400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDW >AMDW01013757.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013757.1:24:248:-1 gene:AMDW01013757.1_FG001 transcript:AMDW01013757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVVGVLDTGIYPIGRGSFAPTDGLGPPPASFSGGCVSTASFNASAYCNNKLIGAKFFYKGYEAALGHAIDETEES >KN540283.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540283.1:54785:57192:-1 gene:KN540283.1_FG001 transcript:KN540283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALMDEAYTGSAIEDASGNAGSDDSGPLDLADPMFLDLLKDENDGIAVRKRLSGFILAAFQRSPDIIALLKTELALHLCWAIGEHGAGGKNHKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGAPIHDFSFDDLVPTE >KN541934.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541934.1:1948:2466:1 gene:KN541934.1_FG001 transcript:KN541934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTETAWRTPVPSPGWNWQEDHFLVTASGEGGGEEEEVILVSCRRRDDRFCEFKFFKLDIAMAPSPLDDGDLDGFSWFLCRGRSSRLREEKGGRKVYTFCPDRLWGESRTIDLGNGKKRKMAPFNPRGLIEKSITNVYAHNLVDGVVEELLPASIVTEARHWVHPAVFGEPFA >KN540283.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540283.1:32371:35198:1 gene:KN540283.1_FG002 transcript:KN540283.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 1 [Source:Projected from Arabidopsis thaliana (AT1G63270) UniProtKB/Swiss-Prot;Acc:Q9C8T1] MRNAQTVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEVLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLASDVLGEVAWFVDFMNTSDLCAGCNKGALNAAVISPQGPLEVLSDPMLEVAGPLFKTRPNLEDASVEYVRNGSGSKIIFILQMIRSSPDLR >KN540283.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540283.1:16515:22565:-1 gene:KN540283.1_FG003 transcript:KN540283.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQLGLAEEEEEGTGQSLVDLCFRLVFLAVGGRGMSGGGAASCCCRLVFSDGVAKDDESRGWSKSVPTVEADQHERNARIFQHVSKLPEQLAGGYKRGNCGMESGWDLFPIWLVITVDRVFYVQDIVFLEGKLYAVTEAEEIFAFDDADIEHYSHLPSDQWRWTHVDKQAPAFGRTEFYLVACHAMGKVLVVSRDFGRARVPDTGGGRAAARYHTSRFKVYVVEEHDQLGTWGSWRKKTRLTRIPRLRGHALFIGDASCQAFDVTSSGAGGKIAENQIWYVDDERNMVVTVAAGDGPVISSSWALRSVQSYDMRTSCLRRYQQKPHKPSSPWECIMLQRYLGVEAMVPPPVTEFGATLLLWEVINGMGATKEPTYYYSASRRRRRTTSTTTTEADDDGAADYHAVTVVVNVLSRDCLRFTQVGASVQEAKQMAAWEAVTFLRSRFRSVLDDSPWSSIPHYHSHVSEIEYDEDFDDAFDYADL >KN540283.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540283.1:1771:3682:-1 gene:KN540283.1_FG004 transcript:KN540283.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLLFGFVLPLVLQFSLVLSNPPGLNIGFYQYTCPKAEVIVRDEMTKIISRVPSLAGPLLRMHFHDCFVNGCDGSILLDSTPGSPSEKESIPNLSLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFANRLYNFSGTMMPDPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRDYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >KN541934.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541934.1:10785:13609:-1 gene:KN541934.1_FG002 transcript:KN541934.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSKGGKRQHVMRTFQGHNPNTMKVHSRKSQPSKKKPREFYDTVEVHIIDDDSDGDANIHKDYSMEDTSKHLVMYNPEITYDKQGEVEVTEPIDNYTSLNQRYMKPRHGYNTVLPSIGAYTVQCAHCFKWRIIPTKEKYEEIRENICQDVFVCERAREWNRVISCVDPEDISQDGSRVWAIDKASISQTPPGWDREVRIRGEGCSKFADVYYTSPTGKKLRSLVEVGRYLAENPHYIRQGVNLTQFSFATPKPLQEDYVRKHTYAATPELPELLETAQVDPLCWAAPPTRSELLGELGASTSRSVDVSQSEVSYPVDLHQPEESAPLPPRTKKKTMKRGRVSATGPQSTPPGSSKDQSGGCVSDIEFVSL >KN540283.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540283.1:12542:16079:1 gene:KN540283.1_FG005 transcript:KN540283.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G21740) UniProtKB/Swiss-Prot;Acc:Q9LSZ0] MALMRRRVGGYICSELCGSVMNQRLYSSRVDWKQLRPMILKRIKNRAKEYPIKRMIPVAEEVVKAREIVTKGVSTLLQVVPIHSCKFCPEVHIGAVGHEMQSCHGFKRMIKNQPHKWGPGCLNDILVPVESFHLENTFQDEIKHDQRFDFPRVPAVLELCHQAGADIPDEVWHRSGTSSAIVGENNEKPAAFLPEELRFIGQRTIEAWERLRLGVTKLLLVYPSKVCERCSEVHVGLSGHKARMCGVFKFEGWRGKHKWKKADVDDLVPPKIVWHQRPHDPPILVDAGRDYYGHAPAVIELFEHYLEYLTPYVADVFSVQVILSLYECIILEMKDYLGIGGEYNYAKVLRDLKRELCCNGNVVEDKELGKIIQLQGDHRNSVSDFLAKAGMVRKDNIKVHGF >KN541934.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541934.1:4854:6627:-1 gene:KN541934.1_FG003 transcript:KN541934.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKIEGIRLSEMRLLLDREKDNVCLGNSIPMDVRNNLRQSFGEESDTSQDEELQSNDKEKFAQPLPRWTMGLGFNSEGGCIVFDMNCKMHQQFPTLSPILPSAALDLSDESSGSEIILPVLLMTNPEVSGSTFFCPYTMRSLRRPSFPIDTYDVLYSDGEFFLLKQGTNVSVLHVASEKTLIIINLGDEVQQGYFLGTPYSGMTIVLARQINFPDEAAVDGGEHGEDGENQLTVTEFWLRDFQHDVYWQQNAVVCNHEGINSLIIHDDALYWLSDDGTLCSVRQTPNGLHLSEWEDAVHSIGNSFSLVEYLNNLYIVKSGGMLPIPGGIYQVVPGDPPIFPQTRLDGNDVFIVHGKSGLVRPNGIRDCRVFAGPLIVDADCSRFSCEHDLHENIRPCQSDDHEWCFAAWAFLPFNS >KN540283.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540283.1:48251:53990:1 gene:KN540283.1_FG006 transcript:KN540283.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MERREIFQVADLAHILRGKPVDHLQQPNLLDNHQFQQAFQQQQQQQHHLLDQIPATTAESGDNMIRSRASDPLGGDEFESKSGSENVDGVSVDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSDNEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMSEVDKPMIVELAVAAMEELVRMAQLDEPLWSVAPPLDAAAAAMETLSEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHANLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQYADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPNVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNIVLNGGDPDYVALLPSGFAILPDGPAAHDGGDEQQNFGAFISGKSRTHLRLSLPCGAHI >KN540283.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540283.1:6268:7856:-1 gene:KN540283.1_FG007 transcript:KN540283.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYARNGRPREALELFALMRASGARPNQFTYGSAASACAGAGCARSGGQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMIPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRSMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNFKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEVGFSVI >KN540283.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540283.1:27358:28124:-1 gene:KN540283.1_FG008 transcript:KN540283.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTSHYSSCISPVAETSSMSAGESSWAMHIANFLASPYNSQEMCQEPVISGSSSFSSGFSSSFATSYDDASFITSEMMCDDDDDDDSLQDTACSSAAAPKLTSNLNNVDMKSMATMEAKDINITQLAKYFVDASSRQPAAEVLQETVSVDNNNDKSLYECNELRKKGLCLVPLSMLINYLG >KN538844.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538844.1:172787:178561:-1 gene:KN538844.1_FG001 transcript:KN538844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATQCRNWRVQDCVRIADERRKTASKSLVPTLYMAVMIVDIDQDLSRCADDFVTNEAMHSQESRSWNHANMPHANVFLSFQKSNSSGMGTGRRRNPVIFLFLWFVMAFELCASLNHEGVALLRFKEMIDADPFDALLDWDEGNASPCSWFGVECSDDGRAVALNLPNLGLKGMLPQEIGTLAHMRSIILHNNSFYGIIPTEMKYLHELKVLDLGYNTFSGPFPSELRNILSLKFLFLKGNKLSGSLPIEYELTSMDQTSLNKISTESQSSSRNEENATRRKLLASKQKRSQKNRMLLSGTSESPLKNVTMPKSHPDNIIVPHRPVAPQSSSPQSPLPSEPIPSPAPSEPTTTNHTPGQENKSKTAIYASIGGVACLVVVALSAALFLCYRRKRTSSVVPFSPTASGQLHTATLGGITKFKRSELETACEGFSNIIDTLPRFTLYKGTLLCGAEIAAVSTLVTYACGWTTVAEAQFKDKVEVLSKVSHKNLMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEADQLDWQSRLRIAMGVMYCLNYMQQLNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGDKKEDDEKSEASDKHSTVHKFALLLLETISGRRPYSDDYGLLILWAHRYLIGDKPLMDMVDPTLKSVPEEQVRALTKLVKLCISEDPMERPTVAEVTTWMQEITGISEEEAIPKNSPLWWAELEIITS >KN539281.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539281.1:29369:37079:-1 gene:KN539281.1_FG001 transcript:KN539281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPQWLMKVGENKEPSLLGVRSDGSKIPGNDEDTSFSVKRKDVFRASVLDGETGRRERWRDDEREPNSAPRWNRWRETDKEHGDTRKLERWSDDSSKYSVDGRRPPQERWSDSSNKEGNYDQRRDNKWSARRGPNDKESENWRDRWGDSGKDGDAAREKGFSHYIAHGKDSNSHEKDAERDDNISRSWKSSYPVGRGRGDSSHHPSQNTQKSSATYGYGRGKPDNEIPSFPGSRGKFTSGSTNTASSGSSRPFHLGLLSDRPGGTSGDRTAFRYSRMKLLDIYRTSHVTDFKMPLGGCEELSAFMQEETLEPLALSAPTADEAAILKAIDKGDIINSGVHQASKDGPVGKNGREDQQGGMEDVKGETAASLRVLAVSVKSFSHAKGCHCWFIIGFPGNTDLPARADSLRPETSAYVVPQRSRLIGEHRPGPTADYIQQMPFALDQESKVAGITGVDGFVTPTYPNPESLSLYYKDPQGQVQGPFSGADIIGWFEAGYFGIDLLVRVANAPPDAPFLLLGDVMPHLRAKARPPPGFAATKPSDMLMPETLPTGNFVSSSNTHAGSASVGAFDSGLSRKDGAVEAQNRFLESLMSNSVRDPSAEMLAMTAGMTEYGSSGIGNITVSGGETGNSMNYLLAQKRLLERQKSLQNPGSFWSGDSIPAAQVQNKDIEASALHAKLHPPMADPPRQALQSQNVDLLAMLHSAEKPQAPASNSGLPAWSNYPEAKNLDPRGHGVDLTQGSLNMHGASVQGSQQATTAIQQQNFMPLNMSQIAHLGPEKLRAEISQDPQLLTSQQPDDLYGSQRTSLPTGDSSNLGLQKMKEVLEADRVLTAHGTQEGQQPSDPSIMNKKGMEGVGPSQSSVPSLLPHEIFGGVPSKDRYSHPQKLEDKVNVNTQLKASMVNPMLTEVANRREDDSDQQEVRSHERGIGIGKTGIMSENILGSGSTEVIGAAPSAPKEFPEAPFDPKPETLLSHISNQVQDLKISSENILGGSEPTVATEVKASDTQDTKKSEKKKKQKKKQAGADVAKGAPKTVTSQQPRQETLVGSDQGGAKHDLQDDAEELFWGSPIKVESSSKSADPPLGLESSLVLPAKSFSEDYDTNKGEWEPNAAAANQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLAMENAKPAVSAASVPSIPWNGMLTTSDQQLTAVSKSMDGLESVGDSRNRRSQLHDLLAEEVLARSSNTDNEDMGNTNDMAFPPLSSAVVQPDAPAFDDTDFIEAKDSKKSKKKATKAKGSAVKAPAPVVSFDSSTVSVPTEKGKPSKQSHQEKEILPAPPSGPSFGDFVPWKSDQASAVPAPAWSSESAKVQRPLSLRDIQREQERRSAVAQQQPPSPTPAKVSINQRNHANVPSWQASGSSPSKAVAPVQMSSNASSRSKSNAEDDLFWGPSEHAKQDKKQSEFPTLSSQTRSSTIKDQSPMNRQKSQASRLPLSTAPTANHAGKGKAEAANRQTEAMDFRDWCESEWARLTGTNDTSFLEFCIKQPTVEAEMLLRENLGSFDRNGEFIDKFLNYKAFLSTDVIEMAFQLPARAVRGDGAGRANHASAAKGASSTETELDGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVED >KN538844.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538844.1:153319:163307:1 gene:KN538844.1_FG002 transcript:KN538844.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDQDDGGKGAPASIEEQQYMDFPPSSLHSYVPEQPEISLDAESMKMLTSLPLHGEPLCTNERNDWLKVDEYLTKIVSENYLNSYSAISGVPMSEINQAHPELALLARRQHVYDLLVEGKYDEASDYYNANVAILEKCRSKRVRTASSDLKVLISNRTAAVNNDVDTGMAIKDYIYLYYPIFRPDIRKRNFGRKPHELWEFAWRKSTVSGFRCFACHKVFRHKKLTMIESHLKGDRTDDITACPAVTENVLSPSPASSSPWILEQQAGSPLRAVVGVIGMFTSSDCELLGWVDRPSSSTASSSFRPERVERYRPPVICLNSRQSRCLRPRRFRSDLPPLGSEAPALENDAAAQPPPQEPMQDTVEENKVVADGAIVGASEEEPAERGESVAMFECNICFEMASEPVECPVCKGEVTEGNITPIYGRGNSTSDAEKKVAEEGNVSGPTIPPRPHGNRLESFRQKFHHLRPISRRLGEAHGILSSWRRILDQQIMNSVSRFEGPPESTVQEMIDHAHHASRLGRITTRMRARRLQREAENSTFVASSAAESGLPANSTSDLPRRSSSPFSSERIDLLQHFVDLASTERFASAVSDLRRIVRPSPYGASTSSNPPNPELLPVDGNHVAVALAADQASNSSTMAVIQEDAAFTESTGEPSNAGSSRSLRRRGRNDALGSLDVDGGGLHRNKRRRLN >KN538844.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538844.1:142736:145052:1 gene:KN538844.1_FG003 transcript:KN538844.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGDPSNGVAKPSCDSVGSLPAVSSKAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLTLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEHAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFADIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KN538844.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538844.1:112251:113679:1 gene:KN538844.1_FG004 transcript:KN538844.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYAHRDSPAVDQWIAYAVNQPAPDGVELDLRLGRPSLCHRDYSLRRRSAAAIDEDARPRRWRTMPPEDILFESPSSGEEDHADDEDDDDVLSDDGKKDPMAVLALRCCHGLDTVVLGDDDASPPSELQAFEYRGEVPDDFFLVTTTKHGHGVSLETVTVAYCKIDICGDEVTSRSELAMLGAFLRRFAGVEHLHLASARLGSGLHDAAAFATLPDLSTLRRLELGGCLPDDDDDDDGTIFAALIRLLDLAPNLEALSLVFHPEPLDDGYRAYCYHKEEELHDKHLLRYNRHSVLAAPTSGAAMVAPACLRRRVREINLVHYQGGTAQRALAMYLLRSAAVIHEVRCELAMGPLWIQDELVREIKGWVMNKAAAINFG >KN538844.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538844.1:136534:138898:1 gene:KN538844.1_FG005 transcript:KN538844.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVSACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KN538844.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538844.1:165459:171587:-1 gene:KN538844.1_FG006 transcript:KN538844.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGRKRSMMKLGNRSDPAPSMELSREENAANRSDAEILSSASENVVEEEYEEEEDEEEEEVELDGPAAVAAEREKVQAVFKRLSSDPVGIRVHDVIIKGNAKTKEELIEAEVAELLRAAPTVQDLLRNASIASARLRQLDVFDSVNITLDAGPPELPGTTNVVVEVVEAANPITGSAGVYSKPEARSWSLEGSVKLKNLFGYGDIWDASGAYSWDQTSEVGIGVSLPRFKSISTPLMARASLSSQDWLKFSSYKERLLGLSFGLISTMQHDLSYNLTWRTLTDPSQVSSKSIRRQLGHNLLSALKYTYKIDQRNSHLRPTKGYAFLSTSQVGGLWDSKGLRFFRQEFDVRGAVPLGFYNTALNVGLGVGAILPLGRGFMNLSSSVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGIGPTEPRRLVPSESEDGSAASPGRDYLGGDLAVSAFADLSFDLPLKIFRDAGIHGHAFLTAGNLAKLSEGEYKKFSLSEFGRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGRTGIQFSFSSPL >KN539281.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539281.1:81631:85598:-1 gene:KN539281.1_FG002 transcript:KN539281.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWFGTGLPPPLAVLDLRSAAVNGTLPPDLGVSGNLTSLLLSGNSLSGAVPGSLLSVTGLRFLDLSGNNFTGVLPNVTAVAGGGAASLFNVSGNSLYGVVSDAIGPLKGRFQVVDLSSNYFDGVWNVSDGNVDVRTNCFSGAPGQRNRVDCEEFYRRAGVRLVDALAPAPSPETSPETTKKKNKSRISKGVLIGVIAAAATLMVVFFGVLVFCLARQKAGRRGGRGRGVDTNEESTRGVRRRDSSVNPVTSPPVAVSPSANSGHKDPVVVSGEFTFEQLVHATGGFGDDNLLKHGHSGDIYHGVFESGSQVVVKKVNAQSVSKHAGELDFYKRYSHERIVPLLGHLAKDEEEFMAYKYMPKGDLTNALHKKPVDTEDGLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQATSVLLDDKFEVRLGSMSDVCAQQSGGSQSVFSRLLRSSRSLDKNISGPPATCSYDVYCFGKVMLELVTGNFGVSGSNDAASEEWMTNTLNRIDMNNKESISRIIDPLLIVDEDHLEEVWAMAIVAKTCLNSKPSRRPSARYVLKALENPLKILRMASRSNSARLRSSSSRSSWQSAFLQGNRYQSLETASSSGQMLDRKHSTRSHGSGGETSFSFKRASREIAPEPEGFEENVVV >KN539281.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539281.1:9585:11411:-1 gene:KN539281.1_FG003 transcript:KN539281.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGCEDELGAGDVILRGVEEVEEEEDDDLVLPGFRFHPTDEELVTFYLRRKIAGKRLSIEIIKEMDIYKHDPSDFLKTSTVGSEKEWYFFCLRGRKYRNSIRPNRHAAAAPPPEPSPAMAGFGHDQSVLSSPAPSDFYYKDGCNDDIYRMVMELADPSVFYDHIYA >KN538844.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538844.1:116411:118229:1 gene:KN538844.1_FG007 transcript:KN538844.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAVDRISDLPDDLLQRVVHFVPAKEAASTSLLSSRWRSLWRSTGAVNLAVHVRRDQEREFFSARDAFVRSAHAALAAAAAGHVRRLTMHVETERMRICMQLTADAFLHRDPEDWERKHDVVAGVVSHPVARRVEELRVAAVIVEPGWPSPFDGEVTSREGEFRLCLGAQPSETLRVLDLAGCGGLSAAAGVALPRLTTLRLRQRARMALEVLHILLVLWKAVCRVLECHRFRGDEIKGDDLRFGTLVKT >KN538844.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538844.1:146302:148916:-1 gene:KN538844.1_FG008 transcript:KN538844.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPARRNPLLGARRAAASLRRSRRLPVYVAGVFFVASVLLMFRDEILYLTTAREELVSVNKPVLLGHGGKPEKHHSVTERHRPKVSAKRRPNKKAAKAARKKFMASPAVAAGAEVNVPETCNLSKGKWVFDNATYPLYREQECEYLTAQWESMVCLVRPALSPGKSYVTWWDGQRVVLHAWEYNATVEFYWAPFLVESNSDDPKAHSIRDRVIKPEAIAAHAGDWVGVDYLVFNTYIWWMNTVNMKVVLPTRHDQFTVFRRPTGKTWEEYDEVGRIEAYRRVLDTWATWVNDNVDPARTSVFFMSVSPLHISPEAWGNPDGVRCAKEDAPVQNWHGPLWLGTDWDMFRAARNASRAAGRVPVTFVDVTAMSELRKDGHTSVHTIRQGRVLTPEQQADPATCLHG >KN539281.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539281.1:40700:43160:1 gene:KN539281.1_FG004 transcript:KN539281.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQGGFGYVYRGVLGDGKEVAVKQLSAGGGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGAQRLLVYDFVPNRTLEHHLHGNPRIIHRDIKSANILLDNNFEPLVADFGMAKLTSENVTHVSTRVMGTFGYLAPEYASSGKLTDKSDISKNIK >KN539281.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539281.1:70628:75947:1 gene:KN539281.1_FG005 transcript:KN539281.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGCVSRGLRSLSKGKGSSSSAPVSAAARLFSTASVVPSIPRPQLIRATSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLGKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >KN539281.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539281.1:5102:5581:1 gene:KN539281.1_FG006 transcript:KN539281.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGRRPWRSRRQWVRSLLGDHVQPLTLAIIYLTGRGRRMKNKAAADNGVAGVEQRRGSASRSTAAPAMAVKDAAAALGDGDGSGGRCCDDAWRWQQLHRRSEANKEVTALGDGGGGGGEDDSVRGRRSEMAMRRRRRWQRLGFGSGVGGARGSGGGDG >KN539281.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539281.1:89656:96230:-1 gene:KN539281.1_FG007 transcript:KN539281.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSILSNRAIQILVVGKQPLESVRRYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKVAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVITLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >KN539281.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539281.1:114077:116898:1 gene:KN539281.1_FG008 transcript:KN539281.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRHLHLGGNFFSGGIPPEYGRWGRLQYLAVSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPRELGKLASLSSLDLSNNALAGEIPATFADLKNLTLLNLFRNKLRGDIPEFVGDLPSLEVLQLWENNFTGGIPRRLGRNGRFQLLDLSSNRLTGTLPPDLCAGGKLETLIALGNSLFGAIPASLGKCKSLTRVRLGDNYLNGSIPEGLFELPNLTQVELQDNLISGGFPAVSGTGAPNLGQISLSNNQLTGALPAFIGSFSGVQKLLLDQNAFTGEIPPEIGRLQQLSKADLRQFSYFNATSFVGNPGLCGPYLGPCHPGAPGTDHGGRSHGGLSNSFKLLIVLGLLALSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMPDGEHVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRTLAHQSACLQLLALMVILLLKRIDVFKLANHALCCDCGFTEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVKTMTDSNKEHVIKILDPRLSTVPIHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKPTSKQGEEPPSGEGAVSDLVVPAESAEANEAKEQQQQQLNSPSSPPPDLISI >KN539281.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539281.1:48770:49075:1 gene:KN539281.1_FG009 transcript:KN539281.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLDFLKCPHLFAVLRRHQDEAQNQLLEFSREPEACELRRVAVTEMLEARKLMRVAVTDMLEARELMRVVATEMCDAAVKDIFTARSLRQTDNQERVLEQ >KN539281.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539281.1:22277:27353:-1 gene:KN539281.1_FG010 transcript:KN539281.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSTVVGEMESSLERVRRQLSSTSSRHLLQGPLLKRSDTEFRTFCYLNFYFVDSGFKFSYELRKWNERWVILDPATGKMEYNASQLVLQAHKEAVNSLGGNGPTKLGKVATVVAVANATAIEASKEVEAAMKVSLRAALGSTTNKLSKGQLDDLTIMMETLRVKDDELHQLLQDIRARDATIREITDKLQETAEAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIEFSLLRLRESEEKAKLLSEERENLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRIKDAASRLDSATKEKEELVALVDALQLQIRSQDTSTKQVCEERSELCSTSSKHVDMEDDNVDKACLSDTDPIPITENIVDLDDDGVDIPTIGVTEWNNPHSSEVSDVREVTTEPEDNSLDIPVDSQPVSENAFHG >KN539281.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539281.1:1277:2510:-1 gene:KN539281.1_FG011 transcript:KN539281.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGLLPEEEEYAYFNDPEDKGFLPPTAWTSCGFPRWRPLLPFRLQNSRTPYRLAATSSRRRLYQLRIIPEKRRSSIYEVGNVKIIAATYEWKVTGTMIATGSTVIEAKEDSTVIMNILLS >KN539281.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539281.1:76315:80027:-1 gene:KN539281.1_FG012 transcript:KN539281.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVDRVVVEAAAMRRDRPELAHQSFNARARVYIEESGLVALVKWFKHNSMTYPQIAKVVCSSSGNLEKVQYLKEFGLTTEELGKLMAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDVGVRSDAVGGVLVKFPPVLTYSLYKKIRPVVIFLMTKAAVKQEDIGKVIALDPQLLGCSIVRKLEVSVKYLRSLGIYHFVLGQMVTDFPTLLRYNVDVLRPKYQYLRRVMVRPLIDLVEFPRFFSYSLEDRIVPRHQTLVENRINMKLRYMLTGSDEDFSQRVREAVERRARFEAGNVEASDSQATTDGAEATAPASQDDWEADT >KN539281.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539281.1:102923:107102:1 gene:KN539281.1_FG013 transcript:KN539281.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALVKTVLVSLWGMMDSFLLLQMLHAKIGNHVEIGANTCIDRGSWRDTVIGDETKIDNLVQFLLQIGHNVVIGKCCMICGQAGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRIFSKKDGGRRYSGRAFFIVSGLEQYRSKIGRLIGEQMNQIKSAVLRTQRYHRGLVWNPAYMAAGRSSSRKSSRLIDWFLSPCPVLG >KN539281.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539281.1:27684:28593:1 gene:KN539281.1_FG014 transcript:KN539281.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDEEWIYVDEEWVYVDDFSQEAAAPVEASPVDSSGDKDDDDDKSGSAVDGELPGGATASETVDADDDDSTGVMSDEEEVASSDDDAYSDTDLDKYLEYYEEDIADGLDSLKIDGDAIPPIFQDDPPPPIDGDAAAAEEKEPEHSPPINGSAAVEEEPAYATEPQQLCFDGRFGYLTGGGYSYGYGGGGAYYGDLYLPATYFPSYYLPRQPGAAVYQPPTYLSYPDGFDPTLLQISD >KN539420.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539420.1:64093:66048:1 gene:KN539420.1_FG001 transcript:KN539420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVTGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSGSNASSSANGPSPRDRWGSPDPYGRRSPSYSSPPGNGNGYGYGGYDDYRERNGNNNADDKKPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYGWCKETGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEEPEPDMNEIKALPAPEDYKEPEPEKVEEEVKPEPPPQPQGDLVDLREETVTADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDPLLLNGMYDQGAVRQHVNAQVTTGSASSVALPPAGQKTQVLALPAPDGSMQNVGGDPFAASLSFPPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQSSLAKLDRAYNNGFAPNPAMPYGMPAAYNTNPMPMAYTANTGYYYPTY >KN539420.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539420.1:6766:9680:-1 gene:KN539420.1_FG002 transcript:KN539420.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37c [Source:Projected from Arabidopsis thaliana (AT3G12580) UniProtKB/Swiss-Prot;Acc:Q9LHA8] MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFVVSETIKAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAISWLDSNQLAEAEEFEDKMKELEGVCNPIIAKMYQGAGADMAGGMDEDAPAAAGGSSGPGPKIEEVD >KN539420.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539420.1:69159:71878:-1 gene:KN539420.1_FG003 transcript:KN539420.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLFPSLSLLAMLPVAGVVFLAVIVVGRFWRRFIGVAASAPLFVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLFGLYRIFSGDPGIVTYESSFFEEAGCKDFVEAICPSEKFSSLPRVRHCNCCKANVRGYDHHCPAFGNCIDITRCINSGTIRSENPMSVNMVIGTMLFSVLQVLWQLVMISNQ >KN539420.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539420.1:94544:97081:-1 gene:KN539420.1_FG004 transcript:KN539420.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLHATSGRFSEASGSASTASDRFSDHPFMDGMLDRASSASSTARLMPSFSHLMSEPRVAQSGLSNERSLIRSLVRVASKLRFGVPLSGRRSNGPAEPTTKSDGDYRSTPKGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHRELKGVLWDDIQGVDVVTDNLPEPALANATHLCFLDAGSGGDDPDAERKAKRGRIERNADDDGASSSSVHRDVLKALARALARTEEAFFAAAEERAAQSPELGLVGSCVLGADVYAMNVGDSRAVLARRREPDFKDIFFRPDQDLQLLKAEVIRELEAHDRNGLQCVQLTPEHSAAAEEEVRRIRSQHLTDRQAVVNGRVKGKLSVTRAFGAGYLKQPKWNDRLLEAFKVDYIGAEPYISCTPSLRHHRISSNDRFLVLSSDGLYQYFTNKEVVDQVAMFTAEQPDGDPAKHLVGELVLRAARKAGMDCRRLLEIPHGDRRNYHDDVSIIVMSFEGRIWRSSV >KN539420.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539420.1:4093:6123:1 gene:KN539420.1_FG005 transcript:KN539420.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKSISLSFADKCRVPLAVNLNSPSSSFLFLVFPRPIPGRLTTLLRSLGKLPPRIAMTGDVLFMKRSKVPVIADSLKKAILKEHKAASEASHSVSAILSSASAACRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSLGSSHKVELDNFEPPKADLLLPFSARIIDGINRSDPRRRALIFFCFEYFNVTATDALLLSIDHHGFDVLAKVPEKAVLLDVPRQYVWREFRFSFKEAAKDIEDFCRMLVELEEEALQSMKSYSGL >KN539420.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539420.1:82496:85463:1 gene:KN539420.1_FG006 transcript:KN539420.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLCVHPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRAILSAAQSAPFISELEPDAKALLEAALMEANREREKRTLKGAKNASPSPSRSDDGAHDATISEEEAAAGGGEVCSICFEQACTIETPVSMCNSYRYGFMALYSLPLALLGKAPRKIGKWSTTNEQHFCFKKQ >KN539420.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539420.1:417:1590:1 gene:KN539420.1_FG007 transcript:KN539420.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLVREFLRRYKRPEIRGDQRALRRLRTACEKAKRMLSSTAQTTIEIDSLHGGVDFHATITRARFKELNMDLFLECMDTVKQCLRDAGTDKGTVDDVVLVGGSTRIPKVRSLLQDLFDGKALCTSINPDEAVAHGATVKAALLNGDANKKDLRDVVLLDVTPLSLGIEGEGRRTKDNNPLGKFVLTGIPPAPRGVPQINVTFSIDANGIMNVSAEDKTTGRSNSITIKSDKGRLSKEEIERMVKKAEKYKAEDEEEMKKAEGYVAP >KN539420.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539420.1:27275:27598:1 gene:KN539420.1_FG008 transcript:KN539420.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFMAITPHPIEDEGHHHPCQGHAGATSHRRPRDIDRYSWRPDLAAPELWWLDLAIQQWDDADDAHQERVGRMLEVYKRLEKGSTAAMGRPPLLMGGSDTAVVHHT >KN539420.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539420.1:47100:52072:-1 gene:KN539420.1_FG009 transcript:KN539420.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAKPNPNADAAPAAAEAAASSPPPPSSSESAEGKDKEEEKEEEEEEAGDLVEKLVELVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPEVSYSALRRLREALADSRELLRLGVSGSKISLVLEREKIMKSFQDITARLEQALGLISFDELDISDEVREQVELVHAQFKRAKERSDPSDDDLFNDLVSVYNSSTSANVDPDILQRLSDKLQLATISDLNQESLILHEMASGGDPGAVVEKMSMLLKRIKDFVQSRDPEMGTPVNTTELSGKDNMASPIVPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHHNAPASCTAAEHSNVIELLQKLSSQNLEDQREAAGMLRQLAKRSPENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKITIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLIPVLLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAIPMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAKSGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAHAQSQAQVQALNEAQSQVEMQVEQLLLPTTSHLSDRRDG >KN541228.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541228.1:5961:6709:1 gene:KN541228.1_FG001 transcript:KN541228.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKRQPEKALPAAGQAMPAPAAGGDWWDGFARRLAAVLLNQGGYCGKSAVSVGFVLEVVGLYGAPRQRTISVGGDVLDWRPPWMSIAPRIYEAFGAMVFWG >AMDW01027397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027397.1:2:352:1 gene:AMDW01027397.1_FG001 transcript:AMDW01027397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SAGAADPDILTDFVVPSDTEPSVIDGAFFTYKNLVTGNSGDPAKLTVTKATHAEFPALLGQSVSYAALVFGAGTVNPPHIHPRASELLVVVQGPLLVGLVDAARNGTVYTQTLETGD >KN541228.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541228.1:9471:14121:-1 gene:KN541228.1_FG002 transcript:KN541228.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWFIVSRFIQKKSNEDLCKCDCIIDDIAVPRKPNLQKLKVHQTRMCPGQEKKLNYPAVAVSATLNAIKTSRSEDTVLLLKVSLRVLIKCVRLTALFNQAEFPEKEPKEARIYGPFETWQDILQESCPSVKNILVLDSEGKRVAVKYYSDDWPSLSSKQAFEKSVFAKTQKTSARTEAEIVMFDSYIVVYKFIQDLHFFVTGGDEENELILASVLQGFSEAVDYLLRNKVHRRAALENLDLIFLCLDEVVDGGIVLETDAKAILEKVSGHGLEGSGSLTEQVDDELASDSVSVSRSVLPVYNVL >AMDW01026121.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026121.1:71:316:-1 gene:AMDW01026121.1_FG001 transcript:AMDW01026121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDLFVFLNRRGTQVRILFWDRDGFCVLMKRLEAGTFRRVKSAEGTPRVEIDAGELAMLLEGIDAPTVRRRKRYVPPPKDA >AMDW01038118.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038118.1:82:773:1 gene:AMDW01038118.1_FG001 transcript:AMDW01038118.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNASAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANETFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVA >KN539577.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539577.1:87582:88975:-1 gene:KN539577.1_FG001 transcript:KN539577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRDALASLIPETRRPQSLRTRARPQWSARRGCYVGKESAHGLRETLEDIILAIAKPNVSDLFPFLRRLDQQGWRHWAEKRYDNVFGILDDKINSRLADADADASTKKHGDFLDSLLELMSAGKIACDDVTTVMFDAFGAGTDTISNTVVWAMAELLRNPSIMAKVRAEMEDVLAGKKTIEENDTEKLPYLRAVIKEAMRLHPVAPILLPHQAAEDGVEIGGYAVPKGSTVIFNVWAIMRDPAAWERPEEFMPERFLQRAEVDFRGKDFEFIPFGAGRRVCPGLPMAERVVPFILASLLHAFEWRLPVGVAAEALDLSEKFTTVNVLVTPLKAIPILASHQI >KN539577.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539577.1:65255:66350:1 gene:KN539577.1_FG002 transcript:KN539577.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGWEDSSLMSETNISGVKRQRDSSEPSQNGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKGLNDSRANCTCSAKHQQYSG >KN539577.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539577.1:37368:37790:1 gene:KN539577.1_FG003 transcript:KN539577.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVADALDTKPVVMFNPAWSFDEEGFAAGVRGFVGSFNVVYSFTGLEVRDLLSKKKGVLLRCVDSGRFGGENWVLMVEDDAAPEADQFKVVSRLKRRPTIGEVETMTYNLMAANSPVTKSARFLRGLMSNVTGARKEKQ >KN542646.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542646.1:3208:11800:-1 gene:KN542646.1_FG001 transcript:KN542646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLLVVAYLYEPYRKVWHVPGPVPLPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGVKKFKSIPNRSMPSPIANSPIHKKGLFFIRGPRWTSMRNMIISIYQPSHLASLIPTMESCIQRASKNLDGQKEITFSDLSLSLATDVIGLAAFGTDFGLSKLPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVVLSPGGIYRQANGIRIAGSIMWLQPLASVSSQLARTVHART >KN539577.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539577.1:251:1260:-1 gene:KN539577.1_FG004 transcript:KN539577.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSEDSAVLVALKQQKTQYKLVYTLPSTIGDATASSVADIKEFADAVTVDRQSVFAESSGFIVRITNLVKDVRSAGLAVYARVFRNEFVSPPQDFLGDETVEINNFIQLVRVDGIITDFPKTARRYKMKSCAGVGSGVPSYMQPIIIGGLAKLLGRTMPTVAPMPALNPSDVAQPPLPTRRGAAAGNDGVPGGRRHHSDADLTAAPPAAISAACLLLGIVWAFLLI >KN539577.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539577.1:24219:27867:1 gene:KN539577.1_FG005 transcript:KN539577.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELMANLMEVNIEGVRCSQLTSQLKKRLPCLERLRIINPENEAETSSSTTDINDLFVDKTDLQLLDLSGNKEMKNLPTSISNAGQLKVLILDGCDALEEVVVPNRLPSSLRSFSFDGYGSAAPSRASTIELPLQSCRPVGHGMKDVKTSVISLEGCTQLDNLFLRGLPNLVELDLSGCAIKVLDFETMVTDVPCLKRLFLLGCEHLRAIRWGPNGGQSRLLELLCIDIRPARKVLGCARPSLAVEHKSFRLQVHACFVDARLARSLLDPICYVGFYHCYFNISITSSMASNSGVVQLEKTSKKTTEPSGQKHCGVAGIYGDVFSKVGDAVTTMEAFPQPPTQQLDHHMEIGDGSHSVESEVKQDYDESNNLIHLMASYTESLHVHDDSACRHALAAENWSSLKWCRVERCSNLDVVFPPGADKRYGHLEIIWASDLLKAHCIWSRDISRGIKSSDSYLRRLQHLHLRSCPSLRFALPMARPSFPSLETLHIIHCGDLRHIFVPNTEFQSTSIEFPKLTTIHLHELPITSSMAASSSGVVQPEETSKKTTEPSGQKHCGVAGIYGDVFSKVGDAVTTMEAFPQPPTQQLDHHMEIGDGSHSVESEVKQAYESNNLVRLMARFTESLHVHDDSACRHALAATTWVYLRWCRVERCSNLDVVFPPGADELGRLEIIWASDLLKAHCIWSRGIRSSDRYLRRLQHLHLRSCPSLRFALPMARPSFPSLETLHIIHCGDLRHIFVLDTEFQSTTLKGRSVDEEKPAIEMEKDVWDALEWDGVDAGHDPSLYQPPQHSRYYKNKRMPRGTLLGLFCEPGYGASGVPTPFSVCARPSDECVWLSLEYETRIRVANKNKQRLRLSARVVCEL >KN539577.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539577.1:81300:83121:1 gene:KN539577.1_FG006 transcript:KN539577.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAVDVGEAVYGGVINLVSNAFFSADVVDVGKESAHGLRKTVEDMISAIAKPNVSDLVPFLRRLDLQGWRRWAEKRYDKVFGIFDSVINSRLADASTGKHADAGAGDFLDSLLDLMSAGKIARDDVTSIMFDLFGAGTDTIAITVEWAMAELLRNPSVMAKARAEMNHALAGKKAIEENDVEKLPYLQAVVREAMRLHPAAPILVPHRAEEDGAEIGGYAVPKGSTVIFNVWAIMRDPAAWERPEEFMPERFLDMAEEVDFRGKDYKFIPFGAGRRLCPGVPMAERVVPFILASLLHAFEWRLPGDKFSGRD >KN539577.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539577.1:15975:17402:1 gene:KN539577.1_FG007 transcript:KN539577.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTDYSVTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKNAPET >KN539577.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539577.1:46034:47624:-1 gene:KN539577.1_FG008 transcript:KN539577.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGVRYVVVKIHDSWDEFRDYFMKQDGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQSALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLHYQPELPEEAQGLFPAEDIYA >AMDW01037345.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037345.1:228:686:1 gene:AMDW01037345.1_FG001 transcript:AMDW01037345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSKRNGTEFVEEFWKVLPGALKDVTENGDERGKKVVSRLVEIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHGSSIKIVKRDSRSVKL >KN540853.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540853.1:1220:10429:-1 gene:KN540853.1_FG001 transcript:KN540853.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 45 homolog [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/Swiss-Prot;Acc:O49048] MTLITLVRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPRFAEYHLFFSNVLKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIRNNHVYMLPMVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDEFFRANMFENFGDLGDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVLLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLDDLGEAQRISKSSSLV >KN543972.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543972.1:702:1736:1 gene:KN543972.1_FG001 transcript:KN543972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVATALYLSLRTRYNEEIHLKVEMFLKMYGTSKPTRYTFPEVKKIARRFKVKLGQGGFGSVYRGELPNGVPVAVKMLENPKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVASGHDLELAREMTQEEKAVVRQLAILALWCIQWNPKNRPSMTKVVNMLTGRLQNLLVPPKPFFSADSHHML >KN540853.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540853.1:31520:36902:1 gene:KN540853.1_FG002 transcript:KN540853.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACASDMQEWGVGRAGVGWLPEKKGGDRTKMEKEKKVGISLEFHTVLWEELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEETKSYTAIAVLQQMREMVHMKAKLFAHLSPAKQKEVRFEGTLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDIVHIEDRSKSYPERNLSNLTADMNNPSKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCAEQNIGICCSSSNTFNGKILNPVSICQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGTMEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKDDHVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >KN543972.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543972.1:3163:4778:-1 gene:KN543972.1_FG002 transcript:KN543972.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SNDISVTECLKGSKRYFKQHTSRASIQDLTRALFWSETYSEVDCSNLGASKKDMIFLGIMVSAIDITKFHFVLFRLLLGSLVIFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNLHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNTDPNAANSSQAYYPSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGDTDELQVPPRPFFCDDEQLPGVESYNMPSELTAISEEHEVDDDSICLFESYQ >AMDW01036359.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036359.1:25:501:-1 gene:AMDW01036359.1_FG001 transcript:AMDW01036359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGEIGVCYGRDANDLIDPPAAVSLLKTNGISAVRIFDADPTVLAAMANTGIKVTVAIPNADLAAAGQDLRSATDWVASNVVPYRSRGTLISGVAVGNEVFKQRPELTGTLVSAMRNVYRALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQS >KN542398.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542398.1:10122:14469:1 gene:KN542398.1_FG001 transcript:KN542398.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRALALLLVTMAFFSRPVIAVDRIELTASIIGNQNTLESASGVFKLGFFTPPGGKGTYLGICFDYPTDTLLPGMKLGEDRKKGITRNITSWSSPTDPSPGKYTFKLVLGGLPEFFLFDNSKTTPIYASGPWNGEILTGVPGLKSQQAKGDFTFTVLSSPEETYYSYSISNRNPSFLTRFFVDGTEGKLQRIWSSDDGKSWINNKISYPIDPCDNYGSCGAFGYCVYTEGQPQQCNCLPGFQSLSAQGSFQDTSKGCARITNLTCGDGDGFWRVNMMKLPDATKATVHAGMTLDQCRQECLRNCSCNAYAAADVSGGVNRGCVIWTVGLMDMREYPKQFVQDLYIRLPQSQIDALNAPARRRRLIKNVVIAVVTTICGILGVVGCCCLWRNKMRWKRHSRIGKSSEAGDIPFRVRKNPASSPARDHWFDENNTSVEDDLDLPLFDLEMIFDATDRFAANNKIGEGGFGPVYLGRLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDNERVLVYEYMHNKSLDSKYISADEGNRKLLSWNKRFEIILGIARGLLYLHEDSRFRIIHRDLKANNVLLDRNMVPKVSDFGIARMFEGDQTTAYTRKVIGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVAGRRNRGFCESEINLNLLRYAWMLWKEGKSMNLLDELIGDIFDDIEVLRCIHVALLCVEVEPKNRPLMSSVVMMLASENATLPQPNEPGVNIGKITSDTESSHGLTSNSATITTIEAR >KN542802.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542802.1:286:1404:-1 gene:KN542802.1_FG001 transcript:KN542802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGCFLDGFEVTCNRTFDPPRAFLAWGSPDSPFQGNADGYYLSDNDSVTLKDYWSLPVELVDVTLSRGEARAYGAVTTDCTTNETYHVFRRQATFFPKNLVFSASRNVLTGVGWDMEAQLTTSLASTGYRLNCASRLMFPETAENGSCSGMGCCEANVTAGLRIASVTFAHKKNAFWSSNPCSYGMVVQKNWYNFTKEDLYGNQTLSRKHPRGVPFVLDFAIANVSCPAQGQPPLDNYACRSSNSFCVNATSSPGYICKCFDHYDGNPYIIDGCQDIDECQLRIQFPELRDVYPCSSDGICKNRPGGYDCPCKPGMKGDGKAGTCTEKFPLVAKVIV >KN542639.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542639.1:5627:7612:-1 gene:KN542639.1_FG001 transcript:KN542639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVHDNNNVRIFTEDEIKGITKNYRTLIGKGGFGEVFSGDLDDDDGQVAVKRYIRGDLREEFMEEVRIHAQMRHKNIVKLIGYCTGENTLMMVTEFILNGSLEDVLCNREISIPLNTRLGIAVGCAEALSYMHLSSDSLVYHGDIKPGNILLDANLTPKVSDFGISKSLSGGLTRYTLHIMGCEDYVDPLYVRDGRLTPKSDVYSFGIVLLELIARRRIKQDGVNLIISFGQACANGKGLRELFDAEITEECNMNVLEEIAKLAIECLTLDIEERPKINDVAQRLRTLQTHREGQESAARKSSSSRMLNALRKGYKQSTSIFISTPTANHRRNAISEIKYEMAKQHNFRSFTKENLFEVMGRYKSPLGDKGSGIGRYNKGTLEDNMLVVVKSHLSDEDVFMIFYEASIVSQIVHEGIIKLLGYCFDADFPMLVYEYADRGSLYDILNSAQDIPLGLRLKIAVKTAEALDHLHSSPFCVRHGDVRSTNILLDKNLMPKISGFTSSRRLTKGNLSFDNVEKYCDLMPKKIIRDDPSYIDPKFLQSDVLTTESDVYGFGIILLELISRKKLLYQDKKHRPVRLIPEFIKAYKTEGSGNAMFDKGITAKKDIAVLENIGRLALRCLSMEIRPTMKDVAEQLGMIRRAWKQHAPQGHGCTCCWHL >KN539597.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539597.1:48370:49959:1 gene:KN539597.1_FG001 transcript:KN539597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELPSGGHVSCRYCTPSGKLSSASVFIEMEMSYMVNPQTGYHIQTEFELSLSKIILIFRITKECRSPFNHCDVVTSTNKNLTGPRGGTIFFRRGKN >KN539597.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539597.1:17396:17873:-1 gene:KN539597.1_FG002 transcript:KN539597.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKINTGGAFDPFTRTGGWGFAIRDHSGKVVKAGAGKVQFLMNAFHAKVLACAAGIRAASECGMQRVTAETDSMMLKSALEKNTFASSALGGIICEIKNFANSVFLSFNLWVVKGKSRTSLGWDEAPSEVDDLVTSDITGSME >KN539597.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539597.1:59375:63338:1 gene:KN539597.1_FG003 transcript:KN539597.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEVCVGKEKETIAEMLPYLRLGYISDPDEMQSILSSEGDTCPVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >KN539597.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539597.1:85300:87463:1 gene:KN539597.1_FG004 transcript:KN539597.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDHRQQPSARRDKEREVGQEEMGEASELTETWRGQKQDEAAMGDKGDSGVPLISRLNEGVDEDGEDEGGDTGDDLYSKVFCSSSCSARFVLGAVRLPAPLAAAHQGGGRSGGGGGGHAAQVCWDLKVVRVVNHPSGCAALIASIARASCIPRG >KN539597.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539597.1:6647:11713:-1 gene:KN539597.1_FG005 transcript:KN539597.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATAVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFSQLSEREEWAGLQPGRKYFFTRNHSTIVAFAIGAKYAAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIVREKKDGAVSYAHKLVRVQEPVMRIPTLAIHLDRNISSEGLKINNQNHLVPVLATSVKNEMQKLVAENDSESSESKNTKHHPLLLQALIESTSSEESLAHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSKSRLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHHLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDIKHSYEHFKAYFEEFTELDSKVKVDC >KN539597.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539597.1:78180:80703:-1 gene:KN539597.1_FG006 transcript:KN539597.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELKYVDNLVVLKTPSGFALFDAFETLCSEQLILLIKFIKIDESTVWHCDGLPEEIAERILIYSERENITSQYLGPLPQGLKDCMTKFRINMSKITIDRRTFIACAGVLCRADVLLNAYGKMLREQCDKLVPGIGTIIKDHAKYANVMVKILAPQMMCFPANVVRKIEEADAAADDAREKVDCSLYYSINRKADKLEELSRLKAGALRKL >KN539597.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539597.1:73:2130:1 gene:KN539597.1_FG007 transcript:KN539597.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding PPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGLDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTVEVQKALS >KN539597.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539597.1:20944:21969:1 gene:KN539597.1_FG008 transcript:KN539597.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSGEDDNVPPWLELSLGPVAYGVAATGVVDDDSSSCAPAVTTSIEVRPPVATGVVSGSAAQPSIEHVPADDAVVTTSFVASAAGVLFTGCASGLIPNGAVSVFPCFNFLGPSMSSSSLSHLNQQFSSTRRQSNASMARSSRTRGGDDDMAPSNIAAPNVTNGGGINNNDGNALPDPPYPWATNEPAKHHSLTELARRDITTIQGDARCRRCDARKVIVYNIATKFQEVFDYLRQNHQHMHDRAQAWWMNPVMPNCDDCGHEKCLRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLVPGLITRRDQLRMR >KN539597.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539597.1:40937:47608:1 gene:KN539597.1_FG009 transcript:KN539597.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDDGLICSTSCYKMCGHADKSSIIDGEIGWPSMEISPCSTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTLGSVQVEECQVSHAAVVDDDSSISIPTNQNVSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDQIMDQIDDMKEISSIVYNNTMSAEQHVNSGSEFEKGNESSDNLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNGNWVQSSFNISFDTKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRTNTKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNNVLATPNLIKQCESLHFEKFIEEHNITGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKFCYDIAQNSDPTQQALNSLASDGEYVNQDDFVDPEKSVCMHDSRIETSREYADRKLDDSNNIQSYSSLPVSDPSRNLIGDISLDFAKLTSCDDFAASTSGAPSNNGVLQMNGADGKDCLETISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHSCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRLVFSEAENKVQILKSGDSGQALGENTKASVHDELFLEVNRILAQEKNEFEVYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERLEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGNEMYPVAKPSKCFHVFLNLLDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMAQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSLLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYYLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >AMDW01012599.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012599.1:15:215:-1 gene:AMDW01012599.1_FG001 transcript:AMDW01012599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHEYLPAGEDYHAQMAAARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWGKMS >KN539372.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539372.1:45050:68305:-1 gene:KN539372.1_FG001 transcript:KN539372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARLAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEVGVGVEEVAVSQEDLTGLWGVFLDKAELFDALCAGVLRQVALDSGLGTDVLLWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYNLRIVLGDLVRRGWPKSSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGSMHKKLVNDCDSFYWQTSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRVLFDVVPGIKFQDAIELVGMQPLSSTTAIWKRMHDIELMHMRYALQSAALSLGQMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNMVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEGLVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEGLIGSGRCWTRAILSALAVVRSDQATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLEGYPYLQLVFTEMNASSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDGPYSKESIKIEKKDILSSEKGIILGHGLRILKQASRTDQTASTVVENNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSENRSQSAQGWSVHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELCSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDIVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQFRAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGSVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKHILFATVCPGRDFQIIQETRTCNAQALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKKSSTNLRDRLVSDERYSMAVYTCKKCKIDAFPVWVAWGHALVRMEHYSQARVKFKQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTRETIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQENPTGSLILMTIQVYVRP >KN539372.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539372.1:96762:96971:1 gene:KN539372.1_FG002 transcript:KN539372.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLRACVLLSTVFFFSGLMQLSMAQAGSPAATATATTARVIDVKAVDQAVAYLLMLAALFVTYLAH >KN539372.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539372.1:18727:21835:1 gene:KN539372.1_FG003 transcript:KN539372.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQAVCASVSLASERPQRGPQAAELVDIENADGVANWPVYLQKYLYAKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPDQKKSK >KN539372.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539372.1:70433:80480:1 gene:KN539372.1_FG004 transcript:KN539372.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYRIRGVDVDFPYDAYDCQITYMDRVLESLQQGKNALLESPTGTGKTLCLLCSALAWRRTFGEFLRGGGGGGGGSGSQQPPYGSQHSGGSASQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLMALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEALSVTLVEHIEFLITIDNCLPVHAKQLSVCIFPAHLGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLALELNLEFPVRLENPHVIASDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWQNYQSQMSYWLRPYIKCYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTPANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHIVESRALAGCLGEGSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEGFRVFVPKNHLSLYEQLVQSYTVPNK >KN539372.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539372.1:88118:88890:1 gene:KN539372.1_FG005 transcript:KN539372.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSTATQTAPAAAAPARVIDAKAIDQAIAYLLMFAALQSTYSKMEQIYDISSCN >KN539372.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539372.1:13181:16931:-1 gene:KN539372.1_FG006 transcript:KN539372.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDPWLIVSQIVCLQCLYYLALGLLMALLVGTRVPRLTLLYLFDFATLTPRTTTGWCVIAAFLLAAAAGAAFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIAGLAIMSLLGEYLCIRRELKEIPISRLRARKGEYKSSTSDVKSVGGNAFLFSFPWNTKTRDTCFPLWFTTNTLQTCKLMHDLPVKPPGELGQKF >KN539372.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539372.1:105799:107711:-1 gene:KN539372.1_FG007 transcript:KN539372.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLADLVEQHADELAALDGADAGKLLTLGKIIDMPAAAQMLRYYAGAADKIHGESLRVASKYQGYTLREPIGVVGVIIPWNFPTLMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLCTFDIIIQAGVPDGVINVVPGFGPTAGAALSSHMDVDSVAFTGSAEIGRAIMESAARSNLKNVSLELGGKSPMIVFDDADVDMAVSLSSLAVFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAKNWKVGDPFDAATNMGPQVDKVQFERVLKYIEIGKNEGATLLTGGKPTGDKGYYIEPTIFVDVKEEMTIAQEEIFGPVMSLMKFKTVEEAIEKANCTKYGLAAGIVTKNLNIANMVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMVAMDKYLQVKTVITAVPDSPWY >KN539372.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539372.1:83152:83935:-1 gene:KN539372.1_FG008 transcript:KN539372.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNISKKAKLRKSIQLFLSRNLKKIPPIHIPSSAIPAKITSNRLLSTCSNGRDQAATLSDVDRFLFDNFRSLYIHDGDNHQQRRQPPSSSPGKFTQPAAAETSSSRSESVAEDTRGTSSGDEDNNNSSTAIMLFSVDPYTDFRRSMRNIIEMHHGEEPQPLDWDFLEELLFYYLQLNEQSVHKYILKAFADLTAGAHVSCPARGKPQWADKSVRSRKHY >KN539372.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539372.1:30891:31813:-1 gene:KN539372.1_FG009 transcript:KN539372.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSPVPQTSSESIAQKMGFFRVPDLLVKLSSKCLIELDAVRSPTSPLDLIFFPGLGAKSPRSSFLGDRVGLGLVDSLTDDSSTPLGSRKVLLGSEMRITDNATSKNSFTAPVEAGVVDQKDESMCDDLKGSFMSLDDIVNSEDYTRVVCRGPNPRTTHFFGDHVLEFEGEQLMPDESKSEESLPPRLEEGMMSFCYFCGEKLEEGKDIYVYQGDKAFCSMECRENFMEDEMEEGEPDLSAPPSSPVANDGCIFQLIQ >KN539372.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539372.1:6175:8447:-1 gene:KN539372.1_FG010 transcript:KN539372.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMSRPAITGFMGGTVIVIMLQQLKGFLGMTHFTTKTDVVSVLRYIFHNTHQWQWQSTVLGVCFLIFLVFTEQVRRRRPKLFWVSAMSPLLVVVVGCVFSFLIKGHKHGIPIVGTLKRGINPSSISQLKFQPEYVGVAMKAGFVSGMLALAEGVAVGRSFAAMKSERIDGNKEMVAFGLMNLIGSFTSCYITTGAFSKTAVNYHAGCRTAMSNAVMSVCMALVLVALAPLFRHTPLVALAAIITSSMLGLVKHREIRRLYEVDKADFAVCAAALLGVVFSTMITGLGVAVAISVLRALLHVARPPGIEMLREVHGELERKGMKMAVTNPRMAVAEKLVLSGLAELVGESWMFLSNGDAVAACRYTLQGSKHGGVPPV >KN539372.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539372.1:93649:93846:1 gene:KN539372.1_FG011 transcript:KN539372.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSMAQGAAAAVAAPATRVIDAKAIDQAIAYLLMFAALFVTYFSH >KN539372.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539372.1:42901:43315:1 gene:KN539372.1_FG012 transcript:KN539372.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQEEEKYLQWRSSLVEKLAGIELNLEGVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPFRWFAETRISSKASTLVTHTIIESC >KN538967.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538967.1:61931:65975:1 gene:KN538967.1_FG001 transcript:KN538967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGSTSACGIKITPTAFATKKHLCLVPPPVVSLPWKNRPQRKCNFRVNAAKELYFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKILICGLYAFDANVDSITQLLLVDKKINNARDLITILEDAIRGGYPILIVAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADKDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEAAEQEYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSEELKQCNIMFLRLRIMQAEAVGNNLERMVDFVLSLE >KN538967.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538967.1:150172:153545:1 gene:KN538967.1_FG002 transcript:KN538967.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEQKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGVISEIQQEKKGRDVYILKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPCTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWIAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >KN538967.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538967.1:41366:45014:-1 gene:KN538967.1_FG003 transcript:KN538967.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKTSPEKVGALRIASPRRHFAFSANASSGSSRLKQIQSERVIHDLFAELQRERQRDRQEEDEDEDEDEDYLGVKPLIEKLERRRAKEEAAPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCNRHSELLRSFAEAETLDDAHKWMTKIDRFEERHLKLPLEYRVIGDMMNRLKDATGKDRFLLLQKLNRAVRIMECREAYDPSNPSNFGVIQHQQVGSPEDLVLNAGFDREKQMIQGAGDDDDDDEEFNEDKEKDDLLIEKLNSIEKKIEEKLAELDHTFGKKGRVLEEEIKDLVEERNSLTEHKRRPMYRKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >KN538967.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538967.1:13259:23906:-1 gene:KN538967.1_FG004 transcript:KN538967.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGDLSNAFQGFGEGSGEEDEEEKERRPLLRKRKLTLLLLLRRGCGERYSPETKERCSLSGGSWVQNGMVRLSTKPPNPKVEMNLKEPPITGAGAGAAASPPAPSTLRRNPPRSARPPPTPLPNSKPSQISRLLDEAAERLKVFLRIRPLPLPERKGKGKGKGKSPTDPKQVCLVANSPNSVALTVPHSKLLDPKRGRTEVFDGFSSVFSPDSSQHDVFSQVMNPLVDDLLLGGKSGLLVAMGPTGSGKTHTVFGSPRNPGLVPLTLRRIFSPTTHEPCSKLRSFCFSMFEILSEGKGERILDLLSDATDLVLQQSTIKGLKEVSVENFADAEALLLSGMLKRTTAATNANSNRSQCIITIRAVHKSSDSESENSLNNAVLTIADLAGAERERRTGNQGTRLLESNFINNTSMVFGLCLRSLLEHQKNKKKPLEKHFKNSMLTRYLRDYLEGRKKMTLVAGKDDIDKDDGVTISEKDESQYKLLNSELRRVSRNEEIMTNFARALWTVLKQYKQKLLESENAVESTRELLRSKDIKIMELEKKLKVLSCSCKKFPAVEDTFVEQNNDVSSGQVAQSFVSLSSQTDHVSIDSALNKSLAVEEVSEESTGHGPERSSDYDKTGTGGSDVCDTSIIKLIAEEELCSRDCKPEKASSSDAFIPEHDVEKENIGIVVQVLDKKLDRSESCSDGGGVTHSSSSLDHPSDQSFTGMKHHSTPSCSQEVNSGSLHVSSSQLQGMAGKRALGKKEEIDSLELWKYGDVERNAYSIFTVGALQQDPQSERCKPTVEITIVEYGCAQPPHVVDDHGGMYPCTLNGKSSPRKAPIAPTKDNQAEKLTDKTEDLSASKPCNRKNTRRRLQPVSAMMLKEFTGPDIFVDTKKEEKVKSSRDAMGRSDKLIRLLTDHPPRARGRAQY >KN538967.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538967.1:101731:102048:1 gene:KN538967.1_FG005 transcript:KN538967.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGMGGGGGVQQQQETTTTVVQVAAEASRKKQVEVRCAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSE >KN538967.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538967.1:154364:160681:1 gene:KN538967.1_FG006 transcript:KN538967.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAMDTAIAHSQSTAIAAAEAASSAKADALAAFPSLTLIAKEEFEWIKKEYTAHEQVVFGKIKVTVFAEGAIMAIEHPGIAAGSTAIAGIVLFKRPRSYLIQRVRRIFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLLHWICCLLVSGKKGVPFKSGNCLILNLAYNELCPIGLKSILNQLPRAHASEFRSEISGLASQYAIRFCICMNSETWSQRIHRFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRKEVLGRMKSSSGRSEGLSHHSPGQGSSSANSHHQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKLYSIWISNGSYEVVTSVLWHEEFHDV >KN538967.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538967.1:1833:10773:-1 gene:KN538967.1_FG007 transcript:KN538967.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL3 [Source:Projected from Arabidopsis thaliana (AT4G38600) UniProtKB/Swiss-Prot;Acc:Q6WWW4] MQLCEMLSIGTEESLAAFPVDAFVPILVGLLGPGEDGAGGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRVAEAFASYPEKLDDLCNHGLVAQAASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALSKAAEQMFEIVSLADDLLPHLPSGIISLPAYFHVLVKGSSSKKSASTKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICPESSDMVPSQVLPHDKDNESVMPSRSRRQRRRGAAAPTENSSLDESNTSNPGIASSTPCSTEVQNTSLRFAVSDRAKSFRDKYFPSDHESRDLGVTDDLLKLRTLCAKLNSASENVVTKAKGKSKALSATHFDISHDVEAQFELIITQILTELSKTNGVSTFEFIRSGVVAALLDYLSCGTFGKERVSEANLPKLRQQALRRYKSFISVALSIDHERNETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPTVPSGNNSESGIPGTAAGASSTAAPAPSGRRPTTRSKSSAASSGASKKDSQEESTNTAKGKGKAVAKPNSEEPKGPNTRNATRRKAASEKDLEMKRAHGDSSSEDEELDTSPIEIDDALMIDDDDMSEDEEDDHEVLQDGSLPICVQDGVHDVKLGDTDDSNIGSASDSQVQPSSGSSTRNIMSRGVDPNTFGSRGAMSFVAATMAGLASVGGRGVRGSRDRRGLSLGGSINEHNKLIFMAGGKQLSKHLTVYQALQRQLMFEEDDDEKFNGSDLSNDGNRFWGDVFTITYQKADSQAEKVSQGGSTSLNSKSDPSRSISELKGVSLLDSILQAELPCDLERTNSTYNILALLRVLEGLNQLSPRLRVHAASDDFAEGKITTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQGDNQNAGGEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQGVRLGLWRSSSPSDTGMQIDRSASPDDDLAAKELNSDLPDNGSHLIQAPFGLFPRPWPPTVDASEGSRFSKVIEHFRLVGRVMAKVLQDGRLLDLPLSTALYKLILGQELDLFDIISFDAEFGKTLQELQVLVERKRFLESTYGMNQLEVTDLRFRGTPIEDLCLDFTLPGYPDYILKEGKENTIVNIYNLEEYVTLVVDATVKSGIMRQVEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHPSSAVNTSNIAGVTESADDDLPSVMTCANYLKLPPYSTKVRFLSLEVMRKKLLYAILEGRGSFDLS >KN538967.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538967.1:105887:110102:1 gene:KN538967.1_FG008 transcript:KN538967.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERNHASSFHLELLINCVWVCALFSQKWRSEATTLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSSVGELKNKQADDHGRHHQLDHSDGGVRNDDDRRMMDDVETDLAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAHGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSWGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIAGDSSDITSPSQGATVVNRMLGATNRLNLYREI >KN538967.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538967.1:69855:70457:-1 gene:KN538967.1_FG009 transcript:KN538967.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSSSSRSVVMMCCVLMLMIAAAAHAGEQEAEECASPMSIEEACRGASETHHAVAYDHCVASLTADPRSSSSEARSLHGLAMLATRMAIDHATSTVCKMDDLAELEPEDSSSPSDARVRFEHCLEQYGGAADLLRDALDNLKVRIYGTAMEQLSAALGAAESCEDAWKGDEGNIPIAAHDREYGRMAHIAIGFTHAAA >KN538967.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538967.1:92065:96249:-1 gene:KN538967.1_FG010 transcript:KN538967.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRRSPLRSDFLDYIAYELRLDALRDLRKRAIIRATPDTTDHDADATDNDSSKKKKKRNKGRAKKWKKSVSDIAGVLRVLDIYRMATVRLPHNPEYDIGCTGVTGYASRKWVRQKSCSALAQAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQTGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKKNNDASTWKEENKELFMSLNEQDEGPEESGSQGVASEGKEDLFWQQGLLIIQTIYHGATEALPSSLTLRKKFLEILNSVDLAHSDELKVEVMDDLKKEFSDNEDYWDWLARLQLSDSTSSSSNLNRKEALSNKLNKSIQVYDEAVRRLPTSRMYSLYAKFWMGVLFADTEDSISLFHGGEFDASGFTSCILRVYQNAESSGCLNEDLACQYVSLYLRLGGLEEATGRLEEARNLAKKLCNGPLSHAADLWNLRASIEMKSLATSTGSSSFSKENLSSLFDLFNVALPKLPIPEAEELWHTAMKLFSHEKVYFEKLVKCAMLSLSSAGGSDCGASVSSAIVGWILQRDGIKQARKMYKRFLALPRPSFKFFQYCIELETNLASIGNQDSLVNARKLYDAALDLYPQERELWRNYYNMELKVGTSETANAIYWRARKVLGDSSALDIPHS >KN538967.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538967.1:162309:168524:1 gene:KN538967.1_FG011 transcript:KN538967.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFVADDQTDADFFDKLVDDDDDLSPAPAPAPVPAQQPAEAALLPALSDLSLADDDTDPSPAPPPVEAPPEGASPESGKGAVHTTVKQVQWASFGGAADDGADPFSDLSGGAADDGFLGTMAGNQSFQTSVIGSVGASDHGIFGGSQSLTAQVTDQDFFGGTSDQNTDTQQQQLEQSGSGAFDSTDPKYLESIYPGWKYDEATQQWYQVDNSDTHGNATQVDTSTENIQQQQLAASYMHNPTQSSLETIAEEGTTTGSVSTWGQGGTSEYPPNMIFYAEYPGWYFDTNTQQWQSLESYQQAVTASAVQDGANNGVVASSAETNYSVKQTEDLPTHNQVAQHTSFSNNYSYQSQWQTNSFSNSMQPESATATLPDSFQSLGQHAISESFNSSTNSQVSFNTAETATSHYGNVNLDSSSTQGGYTASGGQQTGYKGFEPFTGHQAGYKGFEPSAGHQTSHKLFDPSAGNQNSYKPFEPSTGHHQHKGFEPSTNHQGDYKAFEPSTHNQGGYKGFSPSTVQQPGYKGFEASTGLQTNFKGFEPYSGQQAGYTGSLPSTGHQSSYMGFETSSNQGYGDANNVANSQGFAPMESMYGSHNQAHTNPQVPLSNSYLSADNSKNFSQQQFLGPNASHLQFGQSPHEERSSAGRPPHALVAFGFGGKLILMKETNSMATNYDSGNQGNSSGTISVLNLSEVVTDKADALSASNGSALSYFHALCRQPVPGPLVGGSAASKDVNKWLDDMIALYESSTSEFQRGDPRKLLISLLKILCHHYGKLRSPFASDPSHEDTDGPEMAVTKLFSSCKRSSFQMGDFGSHVRCMKNIPSENQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYVDTVKKMAHCHFLSGSPLRTLCLLIAGQPADVFNADNNISSNYGSQQPMEPSPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDPYSESARLCLLGADHLKCPRTFASPEAIQRTEIYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRVADSLRYCQASMKVLKASGRAPELESWKQLFSTLEDRIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPQGAVSDRESYTAPGATKFANSQSVMTMSSLMPSASVQSMSEMADSGRKIAHNRSVSEPDFGRTPKQGAGSDSTQSTAPGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPSKPSFQNGVVDYKLNGPMSASHTPNGFMEGKSSTSSEHGSGMPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGGAVPSYNKPAVASVTPPSGAKFFVPTAAVVAAEQMPNQTAETHGETFRPDERSSSPPAETSFSSPPPATQFSAPLMVPTIQRYPSMDNITTPNNGSGLSSGSNSGSFSRSRAASWSGTYSEQINAVAGARSPDQQTMPSPLMPGKQSHSRSNSNSSVQFNSLTEDLHEVEL >KN538967.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538967.1:179103:181335:1 gene:KN538967.1_FG012 transcript:KN538967.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDAEGASSGFFRPVADGGSTPTSHRRRLTKISVIGAGNVGMAIAQTILTRDMADEIALVDAVPDKLRGEMLDLQHAAAFLPRVRLVSDTDLAVTRGSDLAIVTAGARQIPGESRLNLLQRNVALFRKIVPALAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLQVNAQDVQAYMVGEHGDSSVAIWSSMSVAGMPVLKSLRESHQSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQHRIHPVSVLASGFHGIPQDHQVFLSLPARLGRAGVLGVAEMELTEEEARRLRRSAKTLWENCQLLDL >KN538967.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538967.1:38774:40667:1 gene:KN538967.1_FG013 transcript:KN538967.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGREKNPLFVEQFSSLVSKEEHVVVGCQSGKRSELACVDLLEAGFKNVKNMGGGYAAWLDNGFPINTPPHTMY >KN538967.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538967.1:25593:27032:1 gene:KN538967.1_FG014 transcript:KN538967.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRWYVQAVALLVVLKAAAGSAAAPTTTRSRSSSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIECGGGESPVTVRECNTNWFGGFSVRMEGTPEMNRCTARVVQATGHCGAAIPTAPRDLTLAFRMLGLALYTVPPLLSQPLRPMDFCPSPLISTSPSPPALALAPSPIPTPIIAPPPVSSPAPPLPPLWRRRPRRLPPIWRPTPPSLPVDTMPPPSPPPPPPQPQGSACTFDKWADVGLNGCNWKVVTPNTTVAMAFGPAAAQRYGPDMTLREALDGRGDMYRTLLREATAALLNAYYNPSGSGFLYPTTASVIDHINAALLTPTLHKLLLEGARFRRANSDSNLPCHFTPCN >KN538967.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538967.1:48348:50815:-1 gene:KN538967.1_FG015 transcript:KN538967.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >KN538967.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538967.1:67922:68416:-1 gene:KN538967.1_FG016 transcript:KN538967.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASSAAAGGIGIVEETCGQCSRSNPNVNYTLCVSSLSGYPAADLHGLALFSTMPLRSALATIASEARVLRDRASPGSPPRSCLDSCLEVFRDAAYNLGNAVAAIDSWRYGDAKTAMSATTDAPVTCEDEFKGQGMATPPTIKAKTKPLFQHGVISLAIISLL >KN538967.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538967.1:88201:89557:-1 gene:KN538967.1_FG017 transcript:KN538967.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNTVSSLPCSKAGAAVAGGAPRPSTCSVFYPPRCWSKRSGNGVRAQASTTETTAAPAAEVSTKVEKVSKKQVDGVVTNKYRPKEPYTGRCLLNTRITGDDAPGETWHMVFSTDGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFADSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHHDYKFNGLAWLFLGVPTSSTLLYREEFERMKEIAPERFRLDFAVSREQTNAAGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGIDWLDYKKQLKKSEQWNVEVY >KN538967.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538967.1:78564:87517:-1 gene:KN538967.1_FG018 transcript:KN538967.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDHCSVQTQRMNWVEFLKPVVAMLVFDTLFALMTALVKKALADGLNHVVFITLRQFVAAVLLAPIAYFKERNTRPRFTKEIFAYMFMSALLGGLCAQYLFFLGLSYTTATLTAAFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKVIGTLMSVGGATLLGLYKGAALTRTTSSVQEHSAKGITSSSSSISKERWMLGSVLLVLNCISFSLWMLLQGKLTKKYPAVFSSTAFMTSFSSMQAGVVALTTQRRLSVWLIRGNIQIIAVVFAGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQIFLGSAIGAALVIGGLYLLLWDAVRLGVEEQVALREGERAVTHNHKSTINPRTYQVIVQKGGDFIKSFAEECRTVMTMLVFDLISAVMTALVKKALEQGLNRLVLITLRQLVATLFLSPIAYFKERAGLSQYSFFYGLQYTTATYAITFANLSPVLTFLIAIALGVESLNMKTMAGGAKVLGTLTSMAGVLLLSLYKGVALTNHPSAAAAMDASASAGGGHGGSVMVKNNKQWTLGTVMLLGNCLCFSLWLLLQGKLTKKYPAIYSCTAIMFFISTLQGGALTLATQRLTASAWALTNKVEIVTVIYSVINQSIN >KN538967.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538967.1:141464:141922:-1 gene:KN538967.1_FG019 transcript:KN538967.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIPNCITNCSRTRIRIYTHKNACTLIHHIMHIFIICTSHHHRFHRSTKNTKKNKNLRLQGLTKLGKIDFGRGLLRVAGTCSGRRECLRHCCHHRRADAAAEPNIYGGIGSFTPNFPTGSGSGIERERARRKKRWGGKSFPIENTMSILC >KN538967.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538967.1:46035:47515:1 gene:KN538967.1_FG020 transcript:KN538967.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRSSLSMSRARTRHGDGDDRGWNQLHVASRKGDLNQVRRLLDDGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLIENGAFLPPDMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSTGETSLSSES >KN538967.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538967.1:27495:28431:-1 gene:KN538967.1_FG021 transcript:KN538967.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASAFVDDDDDDDRRRRIIGVSASHIVSLTSSTYGILDNILVSSAQSQSQSPTRIPPPPTPPPRPTTTTNPPCAAPPEKHLKKQGEAEVINSWELMAGLLDPATPQKPRRPTHHSPPAPPANSGVLLYTTTLRGVRATFEACNAVRAALHSHGVAFRERDISMDRGFREELRHRISLDHHDRAPLVPRLFVRGNHVGGAAEMSSF >KN538967.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538967.1:170916:176947:1 gene:KN538967.1_FG022 transcript:KN538967.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLRDALDYDRSALVRYFSAASASFPTKGSAAAGVEKRIGGARFPQCKQPGKELETFKVSLGGLNGRYACRRAPNNCIPTTITGLNGSLSCGQVSSARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDLEKFKDYKPSTSAAPAAPSEPKAQPEPAEPKVKETEPSRTPEPKAPKTEEASQPGGRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLASVAKGGKREALAAPGLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTIDARVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMSDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQVAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGTAEKRVIPGSVDGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPNSMLL >KN538967.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538967.1:53588:58769:1 gene:KN538967.1_FG023 transcript:KN538967.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREAAAAAVHHEGWMVRYGRRKIGRAFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITRYISIYMTRFNSQMGAYDIEDALAWKKNIELIIDQQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGLRIFEELLEFDYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDLNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGAGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPAAAIVPQDLTPPPPALADDDNAAASSSEDDHLSKKTN >KN538967.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538967.1:110719:112662:1 gene:KN538967.1_FG024 transcript:KN538967.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSNVATNGNVTKGSCCLLTLWVIYKLLQKIQIKKLAISIHRELAGGGNAMQAARARAGQGLQLCERGRDAQQ >KN538967.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538967.1:34490:36163:1 gene:KN538967.1_FG025 transcript:KN538967.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTTKRQQDQVETTTTTTKKIDEEVLDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVVGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGVVQVFFSQIPDFDQVWWLSILAALMSFTYSAVGLALGAAQVAQNRTFAGTAMGVAVGFVTKSGDVVTPAHKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYRPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDYDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYIAHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >AMDW01040921.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040921.1:181:4031:-1 gene:AMDW01040921.1_FG001 transcript:AMDW01040921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSIHLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAIEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRNVVRSRRNQKDAGDLSSRHELLVEIDSSFHPGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGND >KN540472.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540472.1:12000:14408:1 gene:KN540472.1_FG001 transcript:KN540472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRIAREESVVDLQSKLELTIKDQSFFLVLDDVWRSEAWTELLRIPLHAASTGIVLITTRDENIARDIAVNHTHRVDLMSADVGWELLWRSMDINDEKQVQNLRNIGIEIVHKCGGLPLAIRAIAIVLQKLDQTENEWRQILGKNIWSMHEISEEFKDVLYLSYDGLPPQLKQCFLYCAVYPENVIIHRDELTRMWVAEGFIDKQEGQLLEDTAEKLYYELIKRNLLLPDGQSFDHNKCKMHDLLRQLACHLSKEECFVGEQESLGNNIMCKLRRITIVTGEDILALPSRDKDQYKVRTYCTRWQKKPLAVDNSLFRKLMYLRVLNLSGSLISSIPSYIANLIHLRLLDLDDTSISHLPESIGNLQNLQILNLQRCRSLYSLPLAITRLCNLRRIGLYGTPINHIPKGIGRLQFLNDLEGFPIGGGCDGNKMQDGWNLEELAHLPHLRRLGMIQLVRGTTFSNTDSFLLTNKQHLKVLHLCCTELKYGVEDVSSDDHSEKDDGDEEEHSKENDSNDEAFSEEDAIKVENMFEQLIPPCNLEVLIIIKFIGRRFPTWLGTTHLSSVKMLNLTNCSTCKLLPPVGQLPNLRYLKIDGTTITKIGPEFVGCKVGNLGPIDAIAFPKLERLIIKNMPNWEEWSFVEEEEAAATTEEGREDGAAANQRGEASSPRSWLLPCLNKLHLVGCPKLRGLPKQLGQQATSLKELLIREASSLKTVEGLQSLSGFFSAEQCDGLERVSNLPHVRELHVKECLNLRHVEDLYNLEQIRLTEDMQEISSLWVPRLQDQRHQLHGDQLNINEWL >AMDW01039602.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039602.1:117:986:1 gene:AMDW01039602.1_FG001 transcript:AMDW01039602.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DIIDFFLKNMISENLGRICNAHVVHADLSEYGAMDEKCIHLAELAATAVDFPKTGKLAIMPPHLKPKVYPDFMGKEDGQSYKSEKILGRLYRSIQEASNGDVVSQEVCTPNDLPYDIDLEVPGASDFLASAWQCKCSYDAQLSALLSQYRVRTEAELVTGHITSLVKNSSKKQGDIKDRLKTAYSALRKEFKSTFESIASDQCEIGDDEKNLLYEMKASAWYQVTYHPKWVEKSRGILGPDGEEIPASLSFAWIPVDYLARIKLRCHGKVRVEGQKPVERLAAYISERI >KN540472.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540472.1:36783:39950:1 gene:KN540472.1_FG002 transcript:KN540472.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATILASLVGSCAKKLQEIITEEAIIIIGVKGELSELHDQMEQIKGFLTDAERRGIEDLSIHNWLSRLKDAMCDADNIIDLANIKGRKLLHDHASSSRKSTTRGGLSGLSSFSNIRVCREIGHKIKSLSRKIENIRNDKTFTTLKYTQPTDIDSAWELRKISSIVEPNLVGKEVVHACRKLVNLVLTHRAKKFYKLAIVGTGGIGKTTLAKKVYNDRKLQGSFNKHAWVCVSQDCSPVSLLREVLKAMEIRIGQEESAGELESKLESAIKGKSFFLVLDDVWKSRVWTDLLRIPLHAASAGIILVTTRDDNIAQDIAVNHIHRVNLMSDDIGWELLWRSMDINDEKQVQNLRDIGIEIVHKCGGLPLAIKVIAKVLQRRDQTENEWRQMLKENAWSVSKLPDELRGALYLSYDVLPPKLKQCFLYCAVYPEDVTIHRDDITRMWIAEGFIDKEGSLLVEHMADKYYKELILRNLLQPEGHSFDQHKCKMHDLLRQLACHLSKEECFVGDQESLGSNVLSKLQRITIVTGKDVLALPSMDKDQYKVRAYLTIWLEKPLVVDNSLFKKLTYVRVLDLSGSLIPSIPRHIANLIHLRLLDLDDTSISHLPESIGNLQNLQILNLQRCRSLYSLPLATTRLCNLRRLGVYGTPINHVPKGIGRLKFLNDLEGFPVGGGCDGNKMQDGWNLEELANLTQLRRLDMIKLVRGTPFSNTNSFLLRNKEQLKVLYLCCTELTYGVEDVSSDEESSEEDEDDGNDEEHSEVDDANEEYLEENASNDEAFSEEDASKVEKIFEQLTPPCNLEDLIIIKFIGRRFPTWLGTAHLSSLKMLNLINCNTCKLLPPIGQLPNLKYLKIDGTVVTKIGPEFVGCRVDNLGSTDVIAFPKLERLIIKDMPNWEAWSFVEDEEACAAAKEGSEDGAIVKQRSWLLPCLRELRLVECPKLRALPRELGQQATSLKELVIRDASSLKTVKDLPFLSDVLSFEECDGLAMVSNFPQVRELRVNECPNLKHVEELYSLEQIWLTEDMQELSSLWVPRLQEQRHQIHGDKLEVNEWL >AMDW01018534.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018534.1:51:257:1 gene:AMDW01018534.1_FG001 transcript:AMDW01018534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLRATDALLTAARHRVATPVNWTPGERVVIPPGVSDEEATARFPAGFETAQLPSNKCYLRFTHVD >KN543622.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543622.1:3528:5449:1 gene:KN543622.1_FG001 transcript:KN543622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKVDASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSSSPTLALVLAVLPFVLMVPLYHYQHKHPHNFVYLGLFTLCLSFSVGVACANTQGKIVLEALILTSAVVASLTAYTFWASKKGKEFGYLGPILFSALVLLVVISFIQASVFFPLGSGPVALFGGLGALVFSGFIIYDTENLIKRHTYDDYIWASVELYLDILNLFLYILNMIRSMQSDN >AMDW01008283.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008283.1:11:196:-1 gene:AMDW01008283.1_FG001 transcript:AMDW01008283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLQGTLDVGFITTANKLYTKTISAGDVFVFPRGLVHFQKNNGDTPAAVISAFNSQLPGT >KN542463.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542463.1:11533:11763:-1 gene:KN542463.1_FG001 transcript:KN542463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVAVAMFFVVMAMSASWSPATARPYAEQPSGAGGSADIALPPPTHWRGYRLLLPLEQKQWRPPCPYTNDPNTHC >KN539024.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539024.1:78128:82489:1 gene:KN539024.1_FG001 transcript:KN539024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVELVERLKDYGQEGAFALWDELAPEERDFLVRDIESLDLARIDRIVRCSLRSQGVPLPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQEMKSHISSFSSISDREQGLAVLILRDASSIFGVISYVLVYFQSSLILRFMVFFSSDFCSGIGLPSGKSLFQLQAERILCIQKLAAQSTDGTPQIHWYIMTSPFTDEATRKFFESHRYFGLEPDQVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQGTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >AMDW01122932.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01122932.1:9:278:-1 gene:AMDW01122932.1_FG001 transcript:AMDW01122932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TDGVLYRTISYIDRYLSVRPLEVVSHRLLGLLGATAVFVAAKYESDLPAERLSARDAANASGFSRSEVLDALGYRLGCPTAHTFADHFLA >AMDW01020332.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020332.1:22:255:-1 gene:AMDW01020332.1_FG001 transcript:AMDW01020332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASTALYFLSISLGNLLNSQLVTLVAKVTAEGGTAGWFPLDLDDGHLDYFFLLIVAITAVNFSVYVALAKNYTPKK >KN542463.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542463.1:14553:14792:-1 gene:KN542463.1_FG002 transcript:KN542463.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKRFVLPLMMAVLLLLVVSGSARPLGGDKWVGVATSGDHPVIQFLKHLYLQQLAGPGHSCGTNDPNNPSCASANHP >KN539024.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539024.1:49944:50693:-1 gene:KN539024.1_FG002 transcript:KN539024.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHSHKKANEGLIGDNPDAYAMTTYQPVLMVEPSAAAAFPPAPQVAPAYPVNPMELPEHQQHAIQQVQQLQQQQKEQLQAFWADQMAEVEQMTEFKLPNLPLARIKKIMKADEDVKMIAGEAPALFAKACEMFILDMTLRSWQHTEEGRRRTLQRSDVEAVIKKIDIFDFLVDIITDEQMKDDGMGSQAASMVSPYTSGGMGFSFDLYPNQHHLAYMWPPQEQQEQWPPLEQQEQKQKQDSDGGGQDE >KN539024.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539024.1:82898:87802:-1 gene:KN539024.1_FG003 transcript:KN539024.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 8 [Source:Projected from Arabidopsis thaliana (AT1G05310) UniProtKB/Swiss-Prot;Acc:O23038] MAEQVLDFSPKKSGKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTLEIVHHVTDMSKDVSISHELKDLVMFAIVKLLLPDKIISKILTAAVNAVPSFSKKRNVVWINKGIYYEKVTVPATKPNITFQGQGFDLTAISWNDTANSSHGTFYSGSVSVFATGFVAKNISFINVAPIPRPGDVGAQAVALRIGSDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRVISIADPVPAGVRTITGSVTAHARESVDDNTGYSFVNCSIGGTGRIWLGRAWRPYSTVVFAYTSMSDIIASEGWNDWNDPSRDQYASSLYSVSIVTCMTKRTVFYGEYRCTGDGANLSDRVPYAQKLSDVQVLPYLNTSFIDGDRWLKPYCDSLISA >KN539024.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539024.1:127899:129048:-1 gene:KN539024.1_FG004 transcript:KN539024.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKFVTPFRIYEDVVYLAEMEIGERQQKQYLLIDTGSSLVWTQCDECPHCHIGDVPPYGRSQSRTFQEVSCGDDDDNDKEEEAIASYCPAKPPGYITLCVNGRCMFKALYNLTGQGETVQGYMSMDTFHFIDDRRFDYQAGFWISGKKVPLVMRWGKYYLPLTAITYKYNELMSPVPIIAYKSQENYLHMMVDTGTSLLSLPTSLHDDLIKEMEAIIMSPNMMEGATRWPRHCYKRTMDEVKDITVTLSFDGGLDIELFTSALFVKTETINGSAVCLAVNRVDDSSKAILGMFAQTNINVGYDLLSREIAMDPIRCA >KN539024.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539024.1:44579:49038:1 gene:KN539024.1_FG005 transcript:KN539024.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKIKRWLEGHVYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNSSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVATTCFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFIVKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPIRGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >KN539024.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539024.1:114316:126776:1 gene:KN539024.1_FG006 transcript:KN539024.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MRQSTTRAMLLLCAVLMLAVAPPGAAAAAATAAVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQASFKAKATVIMATFFSLTAPALFGKERGGVDDAVEEERSREVALALLEAALEPPDDDLGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGITQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVLFDVNRHLKTPDMRVVKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFEDLPAYERFPLVCRYFMVSGLKGKGVKDLVQFLMEQAVRRPWDEEPTTMTEEEIPYVIDHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDIHLILQVRVAKKRSA >KN539024.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539024.1:343:6124:-1 gene:KN539024.1_FG007 transcript:KN539024.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MICRSLLLLRSNAASKAQEQQGVAVSKQGCVGVFGSFCSLRKASSIVKHVAATGCLPEYSSEAPARYFSSESSLQVDSTEENGFKGHGMLAPFTAGWQSTDLHPLVIDKSEGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKIFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLVSASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKERNIIEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPDEVEEIISKYGDALKATEERIAELKAKRDMASIYEKTSQ >KN539024.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539024.1:54469:59506:1 gene:KN539024.1_FG008 transcript:KN539024.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLASSPAAAAAKSRRVLSLSSSSSAGRAASVRAMAAAEAGASTATQAKSSGVKQALISLSDKTDLAYLGNGLQALGFSIISTGGTASSLEAAGVNVTKVEQITNFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYNKVTSGVISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHEDYPALLEYLQGKQDDQQFRKMLAWKAFQHVASYDSAVSEWLWKQSNKDIITLSCSHVGDVFPPNFTMPLSLKSTLRYGENPHQKAAFYGDKSLSVVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITFTTVSERAPQDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGVIAEPSGSMRDGDAVDCCNKYGVSLLFTGVRHFRH >KN539024.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539024.1:63501:69307:1 gene:KN539024.1_FG009 transcript:KN539024.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRSGLVRLNNIIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNANVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRRNATLDSNEGSCSKTRSGLARGDGIVGLNQGSSSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPLMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENRDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPQQYPMPNIIATSNIVPLASWFYFEVEYPPKKYVQHMLHYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPISVNLVNEVQSTKENPTDKSRLNQAGISTEMMSVQERADNQLLSSQADTGNHICVMEGDTTDSSQLRQADTVNQILADQENAVSQLQSSHTDSFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQGNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADALFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQVNGENTANHVQSRQADTVNHIQLNQDNTVNQFQLRRADTVNRIQTMQDSTADQPHLIQALTVNQIHANRENVVNHFQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIHANGENTANYLQPNYDENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTRNFTQVDHASLPAPNPSDKLYNGGWFPPK >KN542220.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542220.1:10624:17891:1 gene:KN542220.1_FG001 transcript:KN542220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTAGGAQGIVLGVGQLLGEEYRLLRGVGGEVAQLRDELATMNAILRMQSEAGEGSVDHFVREWMRQVREVAYDAEDCVDLYVCSCRLVGIEGQANTLADKLKAVDESSNLKVFSIVGFGGLGKTTLAMEVCRKLESVFQRQAMVSVSQAFDSSKDLQVLLKRILVQVVTPKRSHENSINEEQSTGDIDRMDVSTLFQKLEGSLTGMRYLIVIDDVWTTSAWNAIRTKLPENNCGSIIMVTTRIETVAKASSSPSVSGDYMYKIKPLNVEDAEKLFINRAFGSKEPCCPKELNKEMDTIVSIAGLLSSYRSPSPGSIRMWRRISNSIGSQMEIHPTLEGMKQIIALSYNHLPHHLKACMLYLSIFPEDYVIKKERLLLRWIAEGFVMEKRGLTLFEVAESYYDELVSRSLIDAARVRLNGAVKAVKVHDMMLEVIVSKSLEENFVSFVGAQYGGGTPSYDSVRRLAIHGDGGPKHVVDLMSATHVRSFSTFGRQGNIAVLNRLAEFTLLKVLDLEDCKEVKDCHVKNICRLFLLRFLSLRNTNVSTIPRQISRLQHLQTLNLYGTHIRELPTSVTMLERLEYLFFSEWWSIRCWEIPVGLKKMMALRTLRTIRLPNDPNVVKEIGALAQLQSLDITILNSSEEIRRWEIPVGLERMMALRLLRTVRLPNDPNVVKEIGALSQLQTLDITIANSNEEVLVNLADALDKTNNLRSLYAYGTGKEDEHKDRVLNFLLRLKTPPLLLEAVRINGVMDELPKWFNSLVHLVKIEISTVSLTGDQLLGVLCELPNLANVSLAYGSCTDDELLVRSDFKFPALKYFYFYVDPCTTPRAIRFEEPAMEKVETFSVFSRDNGGTGRPILAGIENLTSLKKLQVFSQSKNAEIEILERLKVESARHQNKFKVAVKYFR >KN542044.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542044.1:1495:4107:1 gene:KN542044.1_FG001 transcript:KN542044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAISLRLGPRVAGTPPPPASATKGEGRGGGRPTGNRALAFGLGWDVDWLVRASAGGRLELWICGCSGRVSKKPNKEHHLWIRKDSAGSGKKALHLVNTVSKLPNEKEAVYGALDKWTAFEPEFPTIAAAKALEMLKRRRQWLRIIQVAKWLMSKGQVLTWTTYDTLLLALFMDGRIDEAESIWNNIIQTHTRSVPKRLFSRMILIYDTRHCPDKVLEVIYADMEELGVHPDEDTTRRIGRAFVTLGQEDKEKIVLEKYLKKYKYIHFNGERVRVRRGGPLA >KN542044.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542044.1:10485:13384:1 gene:KN542044.1_FG002 transcript:KN542044.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKSVGVRELDGGIERGVAPVRPASHEGRVGEARGVEVQERPRVGDVPERPRVGDVLPERPKLKLLPRSKPIEASEPSPVYVEEKQVHQVPVVANTVQVDVIHDVHQNVVAPKAGVAGADTEGRAVERPRLNLKPRSNAVGQSDESAPKESSYLTKGHNTCLHSLPIMVLRERGIDALASDIDKTSPVGRSKSEFAKGEQKVEAMSINPSGEKAESFPAGSRGPRNAEKKDYRRDTDRSDVYRPTRREDNRRVARDVEKPEQQRPEPETWRKPVEPPKPEVAAPRFGKGASALELAQAFSKSMSDTVPQSRLTSVPSPKVPQSPGTRDQVGFSRLTDNRALHSSPSQRKINGY >KN542044.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542044.1:5975:8761:1 gene:KN542044.1_FG003 transcript:KN542044.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQKRLMFDDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVVPNPDEVADVKYVSREQLKELIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLSEAVDMETIHKLK >AMDW01006590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006590.1:1:211:1 gene:AMDW01006590.1_FG001 transcript:AMDW01006590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTSVWWDIENCQVPRACDPNLIAQNMSSALAAAGYTGPVSISAYGNIGSIGNAVTHALSSTGISLNHVPA >AMDW01044717.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044717.1:120:305:1 gene:AMDW01044717.1_FG001 transcript:AMDW01044717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMELGILLIPLTLVFVPCRRIVLFLKRLQEFHRSITHPSFTSADMLSRFSSFNSMALML >KN538735.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538735.1:242154:242594:-1 gene:KN538735.1_FG045 transcript:KN538735.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGNTDRHVGTGECMLKRDGGQLGSLTDEVDDEAAVAGPAGGAAEEGPPVRRRAVGAAGLPAGRQSDVVAGVRQRVAEAEDARVAADRRPAPEAAGHYESSAVQRRNRRGETETASHERHGRSTHTTNEAAASTLLTVRSSGFG >KN538735.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538735.1:333432:334717:-1 gene:KN538735.1_FG046 transcript:KN538735.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFKVLFGPAAVFLSALVVLSCFKSVPYLSRMSSSELPSYMSYFESPISKCDIFQGEWVPDESSPQYTNLTCSYIQEHQNCMMYGRPDLEFLKWRWKPAGCDLPRFDPDKFLRLVGNKTLAFVGDSLARNHMQSLLCLLSKVATPKDVSVTGKTDPDKILYYEGYNFTVHIFWSPFLVRTEESAESPGVFKLYLDEPDCKWFARVARFDYVIFSGANWFTRPSLFYENGRLVGGSYVALNITSDLTLRHSHRMAFRTALRAINDIRFRGKAIVRTLSPMSHFEGGAWDKGGDCRRTRPYRGNETAMGGMDLEFYTSQVEEFREAQREAAANGVDMVLMDPTAAMLLRPDGHPSRYGHWPDEKRVLYNDCIHWCLPGPVDAWNDMLLHMLSD >KN538735.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538735.1:220578:226519:1 gene:KN538735.1_FG047 transcript:KN538735.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIRRHGGRRAVSPSSSAAAAASFVLLLCAVVLLNTHVALCGCYKRIFSFGDSIIDTGNFVYLTGNCPSQFKELPYGMTYFNRPSGRICDGRVLVDFYAQALNLSLLPPSIPEEGSGQFENGANFAVLASTALGPDYFKTKYNFSLPVPYCLDSQLASFKKVLGRIAPGVDATKSLLGESLIVMGEIGGNDYNFWFTARQPRETARQYLPDVIGRIGAAVQEVINLGAKTVLVPGNFPFGCAPEYLQGFQSSNTSDYDATGCIAWFNDFSRQHNQALVQEVARLRSQNPGVTLIYADYYGAALEFFKSPKNYGIGDPLLECCGGDGPYHTGMTCNKTAKVWGSPANFASWDGVHMTEKAYSIIADGLTSNANNNNLITQRTHSFLRSPEYNICLEAEQQQPLSDMGSFSHQKHSISVYLVLVSAVLLLNSTLGLCGCYRRIFSFGDSIIDSGNFVHIAGDHPCPFKEPPFGMTYFKHPSGRISDGRVVIDFYAQALQLPFIPPSLPEKDRGQFPHGANFAVLASTALPPEYFRRRNHTVPMPFSLATQLEWFKQTLQRIAPGDAARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISTTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDDFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRNPRRFGINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >KN538735.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538735.1:303162:305176:-1 gene:KN538735.1_FG049 transcript:KN538735.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPRAVAERWRKLHGEDHWKGLLDPLDADLRRSVIGYGELAQATNDAFIREAWSPHAGACRYSRDRFLEKAQASTQVAGLYEVTAFFYATAGAGGVPVPFMARPAAAAPGRAWNRESNWMGQRIRRVCDPVIQRGTCFSRFLIGFLISDEIKRLMDKYKDEETSITVVGHSLGAAVATLNAADIVSNGLNQHGACPVTAVAFACPRVGDSGFRKLFDELPGLRLLRVCNSPDVVPKYPPMGYADVGVELPVDTGRSPYLKSPGNQAVWHSLECYMHGVAGAQGKRGGFKLEVDRDVALVNKNVDALKEEYHVPPSWSVQRDKGMVRGADGHWKLMDYEGEESSHDK >KN538735.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538735.1:168757:173971:1 gene:KN538735.1_FG050 transcript:KN538735.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding VWRTGGGEIIEGWTDPISAPDDSYSCIACCSVQKKHKKDGNLILVAVGTTNGQVLVLDSTGVIWKSAPHTGKVVSLHFARHGRVLYTAGMDGIICELNSRTGESKDTIKATKKPINSFTLSHDEKFMGVSSKITRLFSVSEKKEILRIPSDVGPVQLMSVSDDGRFLVSHVDNNKEVQVWSCDQDSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLAVSKKGVAHVWHLQTLSQNEVLPTKISVKNSLDKKGRIPIISAKLCDNNEDNTVKVHVVFGSPNVLQFKVVELDDTCKDINLVAEYDELAKQDTVSPQERNLEQEAKANSKDAEPVQGKAKKRTSSVLDSTNDTTKEVNPEYNLDEPTMEEKLASLNLLNKSEVTEEQPPSLAPPSADSVHVLLKQALRADDHTELLKCLYNRDEKVIVKSVSLLTPADVVKLLKFFVLLIQSRGAKLVCMLPWLQALLCRHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYLFSGVSDGETDEEDAVPPIIYEDKDTDDEESEVDAMETDEESQELGDVTDASEHSDGSDIMTD >KN538735.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538735.1:311026:314491:1 gene:KN538735.1_FG051 transcript:KN538735.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSFTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHSAIEVCAQLRPTVDISVPADADFVRPELRQSS >KN545206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545206.1:401:1936:-1 gene:KN545206.1_FG001 transcript:KN545206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLVEYRNKDADHVEWAKALKELYMPGLRDFVKKHYPLGPSWGPVGGAPVSQPKATAPAPKAPGAKAPPPPALPSAPLFTTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAAPPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFK >KN538735.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538735.1:299307:302384:-1 gene:KN538735.1_FG052 transcript:KN538735.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLGDGVGAVLRVQVSQVLYPVTGEVLHQVYNTYGAVAVQVLTMSPWGVEASVWFRSSCDAERARSVTHGRNIYDGCCLLDVQHAQSFKGNGADMMPTKCSTPVPSCGITKSDAESTPTTLEHVFLTTMNPSTPSAASAAAVPPVSLTATKEDEVDMGKVEDKSDKTFHDLCVEIKEMINQMLETCRNSKVEPIAGDDSTGVAVVPCSVTNSVPIALEASQEIVADESDGNNLAREEDCVEKTAEGPGFGEHLTFCLSPKVDIPILDLIANQGMSRFIHKVDLEPWPNPGPCQGSGGVVVKISRYGPPHPRANYKDVCAKQQLEPWADLWLNHGNEGVVVKLLQPWPPPIQAEAKVEVGVLLLFGESHKISLDYRFTKFMSRTIIVSAGLLQILVPGWCIGYALHLSSTFWNSYQHMQLLAYGWSFEDHVLFLPLMLVFWPIHDTWSECLLGYTNEDHNVQKVLVCDKNLQGVLIPTELKIPWPPPNLTVQKYGVLAKILLPTGLIKLKVLDNILRAQQWYCSSLGESLEDSTNGFIKLQSVELVQYKVDFASSSLLDIVVLQGDDSLPFLLPGREVLEYQEFVTHVKMTEQSVSKGETDVPKFCVLKFSLDKFSNYSVGDTMIAVLLTQTCVQLVPSYNQSLSGSKNHYKLLMAQHMSVIANYEMNWWKSELGGGKISLCASWNFWDLCFCCKQMSTEIMAVGLSGVKVWLLFAISELWLQRKQLKLALLEEHIKVLCNSTMLFFLQATIQAWLQDYLRSILHNALTYTSDQEKYDASNVTMQGSTEERSIAEAQDELRSKAHTTTKDESSRQRGRQTDGSGIRQVRQNSKPATTTGADLA >KN538735.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538735.1:252700:262950:1 gene:KN538735.1_FG053 transcript:KN538735.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTVLLVPACAWYARSACRRLRPGLPRLAALAPTFPVFVYLPCLFNSLHFRLFSTFFHTWLSINKLVLLALGIGPLHPSLPLVPFVLCASLPIKLRLSQQPLAAKRSPSSPPPPPLADFLRPCARSFFFLSCLFVAYPHTGWLPVYAVHFLYCVQVFLTLDLVLSSVALASATVLGAGLERQFSTPLAVVSLNDFWGRQWNLMAVDLLRASAYEPGAGDGAGFSLVVDRDLALVNKEVDALRDEYQVPAAWWVEKNKGMVQNASGRWVLQDHEEGNLAM >KN538735.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538735.1:231405:233326:1 gene:KN538735.1_FG056 transcript:KN538735.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRGLGNMARRWRELNGVSYWKGLLDPLDVDLRNNIINYGELSQAAYTGLNKERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDAFMIKSWSKAAWSKQSNWMGFVAVATDEEIVRPGSADDPCVHGGWLSVYTSADPESQYNKKSARYQVLNEIKRLQDMYEHEETSITITGHSLGAALATINATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRIRNSPDVVPNWPKLGYSDAGTELMIDTGESSYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFKLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDD >KN538735.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538735.1:247290:252059:1 gene:KN538735.1_FG057 transcript:KN538735.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRGGFGFSPAVAALYCAALVVAGGLGSRPAVGCYSRIFSFGDSLTDTGNYVRLTAGRKPSSPYGAPPYGRTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQVGAGPADFPHGANFAIISSTANNASFFARKGLDITPFSLDTQMFWFRTHLQQLSGGGGNILSDALVALGEIGGNDYNFAFNKGVPRETVRAFVPAVVDKLAAAVEELIGMGARAFVVPGNLPFGCAPLYLNRFRGADASEYDARTGCLAWFNKFAEYHNRVLTARLDDLRRLHPDVTIVYADWYGAMTSIFQAPGKLGFTNALGSCCGNQSVPCGKAGCTVCEDPSTYVSWDGTHPTEAVYKLIADGVLHGPHASPVPLAKTCPPT >KN538735.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538735.1:322599:323971:-1 gene:KN538735.1_FG058 transcript:KN538735.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFVLRFLFGPVPVYFSALAILILLTNAQYFGLVGVTVPRATKLASSAPVVSVMKYCDIFRGEWVPDADAPYYNHKTCYMIQEHQNCLKYGRPDLEFLKWRGGRPSGCELPRFDPVQFLQFNRHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDMSANPTTQNKVYHYRGYNFTVSMFWSPFLVKAREPDHDGPAHTGHWSLYLDEPDQSWVSEISRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLYYSQRRAWRVALKAINDLESLRGRVIVRMLSPMSHFENGTWDQGGNCKRTQPLRSNETVMEGRDLHFYTAQMEEYRAAEKVAEAKGRRMMLMDATAAMLMRPDGHPSRYGHLPNQKVQLYNDCIHWCLPGPIDIWNDMLFQMLLV >KN538735.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538735.1:283701:288106:1 gene:KN538735.1_FG059 transcript:KN538735.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKASKSPAHVQPARAPPEVADLLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKDTAARLESENMRLCAELDAAVLEVTSRKQRIVHMEKEMAELKKQQEAAAADADDCSSTASVSHEQPESASSAANPASLVQRGPPIPPPPPPVPPAAFKSKSYSASSRVSLPSTSAPSPSSSTSTSPTYSCSSSDTVTTPRNRKPELSKLPPIPPPPPMPALSVCGRAAAPPPPPPPPPARRTSGAASPAASGPRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAATRDMIGEIENRSAHLLADASLVAVLTGTAACAESGEYVSDWHRGARCKRSECGIQSVIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDNELSRLVDERAVLKHFEWPENKADALREAAFGYCDLKKLEVEASSFRDDARQPCSTALKKMQALFEKLEHGVYNLARFRDGATGRYSRFQIPCEWMQPDTGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHLRRQQKLVARA >KN538735.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538735.1:288808:292419:-1 gene:KN538735.1_FG060 transcript:KN538735.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGSWVYDDSLPMYDTAGCPFVEAEFDCQKYGRPDKLYLKYRWRPSSCELPRFNGLDFLRKWRGKKILFVGDSISLNQWESLACMLHAAAPSSRTTYSRGTPFSTVTFQDYGVSVAYYRSTYLVDIVDESIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDYVQDGGQVMKDMDRLSAFSKGMSTWARWVDSNVDTSKTRVYFQGISPTHYNGADWGEGSRSCGQQTQPVAGSAYPAGPVPAQSAVRSAIAGMSKPVFLLDITLLSQLRRDGHPSGYSGGHPGNDCSHWCLAGVPDAWNQILYASLLA >KN538735.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538735.1:228078:229589:1 gene:KN538735.1_FG061 transcript:KN538735.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGFVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATVRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAIKYLSKCTGRIVILRDNRRFHRFEDGAFWTIFLQEIDLYRFSGCFTKNICVLDNAVFHGCMVLRLDYSRCALDPLKGSMVCRIVR >KN538735.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538735.1:234948:239695:1 gene:KN538735.1_FG063 transcript:KN538735.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWRELHGSGHWDGLLDPLDVDLRRCLITYGEMIMATYEAFIGEHRSPNAGMCSPIQILKKKLVKGQTEVERLKRGSRRSAIRVDTRERLQRDQVLTEIGRLMDKYKDEETSITVIGHSLGATLATLNAADIAANSYNTESQSHDLKCHLRGVTGWHGDHRGFELVVDRDVALVNKFDDCLADEYPVPVRWKVHHNKSMVKGPDGRWVLQDHEPDDDDDDDSS >KN538735.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538735.1:318923:319333:1 gene:KN538735.1_FG064 transcript:KN538735.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHDEGMKMATALLEEFGLPLGLLPLAEVIEVGFVRATGYMWIAQRKKVEHQFKMVSKQVSYDVEITGYLKAKCIKKLKGVKAKELMLWPPVNEITVDNPPTGKIHFKSLAGVTKTFPVEAFAAGQ >KN538770.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538770.1:202930:205167:-1 gene:KN538770.1_FG001 transcript:KN538770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVQLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPKAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >AMDW01023952.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023952.1:5:327:-1 gene:AMDW01023952.1_FG001 transcript:AMDW01023952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGLSTPTSSVDEAMQ >AMDW01028542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028542.1:11:370:-1 gene:AMDW01028542.1_FG001 transcript:AMDW01028542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLGDLNYRVSLSYDETRTLLEDNDWDALLEKDQLLIEREAGRVFRGWNEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPA >KN538770.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538770.1:247225:253515:-1 gene:KN538770.1_FG002 transcript:KN538770.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRYQISVPMFWDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDCGWMMMIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKRAWMKMSLFKEVS >KN538770.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538770.1:156592:162824:-1 gene:KN538770.1_FG003 transcript:KN538770.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPGYGSHDVGGIEILLKPSTFLDFGSICIDLSRQPCSHFLHHDNLHSASSPSLDPTPSPLPRLSDALPGTAGARGRGIPAIEIMKLCVWYLPANSRSRGVPAKTIIVYGIPKSFLSTFMGLHCCQFGLKNAHPRSLAQQTFWAGSRMGPSYTLEEVDQKTREFRKAMTTPRPVAEDASEFEKFQAMVYSTAMKAPVAAIEFYMDVRHTIEDHIRGFAEPTSDKLLPDLDPLNQHIFTLVLDLNETLVYSDWLRERGWRTFKRPGVDAFIEHMGKFYEVVVYSDQMPMYVDPVLERLDTKGFITGRLSRPATKYQDGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVQIKPWKLETDDTQLLDLIPFLEYVAMVRPSDIRTVLASYQGRDVAAEFIERSKEHQR >KN538770.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538770.1:212331:215265:1 gene:KN538770.1_FG004 transcript:KN538770.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDLKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPAEEKVIQPSSIENKAGKPEISSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIESKIHAETDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDDDDDGNKGIAK >KN538770.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538770.1:229420:234131:1 gene:KN538770.1_FG005 transcript:KN538770.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAYKYKAELLVKDYLLADSYVPYASVLGGILMCKLSYDFTRFISSFYFKGYGSLTKMQKVEWNNRGMSTVHAIFITLMSAYLVFFSGLFSEQQDGPVTFRSSSLSNFTLGVSVGYFIADLAMILWFYPSLGGMEYLVHHVLSLTAVTYTMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFLTWLVARIILFMYLFYQIFLHYDQIKQMETFGYLLILCGFQQRKQKVFQVYLDTGSDLTWVPCGTNSSYQCLECGNEHSTSKPIPSFSPSQSSSNMKEVCGSHFCVDIHSSDNSHDPCAAAGCAIPSFMSGLCTRPCPPFSYTYGGGALVLGSLAKDIVTLHGSIFSIAILLDVPGFCFGCVGFRFARNPNFTSSLIMGDLALSAKDDFLFTPMLKSITNPNFYYIGLEGVSIGDGAAIAAPPSLSSIDSEGNGGMIVDTGTTYTHLPDPFYTTILSSLASVIPYDRSYDLEMRTGFDLCFKIPCTHTPCTQDELPLINFHFLGDVKLTLPKDSCYYAVTAPKNSVVIISL >KN538770.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538770.1:146104:153319:-1 gene:KN538770.1_FG006 transcript:KN538770.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQPAGEALAANISAMSRPEMYDLMSQMKVMIDHDQERVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSDKAQPAAVQATPSSSVKPTVQDHASFPQPQLPSSQQNIQPSGPFSSGPSNPASSLDLPAMSANPQQSAQAKGYPIHQMPPTSTTQTSQHQSATLPPHVSSQYSNVPSHMPIVHSQPQQPLQNPGMFNQQLQPPLPQLPRPPNMQPFVHQMQPQVPSSFGLSHTNAPQHMLQQSMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQITRVLALEVSCRYKYCVQCLVPTAMMGSSHAASHYNTQSMQMDRSTPWGRGNAEASSAGTHFPGHLPGLPGQMTQGIGGIHSARPEAPQVSISFLLRYRLIAYFCHENPSIFATCASHGVGGYSYLLEPLWWVGMITMLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLVVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSL >KN538770.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538770.1:259219:264641:1 gene:KN538770.1_FG007 transcript:KN538770.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCSRVYHHHPVSPSTMQRSLARPSIHAGSASLSFRARPNSVSTVRCDADSPPEGSAVAGWAPPGPYTGRDPAARKPAWLRQRAAQGEKYARLRESLGELNLNTVCVEAQCPNIGECWNGGGGAGGDGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLRTAVAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVKALKELKPGILVECLTSDFRGDLEAVSSLASSGLDVFAHNIETIRSEFSCSETCEKLQRWYGETDEEVKQTMCDLRAIDVDILTLGQYLQPTERHLRVREYVTPEKFDFWKEYGESLGFLYVASGPLVRSSYRAGELFVQNLVRHLAVTLLKGADWFAILNYTITVVFPVAGVEDAVVGFVTGKRKATELAHAVWRSIVRKGDTVVDATCGNGNDTFAMLKMVADERVQGRVYGLDIQESAIASTSSFLKMAVNSHELELVKLFTICHSRMEEVIPKDFPVRLVAFNLGYLPGGDKTIITVPKTTELALQAASRIVSSGGLISVLVYIGHPGGRDELDVVESFASSLPIDIWMSCKFEMLNRPTAPVLILLYKK >KN538770.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538770.1:217779:221082:-1 gene:KN538770.1_FG008 transcript:KN538770.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGGGGVGNGGGTPVVVKMENPNWSISEVEAAEVAPGSPAGAGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAMLAVAASIDRLVLCLGCFWIRFKGIKPVPQAAAAGKPDVEAGAGDYPMVLVQMPMCNEREVYQQSIGAVCNLDWPKSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >KN538770.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538770.1:187612:187922:-1 gene:KN538770.1_FG009 transcript:KN538770.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAIDYACWRGADCTQIMQSGACYQPSTIVAHCSYATNSYFQKNSPIGATCDFGGVATLTNTDPSSGTCKYPATARLMDYG >KN538770.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538770.1:140785:141446:1 gene:KN538770.1_FG010 transcript:KN538770.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPPLCEREEGEIADDHGAAMDIDIDIPRGGAISPLVVNKAQSSPLAKNDDDDDEDELVDISGEASPVAIENFPEATKSISPSSSDEPSLGNYSGDDDDDAKEKQRLRREVERRAAREALEAMARAARPIRDDIAAMDMMQLGLFETQYIVSTEKSHDSLRRGGGGLLQQLGFFLKPDYS >KN538770.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538770.1:236575:245882:-1 gene:KN538770.1_FG011 transcript:KN538770.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQEEALEISSLRRIIAAYANYHDAAERDVKRYERSFKRLPPAHKELLFNLGLKYQRLRWCISMNASFIMDMLEAFEPPFDMSQHVDMDGHDCAENMHGHCHADCAHSVDRGDCFRSSISVSNSELHEPDGCPRKDDKTHELSRETDNKDEVVDMESCSQPVGDKLGASQVEDKSCNGDKAMDAAANCQDTDCVACSADENVRCIIRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKERFCEPGKRVLVLHDDLFKFHSKPVARKKPMSGLYILGYTATAILFQTMINFALFHFLISTPQASWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVEKMIDTTYTANTKSMMQAHIVFNCCIVNSTESVPRSILDDEEECISIKSSKTPVREKLFQFDVYQLANGSTKLRICDSNFAYERLY >KN538770.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538770.1:266384:275153:-1 gene:KN538770.1_FG012 transcript:KN538770.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGSLEKMGRELKCPICLSLLSSAVSISCNHVFCNDCLTESMKSTSSCPVCKVPFLRREMRPAPHMDNLVSIFKSMEAAAGTNVVSTQEAPAVKLADGSDSVNSRKNSKRSQKSFTRKRKVTSEMGKNTAKDATASASQPTTKPSFSTNKRIQVRPFPESETPIRAEKIMKPEEPKNNLNNDVEGKNKAVASDQPGSPSLSPFFWLREQEEEQEGCTAETLSETQSLDTPLRHNAPSFSDIKDSDDEIPLNTTPHSKAAATELFDSEIFEWTQRPCSPELYSTPLKKQSKAKSKLDQIEEKGDEEDMHIGGSFDKLGNASNAAQLVNTKATKQKRKKTSPSNNKNSAKLSNRAEPCIKKSDANQQGSNRRKSAALKSCQKSSSAVGRNTSGRRNRASRNSKPIHGSSDNPPESYLPKEGLDVEAPDKPLSERTQNLEKNNRRKGSARKLEMAGKTMADTSEKNSEPRSKRVRRMSDDAIAKPVEVPSGSGNETEIPQLHTLAKGSIQRKSSNARRQSKVCGEQESKNELENTISNTATMAPIILHGKCQNKEAVCTAPSVRTASVKYKQAKFSEQPDCFGTENFGNLQACPARNVLLKKCEVSTLKVSCAFCQTDVITEESGEMVHYQNGKQVPAEFNGGANVVHSHKNCLEWAPDVYFEDDSAFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSSKLPNENSEQQKQPKRKTTLEGIIGFSRPSQIGSNQDCGNNWKWPSGSPQKWVLCCSSLSSSEKELVSEFAKLAGVPISATWSPNVTHVIASTDLSGACKRTLKFLMAILNGRWIVSIDWVKTCMEFMEPIDEHKFEVTTDVHGITDGPRLGRCRVIDRQPKLFDSMRFYLHGDYTKSYRGYLQDLVVAAGGIVLQRKPVSRDQQKLLDDSSDLLIVYSFENQDRAKSKAETKAADRRQADAQALACASGGRVEKESYPSPPPPQLNQLPIKTEAKFLGLGESERREEHGAGSCREGPGGAHHAPPPPPPPRRQALKHGAATNLAFSPLSLHAALTLLASGAAGATRDQIVAFLGPAGADAHTALASKEASAGVLACRRNAGCSNPEVRSAMAVWVDASLRLNPAFADTAASVFKAPVRSAANPAAASAEINEWFSSQTGGFVKDILSTNSDDDDGGGAISASVFLASSLYFNAYWDHPFFPHLTEEGVFHVSPDHDVRVPFMAGSHQHAFMDVGCHQGFNVLRMMYRTGGAAGGDKMFAMYIYLPDDRDGLPELARKLASNPAAFLRRTIVPAQPVAVGELKIPKFEVSLKVEASRLLRDLGLDLPFLPAADNSFSGMLLDPPQGTAVSSLLHQCFVNANEEGTVAAAGTVGEIMGFAMPDDQIVDFVADHPFLFFIVEEVSGLVVFAGQVINPLLH >KN538770.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538770.1:194068:197132:1 gene:KN538770.1_FG013 transcript:KN538770.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLYLTFALSSVIGFGIFTKIVLSFVNLAADNLIGIHRHFVIYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFTQGEEFSLPETLPGEMRKDEWNSDLYPWYNRSSQKDSDKKPSSKEEEEEEEEDDDEDAPASPPPPPRRARAPPSPARERAARTIQAHFRRFLARRSRTLRHLKELAVLRSKAAALRGSLSGRGKVGDPAAIFEAAMALLFHLDSIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHGKMAMNGELDCNDYHEGCNAAFAANPSAMNKKKVSFCGNGKVQELHDEAEQEHGSDADESSETSSSAEAEARKRLNSKRGAHAKPGLAAPMPVYMEPRRIDEERR >KN541570.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541570.1:6987:9350:1 gene:KN541570.1_FG001 transcript:KN541570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWAMLAAAIGLVVIATSCMLFEFAKNHRGLYHNSVPSAAKFYASSGDEDELLWAVAWLYIATGGEEEYNAYIAGATNVGGVRSMFSWDDKFVGAQALLVLQGKLPADGSHAEMKTNLEQFICNLVQHSGGNGGGGGGARLSPGGMLWWDSWNNMQYVTLASLVLAVHADHLTAARSASLQCGGGGASLSPAQLTAFARSQVDYILGANPEAMSYMVDYILGANPEAMSYMVGYGSRYPAEVHHRAASLPSIKSSPAKVTCKGGFDYLNKGSPDPNVIAGAIVGGPDADDRYDDSRQNFRQAEPSTVTVAPIVGVLARLLPS >KN541570.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541570.1:15113:18728:-1 gene:KN541570.1_FG002 transcript:KN541570.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMAGKLPQALGELPTLNDWENHLTTIFPEVCPSICIARSSWHVDTVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >KN541570.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541570.1:9648:11200:-1 gene:KN541570.1_FG003 transcript:KN541570.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetylornithine aminotransferase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G80600) UniProtKB/Swiss-Prot;Acc:Q9M8M7] MAAGIAVNALGHADPDWVAAVSAQAATLVHASNVQYTVPQVALAKRLVEASFADRVFFANTGTEANEAAIKFARKYQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIRSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEAYGVLPDIMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALSTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRGVIVLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDASTS >AMDW01035465.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035465.1:272:556:-1 gene:AMDW01035465.1_FG001 transcript:AMDW01035465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METIYDVTVYRMDFLKQQWCVAEDIGGRAFLIASCYFGASCSADEYGLEKDCVYAIFARDKYFEVSKVEDGETEEHELIEAPDSKGGTWILPVE >AMDW01037395.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037395.1:175:564:-1 gene:AMDW01037395.1_FG001 transcript:AMDW01037395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEKEKMLKAKTVETTAQVVGSGNGSLQETLASENSANGSAIKQSQEPESTTSEKSGQQSQ >KN539593.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539593.1:28816:30190:1 gene:KN539593.1_FG001 transcript:KN539593.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGAGCRWSSVAAAPSPPPRMSPSVSRAAMHQVTDLGAVPEPVRSNSNHLWVGRKSSWPSMQRPQMLASSCMRMPWPQHEHGCHEHSNGCRSGHLGFLGDGAEAEARRRVLGGSGNGGDEATELLLGSALGPGGGREPRGLVPPQRRPRLGDAMPELEDGLLEAVRVRAAEEEVAGGGGAMERVPGCRRHR >KN539593.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539593.1:67168:67890:1 gene:KN539593.1_FG002 transcript:KN539593.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLMEVLDIMFTVIAIGIIVVIMGTFIVPDLPYDLMFSIFAGFWACCSMAVKRSNFWYQRTGSLDKMFSPMKIHSYEIATLSLLPLHILLFYKKPDGQVQSFVDMLRIRMVPFFLVGLAITGYGMVVFFAGGSPSVFFLGDFGVYLIIIGVTVIFFGLLCAQARSNAKVVSQAGVSLIVYILVLLMATAITGDWKAVVIDSRLYYSYVL >KN539593.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539593.1:8108:9624:-1 gene:KN539593.1_FG003 transcript:KN539593.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAALGGPSWTVGLGRRDSTTASMDSANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSGAHTIGQAQCTNFRGRIYNETNIDAGYAASLRANCPPTAGTGDSNLAALDTTTPYSFDNAYYSNLLSNKGLLHSDQVLFNGNSTDNTVRNFASNRAAFSSAFSSAMVKMANLGPLTGSQGQIRLSCSKVN >KN539593.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539593.1:35144:35740:1 gene:KN539593.1_FG004 transcript:KN539593.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAPVLEALLITFTVATVGFVFIIVGTFTVRRFISPLKLSVLAAFWAWGFIAVKRFYFWGLRSNGRDQMFSLMEIHAYEISSSVMLLLLMLFYMRPSDLEALSRSVDSVKLFLVGLSITGNGMGLIFAGGRPVMSVFFPGDLGVFFVAIGLSVVIGGYRLPYKAGQGHMAGRPLVVYILVLLTATYLYHSRLGEVI >KN539593.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539593.1:48765:50817:-1 gene:KN539593.1_FG005 transcript:KN539593.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRKKEDDTFALSYSRYSITLSKLRDRFRVMPSNPTQREIDWHSRALVLEILGSIIFTDSSGDSVPAMYLQFMDNLVTRTEYNWGGAVLAMLYRQLNNGAEKARSEIFGPLVLLQLWSWSRLPLGRPKNIIQKTDEVEEQEEEESDGYPIFGAKWCSYHEFPTPHNCEFHYPERVMRQFGIKQLIPPPPPHGEVELRKLRKVKHVGGKVCDWNKFHAKYVQQYDGIEATIVQEDCPFDAASLKEYRCWFQANGMFTVFFDSQCLGGLENSIPYPRDNMEWTGYMPSGPPLARIGLREIKNAAWGIKCCITNGCKKIGKSILITCQGNIRDLNLEYKLQNMLSEAGLPIKVEEIPSDDDGTTACTPSPPNESSVDVFDEWMISGKGFSRYIDLGVETTNRVPTTQDASQVTQCLENEDLVASREASAPLHPGERTSSHSESSIQVVDWTSTERTPMFKKNTPS >KN539593.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539593.1:4090:5316:-1 gene:KN539593.1_FG006 transcript:KN539593.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASATNSSLSLMLLVAAAMASVASAQLSATFYDTSCPNALSTIKSVITAAVNSEARMGASLLRLHFHDCFVQASFTQPILGGPSWTVLLGRRDSTTASEALANTDLPAPSSSLAELIANFSRKGLDATDMVALSGAHTIGQAQCQNFRDRIYNETNIDSAFATQRQANCPRPTGSGDSNLAALDTTTPNAFDNAYYSNLLSNKGLLHSDQVLFNGGSADNTVRNFASNAAAFSSAFTTAMVKMGNISPLTGTQGQIRLSCSKVNS >KN539593.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539593.1:74401:75390:1 gene:KN539593.1_FG007 transcript:KN539593.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPNSHFVRAIDRLPIVPGLMEAVGIGYSGWFAYRNLLFKPDREAFFAKVREVYEDIISG >KN539593.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539593.1:45415:48179:1 gene:KN539593.1_FG008 transcript:KN539593.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLVYVDGRIQYGAKGAEYNIPPKITFPAVEATTFEEVKKEIFQALGYTEDHCAMNIQARFDIGNPGPQYFQLIPIYEDRGWRMIFEKTCEQVVELYVECAYTEARLSQVNSTTLVASTRHSERDGSGVSLEPGTVHSQAKVVPTLADGLHSTTVQLSSPINQDNVVSETQPTIDDDNIAEDTYVGEETDLGEDRFGLDDDNEQDCDEIKYSTSSQSKLVAECTDNSCMWRLYATPTKIGSGWMIRKCPYAHTCRAPADRFDHAQLSSSMIANVIRDALRDDLELSIKNVRSLVQQRYRNVKPSYSKLWRGREKAIAQLFGSWEGSYGLLIPFLQAIKAKNPVLKTFKWTVKKKKPRKFDEGMSSIANICPEAITYIKKVGKYLQEDKDEQEKPEKQWSQRVDKLLVKRGNKAGHMNVISYGDEVGIYEVKVDNELVPMQQGNHEVYTRRDFKYKVVLQPNSTPSCDCQKPNLTGVPCAHVLAVCKHRNLNENQFINPFYSSQALASTWAGQFLPYGNQIEWPPFTGPIIVPDPRQINLGRRQHNRIPMYMDEMQGRRLGHQAHRSTRDSDHLGATSSRTHVDGPSGTI >KN539593.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539593.1:11982:13336:-1 gene:KN539593.1_FG009 transcript:KN539593.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLSVLLLLCLALAGSVSGQQLSATFYSRSCPRALAIIRAGLGGPSWRVLLGRRDSTTASLALANSDLPPPSFDVANLTAAFAAKGLSQADMVLFNGGAVDGQVRSYASGPSRFRRDFAAAMVKMGNIAPLTGTQGQIRLVCSKVN >AMDW01033320.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033320.1:35:475:-1 gene:AMDW01033320.1_FG001 transcript:AMDW01033320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YEYRWADGVKVKKPVQVSAPKYVEYLMDWVESQLDDEAIFPQKIGAPFPQNFREVIRTIFKRLFRVYAHMYHSHFQMILKLKEEAHLSTCFKHFVLFTW >KN539593.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539593.1:19:1163:-1 gene:KN539593.1_FG010 transcript:KN539593.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSVSLMLLVAAAMASAASAQLSATFYDTSCPNALSTIKSAVTAAVNSEPRMGASLLGGPSWTVLLGRRDSTTANESQANTDLPAPSSSLAELIGNFSRKGLDVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAM >KN539593.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539593.1:61055:62965:1 gene:KN539593.1_FG011 transcript:KN539593.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLLAALDTMFTVIAIGLLVITATFIAPQYQKNIPVFNVLAAFWALALIVAKRVYNAQMLSRAAIMHLVEIVTILKMFHKLLVLRRTDDGTSLKDVLRMVIKAARPWAPFFLVGLVITGYGMVNVFFAGGSPSVFFLGDVGVYIIIIGLIVIIVRSERARAQGEDEGHRAGSSLVIYILVLLVATAITEKIDSPYFDIFSVHFMVFITFKQLINLNGMDATDRFVPKILQLCLLIDIQLIW >KN539593.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539593.1:81919:82227:1 gene:KN539593.1_FG012 transcript:KN539593.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGGGATMLSWYLQVAAVSLLAMAAGLEAQLRVGFYDNSCPAAEIIVQQEVSKAVSANPGLAAGLVRLHFHDCFVRVSIVPIHHSCSIYRSAALRECGWM >KN539593.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539593.1:23370:24737:-1 gene:KN539593.1_FG013 transcript:KN539593.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAALHEKLQILRSITHSHALSNTSIITDASEYIKELKQKVVRLNKEIACAEAAALRQNSIPTVTVETLGHGFLINVFSDKSCPGLLVSILEAFDELGLNVLEATASCDDTFRLEAVGGENQVDEHVIKQTVLQAISNSNCSESSGDQEG >AMDW01032896.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032896.1:43:366:-1 gene:AMDW01032896.1_FG001 transcript:AMDW01032896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIILPPTRVFAL >KN543160.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543160.1:104:4934:-1 gene:KN543160.1_FG001 transcript:KN543160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGNKKLQERVPIRRTAWRLADLAILFLLLALLLHRILHDSGAPWRRAALACEAWFTFMWLLNVNAKWSPARFDTYPENLAGRIDELPAVDMFVTTADPALEPPVSEYDKLVRRIEDADETTLLRQGGGEFAEFMDAKRTNHRAIVKVIWDNNSKNRIGEEGGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAVMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEVIYKKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFGSSEELKESARNIISGDMSGEPIVDISSCIEVAKEVSSCNYESGTRWGLEVGWAYGSMTEDILTGQRIHAAGWRSAKLKTEPPAFLGCAPTGGPACLTQFKRWATGLFEILISQNNPLLLSIFKHLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYNTYNFVEYMECGLS >KN543160.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543160.1:6463:7576:1 gene:KN543160.1_FG002 transcript:KN543160.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVGGDGEVGIDDLRRNRTLKLSPLGSAGLLIWMGGEATAPRSSDLMFRCDNIVFSLLVDCLVFRTAVHSPPFMFLGVYGWLDLGTFYLFPCSSVHASGELRGEFLLSLVKPTPKFTAQQQTSNYVISVEATEGSLEFEIIGGSCKLDNDAMVPAT >KN542056.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542056.1:1898:2149:1 gene:KN542056.1_FG001 transcript:KN542056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLGDYRRSGRATATSIGRSAPKVGSGASSTSPTNINLIAATLDYACSIVWFRKLASDQIKNTLLPLLQSWPPAMAVLPISR >AMDW01062768.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062768.1:84:552:1 gene:AMDW01062768.1_FG001 transcript:AMDW01062768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CLPITIDVGTNNESLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQ >KN538682.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538682.1:398542:402950:1 gene:KN538682.1_FG059 transcript:KN538682.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAAAAAEVRYGIIGVGMMGREHLHNLAHLAGEPRAKTPKQKNCIAKGHIPDATRNKKEVFSIVERSIPIKSHGELLDSGLCDAVVVSSPNMTHYQILMDIISHPKPHHILVEKPLCTTVQDCQKVVEAAKQRSDILVQVGLEYRYMPPVAKLIDTVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKTEVISSKNIGSLGEAFVPESIVRVGKRTGGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVDLTDGLLSVAIGVAGQLSIEKGRFVTIEEVLAG >KN538682.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538682.1:378236:381098:-1 gene:KN538682.1_FG060 transcript:KN538682.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSALQWWEEWQLRILVLGSLGVQCLLVIFGGRRLAQKASAISKERDLESFVKDARASLIKSCSHYPPPPLLKEERINSLMKRLRLPNNLFVDSTYPFSDRLDNLRCFWSLNAELAYYVILDGLSSVFNLLYTRNKSDIKHANAATYCCMLTWPLTLALAIPAIGLLHSGHKQAYSHEDVIVTFVMVYGTLLLHIVSAFIILKSAIDLHDTVPQQSLIGSFARKRRHKGLIAITQCKGLLDQYWCMKPYDKPMDVTRLVYMYVRDGWTKYIQDAESYRRFNDNMGQWALERAQCGELLGWSLERPFDEIVLLWHVATDFCFNMPDKSYLPTEFHSPLPSPREMGRAISNYMMHLLFANPEMLMAGTRRNLFETAYKELLVILKDEKDLPLNDEEKLMPMIIDKLMALGNEKMWDVIRDVWVEMLCFSAGRCRGYLHAKSLGSGVEYLSYVWLLLSHAGMETFSDKLQRRQQPRLSRREPQDKQDGAPSPSEYSQSLKPPNHKEEENHDAPLSPQGEGSIVPKLEIVVSP >KN538682.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538682.1:332401:336839:1 gene:KN538682.1_FG061 transcript:KN538682.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHANRNGKRESVKLSHTEVRYQLDVYEVAMRRNTIAMLDTGAGKIMIAVMFIKEFGKINRTKNAGKVIIFLAPTVQLVAQVIPESVDCSFYPNCNIIYTDIIFRHSNASTYNNEITGHGHDTTGVPTSFTQCFLDLGQGVSSHLDCEGQFCELENLLDAKIYRVSDREEIELCVPSAKEMYRFYDPKTICFEDLSEELGVLCPKQVRYLLYSLALATYVTAARECQDGAFASLIAAKSTPKLLHCLALRLTAEQIVWPDKEISTVCFLDLLQPPAPSTPSSFHRYLPAIILLVQMSGNIFLNGNSSPYPFFSASSANPSCSLTQPIYDQSMCWHVLIYVDMLFILGIPIFFEFYINSDMAEMRHFKF >KN538682.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538682.1:512077:514514:-1 gene:KN538682.1_FG062 transcript:KN538682.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSASMGSLAQMAEGSGGGGGREEGYGSDGNDDKRRKRGEAWSEEEHKKFLLGLSKLGKGDWRGISRNYVGSRTPTQVASHAQKYFIRQTNVHRRKRRSSLFDMVIDDSDDQPLSRTSSQEVEVEENLEDGHPVTAPVIPPAPVPMLSSSLVPPPVPAMAPVAPGPVLTSASATLPVSAVAPQTDEKEQVASGSNTTETGAAIPEVMPPYGYPMMLPPYYPPAFVPMPYYGYVPVFYAPPGAVQAQHEVVKPVAVHSKPPVHIDELYSMSELSLKGEASVKNGTPNSPLPPRPIGRPDRQSAFHGKGPSDGSSNGLIPAK >KN538682.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538682.1:311375:312367:-1 gene:KN538682.1_FG063 transcript:KN538682.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRRRSTGAAQSHAASGEEAPKSSETSPAPAAAAAGSGRWTRSRSARSHLGLDSDSTDHPRVVSGSSDQAAPKKRKKTTTAVGGAGGSTRLASRPVPGRYPDYPSLRPGQHALSKKHMSAVQEWMDECSRISKLEKQARPEDIPTLRDNPRDPFTPDAVVSSQDKAMVLRVARSVVSVSSSKPDGELISQCTGIVIGWDGANKCAKILTSCSTVCDFDGEFHKPTFKVL >KN538682.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538682.1:492144:492359:-1 gene:KN538682.1_FG064 transcript:KN538682.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFKVFDVDGDGFISASELQEVLKKLGLPEAGNLATVREMICNVDRNSDGRVDFGEFKSMMQGITVWGP >KN538682.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538682.1:439569:442328:-1 gene:KN538682.1_FG065 transcript:KN538682.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTRAISNSFFLLLVTQLVFNSFLTSAAYSNQTTIPCLPSQASKLLRFKREFANYDSNFFASWQPATDCCNWRGVGCDAEDGRVTLLDLAGMDNKLGHGLGKSLFQLTSLKYLNLGWNYFSGKPLPTTGFERLTQLTHLNLSNTNIGGQIPASIGQLKNLVSLDLSTSFSIEIYEYHNDKYLVGKGAVIVPKLRKPNLPSLLANLTNLRTLRLGYVDLSNMGTKWCEALAEYAPKLEVLNLPFCSLSGSICASLSRIRSLSEIDLRYNNLEGMVPEFLADLPSLSVLRLSSNDLEGWFPPRILQHKKLAVLDVRNNTRLCGNLPNFPTDSSLRDLVVRRTNFSGKIPSSISNLKSLESLDLASTGFSGELPSSISELETLNVLAVSGFGLVGSFPSWITNHSLLTVLEFSNCGLSGSIPPDLLCSIYNLETVVLNNCNFSGAIPSCIPDRSQDFQLNTIVFRSNSFVGQVELNPFWNLLYLVYFDLSNNGLVVVDGNWNFSSGLPQIRHLLLASCKLPAFPIALRFLYDVEVLDLSDNQISGAIPKWVWSPWYGLEVLNLSHNLLTHAELFHTVLPFNWLFSLDLSFNLFKGAILVPRSYSTVLDYSNNNFSSIEPTSVAYLCDAYVIKVSRNNLSGVISPSLCCAAKSLKILDLSYNNLGGSIPSCLIEGANALRILSLRANMLHGEFPVPHNISNSCNLEFIDVNNNSISGQLPRSLAACKNLEMLDVGNNHISDSFPCWLSILTKLQVLVLKSNNFFGHVGGQTSKCGFSGLRILQLASNNFSGPLQDQWLTSLRSMIIKPGTETSVMTMADQGLAYQFTVTLTLKGAADVTITRISNALVIIDFSDNALQGSIPEAIGKLVLLRSLNMSRNRFAGLIPSQFGGLDQLEALDLSWNELTGRIPQEQLHPWTSCKC >KN538682.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538682.1:372509:375238:-1 gene:KN538682.1_FG066 transcript:KN538682.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSRRTVAEDMKSEKKKKQLIRYYKSEERRAIYTNLLLRNIKRIHAFKVPDIVDNAYVIIEFDNGSCGKLDHCMFAEGSRNEQEISFVGDIGKV >KN538682.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538682.1:338485:339543:-1 gene:KN538682.1_FG067 transcript:KN538682.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNNNRRRPNLLTGGCSAGKQYLASKTAPSIFSVEVVVRHEKQNNLKAMRKYVALTDKATDVMDTGVPTDELTKAKRRKFGERQQTGFVVHSSDTQLFILTTAHAVDCVFKKGVHQVTAEELNLVFLFGVACTHHEAQIQADYPDGNVSELLRSYCDAHVVALDIEKDLLLLSVTKDELCLQDVDVGGVFVPCSSDHPIIHLADLPPEQSDLSLLQGWPPLRANSSIWGSVSNLERPYDVLTSCNTKGYTMRLTEFHEFDSANGFSGGPVINGDGQCMAVFHAVMEDAKCGYAICLEDVREFLTNALENLQLLEDNEDGDGGHA >KN538682.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538682.1:385219:385907:1 gene:KN538682.1_FG068 transcript:KN538682.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDLAAVAVLHWLSLLGPGLNRLKRRKVVVFGQDSQRGQGFALLNHSGLIN >KN538682.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538682.1:436768:437094:1 gene:KN538682.1_FG069 transcript:KN538682.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDFRRRHHTQTPSAAAASSAATTSRKLSYPDRLYRNLLPQEAVPRRLPPLQAPFAAASLIRVLDAASVLVDPSRSGKGIPTFADQPMNAVAIIVFAIGEELPSIAS >KN538682.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538682.1:465920:470810:1 gene:KN538682.1_FG070 transcript:KN538682.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSHPSFFSLAQCKDIPTLRDLPMDPITADAVVTSQDKAMVLRVARSVVSVSSTMPDGGGLISRCTGVVIGWDGANKRAKILTAASVVCDFNGELHNPALKSFVYKLTIVDQVSTFQLSVSMPNNTTTEGRLLFFNVHYGIALLEVMGDYKLEVPSFGSGANYGQGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQLVVS >KN538682.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538682.1:477062:480294:1 gene:KN538682.1_FG071 transcript:KN538682.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNLFLSCDIPEGGSGGPVVDHDGNMIGIAFEENPGPVVISVKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIVFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQLVVS >KN538682.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538682.1:382516:382836:1 gene:KN538682.1_FG072 transcript:KN538682.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTRAAPVVVDQKRRIWRQRCSRPPDPPTKYQRGLLTIVEEAGSSGSNARERGRGRGGVASTTTLQGVRLMHNVDNGESGALVVPDLAAATLWRAEPRSSEPRG >KN538682.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538682.1:358014:359395:1 gene:KN538682.1_FG073 transcript:KN538682.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKPRSAPRRKICSSTDAATGESPVASGTWTRSRTRRMIMRLDSTVSDCAGGDGVQGGGGGGGVGGGQGGGGGGRGGGWGGGRVTAEPEVPACSTPRKSKRIVVVSPSSGSVLKKSRKTTPQKASRTKERGTTAAAGTSLASRPVPLRYPDYPSLQPGQHTLSNKYMCAVGNWMTECSRISKLEKQAHRKDIPTLRGEPKDPRTADAVVSSEDKAMVGRVACSVVGVKSKKPDGELVSQCTGIVVGLDGVNKCAKILTAASLVCDFEGELHDPTLMEL >KN538682.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538682.1:388029:393854:-1 gene:KN538682.1_FG074 transcript:KN538682.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKEIVDVALSHAAMQPLHNKVAQRLKACVYMLVPSSTSYSPRKREHSGNAFAGELPPAVLLLRRLVALDVSHNFFNSTFPDGIAKLGSLTFLDAFSNCFVGELPRGIGELRRLEHLNLGGSFFNGSIPGEVGQLRRLRFLHLAGNALSGRLPRELGELTSVEHLEIGYNAYDGGIPPEFGKMAQLRYLDIAAANVSGPLPPELGELHSLEALFLFKNMIAGAIPPRWSRLRALQVLDVSDNHLAGAIPAGLGELTNLTTLNLMSNSLSGTIPAAIGALPSLEVLQLWNNSLAGRLPESLGASRRLVRLDVSTNSLSGPIPPGVCAGNRLARLILFGNRLDSAIPASLANCSSLWRVRLEANRLSGEIPAGFGAIRNLTYLDLSSNSLTGGGIPADLVASPSLEYFNVSGNLVGGALPDMAWRGPKLQGVSYLHHDCLPAIAHRDLKPSNILLDDDMEARVADFGVAKALQSAAPMSVVAGSCGYIAPVCVVYV >KN538682.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538682.1:361051:367150:1 gene:KN538682.1_FG075 transcript:KN538682.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEPSEETKRALDGSPVHVVQMPRAGADEETWMRSAVSARCAWRSTALVTRCGCCCRAGTGSTGSASTGGCSRTPICPVCHGPVVARVEGPDDAKEDCDGSESVERHGSSGIGFLPVADLQIAVLIEFTIRVISSLMDVRYKIEWQDHHLICIHSRIAMDLSVHLPNKVVTEGRLLHFNVHYGVALLEILGDFQLQVLSFGSSTNYGMDVFVLARDESMSLMVRHGKISWLYYPMLWNNHCMFLSCDIPQGASGGPVIDHDGNFVAIALVNNPSPVVIPVSTIRTCIDMWLQFSRVARPILGMQLEAVELLDVSRQEELRCDYNVTGGFVVNQVNVDSTAETLGIRRGDVIVFQDTDSCTSPQYGLYMFTHTEQLENYLLSLGWGYLQGIRLTADLKVEVHNLMDSYRESITFPLQFSDASRRVD >KN539141.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539141.1:64986:79085:-1 gene:KN539141.1_FG001 transcript:KN539141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKPMCILASSFVLFTCVQFGTRVGSRNWWEMEKPLQLCPGSVKIDFASASYAGKADIAATAAALSHALGPPCYQLPLAKVNSSLPLWPPVICPSTFAQKRPMSLPSPPPAKKLQVQRSSSMLPQDFPKLEVLTVEKPTKLQVRRSPQHVSPAPKQGSLKMERPLLPRPATFIDVLPFLRARIGWSTLLKESKAAEGVIVGKHTKGCKCKRTECLKKYCECFKASVFCSEDCRCTGCKNYKSNEDRISQQNTVHAHHVQNPASSGMVGQSVMIFHAAENDSSLSLAASVLSYSPTSSVTREDAKSLVKYVPHEVTYRPSLADIIQIEDVNELCNLLILVSRQAGATLLESGIKENTKRKKLNRAESCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDVQKGNKRPLSPGTQALMCDEQDAVFPDSKAPDATPSTTEENLVDIFKEQEKRVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQSWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQVQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGFSYPHITKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSLLSHFIELKRENMYSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEMLDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGLACLRKCGQA >KN539141.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539141.1:44902:46507:1 gene:KN539141.1_FG002 transcript:KN539141.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIVSFGQKLWSDIPDLQHDEEDELYWKAWCSSPTLTAITAGSCHLMPIEDYGRDAIIFAHNHEPSTATSQSEAEFLRTNLKAPDRWVPTCQAGGGQFGQREIPTGQFRCSQDNSTACGATVPDKNSPRERKIQLLCSVKM >KN539141.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539141.1:91703:98022:-1 gene:KN539141.1_FG003 transcript:KN539141.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMYTLLQPRVCTSSSRSSISPKAARLSHQKTSTGKPYFREIQEHLSSKLGEASNAMNSTYQQLLDSFVDHTFTFKRQPLRPTESNFAPVDEIGEITRVIEIEGEIPADFPEGVYIRNDGNPLYGALQSVSSIFGQSHNIWVEGEGMLHAVYFCKSNNSTWSISYNNRYVQSETFRIEKERQKPYFLPMTDGNPPAMLIASVLNTLRFQKVMKSMSNTSVFEHAGRVYAASEDDVPHEVDLHNLSTLGSWHLGGEWKLPFTAHPKVIPGSKEMVIFGINAVQPFLTVGIISEDGEKLKQKVGLKLDRCTYCHEIGVTGTYNIIIDSPLTLNPTRMLRGAPVLEFEEESYSRIGVMPHYGDADSVIWFYVEPFCTFHLVNCFEEGHEVVVRGFHVPSSAIMGPRQKNMVMDTSSQEPNEENFSRLYEWRLNLKTRTVAGKYLTSLDVALEFPVINDKFSGLRHSLNLAARPKFIGFAKLCLEEKQNIDTKIDREDLIKVEYHQLAKNQFCSGVTFVPKAAGAHEDDGWIVSFVHDEETNISKVHIIDARNFESEPIAKIILPQRVPYGLHGAFIRKRT >KN539141.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539141.1:120694:121148:-1 gene:KN539141.1_FG004 transcript:KN539141.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSRRVGEVLLLLLLRTVAAETKAVHARRWPVTMVHKLTADSRQPWLADNLDEGRRALAGQLLLAAGWNQRREPSGVRAGSSEGYVYREKRLADAGIIMELLVDGDGNDDVLVHA >KN539141.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539141.1:40918:42521:-1 gene:KN539141.1_FG005 transcript:KN539141.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLERFSSSVAFLSFALAKPHLYRVSQVTTKISEDYALHPPVHTTRDKYKFGMRVIEEMRSSANKKTSIAITGNSTK >KN539141.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539141.1:120038:120373:1 gene:KN539141.1_FG006 transcript:KN539141.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRDASPPPSCSASILSAYPDMSAHARHRLPRVSVSSTTNNFSTALANQYLGLVNVTNNGDARNRIFAVELDTLQQGEFAATSTTTTSASTSTASSPSSQKKYECKSHS >KN539141.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539141.1:18270:19189:-1 gene:KN539141.1_FG007 transcript:KN539141.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKNLRCLPLAGLRLLLEKQKRYLLEKQKCSLEESWVWVFCVVMLGVECIGQTQVLDVNACCFSNGFICAMNETIR >KN539141.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539141.1:48330:52990:1 gene:KN539141.1_FG008 transcript:KN539141.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQITQCKAILKILVVIRLVESSAS >KN539141.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539141.1:123725:131165:-1 gene:KN539141.1_FG009 transcript:KN539141.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQTRSSVRCTRIEKDRQKPCFLSSAKGDPIAIFAANILNKQRFGKASRNYSNTNVFQHAGRVFSAAENDNPHEIDLENLGTICSWDVGGDWNMPFTAHPKVAPGSGDLVIHGFSFVKPFLTVGVISEDGKKLKHKVDLKQERCAFSHEIGITERHNIIMDMPLTMNLSRILQGAPFLDYEAESYARIGVMPRYGDADSVIWFDVEPFCTIHLVNCFEEHNEVVIRGFRVPGSILMGPTVLEHSVDEEPANQGLNEEYFSRLYEWRLNMKSMSVIEKYLTGAGIDMEFPVINDKYVGLNHKYAYAQVVDSQGSLAGGCGIVRPKFGGFAKLYLEDKIKPCQDLINVEYHHLGRNKFCSGATFVPKAHIIDAQRFENGPVAKIILKHRVPYGFHGAFISRSTYKKR >KN539141.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539141.1:58790:63818:1 gene:KN539141.1_FG010 transcript:KN539141.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQAVRAFDDMEAFVGREPDAAEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTILIYGWCKVNRNDMAQKFLKDMIYHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVRAAEDLLKEMHQRGIEPDVTSYSVILHVYSRAHKPELCLCMFRSMKERGICPTVATYTSVIKCLASCGRLDDAESLLDEMASEGVCPSPATYNCFFKEYRGRKDVNGALQLYNKMKAPGSPATPDIHTYNILLGMFIKLNQHDTVMQVWNDMCESTVGPDLDSYTLLVHGLCDNKKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKKRVDEEAAKFGEEFKPYHIKPYKSRGMAVSQVQRLALATWRNCTAEISREPIELLEMDMVVGFICMMMRKTLFILSQHRASDRVKFESVFVEQRYLLLYCPSTGHLIGFRSCNRVAHALAALGFKCSLNAGLSWDGTPTGIESMVDGDLARPLS >KN538690.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538690.1:232879:234326:1 gene:KN538690.1_FG071 transcript:KN538690.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAASPPPNPPAADSAHSNPASMTDETHGAGAHPDESQPHRSSSSSSSPPVAVDGETRVPLPVRSLPQPSHPSPPPSGEDEDDVVITGQIAVGDAAGAAMEERVKGPWSSDEDTLLSNLVEKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAIHGNKWAAIAKLLVGRTDNAIKNHWNSTLRRRHCTGGRCTQGGVMERAIPERPRSVSEEPWPLGNLSSLNVREAMEAPAQTVSESYVGAWQIGDQSCKTEVVDPPYLARPVAKVGAFKPYNVGPAQSSQKEMLSFATKFDSNLHPFKPENGVCKFVDPTSFAAEVPNKCGHGCCSSHGQLRKNSLLGPEFNEFEDHPPISDSSFASLVSEISSIAWMKSGLQSSDTLARSVPPA >KN538690.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538690.1:203743:207189:1 gene:KN538690.1_FG073 transcript:KN538690.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDGEVMGWTEENLTAVARQSPDTPTLNWHRRVGTYSLRGLDVTMCVRKKYRTLRKFMASVHGLQMKIWMTPDSEGYGKCIERPKKHDRMNSATAGYIIVNANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKKTLEGDISIVDSLPLAYKGLKLYMRAPTSWAKASYYRAFSRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRFHKEIEELSTALVDRLRNGSNHYIALHLRSVIYFLFLSINRYEKDMLSFTGCSHNLTHKEADELREMRLNVRHWKEKEINSRERRLQGRCPMTPREVALFLKAMGYPSSTKIYIVAGEIYGGHSMDSLKAEYPNIYTHYSLATVDELEPFKLYQNRLAALDYNVAVQSDVFVYTYDGNMAKAVQGHRRFEGFQKTINPDRYSSRNVVIIIPQLQKLVGLIDKLDEGTLTWNEFQSEVKIHHENRLGGPYQRLSGRSPRQEEYFYANPLPGCLCKRMQRIK >KN538690.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538690.1:160521:163453:1 gene:KN538690.1_FG074 transcript:KN538690.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable anion transporter 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/Swiss-Prot;Acc:Q66GI9] MAPPGQLLPLARSLLPPSAPPFVSGRRRRLPTPVLGRALPPPTWLPHGRLPPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKNQSGGERLRKGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >KN538690.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538690.1:291485:295573:1 gene:KN538690.1_FG075 transcript:KN538690.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEEREARSEAAFTDSFDGSSSSSDAASADEWPVTLAVAPPRKTAACGRVPGAEVVDSSKPHAQKRRAPSTEMEMMKERFAKLLVGEDMSGSGKGVCTALAIANAITNLCATIFGQLWRLEPLPPEKKAMWRREMGWLLCVSDHIVELVPTWQSFPDGTRLEVMTSRPRSDLYINLPALRKLDHMLIEILDSFRDPEFWYVEQGICAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLRDKARKQLQHKRDCANQILKAALAINSNALAEMEVPESYLESLPKNGRATLGDIIYRYITSDQFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRVAAKPASVFGRATSGRSSWGMVKDMIIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNRDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHAERFPQGDADCRIACNKAAVPPYQVPASGTPFVTAYATPSFSPAQLASPSKKERSPLGAGRRSYSNRGFGAKKALAIDLVNPEVMGVIISGGKMIDVSTTTEL >KN538690.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538690.1:159880:160110:-1 gene:KN538690.1_FG077 transcript:KN538690.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAAGHGAAADTAETDQADLKPVKAEPGTAGTGPGLITITVTSQTFADVYFAIKPGVPSPYPLIALCIDRWHT >KN538690.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538690.1:296591:297047:1 gene:KN538690.1_FG078 transcript:KN538690.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVRCVWFLLVVAVVVAAGVETVRGAGECGRVPVDQVALKLAPCAAATQNPRAAVPPNCCAQVRSIGRNPKCLCAVMLSNTARSAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP >KN538690.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538690.1:250088:253319:-1 gene:KN538690.1_FG079 transcript:KN538690.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIAREATKLWRKVCAEIAVELQLLFEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYVSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREFLVECFLVVAI >KN538690.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538690.1:285251:285457:-1 gene:KN538690.1_FG080 transcript:KN538690.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWAPAIIASALFALLCPGGILQMPGRNRPVDFMNMKTSLASIVVHAVIYGLLLMLFLVILEAHLYI >KN538690.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538690.1:197190:199094:1 gene:KN538690.1_FG082 transcript:KN538690.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDQGCRLLGAQRSLHGLLGGGAVADVVLWRRKEVAGWSLAAVAASWVLFYCLPGYTLLSFVSSVLMIVLAVLFVWAKAARLLNRPPPPVPLIKISEDSMSKAAAAVGNILHKSLQGFENIAHGKDSSLFYKMASVLLLISIVGRVTDLITLVYACLVLALTIPALVDKYEENIAKFLNQASANIQACGQAWKAYKSKIKN >KN538690.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538690.1:165821:167752:1 gene:KN538690.1_FG083 transcript:KN538690.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHFSCGTWNSQNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAISYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVMALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >KN538690.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538690.1:212795:215014:-1 gene:KN538690.1_FG084 transcript:KN538690.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMLVPIVLVLCTAAASAAVVEHTFKVGGTKITQLCMNSVIYTTNQQLPGPTIEVTEGDTLVVHAVNDSPYPLSLHWHGVYQLRSGWNDGANKITQCPIQPSGNFTYRFNITGQEGTLWWHAHSSLLRATIYGALIIKPRNGPSGYPFPEPYEEIPILLGEWWNRNVDDVENDGYLTGLGPQISDALTINGMPGDQNRCKGSAMYEVEVEYGKTYLLRIINAAVNVELFFKVAGHTFTVVAADASYTKPYATDVIVIAPGQTVDALMNTTASPGRYYMAAHVFDSKTVAVPFDRSTATGIVKYKGVPDYAPAAMPSLPPHDDVVTAGRFYWSLTGLARPSDPGVPTTVDHNMVVTFGLDQAPCAPNQTKCSGFALVAAMNRNSFQFPEKVSLLEALYKGVPGVYSEDFPDFPPPVQGFRKATAVKKVKYNDVVEVVLQSEQYSSALGTENHPIHLHGFDFYLLAQGLGRFNPSMKSKYNLVDPQVRNTVAVPAGGWAVIRFMANNPGELAALNLFLSYMIRCNRASDC >KN538690.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538690.1:244405:246200:1 gene:KN538690.1_FG085 transcript:KN538690.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPISSAPRPGSRSGETDSCVTLMISESGSADPFLGMPSLWICEICGSTACNVVGIGDAEFIEQWNESSNTAASQTPASEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >KN538690.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538690.1:283532:284432:1 gene:KN538690.1_FG086 transcript:KN538690.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGGERRIGVAMDFSPSSKKALQWAADNLLRKGDTLVLLHIRHHGRDEAKNVLWSHTGSPLIPLEELMETAVRQRYDIPSDGEVFDMLNAVSREKELSVELKMYWGDPREKVCEAVGELNLESVVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAK >KN538690.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538690.1:309615:311246:1 gene:KN538690.1_FG087 transcript:KN538690.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHFVCLHDIAVMIPVIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGHAFSEKEGLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESASTNGRSMQGTTINVAEAPAATKGSCCSS >KN538690.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538690.1:263711:265145:-1 gene:KN538690.1_FG088 transcript:KN538690.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCPPGAAEAELWLPDEFLDDDFFTVEEKAAVAAKSESDEEDGLDGLARRMADLLAGDGGKGTGSKVEVMAGSPQSTLCGLAASGEDSPNGGASQVSSPPSSPLEQPPTDPWDVLSEAAGQVARLRMNSIPVPQKPHAHAGHGRFVPPARNPSPPVQAQKTAGAFQFAPNNNMLTQRQVQVAHFHLLKQRQLLKQQREQQLAAATAATWGTHRAGVGPPLGCSTVLLPARVVQALNLNVDDLGARPCFPGGFVLDHDALVSRSNAMLTTQKRVQHHAATAPPPTLAAAREVNLPQEWTY >KN538690.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538690.1:208338:211586:-1 gene:KN538690.1_FG089 transcript:KN538690.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLGKITVETPKHEVLHNGAGYEVRKYPPCVVAEVTYDPAEMKGDRDGGFTVLANYIGALGNPQNTKPEKIDMTAPVITSSEPENIAMTAPVITSGEPEPVAMTAPVIIAEERGQGKGQMTMQFLLPSKYSKVEEAPRPTDERVVLRQVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFTVKGPFVLARKFVPARTYWRRSLQPHTWTNATAKSELQAGRRDRIWPILVSSRFNVGPFSGLAYKELRSIPARPQPSYGFGCNPTSLTVAKPFNANWIESNTFVFYQASHRRREPAMAMAASPFLILPSSFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEEAEKEGEVRAASPRTEDTKREVQDEDGEAEATGGDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSPAEDSLSKAEINVDVLKEARIFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRDNPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >KN538690.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538690.1:173919:179697:1 gene:KN538690.1_FG090 transcript:KN538690.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 2, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G46970) UniProtKB/Swiss-Prot;Acc:Q9SD76] MPESNGAACGAAEKVKPAASPASEEPAAIAGNISFHAQYSPHFSPLAFGPEQAFYSTAESVRDHLVQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQCITKEGQEEIAEDWLEKFSPWEIVRHDIVYPIRFFGHVEILPDGSRKWAGGEVLNALAYDVPIPGYKTKNAISLRLWDAKASAEDFNLFHFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQYFLCSASLQDIFFRFKERKADRVSGKWSEFPAKVAVQLNDTHPTLAIPELMRLLMDVEGLGWDEAWDITNKTIAYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFKEMVISTRKEMEGKIDSMRILDSSNPQKPVVRMANLCVVSAHTVNGVAELHSNILKEELFADYLSIWPNKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKGMSADERQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQENFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGTYDYAPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQAQVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVS >KN538690.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538690.1:217754:227962:-1 gene:KN538690.1_FG091 transcript:KN538690.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSWMIPVFAVLAFVASVAQADVVEHTFNVATLSLPRICQPGNTSVTAVNGRVPGPQVEAREGDTVVIHVINDSPYNVTVHWHGVFQRGTPWADGPAMVTQCPIRPGHRYTYRFAVAGQEGTLWWHAHSSYMRATVYGALVIRPRRAGGYPFPTPYEEKTVLLGEWWNGDPVALESQSFSTGIPAPNADAYTINGMPGDSYLCPETTNRIAKFEVRRDKTYLLRIINAALNTAFFFKVAGHTFTVVAADASYTEPYATDVIVIAPGQTVDALMAADASPGCYHMAISSYQSAIPFPPRPAGRTVPLTVDTRMLVTVGLGFSSCQPAQTQCNRSAPVVLANMNNVSFALPNTVSMLEALYRNTADGVYTRDFPDQPPVAFDYTSRGLLGNSPLASTGSPSTKVKTLRYNATVEMVLQNTALVGLESHPMHLHGFNFFVVAQGFGNYDGEAAGAGEFNLVNPQERNTVAVPTGGWAVIRFVADNPGMWAMHCHIDSHFAIGLAMVFETSKFNLLSAWFWASGNHADPAHILQLASPDPIFKPLTRNAWFRVLMGVESMRVSRLCNSTDIIAVNGQLPGPTIEVNEGDAVAVEVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIQPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANETFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMDTD >KN538690.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538690.1:298836:301672:-1 gene:KN538690.1_FG092 transcript:KN538690.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNPLSMQESEPHVKGNKSPAPTSIIDDATNTTEDDSALRALLYPQSLEAKGKEDIYSIFYKGQNGTAQAGTADGKSQWTPPKSRTVYTKDNKQSNQYDSVDTSCFGSSVNYGGRDYYGISGHKQSTESNDYKADKKDPSTDSHGDWWQGSFYY >KN538690.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538690.1:190144:194253:1 gene:KN538690.1_FG093 transcript:KN538690.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLSALAVDLDQRERQVMSEGAAGAATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPDAGSCLFDPELETAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITSSCNQVQTPTQQAGVSLVADQSEEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFANYAAHEESRSQDAFAIESASGEMSKDGNLEEQGANKSETSEPNSDNIESASGSNPKQNTPSLEGKESIKED >KN541898.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541898.1:910:4421:-1 gene:KN541898.1_FG001 transcript:KN541898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGELTISWLTAIAVAAAALLAGGTEAQCLHTCGGIDIPYPFGIGSDGDCALRPSYKINCNNKKKPFYGDVEVLSISLQLGQIRVMSHISSSCYNITSKKMNSSGWKFNLSATPFMLSDSNKFTVIGCQSLAYISDPISNYTSGCASSCPGGTVESATNSTCSGIGCCQITVPRGMEYCKVSFGESMNTSAAIYKRTPCSYAVLMDYSNFTFSASNLTSLLEFNNTYGGRAPVMFDWAIWGARDCVEAQKNLTSYACKSDHSVCVNYSSGAKSAYMCNCSKGYHGNPYLQGSNGCKDINECEHPESYSCYGECHNKDGGFDCFCHDGVVACILSILFGFLGWEVIRHKRSIKRQALLRQNDEFFQQHGGQLLLEMMKVEGNAGFTLYDRQEIETATNNFNKANVIGEGGQGTVYMAVLGGIAVAIKMCKEIDESRKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLKELLDLQRSTRFQVTLGTRLRIAAESAGAFAHLHSLSHPILHGDVKPANILLAEGLVAKVSDFGCSTIDESTPAVPKGTPGYIDPDYLLEYQLTAKNDVYSFGVILLELLTGKKPFSKERKSLTLMFQEAMVNGTLQDLLDSDIVDEASMRVIHRVAVLASQCLVVPGFCILSVVLYFGCICCEE >KN541898.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541898.1:18823:21762:-1 gene:KN541898.1_FG002 transcript:KN541898.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding PFHGNVEVRSISLLTGQARVMNSISSACYNTSSRDMDYNDWQINFTGTPLTISDAGNKFTVVGCQTLAYITDDDNMGRGLKYYRVRFDTGFNTSEIYNVSRYSYAVLMESKAFSFRTSYVSSLEFNSSNGGRAPLVVDWAIRNETCDKARRKVDTYACVSDNSECFNSPNGPGYICNCFKGYQGNPYLQDGQHSCTDIDECADPKYPCSVPGTCHNLPGGFECLCPRSRPKGKTAIGISGFAIVGLVVFLVREVIQHKRSIKIQALQRQTDMYFQQHGGQILLELMKVESSAEFTLYDREKIEVATNNFAMENIVGKGGQGTVYKAVLDGTTVAIKRCNEVDESRRADFVQELVILCRVNHPNVVKLVGCCLQFEAPMLIYEFVQNKTLQELLDLQRSRKFHVTLATRLRIAAESANALAHLHSLPRPILHSDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVVKGTPGYLDPNYLLEYQLTVKNDVYSFGVILLKLLTSKKPLSKERTSLIPIFQGAMESGKLVELLDSDKVDYELILPSCGG >AMDW01005933.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005933.1:19:111:-1 gene:AMDW01005933.1_FG001 transcript:AMDW01005933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFKGITDRELNVLDIFEDEEYVKRTVEISLT >KN542778.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542778.1:6292:8151:1 gene:KN542778.1_FG001 transcript:KN542778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNHIGAGDELYGDNGTLAVVLHHGRASCKKNSSIPQTAALSHIGAGSGVSSDESMLYDAVIAKPGASSGNELYGDNGALAVVLHHGGASCKKNSSIPQTAALSHIGAGSGVRSDESMLYDAVIAKPGAGSDDELYGDNGTLAVALHHCDDVVIDHTATINHTGADGKDSMVNDHVGAGGDQNKVFDNIGALGMPAAQPAPPSTGKVNHYQSSDHLGDNYHHDESSVRQLLHTRGRLCTRPGRILVPGGRGAHPYAAPSYTLAAADQIHNLQLFGVNNFAATGIIDYFGAGADDLGTASSVFDAADDITLAHYLAMATVQSVWQENCMEQFKLVLEALHQPRRHLYIAVDMEFAADAATNVSHRPVTSTSCYQHVRRYVNGGDIVQMGLTFAFVGDGKGEQAPSPPIALEINFDFNVNSPKYHGESIHFLSSQGHDLTQHSKRGATPEFVYEGLLRHLPFGDTSVTWVAYHGDYDLAFLLRLLQGGGHGGNCLPPQLATFLHRVREKFPVFYDVRVLGKLVKDGFNGSLTALAEYLGILRNGGEHHAGSDALLTLSCFFRIVSLSGHQMHRMDAWQGLLAGLEAWDMAIKCARHIDDHTGSIYVIKMLPHMLDEEAR >KN543376.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543376.1:338:1858:-1 gene:KN543376.1_FG001 transcript:KN543376.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSGAAAARAAPQWRRRSARTCRVPPPSETSCALQPPPPIGSVASAPSTPYPSPSTPRRQGSPTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLKEHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQ >AMDW01040642.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040642.1:1647:1841:1 gene:AMDW01040642.1_FG001 transcript:AMDW01040642.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENGGEAPAPEPELKLFGSWASSYTHRVQLALRLKALEFVYAEEDLGNKSEALLRLNPVHKK >KN543376.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543376.1:3740:6315:-1 gene:KN543376.1_FG002 transcript:KN543376.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFILFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSTGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNVTPRRLLQARELASNQTQTHHKSQSSAPHLLSRSVIYALPIAGVLFIAAVATAIYVHFSRRKKDNTVMPWATGLSGQLKKALVTGVPSLERTELEAACEGFINVVGTLPECTLYKGTLSSGVEIAVLSTSVSSAQQWSARSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDHQESVVYKFGILVLEVISGRRPFSQDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAVLCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >AMDW01030192.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030192.1:165:320:-1 gene:AMDW01030192.1_FG001 transcript:AMDW01030192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNELGQDAKLTYSELLDKVCQ >AMDW01036846.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036846.1:120:660:-1 gene:AMDW01036846.1_FG001 transcript:AMDW01036846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCTGGRMVKWVKRIIVTTAESDNYYHYKDNRVLPSHVDAELANADAWWYKPEYIINELNVNSVITTPGHDEILPINGITTQRGYTMKGYAYS >KN539461.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539461.1:44733:61485:1 gene:KN539461.1_FG001 transcript:KN539461.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSAKARRGSSAAAAASSCRSQLEQDVKKLQKALQEETALHAILENALHRAALTLADMSYLPTNAQELLSNISILEGTISKLEDDMVSLHFQLIQERNERRLVEYRLKQAPPPPQLHLQQQQPRSVCSCHSTKSESDDTANEKSCRVDKVYPFAVLHDSAMKLQRQLSSKCFGNPNQLSEDIVRCMKNIFISLSDSCRESSRTPSTEKQQSGPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGAAAEVSWMSVGKKQLEYAAESLRKFRLLIEQLAEVNPIHLGDDAKLAFWINLYNALMMHAYLAYGVPRSDIKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPNHRPQMKIKVPEEQKKFCIGSPEPLLTFALSCGLYSSPAVKIYTAGNVREELQDAQRDFIRASIWDFQINCPDMLPHPDNIEGGDALGGALQRLRRLGPLDPHLLLPVAACKIIPTPTSSSSSIHPPAKGVRKTARDRSRLPESVCGMLEKLQVRSAVQFQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQVQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTAPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMSEESVGNNKNENQDDSIWLKEKWAIGEVWDTFLLGIFEVLFARNVCSFTTFVPAMLGCHHMSYFSCPSEPTSLAAAGPGDTTSSFAASAVADRWCKFMSIVAIVHTFYGNLWMRDGVFITEIQDRWQDKIQLDIMDNILVVCKSTLADDESATGAEQDGNDTPSFDSDDEESVEEVASDEEVSARKLIKYPRCSKCKQIGHNKSTCNKHHGGGSSTPDSLQVVNPADNLVLSNTQQSSKQSRKRKSRTAANTNSASQSRKTMSSKQKALVELHAHSQGSTSATIKVTSGQAFVNVSTQESAKFKPKKLTPVARLNGILMQSRKPSGSFGSSCSSINNFLKSSAGTTKMKMKMNNLVKEKWAIGELGLPPYVVRFLARGVYAYFAVFFLQFVCHLSEGIDSGSYVAAPGSTKELYNKQKKNSQE >AMDW01032956.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032956.1:6:336:-1 gene:AMDW01032956.1_FG001 transcript:AMDW01032956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAI >AMDW01033229.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033229.1:223:397:-1 gene:AMDW01033229.1_FG001 transcript:AMDW01033229.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARALDEGDGRRLGDKVGAVRDSNGVGALHLAAGRGSLPVCGFLLEELRVDIDAVEDR >KN539461.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539461.1:101270:102124:1 gene:KN539461.1_FG002 transcript:KN539461.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLAAVAASLSGAGEARAAVLEADDDIELLERVKEDRKKRLQKQGVISSSGTETGYLQDLIYKLSKVGQAIDKNDLPAASSVLGPNSDAQWVQNINVAFTKFSSSPEEKNMVDSFNSSLASLITSVNKSDVDSSKSAFVSSATTLEKWIASAGLSGQLKGF >KN539461.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539461.1:20383:21174:-1 gene:KN539461.1_FG003 transcript:KN539461.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGLMLGEFVDEYTVTVADVFAMPQSGTGVSVEAVDHAFQSEMLEMLRQTGRPEMVVGWYHSHPGFGCWLSGTDMATQQSFEQLHPRAVAVVIDPVQSVKGKVVMDAFRLVDHMAMRLAVARAGKVDAKGRLEEKAMEAMSANVVQTLGMMLDTVTF >KN539461.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539461.1:66156:66416:-1 gene:KN539461.1_FG004 transcript:KN539461.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRSNSLGGMAPSKSLNLRSRYLSDGSESTTSGKPPTKRLLLMSSSWRSLRWEKVSGSTPQKRLELRWSSARSGRPSGSDSGM >KN539461.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539461.1:74144:92145:-1 gene:KN539461.1_FG005 transcript:KN539461.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGAHLSGQRIGHHPTAQMNPGDGDGDERQQVASGHASADPELMNLRIRMTNRLIWELLSREPKLQTRPRKLVSDLAKRFEAVIYKKNPNKAAYYSILNGEIFPHLQHALSTHMAQHQQGQQMLQQLTSSSSYGTTIPIPDVVQNASGNTRALYEMDNTGGPMSNGHHHFSANFPLHSTTKGASLEMSAVSMQEGKITHMIPTPGSSNQQSLPGNFHYSTGTGYLNGKSNVMAQMQEQQAPFASKINCCPVQRDLGGYAGSGVHSDILNNSSPYGVSEAHMIDGMGLHRSNVQVINRTAVPETFINPSPYGISRNKPLQQHVNPSTRSTPTPADIAASTSFNGTGSSSLSTTSYLDMTAVNSLPKSRMDSGLIMSQPTIQSFQTEHYIQTEGLDLQEKISLEQLHQQVNQLHLIQPHSQYAQNQCSLKLQQQNSLHHLVMSRGNVLTQCHLGSDHAEKLLDKRNQLHSELVSSQINEHVGLTNLQGHYDQTQYHDNYKKGQMSASSQNLGIPAPHDLLPPQQRSDDGSYRLSCFLKETYTKPLQPHCKSKPMKEVIVTSLLSGKIQDGFCQKKMARDREHHPIISGWHSAGCAATSFGSEEVMENTKQYHDQARWLLFLFHAKSCTSPPGSCKSSYCDRVQELVVHLTDCQIKDCPYRHCRESKMVSDHYKNCINEHCHVCCKAKEMLRRSSELAHKQNPAEPILITQHNMNQRSADRVHGDRMDIDQAVETFDDQPPAAKRPKLQLVSPDASENVPVCQKNPGFMLQEAHPRQLDQNKKMVPDQEVDVGLDIRHPQVTLVSCHGSDEKIGAAQNTVIPGALNKIHCHVQQETVVADKESVTVVDVKKKTGSVDVTISKTGKPKVKGVSLMELFTPEQIHEHINSLRQWIGQSKAKAEKNQVIGYSESESLCQLCKVENLTFEPRPIYCSPCGARIKRNASYYTGSTAMGRLFFCISCYNASLGNTIEVELIKLSKADLEKKRNSDEPEEGWVQCDKCECWQHQICALFNARRNDVEEAEYTCFKCYIEEFKRGLRMPLPESVVRGAKDLPRTLLSDHIEERLFKRLREERQERANKLKTSLDEVPGADGLVVRVVSSVDKKLEVKPRFFKILQEDNYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECKFPNQRRVYLSYLDSVKYFRPDIETVSGQALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPVKGEDYILYCHPEIQKTPKSDKLRQWYLSMLQKAIKENIVVKLTNLYDQFFVTAKECKIKVSAARLPYFDGDYWPGAAEDIINQLQLEGDGKLLKKGRVNKIITKRALKAAGHTDLSGNASKEAMLMQKLGEIICPIKDDLIMVHLQYSCSHCCTFMVSGRRWVCNECKSFYICDRCYNAEQRLEEKERHPSNSKCLHILHPVEIVGVSEDTKDRDIILENEIFDTRQAFLSFCQGYHYQYDTLRRAKHSTMMMLYHLHNPTGPAFVATCNVCNCDIENGQGWYCKDCPDFDMCASCYQKHGGANHHHKLTNHPSSAECNVQNKGAWQKHVQQVRVWLELALHASSCHVRNCQYPNCRKLKGLFHHGAQCKIRLSKGCKQCARMWDFKSFKRKQNQLSESRRMASVNERPGVASANAAVEAKPRKKARSRRGRKGKRSSSSSEPSTTAVLEEEEEEEVKEKKTEEDGEEGKRKEKAAGLDLDEVMAVSPVGLGRRSRQIFDEVWRKFSRLGQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDAEVIDMLPRSVDIVVGDVGDPSTVKSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNELAQLRAGKSSKSKLLIAKFKSPKSLNGWEVDQGSYFPNTFASRFDEGIDASFDFSEAGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQSATDPRNFELILEYIKALPTGQETDFILVSCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >KN539461.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539461.1:9764:15473:-1 gene:KN539461.1_FG006 transcript:KN539461.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPEPRGVVVAAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGSSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKGSSTASGVTCPSTVVKKMKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSYDNLGGFKFTEAKLPWMKPMKTIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQRRVHVQEHPVRVLLAHRPPPVALPLPRPDAINKMDLLQSLTITCAAGEKRGFNLSYLNVNKNLRKLKLGGPYLYLWGSKLPVEGEKLTCTNGYHKLKKLSIIAMVKLNECTFGTKNMANLEVLVFAKCPRLSSPPPKLDELNFLREVHLAQMPQGFYDGMNPATKKLVHFPEFQHHFHSSTRSVVQGGS >KN539461.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539461.1:102989:103828:-1 gene:KN539461.1_FG007 transcript:KN539461.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDETNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEFVPNGTLYHLIHGGGGGVISFATRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMVTMVQGTCGYLDPEYMRTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLSARFVAAMGERKVGEMLDEQMCGGDRPAMKEVAERLGGLRKLHQHPWTQDAVELEEARCLLHGSPEYQLSARYTTGSR >KN539461.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539461.1:69180:72820:-1 gene:KN539461.1_FG008 transcript:KN539461.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANVQMLQGRSCHGPAAFGGCSAVPRTGPRMRSVAVRVSSEQEAAPAVRAPSGRTIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDALKSQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAANVLCGMRSN >KN539461.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539461.1:23290:25194:1 gene:KN539461.1_FG009 transcript:KN539461.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTWEEKNLNSWANGRIKDLLGSLDPLEFSTGKASVYEVSKCTGDAFLVTVRNKKRVGYTYELGLKFKGKQPLVLEFTSSHDLSPAENWYSWECSSGEWLIKEENKKVKGYLDIPEFSFGELEDLEVQISFTDNKDLSSDNKAQISKDLKLFLAPIREKLRKFEEELKDR >KN539461.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539461.1:86:1852:1 gene:KN539461.1_FG010 transcript:KN539461.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MENSCDHDELLEMLELAGMVLVQALKELKRTSEMFIQLKTIYGSVASIPLKIFITGATMHMAGGSGSDLRPIFDEYLAKWRYTDDQVYVLDEGKNSSSNGLVVTSVMSPEQYFEVAELYTVTFLSVVSHETATAISWTEKAELTEQDRQDLLRKLHALQSAANKKSTNQGAKLSESAERNLSTSQNGSISPAHEDATKSSAPTHNGNVQGLRKALPKSIQPSFQRVTNQFDPLFWWFHSFRIKFGKVHVVLPSGKVMFLFSLLFSTLYILRRKGAALKRYGCLTLAIGIFNHNVLVPL >KN539461.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539461.1:93658:100046:1 gene:KN539461.1_FG011 transcript:KN539461.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQCFMQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYQSLDPVQPPIAPPYKTALEMKKDAIKWRKEKPERVNVGGRILQPSDHQRDPSRTTPAAAASTPSTSSGASRRSQPRSSAGVSSTAATAAGGGDGGGAGVRFVINAEDSLNNRFRPIQGLTTDAVFSVDDDLIVPCSTLRFAFAVWQSALSAMVGFVPRMHWLADPGSNAKEYRYGSWWNCEDIAMSFLVANVTGSPPIWVQGRIFEIGSSGISSLKGHDLQRSKCLNTFSAMYGHMPLVATTVKAVDSRTSWFW >KN539461.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539461.1:35633:42615:1 gene:KN539461.1_FG012 transcript:KN539461.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSVVAVKQIAAPDKIVETCPSTKHSVHKLFDVKPDFQGLMDDNLSSSSQSSSIKIELIRSSSLPNILPFQKRSSEPEPESPLSHVSHPNVSEPVYSNSSTFCASLFSSSSMETEPCRQLGTLPFLPHPPKCEQQVSAGHSSCSSLLVPGGDGDIGNAHDEPEQSDDLKDFLNLSGGDASDGSFHGENNAMAFAEQMEFQFLSEQLGIAITDNEESPRLDDIYGTPPQLSSLPVSSCSNQSVQKAGSPVKVQLSSPRSSSGSATTNKARLRWTLELHERFVEAVNKLDGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPETKEDKKASSEDKKSQSGSSGNDSVKKKNLQVAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQRILEEQHKVSISSNSLSLKPPAESQPESPKPTSEKKEAESEAGAATSAQPSSEDKSPDAECKSSPPVCTTQDTQRRRLEKEKHGKTVDKETQKVSCNYCGKVVRTYNRLEHHLAGIRGNVYPCSLVPDSVRQSIKSSLEARKKDWIARKIGKHKSSELPPVRNLSLTSAHACRPLLQPITSDTDREGSVSGHRCFVHFTNNLVQPGETTQVNAHDVSCNASSYQQGGQTIEVTRPPYQNPCMMNKQPEMSSGQRIDPLSFSMENSSSQMQDSEFSKEPTNDYLNSQARKSIGKLIFEAGLEPGILHLPSFKNMVDVLAWAQVSIPTYESIMEEQLREIQCHARDLKKHWEMNGCSVILDTWESRCGKSFISVLVHCSKGMLFIKSMDVSDIIDDVDELAAMLFRVVEEVGVLNIVQIITNDESPYMQAAEHAVLKRYGYSFFFTLCADHCINLLLENIAALDHVNEVLIKAREITRFIYSHAVPMELKGKYIQGGEILSSSNLKFVAMFITLGKLVSERINLVEMFSSPEWASSDLASRSSFRHVYEVVKTDNAFWSAAADILKLTDPLITVLYKLEADNCPIGILYDAMDCAKEDIKCNLRDKHGDYWPMVDEIWDHYLHTPVHAAGYILNPRIFYTDRFSYDTEIKSGTNACVTRLAKNHYDPKKVAIQMDRYRRKSAPFDSDSAIQQTVEIPQVRWWSVHGTDTPELQTFAIRILSQTCFGASTYNIDRSISEQLHVVKRTYPEQERFRTMEYLHYNLRLAHCEPCVRGASGAQQHSRLTSQLGDWISSGQTTSYYK >AMDW01039696.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039696.1:864:1022:-1 gene:AMDW01039696.1_FG001 transcript:AMDW01039696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >AMDW01038310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038310.1:21:658:-1 gene:AMDW01038310.1_FG001 transcript:AMDW01038310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEE >AMDW01023563.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023563.1:13:129:-1 gene:AMDW01023563.1_FG001 transcript:AMDW01023563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQ >KN541535.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541535.1:10657:10788:-1 gene:KN541535.1_FG001 transcript:KN541535.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKSAAVFLREVRREGCGQRGACGRWEVESIEGGTAAHGD >AMDW01030435.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030435.1:81:207:-1 gene:AMDW01030435.1_FG001 transcript:AMDW01030435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEES >KN540399.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540399.1:48079:50930:-1 gene:KN540399.1_FG001 transcript:KN540399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSSENRGIKGFDGGDAIDPRSLLTEECDVLIPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTRGFRDVKEMCRSHHCDLRMGTFTLGVNRVARATVLRGWEA >KN540399.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540399.1:35443:37807:-1 gene:KN540399.1_FG002 transcript:KN540399.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPEWIVLDRCVHPFEEIIREGESESGVGEAIVDDHRNYVLVLMAINFNKDDIICLWPPDPLPSSSLPWQRKETRFPVEMNRSWEQYGFSADSVFTLNGIAYWVDLALGLLYCKTSDLLLSDRDVAVEFSFIDLPPGYRADRDLFRPKMFRTLGCVGGSIKFVSIDGYHKREETYFNTEDDEEEEDGDDDCIIEPVAAAERKITMWSLIPPGNLGWKKDAEFSWMWEEFRNLPQYLKSLR >KN540399.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540399.1:28451:29086:-1 gene:KN540399.1_FG003 transcript:KN540399.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSGLAVGCLVAATAALLVAGASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLGGATGLVLALAAVAVYAV >KN540399.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540399.1:4645:10357:1 gene:KN540399.1_FG004 transcript:KN540399.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEVIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVGYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGLDYGAVGEELLHNGNSRSNQWKLIGCNVQSHQHCLLNCSASSNNFHFVVQRTNNQMDPVNIVMVNSRFASLIITGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >KN540399.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540399.1:25549:27792:1 gene:KN540399.1_FG005 transcript:KN540399.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLASLLISLCFLSLASNAVGWSRRGEREREREEEDERRHGGGRGESGRPHYDADEVLYVKEGEGVIVLLREGKRESFCVREGDAMVIPAGAIVYSANTHSSRWFRVVMLLNPVSTPGHFEEYFPVGGDRPESFFSAFSDDVLQAAFNTRREELEKVFERQREGGEITTAPEEQIRELSKSCSRGGGGGSGSEWEIKPSSLTGKRPYFSNNHGKLFELTGDECRHLKKLDLMVGLANITRGSMIAPNYNTRATKLAVVLQGSGYFEMACPHVSGGGSSERREREREHGRRREEEQGEEEHGERGEKARRYQKVRAQVREGSVIVIPASHPATIVAGEGESLAVVCFFVGANHDEKVFLAGRNSPLRQLDDPAKKLVFGGSAAREADRVLAAQPEQILLRGPHGRGSVSDM >KN540399.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540399.1:40572:41116:1 gene:KN540399.1_FG006 transcript:KN540399.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVEVSLRRFDLADVDAMMAWASDPQGLATAAVKRVVATVFGEVEGLERVEALVDVRNAASQRVLEKAGFRREAVLRKYCVLKGEVRDTVIYSFISTDPLVS >KN540399.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540399.1:15086:18528:1 gene:KN540399.1_FG007 transcript:KN540399.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSLVRKWFNIKSKANDFHADYDASQDREMMKRSQTMTILFHFAVFARFGLNLLGDPGRNGHGDRMPKKNADCIRRGRTESDVSRLTEVQDYRIFASTWNVGGKSPSKGLDLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLVRRTLNRNPGASSYGGYHTPSPVPDPVVELDADFEGSSRRHDNLPFFHRRSFQNLSRSLRVEGNYMSSQPRLDRRFSVCDPVSLGGRPSDFDGNFPCVGSPDDEYIEEDGSNGTYFSPFPYGYGTSIAMEENDEQPNTSRYCLVASKQMVGIFLTVWVRSELRNDVKNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDIKSPETILEHDRIIWLGDLNYRISLSYCSAKALVEMHNWKQLLEKDQLSYVRGESRFSDHRPVYSIFMAEVEIIRQRRRNMGCFNSRVEVEELLPYSYSFGDIKFN >KN540399.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540399.1:31725:32648:-1 gene:KN540399.1_FG008 transcript:KN540399.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSADCACLILTGNVPFSLPINRNLAISLTKLCNSMSVPLQCRDTASQIPPPGPVAFAPALPPLRNDLALSYTVSKL >KN541998.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541998.1:4357:8178:1 gene:KN541998.1_FG001 transcript:KN541998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVVGSCRGSCDLAVRFGLFLKALKHISSCYSRTFPGWEQGKIGVSEQLEMYSGQQSDQCPGPNSGKEFLEVNWDSVALHQKMGYNSGAFGFQAYPMVLEDREGLYRSPNGTFCQNIQLSDDHSSGAKRRKGIDDHIALLNPSASSRIQNVGDQQTEVSSQQERISMEEDNQKSCSKMQNKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELSFDIEQILSKQMMLSQDRHLAFYGVDPGSSALVAHFNQGIMQPEMLCNVSNPADVLQGTTIQDISTVNQIPAMWEGLQNIPHLNYNPGGAMAEGSTNNSGSMKIEK >KN541998.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541998.1:9466:18552:-1 gene:KN541998.1_FG002 transcript:KN541998.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MVTVAAEAEAEKDGHTAHAAEEAAAAEEGVEREGGGEGNAEGEEGPDAAARGGEEGKEEEEREVSFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIRKSAPNVFILVPTRELCQQVHNEASSLLEFCTSKLKVVQVNASMSDKDIKVALSGPPNILVTTPACVASCISKGIIRGSSIKESLSMMILDEISCDAKDKMLYILALLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDNKSKEERQANKGNKKDSRVSRKQLQQTLDAEFGVVRGIDFKNVFTFPFDLLFLKQVVNYDMPPDPAGYVHRVGRTGRANKTGASISLVSPKENGIFEDIENMLKGVENRDTSCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFDENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNVVKLSRAAMDIDKPRRRKRMGFKGGSGRSSDPLKTFSAELQMDAFFQLHMRSFGNMAVLKCSGLVKYANGSAVRCSLKAYYLWGNHGGEGGRKETENRIEERGRRWKANLL >KN547206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547206.1:172:388:-1 gene:KN547206.1_FG001 transcript:KN547206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDAIKNAQKNHPDVRVIYADFFTPVIRIVQSPGTF >KN541107.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541107.1:1153:4350:-1 gene:KN541107.1_FG001 transcript:KN541107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKAIPLIDISPLVGKIDDPSMVNDEDLLQVVQMLDDACREAGFFYVKGHGIAESLMKEVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENITKGKPDMHEAIDCYTPIEPGKYGDLAKPMVGSNLWPKYPSNFDVLLENYISLLRDLSRKIMRGIALALGAPVDAFEGLLTLVNQDDDICALEVRNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGVAKYEKVVYGEHLVQKVLTNFVM >KN541107.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541107.1:22701:33309:-1 gene:KN541107.1_FG002 transcript:KN541107.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGVRASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPELKFPPLPERGDFDLKDVLGSPYFFN >KN541107.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541107.1:8376:18216:1 gene:KN541107.1_FG003 transcript:KN541107.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHEDIGKGEVHMVDKDQVEKEDAGLVSFGDASKPEDNHNGPWKHKGDALIIVPYDGLCRPSEVVIESLAIWVRIYDLPEAMMITGFAHHLGEKLCKVLEVGGAVRDYLRVRVDYPLAEALKVQLKARVKGRGLMIFPLKYENVPFFCFSCGRFGHAERECLEDESNLNRVRFGKELRASPTKRSALRLLSIPAAPQKVARVVNFRGAQKDKVLSAGSASNLASPRRQSLDPEVTKVGHANEEKMAQETLAPMVSSALEKGAQHMQKKMKGLAIKEGGKISRPKVANWGRDIGKSKKGSIKLSPRAMIALKSTVVDFNHQQEHPSLNTSHYEASCRDKSNKLIEY >AMDW01023021.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023021.1:48:200:1 gene:AMDW01023021.1_FG001 transcript:AMDW01023021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVFEAADMYAMERLKLMCASNLCRFIGDDTASGIMELAKAHSCDPLKK >KN542475.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542475.1:1526:4321:1 gene:KN542475.1_FG001 transcript:KN542475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPEDVLLEIFSRLPARSAARLRAMSRSWRAELSSPSFVDLHLRRANCRLAAPPKLFCGPCDDKLMRADQWCLYDLQLGGGPGRELVRGGEFGDVLPAPLTKPLRGLVLVMCYGRNGVYVCNPSAGGEALALPDTELPSKATFRPSLGPGPPYYRNVAYGLGYCSAAKEFKVVRVFSEGHYEETATRCEVFVLDSLACWRPAAGKPPPACIVENTGVFLDGDGHGPCRLWLLRRHGGGDETAARWEKLCCIDPIPWPSRSIVPLCMYGEKILMRTGRSVVFAVDAAGGGAPEILFRPDEHEATAGEFEDTQLPALGLYEESLVPVGRTVEEIVFSSPATRAWSDVLKWLPARTVAGLTRLVALDCRNAVARHHYDVVRGPPPPPRPGRVSVLRLHGALCVACSDRDANAIDVWAMQQGAGTDAVWSMAYRIELAGHSPEYTSEKTTVMGVDPTSGRILLNTEQSLGYYDPKTGELETIYRVRRIFLRTVWIA >KN542475.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542475.1:14834:15143:1 gene:KN542475.1_FG002 transcript:KN542475.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGFGDARSGNGSRSHCSRGKAMLLALGKALPEQVLPQEKVVETYLQDTICDDPATRAKLERLCLRICRCQHIVGLVLLKKITGP >KN542475.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542475.1:6230:6669:-1 gene:KN542475.1_FG003 transcript:KN542475.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSAASRRVAPSPIQQLSHLAQLAGAVNLAEGFPDFPAPAHVKAAAAAAIAADLNQYSDINACSVLYPRHVQGICDALAETMKRRHGLRVDPLTDFAVCCGQSEAFAAAIFASSTPLLQPNGIQ >KN547023.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547023.1:4:305:-1 gene:KN547023.1_FG001 transcript:KN547023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQVSHPDMVKDIGRWTPSELGKPNYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKGMIQLIEDATVT >AMDW01018861.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018861.1:138:275:-1 gene:AMDW01018861.1_FG001 transcript:AMDW01018861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVDRVVVEAAAMRRDRPELAHQSFNARARVYIEESGLVALVK >AMDW01010618.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010618.1:71:166:-1 gene:AMDW01010618.1_FG001 transcript:AMDW01010618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEAEMKALWARLDVDRRRDGDLAAGNGKQ >AMDW01012977.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012977.1:17:226:1 gene:AMDW01012977.1_FG001 transcript:AMDW01012977.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLSWLMVMLMLVASSNNAAGQLRTGYYRETCPHAEEMVFRETARIIRASPDLAAALLRLHYHDCF >AMDW01040854.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040854.1:10:2103:1 gene:AMDW01040854.1_FG001 transcript:AMDW01040854.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALGFLLRCLGAAGLPDTAVRAFDAARTSFGCTPNSYTYNCLLDALAKAGRADDAQARLREMVARCGDGSVDKYTLTSLLRCYCNAGRPDDANDVFERMSERGWVDEHVLTTLMVAFSKWGKVDGAVELLGSMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMVSYGFVVDLAMYSVLIEGLCQQKDIARAVKLFEEMKSSGVAPDVRLLKKVIEAFCREGDFAVIGPFINENAEYLKSGSVVPLNNVVLEELVHRGEVEAAYQLLRSMVCGGQAVNNDVAGGAHMLHIREDAKPNSDSFNIVVCGLCKVKKLDMALALTKDMISLGCKGKILMFNDLIHELCNMDRLEEGYGIFNQMKDLGLTPSEFTYNSLFYGICRRKDPKAALDLLREMQTNGHPPWIKNCTEMVQQLCFSGRVTEAVQFLDGMLQIGFLPDIVTYSAAMNGMCNTGEVDDALHLFRDISCKYYLPDVVAHNILINGFRKSSKLDEAQKIMEEMLDKGLFPSVVTYNLMIDVCCKTGRIEKAISYLDKMVDEEKQPTVITYTSLIDGFCSAGRPDEAVKLWCEMREKGCAPNDIAYTAFINGLRKCGRIETALAYFEEMVTKGFELDTFSLLYFINFLISNGHSMKGCELLKEVLQKDTYGNNLKMVGLINEAVVELSKDGITSSDILKFVDKCLVSSSQTLANKDGDK >KN540430.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540430.1:135:935:1 gene:KN540430.1_FG001 transcript:KN540430.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMWALEYDPDIFSTYEHIGAATDVTPGHRQKIKSIRQFGKYERDNMKNGATSDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKIQGKKP >KN540430.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540430.1:45964:50147:-1 gene:KN540430.1_FG002 transcript:KN540430.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene isomerase D27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03055) UniProtKB/Swiss-Prot;Acc:Q7XA78] MGRSNTTWLKNEKDGYESLIDAALAISRIFSLDKQSEIVTQALERALPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVMESEVEGRKEKNVVYIPKCRFLESTNCVGMCTNLCKIPCQKFIQDSLGMKVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCVAKQNHGVNCSI >KN540430.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540430.1:42112:43662:1 gene:KN540430.1_FG003 transcript:KN540430.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEAGDMGAARKVFDGMPRRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVVPTEATLVTLVSGYAGFGSWEGRGMMHAIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFRQMAVKDSVTWSAMVGGLVQNGRPDSALKLFRWMVSNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTVLIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGIDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLISMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHGNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIRPGCSWMEGV >KN540904.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540904.1:37649:38848:1 gene:KN540904.1_FG001 transcript:KN540904.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLASGAGDDGPAPSPASTAVTTTRACHVLKIDGYSSTLSANRYRSLSSFPFNAGGHTWHINYRRLGSSDSSRDYISFYLVLEDQVVADEITVTAQITFSLLDQDRRKPVPSHTHTTRPFSFSPDCISFGFDKFIRRKDLEESEHLKDDCFAIGVDVVIVTKDEVSSVQTPPPSDIHRHYGDLLSSKLGTDVEFVVGGETFTAHRLVLAARSPVFKIELFGTTEERTTPNAIPINDMDAQVFKAMLSFIYTDTWPEIDQENEAAMAQHLLIAAERYGLDRLKLMCEDRLCNGIIDMGSVTANLVLAENHYCHSLKKACLELLGSWTTLAEFMVTDDFRYLTKSCPGILNDLICNVVAREMERTIFFLGNKGRQCDSIHIRLQPWKNSAAQKDQLQR >KN540904.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540904.1:92:3717:1 gene:KN540904.1_FG002 transcript:KN540904.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERILEQIIEERKQIKERSTGGGAGADDEAAAAGNECFLDVLLRLQKEGDTPIPITNETMMLLLHNMFSAGSETSSTTLNWTMAELIKSPRVMAKVHDEVRQAFQGKNTITDDDVAKLSYLKMVTKESLRMHCPVPLLGPRRCRETCKVMGYDVPKGTIVFVNAWAICRDSKYWKSAEEFKPERFENISIDYNGNNFEFLPFGSGRRICPGITLGMANVEFPLASLLYHFDWKLPNQMEPEEIDMREAPGLVGPKRTSLYLHPVTRVTPSSV >KN540904.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540904.1:23751:31050:-1 gene:KN540904.1_FG003 transcript:KN540904.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDECEYLEKTVEPSAPSTNGSGEKDRGGSRRRSSGGGGRDDEERGSKRSRSGEDRDRDRDHVGKMTVQSQKLIQKEIKGLYLPSRLVSQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQVLLGQQVMVKPSEAEKNLVQSNVASGGIASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQAGLQVGGATTGDLDDDEGGGLALNASSRAMLMRKLDRSGTATSITGGIGIPGVNTSVELPSASVTGAPLPTTSLIQPTIPAIGTVPGIQIPGTQSADIGSPTEFLLLKNMFDPAVETDPDFDLDIKDDVQDECSKFGAVNHIFVDKNTAGFVYLHFDSVAAATAAQRALHGRWFAGKMITATFMTAQQYKMKFPN >KN540904.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540904.1:19221:22650:1 gene:KN540904.1_FG004 transcript:KN540904.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLLLLAMAVSVVSIVTISKLVYRATNKPRLNLPPGPWTLPVIGSLHHLAMRSPAIHRSMRALAEKHGPLMQVWLGEVPAVVVSSTEAAEEVLRNQDARFADRFITTTLGAITFGGGDLAFAPYGERWRHLKMLCTQQLLTAARVRSFRRIREEEVARLVRDLAAGGEVAVNLSEKIARLVNDIMVRCCVGGRSKHRDEFLDALCTALSQTSWLTVADLFPSSRLARMLGTAPRRALASRKKMELILEQIIQEREEMTTDMSGDGEAGPTNECFLDVLLRLQKEGDTPIPITMELIVMLLFDIVSGGTETSTIVLNWTMAELIRTPRVMAKACAEVRQTFQSKSTITEDDDISGLTYLKMVIKESLRMHCPVPLLGPRRCRETCKVMGYDILKDTTVFVNAWAMCRSSTYWNDAEEFKPERFENKCIDYKGSNFEFVPFGSGRRMCAGMNLGMADVELPLASLLYHFDWKLPDGMFPEDIDMQEAPGLFGGRRTSLILCPITRVAPTDLQVIV >KN540904.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540904.1:10242:14583:1 gene:KN540904.1_FG005 transcript:KN540904.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLPLALTVLVSVSVLIAVVISKLVSYATKPRLNLPPGPWKLPISLGEVFAVVVSSPEAAEEVLRNQDVTFADRFLSTTIGVITFGGNDMAFAPYGERWRQLRKLCTLELLSAARVRSFRRIREEEVARLVRDLAASAAAGEAVNLSGRIAKLINDVVVRCCVGGRSEHRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGAAPRKALASRKKIEHILEQIIQERKRIMDRSSHGGDGDGDGEAMNTSECFLDVLLRLQKDGNTPIPITNEVIVVLLFDMFSGGSETSSSTLIWTMAELIRKPKVMAKAHVEVRQAFQGKNTITEDDGVNELTYLKMVIKESLRMHCPVPLLGPRKCRETCKVMGYDIPKDTTVFVNAWAICRDPKYWDDAEEFQPERFENKSIDYKGSNFEFLPFGSGRRMCAAMNLGIANVELPLASLLYHFDWKLPDGMMPEDVDMQDAPGILVGKRSSLIMCPVTRVAPSNPQVIAS >KN540904.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540904.1:35581:36618:-1 gene:KN540904.1_FG006 transcript:KN540904.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTLTSSAGDVPPPRSASTIVAARANHVLKIDGYSSALEANCKLRSFSFSAGGHTWHINYCCTDYISFYLVLEDAVDEPVMGQVTFSLLDQDGNPVLSRTHTTRTFSFSLNSSFGFHKFIRRKDLEQSEHLKDDCFAVSVHLVITKGAPSVKVPPSNLHSHYGDLLSSKRGADVEFMVRGEIFAAHRLVLAARSPVFKAELFGPLKEGTTTDAIQIDDMDAQVFEALLIFIYTDMLPKMDQEDEVAMAQHLLVASDMYGLQRLMLICEDRLCNHINTDSVAIMLVLAEKHHCIRLKEVCFEFLSSSTALVEFMESSDFLYFIRSCPTVLKDLIYNVAAHGK >AMDW01036515.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036515.1:86:196:1 gene:AMDW01036515.1_FG001 transcript:AMDW01036515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYLDMAQGMLIEPPPVTGQSTWAEEDYDCEVNLWSY >KN542718.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542718.1:228:1226:-1 gene:KN542718.1_FG001 transcript:KN542718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDGHDGHDGDEDGDDEEDGDDGEEEEEDDDEEDDDDDSTPDASPRAEAKAEGESSTGMAGCANQPAEPDPFLDGDDSGTEEEQAAFMVELERFHREHGLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKENFPFSVLSVTSKWSPTSIFFLQSVSYCINFI >KN542718.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542718.1:7784:13124:1 gene:KN542718.1_FG002 transcript:KN542718.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPLSLVSIVGLTTVFAELCTTYYKDEGRRVKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPTQRLVEVKAVAEQFHFKISTILLHGGKVVGAITWFRKHIRSYERVVGSPEVAFLHWEWFSRQFLVFGELIETTSTTVPDTLSPRFGTADNALTEWEFQPAYYYQLAANYLREKRYALEFSSSSVSLTKGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFRSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWATLLWENLGYLRECARKLKSLKDFISYSLEMAALPLFSGSGQGNSENKRKNGPAGSPTISSRELIQQEVINILEGKHASENTDDEFDLHLMEESTHLDIDQISPLRIVLLASVAFHDQSVKPDSPMLVSVSLQSHLPCPVMIDKLEVQFNQSDCNFVIVSAQEDCCASNPHVHDGAVQTPLTLFTDKWMRLTHEVKPGQSGKLECLAVKATISKRLVVCCQAESPVSMGEFSLWKFEDQVEALPMKDNVLAFSGQKLIQVEEPDAQVDLVLDCPGPALVGELFTVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHNVELLGVSDVTTENGSKEEVDSIRKIQHSFGVVSVPTLYAGDSWSCKLEIKWHQAMSVMFYVSLGYSLDSTEEAALHRLNVHRSLQIEGKIPMIVSQQFLRPFRREPLLLSRIRSSSDDDKKSSLAFNESNMLILSARNCTEVPLRLHTMTIASNDDGKQLCSVQQISGISNEYAVIAPSEEYKGIFSVNPHTISPSFFLGEICLSWSRDSSIGESQDSRVIMKERLPEVHIEEPPLVVTMECPPYAILGIPFTFHVKIYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIVSHKLVPLGSGSQQLPRITITSV >AMDW01037189.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037189.1:57:269:-1 gene:AMDW01037189.1_FG001 transcript:AMDW01037189.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSQQVQEALQGMLKMTGEIEQCGGEIEAEIERAKEAVADKGRALDDDRERFQKAAVAALNILSGGAAGDI >KN542286.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542286.1:10993:11325:1 gene:KN542286.1_FG001 transcript:KN542286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDRDDLSLMSDEYDSDLCSMTDEYDILYPKIVSCCRHY >KN539641.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539641.1:49295:49865:-1 gene:KN539641.1_FG001 transcript:KN539641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGSSSSSSGVDVLVGERPDQISASAFCCDSSAPAYSDCGACVAMAFRHARWLCGYSRRAMVDLGACRVSYHDVGRVELEIQASLAVRIFDEHTSSWWRIVLTHDFPMMVVFQVIGVAWVLFMFLQEWRDGRRRRAQANRLP >KN539641.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539641.1:80464:81315:1 gene:KN539641.1_FG002 transcript:KN539641.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISPTFAFLPQCKEVIPLDSCNGLLLCRCLQFDGVSKFHYAVCNPATEEWVMLPDRGIGLLARSCLCFDPAISSHFHVVEYVEDEAECVTGVEIYSSKTGLWSLHANGWNGVVLVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPVPDPDNDIGIIHQTQGCLCAFNVDLLDIFKLSIWFLEDYDTNNWILKHTVSSLDLFEGKNYRLDFEYQVIAVHPDCNLIFFVYGLDNTLMAYEMDRKEVRVIRNLGHDYCWPYLPYVPLFSKLLTDGR >KN539641.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539641.1:7007:12389:1 gene:KN539641.1_FG003 transcript:KN539641.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRAGCLSMLLTAAVVLLALAPRGAAYPWQVSALALCRGDANATECSGCLATAFQDVQNMCAYDKDAAIYYDPCILYYSNVPFLSSVDNAASTSRVNLQNVTSDPGRFNGMVAALVNATADYAARNSTRRESEFPKVYSWAQCTPDLTPAQCGDCLAAIIAKLPRLFTNRIGGRVLGVRCSYRYEVNPFLNGLVMVHLTAPPIPTASPPAAAAAAAGEGKDYNVPRLVLAILLPTIAALVLINILVWLCFWRRMERLRSGATQPYSSNSAESENISSVESMLIDISTLRAATGCFAERNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSAQGVGELKNELALVAKLQHKNLVRLVGVCLEQEERLLVYEFVPNRSLDQILFDADKRQQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDMNMNPKISDFGLARLFGRDQTQGVTNLVIGTYGYMSPEYAMRGNYSLKSDVFSFGVMVLEIVTGKKNNDCYNSLQSEDLLTLVSTNHMILLVCSWKNEMWEQWTARAVSEMVDPVMGGGFSWSDVMRCIHIGLLCVQENPADRPVMSSVVMMLGSDTVSLRAPSKPAFCARRNGGGGGGASSGGTSSGTSTAASVQGK >KN539641.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539641.1:53192:59322:-1 gene:KN539641.1_FG004 transcript:KN539641.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVVLFFFSLPPPLAATAAYTEYSCNGTRGNFTEGSAFGLNLELLAAELPANASSSRSLFASAALPTVYGLAQCTPDLSPAQCWHCFQGLQEQNRQWYDGRQGGRILGVRCNFRYESYQFYAGTPDVRIGLQDVAPSPTANNGTNHRKTLVIVLSVSITVFCFMLVGCLLLIKKLRKGDGRKSNRQLEAHSRNSSKTEEALKLWRIEESSTDFTLYDFGDLAAATDNFSEDHRLGRGGFGPVYRATVNVDENADDLGELSDGAEIAVKRLAAQSGQGLKEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPLLDWKKRLHIIEGVAQGLLYLHKHSRDKISGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGYAWQLWREERGSELIDTTLSECSGSEAAAIIRFFEVLDGNHSHLKELCSCPQCEHAHDTILFSEKYESS >KN539641.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539641.1:71565:72683:-1 gene:KN539641.1_FG005 transcript:KN539641.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPNKRRNPAASLTDELIVEVLRRLPIRSVCQFKCVSKSWRRLIADHEHRKKLPQTLSGFFYESMNHERCPYTARHFTNVTGKGMPLVCPSFSFLPQCHDVYLLDCCNGLLLCRCYVSRGTSQFHYAVCNPATKKWVMLPDANWAVDERRTARLCFDPAISSHFHVLEYVEDEDSYVTGVEIYSSETGLWTLHENGWNDEEVSLDVDRTSVFLNGFLHSVTYAAKIVVVDMEGKKWRRIPMPDPDGLDNGIIHQTQGRLCAFHVDPNDIFKLSIWFLEDYDTDNWILKHTMSSMKLFGGKKYRLDSSYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRAIRKLGHESRQPYLPYVPMFSESLADGR >KN539641.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539641.1:3522:6012:-1 gene:KN539641.1_FG006 transcript:KN539641.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPSTRTARRRRVAGISAGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKVLFLMRKSLFQGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFAHASRGNALSWDQQYNIIFGIAKGIMYLHEDSCIRIIHRDLKANNILLDEDMDPKIADFGLARLIGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGTTDTVNLLSDVIDQSLEGHFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDNMELQPPTEPAFFFNGNSNSASQTSDQRVYVYDRSGKIYEEDISANGITLTDIYPR >KN539641.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539641.1:86900:88033:-1 gene:KN539641.1_FG007 transcript:KN539641.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPMVRRNPAASLTDDLIVEILRRLPVRSVCRFKRVCRSWRNLIADREHRKKLPQTLSGFFYKSSNGERCPCSAHHFTNVSGKGIPLIYPSFSFLPQCDTVVPLDCCNGLLLCRCFQPGPNNSDDEVWVFHYVVCNPATKEWVMLPDANWANGETRIACLCFDPAISSHFHVLEYVEAEYEDVTGVEIYSSETGLWTLHVSGWGDDVVVRHCANPRSVFLNGFLHSATCAAEIAVVDMEGKKWRTIAMPEPEGDTGIIHQTQGHLYAFNVDPHDIFKLSIWILEDYDTDNWILKHTVSSLRLFGGKNYRLDFEYQIIAVHPECNLIFFVYGWDNTLMAYEMDRKEVRIIRNLGHDTSDPYLPYVPLFYESFADGR >KN539641.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539641.1:61464:65975:-1 gene:KN539641.1_FG008 transcript:KN539641.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGGELPADIDRLGKNLTYLALNNNFAGEIPAVVSKLKNLKVLTLNCKQLTVMISAALGELTSLTAGELLGLFKNLRGLKTVWLAQCNLTGEFPSYVTEMMEIEYLDLSQNSFAGSRPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLVVMANNFSGDIPASLAQLPSLVIMKLFENNLSGQLPAELGKHSPFLRDIEVDNIDLTGPGESLPNKRRNPAASLTDELIVEILRRLPIRSVCQFKCVSKSWRRLIADHEHRKKLPQTLSGFFYKSINYERCPSTARHFTNVTGKGMPLVCPSFSFLPQCHDVYLLDCCNGLLLCRCYVSRETLQFHYAVCNPATKEWVMLPDASWAIDENRTACLCFDPAISSHFHMLEYVEEEDDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPMLDPDGDIGIIHQTQGHMCAFNVDPNDIFKLSIWFLEDYDTDNWILKHTVSSMKLFGGKKYQLDYDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRVIRKLGHESCQPYLPYVPMFSEALPNGR >KN539641.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539641.1:13338:16781:-1 gene:KN539641.1_FG009 transcript:KN539641.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDTAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEVGPFFAGGSMLRLPAPATSTPAAPTGGRKKNKSGTALAIALPLVVILLATVAICLSVQWWRRRSRSKQQPSNSIQMAEDIENTDSLFIDLSTLRAATGNFSESNRIGGGGFGSVYKGVLPSGEEIAVKRLSMSSRQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFGTIFYSEFNNCTNLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYNPKISDFGFARLFEGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSRVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSSNTVSLQSPSKPSFFIPKSGTDSNIYSESYPQTSQPTHRSGMMSVNDVSVTELEPR >KN539641.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539641.1:155:1093:-1 gene:KN539641.1_FG010 transcript:KN539641.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGYGILAVVLVLLPPSSATPAGIADCDLGVGNTYVGNSTFEANLNHLAAELPGNVSAAHTGGFAVATVGADPDQVFALALCRGDVINASACRACVAAAFVDGRKACPGINGVTVYEDACVVRFSGQRFMDFLSPDQWQILAALVDHAVATATGNNSTTKKYFATGEEDFDPNIYGFAQCVPDLTPEQCNDCLKDLLSEAKQWYLGKSLSWVRMNSVWCRLMYSVQPFYGGRAMLQLSAPPPEAPVLSLEPGA >KN539641.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539641.1:75223:76332:-1 gene:KN539641.1_FG011 transcript:KN539641.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPVGRNLVASLTDDLVVDILRRLPVRSVCRSKGVCPYWRDLIADHDHRKKMPQTLSGFFYTGFSEERLAAEHHFTNVTGIGMPLISPTFAFLPQCKEVIPLDSCNGLLLCRCLQFDGVSKFHYAVCNPATEEWVMLPDANWAIDENRIACLCFDPAISSHFHVVEYVEDEAECVTGVEIYSSKTGLWSLHANGWNGVVLVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPVPDPDNDIGIIHQTQGCLCAFNVDLLDIFKLSIWFLENYDTNNWILKHTVSSLDLFEGKNYRLDFEYQVIAVHPECNLIFFVYGLDNTLMAYEMDRKEVRVIRNLGHDYCWPYLPYVPLFSKLLTDGR >KN539641.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539641.1:38034:39139:-1 gene:KN539641.1_FG012 transcript:KN539641.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATILLLVLALVAPQLSAADADALVDDGHTPPPFHMCGILPGPYAANSTYEGNLRYLATTLPAKLCPYSRHAVVHLGGGACSVRYYDVERTEHAEVLMVSSGGITKEHTSFWWNFRLHNWYKRIAFQVSLQVIGVACVLFMFLREWRDRKRGTAKLLP >AMDW01011649.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011649.1:79:213:1 gene:AMDW01011649.1_FG001 transcript:AMDW01011649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQ >KN542092.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542092.1:16041:17858:1 gene:KN542092.1_FG001 transcript:KN542092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVFIEYKFDYLEASWMTVIGEWVPERRISAGEHNATIVFHFYSHEGCKYISVFLLLDDNEIDPKISIIFEVFLMDKDGKPSSHHAKRTMMEPNMETASGLRFTGWRHFVKQSDLQPDHVSDKGTVTFVCAGSWLCATTSTAILSPCRPPIWVPSSELWGAAPTARTCRSAVGGETFHAHRAALAARSPVFRAELLGSMAEATMPCVTLHDIEPATFKALLHFVYTDVLPPLSGTSDLLEPLLAAADRYALDRLKLMCAQKLWELVSVETVAATLTCAEMYNCSELKSRCFNFLTADSNFKKVVVTKGYFHLARVGRDGCHNSDLCRDGCHNCLELRSRCLDFFTADNNFKKVVLTKGYFQLGQSFPLRGDKSED >AMDW01036701.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036701.1:65:554:-1 gene:AMDW01036701.1_FG001 transcript:AMDW01036701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHINLSRVRSLTVFGQCPASLISPKLRLLRVLDLEDALGLKNDDLKHVGDLCHLRYLGLRGTNISRLPSSLQNLKYLETLDVQDTKVTHLPDGTAKLDKLRYLLAGVNFAEDLAKKMQESNVEHNEAQSNAGLFETMADFLYRCCHGFRLSECRESSSSCLA >KN540765.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540765.1:9083:15649:-1 gene:KN540765.1_FG001 transcript:KN540765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLSQTGKWEKLVGLPSPLPLPRRMELYLEAVAFAGQLWWVDPTWGAISADPFSHRPELRFVELPRGSVWPMPSTHPVQALGMFRRLGVSEGRLRYVELSNQDPFVLSSFALDDHGGSWTMEHQVELAPLCREHVNGGGLPSKDYTSTPRIGVIDPLNSSCICVLIGKHVLAIDMDMGKVLGCSLTDESEGSPWAITTCLKPCVLPPWLGSSQIPNAASKQFTISLIFFPASGDGLLLFAYVDSHAPLSVVSKAFAAGATPTREGELDLDGLDPQDQDLTRFVCNPITGELFRLPDIDGTKKTFFWRHTGLLTRSAAGHGPPDSYAVAMLREHSNSGTFHMWRFLSRTGKWDKIDGLPSPLPLPRRLDIDTEAVAFARRLWWVDLTWGVISADPFSDRPELHFVELPRGSVWPMPSEDLVVEVQSIHRRVGVSEGRLRYVEVSDKDPFVLSSFALDDDGGSWTLEHRVALGRICEVKGGGPEVTPRIAVIDPLNSSVICVIVGKHVLSVDMEMGKVLGSSPIQEGEGSPWFITSILKSCVLPPWLASSKIPAAGTSSSNKGDAKSKTLSDILVRVDRDKKN >KN542092.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542092.1:617:1574:1 gene:KN542092.1_FG002 transcript:KN542092.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHHSSVHVNMFTGGGFMPFVLDYSETKNHAVGSLVCSDEFSAGGHLWRIECYPHGTKTAAKNGGEYVSLFVSLMSKSGSGAKAFFVADVLNGGGTPFERDEKRITAIREFERNGDNWGWHQFLNRADLEKNCVTGSGLVTFICGIAVLCRGGEKFAAHRAVLAARALLKFISTDDLPGGDTGELDGSPIDTFLQHLLAMADRYALDRLKLMCAQRLLQNMTADSVADILACAETYNCPELKNKCIDFFAAENNFKKAAFTDGFAMLLQKFPVIAAELKKRVGI >KN542092.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542092.1:8326:9409:1 gene:KN542092.1_FG003 transcript:KN542092.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGFVELKLDYSATNASAIGDPINSDLFTAGGLTWRLVSKSKNIKAIFDAFMVDEHGNPSDGSNRAELFGCKSESTSPPPSSSSCITLQGIEPAIFRALLRFIYTDELPADAGKLHEGSSSTNVFFKHLLAMADRYALDRLKIMCGQRLLDNMTADSVAAIMVCAEMYNCPELKNKCIDFFAVEENFRKAVFTDGFALLMQKFPVIVAELKKRVEKL >KN540765.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540765.1:39972:42749:-1 gene:KN540765.1_FG002 transcript:KN540765.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAMVGTGGIGKTKLAKEGVQRRGHQGGVRQEDMAQCDARRERGGPPEDGHQVCRRRQRWSREQQVTTRASARRRHQGQELLKAPFSHGAAGSRLLITTRHDEVTQRMEAMQPFHHVDKLYPQDAWLLLKKQVASSDDMEEVEIDDTLKDIGMEIIEKCGGLPLAVKVMGGLLRRREKRRSDWQQVLQDFMWSVPPALLDDAYLEIESENLVRLPNSLVKLGQLRHPSLVKLGRLRHLDLLGVMHAPAIKRVGPKFMTIRPSSSQHHHGAHQLSSIESFASLVKLELQDNPDLERVTSLPKLQKLIIVGCPKMRALEGVPELRGLELEDYDMEQLPRYLQASRVLELLTSIALGESGPEWGKLSHVQHVKAYADQRDDERKWHMLYTREPYSFETNIGDNSSSSTGVN >KN542092.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542092.1:7145:7573:1 gene:KN542092.1_FG004 transcript:KN542092.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTSSCITLKDIDAATFRALLRFIYTDDLPAADAGKLNHQGSSTTGAFFQHLLAMADRYALDRLKLMCGQRLLHNMTSDSVAEILACAETYDCPELKNKCIDFFAVEENFRRAVFTDGFALLVQKFPLIAAELKKRIVKP >KN542092.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN542092.1:4498:5547:1 gene:KN542092.1_FG005 transcript:KN542092.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCFVPFVLDYPETKNLAAGDLVSSDDISAGGHLWRIECYPHGESNEEKGMYVSLFLTLLTKRKSNGVVKAIFEAFLLTRDGQPSSSDYYRRAKLHEFESSGEGLGWSNFVRRSDQEETFVAAESGRVTFICGIAVAVAVADPLRLVVTPPPPEIGAHLGRLLDSGDGSDVSFVVGGETFPAHRAVLAARSPVFRAELFGDMSESTSPSITLQEMEPATFRALLRFIYTDDLPGHNGDGDDDDEHDGGSPTSTDTFQHLLAVADRYALDRLKLMCAQRLLQNMTADSVADILALTETYSCPELKNKCIGFFAAENNFKKAVFTDGFGVLLQKFPTIAAELKKRVGMK >KN540765.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540765.1:38250:38888:1 gene:KN540765.1_FG003 transcript:KN540765.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVQDQSCEGKGGSGKFCSWNPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPTPFTAMKKLDMDEVIKDIAK >KN542092.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN542092.1:13974:14963:1 gene:KN542092.1_FG006 transcript:KN542092.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGFIEYKFDYQQIHKLAIDEKLPATTISTGEHNAKIICYPHGFGDGNGEYISLFFVMLKQIDPKIKVIFEAFLIGKDGTPSSFHAKRTLECWASHEGYDWFGWHRFVKRSDLESLDGSMVTFICGLVVLRNDDGGDDHVAVPPSNLGSQLAAMIEGSSSTSTTDLLQRLLAAADRFALERLKLMCAQKLWESVSVETVIATLCCAEMHSCPELKNRCIDLVVAKDNFMEVAVTKDYFHLGLSFPSLIEEIKARLKK >KN540765.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540765.1:23243:24447:-1 gene:KN540765.1_FG004 transcript:KN540765.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFVCNPISGELFGLPDIDGTKKSAWFSDIGILTQSERPHGPPDRYAVALFREDSEGYRGGDERFAMRRFLSQTGKWDKLVGLPSSLPLHRRRMNTSTQEVVAFAGRLWWVDLSWGALSADPFSDRPELRFVELPRGSVTQPMEKERRELRRFRRVGVSEGRLRYAEVSQEEPFVLKTLSRNKADVKSKSLSDMLVRVDRKKMT >KN541392.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541392.1:17604:21558:-1 gene:KN541392.1_FG001 transcript:KN541392.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAPRAARRLLVASRSLHASASEGAAKEAATSFVHPAAVVHPDAVIGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGRTIIGENNVIGHHAVVGVKCQDLKYKVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVIAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDQEVRMLRKAYQQVFMPSINSQSSFDERLAELEASLILWTGHVSHRVLERSRPFFDC >AMDW01014214.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014214.1:52:225:1 gene:AMDW01014214.1_FG001 transcript:AMDW01014214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNSMGDPGKLVASVVQGMDPETVSIIGKQFGMDLSRDDAARLQDAMKRLSPENLER >KN541392.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541392.1:25854:27983:1 gene:KN541392.1_FG002 transcript:KN541392.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGLLLLVEKVTGREALELHSMERLPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEALAQETEEALKVEESAPIAEKQGEQDDAPTGEKDNKEGAANEEEEKEEDKEMTLEEFEKLREEKRKALLAFKAEERKVEVDKDLQSMQPLSTKKGNDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGRGYGGGYQRGPYSTSAPSIEDQAQFPTLGGKPRA >KN539670.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539670.1:6460:15162:1 gene:KN539670.1_FG001 transcript:KN539670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGWRYCTARLRRLYKSLKFLHGRGKYTKRNITESTVTDVRLFPSPSKSIYYYRHICRFRKAVKWATLFSHLCSLKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMTNFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQAHELLDLEKEGPAYDLFKAKIEVRGQMGAVLSETRSQQAASMTEFSWLGRRFPITNAKTRVSILKAQQLEKDLNGANTESVPADKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDELNGLDKAVSAVLGFRTIERNQLLVSIAKSKFTKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLISSGRDKNKEENTFIQEYELKSLAFRAERCFYLAKSYSSAGKRAEAYALFCHARSITDSALQQLTNSPDKALVQDLKALSDSCRSNSFIEHATGIMEEENVPERLSKGVSTLSLGERKEAFLLDMLESYESALGESNTKTPCRIARFPPPFQSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRFWG >KN538683.1_FGP133 pep scaffold:O_longistaminata_v1.0:KN538683.1:741677:745880:-1 gene:KN538683.1_FG133 transcript:KN538683.1_FGT133 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRIEVKHEHSDFAHDNVTNPEVHAGNVLSADLIEGKLAGVERGAPAEEQEVVDEPLELLDGRRRPAGGADDAQAWGGPPEVAPPPGQHARPHGLVRREEGEDAVQHRVREGADEVASARRGRFLGPFCWRHSVERLCSRPVRRNVSPGQDEENVGGELGEGKDRGPTRRSLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLRIVPDEGFQTVRRLNDFVKHLLLLRDRAAPLDACVINFYCCQFDSYRYPSSDEPDVGLWLRHAVSCKAQLIRVEVYVEDEPVCLPDLPLVSKHLRVLDLRLAA >KN538683.1_FGP134 pep scaffold:O_longistaminata_v1.0:KN538683.1:804955:807916:1 gene:KN538683.1_FG134 transcript:KN538683.1_FGT134 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGGRDRGSGIAATTQITPPAALLTASRLPPPSPCFTSENSSRSSAPPSPPRPLTLPATHYPLSTSAPSAYGLRNCDIASRLEFLIPLLGSYEVLLKTVKRSYRILTSDIEEVIKPNFAQLQECGLTVCDIVKTNPRLLSFNPERIKRYVHRVDMLGVPRCSPAFRMAVCSTNEGSVTARMEFLSRTLGCSMDNILIAVGKRPTILGLSMDNLRRKIEFLVTEVGLKLECIVECLGILRYSLEKRMVPRHSVMEILRARGLMKKGASLYGLIMQGEADFVARYIDTHKDMVHGLADAYNASCFGKMPVVPDSTVKKRHGRRDISCRGFAMFEIWNLLSYMLDNYTCRSALHLADTLLEFSELQQ >KN538683.1_FGP135 pep scaffold:O_longistaminata_v1.0:KN538683.1:730357:730839:1 gene:KN538683.1_FG135 transcript:KN538683.1_FGT135 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPSFHSLFLLLISVHLLASLHTTSGAGLSSPVVQSISCSTSGNYTPAAAYAANLNKFLTDLPVNAVSKNGGFFNGTVGQGAATVYGLAMCSAQFSRADCSICLTAAASSSGNGGLLQRCPGSTTVLAMFDRCLVRYSDRNFLGTAETGIYFVNTPIF >KN539670.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539670.1:78274:79902:-1 gene:KN539670.1_FG002 transcript:KN539670.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKDQLKAVVLLWKWWYARNKANVGDKKLTSLEVCDAVLYHIMDLEKLHQGRTPCMKADGRWKPPPADIYKINSDASFDASTKTGGWGFLARGSNGEFLEGGYGYILRASSLLQAEATAALQSLERVAQLGMTRIILETDAVELQRALTSTDFDRHQDGCLFRQIKAFVSSHFASCLIRHCPRSYDPFGDPKATGNPYCTVFVVCVNEQIWEGEEHVAVVTVLVIEHSIHTIYIMPIQIDVAFCGIDNTLEHWYLRKPEVSIQIDVAFCAVLIKKCITYLRNWLCNLLVRVLNYNELKMICCKYAFLQFHPKPFGSCPLSLNIYFKNGSVAFKVYDELITATAILYGRFVLHI >KN538683.1_FGP136 pep scaffold:O_longistaminata_v1.0:KN538683.1:751313:753610:-1 gene:KN538683.1_FG136 transcript:KN538683.1_FGT136 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRGGSKRACVGSGGGGDRISDLPDEVIHRVLWFLPTHEAVKTSLLSRRWRELWKSTRRLSIAGLSRSPHLLSTTGSGGSSPATVDKLSKFVNHLLLSRKQGPLDECSIHVKLAGTPLVSENLVRLELSEAKFKGKFLDFSCCAALEYLKLRACFISVGKIFSQSLKHLIITRCDFDLVTRTEISVCSLRSLVLNDCDGQTPFLESMPSLERAFVRLGWFAVDHCTEGICGGCHDPCENSCDNDNNSSENTVVTMATVLITIVVITATVLIRTIMMHYVVCVRTAVIMTTSVLTFERDLRWCPTFSNLRMLVLSDYNLDGGFLALLCFLQHTPVLQKLTLKLRKIHGPTVDISSYLKRPVVLRHLRIVEVKCPVSVQEEIFKLWKILITWGRYIVQFNIESTQYILDWTIKMPKLSGRLAKQHRSMAKEARSTTPVFMCCYAHIDCSGKASGVQRLRLSVRGETLDKNVLVE >KN539670.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539670.1:37032:39341:-1 gene:KN539670.1_FG003 transcript:KN539670.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATHVHHYYHHYFSLSEIACELDAAPLTCYTAQMIHGQGDKEVLVQLKNFLQAQNPINHGAYVSWLESEASPCHWKGVGCDDAGHVNSLDLSNSNIAGPLFRNFSRIMRLTHLNLSSNSITGELQDYLKQCQSLQHLNISNNLIGGILDLSSLTNLQTLDVSQNRFQGRIDRNFPGICGNLTFLSVSSNSFTGRIDKLFDGCPKLKHVDLSWNGFTGMVWPGIERLRQFKANNNNLTGRISPGMFTEGCKLRSLNIAINSLYGSFPSSIGNCSNMKFLSLWENSFYGSIPPGIGSIARLEELVLASNSFDGIIPMELTNCTNLKYLDISDNNFGGEVQDVFGKLTCMRSLLLQENNYTGGITSSGILQLPNLIVLDLCYNQFSGDLPSEISSMKNLKVLMLAENNFSGKIPPTYGQLLRLQVLDLSFNSLSGEIPPDIGNLSSLLLLILAGNQISGEIPREIGNCTSLVWLNLAGNQLMGQIPPEMANIGSNPNPTFMENRKNPELLESITSKCVAVEWLPSSYPEFNFVQSLMMSQKNCQTIWNRLAMGYDVLPISSPLRTALGYVQLSRNLLSGEIPSAIGTMKNFSLLLLDGNQLSGHLPAEIGHLQLVALNISSNFISGEIPSEIGHMVTLESLDLSSNNFSGALPSNLNQLTKLSRFNLSYNPLLSGNVPSSGQLSTFDEQSFLGDPLLSLNVTAGSSSDSSPREFSSSDTEEHPTNEEIMVTTIAFLAFFSVTLLTREFHIFMYLYFTASRKIANCRIICT >KN538683.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538683.1:762721:765507:-1 gene:KN538683.1_FG137 transcript:KN538683.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKRGRRMVDQDGGGGGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREVREFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRVNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIISSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNIRFSFL >KN538683.1_FGP138 pep scaffold:O_longistaminata_v1.0:KN538683.1:716905:718923:-1 gene:KN538683.1_FG138 transcript:KN538683.1_FGT138 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSAASSGRVKIGDLPDDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLQILPDDGFRTVRGLNEFAKHLLLLRDRAALLDACVINFDCCEFESYQDLPDDPDVGLWLRHAVSCQAQWIRVEIYVEDDPLCLPDLPLVSKHLRVLELKYVKIKDSLVDFSGCPALEHLKFWGGFIHAHMISSPSVKHLIIGVCGFNRKFRTRISIPSLISLQLKHFWGATPFLEDMPLLVTASVSLSDGCRDRCVNTEFGKCGDPGCFDCGANKVIDCDGCVLLQGLSGTSTLELKAESRVQQVYFLQEPYNFVEIEDSDKPLKQAFPFKNLKIVEIKCHEGDERVNTVLKILSQNIVPLEKISVLQTKRRPRLIDVYLD >KN538683.1_FGP139 pep scaffold:O_longistaminata_v1.0:KN538683.1:810707:811453:1 gene:KN538683.1_FG139 transcript:KN538683.1_FGT139 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKCDIAPRLEFWIGFVGSFDKLLPALKGNNGILMSDLDKVVKPNIALLQECGLSVCEIAKLSTLKWTVLSLSPERVKASVLCVEKLVVPRSSDRFKHVLKSACWISEDMLAMKMEFLRSTLGCSEDKLRAAVCISPHIFYLSDKNLCRKIDFLISEVGLEREFIVERPWVLGYSLEKRMVPRHSVMKILRTMGLMKDAVDFSSSLVYSEKKFVARYIDPYKQAAPTLADSYAAACAGKMPAHVHR >KN538683.1_FGP140 pep scaffold:O_longistaminata_v1.0:KN538683.1:817889:824771:-1 gene:KN538683.1_FG140 transcript:KN538683.1_FGT140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large guanine nucleotide-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT1G31930) UniProtKB/Swiss-Prot;Acc:Q9C516] MAEAVDGGSWKDMLRRILPPGAPIPEAPPNLDYSIALEYDGPPVSYDLPRVDPVEIPTAEPVSGPQRLGNGGGLPAVPVVEPIPLPVSRIARKYESKEFEEMSEQYVAVTKKEKRRACYRCGKRKWESKEACIVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLGPDELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPERIISSNLSFTGKLQANASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNETRDEVHYSARTVPDYLDQKRIQKLLLLGPDGAGTSTIFKQAKYLYGTRFIQEELENIKLIIQSNMFKYLGILLEGRERFEEEALSRSNCTISEDEETQQDENKANGSNSCIYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFALDDRSPMSEPYIENPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFSVALSDYDQVGPPVYGSSRPLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLTTCEWFNDFSPVRTHHNNQSLAQQAYYYVARPERRCNVLDEEVDSIGWQRPLGDGGLGVRAEEDILDGGGSGGLSFLQEMWTLAVTVALGVTTDWEFGRRKRIPTMTVAL >KN539670.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539670.1:26559:27837:1 gene:KN539670.1_FG004 transcript:KN539670.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGILTPTQGVGFLGLQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKHWISGFGAACIGSLALSGYSADLGW >KN538683.1_FGP141 pep scaffold:O_longistaminata_v1.0:KN538683.1:756965:759833:-1 gene:KN538683.1_FG141 transcript:KN538683.1_FGT141 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVSNIAGGGFELDDLPLISRHLTRLELFKLDLTDRFCNFSSCSALKHLNITDSMISCPMISSFAGSLQHLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLEIMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWCPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNVSFGMMDRPAEIPEHLNIVEVKCEEVNENVSKVLKFLCAFNLRFSF >KN538683.1_FGP142 pep scaffold:O_longistaminata_v1.0:KN538683.1:732587:735292:1 gene:KN538683.1_FG142 transcript:KN538683.1_FGT142 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRDKLAQSLSYLTAQATASPQRFAASLTDPYAVVQCTWDLPPDKCKGCLDGLSANASDLFAVKFQGEQKSYSCSVTYSNTTFMVVPFSAAPGESADQNSTSALPSSNGDGSKTGLVVGSVIGVLVVVVGIFALLWYVLRIRRQQRLREELQLLMEQQEQERRQRDSTIDDFLRRISHYLALHHSISEAYGNY >KN539670.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539670.1:81357:84088:1 gene:KN539670.1_FG005 transcript:KN539670.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLVYAGGEIIYEEKAGASYSIPLKITFPATKSTTLEDVKNEIFRGLDFSDVAYSLVIKVRNVVQTNVEEEAYVGEETDNEGNIDEDEDQEDVEEGSEDEENMNDSIALVNSAKSQPLMGRSGKHPIRADDCFFGSKRHFESPLAKGRIFYSKQHLQFAVNEFHISNNMEVRVSTSNKSRLDFKCKDSTCKWKLYAKTTKIGSSWKRQTCQFPHTCRAPADRFDHAQLTAAVIADVIRDDLKENLELSILSIRQLVRQRYKNVKPKYNKLWRGRELAIAQLFGSWEESYALVTPLLEAMKASNPDSGKMWSHRVENLLVKRGNKAGSMHVTSYGDEGGEYEVKVDRERVAVVQGNHTAYVRRDLKYKVIIHNDTPPMCECLKPNLTGIPCAQVLAVCKDRNFNENQFIHPYYSSSTLASTWAGQFHPYGNQNEWPPYIGLVIVPDKRCIIKRTALTE >KN538683.1_FGP143 pep scaffold:O_longistaminata_v1.0:KN538683.1:712891:713244:-1 gene:KN538683.1_FG143 transcript:KN538683.1_FGT143 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSPPADGTLGLAVARHHEISIWTLSSSSSAARWTQRAVVDLARMAAEEEMLPPLTADEEVRLECFAESSGAVLFRLYHGCLFELSLATIEVRFIGYYSKRDTSSMCAYDMDYPA >KN538683.1_FGP144 pep scaffold:O_longistaminata_v1.0:KN538683.1:772591:802112:-1 gene:KN538683.1_FG144 transcript:KN538683.1_FGT144 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKCDVAERLEFWIPFLGGSFETLLKMLRRNNAIVRADVEKVIKPNIALFQECGLTVRDIVKMPGWLFTFNPKRVEAAVERTGKLGVELASSRLKYMLSIAGNITEGNASARMKYLSSTLNCSMDKVEYMVGKMPTIITLSEEKLRSKIEFLSSTLNCCVDKIGHMVCKEPFILAISEEKLRINTEFLSSALGCSIDNICVMVYKMPSILGLSVNNLCRKIEFLVTKVGLEPDYILSKPVLFACSLEKRLMPRHYIVEVLLAKGLIKNAGFLTYAILREKDFVARQSRPWLQLAPPSALAIGGISSGYLQCEIGSFLLVAGGAKGIHACDVAPRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIVKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQVLAAKGLKGLKKDVPFCSYVQLGESCFVKNFIDQHENVVPGLSDAYAALRAGKVPPECPYSLTADAMTCFLNHYYPVQVSLYPFCSISHLFQDHITMIMIVLMIFLEKRLVPRHYVAEALAVKGLIRKGLDFYHCVCMRDEVFVAKYIDHYEDALPGLADAYAAVRAGKLPAQVYYLPAYIANATLHFLRLSVDENERKYDKGNFSNAPFPQSLILYLLITEKEVIYRRLGACRPERFVLRADARNLFDGMSPRKKAKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWRHLWKSATGVRIGVGETDPGGVKDHQEFLDHLLVLRDSAPMETCVLRFSEHSKELIEDAARLNFWFKHALLRKVRFLQLENWEFYDPVPIDELPLVSRHLTRLQLYGISLNDSFLNFSSCPALEHLLFEFCFFECAKISSNSVKRLSITCCSFNATLRVRVDVPSLVSLRLDEFDNRAPVLERMPSLVDAFVRIFFYTKDFCSESNSGDCSHEGCESCYGIKDNKCVLLEGLSNAKTLVLINKQKSFIFRRDLKWCPTFTKLKTLLLNEYWCVPDDYSALACILEHSPVLENLILQIYSEGPEHIMKINGNCSSVDRSAAISAHLEIVEIRCEMIDNFVDEVLKYLSTFNILYVDVAILNSSLLLALIAPMSFAMWTSTSQTAYHVVKLLISMKKGDGFYRYAIFCSRGFLIKDLALLVSPERFLLRQWGRRGDARNLFDGMSPRKMAKESPRSTGGDHIGALPDEVLHHVLSFLPAQEAVWTCLLARRWLHLWKSATGLRIGEDDIYLRCVKDQKEFLDRLLLLRDGAPLDTCVLRFRWLDWFRDEGLDDTVRVNHWFRHALLHKVRFLLLDIDICYHSPFLMDEMPLVSRHLTRLQLKNIGLNNSFLNFSSCPALEHLVFVSCKFDCAKISSSSAKRLSITDSYFSETSRVRIAIPSLVSLQLDDFRGRTPVLEWMPSLVDAFVRVLHCSEDSCSQSDSGDCGREGCEPCYGIKDNNCVLLEGLSEAKTLVLRNERRSCTNLHSSTCTGSRESHSSDLFQVFSFKEIEILEGYDNDQDEGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESCVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDDCESCYGFTNNNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQVLKVLKYLSTFKILGRAHAHAHAHAHAHNLFDGMRQRKKAKRAPCSTGVDRIGALPDEVLHRVLSFLLAPPLEVRHEAAHRRRSTLGSIKERRRFIENLLLLRDSAPLGTFVLRFRECEGIDDIARLNLWYQACSAPQGYNFTVQKLNDIFLNFSSCPALEHLVFDYCILECAKISSSSVKLLSITDSNFSETLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSTVELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKFLRITRCKFSQTSRVHICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVLHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEVYLL >KN538683.1_FGP145 pep scaffold:O_longistaminata_v1.0:KN538683.1:813832:816419:1 gene:KN538683.1_FG145 transcript:KN538683.1_FGT145 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCARPANIARRLHSLRDRVGLSDADIARFLLAGGANAAQAFRGCDIASRLEFWIPFLGSFEMLLKIVRSNYNVLTSDLEKVVKPNIALLQECGLTVCDIAKMFVSHSRVLTMNPKRMEACMRRTDELGVQRSSRQFKYVLSYISRITEGKAAAKMRFLSSILGCSMDNIRGIVCRMPSILGCSEENIRSTIEFLTSTIGCSQDKICAALCKNPNVLGFSDENLRHKINFMITEVGLEPEDIVERLWVLTFSLEKRMVPRHSVIKILRAMGKDVVDFSNSLIYSEEKFIARYIDPYKQAAPTLADTYAGACAGKMLNQKFKTPQEQGSRQSFEMLLKMVKARYRVLTADIEKVIKPNIAALQECGLTVCDIVKMASLAPRMLVCNPKQVEGFVRRADELGMHRSSGIFKYGLSEENLRGKIEFFSSILGCPQEKICAVLCKHPKVIGFSNENLRQKINFMIAVVGLEPEDIVERLWVLTFSLEKRMVPRHSVIQILRAMGNDVVDFSNSLKYSEKKIIARCIDPYKQAAPTLSDAYAAACAGKMSNEVHL >KN538683.1_FGP146 pep scaffold:O_longistaminata_v1.0:KN538683.1:738664:741038:1 gene:KN538683.1_FG146 transcript:KN538683.1_FGT146 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPSPLPSPTPHRSSLHHCRILDPAPTPLPPPGLERRSGDEKRRRGDRGAELPLSGLREGVAPAAASLSIPPQGRVRVPRRFSNRELEAATNNFAVDKILGQGGFGIVYQGQLTDTREHVAVKLQKKINGTAMEEYRKEIKIMSGLKHRNIVRLVGWCDNDEQGNYFIVYELITNGTLEDKLYSPGTADADIYGASTQSGSTYLLQDWRIRHNIAVGIAAGLVYLQSECEKCFLHSDIKPSNVVLDGSFNAKLCDFGLLRGFDHAVSTQRTSTVSGTLGYMEPDFADTNGLKRASDVYSFGVVLLEMACGERPVVPKENLHKNSLVDKVRGFYRSGAILDAADKVLRGQNDEQIKRVLMIGLWCVHPHRKARPNIRQVMHYLTDSTASSFPEDLAPDLPSTASGSASGSAASTSQQARGKGKQVVYHTG >KN538713.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538713.1:212799:225015:1 gene:KN538713.1_FG001 transcript:KN538713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQHLFPAIEHIREQMMTSLHCTIYFLLLLLPHVGFSRPLFPLPSKSNGIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDATTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVNQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEMKDPVFINKHVKWDGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHASKLTDSPKIFLIDDFSVRTQDTNQSYWDITSDDYLAYISNYVVGLGPWKDTVVPAAKNYMMAPTDLVARAHAHNLQVHPYTYRNENQFLHLNYHQDPYAEYDFWINSMGVDGLFTDFTGSLHRYQELSSPVCHLCSGGDRSDQAADVWSVVAFQVPFCSHWKGTKSSPFDNWYNSPISMQMIVVVVDAARRRRADIQSETYVLMEPGEEEEFVSKEELEGRLRGWLERWPGGELPPDLARFDTVDDAVSYLVRSVCELEIDGEVGSVQWYQSTESLAMLKAVTVLYPRRFDRFPNPGFRWLSSTAAAGTGEEAAFRCGGSALNKVYRISFYSLKLFGFGVRSGKMCSYYEPSCLYVHLKFSRYSWKKITSTDFIEDVEYIVYKMMAAELIKQFSNIALGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEVKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDEYLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSQVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFVGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >KN538713.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538713.1:298143:304298:-1 gene:KN538713.1_FG002 transcript:KN538713.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASARDLAVAYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDNKLPWAKKSAKGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDYVYVASHKLLSMAGVKVVKSGFGPDLHVRNALLARYADCDPDLAHAEQMFDEMPRPEVVAWTTMITSYRNRGRTFHALATFRRMLAAHVAPNRVTMIAALGACAAHCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVSDGMHVFSKMAKRNVYTWNSIIGALALAQDGKTALQWFSRMQNDGVQPDEVTLICVLCACAHAGFVDIGRKIFNLAIQGEYGFQPGIKHFGCMVDLLSRSGHLDDAFRVVETMPSQPNAVIWGLLLRGCRAHGDSWLSEHVTMRLVELEPENASHYVLLSNLYAETGRWQEAQGILHWMKKKGLRKDAGWSLPMLEDKSKKYTTDGYLLEYVE >KN538713.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538713.1:164346:167154:-1 gene:KN538713.1_FG003 transcript:KN538713.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCKDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCTACSKDLGICAKCCTCVKALVGRDLSEQESERKELEEAIRGARERERRTLLRLMNKGGGESGPSVPKIADRSREGDIFPAASLDEYAEQARQQDDSDEEEARDFVED >KN538713.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538713.1:138808:142588:-1 gene:KN538713.1_FG004 transcript:KN538713.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTLTYEAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNSELHKGRLQVPKLIKEYIEEVSTQLKIVCNSDSDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGLEERVGATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELVLDECVALLNHMRRLKRSAERAAASQGHGPTIRLCPSRRIPSWNLIARENSSGSLEEEFLISPRTNLHADGGIAGPSNKNCHVQQNVHDGSDSESESIDLNSWTRSGGPLMRTASANKFVSFVQNLEIDTEFRTISSREDRTDVVTPNANFLVSQAIGRESVDNSAMPITPDRSLGNSGYDPHDSNVPRSPFGCSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLSPTGGVELQGTSQEPDVETIQTECLDDASDDDDVGLNAGDEAAIDPRANMSSQNTQHQGSSLENINLSSSVDCEAETITSKSEGSSLFDIGMEIRPTILSTESSMYERSSAKIGLRTVHAEFISNPGAGKGEVDSGAANKESSYFSQTADTVIFSESCETGQHHEVNVEALTSYPVSVPEDDRSGGFNLSEKMATTFIAKADAPISRRDEVEQHNGSPVETFLDTETVQAGPCSSTLEGNTTNNSEEMQVVCTSGTN >KN538713.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538713.1:265528:269346:-1 gene:KN538713.1_FG005 transcript:KN538713.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGAAGKVVGMDWSRRPGDPTGPVVDVEGLSEMEGLGLDSNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALARVLHLQLESVDASQIMQSLHVGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYIVFLTDVHGVYDRPPSDPNAVLLREIAVDENGSWSIVKPALKGNKKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALKGDTSSEDWLGTVIRSSR >KN538713.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538713.1:308589:313982:1 gene:KN538713.1_FG006 transcript:KN538713.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNRQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNGEGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMDGWVMDSQMSTDVHFLIHDKDLHTLRLKAISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLNVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLEVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGAKFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSEKRPPPPPPPPNVAPPPFTRQDIPPPPPSPPPLPITQPPSVPPPPNSPPPLQPATDPSDSQKQRSHPRWQGSLSKSGLHYCSIYASRIELDACRYEHAVSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKLMVHGHISELEIWQIASFAI >KN538713.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538713.1:137433:138403:1 gene:KN538713.1_FG007 transcript:KN538713.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAGQRSSVATNATVDLEVLDCTVCCHPLKPPVLQCGVGHVICSSCHGKLPDKNRCHVCAMDTAYNRCFAVEQILRSILVQEGKRVLRDVDGGHLFLVDVAPAGPAGLAGAVLLLDPHAGAKAKPKFECHVAFHCRATGWRSSSEFPVRSTALSGGSLPADCYAFVVPRVAHPPATASIIVSVHDVSKKRPRNGDIRQHLKSRVN >KN538713.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538713.1:270384:272701:1 gene:KN538713.1_FG008 transcript:KN538713.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKADQLFRKVIDGGSRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSPNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKVMCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >KN538713.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538713.1:230163:233703:-1 gene:KN538713.1_FG009 transcript:KN538713.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGSTLSWNVTRCVAVLEEAGAEYEIVPLDFSKGEHKAPDHLARNLLLISESIERKGMGKVTGVKKAIGRQRTNKCLHLIFRGTKEPFGQVPALQDGDLFLWESRAICKYVCRKNKPELLKDGDLKESAMVDVWLEVESNQYTPALNPILFQCLIRPMMFGAPPDEKVVEENLEKLKKVLEVYEARLTKCKYLAGDYISVADLSHVAGTVCLGATPHASVLDAYPHVKAWWTDLMARPSSQKVASLMKPPA >KN538713.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538713.1:251301:261146:-1 gene:KN538713.1_FG010 transcript:KN538713.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAEGKTAIEFESGGTDALGKEGKEKARSENPKRSLVLGRSVSPKCLTCSRCTPDPGHTFYPVSRRRPPHFEPVVVTAEKRAALPLSSPFAEARRRFPASSHRSARRLGQKSWRCHWLADCNCQCGDWTTSVVGIELVKSQTNTMQGIFACGSISSPHGSCFRPACLAVDDLRLFYKINSITCGAYSWRWCVKKLHMRTNRRQMGTTVRTNAKWLFGGDGRSSSNARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEISEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKEEKQRIDQSEILTLDNIRTSLVRQEDSIIFSLLERAQFCYNADIYDKNAFHVDGFDGSLVEFMVRETEKLHQQVGRYKSPDEHPFFPEDLPEPLLPPLQYPKVLHPIADSININKEIWKMYFDELLPRLVKEGSDGNYGSSALCDTICLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIEHRVEAKAKIFGQEVDLGAEDNGAPPVYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >KN538713.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538713.1:315638:316129:-1 gene:KN538713.1_FG011 transcript:KN538713.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYLPDERHGLPDMLRKLCSDPAALIESSAALTEKVPVGAFMVPRFTLSYKTNAAETLRQLGLRLPFEYPGADLSEMVESSPEAEKIVVSAVYHESFVEVNEEGTEAAAATAVVMTLGCAAPSAPVHVVDFVADHPFMFLIKEDLTGVVVFAGQVTNPSSST >KN538713.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538713.1:161446:161781:-1 gene:KN538713.1_FG012 transcript:KN538713.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding METKGNKLGEVMWEHRLQAAAVVALVAATVVSISAIGPRLGAVVSFFWPLLVSTGFFLVAVAVLLRISPPPSSTIDESGKELIDFVAGCRPEHHPPEAAEAAVDVLPEPEI >KN538713.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538713.1:150613:152692:-1 gene:KN538713.1_FG013 transcript:KN538713.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTYILKLCSSSTLIFSEEFLGWSHVDTINTETYYIFFCIMSWRMPTLHIKRLHVSLLKGFLSWDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPSEFIERSKEHQR >KN538713.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538713.1:182609:183517:-1 gene:KN538713.1_FG014 transcript:KN538713.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSNQAAAAAAAAAEEEAAELARKHTAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDHLCMGHHHHLPSAMPLMHHHHGHHHHADDDKYHVAAAAAALAAEKEAAAAGGGGGGGGDDVDGGGAAHMVGRFPAGGYHRFMGLNNPLGMLVFYSSEAEQFTVDNLGSQGLSLSSARAFHDQTGS >KN538713.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538713.1:225676:228903:-1 gene:KN538713.1_FG015 transcript:KN538713.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLLLLLLLGMSLKGSEGAWCVCRPDVAEAALQKALDYACGHGADCAPVTPSGSCYSPNNVAAHCSYAANSYFQRNSQAKGATCDFGGAATLSSTDPSSGTCKYPATARDKHRNRHGGYKHRHRYKHEHEHEHFFPGLCNCSHGSGGCARGCSLRGEIGVDARRGVAVVGVRAMRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKNQAFDRATGLDPRSIANLFSDAVCIGEKIITGEECFILKLEASAATLRARSAVAFDIIHHTGSVNHKRKLEETWTVEEADFNLYGLTTDYFLPPSDLKKDIDEKRAG >KN538713.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538713.1:246689:250082:1 gene:KN538713.1_FG016 transcript:KN538713.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTNFWKNPSSNRVIPGLESNGKYYGFTGANSFDVTNMQLAFFFGREMSSSPMGHLSMEANIDLKSLQADHGSDVVPPSTRSLNYIALRYSEFPQEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIIASVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIVISLYEIIKDSSMMS >KN538713.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538713.1:293929:296668:-1 gene:KN538713.1_FG017 transcript:KN538713.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPRLVVPIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPASPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTAEWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQSNLSD >KN538713.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538713.1:245004:245204:1 gene:KN538713.1_FG018 transcript:KN538713.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSVGVCTLLLVVASLQLLVVVAVVPTETKTTATAPAMPDEEFLARLCDQQQGATRRRLPWC >KN538713.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538713.1:169694:171641:1 gene:KN538713.1_FG019 transcript:KN538713.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPAFLLKLYVAGALAGSAFFLLEKAFLAPRRQFYGGWDNSRIPALGASAAVNAVILLDIFLYPKKLVYLYFFIPIPAAIMGAILIGADLLRVKGG >KN538713.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538713.1:153026:154802:1 gene:KN538713.1_FG020 transcript:KN538713.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCNGSLAQQLLGQSTSQWQSSRRGSPRECRFDRLQAFEPIRSVRSQAGTTEFFDVSNEQFQCTGVSVVRRVIEPKGLLLPHYTNGASLVYIIQGRGITGPTFPGCPESYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDLNNGANQLDPRQRDFLLAGNKRNPQAYRREVEERSQNIFSGFSTELLSEALGVSSQVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQEQGQMQSRERYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNTQNFPILNLVQMSAVKVNLYQLLIVPQHHVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPIQYKSYQDVYNVAESS >KN538713.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538713.1:318227:320651:-1 gene:KN538713.1_FG021 transcript:KN538713.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKRVLPHGSPRGSPMATRRMDAEADENLRAWSENPGGLGAVAEWAASSGRKKVLGERNGGGDGSGEAASPPSPFSQAKPATSPPSLSCRGEGPYDPKTNYTTPRPEFLRYNPEKRREILLRLEREAEDESSSATSATPTPTPSECVSSGSSVRGGEAELDRADAEEEEIEIPSPRGLDRADAEEEEIEIPSPRGGWARRLLLLLVAAACSCCYIYCMSSSPFPPTQMGLDFAGTTGSVHDASADQVGSLELRAPTEMMGSHHVFEEATDQTVPNDSENAAQLYGPRGGSRKNFMATAAMGLADSCPNVPLGEFTCQIGDRAVEDAQNSKEDFQRSELIVAASEHAEQLGEVVSLNENVTADSIGSTYTADMEEGESGLVHQKETGEDHSQHSQQLASMEKTMEQENEAVYDGEDLENDRLDQATELLEYENPAAAAKAIVAMVKSLWPSIKLHLMEILACLSVAAFAIAAAMLKCFQRSPKGASVSTRRLEQPPLAPNPRLPVLPSPQSVLQPVQLTVPKVEPPVNLKVPTLSPLHKPDLFASFREQVPLPEPIPVSSVNLNNAVQFPLPKQIDSGNRPQKVHQDDAGNARIGRRDIDSSRPPVVALLGEFSLVDASSSRGSSRKGSNDHAGDVAVQEPSVTLRKDVVKMKKETTAIKSPSARKAKKEENAAKVEKMDVTTPTPLRRSNRLLNRVTSP >KN538713.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538713.1:209287:209700:-1 gene:KN538713.1_FG022 transcript:KN538713.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAGAGAGKSLFQTFRKFFKKPWEITGPCASPEYRSALPGALEYRHRCPATLTKDTMAVVPTSEPETVYDIKYYTRDRRRDRPPVRRTLLRKPDLERYMAAKQFDPAKDFPVPYVNTAVEEDYDAVGGGYQK >KN538713.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538713.1:288626:291951:1 gene:KN538713.1_FG023 transcript:KN538713.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTDREAFKARVPVVTYEDLRPEIERIANGDRANIISSHPITEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPFDPYNVYTSPTAAILCTDAFQSMYAQMLCGLVARAEVLRVGAVFASGLLRAIRFLQLHWRELAHDIRTGTLSAKVTEPSIRDAVAEVLAAPDAELAAFVEAECGKDRWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASALLSPYGASIVEYTSQADATTIPGHYVVYWELMVREGGAWPPPAEEEGRGVFERCCLEMEEALNAVYRQGRNGEAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVISKHFSPACPKYSPHKK >KN538713.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538713.1:156678:159559:-1 gene:KN538713.1_FG024 transcript:KN538713.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCRTSYSTKTRLWPLMGIFPSFKAAYSLDEIDQRTREFRKNSKQPIRDDLPGFEKFLAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKVNIYVFYEVTYLGI >KN542101.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542101.1:1223:2380:-1 gene:KN542101.1_FG001 transcript:KN542101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIARLVLPLSFFAILVLLLPAQGESQRCAPSSINIRQTNTGNKVGTLDMVFQVTVTNRWSQYIPEGERNDIHLLEFHALIETMVIDDTMKSFCHLFDE >KN542101.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542101.1:18023:19615:-1 gene:KN542101.1_FG002 transcript:KN542101.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QLAYQYALNRASLVLVARREWSLRKVADQAFELGAPDVIILPGDVANPEDCKRFVQTAIDHYGRCKSPISLICSVNFFSKMDHLVCNAGIASVGAFQEIPDVTNYSSQFDVNFWGSVQSTFEALPHLKRSRGRIVVTASATGWNPVPRMTFYNAANAALINFYETLRTELGSQVGITIATPGWIESEMSKGKFLKDHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAVRQGERCLTVPPWFSTMYLWRVFAPEVVEFCYRLLYMQRHGGSQADAPSKKMAEAGGKKLLYPTSLRSADIKDE >KN542101.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542101.1:8988:9716:-1 gene:KN542101.1_FG003 transcript:KN542101.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITKPQLMIPFAILMLLMAVQGAESQWCTPSSIDIQQTNTGNKVGALDTMFQVSVTNRCMCTVRTVFLRADGFTSAVAVDPKLFRQAGSAGYLVGDGRRIPSAKSVTFQYAWDHYFEMTPASIQAEC >AMDW01029227.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029227.1:43:369:-1 gene:AMDW01029227.1_FG001 transcript:AMDW01029227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLHSDGDYLRIQDNTLHGDAATVDAATRDNMRALVGIGERMLAQRVSRVNVETGRYVEVPGAGSNADALRGFARQLSEERRARLGRRNACGGGGEGEPSGVACKR >AMDW01076305.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076305.1:358:732:-1 gene:AMDW01076305.1_FG001 transcript:AMDW01076305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFDKFKDNTGCFKESLSTDARGLLSLYNAAHLAMPGETALDDAIAFSRRSLQSLQGKLRLPMAEQVSRALDIPLPRTPKLLETMRYITEYEQEEAHDSVVLDLARLDFELIRSLYLKELKTLSL >KN542600.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542600.1:1769:2077:1 gene:KN542600.1_FG001 transcript:KN542600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAFSAAALAGVRRRSAVVPVMVGDLVAMALLFSAVGAAAQFGLLGERGNAHVRWAKVCDVYGPFCERAMAAVVVSLIAAFADLVLLMLTILTIHKASSYY >KN542600.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542600.1:5206:11645:-1 gene:KN542600.1_FG002 transcript:KN542600.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYAWVIGFSSSIQVMRTSPPFCRHWPPFITIRFCTRAAGHPDSDAWLSCIHPSPEENLQASAPIRTPIFGSLAACVLVLSASRVRQCWPEESHQGIQAGGVEEPALFQAERVRGPMETRAKKRKREWDLLSIQEEGTIVQSPQTGEISVRGADLESGEDSSDSVTSDAGSAKAAPDDVLHIAHALCKVCAKSPRAVINFVRRVSPATVGRSIDWDVVREEESSKMGDGRSRWTDMEVRVFLESCLEEMAAFTITSNSPKPQAWQNLIHKMYTKCKKKVNKAQLEYIWGQCKKRYNRWVWLESHACGLGRDPHTSAIVADDEWWESNNAQNKGVLSFRNDSYTTRKSAMSYGAGGSSWNGGGHTSSEEEDAIIEYVAATLDFNERAPRRIPVQTGIAGVCSMEKLGIFLYTMGGNRPIRDANNRYLLVDSRFPTRMGFLAPYPHVRYHRDQLAVEGAPPPVGREETFNHRHSTLRGIVERQFGIAKKMWKILKEIPYYRDEDIRARIIHAAFALHNFRLDSKDPTYRFTNALYNGNPVPLLNDSFNHMYYATNSEAAMSMLRDCIADDAYNNYH >KN542600.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542600.1:2990:4123:-1 gene:KN542600.1_FG003 transcript:KN542600.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASAGRPWVLDPVAAAASEFRMEACLSLLALRPAVVRGNASEILALASRSLAAASSSSSSTFKGADSTHDSGDALQDAKALARSTGAVIAVSGAVDYVTDGERVVGASNGVAMMQKITATGCAATALIAAFLAVVEEPSDAMAAAACALAVFGLAGEVGMESGAKGPASLRMHLIDALYCLDEQTVTSRVKISLHS >AMDW01040644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040644.1:247:1833:1 gene:AMDW01040644.1_FG001 transcript:AMDW01040644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILHMKKGSKAWEKMVTHARDCSLEGKPELKSYPVAQTNVVLIFDCVNSLVGAWFGDSYIASDSLSLAQQVMVDKLKGEAYKLLDKLPFDYIMEGGFPIPNPMNANANADGHHAAYQVQGTEAVGGLDHAQIDPSFANANYQVLVVWYRNLIDQSTAQAGQEQFSSAAVAGWYQGPIAQPSSSHQTNHVVYPGGAQVNYSHQTNCAAPCDYPCQGASMAPGSDQVELQRRPFLGRDDLEASTSAHNNLPFPPQQQFTFSGDPGSSAQ >KN539384.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539384.1:61898:70383:-1 gene:KN539384.1_FG001 transcript:KN539384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRQSPSLLRLFASFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANHVIPDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFNREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMNNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTTKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNHMDLLDPAMARPASDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDRRAAAMHPFPKRYLGIWFTVSPDAVFWVANRDRPLNATSGVLAFSDAGSLVLLDGSGGGHVAWSSNSPYADAASVEARLSNSGNLVVRDASGSTTTLWQSFDHPSNTLLPGMKMGKNLWTGAEWDLTSWRSPDDPSPGAYRRALDTAGIPDVVLWQDGVERYRSGPWNGRWFSGNPEAATYTTNLITFQVTVSPGEISYGYVSKPGAPLTRSVVLDTGVVKRLVWEATSRTWQTYFQGPRDVCDAYAKCGAFGLCDANAPSTSFCGCLRGFSPTSPAAWAMKDASGGCRRNVPLRCGNTTTTDGFALVQGVKLPDTHNASVDMGITVEECRARCVANCSCLAYAAADIRGGGGGSGCVIWTGDIVDLRYVDQGQDLFLRLAESELDEGRSRKFMLWKTVIAAPISATIIMLVLLLAIWCRRKHKISEGIPRNPATTVPSVDLDKVKAATGNFSQSHVIGQGGFGIVYKGQLPDGRVIAVKRLHQSTLTKKGKKDFTREVEVMARLRHGNLLRLLAYCSEGSERVLIYDYMSNRSLDIYIFGDSGLRLTLNWRKRLDIIHGIANGVAYLHEGSGEGYASPEYAWRGEMTLKCDVYSFGVVLLETLSGQRNGPMYSLLPHLWEQGRVMSLLDATIGLPLSVSGPDHTEMEDELARCVQIGLLCVQDAPEERPAMSAVVAMLTSKSSRVDRPKRPGVHGGRSRPPLRESELLGATDIDDDLT >KN539384.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539384.1:95556:95801:-1 gene:KN539384.1_FG002 transcript:KN539384.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAEKAEEGLRTVMYISCWSTN >KN539384.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539384.1:24892:27638:-1 gene:KN539384.1_FG003 transcript:KN539384.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTSGGCRRNAPLECGNGSTTDGFVPVRGVKLPDTDNATVDTGATLDECRARCFANCSCVAYAAADIRGAGGGSGCVMWTGDVIDVRYVDKGQDLYLRLAKSELELPFVSFGDIAAATNNFSDDNMLGQGGFGKVYKGMLGDNKEVAIKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVKLLGCCIRGDEKLLIYEYLPNKCLEAFIFDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSYGVILLEIVSGLKISLPQLTDFPNLLAYAWSLWKDQKAMDLVDSSIAESCSKMEVLLCIHVGLLCVQDNPNNRPPMSSVVFMLENEAAALPAPIQPVYFAHRASGAKQSGGNTSSSNNNMSLTGLEGR >KN539384.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539384.1:71320:74310:-1 gene:KN539384.1_FG004 transcript:KN539384.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAPKPPAAKHKCSIVLVSADTAIFLIGIMLMHFCIRRIQAPRLITKEAGPPADEAIFRSDSVKSAVLSSPLVEFSTIYSATNNFSNKLGGGGFGFVYKGVLPDGQEIAVKRLSNRSSQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFIFDESKRLIFGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSEKSDIFSFGVLILEIVSGRKNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTICSYDEVCRCIQVGLLCVQELPGDRPSMPLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNDTGSVNQLTYTQLQGR >KN539384.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539384.1:90509:90769:-1 gene:KN539384.1_FG005 transcript:KN539384.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >KN539384.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539384.1:4711:12069:-1 gene:KN539384.1_FG006 transcript:KN539384.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHFLICLLLISFSKCDDQLIRANKLYPGDVLVSKGGVFALGLFSPTISNNSLFLGIWYHNISERTYVWVANRNNPITTPSSAMLAINNDSNLVLSDSKGQTIWTTNSTVNGGDGAYAKLLDSGNLVVQLPNKTTIWQSFDHPTDTLLPNMKFWMSYKEQVVERLVAWKSPDDPSTGNFSCNGDPSSKFQAFIWHEKRPYYRAIVLDSVKVSGVAYWSNTTSFMYQTIVNTEDAFFVTFTTSDSSAYAHVTLDYTGTLRFMNWNSDSSSWTIFTQIPMAGGYCDIYASCGPFSYCDLTLAVPSCQCLDGFEPDTAISSRGCQRMQELRCGDGIHFVTMPGMKVPDKFLHIQNKSFDECLAECSRNCSCTAYAYANLTITTSGNTADQSRCLLWIGELVDTARSNLGDNLYLRLANSPGKQRNSEYQKRAVLRTYHEIFEQNLEFPFFKFEEIVTATNNFCDSNMLGKGGFGKVYKHKNLVRLLGCCIHGEENLLIYEYLPNKSLDYFLFDDSKKSILDWQTRFNIIKGVARGLVYLHQDSRMKIIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTRHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGSKISSPHLIMGFPNLIACAWSLWKDGKAEDFVDSIILQRYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVAMFENEATTLPTPKQPAYFVPRNCMAEGAREDANKSVNKIVVCDDQLTQAKKLYPGDVLVSQNGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWIANRDKPITAPSSAMLAISNSSNFVLSDLEGHTFWTTMANINTRGDRAYAVLLDSGNLVLRLPDNTTAWQSFDHPTDTLLPNMKFFLRYKAQVAIVLVSGEAYGSNIATLMYKSLVNTGDELYIMYTTSDGSPYTRIKLDYMGNMRFLSWNGSSSSWTVISQQPAAAGDCNLYASCGPFGYCDFTLAIPRCQCLDGFEPSDFNSSRGCRRKQQLGCGVGKKNRLLKIVVPITNRSFEECMAKCNHNCSCMAYAYAYGNLTKADTMSDQSRCLLWTGDLADMARASLGDNLYLRLADSPGHTSEDKKKNRYLVVVLVTIIPCLLMLTCIYLARKWQSKASVLLGKRRNNKNQNRMLLGNLRSQELIEQNLELSHVNFEYVVAATNNFSDSNILGKGGFGKVYKGKLEGGREVAVKRLNTGCTQGIEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIFEYLRNKSLDYFLFDDSKKPILDWQTRFNIIKGVARGLVYLHQDSRMRVIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGCKISSTHLIMDFPNLIACAWSLWKDGKAEEFVDSIILECYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVAMFENEATTLPTPKQPAYFVPRNCMAEGAREDANKSVNSISLTTLQGR >KN539384.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539384.1:97274:97537:-1 gene:KN539384.1_FG007 transcript:KN539384.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANARAGVSRGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >KN539384.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539384.1:108188:108903:1 gene:KN539384.1_FG008 transcript:KN539384.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVGLCKAHQLRPAALLSPRPLLRRASPCLPAARAGGKLRRVSAASRLVVRRCQEEERQQQEEEQSNGGGGDGEQQRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGLVCDWFVKDKLKREQNVGSAVLQWDDPGF >KN539384.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539384.1:111297:111797:-1 gene:KN539384.1_FG009 transcript:KN539384.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDVEANYRGCAKKSNEVLG >KN539384.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539384.1:79525:83031:1 gene:KN539384.1_FG010 transcript:KN539384.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDLSEEAPEKVLHRLYCNFEKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCRSNHDDDDRNSLEDEDEWEEGRKKFGAADTFKFPDEVDIAHLS >KN539384.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539384.1:32596:42045:-1 gene:KN539384.1_FG011 transcript:KN539384.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSHLPVFIYLLLISFCKCDDQLRHAKRLISPGDMLISKGGDFALGFFSPATSNKSLFLGIWYHNISERTYVWVANRDNPIAASSSATLSISNNSALVLSDSKGRILWTTMASRPSIITGHDGVYAVLLDSGNLVLRLSNSTTIWQSFDHPTDTVLPNMKVLLRSKAQVATRLIAWKSPDDPSTGDFSCSGDPALNFQIFIWHGTRPYYRFIVLDSVSVSGAAYLHNSTSFVYETVVDTEDEFYTKYTSSDDSPYTRVMIDHMGILRFMSWNGSLSSWTVINQLPAATGCDTYGSCGPFGYCDLTLAVPSCQCLDGFEPVGSNSSRGCRRKQQLKCGDDHFVIMSKMKVPDKFLHVQDSNFDECTEECTRNCSCTAYAYTNLTATSTISNQARCLLWTGELSDTARDIRNIIGENLYLRLADSTGSNSTVNRKKKRRMVVDIVLPATVCLLILTGCIYLVSKCKSRGNISSSTCLIAKRAYILSVTGTLEDGKEIAVKRLSKSSEQGTEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFIFNHTREATLDWPTRFNIIKGVARGLLYLHQDSRMTIIHRDLKASNILLDAEMNPKISDFGMARIFGGNEQGESTKRVVGTYGYMSPEYAMEGIFSVKSDTYSFGILLLEIDSPNARPLMSSVVSMLENKDMPHPMPTQPIYFVQRHYEAEKPREYSEKSVNNIINVPRSTLRSFWSSGLFGNNVNAKIFQSESNTPLGSGELLPCFCPKKKNKGQMNMAYLPVLIYLLLISFCKCDDQLTQVNRLISPSGKLVSKSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWVANRDNPITTPSSAMLAISNSSDLVLSDSKGRTVWTTMTNVTGGDGAYAVLLDSGNLVLRLSNNATIWQSFDHPTDTILSNMKILLRYKEQVGMRLVAWKGLDDPSTGDFSCSGDPSSDLQVFVWHGTKPYYRSIVLDSVWVSGKAYGSSTSFMYQTYVNTQDEFYVIYTTSDGSPYMRIMLDYTGTFRLLSWNVNSSSWAIYSQRPAAIGDCDPYGSCGPFGYCDFTSVIPRCQCPDGFEPNGSNSSSGCRRKQQLRCGEGNHFMTMPGMKLPDKFLYVQDRSFDECVAECSRNCSCTAYAYTNLTITGSPGTTASQSRCLLWVGELVDMARNNLGDNLYLRLADSPGHKKNRYVVKVVVPIIACVLMLTCIYLVCKWQSKGEKGNYENQNRAMLGNFRASHEVYEQNQEFPCINFEDVVTATNNFSDSNMLGEGGFGKVYKGKLEGGKEVAVKRLSTGSTQGVEHFTNEVVLIAKLQHKNLVRLIGCCIHGDEKLLIYEYLPNKSLDHFLFDPASKFILDWTTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGVFSVKSDIYSFGVILLEIVSGLKISLPQLMDFPNLLAYAWRLWKDDKTMDLVDSSIAESCSIKEVLQNNSRECGSQYTVLQKNHNIFLPSIH >KN539384.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539384.1:273:3684:-1 gene:KN539384.1_FG012 transcript:KN539384.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFLMFLLLLSIPLCKTDDQLTLGKPIFPSEMLISKGGIFALGFFSPANSSNSLYVGVWFHNIPQRTVVWVANRDNPITTPSSATLAITNSSGMVLSDSQGHILWTTKISVTGASAVLLDTGNFVLRSPNGTNIWQSFDHPTDTILAGMMFLMSYKSEIVGRLTAWRSHDDPSTGDFSFSLDPSSDLQGMTWNGTKPYCRNGVRTSVTVSGAQYPSNSSLFMYQTLIDSGNELYYSYTVSDSSIYTRLTLDSTGTMMFLSWDNSSSSWMLIFQRPAAGSCEVYGSCGPFGYCDFMGAVPACRCLDGFEPVDPSISQSGCRRKEELRCGEGGHRFVSLPDMKVPDKFLQIRNRSFDQCAAECSSNCSCKAYAYANLSSGGTMADPSRCLVWTGELVDSEKKASLGENLYLRLAEPPVGKKNRLLKIVVPITVCMLLLTCIVLTWICKHRDNFCESNLLGRGGFGKVYKGILEGGTEVAVKRLNEGSGQGIEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKYVLDWPTRFKIIKGIAKGLLYLHQDSRLTIIHRDLKASNILLDTEMNPKISDFGIARIFHGNQQQANTTRVVGTYGYMSPEYVLGGAFSVKSDTYSFVVLLLEIVSGLKISSSKLTPNFFSLTAYAWRLWKDGNATELLDKFFVDSYPLHEAFSDVIYDFKSLMENSGVCCVVKNACEY >KN539384.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539384.1:92461:92718:-1 gene:KN539384.1_FG013 transcript:KN539384.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRAEKAEEGLRTVMYLSCWGPN >KN539384.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539384.1:107265:107525:-1 gene:KN539384.1_FG014 transcript:KN539384.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAEKRRAEKAEEGLRTVMYISCWSTN >KN539384.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539384.1:47568:60696:-1 gene:KN539384.1_FG015 transcript:KN539384.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMFLIILSCMLLLSNSVRATTGAELGDTLGKGQNITDGERLVSAGGSFTLGFFSPASASSSSSTSRRYLGIWFSVSDDVVCWVANRDRPLTDTSGVLVITDTGSLLLLDGSSHVVWTNTKGVPENVLWDGDGEVYRTGPWNGLWFSGIPEMETYSDMFSYQLTVSPGEITFGYVANAGAPFSRLVVTGVGEVQRLVWEPSSRAWKNFFQGPRDLCDDYGKCGAFGLCDAGAASTSFCSCVEGFTPASPSPWKKMRDTSAGCRRDAALGCATDGFLAVRGVKLPDAHNATVDKRVTVEECRARCLANCSRRQRPRVSDSEGRTLWTTNITITGGDGAYAALHDTGNLVLQLPNETIIWQSFDHPTDTILPNMKFLLRYKAQVSRRLVAWKGPNDPSTGEFSLSGDPSLDIQAFIWHGTKPYYRFVVVGSVSVSGEAYGSNTTSFIYQTLVNTQDEFYVTYTTSDGSANARIMLDYMGTFRFLSWDDSSSSWTVRLQRPVSTIDCYTYASCGPFGYCDAMLAIPRCQCLDGFEPDTTNSSRGCRRKQQLRCGDGNRFVTMSGMKNLYLRLAYSPGYTSEANKKNKKVVKVVVPIIACLVTFTSIYLVRKWQTKGKQRNDENKKRTVLGNFTTSHELFEQKVEFPNINFEEVATATNNFSDSNMLGKGGFGKVYKGKLEGGKEVAVKRLGTGSTQGVEHFTNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNRSLDYFLFDDSKKSMLDWRTRFNIIKGVARGLVYLHQDSRMTIIHRDLKANNILLDEEMSPKISDFGMARIFGSNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGSKISSPHLTMDFPNLIARAWSLWKDGNAEDFVDSIILESYPISEFLLCIHVGLLCVQEDPSARPFMSSVVAMLENETTARPTPKQPAYFVPRNYMAEGTRQDANKSVNSMSLTTLQGR >KN538683.1_FGP161 pep scaffold:O_longistaminata_v1.0:KN538683.1:645107:646552:-1 gene:KN538683.1_FG161 transcript:KN538683.1_FGT161 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNSLPSPSCADDKKRRKAEDDHVIGLLGGDDDCPAFDRLWKYCRGYAGGSLAAARALVDGSSDIAINWSGGMHHASACKATGFCYVNDIVLAINELLGTFSRVIYVDIDAHHGDGVQDAFLDSNRVMTLSFHRYGKITPHKNFFPGSGAVNEIGDGAGKHYSVNVPLDAGVRDDVYHTLFMPIVGKAMEVFQPEAIVLQCGADSLSGDRLGGMELSVRGHAECVGFLRGFNLPLLLVGGGGYTINHVASAWCYETAVAVGKEGELPDDIEIPSHGYELMYKNQGNKLHYQKSTATAARKKSSSTEVTKGKVLEHLSQVKRAPSVQFQERRGGNNAAGVELYYERPPSLEDDEPAQRLHRLCFPGLTKRIRLNA >KN538683.1_FGP163 pep scaffold:O_longistaminata_v1.0:KN538683.1:608911:612227:-1 gene:KN538683.1_FG163 transcript:KN538683.1_FGT163 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKAWISLLLALAVVLSAPAARAEEAAAAEEGGDAAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDATNLIDDKKIYIVGIFSELSGTEYTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDLEASQGAFQYFGLREDQVPLIIIQDGDSKKFLKAHVEPDQIVSWLKQYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLAPILDEVATTLKSDEDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYESGRTADEIVDFIKKNKETAGQAKEKAESAPAEPLKDEL >KN538683.1_FGP169 pep scaffold:O_longistaminata_v1.0:KN538683.1:661906:664012:1 gene:KN538683.1_FG169 transcript:KN538683.1_FGT169 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRCDGSSRREGLTAEEDGGEDWLSTLPDEILHNVLSFLPAHEVVWTCVLSRRWRNLWRSAPVLRIRYAERWDGMAKFDKFVNNLLLLRDPVPLDELEFQTVLGICKLHPLQHSFRLLKYANTWIRHVLMCNVRVLRVLVQYQYELPLLKVSMPLISEHLKTLELRRVLLDKRALDFSSCPSLENLEMDSCGNSTTNKIFSQSLKRLCITNGRFADDLSSLPALQDLEMESCGICTEKLCMFKQDLTWCPRFSKVKTLLLDGWVVGHDFYAVVCFLQHTPILEKLTLQLCKGHERMVEIEESNRSVGRMVQFEHLQTVEVRCLRNDEWVHKILKILNTYGITPDKITIQIQMSCGDYV >KN538683.1_FGP172 pep scaffold:O_longistaminata_v1.0:KN538683.1:618723:624316:-1 gene:KN538683.1_FG172 transcript:KN538683.1_FGT172 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVACAERATSDMLIGPDWAVNIELCDIINMDPGSGFSLSMMPLFDTFFFGSLICLNCYCYRMLSYNLMEATDFGDELVSFVVEVQFRQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGASGRYPQYHAAYQELRNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALRQQKAVSMLLLDDLCASKLNPEDLQLSTTGEYGKKPYRQDIHRTRAILSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQGRVMDLVSNTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPGSGPAPAAANFCHLMYKYMFCRSARDGTTTQGNLPSAPKSERPYPSPLLPPPPSSKRPVFTEASSVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNPPPSYDSRSESVSDDFINPTAAPSFSMPSRPMSESNRPVVNRQESLPDDDFINPTAMPGFSSSSNANKYGDSGEDLPKAPWEAQAPGSLPPPPARYGQRQQYFEQQHGLPSGNNGAGYNGLVSQTEGLSLNQRNTENERGSSVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPATSRRTR >KN538683.1_FGP173 pep scaffold:O_longistaminata_v1.0:KN538683.1:653248:655386:-1 gene:KN538683.1_FG173 transcript:KN538683.1_FGT173 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGGRRREGLTAEENSGGDWLSTLPDEILHNVLSFLPAHEAVRTCVLSRRWRNLWRSAPVLRIRHRWVGVERFNKFVNNLLLLRDPVPLDELEFQTYTYWPTKMPRPCIYEVKYAELWIRHALMCKARVLRVLVQSEHLAPLELSMPLISKHLTTLQLRSVKLDNHALDFSNCPVLEDLQMNCCTISTPYNIFSQSLKHLCITKCNRTETNFIDDLSSLPTVEDLELESCKISTDKMVSQSLKHLCVTRCNFEMSTRISVPGLISLRLDDNYGSIPLLESMPLLVTASVKFGTFSWGCWKCIYHPGTCVRCDGDPDGDGGVKCKFFRGLSNAANLELVAEAGMVEHCIVRSLCILKQDLTWCPTFSKLKTLLLDGWVVGHNFHALGCFLQQTPILEKLTLQLCKGHEDVVEIEESSSSMGQLVRFENLKRVEVRCLRNDEWVQKVFKILNTCGVSPDKITIQRYIYIINMKQALSFSNRSVAEVSSSSEVESQVLWLQ >KN538683.1_FGP175 pep scaffold:O_longistaminata_v1.0:KN538683.1:664861:666228:1 gene:KN538683.1_FG175 transcript:KN538683.1_FGT175 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGNSLPSPSCADDKKRRVCYYYDPGIAHIKFSDDHVMVPARVAMAHSLVGVYGMLGDMRRLRTRPATEAEIRRFHSPEYVDLLRDLTPESYANDAVLRQKAEGHHGIGGDDDCPAFDRLWKYCRGYAGGSLAAARALVDGSSDIAINWSGGMHHASACKATGFCYVNDIVLAINELLGTFSRVIYVDIDAHHGDGVQDAFLDSNRVMTLSFHRYGKITPHKNFFPGSGAVNEIGDGAGKHYSVNVPLDAGVRDDVYHTLFMPIVGKAMEVFQPEAIVLQCGADSLSGDRLGGMELSVRGHAECVGFLRGFNLPLLLLGGGGYTINHVASAWCYETAVAVGKEGELPDDIEIPSHGYELMYKNQGNKLHYQKSTATAARKRSSSTEVTKGKVLEHLSQVKRAPSVQFQERRGGDNAAGVELYYERPPSLEDDEPAQRLHRLCFPGLTKRIKLS >KN538683.1_FGP176 pep scaffold:O_longistaminata_v1.0:KN538683.1:674839:694986:-1 gene:KN538683.1_FG176 transcript:KN538683.1_FGT176 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYTRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRFSVLANCFSYKQRFQLCLDLGQVSASSIDHNKIFDICGVCGVTLLRFHSSRWFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVTAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSIEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSEFSQRTHVGTVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVVRTCVLARRWRHLWKSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKSLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKSRTPFLEDMPLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYECCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVILDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLILQLIEAPANWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMLRQQQELLAQQQQQHHFLSQLQRRQQILCDITAQLSKYSAPPLSQRPPQANLYPSGGRQLIAHGRFDCPAMGYVELGG >KN538683.1_FGP177 pep scaffold:O_longistaminata_v1.0:KN538683.1:615790:616611:-1 gene:KN538683.1_FG177 transcript:KN538683.1_FGT177 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDDKSQAAAERIKAQALSAAKGLSRTQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVILGVKPKAAQTSSSSGGAYTQCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLKKTAAPVSYQFQNPDLEKEKEEERKLMKAKLKKEKSEKSKRKSKRKYRSRSDSDSSEASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRRPKRKQQKRRHRRETSSSASSESDSESASASDSDSDDKGSRKKSRKRSTRR >KN538683.1_FGP179 pep scaffold:O_longistaminata_v1.0:KN538683.1:631870:632139:-1 gene:KN538683.1_FG179 transcript:KN538683.1_FGT179 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPSGQRRLEFSFQGSSASAVGGGRGEFERVRMRSPLGNPMAAREGEEESRWLQASRVGSPESGTPSPEFWGQQQQQQLQRLYPASV >KN540211.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540211.1:55855:56805:1 gene:KN540211.1_FG001 transcript:KN540211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLHGTMHVTIFEAESLSNPSRPSSQAPQFLRKLVEGIEDTVGVGKGTSKVYATIGLDKARVGCTRTLADDTAAPRWYESFHVYRAHLTTHVAFTLKAKNPIGISLVGVGYLSPSRTSCICELIA >KN540211.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540211.1:33288:34819:-1 gene:KN540211.1_FG002 transcript:KN540211.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAVAAAKGSRGSGLPLASLNHISIVCRSLQESLTFYTDVLGFFPVRRPGSFDFDGAWLFNYGIGIHLLQAEDPDSLPGKTEINPKDNHISFQLQLALPKSQ >KN540211.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540211.1:20840:22184:1 gene:KN540211.1_FG003 transcript:KN540211.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKLKKEEGSSATRNPAAELTDDLIVDILSRLPAKSVCRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYSSENESSFPDEARHFVNVAGRGRPLICPTISFLPRFDLEGMRMVDCCGGLLLFRCFMSSDEFCYLVCNPSTEEWVAFPDSGYNPEWQFFTHLGFDPAVSSHFHVFEFVMDDCGFVEGVEIYSSETGLWNCKERKLCYPSAYNTVPWNLSVWVLEDYSKDEWTLKHKLTTEQLSEKINCKCKTDFDKAWYYHVVAVHPECNLIYYIAGGDTLMSYDMGHKQSCVIENLGPGLQSKWTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKTGRSNAHLA >KN540211.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540211.1:4820:5975:1 gene:KN540211.1_FG004 transcript:KN540211.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSKKKGSSTTRNPAAELTDDLIVEILSRLPAKSVRRCRRRISMVDSCGGLLLCQCFESSDAFRYVVFNPCTEEWIVLPESGFHPKDRGFCARLGFDPDVSSQFHVFEFVPCDDVTGVKIYSSETREWNYRESEWCTDTGISDICRSAFCNGMLHLVSYQRSIVSVDVEGRTWRTTKVPKMEGVEEVRDWLPGSICQSEGKLYYLSQYNTVPISLSIWILEDYSKDEWTLKHSVTNELLSEKINSKYKSSEFCYVVIVHLDCNLIYYITRDYTLMAYDMDHKESRVIQALGSDCILECLPHVPLYAEILSNRSLVSL >KN540211.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540211.1:14140:17266:1 gene:KN540211.1_FG005 transcript:KN540211.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKTTGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALLRYAESEISTESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAVDFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETANRSDGMHPELPGDNAAPKQEAQAKKSEMSKPCGSVEVTTEAATSGQVGAKVEEDDMENELCTEAEDPSEFITHRAKDFVSSMKDIETRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNISKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFITISLAYHVKSATTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMGRSNAHLV >KN540211.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540211.1:46801:49351:-1 gene:KN540211.1_FG006 transcript:KN540211.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKKNKKKTNKAFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTAEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQKKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHALVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >KN540211.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540211.1:7616:8740:1 gene:KN540211.1_FG007 transcript:KN540211.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSEEGSSVTRNPAADLTDDLIIEILSRLPAKLVCRCKCVSRRWRGLISDPNHRKKLPQTLAGFFYHSENESHFPMEAQHFINVSGRGRSLVYLSFPFLPRFERIRMVDSCGGLLLCRCFQSSDAFRYVVCNPATEEWVALPESSYDAEDGGEEEMCARLGFDPDVSSQFHVFQFVMDAWCSVVGVKIYSSEVGEWNYKGSEWRHDISGEIWRTLPVPEMDDAEEIYGCVVISSIGQSKGKLYHLSEHNDNVALNLSIWVLEDYSKEEWTLKHKVTIELLPGKISCKYQTMFCRGVAVHPDCNLMYYIADYMLMSYDMDRKESCVIQDLGSDCMMEYLPYVPL >KN540211.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540211.1:24213:25376:1 gene:KN540211.1_FG008 transcript:KN540211.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKKEEGSSATRNPAAELTDDLIVDILSRLPVKSICRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYSSENESSFPDEARHFVNVSGRGRPLVHPSLPFLPRFERIRMVDSCGGLLLCRCYESSNAFRYVVCNPAMEEWVALPESGYGDDKEEVELCTRLGFDPAVSSHFHVFEFVSADDCSDVAGVKIYSSETGEWNYSESEWFPDTVLFADQRSVFFNGMLHLVVLQLAIVAVDVKGETWWNMPVPEMEDVEDIFTWRPGFIGQSQGKLYYLSEYDTVPLSLSIWVLEDDSTDEWTLKHNVTTELLSEKINSKTDIYHSDHYHVATVHPDCGLIYYIAGKGGTLMAYDMDRKESRAIQNLEYRYMEFLPYIPFYSEILCNGD >AMDW01030989.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030989.1:84:437:-1 gene:AMDW01030989.1_FG001 transcript:AMDW01030989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CQTRAAGFDLNVIVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIG >KN543460.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543460.1:2406:2913:-1 gene:KN543460.1_FG001 transcript:KN543460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISERHSKKDCCLPYCQKAALCGRIHCPYLTTTAAAKPDGGTDHKRKAVIVSSAQPARAERTTTGESSKRKGQGRGAEALNVHGAIASSAAGDHARKRFRMWGLR >KN542428.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542428.1:7294:15462:1 gene:KN542428.1_FG001 transcript:KN542428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIITVDLQCCRCNIKIQKVLCCMQERGEVEIEKIVYEKDTVVVSGPFDAEKLSCKLWCKAGKIIKDIKIKPPPPPEEKKKPDEKKKPDDKKQPEPCKLIPFPYPKSTYYKYLATMGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEEVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDVWPPPPPPCKPPPSQT >AMDW01036100.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036100.1:37:576:1 gene:AMDW01036100.1_FG001 transcript:AMDW01036100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKLDSAEFRILTDYKLHYYTFDGLRNNGKRLMTFFGACTDAFAGLTRLYLQNLRLAETDIPNIIATCKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFYSWRHPQEPLYFGNVPQLSSLSLTNVGLRWHNLIRLSQFLSNVTSIRDLHLNFESER >KN539057.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539057.1:57430:61847:1 gene:KN539057.1_FG001 transcript:KN539057.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAQKNWKFSVVQSGVHHAVNPDPYRGAFGSDADKYARDVREIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEAVRSAGGLCIADELNIVEGKMQYLFDERGRRYLDAFAGIATVCCGHCHPDVVGAIAAQAGRLQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFSVVQSGVHHAVNPDPYRGAFGSDADKYARDVREIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEAVRSAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLRVLEKEGLQANAHAVGSYLKDRLRALQDKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICRAMEHMKDFFVAVMDSALSKL >KN539057.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539057.1:97955:98242:1 gene:KN539057.1_FG002 transcript:KN539057.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERRGLLALPRRLLACAGRTSGRRRRKGDMRWRRVLLGGGEGAIGGGLEVAAHGPWAGRDEARRTSASARLTGQEASVNRRSGEEQSAGAVA >KN539057.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539057.1:9953:15403:-1 gene:KN539057.1_FG003 transcript:KN539057.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDPESKQVRVGCKVNAEYSAVKNLPTDQGRGQVKSAAAPSTALALPGTQDVKDADNKGSSTAIVPAPHMLPKAPDSTIPGKNTTITIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAVIFPIGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLSATPETHPINFKPPKDIRRY >KN539057.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539057.1:66942:72283:1 gene:KN539057.1_FG004 transcript:KN539057.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALDLGVLTESEFHELVVPEKMIGPSD >KN539057.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539057.1:77950:82539:1 gene:KN539057.1_FG005 transcript:KN539057.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIAPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTENHRHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPED >KN539057.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539057.1:103505:103762:-1 gene:KN539057.1_FG006 transcript:KN539057.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAAAAALLLVVAVVAAAAVGAGAEGEETTGELDCFCDCMKNQCMTLGAAPNKFDCADACTEGCTQIGKPGQPSDKDFCGF >KN539057.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539057.1:7880:8113:-1 gene:KN539057.1_FG007 transcript:KN539057.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSYSSAAVAVSVLVVALAAAASGQLSTTFYASSCPTALSTIRSAVNAAVAREPRMGASLLRLHFHDCFVQASLIH >KN539057.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539057.1:144682:145475:1 gene:KN539057.1_FG008 transcript:KN539057.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B16 [Source:Projected from Arabidopsis thaliana (AT3G10915) UniProtKB/Swiss-Prot;Acc:Q8GYH6] MAWWLFEKSGLSFLTVCSDVLLILIVVQFIRIKVAGLLNKQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLLLWLLSVIGNFCSSITLAYFGTIALVTIPALYSKNQEQVDRYAGMVHRNISRHYKIVDENVMSRLPRSFIRDKED >KN539057.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539057.1:118274:123497:-1 gene:KN539057.1_FG009 transcript:KN539057.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQQKVHLLIVLLEKPMVFAYGSVPLKTYLPDGDVDLTVLGNTSYGSTLIDDIYHILQSEEQNCDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLVKNSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEATNTPGSDLLFDKEFLNNSVQKTDSNACNTEFRSKYLNIIDPLKEHNNLGRSVNKASFNRIRTAFSYGAQKLGQVLLLQPELIPDEIYGFFKNTLNRIGSGVRPDIGDESYNDAFRCESFLGPGKALWDEMSSMKISCNNQDENRGPHHLSKCLVNNDSYATLNVPTHFHGDHMVASSTDLSLKSSCFIQETPNQYPLFYLEDGNGSSEQYLDHEMVEQASCCTAETCHANEEPSMHPQVYPNNTLHTFYSSLANNLEYSKSGQSDMTNSSINVAHEEKQKFSPSPFSLVDLSGDLDLQLRCLRQVQYHLEYMFDGFLQSVQEASSDCKVARDSFEIPAVNITSNSDVVLPGLLSPSSTETDERRLSPVSSSHSTEDSSQQSHDESNWDNSVQLYDSSDDISNMHETDQHILQKHMVSLGQNKTLINRQVRVKSNQASVPKGKFSICKEQITQDTATKDIKLSRHLRVKDSEHEYISTAKKISSYNCDTCLECVKPESEAMIPRHYKHARSSKNSFEHRIYDIDMGFARSGSPRNQMPKYQSLKNQDMSSLNVQKEHEINWPRKQMPSELLKLQNSLRGRACSKKKLAAKQINNNHKEHLSFVRDPEQMPYNQVNSNKEFETVGKSSQLLPRVQLSLHNDRSLTASTCQSSFPVTKGSTQFNNLEMPSLENIEFGTLGSFSLTLVSPKSNKIPNTHSTSHQDSTKLEMKITSHLSVLGLAETFGTYTDDTVDNRFRELKGQKCE >KN539057.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539057.1:75199:76204:1 gene:KN539057.1_FG010 transcript:KN539057.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNFDFYNMFLKCCESYLMFCSFLLAGQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAQTAAAPKKAKK >KN539057.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539057.1:19545:23114:1 gene:KN539057.1_FG011 transcript:KN539057.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATWRLWRPYSSALLSRRVNPRFLRTTPCVSYPGGAAASAAPPSPPLATTCSDDGGGGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSADSTIESVLETAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALSNFINSNLPDNVRVFSVLPAQRSFDVRRECLYREYLYLLPAEIIGIKGGCSSEEVVEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRKVKGASSAVNSMPTEMSLDQSSSDDGTTSDHDEEDLNSSSIIGSSVPEDSYKDNPEFSEKQVKIRARWLHEPDENDRLNASHFRDILTFSCGELQISSGIQFVELTISGVSFMLHQIRKMVGTSVAVKRGLLPKDIIALSLAKFSRIVLPIAPSEVLVLRDNSFCLRNKQGTIVRPGIQSMNESEEVKKGVMEFYRAALVPELANFLDASMPPWKEWVENLDRFTSIPDPQLEEVRSAYRVWKADYDRVKMARKSASSD >KN539057.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539057.1:51:1777:1 gene:KN539057.1_FG012 transcript:KN539057.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAMAAVAVAFAVVVAATTSSAQLDPHFYDGLCPAALPTIKRIVEEAVAAEPRMGASLLRLHFHDCFVNLFAGGGLGATDGLVRFYAANPDAFRRDFAESMVRMASLSPLVGSQGEVRVNCRKVNYY >KN539057.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539057.1:84174:92072:-1 gene:KN539057.1_FG013 transcript:KN539057.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKKHSRCSTQSCCVGLYMFGHPFAVNSTASDEKVLNFCQISEKFASLAACMRNSSAQSIRGPMRGPRGPTTIVDLVRTSAAAAHLILKSKGYGGGGGGRDGGGAAAGERDQGEEGRETTGLAHEAGRESNLHPNVQLEEDDPICLQLLSRFNRRVHRSGSYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILRQEVNNEAAVLGFVGAPFTLASYCVEGVSSKNFSKIKKMAFSEPEIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >KN539057.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539057.1:147050:148146:-1 gene:KN539057.1_FG014 transcript:KN539057.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMTVILISQVNAANERMLGGGGVDGAIHRAAGPELVEACRKVPEVKSGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDKQPEVSLNNAYTNSLKLAKQNGIQYIAFPAISCGVYRYPPKEASKIAVSTAQQFSNDIKEVHFVLFSDELYDIWRETAKEFLSQFEK >KN539057.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539057.1:113641:114039:1 gene:KN539057.1_FG015 transcript:KN539057.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding METTAISGLKSSAEALPFPFAAGALLFPFFASPSSLPAQARRRLPFFSRHCQRGVARPARGGEEISGVEFRTSVWQRATGSAVLELELSGIMLARSGELEGRKGPQIRRHARRIWSSRRRRRRLPGGGIDMF >KN539057.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539057.1:26077:28030:-1 gene:KN539057.1_FG016 transcript:KN539057.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIQQYGHLSNTSIIMDASKYIKELKQKVVRLNQEIACAQDALRQNRVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCTDTFRLEAIGSENLMEKVDEHVVKQAVLRAIRSCSGSGGDHDDDDDE >KN545072.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545072.1:202:1686:-1 gene:KN545072.1_FG001 transcript:KN545072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLVGVGGLSPGLFSRSRPLSCSSFTSVSAPFILRAGGGGDARRHGLRRLVTPLRGSACRGESTNSRVLQCANEANVVTEDDIVNDGIDDETASDAEMDEDAEANGDESSDTDEDASVSWIEQQPLPYPSDALEPYISKETVEQHWGVHQNIHVERLNGMIGGSEWEGMSLGQMMLSSFNEGREAPHPPFFHAAQIWNHDFYWRSMQPGGGGKPPERLLKFINRDFGSYDGMIRQFMDAASTQFGSGWVWLCYKTSKLPHVKSRSPIPSDNYGRLVISKSPNAINPLVWGHS >KN539448.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539448.1:49661:56446:-1 gene:KN539448.1_FG001 transcript:KN539448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEHRATPVAYGWLSIPELVRSNSCRFMPSQSDEEIHQLSYLQKHMANILTLLADSVEGEGDDSLMLDVGLQVEYEVLTMETFEYLGFLVQFSEGTSLSQAATFFANSDPDMPAAPVDVAEHSFFTVLNCHDSVIYILAPLKYATVYGCSDATIVLGAVGKVVKVEHCERVHIIAASKRICIANCHECIFYLGVNHQPLVLGDNHNLQVAPFNTCYPQLRDHLMQVGVDPSVNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLVPSWFGDETQEPTNCNPFPLPEIYGTFQSKKHSALEDIQKTIWELQLDENRKRDLATALHAQFKGWLYGIDSAPATSSYEQLLQMLLAENDSHLSGVGNSQFHVGHLENTICFHGSNWKSFCFMSTASGNIRQLYCLQGD >KN539448.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539448.1:100885:102265:1 gene:KN539448.1_FG002 transcript:KN539448.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAAVLVAGAAVSNAQLKVGFYSKSCPTAESTVASAVRRFADADSTILPALVRLQFHDCFVKTGGPSFDVPTGRRDGRTSSLRDADVLPDVKDSIDVLRSKFAANGLDDKDLVLLSSAHTVGTTACFFLQDRLYNFPLAGGGRGADPSIPEALLSELQSRCAPGDFNTRLPLDRGSEAEFDTSILRNIRNGFAVIASDAALYNATATVGVVDTYSSMLSAFFGPYFRQDFADAMVKMGSVGVLTGAAGEVRKVCSKFN >KN539448.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539448.1:22988:28934:1 gene:KN539448.1_FG003 transcript:KN539448.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNMKKMRVQLEKIAKQNKDFNLMPESSSTVQVLYDDRATSPNVEESVIVGRAQDKQRILFYLSDKILTQDFIILAIYGMGGIGKTTLVQLVFSDTKFREYSLVWVYVSQVFDLNKIESSIISQLPKMDLSMSDSEVAPTNRNILIVLDDLWENNGFKLDKLKLKLKVRTGAKVIVIVTTRDEDIARRFSNVEPYKLEPLTDGMCWNIIKQKSAFEDRGDKERLEQTGREIARKCGGVALAAQSLGYLLHSKRLDEWESVKDNDIWNESTSEDASSPHHVLASLKLSYVKMQPSLKLCFGYCAIFPKGQKIVKDDLIHQWISLNFIKPSKTAGDYHDNFILLTMHDLVHDLARSVMFDEIQNDGLQGDTSGRNCRYALRTEFSKPLETLRALCFMGCSIDNRLHNDSFSSAKYLRLLDLSECSIQRLPDSIGQLKQLRYLNATGVQHETIPDGITKLLKLIYLSLRGSSGIQALPEFMGEMEDLMYLDLSDCSRIIRLPVSFGKLTKLVHLDFSHCTRVRGVSESLESLTNVEYLNLSNCKNIGELPGALGNLRKLEYLNLSSCSYILGGSAMEVLGKLTKLEYLNLSSESSDIERLPEALCSFNYLKYLNLSGFKKLEKLPTSFGNLNSLMHFDLSHCLQVKGIPEALCGLTNLQVLNLSHCYNIFENDVYIRRKVEAIGNLKKLQYLNLSDLLNKKCHDKSTYVSFFECINTLSNLEHLDLSHNEYLRSLPDCFGSLKRLHTLDVSGCSFLDKIPPSIHNIDNLKFLHADTRIYLGKSMFCLLNESSVSLPHFVVQANANGSGSNLVLLQDVNPPKLEISSLENVRSINEVQIIKLLEKQRIEELKLEWAKDAVRFVEDIELLGEIIPPTNLMEFEIHGYNCTKFPAWLMGIAPYLPNLVRLTMMDMPCCISLPPLGQLPNLKELTLEKKKSVTKIDGDFCGGRRPFPRLKKFVMRDMESLQVWNTIYCSGGDGVSEFMFPILPELSIFRCTKLRLTPCPPRAEKWNIWGSDGVISSWEESAADIIASCSSPLVTTVSINCKVSLHEWKLLHHLPDLKGLIINDCNDWTISAEIIRALSSLESLTLERWYNQAQLPNWLGQLVSLKELKINRFEMNESQEDIKHLTSLQKLCLHRCTSMTKLPQWVGDLVSLQKLEILSCPDLKYLPESMGCLTSLKKLNVSFCDDIESLPEGIEKLCKLEYISMSGCPKLKRWFQLDDNRKKISHVKEIVCVLKSYISANFLPAFYISRRLFKYRI >KN539448.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539448.1:66979:70528:1 gene:KN539448.1_FG004 transcript:KN539448.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGGQPVYHFLGTSTFSEYTVVHVGCVAKIHPAAPLDKVCVLSCGISTGFGAAVNVAKPPKGCTVAIFGLGAVGLAAMEGARISGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDYDKPVQQVIIEMTNGGVDRSVECTGNAAAMISAFECVHDGWGVAVLVGVAGKDAAFKTHPTNFLNERTLRGTFFGNYKPRTDLPGVVEMYMNKELELEKFITHSVPFSEINTAFDLMLSGESLRCIIRMED >KN539448.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539448.1:87072:89715:1 gene:KN539448.1_FG005 transcript:KN539448.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPAAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEARKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >KN539448.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539448.1:21051:21371:1 gene:KN539448.1_FG006 transcript:KN539448.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGGMLTAAVLKIVAEQIGSIIGGEIKLLCNLNDDLEDMRMTLESVLALLKDAERQSVKSVAVLLWLKRLKFAAYDISDMIDEFEADAMTKAVAPKVLLSGRCS >KN539448.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539448.1:78579:81023:1 gene:KN539448.1_FG007 transcript:KN539448.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECDHCKSEESNMCDLLRINVDRGVMIGDGKSRFTIKGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCILSCGFSTGFGATVNVAKPKKGQTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDHSKPVHEVLIEMTNGGLDRAVECTGNINAMISCFECVHDGWGVAVLVGVPTKDDVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVELYMKKELELEKFITHSVPFSEINTAFDLMLKGESLRCVMRMDE >KN539448.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539448.1:34305:34601:-1 gene:KN539448.1_FG008 transcript:KN539448.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGRKFDPVGMAHGDFGPFPPKPSLKEQGLKWLICAGGSGASDGEKQQSAEIDDGAEETSQACECDVDSATEIGGGTEKVEERSGAMRRRTGGDREE >KN539448.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539448.1:10410:18028:1 gene:KN539448.1_FG009 transcript:KN539448.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAVLRLATQKMGSAIGDQILLQWDFQGDLQEMKMALESVEALLSDAERQSIDRESVLLWLKRLKHCSYDIADVLDEAEAITNPTAGKIVCMPIIPKITLANKMKVMRKKLVKITNQHKDFGLIEGANCNVQQVTDPRASASKVEEALIVGRTKEKQKIVTLLSEASTWQDTTILPIYGIGGIGKTTLAKLVFNGTGFKDYCQAWVYVSQTFDLNKICNSIISQISGHETMMTEKQMINRRLEELLAGRKILIVLDDLWEKGGSELDELKLMLKADENSNIIVIVTTRDESIAKKICTVQPYRLEPLTDDMCWTIIKQKSAFNDRDDKEQLEPIGRDIAKKCGGVALAAQSLGYILQSMASDEWESRFLGYFFAFMLFTSIIYNKAETVGLYYEDVTLFTMHDLVHEVARSIMVDEVLYSRKEGDIRGSSRYALLTDCGKPLKFLTNRPTKIRALHFLDCAKIVLHGTAFSSARCLRVLDLSECYVHKLPDSICQLKQLRYLKAPDIKGQMIPKCITKLSKLSYLNLCGSQRVMALPESIGRMECLIHLDLSWCSQLRELPISFGKLKKLPHLNLSNCSQVTGVSESLGSLTELQYLNLSYCTKIGELPRELGNLMGLQYLNLSFSSYLDGAPSTEVLSTLTKLEYMNLSSELSYIGKLPEALGCFTELKYLNLSGCRGIEKLPKSFGSLRNLVFLDFSNCYRVEGIPEALCGLTKIQYLNLSRCCYGNGLHLRGLPEVMRNLTELRYLNLSMCLHAMFGHQSAGENQSLVEFISNLSNLEHLDLSKNISLSGLPESLSNLRKLHTLDLSGCSKLERIPENIATIDSLKFLIVVNCWKLDKSRLSRFNDNSILLPHFIVQAGDGESSSNLVQLQDANPAELEISNLENVKFAKDAQMIKMLQKQRILKLKLHWTSGSRRYVEDMEVLKELLPSSTLVHFEIQGYNSISFPGWLIGISSYLPNLVEIKMEGLIMCKNLPPLGQLPSLQELVLQRMPAIKKIDADLCSGARAFPRLRKFILSDMENLEEWSTTYSCGENFVNQFMFPNLQILETRDCPKLRLHPCPPRAVKWDIWSSDNAILSWGERETGPSAASCPVSYLMVIFCKVPFHKWRLLHHLPSLPSLSINSCNDLTGSPEIIQELSSLRSLTLHGNYEAELPQWLGELTSLQQLWISSNCPELKASEEIIAQLTSLQSLYLTSCGSIEALPQWLGLLTSIQDLEISRCPKLNNIKGSMRLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKVCILPNPSSY >KN539448.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539448.1:91578:91880:1 gene:KN539448.1_FG010 transcript:KN539448.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCLGAGMMGKKKVSPAKQQQQPVDQNQQQKNQYCSKSKDADAAEVGEKEKKIGGGSDGDHHAGVEKVEMRKKKSGSSSSSAPILMYQFPFHSRPGLL >KN539448.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539448.1:48241:48492:-1 gene:KN539448.1_FG011 transcript:KN539448.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAILAALLLMAAAEPALATAPSLVPARKLQMPRIMDVISAESKLACLPAGGFCMFRPMDCCGNCGCLYPVGVCYGSRCEE >AMDW01033665.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033665.1:32:462:1 gene:AMDW01033665.1_FG001 transcript:AMDW01033665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAATSFAQRHQLPARLQEQMVSHLSLKFRTNSEGLHQQETFEALPKAIKSSISHHLFFGLVQNVYLFEGVSNDLIFQLVSEMNAEYFAPREDIILQNEAPADFYIIVSGSM >KN540960.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540960.1:16545:16748:1 gene:KN540960.1_FG001 transcript:KN540960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASIRVMQLENRFPFGSCINKTAIQNPNVVDFFCDNFDWAICWNLEVTMLHKFSFTLSLSESVDY >KN540960.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540960.1:32174:32401:1 gene:KN540960.1_FG002 transcript:KN540960.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGDDDRVELVVDGSHEDTRMPMSDDERGYFRLVGEITSPASMTIMETLRSCGSESMTGDGDESEVVPTTVYI >KN540960.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540960.1:25867:27942:-1 gene:KN540960.1_FG003 transcript:KN540960.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAARGGNLEVLRELLQGCSDASAFRDAQGSTILHAAAARGQLEVVKDLIASFDIVNSTDEQGNTALHLAAFRGHLPVVKALITASPSLISATNEVGDTFLHMALTGFRTPGFRRLDRQMELMKQLIGGVIMDLSSIINMQNDDGRTVLHLAVIGNLHSSLVELLMTAPSIDLNVRDNDGMTPLDLLRKQPPTASSEILIKQLILAGGIANSMDHETRSAIASQLKMHCIVGSPGTSFKIPDAEIFLHAGIDASDISERTNSFSSVGQSEPEFPESSRKKLNSMQNAAKHLKILLRWPLRKEKKSSSGARNELDDDASSVDSVKSWSHGETPTPLRQKYSRMSSLFNNKRTMAARIGSPSPSMKKSFADGLAHGVMQPESPSASGSWSSSSLVDRIEAVHLDKNGQASPDTSVVIRRTPKKHGSLNSRLMNQYFCIGAQGIAVEDSTTGQRSSRMFRSSLLSAA >KN544969.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544969.1:462:903:-1 gene:KN544969.1_FG001 transcript:KN544969.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTLCKMFVGGIGPYTGDEDLRRHFQQFGYVASVRMPIDRHTGRHHGFAFIQFTCLEHLINALAYRHTIHGHTLGTRLIEPRLSRGVTRYTTVIGNHIYRIGHNCTFCW >KN544077.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544077.1:216:1726:-1 gene:KN544077.1_FG001 transcript:KN544077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNLLRQHQHQHHLVVRGLGEEIDLEIGPGDDPSFPGAALVGVTSSGAHDPADDHKTLLIPCSQPAADGQPQPTPPQVEEHDALLRLPGQTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKENAQPPEIERPVYVKDMSKFWSVYWNLRDALSSECHGLMIEFSQALGPCRGGLRFHPSMTLSVAKFLAFEQ >AMDW01037611.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037611.1:88:459:-1 gene:AMDW01037611.1_FG001 transcript:AMDW01037611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HVLAFCLPHYPWRHGISAAGSCHAGGSGYAYSTETRRGARCPKGSPDCCVVGKRYPRFMWLTAGIGHAPAIPTLHMCFRRNMELVVELYSGSGWLCLDDGGGGARCRRCNGRIRVVVAAAAVNG >AMDW01028590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028590.1:63:311:1 gene:AMDW01028590.1_FG001 transcript:AMDW01028590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTPRAFDNQYFKNLQNGMGLLGSDQVLYSDPRSRPIVDSWAQSSAAFNQAFVTAMTKLGRVGVKTGSQGNIRRNCAVLN >KN543184.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543184.1:4207:9835:1 gene:KN543184.1_FG001 transcript:KN543184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESQALQDPVAEPHGAEPAAAGAPPAVVPGKEFTRTCKGLVVVLVGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDPSDDFSFPSLFPDAMRPVTDPVANLFDRVLCARSRPSELALPVSDPAKASRRRERGERVLEESLFSLYNLLGSPYAKAFVYKKALDLAAAGKAADCIIPTFKNIDSFISDWGIGKVEQRDLFLAAARILKDQKGMNKEYFNFLNKYLATFDGSADDADAIGDAKEEAVAAIIEFVKSSDLYQ >KN540012.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540012.1:6607:10743:-1 gene:KN540012.1_FG001 transcript:KN540012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVASMFALALLLGAFASIPQKAEAIGVCYGMSANNLPPASSVVGMYRSNGITSMRLYAPDQAALQSVGGTGISVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRPPSAAEFTGESQAFMAPVLSFLARTGAPLLANIYPYFSYTYSQGSVDVSYALFTAAGTVVQDGAYGYQNLFDTTVDAFYAAMAKHGGSGVSLVVSETGWPSAGGMSASPANARIYNQNLINHVGRGTPRHPGAIETYVFSMFNENQKDAGVEQNWGLFYPNMQHVYPISF >KN540012.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540012.1:42269:58414:-1 gene:KN540012.1_FG002 transcript:KN540012.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKPAKPAAAASSAASASAAAFSIGNCKVEVHGSSLRCQSTEQEELTISGPRGAKIVVSVDGAKSSSVGDGFDFILLSPSDVDERNKALLQEVLLLYKQELPTMDYAANTGRKSGFLEKCVTNGYNLILFFIIKKYKTLVLSSTAGHEEVTAALTYQLVPADTQYAEIPLAVVRPPYQRVGFESVGEVDTKGKICRIPVRADIKRALCFPGGSTLMVSHLKDLPAPQKSLLSSQQTYQFHTFAPDSISPSDTGTSTPSCEKLVPQTVACHNVSKTAAVERNEDFHGEAEYVLQDDEFKVKYKSELRDAVVRAKERPCSLFSGYTFCLTKNIQPSVNVLSRIIKSSGGKLINKLDDITQPSKTIFLACEDMELALDAAKRGIKTFSTSSRARGDHERPPVIEKSKSKVLGIPWDVDTEGLREYMSKFGPLDDCIVMKKVVKDEHILGNRTLEVKIATPKEEMRAPGIKKATRIFVAQIPQSVDESKFRRHFEAFGEIIDLYMPKELRSKSHRGIGFITFRSAECVDNIMQETHELDGTTVAVDRATPKDEDVRHPPRRVVQGGYGAYNAYITAATRYAALGAPTSYDHPGPAYGRGHLGGSLGTGKKIFVGRLPQEANTDDLWDYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADDGVADRVAQRSHEILGQEVAVDTAEPLEGGSSGGYLEPAEAYGPYGAYGSLLPYGRFSGSLGYDYGYGPSGSSSRSRPESRYRPY >KN540012.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540012.1:34189:35465:-1 gene:KN540012.1_FG003 transcript:KN540012.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQLLLELLCFSMALAGGATLRLELAHVDADEHCTMAERQDMRVLYDLANGRLSFQPANCSAV >KN540012.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540012.1:28546:30945:1 gene:KN540012.1_FG004 transcript:KN540012.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/Swiss-Prot;Acc:F4J264] MEEARRIRQEEVLRGRNLWDNPAKSHETLSALADAIRVVDHLKDLRYKAEEAKLISQLSEMDVINVELFKQAYKTSVDATEFLDRYQMYKLLKGPYDKEGACIIVTAGSEAIEIESEYMFGTLTGEKGTHRMIYPSVDNAGTYEATSARVDIIPLFLDRPVNLHLDENDLEISPSPSDHKRRDHRNSAVRVQHIRTGVTAESSGERSYFANKMKAISRLKAKLLVISRELRSSNLKTIKRQTVEELYSRETRRYKFGPQKLVHDLNTGLQLSELNSVLDGDIDPFIRGRIVSRLG >KN540012.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540012.1:60044:60791:-1 gene:KN540012.1_FG005 transcript:KN540012.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGVGVRTRALLCCIAMAAMVLCSCQQGQVLSLADSRLKSLSLNWKQLFGLVYYSDFFSTEGRGLLHAVAVSYAAAACCCCGQLHLHLHRGGEQLVVVHHHRAAAAADRLPHGTPIKTITNCETNPHCAHFVLLSDPFLQYGVTPGSLRPQECGGRCAYRCSATAYRKPCMFFCQKCCASCLCVPPGTYGNKQSCPCYSNWKTKRGGPKCP >KN541361.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541361.1:1925:5734:1 gene:KN541361.1_FG001 transcript:KN541361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASGLLKVAGDKLVSLIGSEFAAIKRVAKDLSELHGIHGEITSWLSTVRDGSIGCEPQFRWVIKLKDIAYDIDDLLHEVQLESEKHEMHSNGDKHAIFDTLREKPKSFMFRRKMARKIKDIKVKYNEIVRQRRDANTIRSSLQVDQPIPSSNRIIGELSVLSNVEESKIPIRDQEKDKIVSTLVDSNEGENNCWIVSVVGLGGSGKTTLAKHICHVNKIKELFKERIFWVHVSREFDVQKLIGKLYETIIGEKSDCQPQQQMVCEISKQLSGNKFLLVLDDAWHTDGYEWGQFMVHLQDSSPGSRILLTTRDRKVAEAVKSKQIHELAFLSEFESWSLFLKSSGWVEDDLGSEFIQVGKEILKKCGGVPLAIRTIVGVLLEKREISTWRAIWRSDLWNVGSINEKVFASLKLSYIHLADKLKQCFIFCSIFPKGYVINKDHLVAQWIAHGFIMPMKEEHPEDIAGEYFDSLVKAGFFLQDTLKGFDTNKLLYKMHDLIHDLAQYCEKNEVVTSRPKNMTTDQTYKCRYLSLTSGTEKVKRGLLDKVRALYMSDGNLSFDKAFKKSCYIRSAVLHNKTFFIPFPPVLLKFEYLGYLEIRGVKCTKLPEAISGCWNLQSLHFIWCSGFVTLPESVGKLKKLRTLELNSVYDLESLPQSIGDCHDLQSLQLHNCSKLRGIPTSIGRIENLRVLHIRLCLSLQKLLSEPCGKFNNLLTINLGCCPCFKELPSTFACRALRTLNLFRTKITMLPQWVTLIDTLECIDLQRCTELMELPKGIANLRRLAIFNLQGCSKLRCMPSGFSRLTRLRKLCLFVVGFGGDDARISELETLDMISGEMKITNLKYMQDPTDAEKASLKRKNNIKSLVLDWSRGETEKELVSNMVMEQDLAVLNALEPPSRIEAILIHYYGGPCLPRWMRKQSDSSCWEGAMLMQTSPCQLLYLTRMTMQYIPNLKHMQGLVHLPLLKYLELFELPNLEDIWTTTTGSEIREDELQAQYCFPVLSTIRIVRCPKLNVLPHFPSSLEKLYLMESNEQLLSPGSFSHLLPPPAHESSPLSSAVPRLKKLELEKMTGPSCGWEFLQYLDALEYFSISGSNDVTQLPERMRSLTSLHGLDIFECSTFGMLPKWLGELCSLQYLSIWRTPKMDSLPQSIGRLKSLTELEIIDCDNLKLLPEAIQHLTSLRMLNLTGCGALTALPECIGKLSALYRLYVECCSSIQYLPESIKHLTNLQELHIFGCPDLAKRYKQGVGEDWQLLSHIPDLRIID >KN541361.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541361.1:28324:28578:-1 gene:KN541361.1_FG002 transcript:KN541361.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALNGRALYDIKYKKCREFPDALWQCSQCTTFYQGEYSKGDEVLKRLRQLFQVMAFNSQVREGRKEAYRLREAVHHWGPHDNQNP >KN541808.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541808.1:2723:8746:1 gene:KN541808.1_FG001 transcript:KN541808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLIPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKINRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKFFVLYCIKNLVVVLPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLTYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >KN541808.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541808.1:22073:23082:1 gene:KN541808.1_FG002 transcript:KN541808.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQLVNHGVPKELLDRVKKLVNHGVPKELLDRVKKVCSESYRLREAAFMESEPVRTLEGLVAAERRGEAAAPVDDMDWEDIFYLHDDNQWPSNPPEFKETMREYRAALRGLAERVMEAMDENLGLDKGRMRRAFTGDGRHAPFFGTK >KN541808.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541808.1:9465:12353:-1 gene:KN541808.1_FG003 transcript:KN541808.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYAAEAGAAAGAGRGRAARHPPLTSLVVSTIAAFSAVVVFAILRSAYDAAVSRTTTLLGHNLEPTPWHLFKHDKGRPPARAAFRPETNIEPWSKEFRDIKEGAKAIKWQDRVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMSRAQNNSKILSKAIVHLNLHMLSSQLAKVLQLQDRTFNYKIAFV >AMDW01040933.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040933.1:159:4219:1 gene:AMDW01040933.1_FG001 transcript:AMDW01040933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIKQLYFLQHKISKQLILVTMDSEGTETSRRNDSVGQDIRAGFGFLLILRVILDRAALLILTTLQNDPSCLEKDKPMRVPPSSVEHSIIQHLVTGASIYRQIPVTNFMCKSEDIKPLSKRYFKFDEELLSPSVRRAERTTTSGSSGDGSMINSSPSVDTVIEDLVTCLHTVQDVLSSMETQVAGPIFCYQPILEQQDGNLLMIAMGIERNLDMLEYHQVKKDENTSIRIFNHLKHLLQSILPVLSTTFEEYLVSMNLKRATSVLQGPGGLTAPIMPNLDNIRSQLATASPSVEMQNQSGMEETIETELVEKGQDGIYLLSFLLSNEAEDFVIPIKNAYKNSRVKGHFDVRVWVNVSCKSTMIVPSKVSLSVPCCINELMNAHVMNSDNRLPPVHSDEFTNWIIQNLFSVSGGSRKAYDHTMKDLEKVIQCGLSGKKFLLVLHGISEDQMAQWDHLFRSIKSGCKGSKIIVVTTSANVEKSVRNVNILQSDEDENNVSWRFFRNYAFDSFNIDGYQVDLGIEMATLLRRFPIAMKMIGCLLKNNSDKKFWESVYRNIVQIVIDGKEADDPYQLLSLLKLCYDQLPAPVGLCFSYCSLYPKGWRFTAQSLIHFWMSDGITEDIGRGYFYCLHSRGFLELLKLRGRQSASCYVMHSAIHRLAEAVSAKWFRRVENNYLPPNPRLMTHMSITSRFLPTLRKLRWKIHELYLRTLIVFGPCKHTEPISKEILDRILKGQKYLRALDLTGCEMQKLPELSDESRHHLRYLCLQDTGIATFENLDKFYNLLVLNIQGCQLISLPDRTSENLLGIRHIIGPSSVVSSIRHIGNLKNLQELQEFRAHKLYGYGVQELRYMSLTGSLSIANLENVTVATKADKVNLRSKTCLDSLKLEWNSTNETSQPLSAGILEWLQPPESLNELEINGYPGIISPTWFTEDHLINVKKVTLRNCSFVSVVAPLAKFPSLEELILERFSMLERISESEESDWTHYFKYPFRLLGFPAETSRRFPRLVKLRIEDMPVLEEWTEQQPCFPCLEELTVRNCPKLAVLPPLHHAKVNRMHIEGLPLISFDSPRMGSVVPFGAFLDVPNRCPNRVEARALQPSRVFILRHCPNLSTFTITADNSSSSHGFGPLLQLEITDCKKLKSIQGAFAFVEKLYIEKCHSSLKLPNGNAMRSLHTLHIDSVSTRMDPFLLGLRALRILIIKDREELNSLDVLLESDHLPDTLEQLQLINCNIIKSLPWNMDRVLVLESLQLINCPNMQFLPCLPNNLTELRISGCPILKEKYGEYGPEWDNISHVPYVSVD >KN542725.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542725.1:4655:5464:-1 gene:KN542725.1_FG001 transcript:KN542725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKATGKLDGTGVFSIPLDADLHSSDCVAQLHGVTNEPCPGQEPSKIVPMSEGTFITVAGKTHYPSVLCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >AMDW01011671.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011671.1:162:231:1 gene:AMDW01011671.1_FG001 transcript:AMDW01011671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAV >AMDW01033385.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033385.1:30:230:-1 gene:AMDW01033385.1_FG001 transcript:AMDW01033385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAE >KN545188.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545188.1:1213:2103:-1 gene:KN545188.1_FG001 transcript:KN545188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSLRPRGWRPREACGDDRLSALPDDLLLLVLRRLDTRAALATGMLSRRWANLPHELDALDFRVSDILPPRYHRCILRHRGVMNWIAYRHAIPNSLMPAIRRHERRAARALVRSVESFIDADDGRPSRRKVSRLRLEFFGTHNTAGINRLISKAIDDWGVEELEAVGKPMYWRQPPTHEFPSHGLCKDPRASRLRTLTLGGCVLPPLHEYGGVTKLVLHGMAASTPAEAYEGVFTSCPQLQVLHLESCFLDRRKSLVVDAPMSEIRELVVDACDIISVKLRSLPRLQNLASMGTQ >AMDW01040228.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040228.1:30:556:1 gene:AMDW01040228.1_FG001 transcript:AMDW01040228.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSVFLANGEISRADSPMIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSGDDSQKKGN >AMDW01035904.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035904.1:130:420:1 gene:AMDW01035904.1_FG001 transcript:AMDW01035904.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QNPIKEWSITSRRTDSGWPGARLGSNPGEETGWEAKEVKKVLEGQQKMKNAQDQNCKRLTP >AMDW01010075.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010075.1:16:228:1 gene:AMDW01010075.1_FG001 transcript:AMDW01010075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFSRTLAERLVLDVEKVLHELDALPARVANGEAVALAAAAASEREMEKQREVISLWKRAVLAKKKTNGVC >AMDW01013172.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013172.1:2:160:1 gene:AMDW01013172.1_FG001 transcript:AMDW01013172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDPDPDEEAVVVNDVLSLGTLMDESGVFDDPSPRDTVLGSIRDMRPAVFVQAV >AMDW01037062.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037062.1:55:642:1 gene:AMDW01037062.1_FG001 transcript:AMDW01037062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRSTLLVQELAGMRSKAVPRQYIVQREDQPTIAATASVPIVDLGRLSQPDGNANEAVKLRQAMESWGLFMVTNHGIEDALMDNMMNVSREFFQQPLGEKQKYTNLIDGKHFQLEGYGNDQVKSDTQILDWLDRLYLKVDPADERNLSIWPKHPESF >AMDW01141171.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141171.1:103:822:1 gene:AMDW01141171.1_FG001 transcript:AMDW01141171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEIIRDYKTGDSLCYAFIEFETKEACERAFFK >KN543060.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543060.1:2045:10266:1 gene:KN543060.1_FG001 transcript:KN543060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRMMQADSDEMFVSVHVAEASRLGSNLYVCFCTSCQLLAPYLHTYTIKTYIIVIYSEESGGFTQRREDGKIEARIAIQRRQREGKGNARSKPHREGSNSVRFRLNMKANNDQKNVICFDGLNFTDILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKIIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHCLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKALKRRLGLHSGSSVVVGCICNSDSNTDGLREAVRVALHGGAQGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPLLQIALANVHFAMASKNLEKVSIT >KN539533.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539533.1:70988:72475:1 gene:KN539533.1_FG001 transcript:KN539533.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCGVVDAAAKGVKLGGGGGGALMVRRVASGKLLSASSHLLFRATILATLCLVCLFTVHYPSLLSHSFHLSSSAAANGKHRAASRSSHRSLLGSSSAAVAYGGAAWEKEVRRSAAPRRDGGLSVLVTGAAGIVAGEPITLFRTADGADARRDFTYIDDVVKGCLGALDTAGESTGTKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPSNGDVPFTHANVSHAARDFGYRPATSLDAGLRRFVDWFVHYYKLDTAKIAKGKRKSMAMSAAS >KN539533.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539533.1:35872:38778:-1 gene:KN539533.1_FG002 transcript:KN539533.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVSGRKRSVMDRLGSGGGGGGGGGGGGSRPRPDSVKRCDFELAIGSNRRLLFVSVHALLDVTGLRLVVGSYGDRGFVRQMGLGDVNFIWTLWEFKVHVMRKSSVPDLREKLSGVQRPQLNSTVQIPKSVPEISTSAKPVQKREPVQKREPPVNAALPATKKVNEPSAPKQCQEKTDSSLDRLLKSLDLEKYSINFQAEEACLTYLGIQVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKKLSKSLPTS >KN539533.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539533.1:78796:80604:1 gene:KN539533.1_FG003 transcript:KN539533.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVEYAPRFFQGKHKEKKHKKESKERRGRERKEKNSDRRKDKHSKKHKREKHKDKRKNKDDDRYTNQTLEKATLRNADLDNGRLKEKIQHEAVKDIKPANELVTQIVDQEGHANHTSSSTGKLLPSTKSFGSAGSKGKKGSLSSVIEKSRQPTHLNHEMIEKKYSVAYDCASLGSKPRLQNGRSLQVGSAEKHSNTNRKHSHNRMDRPQRNTEEQNKWTKIQWLVSSHFPSRNSDSMSPRGLMEIRNGNNSDFQIRMDRQSVRSKAGAVKRKGKTKELKVNDHKYVEDKDRDRLANERKTKDRIEEKEKVGKVVVSKQERKELDSLGASKNKIDGLQRQLGQLNEEFTSDDVKKRKDAEANSSLLVAEHSMRMNKLPRISPTDPRTNGEILDYSQGSGPSSPVGTNTYKADRFQDSKECYNNGVTGSHHLKEPKTSVSSSNHGSSQVSPKPPHPDAKYLGQVYSIPAMDDWSKCIDQSWLLSRGSVDWKSEILEAAEPPRVWAEARLIDSADVVALPYVVPL >KN539533.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539533.1:92033:96245:-1 gene:KN539533.1_FG004 transcript:KN539533.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFEMPPPGADDDLMMGEDGMGDRSGSRGSGRSCSRRARGGRPPRSATRSKLCKSASLYLVHYTGTLLDGKKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPDLAYGESGSPPTIPASATLQFDVELLSWTSVKDICQDGGIFKKILKEGEKWENPKDLDELTLCIAVVKYEARLEDGTVISKSEGAEFTVKDGFFCPALAKAVKTMKKAEKVLLTVKPQYGFGENGRPAAGEEGAVPPNATLLVNLELVSWKTVTEIGDDKKILKKVLTEGTGYERPNEGAVVKVKITGKLQDGTIFTKKGHDEPEPFEFKTDEEEVIDGLDRAVLNMKNGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVELVSFVKDKESWDLNNTEKIETAGAKKEEGNALFKLGKYVRASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYMQLADLELAEVDIKKALEIDPDNRQVLDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPAEDSKAGSGVESKQGSEPVTAA >KN539533.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539533.1:21548:29157:-1 gene:KN539533.1_FG005 transcript:KN539533.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQALAHVWRGDASDSLSYRSDLLPSINHTVPLAESSIAVSKGGKFAIDLTSMQPQSRGSMLGCSFFGIHEQHNVAVLLQQVVGTTVGDSSGMGQQLQWHGHDQGNKANPMSHLLKHWMAAPQLDKRILSELELMGFPTARSIRALHFSGNSSLESAINWLLEHEIDPDIDQLPLIPREINIECGDTPNEASNDIQGMRANAQESKPEESTAAGRQKPVLYTFINCCHTSHLNFLYQETSQVERELNADQNEDEVRRRIIELFKSKQDGQERERGRIRNQLQEDKGERIRAAKDLMEAKRTLEENQRKRFVSYATSPQIKPVEPAVSPEQLRDCLRNLKKNYKDDTTKVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGNLQGGVEFLELCGFMKLAISGYLVMPRDKINLALLNAAGVEVASAMENPYFGLLSK >AMDW01040934.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040934.1:534:1613:-1 gene:AMDW01040934.1_FG001 transcript:AMDW01040934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQEAKRADVAPPLPATGGEVVKPAAGDAGAVVKTNAILANVELERKLSMIKAWEESEKSKAENKAQKKMSSILSWENTRKAAIEAKLRTREEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEATRHEEIIKYEEMAAKHRSKGTTPTKFLSCFGS >KN539533.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539533.1:86484:91106:1 gene:KN539533.1_FG006 transcript:KN539533.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAHKSGGAAAAERSSPPPHVSEVQASLSKCTEDCVVSGDHIPPSGNDSKASGTENDEIQSGVVTRLTENVPHLEDRHHSESAVSSDQCMGSTSCLVPEHGDGARLSSEFSADEINKSSVMSLETVTGLSKDGIGNNEDDLSCVERPKAVEEDRSVNDSNVVSKEQIPCEETVSSLEQSEVMFTIGNNEDDLSCVERPKAVEEDRSVNDSNVVSKEQIPCEETVSSLEQSEVMFTIGNNEDDLSCVERPKAVEEDRLVNDSNVVSKEQIPCKETVSSMEQSEVMFTNSVDHVSSSTKEPVNLLEDKMSCIEKHVCLDETSSNDLFQLTSGGSHSEASGIDKPRHQADCASLTPDQLVIPKEMDIDEGLHCTDADVGIKTLSSAVGHADEDITAVNLSKNVCSPHLTVGDDIQDSVRQTIDITPMPPQVDLAEVSTSSTSHEIDKVSSKDGIDERNPNVNLTSDEVNEVHGIDVEEIPHIEDIAAYNDYQEPNTVRGTRDFEEDTQNEEIIAEASSHNITAVQSTCNVEEKEQIEEFDSNSSCNKIHEISSRGVEETKLTDVNVETADEINVASSIENVEEKQSNKETISDPSVEIDVANLPSNLELSKLDVETSTHFSYEASAVNIMENVEEMKQKEEIAIPPTSHINTISSTTNDDQKQSEQISVGPSSDEITVPHGEFGVKEKTDETVSDPTSNKTDMVSTSGGVEDKNHGDEVTSGTSTHEGSVILVHTTDNVEKKMNKDLTSEPADNVEEEVQSEDIATDPTSHESSTLHITDGAESKKQDAKVAADPAAGEIVVPWSGDDAEEKKPEATVSTGEIDVPRSADDAEEQKHEATVSTDDDLKGDDPSESNMTQIIDGAGDKDAETAADPPGNGKTDAPPSTDDAEETKPKEEEEEETVGTVVDDPKEKDEEIADKEVIVNSDKNHVSLKSLLSEKAAETKESKKPSTKDRVLSFRRRVSKDGASPAKPGSPKAAVSGQQQDWNSPARLPVEKKPKGKKQQWVPFICCPSMS >KN539533.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539533.1:1445:4976:1 gene:KN539533.1_FG007 transcript:KN539533.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFISKLRSSKFAARCEHYLCENKGSILVCIDCSLPFCIGDGTMYKPQGHARWHADLEQHCVAALFSKPDTLYCFICERCLNMEVDDTESESDRAECRHLLDEEDVTLIVSEVTASKNIPVCQHPGCKINGRTRIMVCTGCNKHFCTRAEAKKKPHGHARLHARKFEHHWVGLWYSDPYKGYCFKCELDLTLSAPTVEQGMVFGKELFGQESGLVKGHGCVIRGMPNLGNTCYINALLQCLFVLGKLRARMLAPDAPSYILGYELKELFQEVNNVDNAQLQLNPTKFFACIRVLDARFKSSDMQDSHELLCFLLNELDKEEKSMVPPVSPTVVDSIFRVQLSATISCSHCSYNSVSHEVMYELSVPLPSERPPPKSIASPPRDISCMSREKTGIKLFPEVDTSNTEIVKAIAEGSVSHIASLELGDVDKEKTSEPLDGDSVEVEQRSPSKADDLGQNDNAGFENTSGEPQVSIEAKKNACSVEGAAEDKGKAQFSNMAYGKAKDNDSLASIEECLALFFKEELLEWRCDNCSGVSHHLSTTGSKDGEQMMASTNENTIIDRDQTEQLDKVARQSEQSKNLESLALECTSSKQPHGSDSERKAMLAMDSITEGISTLPPVKHTYSLRSRGRPPSHNRIASGMIHGEQDLASDNIANKKTDCHERVQEAVSSCLPAEEPDNLLSGQGENTSSLDQGKWKQVKVDHSADQVDANQKEREDRNQGGIQTRVINKLPPVLAIHLKRSKETGKVRGHVNFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTSSGHMVAYVRPNQEQPDGGTSPWYCASDTDIRQVSLEEVLKCEASLFFYERIGG >KN539533.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539533.1:41162:47103:1 gene:KN539533.1_FG008 transcript:KN539533.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQKKNAHVPKFGNWDNGGNVPYTVYFENARKGKVIPNIIRIMSTASTARSRKRAHDGSRQKVDVINLETTAPVVNTGSQHEALILRGTRTSPIDVEALDDKRRSRKIMRRSVAVVDLEKDTGPGGYGVAGAIFSRGRNFQGAVHVICLSPDREEGTSKPKNVAQTSTTHAKVAPKEPTFTCPVCLNKLDKPSTTNCGHIFCEKCIQACLKAQKKCPTCRKSLGIKSFHRVYLPTPADYD >KN539533.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539533.1:54278:58875:-1 gene:KN539533.1_FG009 transcript:KN539533.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLRKRENDCPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRVYSDLTVDYFKMFLLNVPAAVVALTLFCLHRFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCAKYGFEI >AMDW01040934.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040934.1:2247:4093:1 gene:AMDW01040934.1_FG002 transcript:AMDW01040934.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKEAKEKLAKKLQAKKSKMKIDGDVKRKGGKFKVGKKKVKTKLSALTKAKAAQAMEVDK >KN541177.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541177.1:786:4075:-1 gene:KN541177.1_FG001 transcript:KN541177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDTVESIRSIQIRQVLAQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHEREESAEVDILTKGDNNFGDDRLLYAHGQLWLHQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >KN541177.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541177.1:19933:22596:-1 gene:KN541177.1_FG002 transcript:KN541177.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLWGLDGFKNAGLPEVMPIHPILSMKQDGVMYMVLSADDIAFAGREDCGTASESKGWDSDVGDEGGDDDDEEEDGVLVEEERQYLIGLDICNESLQSWRSLPGSGYLDRPDLMGFDMSKCLDEHCLRPLADATPNTDEAGVLTVPATRKRKLPSSPNSRSLVEPSPHLLRSASNPPDLSPPLRDPASALAFVSKSGLISEANPAAQVSLCPSADESAALRLTPARSSAELECPNARRLYSSVVLAPSMSPVQQTHSPLRILARRPPSPLEATAKDVRRADIQFTKFHPEDFFITCSNQSDRDAILRQPRLSTSSPAHFRPWDESLHGIQSRYRYRARLCIEGIPMHGRTDEAAAKVIGRKCAIHYVEEYSRRGNYNRTYDMWVWTDEPRAIPRGGSFAITDADEEGLATDIPLLELDPLCKPPPSSQRMAGPTMSWSTLIP >KN540408.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540408.1:52218:53096:1 gene:KN540408.1_FG001 transcript:KN540408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSSSSKVMMLLAAAAFLLLAAPAFASDGQTPVGYAQAPLTANGGQQPLGPLQDSKVSAYCHIQVVPRRSPTCGTSGSYCYICRPNHATGAYRINGAGGTNGNQCLGAERVDCIKKCY >KN541177.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541177.1:11779:12204:-1 gene:KN541177.1_FG003 transcript:KN541177.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHPILSTKQDGVIYMVLSADDLASAGHEDSSSASESEGWDSDVGDESDDDEEEDGVLVEEERQYLIGLDICNESLLPWRRLPGSGYLDRPDLMGFDVSKCLDEHCLCPLADAAPNTDEAEVLTVPATRKRKLPSSPNSR >KN540408.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540408.1:44:3658:1 gene:KN540408.1_FG002 transcript:KN540408.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AAFTFTVGYHELAGTKVTLKKPLLVLRKKKTAAEETELEVIGVIRHKILFKDRPKALISKPQLQNHDLLSMKFSIMDQTTLIIPTRESPKELSIRAKEYKTGLMTKADVAFLVSAAETS >KN540408.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540408.1:26262:27264:1 gene:KN540408.1_FG003 transcript:KN540408.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDASTARHCRAHTSRAFVATAQVVAYRDLLDHVSALERAARRAKNNLWNMDCVTTQSRTMRGGTMASTSPATAAAATNLQAAREWCWRIGCVLARPIWLLAADRRWERRCVFRSYCSSATVAGVWDCGLDVLLILLLTKHHEISIFLYNDATQEVSPLNAPRDESPEKPDYRISWGDKPTLVSPASIVVGEHEQRRDLPADALAALKPMNELEKRMGQDATLRTVCFMEFLVRIKCGNSPASLLRMLT >KN540408.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540408.1:13910:17400:-1 gene:KN540408.1_FG004 transcript:KN540408.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYMSRGPPNGSVYVCNLPPGTDETMLADYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDTYDNSASLNNSAGLGGQDELDNGAGRGRGHGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNRCGAARPAGVSGSSAGGGGRGRGRGSDDAKGGSRAAAVGGPPGLFGPNDWSCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEVQLEEKAGKGAEIEAETMTTMNGIAGTEIEAVMGGSGAEAEVEVETVRRKGGGTGAETTAMRGAGSEEPSVTVIAIGEYFMPGSSHAACRFLVFRYFCFVAG >KN540408.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540408.1:6728:7524:-1 gene:KN540408.1_FG005 transcript:KN540408.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFYAALPGNFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLIGFGFVDILLSGMVSYVLDLQEHLLITALKNPRSVRKHRHNYIFDLKKGRMRVRMKVALALTVVAICVGVGAAVLKRVENLGWLDAVYLAVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKYVHGYFLVLADDDLAIYN >KN540408.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540408.1:39728:42408:-1 gene:KN540408.1_FG006 transcript:KN540408.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPEESNKDDAGNPEMQDVTRNVVPDDTTTDDQRGLDAGTMNGDDCPCPIRRVHGRVRDIDPGAYDPMVVSLGPYHADRKDLRPMQKEKWRCVEYLCDLTGTTNYLDYLAVMDDRVYRDAKTYYLDETGHGRSQGGNGAGGLALAVEHANFVQMLLRDAAFLLVSIGALDTLKKKTNQEDRSRDRWKHVAIAHDMLLLENQVPFFVVEKLYHAATGDRHPSLSSVMRNFIRNEILEVAEAQDLPPQPDHLNPQHLLHLCHTLLKPTTKEPAAPGPDNVADRVKHLCHTVLKPTAETAAPAGAGTDNVAARVKRRWHRAAQYHVAGVGLKKKKKRPFDGGLLDVEYNGGALEIPVLHVYDNTCSMLRNLIAMEQASSSGVGHYVTAYCNFLSRLMCTAEDVTLLAKKGIVVHHLGSDEVVAGLFADLCKNVVFNEDDDECNYLRAACKAADERYQKRVWNWMTLLKHKHFSNPWLAMATVAAVLVTICTVRRWHRAAQYHVAGVGLKKKKKRPFDGGLLDVEYNGGALEIPVLHVYDNTCSMLRNLIAMEQASSSGVGHYVTAYCNFLSRLMCTAEDVTLLAKKGIVVHHLGSDEVVAGLFADLCKNVVFNEDDDECNYLRAACKAADERYQKRVWNWMTLLKHKHFSNPWLAMATVAAVLVTICTVVQTFFTVFPRK >KN538721.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538721.1:89002:93828:1 gene:KN538721.1_FG049 transcript:KN538721.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGCKKKTGNSRSRSPRSPVISKRREQQRNVALRHIEDHRIAGVVLFGGLADIYDLRLLHHLRDIRTFGAWPVATVSAYERKVMVQGPLCINTSSSSSPSVITRGWFDMDMDMAAAGGERRAAADRPPPEMLMEVGGFAFSSWMLWDPHRWDRFPLSDPDASQESVKFVQRVAVEEYNQSTTRGMPDSDCSQIMLWRIQTTL >KN538721.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538721.1:68583:72561:1 gene:KN538721.1_FG051 transcript:KN538721.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLLRPLLLLQHAPCRTLQTLGRSPSPSVFRPPRRRPPLLLLLLRSSFASVSPGPAPGSGTGECPPPPPAPLPPDELASDDDAYYHEHILEATQEDQSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEDVVESENPPLTSREGKKKIGSRGAGRYSYLRQCQLLRLIKKGVWF >KN538721.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538721.1:23625:26528:-1 gene:KN538721.1_FG052 transcript:KN538721.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSVSESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLDVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLAEKYSTSLYQFYSPLAVSAVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNGASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVAGQFAPDNIKNHQVTGSKRSLMEKNPTASTYEWDGSDSEGKRPAAKRRLPIFERTAKPSPTAAHKTRKKWSEKQEKTLLEGVEKYGKGNWKDIKMAYPDVFEDRSTDRGAMSVGTSCSERLFSYLNVSVLLFVLATISSGAASCE >KN538721.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538721.1:7514:7913:1 gene:KN538721.1_FG055 transcript:KN538721.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAYVRKITKEHQPSGVKYQNAEAEVGRDMNSYESKAAIPWSPWRDRTLYALTEKYNLAATVAMGNSWT >KN538721.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538721.1:108938:111454:1 gene:KN538721.1_FG057 transcript:KN538721.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVEQIRQLQGRGGRIPLPRVGVGRALPLLTVDDFHRFLFSHELNPPIRHGQGQVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIRALQRGVRVIELDMWPNSSKDDISILHGRTLTTPVSLLKCLLSIKQHAFEASPYPVIITLEDHLTPDLQDKAAKAHLPMCQMVLEVFGDILYYPDKDHLKEFPSPQDLKGRVLLSTKPPKEYLQAKDGNAATIKEDAKAAATDDAAWGKEVPDIHSEIHSATKHDQREDDDDTDEDEDDEEEEEQKMQQHLAPQYKHLITIKAGKPKGTLLDALQSDPEKVRRLSLSEQQLAKLADHHGTEIVRPSLANSAEMPNLFGQNRFTQRNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTDPEVFDPKKSLSPKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSVMKRTRAIEDNWVPVWEEDFTFKLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELIPGIRAVALHDRKGIKLNNVKLLMRFEFE >KN538721.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538721.1:48807:59730:-1 gene:KN538721.1_FG059 transcript:KN538721.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKILLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESDNNSGSNDDDDDDGVSMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSGPGGGNGSGSGSGNDMYLKRFTQQEHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGGREAAADR >KN538721.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538721.1:27731:36559:-1 gene:KN538721.1_FG060 transcript:KN538721.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPHSSPNLTLTPDATRLVVVDTPPPTPTACFAAHDLFPAISVANFRKYQEAALSVAGFRMVGVHVIGVRKNLTNQTMMFPAQLKPELRTKPVRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFLGQAAPSSAGLSGQTIQESMQLLLLVRAYQVNGHMKAKLDPLRLDDRAVPDDLDLSLYGFTEADLDREFFLGVWRMAGFLSDNRPVLTLREILSKLEQAYCGPIGYEYMHIPDRDKCNWLRDKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDLDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQKIHEKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVNPGVLKRVGQAITTLPEDFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQENGRKHCPLDHVVMNQNEELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGIKRLVLCSGKVYYELDEERKKTERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHAQEQTELVKKALQAEPIKFP >KN538721.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538721.1:79465:81830:1 gene:KN538721.1_FG061 transcript:KN538721.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSLRIPAAARVFDPAINTRCPPPHAVVMRMRSRRPIAASASPPGDASTRDPISHVVLPSKEPPASASASFPYFLVTLTKVRSRPGPLTSADLMEASGDGLKVAYQGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIQNLRSAMSHPQALAQCEQTLTKFGIEHREAVDDTAGAAKLITEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKKPLRIADDNCSAPLKHFDYLFYVDLEASMADPNAQNALANLKEFATFLRVLGSYPTDVSEA >KN538721.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538721.1:97375:98373:1 gene:KN538721.1_FG062 transcript:KN538721.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 21 [Source:Projected from Arabidopsis thaliana (AT2G37130) UniProtKB/Swiss-Prot;Acc:Q42580] MRRSLVIVMVACSCSCATAIARGGGGGGLKLNFYSESERCPRAEEVVREEVRRLYEEHGNTAVSWVRALFHDCMVYSCDASLLLHTTTTTGVSEQSSHRSFGMRNFKYITAIKAAVERECPATVSCADILALAARDGVAMLGGPSLAMRTGRRDSRESYYGVVEQYIPNHNDSVSTVLSRFAAIGVDTEGAVALLGAHSVGRVHCFNLVGRLYPQVDGSMEAAYGEYLRGRCPTAAATEDTREVVYARNDRVTPMLIDNMYYRNLLAGRGLLLVDQQLASDARTAPYVRRMAADNDYFHQRFAAALLTMSENAPLTGAQGEVRKDCRFVNSS >KN538721.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538721.1:124327:126740:-1 gene:KN538721.1_FG063 transcript:KN538721.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSGCGVAPLPLLPCRRRAIPLGNARLRLRVAAPTSRVATVEEDDNNAGSGEFDPGAAPPFGLADIRAAIPKHCWVKDPWRSMGYVVRDVVVVGHGSFSNNSRLNSVMGHILHSSILVPYHGWRISHRTHHQNHGHVDKDESWHPLPERLYRSLNRATRMLRFSIPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNERNDVLTSTACWVAMAALLSGLTFLMGPLLMLNLYFVPYWIFVMWLDFVTYLHHHGHNDKLPWYRGKEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKGVMGKYYREPDKSGPFPLHLFGALSRSLKRDHYVSDTGDVVYYQTDPAN >KN538721.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538721.1:112539:123289:-1 gene:KN538721.1_FG065 transcript:KN538721.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATARDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPAVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKWRARLLEAQNIINQADSIEHSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQERTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPGPTSRNLPMEENSNSSSIPSDMETIEAKEPTADATRLSIEIQESSEASHASTELQGTREHGSSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQAQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKEAFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNEAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQVLQTLTDGAMPSQDLISSVKNLYSKTKDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALTRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLLLGHCSSSTFPQVEQIPLPLLFMRTVMQAIGAFPALVDFVMDIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >KN538721.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538721.1:75541:77473:1 gene:KN538721.1_FG066 transcript:KN538721.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSYPTVSDEYLAAVGKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKNPGEQSHAANAGLDIAVRLLDPIKDQLPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSAQMGLSDKDIVALSGGHTLGRCHKERSGFEGAWTSNPLIFDNSYFTELVSGEKEGLLQLPSDKALMADPAFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEE >KN538721.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538721.1:39782:43204:1 gene:KN538721.1_FG067 transcript:KN538721.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding METRVKIMLKLLGEEADTFGKRAEMYYRRRPEVINHVEEVYRAYRALVERYDHLSKELHKANHTIATACPQHDVSLLQEQDDVEFTPIRIQESTTTVQEVLNPKGHARPCAPHFTKQNAQQEIDTLQKAILVLQTEKEFVKSSYETGITKYREIEKQIADMQDQVCHIQNEFDAHASIEDDEARALMTITALRSCQGTVANLVKIFEELVRVAAGESQKVNHLRQKLYAMNYIIDPSKGEVGTTNIAVKNRVYPNTQEILDLQPIYEKIERFFELNSESVVEEMAERVDELVDKVMNLELKFPKQSAQIKQLKEDNDSLKDRLDDLQDEIALRDDPSDLSEQLKLAEDELNRVKALERSVIEEEVLVSTVFSEVVSCITNISKAFGSIDPEDMTSLSAAVENDGEITSDDISTSLPEEEFRGTEEATTDDNLGRDRCRKEDASGVEGHDSLDGTDGIDDCKNGNEENFQSENRLIQEDLTDKRSIQASNNIDRIVIPGKENGFNNACEGKIDCSPSGNTKKYRDIGNDVIDNSTQGESLKGEHPPTVISQTHLPHSECLDTLTNKSDSDEKGSSVVVTVNSFGGSKRIQGLRIGGDENSMSGNSLIQEELRDDKSLKTPGYVNLVGSTNQHSLSDGSTTEEISLPKVSNSCFSDADMRLELCHTEEAISVEEWPKQDGQLIAPETMKSLNGGSKVDSSEKGGRTSLEHMNSIQDLKTSELVDAHSSRVYQQVPKVTTKSNNIASCIPHGELETRSSDGREHTRQASTLSKPGSMSLRVNSSLVAERDAPSWQEFLLDGIEGREALLLDDYTLILRNYKETKRRLAELEKKNEQHLEETKIVIRELRNANSMKYVEIQSLRDLLDPSEDISSTHSKMGFNRSNHPLDTEISVLEGIDVSHTGVRKNTSPFEVKFRSEIDALVEENLQFLVRFSMACHRMQDFDSKYQELQKGMGDFEVKKTGEPDAAAESDPAEKKLRELRTELDVWFEQNALLDQDLQLKTMSLCRLQEEIAEALRASAETDGGRFTPYEAAKFQGEVLNMQQSSGKIERELQSALKRMRELEGKVNDGLQKLRESFDLSCRRSSLVEEESSSTSYHSQFKHFPTRTRVPLRNFLFGTKPKKKSIFACINPTLQKQFSDL >KN538721.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538721.1:84077:85786:-1 gene:KN538721.1_FG068 transcript:KN538721.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFDLNSAADPQTLAPPKRGRGRPRKNPPPPPPPPPATDPNPHPPSGAGAGAGAGAGACPFAPGDLVWGKKLSHPAWPGEVISASPTGAQLLVSFFGDKALAWCDAAQLRPYEPYFPVAELYDGEADDFDAALDASLLEFERRVELALTAPGRIARPFLPRDFIALLHDLAAHRMGFSNRVHAAVAKAHLRAFDKFRGLPDPPEYTLHLGLPNVSAATATAATPNNCNPYPPSRRRGRKRKEVEEEILDDSDEDWDPRKKGATDSDSEVDFDRKRVSKGGRGSGAPRGRPRGRPRKNNAGRPAHLKDDDEVIQETVEYQYPPAADMFLQLTSVAADPFNFKGYDSVPVILSFFSKYKDSEVPATYDDKELLQTLGGKKGRKNTERSLYPAAKEEGDLEVADGHRGRRKSAGSIYSARKAEDSYWCDIIISDFDDGDTSSDYEGRKMKRLSQNRSFNKKMKQEVAPQDEASADSPAVKQADGPAALILHFSNAEAIPSVDDINSIFRMHGPIMEGATEINKKSKIARVVFSKSADAEQAYSSSGKYNAFGPALLRYDLKYLPMAPQVP >KN538721.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538721.1:44396:46270:-1 gene:KN538721.1_FG070 transcript:KN538721.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFACLGAGGGKMMKKKKSPPQIPPASERDNPPNLTSSTVMKQDQDSFQLAANEDILVSNGSSENRRIAARTFTFRELAAATSNFRADCLLGEGGFGRVYKGYLETVDQASNLYSASCYHTANFCLIKLESLQLTVVAIKQLDRNGLQGNREFLVEVLMLSMLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPPPGKSRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPIGDKTHVSTRVMGPALVQGQEEVPPDGRSSASWSVSIERIVPGLGCCCDVCPRATDHETPDRGCCYSSRLPCLPDL >AMDW01029053.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029053.1:57:365:1 gene:AMDW01029053.1_FG001 transcript:AMDW01029053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHANNWKD >KN542160.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542160.1:3981:13715:-1 gene:KN542160.1_FG001 transcript:KN542160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMLFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDALVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKSVGSSAQAEISYLTWNPKFQHILATASSNGMTGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGAQVTTSEASLLCHFPVACDTSCSSVLRSDEERETWGFLRVMFEDGDAARTKLLAHLGFNPPQEQTSSSTDELNRTLADTLNLDHGAPTDTSDSQFMVDNGEDFFNNPQPSEASLAEESISTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEEKRLFCSDYHELQGTSQYAVPSNQYQDYPQQSNTAYGTYNSTYSQQPTQMFVPSNTTPVISQPNATPAPVPQQPVKAFTPTNLPGLKNPEQYQQPTLGSQLYAGANPPYTSGQSTPYQGVPPTTYHQPRPPTQFQTVPTAPPAVSSATVPGTTPSQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPATVQTADTSKVSAELKPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCSALDSGDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >KN542833.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542833.1:1438:3552:-1 gene:KN542833.1_FG001 transcript:KN542833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLQKDLAAVVLILVLLWRNETARRHKNRRDKYGPLLNRDVWRSATVSRYFNIVLHAMCELARELIRVRSIDTHAKITSSPNRFYPYFEVNPTSSICMEKKKEFVKGKEAEPREYITWTDDATRFMLEWYVEVRKDKPYTFKWKNLHHLQCADALNEKFGLGITKNQVERHFRQCKEKWSWIRAALSKSGYGFDATTCKFSIDPSEKDSKKLGNYLGAVPKLMVPLLLISAL >KN543333.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543333.1:1057:1786:-1 gene:KN543333.1_FG001 transcript:KN543333.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKRGGGDLIRSAKESKRTRSLVPGDLNWYTNKGYVWSHLGEEGALKLSKSVVSLALSDGNTVLFSFSGIAIERKRKATRFLTSASLVRALNNNKSKNHDFLKIKVRHEGKVVTGFLKEYDLDLEIAIVKVKSSLDVDAVSLPSI >KN542833.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542833.1:4384:5462:1 gene:KN542833.1_FG002 transcript:KN542833.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDNVKIANHLDRAVSSLGRDPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKAIDMPAEQFELSMGMSGDFEQAIEMGSTSVRIGSTIFGPREYPNKKN >KN540183.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540183.1:39051:40551:1 gene:KN540183.1_FG001 transcript:KN540183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILRDFHALHPIEAFSTSFGGGAALACVAGHARNGLSGYERMFCGLDDIYCVFMGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGEVPLYWGIAADGSVVICDEREIVKGGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAATWDDAAA >AMDW01028530.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028530.1:71:388:-1 gene:AMDW01028530.1_FG001 transcript:AMDW01028530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADDWATQGGRVKTDWSHAPFVATFRDVRVEGCAWAANATDSDAGEVARCTGSSWGKEGRYWWKEKDMEELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAS >KN540183.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540183.1:15613:18417:1 gene:KN540183.1_FG002 transcript:KN540183.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVVRSCVQTGLKAVNSVLGLAGMAVILYALWMLRAWYRDVADLHYRLPDFPDDPSGKFEEFKDFIRSNFEICEWIGLSVVAAQVLSIVLGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQYQHGINYSEHTLPQSSDSWSLRILDKANK >KN540183.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540183.1:50024:52058:1 gene:KN540183.1_FG003 transcript:KN540183.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWCCIPKSKKGENPYSHGVSGIPPEKNIRLFSYSELRSATENFNRSNKIGRGGFGTVYKGTIRNGRDVAVKVLSAESRQGVREFLTEIDVITNVKHPNLVELIGCCVEGNNRILVYEYLENSSLDRALLGSNSEPANFTWIVRSAICIGIAKGLAYLHEEIASPIVHRDIKASNILLDKLYNPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKRADIYSFGVLVLEIVSGKSSSRSLLADDKILLEKAWELHEVGKLKELVDSEMGDYPEEEVVRYIKTALFCTQAAAARRPSMPQVVTMLSKPIRINERELTAPGYIHDYNGTVSKATNSSNSRFKHSASDTSDMFSTVVPPTVSEISPR >KN540183.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540183.1:58916:59137:-1 gene:KN540183.1_FG004 transcript:KN540183.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSICGAVSVAGAFAAFAAISALSVVFVHVFVPETSGKSLEQIESLFGGGAGAGEVELGDAEHLVHEKEMS >KN540183.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540183.1:7166:12134:-1 gene:KN540183.1_FG005 transcript:KN540183.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription factor B subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G17020) UniProtKB/Swiss-Prot;Acc:Q680U9] MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELEAFALEQWELMETNAQLWYIMREYISSSEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVDMTLSHLYEDFPSKDMFDQCCDYARDHGCLLWEDAKKMRLIVRVEFHPEMREFLRRLR >KN540183.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540183.1:52676:55852:-1 gene:KN540183.1_FG006 transcript:KN540183.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGILLREWRRQNAIVLEEKERKEKELRAQILAEAEEFKKAFYEKRIQNCETNKVHNREREKIFVAGQEKFHAEADKQYWKSISELIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMMQPPPAPAAKDGAKDGAKDGTPAPANGTKKPAESKEKPANGSPAEAEKEQPAASE >KN540183.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540183.1:180:818:-1 gene:KN540183.1_FG007 transcript:KN540183.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPEAEAERPGIIARTSTRDTSNNIVLSGFKIRRKRFRLCVRSTEGTRAAQDGQRLTTTPLGRELCLRCHMLLFQRYLYTIDGFRTCDAYARHLPDIAAATAAIAAILRAYRERRVERGLFHLRPMRNELKFHLMSPLFLMPLPDALEKWRSLRRRRRHAKLLLLPPNNRSSGNTSAATSIYGNCCTIGFIYPVYLLFVAILACVIIFW >KN540183.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540183.1:19627:21596:-1 gene:KN540183.1_FG008 transcript:KN540183.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSMRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDVDDRRQKATCTERYRRRDEDESKRQVSEIDVEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDDRDVVDMATITRENLRRLFMYIEKLPLVAPDNIFYAYDSHVK >KN540183.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540183.1:59906:61418:-1 gene:KN540183.1_FG009 transcript:KN540183.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding VGVMSGCIIFIQKDLHISEVQQEVLVGCLSFISLLGSLAAGRTSDAVGRKWTIGLAAIVFQAGAAVMTLAPSFEVLMVGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFSEIFINLGILLGYISNYAFSGLPDHVSWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEAREVLLKAVALGFAVNRLTSGAVAMSFLSICGAVSVAGAFAAFAAISALSVVFVHVFVPETSGKSLEQIESLFGGGAGAGEVELGDAEHLVQGREMS >KN540183.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540183.1:33960:37322:1 gene:KN540183.1_FG010 transcript:KN540183.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILRDFHALHPIEAFSTSFGGGAALACVAGHARNGLSGYERMFCGLDDIYCVFMGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGEVPLYWGIAADGSVVICDEREIVKGGCGKSYAPFPHLLTSSILPM >KN544035.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544035.1:289:4544:-1 gene:KN544035.1_FG001 transcript:KN544035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTKLQAKPHGDAQSEGDTAELLSSKPQLEEEQINVLSIEVAAVADAVELGVGRKTGSSEEDGTVSDGGDDSWEDKSLDGFDVQSDGNSPCVTKGETEEKLATSASQVVNPVDIDVAGEVEEDGILDSQDACAIKGDRVLREPICCILGHVDAGKTKLLDCIRHTNVQKGEAGGITQQIGATYVPVEYIKERAKPHEGVVIKVPGLLVIDTPGHESFSNMRSRGMSLCDIAVVVVDIMHGLEKQTVESLALLKDRNVRFIVVLNKVDRLCGWKHCPDAPIKKALENQSGDVKKEFQWRLTKVVTQLKENGFNTALYYDNQKFRKVFDIVPTSAIRFHFICYMQFEVYLFLTYAIPSSSGEGIPDLLLMLVLRSQATMMEKLTFVNTVECTVLEVNDDKDLGTTIDVVLINGVLYKGDQVNVCTKQGPVATIIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVARGLKYAIPGTSLVVVKPGDDLGQSEAKSQRNENEEGNIIQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVNVPVSGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEADVLASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAVDAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVYVGGTVHGLGRISSMQTSNGNQIDSAKRGVVVSVKITGESPKEKTRLYGRHFDESNELISQISRRSIDVLKEYYRDEMNDENWQLIRRLKKLLDIA >AMDW01029961.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029961.1:181:402:1 gene:AMDW01029961.1_FG001 transcript:AMDW01029961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNFVNLQVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQ >KN547325.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547325.1:107:364:-1 gene:KN547325.1_FG001 transcript:KN547325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSAATVPLQGGGLSEFSGLRSSSSLPLRRNATSDDFMNAVSFRTHA >KN544312.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544312.1:47:599:1 gene:KN544312.1_FG001 transcript:KN544312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPELHSRLRWRREVGGDLEVWMAEQRSRPTWVGDDGSLQIPRRDMRMTRSVRRSGTSRPWARTMMVEECEIDVVVLDREVACYWEGATEEAGKLVEGSWASHRRLQAVGPEPEAALPPQCAPASIAPTPTVFPPC >AMDW01132514.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132514.1:289:435:-1 gene:AMDW01132514.1_FG001 transcript:AMDW01132514.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPLPDASYALELEPAPEFAPDMEPALEFEPLLDPLNEPAPKMRTF >AMDW01032163.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032163.1:171:383:1 gene:AMDW01032163.1_FG001 transcript:AMDW01032163.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGEAKVPDSKKCKAEVKPKVEEAASDGSVGDRVQKQGKGKSSKPPAEPPKDYVHVRARRGQATDSHSLAER >AMDW01033856.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033856.1:54:452:1 gene:AMDW01033856.1_FG001 transcript:AMDW01033856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIQIESLLDDKDEASSSPPDQCAEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLTHEKAELLTAGDLKGTSKIEQLCLQVLSMRICPGGATIDLPVIDSSSANAEETNQLNVKSSPAAASAIPDTDLAEI >KN543125.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543125.1:440:1013:-1 gene:KN543125.1_FG001 transcript:KN543125.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGDLRRRGVLSVDLATADLPPFVAAPELYPKYLDVSIRQFEDLLDADDVFVNSFNDLEPMEAEHMESTWRAKTVGPTLPSFFLDDGRLPANKNHGIDIFTGDAPCMEWLDKQAPCSVVLASYGTVYSLDGAELEELGNGLCNSGKPFLWVVRSSEGHKLSEELRGKCKEKGLIVSWCPQLEVLKHKAT >KN543125.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543125.1:3945:6296:-1 gene:KN543125.1_FG002 transcript:KN543125.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSTPAASANGGQVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDASGMAALPDPGEYLRTLEAHGARTLAELLLSEPTRVLPCAVDLIYGEVCARRLALPVTPTDASGLYARGVLGIELGPDDVPPFVAAPELTPAFCEQSVEQFAGLADDDDVLVNSFSDLEPKEAAYMESTWRAKTIGPSLPSFYLDDGRLRSNTAYGFNLFRSTVPCMEWLDKQPPRSVVLVSYGTISTFDVAKLEELGNGLCNSGKPFLWVVRSNEEHKLSVQLRKKCEKRGLIVPFCPQLEVLAHKATEKFMEVKVPNC >AMDW01035754.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035754.1:5:277:1 gene:AMDW01035754.1_FG001 transcript:AMDW01035754.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVEEAIERANGTRYGLAAGVVTRDIDVANRMARSIRAGVVWVNCYFAMDRSCPFGGRKMSGFGKDDSMHALDKFLAVKSVVTPVHGSPWF >AMDW01036271.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036271.1:25:525:1 gene:AMDW01036271.1_FG001 transcript:AMDW01036271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTRGDAEQSKGMMVQHAVQTVLVLWVLVTRANNAILLDSSSRGVNWEWTITLSVCWLYSIVKMGQRIKAMRMASSSHGLVRAAKVVADYMHDTVDAWDREHRGHAGDGARDLDSVDMGPYKYLVHGEEGRSTPPSEQTDYRTRVPEDGTVVTIDKIWRCDGELL >AMDW01049996.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01049996.1:335:817:1 gene:AMDW01049996.1_FG001 transcript:AMDW01049996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NIRVISKLKNDGKRNNSPPIEILVKLSGSGAILGSDIPGRTIIGENNVIGHHAVVGVKCQDLKYK >KN538867.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538867.1:56425:57426:-1 gene:KN538867.1_FG017 transcript:KN538867.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSKPIGGSWQKSFMLTVQSDLVIVNTQLVPVYSWDFSEVLLFALSNRDSQIADLHTHSCFFPNLNKSINICSLIVLAVFNLQFNRKRLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKISSKVTRISPQFFTVSPPIMSPDEQIPSPQHTSDVARVENNIKEEDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ >KN538867.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538867.1:90828:95107:-1 gene:KN538867.1_FG018 transcript:KN538867.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAGGWVTWRPAGAGSSGTSGYWEKAEFPFHAIPKLATLGLAGGTTKALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNGTFADVLIILARNSDTNQLNGFIVKKGAPGLKCTKIENKIGLRMVQNADIVLNKVFVPDEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTSKKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAALTKSRL >KN541179.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541179.1:11777:18435:1 gene:KN541179.1_FG001 transcript:KN541179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWLSVQACSHADAGGFTNIDCGFVDGASYTDNITNLTYVSDREFVEDGINHVVVRRIITEPSYTNEQETTLRSFPVGKRNCYTIPSTSGKKYLIRATFTYGNYDGLNSSENGSLFLFGLHIGVNFWTTVNLTKWDSSNTVWKEVITVAPDKSISVCLINLGSGTPLISTLDLRKLGDAMYPFVNASTSVSYFRRLRFGSSDEYITRFPTDPYDRFWESWVFTKHTFPWVNKSSNGKVAELPNIDTFGLPPAILGSASTINGNYSWLNISVSASNSLATDLELLPVFHFVELGNNGSKRIFDIYNVDEPQALFSNFSPPSFLNSMFHNWFLRKGSRAYFQLRKTPDSQLPPLINAYEVYSRVQVENFTTASSDVDSMKTIKEKYTVIKNWNGDPCSPKDYIWDGLTCTYPNGGQNPRIVEINLSGSGLRGELEISFMKMSSLKKLDLSHNNLIGTIPDYQVNSLTVIDLSNNQLNGSIPDFILQRYKAGLLELSVLVPVTSLLVVLFVLWRLCWKGKSRKSEEEDYDMYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSIAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAYTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTIHLPNWVRQKIAKGSIHDVVDKKLLDQYNATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKVCLPISSERQLATSTPRKKNVMDAEIARQFQLMISGASTTSYEGSSFQSGYTGGVSEISHISGR >KN538867.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538867.1:29009:31528:1 gene:KN538867.1_FG019 transcript:KN538867.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFRKLKLVLALVGIITWIIGTCNAKFTPADNYLVNCGSTVDATVGQRVFVADNSQSIVLTTPQSQSIAARTTLNSVSGFDNAELFQTARIFTAPSSYSFKMRSSGRHFVRLYFFPFLYQSYDLASSKFKVSTEDVVLIDNFPQSSNSISVVMEYSLNITRDRLILTFVPEGNSTSFVNAIEVVSVPDDLITDSAQLLGVGQYLGLAAQPLQTFHRINVGGPKVTAENDTLARTWFADQSFFRNPTVAQAVTYQERLNYKDGSATQDDAPDSVYNTARRLVGQRNASSMPNMTWEFNVDGRSSYLIRFHFCDIVSKAAFQLYFDVYVYNFSAAKDLDLSAREFGTLAAPFYMDIVLPSSDPSGNLTVSIGPSSLPNATPDGILNGLEIMKMNFSSGSVYVVKPPSAAKQQLPIILGSVLGGIGAVIIVVVLCVVFRRKKKVKKPQTPLTSRPSSSWTPLSLNALSFLSTGTRTTSRTTYTSGTNSDTSYRIPFVVLQEATNHFDEQMVIGVGGFGKVYKAVLQDSTKVAVKRGNQKSHQGIREFRTEIELLSGLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPEFDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIIDKRIAGTIRPESLRKYGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAQRISSLEISTADESRTAMDYSQMSTSNAFSQLINTEGR >KN538867.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538867.1:63596:73578:-1 gene:KN538867.1_FG020 transcript:KN538867.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIGAAAAGWLQCAVSASTSDHTLLSCFLIAFEMEYFVLAVDSRSLFMLGVDAVCFWDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKVDRRERRTIEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYVDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >KN538867.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538867.1:79307:83323:-1 gene:KN538867.1_FG021 transcript:KN538867.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGPLKQQFRTDCTEYFLWRYPFDGGGRKERLVNSQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASNYPHGSEDNFVPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSQPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSSIHLEQSPAAACPSSSINVPPYHPNANGPFGSTPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPHPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPVCKAAAADEGI >KN541179.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541179.1:19710:31768:1 gene:KN541179.1_FG002 transcript:KN541179.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAIYAELTRMGFLTAQDNEVMENNTAYQMQYVSNCIYAFNHSIFCQIALLNIGNFFVNLPSWYFMKYTLWKGYISKGCSETCNSKIMAIVYFYLTNTGALRWLLAFQLFLAASMIQVHAQPPAGFLSIDCGYTDSAGYDDKNTMLPYVSDKGYIKGGKTFSILSQYMKEAANKQEETLRSFPDGQRNCYTLPTNRSKKYLIRATFTYGNYDGRNSSESGSPFLFGLHIGINFWTMVNLTKLPSSDTVWKELIMVAPDNFIYVCLINNGSGIPFISTLDLRPLQDTMYPFVNVSVAVSYFSRQRYGQVNDVITRYPEDVYDRFWEGASHTRSNRWIHLSTTQEVKRLPGDEKFMVPNTILQKASTINSNFSWLNITVRGANNLLGSGDLELLPVFHFAEIANNTIRLFDIYSDGEELFASFSPSPFQVDSMYQNGRFLRRVNSTFTLRKQPTSQLPPPLINAFEVYSLVRIATASDDGEQNSGLNSDIFVYTLYSRAKWIEPFVNCDLAGKSKEHDGYDMYEEDTPLHTDTRRFTYTELKTITNNFQSIIGKGGFGMVYHGILDNGEEVAVKVLRETSITLSKDFLPEVQILSKVQHKNLVTFLGYCHNKKCLALVYDFMARGNLQEVLRGGQEYSLSWEERLHIALDAAQGLEYLHESCTPPIVHRDVKTANILLDKNLVAMISDFGLSRSYTPAHTHISTVAAGTVGYLDPEYHATFHLTVKADVYSFGIVLLEIITGQPSVLVDSEPVHLPNWVRQKIAEGSIHDAVDSRLRHQYDATSVQSVIDLAMSCVENTSIDRPSMTDIVIKLKECLPAGTGEMQLVSRSYKQKEAMDADIVRQFQLPISGVSVESIEGNSSGTTELRYPSGSEMGNHVAIIARKTNRMKMAVLHKEMSNQCNGRMTKIKAIVYCCLMSTEARWLLAFQIFLATSMIQVHAPTPPGFTNIDCGFVDGESYTDSTTNLTYVPDHEFVEGGTHHVVVPKLISGSTDEQEKTLRSFPDGQRNCYTIPSTSGKKYLIRATFTYGNYDGLRSSENGSLFLFGLHVSVNFWTTVNLTKQNSSDTIWKEVLTVAPDEFISVCL >KN538867.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538867.1:104146:106325:1 gene:KN538867.1_FG022 transcript:KN538867.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHSEAERRRRERINAHLATLRRILPDAKQMDKATLLASVVNQVKHLKTRATEASTPSTAATIPPEANEVTVQCYAGGEHTAAARTYVRATEMKPWMHKPKWGLHEVEVEATKGINVVFSRTALMLTRKKVNAKVERSPEKQRTADVTYLS >KN538867.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538867.1:7465:17808:-1 gene:KN538867.1_FG023 transcript:KN538867.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGLAVADILQDRTGSEDRKKDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGALQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSNYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEDQFSRLLENTNRSLIMRKFVIDKLKILADSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRDELEAQLKKVSLNMEIAIQDLAYPIGDRDTKSLSMIKMVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLSKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTCDELERCVSSFLKLTKHHLSVFKEVLSPSIESIRTYVDNLVVLNSREETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLIYSEQRANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMKPEQHLNPDNELANLGAELGSEDRDFSGEEINGWEFDELEEDLKN >AMDW01036175.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036175.1:74:529:-1 gene:AMDW01036175.1_FG001 transcript:AMDW01036175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQAYLAYGVPENDIKLFSLMQKACYIVGGQSFSTAEIEFVILKMKTPSLMLALHKFRVTEEHKKYSIDDAEPLVLFGLSCGMFSSPA >KN538867.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538867.1:76622:77609:-1 gene:KN538867.1_FG026 transcript:KN538867.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTTILVAAMLVVMAVESPVANGQAAAAPAPAPAAPKTITAILTKAGFCYVCVLC >KN538867.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538867.1:32403:43745:-1 gene:KN538867.1_FG027 transcript:KN538867.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGDNDFAKAVLAGLDKCEELGYEDVELWSDSQRACGVLSDFETINMDDRNRMECMLLRFKSTRFKRLVFVQKPREIKDWSLCEILFMVDDILIEKVHSRYLLRNLLKKWSPYLRGQSIYSITRTKLTRFGRDWKRIEAFVATKTAIQDETIRLPISPDDLGFAQVYKFVGDMFGSGERRPVEAHLRRLQGMDPAISETGKMSRYVDPRDRYEPRGRNSRLYVGHVSLRTRAEDLENLFSRYGRVRFVDLKNEYGFVLTGNFTRQFICKVIPVFLLDDLDPTQEFSDPRDANDARLDLDGRKYDGSDIIVQFARGVERGLRGSREYKARPAHGSDHCFNCGMEGHWHRNCTAGDWTNRCYGCGERGHILRECKNSPKDLKQERGYSRSRSPRRRRSPSYGKSGPPSHWGSHGADHEERLHSRRDGRGYSRSPRRHDSPSNQRNHSPRRYASPSNERYDGTRRYASPSYGRDRNPGGNALPANGRSHNLTSDGMNPSPRERDDQNGSHRRGDNDYLPSKRDDQNGSYRRGDSDYLTRDR >KN538867.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538867.1:751:2507:-1 gene:KN538867.1_FG028 transcript:KN538867.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVAALISAAAVGARACLDVGFYDTTCPTAETLIQQVVAAAFRNDSGVAPAMIRMHFHDCFVRIDPALSKAYAFLLKGICPPNSNQTFPTTTTFMDILTPTKFDNRYYVGLTNNLGLFQSDAALLTDAALKATVNSFVRSEATFRLKFARAMIKMGQIGVLSGTQGEIRLNCRVVNPVNVTATAADDHHLTSSSSSSSDEVAAS >KN538867.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538867.1:86979:89389:-1 gene:KN538867.1_FG029 transcript:KN538867.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIFIILVSLLLSIHSPACSAATDTISAGEALPKDQKLVSRNGRFALGFFHLDTDSKFFPRHTLKHWYLGIWFDKIPVLTPIWIANRENPIVGHHRVTKLTIASDGNLAIFNQATRSTVWSTHASITAKKTMVVLQDNGNLILRDASNSSNVLWQSFDYPTDVMLIGAKFGLDKVTGLNRVIVSKKSLADPAAGLYCLELDPTVYWSTGEWNGQFFNSIPEMSGRTLFDFKFINNNQEKYFVFNLLEKDLITVCFLDISGQMKQLLWLENKQEWATIYTLPKDLCDIYATCGPFTVCNSNALQVCDCIKGFSVRSPKDWELEDRAGGCIRNTPLDCGTKNQSRTATTDKFYSLPGVGLPAEANIIEAARTADQCALACQNNCSCTAYSYGNRCSVWYDDLLNVRQYNKGTTSDGEILYLRIAAKDASWRHNKNGQGKIIGAAVASSVVALGLFSATVIWRYKRKQFTAPTNNVQGGNGIVSFKYSVLQHATKNFSEKLGEGGFGAVFKGFLGGSTPIAVKKLGGDRQGEKQFRAEVSSIGIIQHINLVKLIGFCSENGRRLLVYEHMPNCSLDTHLFHNHTTILNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPENILLDGSFVPKIADFGMAKFVGRDFSRVLTTFRGTIGYLAPEWISGVAITSKVDVYSYGMVLFEIMSGRRNSCKQDTSDDDHAAYFPVQVANELIEGDVRSLLDNKLLDDVNLDEAERICKVACWCVQENESNRPTMGEVVQILEGLLELEMPPMPRLLQAITATGSSY >KN538867.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538867.1:48828:55573:1 gene:KN538867.1_FG032 transcript:KN538867.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETSTSVATQSQEPAAVGAGSVKQQLSKLVIASLRTTVPEVEVDPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGITTWAPILPVKRAVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQVRLFPALMLDCYISLAVWHENIEMHGEKLSIKRPRTALMVMQNLKTELNKQSLDFRYRLNVEKAEWIIYVTDVGQQQHFDMFFNAARMAGWLPDPKEKKFPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEETSRLLLCQATAVVMRQCFELLGITPVYKL >KN538682.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538682.1:965654:968369:-1 gene:KN538682.1_FG094 transcript:KN538682.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLVAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >KN538682.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538682.1:949995:957316:1 gene:KN538682.1_FG097 transcript:KN538682.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKQRTIMTKEEEGVDGLVLGMFMDGIAGVLFSCAKSVTSMRRVRVSSHQSPVHKLGDSQMKLTPKFRLATTSALPSSMPASDLEQASWETPLIPGLPDDAALNCLLRLPVETHEACRLVCRRWHHLLADKARFFMQRKVMGFRSPLLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTQMLAARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPVKGVWQPVASMGMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKIDSWEVMPVGMREGWTGLSVVIDEHLFVISEYERMKVKVYDPETDSWDSVKGPPMPERIMKPFSVSCLENKIVVVGRGLHVAIGHVKKQPGSHPDSRSSSYLIQWQDVDVPREFGDLTPSNSQILHLLTIPKSVGYEQNPLSIYYCYDSAEQGEDEKLKMCIAEVTNTPWGERVMFTFQPGSDLIAKPLHVSPFMDMLGNWSIRAESPGDSLYVVILVQHPTLGNYFTAALHAKLVEKTSSSLRLATFFWLMPHKVAAGIYWEAVRLWLKNVKFLDHPRYLNLNYRDEAQKRDLEIRSSCSFLQKQKLNDQRTGRADETAEITDHHDHNGEESVVKRWCMWTDAQWPWS >KN538682.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538682.1:969875:974831:-1 gene:KN538682.1_FG099 transcript:KN538682.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEHDDDELATCVVDFWANGFGMRARIALHELRVGFGFVEEDLRIRERSDLVLRMNPVHRSVPILIHRGRPICGSINILEYIDEVWAKRVGTRLLPSDPLERARARFWADFVDHKVFSTQTRFLKSEGEEKEVAKAELLDQLRRLEGVLGDRSFFSGDEFGFLDIVLIPFSSMFHGYEQHGGFDLRAECPFLMHWVKRCKERESVRQVLPDEGEMYELHKKWCSFHSKDGFYYRYALKQVIQLVPSSILVALNGSPPKPWERAGAEGTSGPAPFKPPSGGTTSDVVEASGTAKPGETVTATERNLSANVNNPVSRPMPQRPWQQTSGYGNTYGGYGSNMYSSYGGFGNTYGSGGLYGNSMYSSYGGGYGGSLYGGSGMYGGGMYNSGLGGSYGGYGMGGMGGMGGMGGMGMGPYGNQDPNSFGPPAPPPSVWVSFLRVMHGVVNFFGRVAFLVEQNTQAFYLFITAMLQLFDRSGMLYGELARFVLRMLGIRTKSKKGKVQGPDTPAFEGPAQQFIEAPKGNNSWDNVWGN >KN538682.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538682.1:917877:918365:1 gene:KN538682.1_FG100 transcript:KN538682.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MELSASALVPASAVVVEDVSLSLPAAAAPTARVNGKEVRLLFQCLFCDKTFAKFQALGGHQNAHRKERLAGGGGVNPYVDYAAAGAPSSSPPSAAARSIVPISSHGCSERGAQLLPATFDDDDKVDMLNWTRASHAAAAAAAVDDYSMGAGDEQLLDLELHL >KN538682.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538682.1:962985:963481:1 gene:KN538682.1_FG103 transcript:KN538682.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRDDGGDVEVELSLRLRTGDDSTSAAPAPATAAEARRNLTIFYNGRMCAVNVTELQHSDSWLWDGKCYLFLILAISSLLPPNK >AMDW01037276.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037276.1:2:460:1 gene:AMDW01037276.1_FG001 transcript:AMDW01037276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLPRPDLPYFKSYAAAVLCSAAGCNHLDCRRGGPFLVVVVGIDEPEQQNSRPWATIYSSDSDSWSPTTSDCLNLTLTPNCDIDRKPAALVGDALHFALAEGSGIIKYNMGECSLSRIHPPVVYKGGIVVMAMGGNLLGLAGIEGSILSMWSSD >AMDW01031421.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031421.1:70:362:-1 gene:AMDW01031421.1_FG001 transcript:AMDW01031421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLQDKIELVGIDLQDKPAWYKEKVPSLEHNGKIMGESLDLIKYIDSHFEGPALLPE >KN541725.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541725.1:12535:20916:1 gene:KN541725.1_FG001 transcript:KN541725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMMFRLYRVGIKLPTIEVRYKNLNVEAESYVGSRGLPTILNTYANILKNDLAIRFSWLWKNITAVGLLLALAGTLPSTVKFVRQSISLQSAKELAITMAATTGEEKAEIVTNHILKILGLDICADTIVGDNMLRGISGGQKRRLTTAPNVDSTAEMLVTLGRALFMDEISNGLDSSTTFQIVNTIQQTIHVLGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPRDHVLEFFKSLGFKCPERKGVADFLQEVTSRKDQKQYWIHGDDTYRYIPVTVIAEAFQCFHVGQAIRSELAIPFDNSKSHIAALKTSKHGVNLKKLLKANIDREILLLKRKSFLYIFNALQLTLVAIIAMSVFIRTNMHHDSIENGRMYMGVQFFDEVKKWLIWEYWTSPLMYALNALAVNEFLSPSWNEALPGFREPLGRLVLESRGVFPEAKWYWIGLGALLGYVLLFNILYTICLSILTLLKRNGREMSQETLQIKLENLTGYDQEPSSGGRVTNDKRYAEGGNNDEATSSNANHNSSPARKGSILPFVPVYMTFEDIRYSIDMPKALKVQGMAGSRLELLKDLSGSFRPGVLTALMGISGAGKTTLLDVLAGRKTSGHIHGNITVSGYPKKQETFSRVSGYCEQNDIHSPNLTVYESLMFSAWLRLPAEIDSMARKRFIDEFMELVELFPLKDALVGLPGLSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLFLMKRGGEAIYVGPLGQHSCELIKYFEKHAIELLSFLKSIEGVRKIKHGYNPSTWMLEVTSTLQEQITGVNFTQKKERASHMYSPLPYALGQRIPIWWRWYYWICPVAWTINGLVTSQFGDVDDKFDNGVRVSDFVESYFGYSLDLLWVAAMAVVSFAILFAILFGFSLKLFNFQKR >KN544938.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544938.1:1453:1840:-1 gene:KN544938.1_FG001 transcript:KN544938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVICKVLLMVVTMVALLFSSGLADDGPGYEYCFLKCIDECNQTCKSSGYTHGGDCNTGPCCCLW >AMDW01039924.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039924.1:582:1100:-1 gene:AMDW01039924.1_FG001 transcript:AMDW01039924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTCCDVDNKSDSSDDEGLNDISSYARPIDIAAEDSDTLPSPTCADNGSSGTSRAGKKRPRGSKSPSKKQQPKPKSRFTDATEKISNTMDRLVDQLGNPPPPPPVPQFCDPYASLWKRIDALPISTNDKVVVGNYLGRQENEGVRGFLASSADTTVETWVYQFMCDRDGA >AMDW01027482.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027482.1:92:259:-1 gene:AMDW01027482.1_FG001 transcript:AMDW01027482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGEVVSSKPVALTKAARVFSLFAASDDSGLPSDGGKLLLCAAEAAMELHVYR >KN543415.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543415.1:2391:3846:1 gene:KN543415.1_FG001 transcript:KN543415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDQNETPDITKISSPKWHPLHSYALALQIPLMDRLLDSGVDINLLDKDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHLAIQSRNRDIAKILLVNGADKTRRTKDGRTALDISLCFGRDFKSYDLAKLVKLVPANRKM >KN543415.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543415.1:4737:5609:-1 gene:KN543415.1_FG002 transcript:KN543415.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDKVTEYVKERILALRASNLGKYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEEIKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQE >AMDW01037518.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037518.1:116:510:-1 gene:AMDW01037518.1_FG001 transcript:AMDW01037518.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVVGPAIGGYFAQ >KN541241.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541241.1:1257:4427:1 gene:KN541241.1_FG001 transcript:KN541241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGPKVGFWHGQIRRLIKDFGIAVLNRLFLCLLRDFTRIRLKFRCMPLSVQLGECQNANQATSLMWNSLLQTSDFLKMNKNTYEEEETTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNGEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIARISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRRKCFA >KN541241.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541241.1:8567:11947:-1 gene:KN541241.1_FG002 transcript:KN541241.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMHTLLPKLGDLLKEEYDLQKSVKEGIRFLKAELESMQAALEKVSNVPLDQLDKQIKMWAMDVRELSYNIEDNIDTFMLRVNSLEPTKKHNFTWLIDKCHNSLSKIKIHHKIANNINDVKNQVKEVMERRDRYKVDDIAFKLPTVVDPRIFTLYEKATKLVGIDKLINDLNKRLSVGDEASKKLKMVSVVGLGGLGKTTLAKVVFDMLKAQFKYTGFVPVGQNPNIKKVLKDILIEFDKDKYMVFDVAALNERHLIDELRDYLDNKRYLIVVDDVWETSTWKFIKCALVDSNCGSKVITTTRIFEVAKQVAEEDGDVHIMEPLSDDNSKKLFYSRIFGADCKGPTDNQLVEATEKILKKCGGVPLSIITIASLLVYKPVEEWSAVYDSIGFGVADQNEVVHNTRKILSFSYYDLPLYLKTCMLHLSIYPEDHWIDKNCLIWKWVAEGFVHEEQGKGLFEVGERYFIELINKSMIQPIEDTYYLGTISGCHIHDMVLDLIRMLAKEENFVKILDRAHEEHNSSSHCRSVRRLALHKSWNQHKNNNPAMGMEQQLRSFNAIECPISMIPLPARFQVLRVLALEGCDVTGGCKLKHLGKLLQLRYLGLRNTRVVELPSKTLRDLVHLQVLDVRDTDLVALPATVSELSQLMALRSNGSTRMLASVGKLTFLQQLQLSLSAESLRSFALELCKLTDLRMLELDIDVFGIEMVERTASLGALVESIHTLRRIQYLDLSCRTTAVLSSWQGWEPPRQVCHFSVDGTCLPRLPAWVNSTIVPRLSCLDLTLSAVEARDLDILARMPMLLFLGVYVEERFSWTVHGGDGLFLKLRRCATNIELTFLRGAMPMLMAVKFGVLACREDGAANDVVGLGNLSMLETVGVYINCKGATASQVKQTEVALEREIDAHPNRLGVTSFAMCD >AMDW01038527.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038527.1:28:444:-1 gene:AMDW01038527.1_FG001 transcript:AMDW01038527.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISTSERKKITSIIEDSSIYNEQDPFVQRDSSFYHLDEVPDNDEFSYDLQMYLDRRSDQSEVVATQDYAAQLSQISEMGQSVAEGTSDDPSASAAVDLINIILVAAECAPWSKTGKIIIAIYLLNVSVSMKGHYGFNLVS >KN539555.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539555.1:36219:36602:1 gene:KN539555.1_FG001 transcript:KN539555.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGMRARGGDLRGRRRGSVWRVGRRPWLLRRRRREEGEGGGSIRCEVEARRRLERAAAIAGLERRPTGVEEATRRRGEEATQRRGEEIDPREERSDRVMGEEIDAVGYGIRMVEITHVYQMRLLC >KN539555.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539555.1:73359:74053:-1 gene:KN539555.1_FG002 transcript:KN539555.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDDDGAFMLGYMEHISTYSQRFALIGGYEQARWCCMLKIRNQDGELVLVQFDIGGVTIFSLMSPLRKGQIDGDVKVDAEHVGVDSHDVQDSQAGDERAA >KN539555.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539555.1:65362:71809:1 gene:KN539555.1_FG003 transcript:KN539555.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALNAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKDMLHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIEQEGDADNEQMIPE >KN539555.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539555.1:60038:61283:-1 gene:KN539555.1_FG004 transcript:KN539555.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKGKGKVEGEGSTRERSISWDDDQTKFMLDWYIEYKKEQHAGFIWKTQHHLKCADALNREFAMGVTSTQVTRHYRHYKENWQIVERALNNSGNGFDASKCKLTISESEKAKLRDRDRRLLAKPIKYFHEMQELFSGSNANGSLAIDQQTCCDIDNKSGSSDHEGLNDVSIYAHPIDIAEEDSDTLPSPTGPDNCSPETSGVSKKRPRGVKSPSKRQPKPKSRFTDATEKIGNTMDRLVNQLASPPPPPMPQLDPYATMWKRIDALPIGSKDKVAVGNYLGRQENEGVRGFLVASYDTTLETWVYQFICDRDGV >KN539555.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539555.1:76436:78895:1 gene:KN539555.1_FG005 transcript:KN539555.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQAYVLPPFQGDDMCILPPEVAAAEQAVRDGSAHRVDWAGLIWGLVEKEMQDLPKRDDGLCYYGAYLQRLIWAQKPELFERTEEGERGGEVVLEVSDMDEEDGEDDTDVKSKSMEELESGDADADAKNSNLEKSEAGGADLRSNCLEELVSGDADVRGTSAEELESHVEDKVSKGLEETRAADVDANHMDLDESEAVDEDAKGKSFGESEMGFVSVEEVSVTHEVMLPNYEEVATEGDGDTAMAAVENDAGSLAETVVMTHEEFVAVPEDDEEEADGDEENDATGLSLGIGSANDYDSTDGEEDANVENLGEGDSGNEEAEESEEDAFGQYRGEDMNWTMGDEKDHGSDFVNLQFDNLNKGDDEIRNEVSYDDGFSGKMGSLHGMTSTNLLQAMSSIPATYNVSENAPDLSSGEFLAMGADAHKNGLDLGTGSSYFFENNGKRHIGEIEEYNDPMPGHEQFDQRNPNKRMRNSNNSSIPPGSSVFNAHFAEPFQSLMSKASMFYEQKERELQDVLVEKQYLANMLQEKEQIIQSLNSARFEQENKWQAELRRFEHDLNVMAQLVTGYRRALKQNRASFDEYRKKFPCDKPRYCDVAGGGGLVLSVKELEKKRLEEVQQKLAIANEMIENFQHEWFSKLDDWARSIHFIWCRTEELIREINLLREKRKATVTNPATEEAKVTTPATEVAEVTTAATGVEVTTPATEKVEVTTPATEKVEVTTPATEKVEVTTPATEEAKITTAATEEVEVTAPATEEVEVTTPSTKEVEVTIAATEEAEVTTPATEEAEVSTPATEEVEGTTPATEKVEGTTPATEE >AMDW01020231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020231.1:6:284:1 gene:AMDW01020231.1_FG001 transcript:AMDW01020231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGFGSMFVHTATFVLLCFAPLWVMGVSALHIHDVVIGDMVGGAGALLCVCGLLYGGYWRIQMRERFGLPASAACCGSPSVTDYARWLFCW >KN538710.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538710.1:64999:66235:-1 gene:KN538710.1_FG037 transcript:KN538710.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTLQASELDKDPDRLDVYSFSALFLICGLACIFALAIHACNLFYQYSRHAAEEDPANCKDT >KN538710.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538710.1:143932:144492:1 gene:KN538710.1_FG038 transcript:KN538710.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRSFSPLIVFSLLLLLTSSTTSRASLVDDACTSFAASHADIGYAYCVRFFQSDQGSATADRYGLAAIAVKISAATARGTAKRIASLQDLERDKRRKDCLSACGEVYDSAVDSLDEAAKGIASRSADGLRDAVTVLSAALDTPDTCEDGFRELGQASPLVADDEEFSKESAIALGVTSALSPPS >KN538710.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538710.1:35262:37631:-1 gene:KN538710.1_FG039 transcript:KN538710.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGYAKQGSLSDAEELFDRMPRRDVASWNTLMSGYFQSRRFLDGLETFVSMHRSGDSLPNAFTFCCVMKSCGALGCRELAPQLLGLFWKFDFWGDPDVETALVDMFVRCGLSSLGWGKQLHAKVIRSLPQIDPYVASALIELYAKCGSFKEAKRVFNSLHDRNSVSWTVLIGGSLQYECFSKSVELFNQMRAELMAIDQFALATLISGCFNRMDLCLGRQLHSLCLKSGYDRAIVVSNSLISLYAKCGDLQNAEFVFSSMSERDIVSWTSMITAYSQIGNIIKAREFFDGMATRNAITWNAMLGAYIQHGAEEDGLKMYSAMLSQKDVTPDWVTYVTLFRGCADIGANKLGDQIIGHTVKAGLILNVSVANAAITMYSKCGRISEAQKLFDLLNGKDLVSWNAMITGYSQHGMGKQAAKTFDDMLSKGAKPDYISYVAVLSGCSHSGLVQEGKLYFDMMTRVHGISPGLEHFSCMVDLLGRAGHLTEAKDLIDKMPMKPTAEVWGALLSACKIHGNDELAELAAKHVFELDSPDSGSYMLLAKIYSDAGKSDDSAQVRKQMRDKGIKKNPGYSWMEVENKVHVFKADDVSHPQVIAIRNKLDELMEKIAHLGYVRTESPRSEIHHSEKLAVAFGIMSLPAWMPIHIMKNLRICGDCHTVIKLISSVTDREFVIRDGVRFHHFKSGSCSCGDYW >KN538710.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538710.1:187987:195087:1 gene:KN538710.1_FG040 transcript:KN538710.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLHLHVLARIPETAQQAFLRWGLLRDSSNSTKLQHLQEWQEMYSPCFWMDAFALIHLIFIMSILVQFLYKRIRGKTGITLVDNSITEPLLSPSTGQQTEIKRPCPYGKANLLQLVTFSWMNPVFAIGYKKPLDKNDVPDVYGKDSAEFLSDSFKKIIDDVENRHGLNTKSIYTAMFLFIRRKAIMNAGFAVLSASASYVGPSLINDLVKYLGGERQYGLKRGYLLAVAFLSAKVVETVAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMAAKDGRMKSTTEVLRSMKILKLQAWDMQYLQKLEALRNEEYNWLWRSVRLSAVTTFIFWGAPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSGDRVAKYLQEEELKYDAVIEIPRNDTEYDIEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSSILGEMPKLAGTVRVSGSKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILVMQDGNIVQKGKFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGNINIRCLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGTIEIDNTDICRIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPVNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPLKLLENENSEFSRLIKEYSRRSKGF >KN538710.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538710.1:7086:9470:-1 gene:KN538710.1_FG041 transcript:KN538710.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-type lectin-domain containing receptor kinase S.5 [Source:Projected from Arabidopsis thaliana (AT5G06740) UniProtKB/Swiss-Prot;Acc:Q9FG33] MAILHSTTFCFSFVASLALIILDRTCSCLQFTYPSFDTPNKADFNFSAGSGIANGSLLITPSTGDISHRSGRVLYARETLKLWNSRRSALTSFRTEFVLNILPRNQTGEGMAFILTNNPELPTNSSGQWLGICNNRTDGDPKNRIVAVEFDTRMSVNETDGNHVGLDINSIGSLDPYPLSNVSLILSSGADVQVSITYNSTDQVLVAILIQFDTTGAHYGSKAWSVDLSRFLLDDIYVGFAGSTGDFTELNQIKSWNFATIDDDVTTERRHGRKVLLPLVAFILFAMCSLLVFLVWRRSTRKRRLAYRNLEKMIDAHGPVKFKLKELRRGTANFSSSRKLGRGGFGTVYHGYLSSMNMEVAVKRVAANNKSSSNRGEQEFVAEVNTISKLSHRNLVKLIGWCHEGGELLLVYEYFPMGSLDKLLYGGARPASPSTSPETTAADDTAAELTWERRLDTDVYAFGVFVMEVLTGRSPSSSVTYHNRQQEHDHDGRRQPMYIVDWMWRHYGDGTVLEAANTVLGGMYDEAQVERAARLALACCHPSPRERPSMRTAVQVLVGGAPAPEPPFEKPAFVWPPDGKRQEIELPHVGVLFTGGQLSFCSMTSTSITGSSCYLAQAQHDSTLWAVSNNEAHLGHAVSSFKSQLGPNSVISHVTPHGGRL >KN538710.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538710.1:124854:125174:-1 gene:KN538710.1_FG042 transcript:KN538710.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLACAFFFDAEPVGETGRHALDACALCTKPLRRDSDIFMYRGDTPFCSEECRYEQMHLDAAFARQAASARRKQQQQQQGQRSRHETAPAAPVSRKAGVSVASC >KN538710.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538710.1:146813:150412:1 gene:KN538710.1_FG043 transcript:KN538710.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNAGGNNSSGAANNAEVQIPIPAPSKAEAAAAPETPAGKPFRWWAMVAVDVFFLIAGQTSATLLGRYYYTQGGRSKWISAFVRTAGFPILFFTLFFFPSKSPSSCTNTPMAKLAVIYIVLGLIIAADDMMYTGGLKYLPASTYSLICASQLAFNVVFSYVLNSQKVTPLIFNSVVLLTMSASLIGVSKESQGVTGVSGGKYLLGFVLTLGASCTYSLILALMQLTFETIIKKHTFSAVLNMQIYTALVATAASLVGLFASGEWRSLRGEMNAFRSGQFSYLMTLLWAAVSWQVANVGVLGLIFEVSALFSNVISTVSLPVIPFFAVVVFHDRMNGVKIVAMLIAIWGFISYLFQHYLDGKKAKKASSACVCVRSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFVSGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >KN538710.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538710.1:794:3045:-1 gene:KN538710.1_FG044 transcript:KN538710.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQETKARNDQGPVADHVGHQNLMENLTDPLDSSGMDMMDEARIPKARKPYTITKQREKWTEDEHKLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIKESSGDNCNSLGAAPSIQIPPPRPKRKPVHPYPRKLGSTASKNVPALKQLEKPQLQVQSLYDQDNGSPTSVLTVPQIRADTLGSESGGSPTSTIDIEERCPTPSIATAELAVELPPTNDEEVKGNGDHEVTCDRSGVPVLRLFGKRVMVNDLHQMSAPDAGNLQTVADMEVDASAETPTSGTGKFSSHGAAEANTWNPWLTNTQQFLYYLPNGQIFSVHSALPCFTYHNEGVTCTQFSNPQVVASDQQHQHQTSEAVDYKGIQREGSWTESNTSSSSVPETATHNSETTESYRNGNRNRNEDEMVPSPDSRKCVSPGSNCRRGFVPYKRCVADSEALLKSQAPQEEADGEMTRLCL >AMDW01139041.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01139041.1:313:761:-1 gene:AMDW01139041.1_FG001 transcript:AMDW01139041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GINLNNFSGELPEEVGNMTKLQQLKASDNEFTGKLLDYVGSLTKLEEL >KN538710.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538710.1:126392:126712:-1 gene:KN538710.1_FG045 transcript:KN538710.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLACAFFFDAEPVGETGRHALDACALCTKPLRRDSDIFMYRGDTPFCSEECRYEQMHLDAAFARQAASARRKQQQQQQGQRSRHETAPAAPVSRKAGVSVASC >KN538710.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538710.1:80223:84104:-1 gene:KN538710.1_FG046 transcript:KN538710.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLDSQDLKLEQVLQKASMGDNTVILTTLNSAWASPGSVIDLFIDSFRSGVRTSSLLNHLVIIAFDWNAYKQCLKIHPYCFALGTDGVDFSEEKRFLTSGYLEMMWKRIDFLRLVLESGFSFIFSDADIMWFRSPFPYFYPDGDFQIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDRYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKDVFNVIKHDRYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKPFLVTPIKRPYGQQKEMKMVKPAESALPSPRKNLQLNI >KN538710.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538710.1:118756:121589:-1 gene:KN538710.1_FG047 transcript:KN538710.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLACAFFFDAEPVGEQGRHALDACALCTKQLTRDSDIFMYKGDTPFCSEECRYEQMQLDAVYARCVKRLRITRCVLLYMACSTNICHDSRRLEEELWLHDWPILESGHTVARKVNATKHVGPVSGFSGNGPHVSLKGEYEDERWLN >KN538710.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538710.1:153870:155017:1 gene:KN538710.1_FG048 transcript:KN538710.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACKLMPEQESDHGEDGSAPKAAAVRGGSPRGGVRWWLSVAADMFMVLCGQTVATLLGRLYYNSGGNSKWMATLTQSAGSPLLAILLLFTPAPAADEPRPAAAKMAPIYVGLGIIIGFDNLMYSYALQYLPVSTFSLVAATQLGFNSVTSRLINAQRFTVLIANSVVVLTFSAALLGIGASSDETASSVPRGKYPAGFALTLAASAVFALILSLFEATFEKVVRARTLRWVLRTQLWTNVVASTVSVVGLLASGDWRTIPGEMAAFKDGRARMTGIKAVSMLMAVWGFLSYAYQQYIDGRRAAGAGKGRAAAECRVCAARAGSDPDSPA >KN538710.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538710.1:176193:186024:1 gene:KN538710.1_FG049 transcript:KN538710.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVTPAYPCMNSGYNVSHATLRVITEQLAVGDAVCQEIIKAGSGGGGWDKLFQPFNFFGAYKSYLQVDVTVTGGEEDDLREWKGWVESRLRSHSCSCRLAAVPHYSRFRVLQSDPNTTRIFARIGIRAGKGTLSRCFSPTHFVVLPCYLSL >KN538710.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538710.1:31197:34700:1 gene:KN538710.1_FG050 transcript:KN538710.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQTFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAGHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADNFSLMLVFLLQTFQRQVNMADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >KN538710.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538710.1:12419:13393:-1 gene:KN538710.1_FG051 transcript:KN538710.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSSILCLYLLASTSLQILGSTCSCLHFNFHNFDTTSVDDFSFSPGSGIANGSLQITPSTGNITNQSGRVCYTRETLRLWDSKKRAVASFRTEFVLNILPNQQQNETGEGLAFILTSNLSPPRGSSGQWLGIANEQTDGSPANRIVAVEFDTRKSYDEDLDSNHVGLDVNGIRSVVQYPLSNVSIFLSSGFDLFVSISYKSRFRLLIVEAMQLSTRGLHVVVQAWPIDLSRYLSEEMYVGFAGSTGEFTELNQIKSWKFITADDIDSKAARQDRSQVTEAIWFMLVVLSTCTIFALYMWGKSERRRILAYKQIEKNYVPSMY >KN538710.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538710.1:41546:43836:-1 gene:KN538710.1_FG052 transcript:KN538710.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MAPASVAVSLSFRVVPPRPCRRRARWPRPIRASSDGSGVANGDRKVGALERRVGDLRAVVASVPPAVAAIRKNIGVNFIAGFCLGITLLAAVARQVIIRIHERDNKGSVADLIRRGQLKSGQRGMAKPRVYDDPFNNPLVKIDEDTSTAQVFGKEYWLAPVRLTKEQQAMHQKRRSRTYQWKRPTVFLKEGDSLPPDVDPDTVRWIPVNHPFAAASTEVDEETAKQNVYQKDGVPSRVKAEHEALQTRLEASNDVNKFSSSQRNMQLSDRQQRLSSNESSGSLQNSLSGTVKNQNGQPTLESEKHSPDNNSQSKSLEEQ >KN538710.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538710.1:69524:79384:-1 gene:KN538710.1_FG053 transcript:KN538710.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSATATPAGSKKRRSKTGALTLGEVKTLGEELLSSRANLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSAAATAAAAAGDADPELVFRSWLRKRFDELVAALVELTVSPHSDDAIRDLALDALMDFVKLGKDGRFQSAIYHKFIHNLIHATDSIDPLLELLGPKYFIYTDVCYFTYTSLDKIASSIGNKATGSEKSGLHSGDDGPEDRGTIYVCNIYNTLAHIPALDFQESKFNMWSTVGLSSKGEKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHKNVIPSMSNPAILCDFLTRSYDIGGVISVMALSGLFILMTQDGLEYPKFYDKLYALLTPAVFMAKHRAVFLQLLDACLKSSYLPAYLAAAFAKRLSRLTLSVPPAGALIIIALIHNLLRRHPSINFLVHWEIDANDSEIANEASQRKKVGADPFNNEETDPAKSGAMRSSLWEIDTLRHHYSPAVSSSFIVILLTIVRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTCLFQQSDFPGWTFRDQFKNMAETSVEGNGISTLLDACLKSSYLPAYLAAAFAKRLSRLTLSVPPAGALIIIALIHNLLRRHPSINFLVHWEIDANDSEIANEASQRKKVGADPFNNEETDPAKSGAMRSSLWEIDTLRHHYSPAVSSSFIVILLTIVRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTCLFQQSDFPGWTFRDQFKNMAETSVEGNGISTLTECVHQERTHSKEHVVLRTRKSCCKPCARIQDLNDRDRTQEFNEGATTFFAVRCDCRAQRRVFSEQISLCCLSPSHCTDVVVLDLFQNCKDT >KN538710.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538710.1:90773:92783:-1 gene:KN538710.1_FG054 transcript:KN538710.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLQKQGALVKKQQKEIKSPRKRKEGRGSGSRGEITLAIGGGGASAAAWTRLVSSGVEDVLVVGGAGGWRGGGGDGAGGLLPYGHFLEACFLCRKPLASNRDIYMYRGNIPFCSEECRREQIEMDDEMERKEKKYISKKVAPRTPDPREVESTPRPPKARAGSILAG >KN538710.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538710.1:85263:86443:-1 gene:KN538710.1_FG055 transcript:KN538710.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGIISLLPYFNWLSWIFAWLDSGKRRYLFYAAAYLAPYLRTNLSLSPEESWLPIASIFACILHVQLEASIRTGDIETFRFVQRAWRLIFPSALKEDAQHRNKGKSIRTGSGNRRIPSAHESREKLRNSDIFKRRLDEPNDEKQNKSDWN >KN538710.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538710.1:141955:142521:1 gene:KN538710.1_FG056 transcript:KN538710.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRALSPLVFTLLLLLAASTSDASILEETCKSLAGNHTAIDYPYCVRFFQASKESATADTHGLAAIAVRITEAAAEGTAKRIAALRSSHKEEKMQECLRISSDLYAYMLAVLGNEAKAAALVDGGAQHAAASPPTPDVARYVADGCEGRFRGNKETLPLVAEYAEFRQSASIALALIEAISPPSDQ >KN538710.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538710.1:38634:41002:1 gene:KN538710.1_FG057 transcript:KN538710.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHQESSFLTLQNWVSSVDVQRFEILLCIGNKADLVLGHGAHVEYRRRMQKIGESSTDPHPEYLDFGINESEGCGLLSEEEPQIEIRDSASHWCIEHNIEYVEACASNADFDKCLSVNGDSQGLERLLGALSAHMWPGMILKSGNRITVPSLVEKEESTDDESNYEFEYEVLSHGSDEQWEFIGESSTSRDLEGLNEDTATHDKTNQVVDADANSVASNPLPNGRVTETSEEKLVPQSHKSEVSNDMDNTQADASEKLQAGIPEGNKLFEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRREMAAKLAMKMATMFGDNDEEGSEDI >KN538710.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538710.1:14071:16493:-1 gene:KN538710.1_FG058 transcript:KN538710.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYALTRRDEEDGSGSGSGGDADDLLLWSRDLVRHAAGEFSFAVVQANDVLEDHSQVETGAAATFIGVYDGHGGAEASRFISNHLAAHLVRLAQERGTISEDIVRNAFSATEEGFLSLVRRTHLIKPSIASIGSCCLVGIIWKGTLYLANLGDSRAVVGCLTGSNKIVAEQLTRDHNASMEEVRQELRSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKQEFALDPSMTRFHLSEPLRRPVLTSEPSICTRVLHSQDSFFIFASDGLWEHLTNQQAVEIVHNNPREGIARRLVKAALKEAARKREMKYNDIKKLEKGVRRFFHDDITVVVVFIDHELLQDGDASAPEVSVRGFVDSGGPSSFSGLNGIS >KN538710.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538710.1:163254:174600:1 gene:KN538710.1_FG059 transcript:KN538710.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDERHDRLFGVSPGIDMGVRTRGVLEKPSQPAKFGILHFFERQSQASSQNAKRQKADGPSPPPAPPPPPPPPPLIEEEPSEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGGGEVVTWKISPVNDRLRMVTSRQMTGMALHPCSNNEKHSSAESTKKWHSSLLGMSRCTTSARNLDMCGTGPGGCDGVEDTQSPFRTPPSLSYRCSEQQLNGGVVSDGGPEQLGAGEHKKALLDLLDQVEDAIMEEELPADDENKGEQLINGDPRNISCSPIADSDQNVPSQKPLDAPPFDSFLVLEVSEKHKGDNLPCDRYPVKVLRLLNEHGGKEHAVYLCDEWFHSLIGPGDTVSVIGEFTDQGICIIDHDKNLVIVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALIGTLLHQVFQAGLLEDVPSTQFLEQQAKEVLLKNIESLYACGASESNTLKMLIEAVPKILNWYKCFMKVSKCTNVNFGQIEGQKTVGVVEVMDIEEMAWAPRYGLKGIIDASIRSRVSCNGSSYDRVMPLEFKTGKGTSGQTAVEHTAQVILYTLLMTERYLNKDIDLGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELATEILKASISQSFPPMIQAHGGNAATSGLGDLFDNLVNHLTVAHHTFLKHWDRLIDLEARVSQVKKKEIFQPHHPNTGSRNSSPSYFVLDVKNGHSIDSSGKSKRYIYTFLRQKLQPETADQPGEQVESVDFSLKSGDSVVLSTKSGRIAVANGYIRDLSRAHITVSLPRRLRLPGSNSLLEQGDLQCEVWRIDKDESVSSFATMRLNLVQLFAQNPQNSHLRKLIVDLEAPRFDSGGLLSQDPALSYLRSLPNLNNDQQRSLHKILAAKDYALILGMPGTGKTYMMVHAVKSLLMRGESILLTSYTNSAIDNLLIKLKAEGVDFLRIGRHEAVHPDVKGHCLSTTDIQSVDAVKARMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQYRMSSGIMELSNSLIYGNRLSCGSLEIANAKLKLSGRGPVRLKLKEILNPDRAVVFANTDEVPAFEAKEHRTVNNPTEALIVSWITNELLKRGVAQDGIGIITPYNAQANLIQQHVDASVEVHTIDKYQGRDKECIIVSFVRSNGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAATLSTIPLLRLMIEKVAERGGQLDLTNKDVQSIRELTSSRLNVQ >KN538710.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538710.1:55798:62019:-1 gene:KN538710.1_FG060 transcript:KN538710.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITSPDDDAAAAAAMARRHGWQLPAHTLQASLLYTLETTLVFQYEDLICFCCCDTKFFSPINLILKLLKYSMFVVAITVFFLLCIEFYAFFSPFLGKDLYQFIAIGVYSFLALSVLILYVRCTAIDPADPGIMITVNGALTYKSEEDCRKDDEAYQQEDYDEEALFCTLCNAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYVTFLCLMAVSLAWLAVESGVGIAVFVRCFTDKAAIEDQIGEKLGYGLSRALFAAIVALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLQPGRVPSTVDPDSMNPTERAKHYPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYEADRCSSDNTSCRSSVMSVDIGNHINSRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTPTPISEHHPAKHFNPIYQTSASRSPFSAVASGVNEVSVSDISTRRFGASNADRSSRSSVYWDQEAGRFVSSQANHGSSSRLPRSDLLYTGQSIFFGGPLMTDPATRSFRDPGGSSQRAGASRPHQLPVFVPSDPQKDRFSRLP >KN538710.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538710.1:132162:133682:1 gene:KN538710.1_FG061 transcript:KN538710.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTLFRWLFLLLTIVSLAPADSGGGEAETTVPGQIRLSCGASASATDGDGRAWDGDAVSTFAPSVTGVAADASYQDPSLPSPAPYMTARVFSSSYTYSFPVKPGRVFLRLYFYPSAYGNLGDAAADALFGVTAGGITLLRDFNASQTALALGYAYIVREFSVNVSSGATSLNVTFAPSPRGAPGARHYAFVNGIEVVPTPDMFTTPVPTFANGNPMPLRADTAFQTMYRLNVGGEAITPRDDAGGFYRTWDNDAPYIFGAAFGVTFAKDSNVSIRYNPPSVPTYAAPEGVYATARSMGPNAQINLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQSQMDVIVWSGGIGRAVYTDYLVVTAGSGQMDLRVALHPDLSSRPEYFDAILNGLEVFKLHKYGTHSLAGPNPPIPLKQVVSTVDGSRSESRKKSVVCAAVGGVAAGCFLAVLVAFAVAWAVRRRQRKAAAEKPADGLLGPTKGSALYDPVQK >KN538710.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538710.1:20644:25244:1 gene:KN538710.1_FG062 transcript:KN538710.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLLRDLLAADGFRNRRKPPDSNPPAAPRTTSMPLQHRRPSRPARSQSDGLTRSRLRGTNNVGTSDGDGVDAGEEQRTATRRSSASLMSARSYNNNKDSGGGGSVLTALISLVAGAVKRFVRDEGFRASLRGGCMSCLGGESNHRAVLDLRVIVHTVERAASEGLDDPRDLKRASLRLHDMASLDAKEADAVTAAGVPYQRLAACAHLYMSVISKLQEKDHSSAVHVLEAFCLAPHEARTSLLPALWDRLFRSGLSHLKAWRDRESATAASSDTRVKDVEKMFVEAVDDGTRALACYYRDWLLGRSQAMSLPVVPAPPSTVLASAPRFSTSTSYDIGSDVACSSGSYSPAAKFALDETPSQYDQEIEEEEEAEVDEKTADAESVFHECDDTEPKSYTHSLQTEENELMPDKLANEASERKLLSEGLDLQSDTDGSQVSIFATIPSDFLCPLTRQIFKRPVTIETGQTFERHAIVQWFDKGIRMCPITGQELESLSIPDINRVLRRLIDNWKSEHCKTLISENTCPEEELTATFVENVLSAECETSEKLEKARHLMAIGGIDFLLHKFHGGREDEKAQAAELLLLCIRAEGSCRNYVAIRICNSSVVQLLHSEVEPHRDSVYREEAAKIITHSLRCSLSEENVVANTRKALLLLGGHFSFSGDLLAEDRMLKQAGFVDGSRVTRADYDAAVQEHGKSS >KN541927.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541927.1:10520:13273:1 gene:KN541927.1_FG001 transcript:KN541927.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGIMLAALLVLCQLITNAGKITDAACISSERDALLAFKAGFADPAGGALRFWQGQDCCAWSGVSCGKKIGSVVSLDIGHYDLTFRGEINSSLAVLTRLVYLNLSGNDFGGVAIPDFIGSFEKLRYLDLSHAGFGGTVPPRLGNLSMLSHLDLSSPSHTVTVKSFDWVSRLTSLVYLDLSWLYLAASSDWLQATNMLPLLKVLCLNHAFLPATDLNALSHTNFTAIRVLDLKSNNFSSRMPDWISKLSSLAYLDLSSCELSGSLPRNLGNLTSLSFFQLRANNLEGEIPGSMSRLCNLRHIDLSGNHFSGDITRLANTLFPCMNQLKILDLALNNLTGSLSGWVRHIASVTTLDLSENSLSGRVSDDIGKLSNLTYLDLSANSFQGTLSELHFANLSKLDMLILESISVKIVTEADWVPPFQLRVLVLYGCQVGPHFPAWLKSQTKIEMIELSRAQIKSKLPDWLWNFSSTISALDVSGNMINGELPKSLKHMKALELLDMSSNQLEGCIPDLPSSVKVLDLSSNHLYGPLPQSLGAKEMYYLSLKDNFLSGSIPTYLCEMVWMEQVLLSLNNFTGVLPNCWRKDSALRIIDFSNNNIHGEVSSTMGHLTSLGSLLLHRNKLSGPLPTSLKLCNRLIFLDLSENNLSGTIPTWIGDSLQSLILLSLRSNNFSGKIPELLSQLHALQILDIADNNLSGPVPKSLGNLAAMQLGRHMIQQQFSTISDIHFMVYGASGAVLYRLYAYLYLNSLLAGKLQYNGTVFYIDLSGNQLAGEIPIEIGFLSGLTGLNLSGNHIRGSIPEELGNLRSLEVLDLSRNDLSGPIPQCFLSLSGLSRLNLSYNDLSGAIPFGNGLETFAESTYFGNAGLCGPPLSRSCLYHKRKHKLNFDLETYLSALLGFAFGFCIVFVIMIPNMVA >KN541927.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541927.1:17016:19970:1 gene:KN541927.1_FG002 transcript:KN541927.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMRGRMQGVWLAALISLLCHSIANAGKEAAAAVCITSERDALLAFKAGLCADSAGELPSWQGHDCCSWGSVSCNKRTGHVIGLDIGQYALSFTGEINSSLAALTHLRHLNLSGNDFGGVAIPDFIGSFSKLRHLDLSHAGFAGLVPPQLGNLSMLSHLALNSSTIRMDNFHWVSRLRDLRYLDLGRLYLVACSDWLQAISSLPLLQVLRLNDAFLPATSLNSVSYVNFTALTVLDLSNNELNSTLPRWIWSLHSLSYLDLSSCQLSGSVPDNIGNLSSLSFLQLLDNHLEGEIPQHMSRLCSLNIIDMSRNNLSGNITAEKNLFSCMKELQVLKVGFNNLTGNLSGWLEHLTGLTTLDLSKNSFTGQIPEDIGKLSQLIYLDLSYNAFGGRLSEVHLGNLSRLDFLSLASNKLKIVIEPNWMPTFQLTGLGLHGCHVGPHIPAWLRSQTKIKMIDLGSTKITGTLPDWLWNFSSSITTLDISSNSITGHLPTSLVHMKMLSTFNMRSNVLEGGIPGLPASVKVLDLSKNFLSGSLPQSLGAKYAYYIKLSDNQLNGTIPAYLCEMDSMELVDLSNNLFSGVLPDCWKKSSRLHTIDFSNNNLHGEIPSTMGFITSLAILSLRENSLSGTLPSSLQSCNGLIILDLGSNSLSGSLPSWLGDSLGSLITLSLRSNQFSGEIPESLPQLHALQNLDLASNKLSGPVPQFLGNLTSMCVDHGYAVMIPSAKFATVYTDGRTYLAIHVYTDKLESYSSTYDYPLNFIDLSRNQFTGEIPREIGAISFLLALNLSGNHILGSIPDEIGNLSHLEALDLSSNDLSGSIPPSITDLINLSVLNLSYNDLSGVIPCSSQFSTFTDEPYLGNADLCGNCGASLSRICSQHTTTRKHQNMIDRGTYLCTLLGFALGFAYGLSVVSAILIFSKTARNAYFQFTDKTLDEFRAIVQIKLNRIKAGRRQSMEIYRLGSQNSITCYELEFGSTTEGN >AMDW01036946.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036946.1:30:572:1 gene:AMDW01036946.1_FG001 transcript:AMDW01036946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLGKDGRLALYDPCNHERGFEILDKPESFGFETDDSYLVESDQGELMAILVGRRGTPVHIVKLNEEAMKWEEVESLQGRTLFTGTLTTMMRSVKIKWMQNKVFLPKLYDWPETIQVNLVLRDGELAFVPKSGGENTILKDGEDYMEKMWCYEPGQRQAKKFWGTKSVDYGIWVNFST >AMDW01004257.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004257.1:27:179:-1 gene:AMDW01004257.1_FG001 transcript:AMDW01004257.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFEVASDMRGAM >KN543264.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543264.1:140:2785:1 gene:KN543264.1_FG001 transcript:KN543264.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMISPAKSPPRLEYTSSMTKTIKILIRQALLGLRQALLGRSYLLVLDDVWNDTPGGGSLELDSLIGHLPPEGKIILTTRIPAVVSRMATTTTVKPIYLKPLGDKLSFSLARRWTSRLPPPSDGGCPSEIIQEAALVIAKKCGGVPFLLEYACRLLCLPQLDMNFWLRFMEDETNSDPDHVWGRLPAYIDMLPEEKFWQRFLHNFHGLPDADVILDSAFVSYQHLLFDLRGCLLYCSLFPSDYVFDAEQLADLLAVEGFIPPTVSGSQRRGFLQVLFDECFHPLQESLHGGSPSAYRMHKMLHIFAQSMEREFISVSRAGQVTWITSRARARSEHSVRHVSLIVHPLITSLPGDWSAFKDLRTLVLIHQGEIRLHDQPKCEVKVIPQMLCQSLEHLQVLSLKSMKIDTIPRNFEMLSSLRYLNLSRSEIETIPRSVSRLQDLQVLILSHCEMLKKLHKNTAKLSRLQKLDLEGCCRLVELPQNMTKMKSLEYLNVLDCSLLSHMPQGVGQLKNLSTLLGYVASCNDGRAISELHSLPNLHRLCLESLDKIMDPEEARYAELDRKRYLESLQLRWNMETENNSATAYAVLETLRPPQHLKTLEIVAYEGENFPTWMRSRQPYLSSLVEIRLVNLKACERTLPPLGLLPCLKIAEISGAKTISCIDNSFYGLNGTFRSLEKLILSFMPSLEVWEKAQSDSRATIFPCLTELTIIQCPRFRALHMELPAVEKLILWMNNKMLYSTKGGLAGVVRSLEHVSVSFCEELLASSDCEALQELAGLEKLDICGCHELTCIPQGLQHLSSLRRLTIDNCSNLEKLPSWLKNLPNLQLVYLSGCPMLQSIPQEVRECHNIDIIVEDCPNLPGPSGIFTTLSEDYMKGLQ >AMDW01009370.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009370.1:4:216:-1 gene:AMDW01009370.1_FG001 transcript:AMDW01009370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSTNLRACCGAGGGKYNYQNGARCGMSGAYACSNPSSSLSWDGIHLTEAAYKQIADGWVNGPYCHPPIMS >KN539915.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539915.1:42284:45138:-1 gene:KN539915.1_FG001 transcript:KN539915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFAYLGSNIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDGAINWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEEVD >KN539915.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539915.1:66190:68330:-1 gene:KN539915.1_FG002 transcript:KN539915.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKNRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRAPLDPWAKSRASQQWAGPKISEGSRKEGL >KN539915.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539915.1:12034:12573:1 gene:KN539915.1_FG003 transcript:KN539915.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDGWPLGLGAMNARAGVTRSVDLSGSASFSTAFTSSHCASSSFTSCDFDTESAWSLSRRGGGGAGGGMTLASLIGLVDAMESRGRRRRSARATSKSGGKGRALLLSLCLRSHLENGRAAPSLGQFLEMERRASSSSL >KN539915.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539915.1:48915:49819:1 gene:KN539915.1_FG004 transcript:KN539915.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLLLLVATSLLSCGVILADYAPMTLTIVNNCPYPVWPGIQANSGHDVLEGGGFFLPALSHRSFAAPAHPCPRTCRSSKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKGCL >KN539915.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539915.1:62053:62472:1 gene:KN539915.1_FG005 transcript:KN539915.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEKAEKKPKAEKRVPGAKEGGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KN539915.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539915.1:7110:8237:-1 gene:KN539915.1_FG006 transcript:KN539915.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQLMTEEATSGDASPPNVKTMSLIARRAFEIDEYVRISDILHKRFARFDRRQWREAYKALLLLEHLLTHGPRSVALEFQRDREVIEQMASFQHIDEKGFNWGMTVKSKSERVLRLLERGPFLEDERERARKIAHEIKGFGSFNLSSAHASSVSGALRAAAMEHQCYGRSNSRYEDRWRREACVDDGDKENLLVVSMPEAEAEATAEEPHHYHHPFYGFGQQRPEAMLLLSQ >KN539915.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539915.1:70941:73139:1 gene:KN539915.1_FG007 transcript:KN539915.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPRPRPGPARAAVVYYLSRNGHLEHPHFMEVAVASPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIYPVAGREYVLKGTERLHPIQLPLLDAAAASSCSSGSQETATSSPPGWENGTGEARHKKGAGINTSELCEYRVYKAEDPAAAAADAATQTEDGGRTRASSVLMQLISCGSVSVKSTLASPVMARTAAAHYRPRPPRPPTLASTTTEIPNYRQKIVEDKEYFSGSLVETKRSSPADTSQDIAVLRRSSSYNADRVQKVEPSTEAVDMHDRCIPRRPRGKKDGGAYLISGGNAQYGSKRHGG >KN539915.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539915.1:55505:59063:1 gene:KN539915.1_FG008 transcript:KN539915.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISQASLLLQKQLKDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANIEAAKEWREKRDDFKKKVRRLVRKSQEML >KN539915.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539915.1:14752:16688:1 gene:KN539915.1_FG009 transcript:KN539915.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVNFVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMRSAGPTDKKK >KN539915.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539915.1:453:2203:1 gene:KN539915.1_FG010 transcript:KN539915.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 4 [Source:Projected from Arabidopsis thaliana (AT3G46550) UniProtKB/Swiss-Prot;Acc:Q9SNC3] MRGGAGVSGGLALGVVLLIVLAVLPSRAAGVNVTAALAAFPSFADFARLLESSPVAGELAVRSSLTLLAVPNNNLPRSPSAFAAASRAGLRRASGKLVTTLFQTTGRAPSDLGAVNLTVGGNSTVVVRSPAPFPGSSASVLGAVTAVPYNLSVLAVGGLIVPSGLDVAASDSRPAGGVNITHVLADARGFNVAASMLEASGVADEFTADERGAGITVFVPTDDAFADLPATDRLQSLPADRKATVLRFHVLHSYYPLGSLESIVNPVQPTLATERFEAGQFTLNITRVNGSVAIDTGIVQASITRTVFDQNPVAVFAVSKVLLPKEMFGRGGADSDVMAPPPDAMAPDAAENVRTPPTRLSSPPALRGGADRLEVLESGFWRLIDRW >KN539915.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539915.1:63374:64744:-1 gene:KN539915.1_FG011 transcript:KN539915.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MPHRDVVSATAAIGTLTRSGRHRDAVALFSGILGDGVVPNEFTFGTILQSASALRDLRVGAQLHACVAKLGLCSNVFVGSALVDHYAKMGAVREAQGALQDTREPNVVSYTALIAGFLKNGMSGDAARLFRCMPERNVISWNAMIGGSSKAGLNEEAVNLFLEMCREGVRPNESTFPCLLTSVANAGALGVGRSIHASAIKFLGKLDVFVGNSLVSFYARCGSLDDSVLAFKKIKNKNVVSWNALICGYAQNGRGEEALDAFRRMKATGLKPDRVTLLGLLFGCNHAGLVDEGYSLFRTAEMEQPGVLRPEHYACVVDLFSRAKRFDDAKRFLENLPFEPGIGFWKSLIGGCQIHWNRELAESVAKSIHALDPRDTSSYILLSNVYSAAGSWQDVSMIRREIKEKGLKRITGCSWIEVQNQVHVFFNGDRRHPKSDDIYMMLESCLKSEEDEDCLV >KN539915.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539915.1:74016:74222:-1 gene:KN539915.1_FG012 transcript:KN539915.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGGHMRRHRGETGTTTVVLADAADADDSGGATVPQPPEAMPDLNYPPLEDAGDGSEPELLNLLV >KN539915.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539915.1:39575:40639:1 gene:KN539915.1_FG013 transcript:KN539915.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLASITEVDRRRWVELTGREINFAIPQEACDFGTWRTMPNTEIELDRPHPVMKGNVHQHPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEVFPHAMDEECFLPLNSCGERTQQDVEMHSVVQPSWLHEFSGVMKKARGPVTAAKSIYEDDLGYLIMVSLPFVDQQKVKVSWRNSLTHGIVKILCVSTAQTPYIRRHDRVFKLTDPMPEHCPHGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLAANDLLLT >KN541788.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541788.1:5855:12484:-1 gene:KN541788.1_FG001 transcript:KN541788.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMPSIKRKRAATMVVSANETGESSALDLPELTINIKCILTRLASDEPQNMAGVCPSKRERCRDDDLSEGPELRGALEEIKQEQEVNNGPTLSLPQQMGTGERQHQSVVVSAIGATVITAENVAEAVDSIIDKLKKSDLSNVVYFDGWEGLGASAVLRAVAKRLTTVQIADPELKFEKIIHIDCSRWKSRREMQRKIAEELEISQAKYIFDKQDEDDDFSWINESSRDIIDDIAREINDILMSQRFLVVFHNGSDDEMKMTDVGNFGLPMNQKFKGNKMLWTFRGRFRLNNKIQDKVQDADVFLSAKFPDKNHWWDILCVEAEEVAFNTCPEIAKLDKKQIALCWLYISKLNYVGSGIIDYDWTIHASNYWVCDGIIQELDIGDALYQVMRQDSDDPGLHYLMRNTDNWISTSHVFSGNYGFLPVPKVANTVSSFFLAAHQVDTEEDTLGLLAYFSASKLNTAKFLQDFNDMFQPTKNLRVLKLSRCRFRFSSPPFLCCRTLRFLGLDKCLDLETDAREEVQSWTCLYKLWVLDLRYTNWVFSQQMIDKMVNVRELNVEGLSPDNLSHVWGWQSKNIRKLRVMKTIDQESEGIKEAKDTFTLTSSFSQMEKMEILDLSGNSAMQAFPDLSKAECLKTVTVDGCVGLESVSRRNLPASIEVFSLVAASEQYPNAANITSMSLCGCRRLKKLVLSGLPNIEELDLCGTLLEQLDLDAMQARKLRRLLLLGCLYLRAIRWSDARRPQVEELLVDTFGAHPDGNHRQHSLPPVQEDDKSFQSHIVVIDPRLLLSLNIFARTSRFVHCCIFPASADHSKGEGASEQGVSKQPWNEHADPKISMNNYKDIFDTVVELSVAPLICPCPPLPLESNCKGSCKVDIISGEKLQGYNNILGVFTDIVDSLNIHDDIYMTSVPGSNWGWLKWCRIEKCPKLHSVFQLRDHEQPIAFSWLETFWASELLTAQSIWNIEFKPVHVDSFKKLQYIHLDSCPRLIHVLPLSKNLPCLEIIQILYCTSLIYVFPLNTANSKEAATNESVNFPKLRHIHLHDLPNLNGIYEGKTMSAPKLETVMIRGCWKLRHLPDVTGLLESRQPIVDCEKDWWDNLDGYNQSL >AMDW01038968.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038968.1:209:759:1 gene:AMDW01038968.1_FG001 transcript:AMDW01038968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGSSQLRFQPRHGGYLAVASENAVSILDVETQACLRRFEGHTKHVDSVCWDPSGEYVVSVSEDTVK >KN542251.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542251.1:2363:2836:1 gene:KN542251.1_FG001 transcript:KN542251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATPADGDMGAWRRAPRRTSGAARANWPGSVDRCDGRRGGADEIVIGGGADRCNGWRNGGNRCDGQRLGGGDCHSGADQCDGQRGTSSRMARQRECYWKGRGGDGGVICGAMRWGDAAVAEGRGRCAREGGIWEGGRDLGDIGCNSDDFRPLDV >AMDW01031163.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031163.1:293:400:-1 gene:AMDW01031163.1_FG001 transcript:AMDW01031163.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSCGCGWWSSLAHGLQQLVLRFFGQQQQLIQSK >AMDW01040874.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040874.1:1366:2699:1 gene:AMDW01040874.1_FG001 transcript:AMDW01040874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLQYLKLLLLLALGGVTTMHVPKQDVPSSLEALTLDGHFSFHDVSAAAQDFGNLSSFPPVAVLHPGSVADIATTIRHVFLMGEQSTLTVAARGHGHSLYGQSQAAEGIVISMESLQSNTMRVNPGASPYIDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVRRGDVITCSPEQNSDLFHAALGGLGQFGIITRARIPLEPAPKMVRWLRVLYLDFTSFTEDQEMLISAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPVQASQFESDGKVLFCLEMTKNFNPDEADVMEQEVNTLLSQLRYMPSSLFHTDVTYIEFLDR >AMDW01013734.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013734.1:3:222:1 gene:AMDW01013734.1_FG001 transcript:AMDW01013734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TPATEKLYRMLGMYEALTAVEPDIESLFTGDARDFFSAEVAGVAAQLGSTIRHTIDQFVNVIHGESSRRPVHG >KN539747.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539747.1:39800:40952:-1 gene:KN539747.1_FG001 transcript:KN539747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSRMPRRMMQHRREAYAFTDAIIREHQENRAASAGDGDGDDKEDLLDVLLRIQREGDLQFPLSTERIKTTVGDMFAGGSETAGTALQWIMAELIRNPRVMHKVQDEVRQTLAGRDRVTEDAISNLNYMHLVIKEALRLHPPVPLLLPRECRNTCQVLGFDVPKGAMVLVNAWAISRDPQYWGEPEEFIPERFEDSNIDFKGTNFEYTPFGAGRRMCPGIAFGLANVELTLASLLYHFDWQLPDGMDTSDLDMTEEMVVSARRLHDLLLVPVVRVPLPGASS >KN539747.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539747.1:77347:78265:-1 gene:KN539747.1_FG002 transcript:KN539747.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLMDGVIEEHRQRRAATGWRDEEDEDLLDVLLRIQKDGGLQIPLDMGTIRAVIIDLFSAGSETTGTTLQWAMAELMRNPAALRKAQVEVRGVLAGQTHVTEDALPDLHYLHLVIKETLRLHVAVPLLLPRECQEPRRRVLGYDVPERAMVLVNAWAICRDAAVWGPDAEEFRPERFDGGGGGGEMDFRGTDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHFDWELAGGAAAGELDMAEGLGITARRKSDLWLHATVSVPVPNTETS >KN539747.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539747.1:21694:22996:1 gene:KN539747.1_FG003 transcript:KN539747.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALTALSTDITARAVFGNRCKDREEYLAQVDHTIELTAGFNPADLWPSSRLAGRLSGIVRRAEECRDTAFKILDRIIQERLEMARSDGAAGEYLIDVLLRIQKEGGLQFPLAIDDIKANIFDIFGAGSETSGTALAWAMAELIRNPTVMRKATAEVPGMADLTKLDMTEAFGITARRKADLHLRPILRVSVPGV >KN539747.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539747.1:43059:45728:-1 gene:KN539747.1_FG004 transcript:KN539747.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPVYLLFLAALIILPMANLIRSARHRRRAGIRRPPPGPWALPVIGHLHHLVGKLPHHHKLRDLAARHGPLMLLRFGELPVVVASSAGAAREITKTHDLAFATRPVTRTARLTLPEGGEGIIFAPYGDGWRQLRKICTLELLSARRVQSFRALREEEVRRLLLAVASPSPEGTTATASVVNLSRMISSCVADSSVRAIIGSGRFKDRETFLRLMERGIKLFSGPSLPDLFPSSRLAMLVSRVPGRMRRQRKEMMEFMDTIIEEHQAAREASMELEKEDLVDVLLRVQRDGSLQFSLTTDNIKAAIAVMQKAQDEIRQVLYGQERITEETISSLHYLHFVIKETLRLHPPAPLLLPRECREPCQILGFDVPKGAMVLINAWSIGRDPSNWHAPKKFMSERFEQNNIDFKGTSFEYIPFGAGRRICLGMTFGLANIELLLASLLYHFDWELPHGMQAGDLDMTETLAVTARRKADLLVVPVVRVPIVG >KN539747.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539747.1:66424:68314:1 gene:KN539747.1_FG005 transcript:KN539747.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLARRHGPLVLLRLGELPVVVASSADAAREVMRTSDVAFAARPVNRMIRVVFPEGSEGVIFAPYGETWRQLRKICTAELLSARRVHSFRELMSAYAADSSARAMIGRRLKDRDTFLAMVERGIKLFGEQSLPNLYPSSRLAVLLSTMPRRMKRHRERMTAYLDAIIEEHQESRASREDDEDLLDVLLRIQREGDLQDMFIGGSEPPAITLQWIMAELMRNPEVMQKVQDEVRQLLVGQHKVTEESLSKLGYMHLVIKETLRLHPPGPRLLLRVCRTTCQVLGFDVPKGTMVLVNMWAINRDPKYWSQAEEFIPERFENAGIDFKGTNFEYMPFGAGRRMCPGMAFGLATLELALASLLYHFDWKLPDGVEIDMKEQSGVTTRRVHDLMLVPIIRVPLPV >KN539747.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539747.1:17459:18125:1 gene:KN539747.1_FG006 transcript:KN539747.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALSALVTDITARTAFGNRCKDLEEYLVLLERIVEIAGGFNPADLWPSSRLAGRLSGVVRRAEEHQERTGAGSEDLVDVLLRIQKEGELQFPLAMDDIKSIIFDIFNAGIETSGTTLQWAMAELIRNPTAMHKATAEVRRAFAAAGAVSEDALCELRYLQLRLGGARHGRPDQARHD >KN539747.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539747.1:80418:83354:-1 gene:KN539747.1_FG007 transcript:KN539747.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNCGLWRKSGGGDIVDDPFPLPSHHLAKRGRCSSSAASAADLGVSLEFDPIEVLQLIFPHEDPQLLKSFFEASGNVLDAAIRGFKHRLQSHTDTEITETASGDTGNEVFSPKVESDLSAMNTPSNGSEWAELVVKEMSSALDLVDAKNRAFRLLDLFEKSTAACISPVEMQKMREEHKILKLMLGGLLEQNGVLKRAFLKQHNRLNDYEKKMSQERSQIIDTYEKEIKALQHRNYVLSLHLAQATQHGIISGHCNPDVF >KN539315.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539315.1:41323:42782:-1 gene:KN539315.1_FG001 transcript:KN539315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPDLGGGGVEDGGGKKWPGFVQFFFVLSVVLCVLLYAPRFIVLTPTYGLDFFPQPPPNTTSTARVVGDHNAGDVVVLDNQLRSPCSSLAGDTICCDRSDFNTDVCFMAGDVRTDPSSLSLLLFPKQPPAANATVEERIRPYTRKWEALIMSRVEEVRLRMAPPEEEPGHRCDVRHDAPLLVMTAGGYTGNLFHAFSDGGTRVIENQAAVARLARTVGFDVVILETADGLPLPASYASVSACDVMVGVHGADLTKLLFLRPGAALVQIAPLGVAPIARGCYAEASARMGLHYEQYDAEGHESSLSRKYGLRDVVVSDPEAAKRDKGWGFVARVYLGGQNVTLDLSRFRHTLTRLHARALRVRSLHPAP >KN539747.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539747.1:57003:58642:1 gene:KN539747.1_FG008 transcript:KN539747.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVQLHHLILLLPLFIFPFLLLRSSRRHRGATSPAKTVNLTELMSAYAADSSVRAMIGRRFKDRDKFLSMLERGIKLFVMPSLPDLYPSSPLAMVVSRMPRRMRRHREEVFAFLDAIIAEHQENRASGEDEEDLLDVLLRIQREGCMESTVSTESIRTTIGDLFNGGSETTATTLQWIMAELMKNPRVMQKAQDEVQRVFIGQHKVTEENLSNLSYMYLVIKEALRLHPPGPPLLPRECRTTCQVLGFRCA >KN539747.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539747.1:26053:27667:1 gene:KN539747.1_FG009 transcript:KN539747.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSQGSVVYVGLALVSLFVVLLARRRRSPPPAAAHGDGGHQRLPPGPWMLPAIGSLHHLAGKLPHRAMRDLARRHGPVMLLRIGEVPTLVVSSRDAAREVMKTHDTAFATRPLSATLRVLTNGGRDLVFAPYGDYWRQVRKIAVTELLTARRVHSFRSIREEEVAAVLRAVAVAAGTVEMRAALSALVSDITARTVFGNRCKDRGEFLFLLDRTIEFAGGFNPADLWPSSRLAGRLSGVVRRAEECRNSVYKILDGIIQEHQERTGAGGEDLVDVLLRIQKEGELQFPLAMDDIKSIIFDIFSAGSETSATTLAWAMAELIRNPTAMHKATAEVRRAFAAAGAVSEDALGELPYLHLVIRETLRLHPPLPLLLPRECREPCRVLGYDVTRGTQVLVNAWAIGRDERYWPGGSPEEFRPERFEDGEATAAVDFRGTDFEFLPFGGGRRMCPGMAFGLANVELPLASLLFHFDWEVPGMADPTKLDMTEAFGITARRKADLHLRPCLRVSVPGV >KN539747.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539747.1:12239:12442:1 gene:KN539747.1_FG010 transcript:KN539747.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGLAFGLANVELALASLLFHFDWEAPDVADPAEFDMTEGFGITARRKADLPLRPTLRVPVLVSGV >KN539747.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539747.1:74319:76342:1 gene:KN539747.1_FG011 transcript:KN539747.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLALRAIMGECFRWREEFLETLAEALKKTTGLGVADMFPSSRLLRAVGSTVRDVKLLNAKLFELVECAIEQHREQIRAAHDDDDDAHGHGDKECLLNTLMRIQKEGDDLDDTLTMATVKAVILDMFAGGSESTSTTLEWALSELVRNPHVMQKAQAEIRHALQGRTRVTEDDLINLKYPKNIIKETLRLHPVAPLLVPKECQESCKILGYDVPKGTIMFVNAWAIGRDPRYWNDAEVFMPERFEKVAVDFRGTNFEFIPFGAGRRMCPGITFANATIEMALTALLYHFDWHLPPGVTPDGLDMEEEFGMSVSRKRDLYLRPTLHMGLETI >KN539747.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539747.1:32507:34003:-1 gene:KN539747.1_FG012 transcript:KN539747.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLGELPVVVASSAEAAREVMKTRDLDFATRPMSRMARLVFPEGGEGIIFAPYGDRWRELRKICTVELLSARRVQSFRPVREEEAGRLLRAVAAASPGQAVNLSELLSAHPADSSVRAIMGDRFRDRDAFLAMLERGLKLFAKPALPDLYPSSRLAMLLSRMPRRMKQHHRDMVAFLDAIIQEHQENRSAAADDDNDLLDVLLRIQRESDLQFPLSSESIKATIGDMLVGGSETAATTLHWIMAELVRNPKVMQKAQDEVRRELIGHRKVTEDTLCRLNYMHMVIKEALRLHPPGSLLLPRECRRTCQVLGYDIPKGATVFVNVSAIGRDPKYWDEAEEFIPERFEHSDVDFKGTHFEYTPFGAGRRMCPGMAFGLANVELTLASLLYHFNWELPSGIHAENLDMTEEMRFTTRRLHDLFLIPVVHVPLPTICSS >KN539315.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539315.1:76997:80185:1 gene:KN539315.1_FG002 transcript:KN539315.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHSAMLPSSSSSSLSGVSSQSPLHLPRLRSPHHASRRLSALPFSRALPLPLRLRLRIPRPQLPPLLLAFSHGGGGDNDGDDNNNNGGGDGEGDGGAPDNRREALFVLAQLGRKLESLPSDLAAAVEGGRVTGEIVRRFAEMEGSALLRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLATNAGHIANFFHNCPDNAFQIALAGRSYSILQRLGAILRNGAKLFTVGTSASLVLLPKIEKKISDIYVSVLDGSNLSTAFTLLSIRQFVKLPMHFNLQSFQIGTGVTNALIKARKAVDKELDDEVEDIPVLSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHNHKLLLSALCFAVRTGNTFLGSLLWVDYARWVGVQKVQEEA >KN539315.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539315.1:20399:20889:1 gene:KN539315.1_FG003 transcript:KN539315.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDVPVVTAGNDLTYNISKMHDKDHSDRSNLFTSKSFVQMGKKLSTLSPRDEQQAIPLPS >KN539315.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539315.1:54308:54786:1 gene:KN539315.1_FG004 transcript:KN539315.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAVGEIGVVVAARHCRRKVGQRQAGSRGQFSAESTLGISTEDPAGNVAVSLQFPVKFPLRITTKSRNHFVVFFLYSVRLSRSLSLFPEISPLAARAEAARNAKSLMTTDFILK >KN539315.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539315.1:63277:68608:1 gene:KN539315.1_FG005 transcript:KN539315.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELPHKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVEPVLSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLERPASDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVRVLCAEMVRWLKEHKNINVVVEPRVSKELLTEDSYYNFIQTWDDDFYEIIQSHLNKDFGKNPLIILIDEEKKMLHTKVDLIVTLGGDGTVLWNWLTAEMEASEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >KN539315.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539315.1:4645:8842:1 gene:KN539315.1_FG006 transcript:KN539315.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIATAVVVAMGTGNRVLYKLALVPLRDYPFFLAQLATFGLQSLRDGCLVLGVHVSGRITGRDFLLVNISIPVRLCAFFLVGGRARELAFQASWRLYQQHQGWLLETYLVWQLLLSAIFLKRRYRINEITGCFLVTVGVIITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEIIFLNAAKKLKGGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFHQLPTYIRDGTACFLNMGSLSSGNR >KN539315.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539315.1:81647:85083:-1 gene:KN539315.1_FG007 transcript:KN539315.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSISSPSRFLLLQPPPSCSCGLRVPFSYIFLTSTASGKPWLSTSWMFTLAPQRAYDLAAIKFRGVEADINFSLEDYGDDLKQVRGSLTRSLWMSNLTKEEFVHVLRR >KN539315.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539315.1:96994:99070:1 gene:KN539315.1_FG008 transcript:KN539315.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVDTAAFCTLLCLLVTLVVFKLKTVTSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLAREVVKIMANNIIMTAIIGDTCPQREEYLEALDKTMDLLNGFNLVDLFPGSRVARVLGARSLRETKRVHQKLHQITDTMIQGHGIIKDDSVGDGNIRETVGTHHHMHGHGHKCEDILDVLLRFHREGGLGITLTKEIVSAVLFDLFAAGSETTSTTIIWAMSELVRTPHVMERAQSEIRQVLQGKTVVSEADIEGRLHYLQLVIRETLRLHPPVPFLIPRLCSEANSKIMRYNIPQGAMVLVNISAIGRDEKIWKDANEFRPERFKDDMVDFSGTDFRFIPGGAGRRMCPGLTFGLSNIEIALVSLLYHFDWKLPNDASSCKLDMRETHGVTARRRTELLLKATPLYT >KN539315.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539315.1:102545:119181:1 gene:KN539315.1_FG009 transcript:KN539315.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTASYYTTLLCGALLLATVVVFKLKTATASSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVLGSRSMRAAREAHARIHSILGAMIQDHVMDMESKGGAVAGDDTDSGGVSEREDLLDVLLHLQREEHGDNPYYGASASESASALAVFLSSPDASQLPTGTVRSVVKSLGHLALHVDAAADWGSVASPLEALLAASVDQRAKVRRCAQESVEKLFAHLKQCGCGKKVSNAAIGMFDKHIASVKNHVNLNSDASEGKEMEAANMLSAMVVVVPYLSKKAMKTVFSDVYQLLTPCFSPLTRHVLKLMETLLDHLKAENVESDLANLIPLLLAYLHYDEKKPDDTIVAALKLMKNCLAKLVGRPNLWMEVLPSAFEAVSGESSYVFMKNIILTLSQIAAKTDKESERKNVEECIGAAVIALGPDKILSLIPIGFDEDQLTCSNTWLLPILDKYIYGASPQQFLERIVPLAESVQQASNMGSCPSFERCSKKDARKNMKVLASHSVDLLSTVTDYFLDSSAEKRSHLKDALRCLAQLSGSTNICNLFLSLVKRFGLEDTQSEQENIECQTNEVDKKDEEGTDVDEEKNKKRSLVMELISTFAEAADEDLLDLLFGFVKSSLLNNKQPCEGKALFALSIILKEHNEYSLARLDEIMMLLHGIKADLDNEVLEGQLLCYQYLLVHMIKANEENTSKKAFLILNELIVALKSKKESRRLAYDVLLAISNSLRSSESNSEDSDLQRLFTMVMGYLSSPAPHIVSGAIAALSLLIYNDANFCLEVPNLIPSVLVLLKHKAIEVIKASLGFVKVLVTSLHSEKLLELQADIMSGILPWSSVTKHHFKGKVVLIMEILIRKCGFDAIDLVTPEKYKAFVRSVEEGRKGNHNPADGAESEAQQPTQHAAKRRKRSDSDVGTGQEGTHTRAPSRSLPAGKKEFFVKGARNARSPGVKSQRSKPSGRNGDRTNFKSKSEPRPGNGQNTKGDKPQGFNKRNRTGKFDKTQNRGGKASDRSSKFKKPRTAATT >KN539315.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539315.1:55226:58018:1 gene:KN539315.1_FG010 transcript:KN539315.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIGKLDNTIKNLEVRKNEIQIRLSISEGKQETCNPEVTEWLQKVATMETEVNEIKNVQRKRKQSFSYWSKYEIGMQAAKKLKEAEMLHEKGAFKEVSFEVPPYFVQEVPTIPSTEETECNLKEVLQYLKDDNVGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLVVYVVASTASGIGQLQADIAERIGLFLKPGCSINIRASFLLSFLRRKKFLLLIDDLWGYLDLAEAGIPYPNGLNKQKVVLATRSESVCGHMGAHKTIFMECLDQEKAWRLFKEKATEEVINSDVRIESLAKEVAEECGGLPLALATLGRAMSTKRTRHEWALALSYLKKSRIHEIPNMGNTSHIYTRLKLSYDYLQDKQIKECFLCCSLWPEGYSIWKVALIDCWMGMGLIEYDTIEEAYDKGHSIIEYLKNACLLEAGYLEDREVRIHDIIRDMALSISSGCVDQSMNWIVQAGVGIHKIDSRDIEKWRSARKISLMCNYISELPHAISCYNLQYLSLQQNFWLNVIPPSLFKCLSSVTYLDLSWIPIKELPEEISALVELQCLKLNQTLIKSLPVAIGQLTKLKYLNLSYMDFLEKIPYGVIPNLSKLQVLDLYGSRYAGCEEGFHSRSHMDYDEFRIEELSCLTRELKALGITIKKVSTLKKLLDIHGSHMRLLGLYKLSGETSLALTIPDSVLVLNLTDCSELKEFSVTNKPQCYGDHLPRLEFLTFWDLPRLEKISMGHIQNLRVLYVGKAHQLMDMSCILKLPHLEQLDVSFCNKMKQLVHIKNKINTEVQDEMPIQGFRRLRILQLNSLPSLENFCNFSLDLPSLEYFDVFACPKLRRLPFGHAIVKLKSVMGEKTWWDNLKWDDENSPLLLFPFFKASETRIASLRPELDTSAASSPKAFFTKRQPYLSSSIRYTSFLKSMFEAEEFSSL >KN539315.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539315.1:28547:28750:-1 gene:KN539315.1_FG011 transcript:KN539315.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLLAAAAVLLLLLPLLLPPLPPPPSLLLLVPVVLLLALLSLAFLPNRDVVVYGQQPADQFFSRQ >KN539315.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539315.1:25:2689:1 gene:KN539315.1_FG012 transcript:KN539315.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGLVMGSPFCALAAKREKLLQQDVHEDESRLNYENSVRRGNAIAIVLHKEHGYAPHTNVPSLQLSPSVRTEGPITICAFCPQPREWKEIILRRGKTALESEKDQSPRQAVVKSEKDQSQAAVKSEKADTVKLIQFMEENYEKYVANVDSFEDFYHAIVELIEKFCEERGQVQYKIPSKKALKEAYEKHHTEQGQLKREEFIKIGKEVIRRDSFTLGKATMDFIMYLFGAPLCALAAKRILPGLRWISDDVAIPLATSASVAYLIRTKQL >KN539315.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539315.1:69705:72791:1 gene:KN539315.1_FG013 transcript:KN539315.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPVNEEVLGLVVFKSALSDPSGALATWTESDATPCGWAHVECDPATSRVLRLALDGLGLSGRMPRGLDRLAALQSLSVARNNLSGELPPGLSLLASLRSIDLSYNAFSGPLPGDVPLLASLRYLDLTGNAFSGPLPATFPATVRFLMLSGNQFSGPLPQGLSKSSFLLHLNLSGNQLSGSPDFAGALWPLSRLRALDLSRNQFSGTVTTGIANLHNLKTIDLSGNRFFGAVPTDIGLCPHLSTVDISSNAFDGQLPDSIAHLGSLVYFAASGNRFSGDVPAWVGDLAALQHLDFSDNALTGRLPDSLGKLKDLRYLSMSENQLSGAIPDAMSGCTKLAELHLRANNLSGSIPDALFDVGLETLDMSSNALSGVLPSGSTKLAETLQWLDLSVNQITGGIPAEMALFMNLRYLNLSRNDLRTQLPPELGLLCNLTVLDLHSSGLYGTMPSDLCEAGSLAVLQLDGNSLAGPIPDNIGNCSSLYLLSLGHNSLTGPIPVGMSELKKLEILRLEYNNLSGEIPQQLGGIESLLASLDASALEGNLGICSPLVTQPCRMNVAKPLVLDPNEYPHGGDGDNNLETSGRGPASPRKRRFLSVSAMVAICAAVFIILGVIVITLLNMSARRRAGDGGTTMPEKELESIVSSSTKSSKLATGKMVTFGPGNSLRSEDFVGGADALLSKATEIGRGVFGTVYRASVGEGRVVAIKKLATASIVESRDDFDREGGMGYVAPELACQSLRINEKCDIYGFGVLILELVTGRRAVEYGDDDVVILIDQVRVLLDHGGGGNVLECVDPTIGDFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVAASSARIEAF >KN539315.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539315.1:87089:88204:1 gene:KN539315.1_FG014 transcript:KN539315.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSGTDDPRTADFEDARFLPKKLAMLELDVNPGDHRAAAVATFVNLDTGRFLRKRVPGLRGCFLVAVTSAALVVLSDVAPPHGTRVLNPFTGKVARFRAPIHAEEEVREVAVTTSPLMVFVSWYQGRSVRWVDQDTEGFPEVMAYFPDNFMNLTPFAGEVYVTNRGSIVSTVLLTDDEEEEEEDDDEQQGVRPQPRAADTIAMIPIIRMPPPAVKLYAYFHHLVESAGELLLVSVLWRVHVVHKVDTVNKVFVPVRSLGNRSLFVSQARSFSVDADKFPTVEAGCVYVVEPGPATYERFHLADGRLEEAIPMVNRRRAAEGEGCVLPLTLEQVMVNYCVDTENYSELEIALDTDDDEEFFLPEAQGHGSN >KN539315.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539315.1:35264:35735:-1 gene:KN539315.1_FG015 transcript:KN539315.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAVVAQVAGCAESLDAFTRMSGLRILVDLVEQGGASTPRTRENAAAALLNLVVAGGERAVAEVIAVGGAEDAQ >KN538717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538717.1:211414:212931:1 gene:KN538717.1_FG001 transcript:KN538717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSLLPAPPASVAPELASFLPPQAKKGAVSLAATRRRGARIGVRAEVNESGSALAADAFAQVKHVLLPVTDRNPYLSEGTRQAAATSASLAKKYGANITVVVIDDKPKEEFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >KN538717.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538717.1:283399:285608:-1 gene:KN538717.1_FG002 transcript:KN538717.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVFMAPGVKDKKVLAFKRGKGKDADAGVTALIRDIVAGGARSAFHVFDLAKVVDLHRGWRRALPDVVASEQHLIVDRDFRRKPQILQERVNE >KN538717.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538717.1:187649:188582:-1 gene:KN538717.1_FG003 transcript:KN538717.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAACLPSAAAVALLLLAAAAAGLAGATEYTVGDSEGWTIGPSYLAWSTKYNFTAGDTLVFSYVQRQHDVLRVSQDAFRTCDPTNQTVKRLMGLTWCSIGQLRNV >KN538717.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538717.1:142190:147942:1 gene:KN538717.1_FG004 transcript:KN538717.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKVAKRFLVAVKECSGPGLNMAFFISYSPEDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLPYPTTGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTVEDAAVAYSAIVDQSQPSYLRPELNLPLLKSSLSIKNIKLAKYAKWFNDSSEDIRNCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGGWEACKKHYKKPEVFYDLLKKD >KN538717.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538717.1:256951:258135:-1 gene:KN538717.1_FG005 transcript:KN538717.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLAKHHHFPLARHLLDEMRERSVPIAAQLILALIRRYVRAEMPSEASDLFRRMEEYGAGAPDPATLASLLGALSKKRLGSEAQALFDSYKSVFTPDVVLYTTLVHAWCRSGRLDEAERVFAEMQQAGVTPNVYTYTAVIDAMYRAGQVPRAQELLCQMINSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDIITYNFLMETHCGKGQSNLDAAMKMLTRMIAKGCIPDCHTFNPMLKLVLVLGNVNAARKLYERMQELQCKPNVVTYNLLMRLFNLEKSMDMVLRIKKDMDAQGVEPNVNTYAALIEAFCGRGNWKRAHMTLREMVEEKALKPTKPVYDMVLALLRKAGQLRRHEELVEMMVDRGFISRPANDALWRAISA >KN538717.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538717.1:301205:302500:1 gene:KN538717.1_FG006 transcript:KN538717.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNGGVAAAPDVEYIPLSIFDKVTYKMQMAIIYAFPPPAPPDEASTIRLSVDGRRRLGAPAEYFGNLVLWAFPRATVGDLLTRPLKHAAQVIHDEVARVDGAYFRSFLDFALSGAGGDKEGLAPSAVLKDVLCPNAEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFNHNLEAFKECCYSME >KN538717.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538717.1:129763:136175:1 gene:KN538717.1_FG007 transcript:KN538717.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAVSTSNLQLGELLISEKQHMVFMVMDRTSWLWRRKPSDKSQGGAENTVSVSSHSEHYSDDQEVLRPVSNDASPHLGQSPGMPSRVRDDGTQETGVAKPSNEKLALGFKLNDSFPQHGQSSEPQSSSNVRDEDVKENLKSLNDKLAAAFLTINAKEELITNLVIDIHFLGWEQAESEVAALKKLLEASAQKNGSLEVQVSHLAEKNASLEVQVSRLDEALKECVRQLHLAREDQAEKVRDVVTKSQELESENSKLQNCITELKKQLETTKLEAFNMSIDHDLQEKFQAIKKENMDLKSKLLVQSKDLKILSLERDLSNQAAETASKQHLENVKKIARLEAECRRLHHLTRKATLINDSRPLANNTCVESLTDSQSDSAECMAAVDNELRNSDSWASALVAEFDQFKNGNADEKNLVNNPVVIDLMDDFLEMERLAALPESDRTSSTFDMETDSDKAVTRNSSSKLETEELRNQVADLHAQVEKIGSEKKELEMALMEARNQLDISCNALVAAKNRLVEMQMELDSANDSKHAALRDFEGLNSEKKALEFQLESKSVQVEELLQVVASLEENTNKKELESQLELLSAEAKELRLTVTSLEEKIEAERSLSVQHQAEAEAACNAKESLEEQLYSANTEVERLHVIVKELEDEVEKEKMRQEELMAELEMKMETAVEAIKESLEAQLCAANTEVERLNGIVQALENDIEKEKALHKELTAQLEVKIEEEKSRSVQTVKESMEAQLCSSNTEVLKLRDIVKALENEVEKEKALHEDLSAQLEAKIEAERTFSVEAIKESFQSELQSVNSEVVELRGMVTALEHEVVKEKTFSAELQMQLEALEAIKRVLESEIESAHQDNRKLNDKMSSAADFTAKEEAMQSERRAMKQQLEAAKMEVGKLTNKVSLLQGEVLQERLLSEEFEQEYHKLEARLSRDSRDAKLWRLANSNGGLKAKQEKELANAAGKLAECQKTIASLGRQLKSLTDIDNTIVEPERLEPREIREMPLDFRNSDADFAVFADELYDFDLPKVNSSCFSPLPSIQPSSPPSEMSVFAGGLSSLSSFRSKRRK >KN538717.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538717.1:262047:262265:1 gene:KN538717.1_FG008 transcript:KN538717.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFTSGRAAFEDFDWREAERRASDDDDDDDVLVAHSAPLVLGGGLVASEPRKEVNLWSRRPMAPPTPLRLP >KN538717.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538717.1:219185:226455:-1 gene:KN538717.1_FG009 transcript:KN538717.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGVVVRALLLLLAAVAAAEALSLDVHHRLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDALIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQLRRGGMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKVKPLVEYENEEDAPSPAGRGRGRGGRGRGRGRGRGARGNGYMDYADGGWEDDHAPPAYAGNGYTRGRGRGFRGRGRRGGGYGAQPDYQQDGGYYDEAPVHAPPRGGYMIC >KN538717.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538717.1:250429:253702:-1 gene:KN538717.1_FG010 transcript:KN538717.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAEEAGVVVGGAPPPPSSGKRRSTTLLHLFQLEKPDVVVGAMLLPPPSPEPEEDRLITKIESCSRVFTFVDGGGAAGGESGEERDAKTEALGEGLAAVAERALYVWNNERFVAMACAAGAAAMEERILPAFVASMEANLERHWSRWR >KN538717.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538717.1:184661:185500:1 gene:KN538717.1_FG011 transcript:KN538717.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIFLYVSIATVIGYKNQRATVDACTGVGYLGVAWSFGATIFVLVYCTGGVSGGHINPAVTLGLFFGRKLSLWIFWVGPVIGAFLAAVYHKLVLRGEAAKALSSFRSTSVTA >KN538717.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538717.1:149394:151564:1 gene:KN538717.1_FG012 transcript:KN538717.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KN538717.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538717.1:202100:202552:1 gene:KN538717.1_FG013 transcript:KN538717.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKMKAMVKRKMKALGKKKPKVPMKKNTKAQMKKQLKASTKKLETPAPALAVVGAFTARELSAAKRLVLLSGSNKSSSGGSRSTIFASSGSSVNAPPVIAQVMPRPAEDYLSDEELEDDSQEVPGIPRRTRLYRYIFEIYQVTQPMKK >KN538717.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538717.1:213846:216838:-1 gene:KN538717.1_FG014 transcript:KN538717.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSQPQPAAAPPPAASTLQHLKEIASVIEAGSLTKEVRRISRAVRLTVALRRRLAARDVAAFLAFALPHSSEAFARLSSLLPKEDGSEMDVDSAAPAAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKACASASITRLKNLNRRTVDVLASRVYTYYSYVHELTNSLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMKAALAPYFELTNAVRVGDLELFRAVAEKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >KN538717.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538717.1:241625:244584:1 gene:KN538717.1_FG015 transcript:KN538717.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGLEVAVPHPGRELLAALKEIEELFARAAEAGKEVTAMLEAASRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCAQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGLTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEAELRNWRSCFMIYVSAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRSSAPPLVVICHDWYTTLSKFQNKRVAFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKISAYKRAENKVIETKLLEHRPEQDAKQRMEHLSEKKEMLNVLRKRVEAEKAKHHACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLTHAEPKGSENTTEKRPCVEGPYSHISVDAT >KN538717.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538717.1:274246:275421:-1 gene:KN538717.1_FG016 transcript:KN538717.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLANSVNNFLDADDDDGGNGEAHRRYQRVSLEVFPTHNSGHMNRLIATAIADWSVQDLEVTVLDTTSNHGSMGYSFPHHCFDDAAMFPPATGCSLKTLKLTNCAPLGGGDLQHPPRVFGSLTVLVLQGMPKSTRYEGVVRACPRLEVLHLRSCSSRERSLSIDAPGSPVTELLIDGCDVGMVYLRSLPRLERLACVGGGEPVEFSFGAVPRLARLSLSFHADVDAMTWLHTFAGMTRNEYPLFNFLNRLPDLDTLVLRFTGPERWVAPAMLHDDAPLAAQAEAAARRRHAAVVGPHVDALPAGGGGRLRDAAHPRRCRRRDGGVAWPTAAELKHRALRELVIVGYRPSEWQHEEFVSLMRSTCVALRDVALLEHGHVRAKGHWDWELMT >KN538717.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538717.1:230802:233198:-1 gene:KN538717.1_FG017 transcript:KN538717.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAPLLRRMLLLCAVAASCSYYLLVLHAQASVPPRYDGFAYGDAATAAWKDTILVEAFLDPLCPDSRDAWAPLRLAVDRYAPRVSLIVHPFPLPYHTNSFLACRALYIANKLNSSSTYPLLELFFKNQGKFYNAATSSLSSTAISGEMSKLAARVVGNSVSEFQSGFSDIRTDLAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWVSILDPLAGQHGDRLEMFTSISSI >KN538717.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538717.1:190499:190915:1 gene:KN538717.1_FG018 transcript:KN538717.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAPQQLACGLFGIGGVFTAAELAVADQLVQLSCSSGGDEAAAPSSSSSSSTTSSPRSVNTCAATTAAGEEIEEFTGMAAEMELDRRARKRYRLLSELYAATAPPSATKRAAAAACSSSSRKRKRDDESPEITVSY >KN538717.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538717.1:162698:166567:-1 gene:KN538717.1_FG019 transcript:KN538717.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRRLISREQESSPDGRSFEKLHLGEYDWKCYTEVFKSVCNFASGLIRLGHQKTERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYS >KN538717.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538717.1:205139:207020:-1 gene:KN538717.1_FG020 transcript:KN538717.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRNAPALNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTA >KN538717.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538717.1:265787:273178:1 gene:KN538717.1_FG021 transcript:KN538717.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MQSCVREIVVLAELVAVRADRVSVSSKRLVILAMISTFLHVDGIALAGGSTAASSSGDPVWDERFAVPLAHYAAALEFHVKDNDTFGAQLIGTVTIPADRVASCQEVDDWFPIIGNNGRPYKPDTALRLRLRFNPAADNPLYRRGIPGDPDHQGIKDSYFPLRHGGRVTLYQDAHYREGDLPKIELGEGDKVFDHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVREPSPSRPLPEGGDLNLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVICVLSPRLQVVGTLFTHHQKCVLVDTQAWGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFDNDYHNPTFPSGAKGGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRERFRKVSHWKDDALIKLERISWILSPSPTIPNDHISLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASKQNLICRKDLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLVPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIAQELKAMNIENAHPQDYLNFYCLGNREESSSSNGSPESNDKSAAALARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWSTKGGHPRGQLSYINSKGSLKAIVVDLPPKELHTNRLQVYGYRTSLWAEHLGMVDDLFKDPSSLECVNYVNEIAEENWRRFTAEQIITLQGHLLKYPVKVEADGKVGPLPEHECFPDVGGKILGAPTSLPDTLTM >KN538717.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538717.1:173322:178980:-1 gene:KN538717.1_FG022 transcript:KN538717.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHTHYGTEGVEDLIVPSVQPHPVANPISGGSNPTSSSPPPNATVVVTSEQNGTTDQSNPVRHSSGGHGAGSNSSRDGNTSDGGDGSWNDGGLGSGSGSNSSYGHGNSTNGAGGANMNNIIHSSGTSSSANDSSRRISGNNNWNNNGRSAGSNHNAAGSGDGSNRNLWNNNGRNGGGSSNGFVGRGGHRNRRDHERGGSFSPRNYPRHTPMPPQQQQPGIYQPGPFPRPPPPPAPAHFMVPQPFVPYVPHFAYPADVQGYPFYLPPMEQFQNMHLVRPQMQPLWVPQDQQNLQEDIRAQIEFYFSTNNLCHDTFLRRQMDDQGWVHIDVITKFNREAIIVLVLCLPHPVLMFPSFFWQIVLRLPMRRFTNLVDTNYILDAVRGSELVEVQELETNSLAWPTRSERKDSNTCLQCEATTLLVNG >KN542874.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542874.1:3506:9808:-1 gene:KN542874.1_FG001 transcript:KN542874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFYLYMKTAERAHHPNKLWERVKLPRNYEKAIEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLRLKVREKLMTMPRKQTQRDLRRMAKAEYAAQIEKTIERELKERLCGDDGMIYSYPFEDFIRVLGMEKGDVDPEEDEEEEVEEYVEGDYMDDMEDMEDFEGLPGGDYVQKFRLMKIISLFVTVNYKGESGQESSAIFTLYAYVSSAKGLGFDYLGKNWKLIRQLEIRVPRRLVCSHEYSGDGVNIKKKNRAFIILPMALNKPYEVVKEILFFYLPQVYGHIFRYNSIR >AMDW01083097.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083097.1:35:271:-1 gene:AMDW01083097.1_FG001 transcript:AMDW01083097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAG >AMDW01031005.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031005.1:10:321:1 gene:AMDW01031005.1_FG001 transcript:AMDW01031005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRDRIFGDHKPHSVAGNN >KN541238.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541238.1:10424:11157:-1 gene:KN541238.1_FG001 transcript:KN541238.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYVDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTVTATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVMYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTRQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFK >KN543361.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543361.1:3522:4721:-1 gene:KN543361.1_FG001 transcript:KN543361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAIMDHPCLAELLADQTALPMFHPFSGGGTPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPPDSPRTPHTYGSFLPIYGDLPPLSVAVVQEPLPLPEGGDHPVPPKKTIDVAPLLPEHADQPVVTNNSATTRPQLCAPYDDDIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRLHELADGTLQRAAYFLDRYLSVTPESDDVLQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALNYNLSGPTAYTFVEHFTRYYGDGKKEELLKEAAHRFADGSLLTYGFHRYLPSVVAASSIFLARLHVLEHEPWSQDLAELTGYKAIDLMDCVCDMYNQIACPRFALFQEYFFQD >KN541238.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541238.1:25288:27597:-1 gene:KN541238.1_FG002 transcript:KN541238.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLAPTKCLTECPNNNITWVAKNSNHIGEMLAPTTAWELGDRRDMEQTPYIATKDLPKVTPTKCSTLCFSFDAKPDLTEVVVVTCATSVESSMELVATDSTISGTHIDTPDSTKGMPTNCSMFGMEVNTGPPPDAAPAAAGGACRCVFSKTSYHAPGLCFRDRRVAHADGTASWFINGKLVNPLSGLIDEYVDRVKRYPWKWIDGKGFCHRVVSGDGSLLVYRLSPSWPIQDRLSITGYTWSNSNSKSQGGWVSELPGAAGCGVAYHSGATVSVDLANCYIHKKDLLWLRNTSMPLPDEPGKVDSLRLREMYSEHGKVVRARVAYDKRGRSRGFGFVTMATQEGFDRALGRCNAVEKPDHPLCTTGIVFGWCLLVLLLFLAYYMLSVAFVG >KN541238.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541238.1:22271:23271:1 gene:KN541238.1_FG003 transcript:KN541238.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPYALRKQLEAADKCFSDGNIKGGKMHADMATALFSSSPEAKCVQAAFKVHAAAAANKDKTKTDHYAVLGVKLSAATTTDAVRKQHKALCAIIFKELKNMTYHCIMKECVTEITVFTLGFYLLYTMQIL >KN541238.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541238.1:15486:16386:-1 gene:KN541238.1_FG004 transcript:KN541238.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRAFALVFFAIAAVGCTQLTTADVDAAPPVWQKAHATFYGGADASGTMGGGCGYGDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPRWCKPGVTVTITATNFCPPNWDLPSDNGGWCTPPRPHFDMAQPAWEKIGIYSAGIIPVIYQRVPCIKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQTLSFRVTNMDGQTLVFKNIVPSRWKFGQTFTSKLQFK >AMDW01025215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025215.1:93:197:-1 gene:AMDW01025215.1_FG001 transcript:AMDW01025215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLDMSNSYNVTHVGVLSMVKAMPNLLELNLSYCSP >KN539249.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539249.1:52018:59605:1 gene:KN539249.1_FG001 transcript:KN539249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHETSMAIKGLCRIFSGKRGWPQSSRISISSASGVNMPMFMGVRMVFVMVLHCLLGYSLEVMEEPSKDNGQSSCVVDPELEPMMLDDAREGVSHTLDDANGHSSMDVDRGCHSMDTTRSSLGDDGKGKRDSYAQIPIDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDPSNRGPGGWRHAIIKFGRVQLEEPVFWSHGCDIDEQSLKLKPRHARLQNMTYSSKMKVEVHFQVYSMEKSDKAKTGNDKFGYKRNIINETYYINIGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKDELQGCGGKLYSRNLCLSGKLETPTQKVFIAQEQKCLTRIWVEDRPCWMVSFLSPIRRRRIYIKLIDSANNEDASGGKIISISFLYANMPIWLMFFALGISSDKDIFDVINMEDCDACVINTITATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPSISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERLMVKALQRDLNSERDLQEFDHYLDASIITNGLNRAFSTGSWCHPYKRNERCAGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVAQPLIDRFISCGMNKLHEIPTEEVPRMDKIFLNGDWVGSCSDPASFVLRLRCMRRSGLIDPQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGSSYSFQWLMQQEIIEFIGVEEEEDIRSAWGIRHLFESEEEAPMVKMNKAEDVFNVKRKIGGEVLGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCIGRSEYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKGGPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTYQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGAESVLNGRTGERMHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHVCQTCERVANVIMRPVPGGKKIRGPYCGFCRSSENIVRINVPYGAKLLYQELFSMGICLRFETEVC >KN539249.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539249.1:101433:102023:1 gene:KN539249.1_FG002 transcript:KN539249.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRISVTDRPLTAKTAYDLSFSKLVDDPFAGSIWDNHAQVKCKIFLWITHKRRIFTNERRARRGLATSACCPFCNFDEDVEHLFLRCSGVAAIWHAFGLDEHQIASLPRLEDVWDISPPEHFVTPRIWRTILLAAIWNIWKRRNNKVFNSLDDSAPSVLRCCASDIDLWSHRCHNVEGKQQLRIWASQLFVISS >KN539249.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539249.1:25410:34949:-1 gene:KN539249.1_FG003 transcript:KN539249.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRGVLAMVVVVVAVVWCNNVARAQTPVFACDASNATVSGYGFCDRTKSSAARAADLLARLTLAEKVGFLVNKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSTLVPGATSFPQPILTAASFNASLFRAIGEVRACNNTSHFLFFFSSMSPFSICIALHNLHCDFRSRLVRCDLTVVVSTEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASNIRNENRGSGRRVAITNVCGHVFTAHTRVPIRVLVNLQVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADKDLLSGVIRGDWKLNGYIVSDCDSVDVLYNNQHYTKNPEDAAAITIKSGVPAGSGRHVPAPIQELRDRRQCGQCYVLLQQDTLCRIAIRLTYCTITNTTPRTLKTQLPSQSNQVNGKPTCADKDLLSGVIRGDWKLNGYIVSDCDSVDVLYNNQHYTKNPEDAAAITIKSGLDLNCGNFLAQHTVAAVQAGKLSESDVDRAITNNFIVLMRLGFFDGDPRKLPFGSLGPKDVCTSSNQELAREAARQGIVLLKNTGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNFGCSGNSLQLSAATQAAASADVTVLVVGADQGPVILVVMSGGPFDISFAKSSDKISAILWVGYPGEAGGAALADILFGYHNPGGRLPVTWYPASFADKVSMTDMRMRPDSSTGYPGRTYRFYTGDTVYAFGDGLSYTNFAHSLVSAPEQHCGSLSFDVHLRVRNAGGMAGGHTVFLFSSPPSVHSAPAKHLLGFEKVSLEPGQSGVVAFKVDVCKDLSVVDELGNRKVALGSHTLHVGDLKHTLNLRV >KN539249.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539249.1:67685:70607:1 gene:KN539249.1_FG004 transcript:KN539249.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNAAIGSIVAAVGVVAVVCAIAAVTSSKKDGNNGELTANVRLSTVCSVTRYPGRCEQSLGPVVNDTADPESVLRSALQVALEEVTSAFNRSMDVGKDDDAKITKSAIEMCKKLLDDAIEDLRGMASLKPEEVTKHVNDLRCWLSSVMTYIYTCADGFDKPELKEAMDKLLQNSTELSSNALAIITSLGELMPAAKSNGSTGAHRRLLGLQGGEAAEGVSLRELLAVHDKVQELTDVKDVSRHLLSETLDAITEMSHDAGRRLLGVTLTEATDDSNDSGLPGRRLLSMSFHNADHEVHGSDHRRLSMSFHDADHHELNEATTAAKGQLDKIENGTLHSDAIPERILGDEYRATPHHRLLTTDVVGTIDDIEHERHNQPKPREFPSWVSAHQRRLLQAGTQKPDKVVAKDGSGDFKTITEAVNAVPKNSPTRFVIYVKAGEYNEYVTIPSSLPNIFMYGDGPTKTRVLGNKSNKDGVATMATRTFSAEGNGFVCKSMGFVNTAGPEGHQAVALHVQGDMSVFFNCKFEGYQDTLYVHANRQFFRNCEVTGTIDYIFGNSAAVFQSCLMTVRKPMDNQANMVTAHGRTDPNMPTGIVLQDCRIVPEQALFPVRLQIASYLGRPWKEYARTVVMESVIGDFIKPEGWSEWMGDVGLKTLYYAEYANTGPGAGTSKRVTWPGYRVIGQAEATQFTAGVFIDGLTWLKNTATPNVMGFVK >KN539249.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539249.1:75768:77559:-1 gene:KN539249.1_FG005 transcript:KN539249.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >KN539249.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539249.1:17322:20780:-1 gene:KN539249.1_FG006 transcript:KN539249.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPRRPSTARVPARFAVEEFAQPLVLGSDRRSCGAKLKVSCSRKSAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDRSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHNDVTTLTPSAIAIEALLKMESFLTEKAMVNTRGRGWTVPTEVQRC >KN539249.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539249.1:103964:109833:-1 gene:KN539249.1_FG007 transcript:KN539249.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVHDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAAKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIHENILYGKPDATMAEVEAAATASNAHSFISTLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMTGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSSGAYASLIRFQEMAQIRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAVGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFIVGFIIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSYELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTNVRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVTIDGKDIRRLNLKALRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIKAAKTANVHGFVSQLPNGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSDLVSRPEGAYSRLLQLQHHA >KN539249.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539249.1:120788:121571:-1 gene:KN539249.1_FG008 transcript:KN539249.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVPMATAPSRLPATVAMALVSLRYPGLVCSVNPPILFRHHHHLISAEFVTRFRFGLLGKQISSPSAAIRLTRINSATHFDVLRLRARRTDGEDDVSALPEIDGAASALPCTASTASPRSCVAGFPNTQSAFPNTRLSLLGWILKLVQERFSGDPSLLSSLFVLLIGGWQTAYFKEAVERRIKGSMRIPPCILTIFTFCAKFEKLLESHDVKTQSEKLPEPREAKSQAKEVKN >KN539249.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539249.1:125990:128101:-1 gene:KN539249.1_FG009 transcript:KN539249.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATMASSLRCCPSAGALFRRPVGTRPGPDRARTHCPDRRGGRKNLSEEDATYFSLADSDPPGKGREEMDAMWHALVSGPLEPVFRVLREIGAAGNLFRCRSFRQLCNMTPFLFVDIVLGYMFYKLSILAAELQRNGRANNICARIQLVLLFVLSFKDSRGYQGTYGVLAGLIWFLNVQLYFRTVYYEMVGTNYIRLFWLGVYRILQSKGGLIKVAKGLIMYGCKWKKEN >KN542952.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542952.1:768:2956:1 gene:KN542952.1_FG001 transcript:KN542952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FKALGKLMLEVGLMLAHHCDRYVMQQGVGPYDGESLEQTIASSRCHKGRLLYYYPRQFSKQEEGGSVSSWCGWHTDHGSLTGLTCALFTKNSTEIPCPDSAAGLYIRTRDDKVVKHIIQERVMCSALIGILLHKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGKT >KN539249.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539249.1:73841:75486:1 gene:KN539249.1_FG010 transcript:KN539249.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNSTEARREMVLADAGRRATRSFSLPTVDRQRLRWKAVSMLSSLGISQGRRSGAFKYATTSVEGMMKSENDHAGQALLHVQEAAAKRVVIKPCTPTLTPPNEPEVINAWELMAGLEDDPPTPPCASHEPPAVTPQWMQADMDIPIVALDFDPEILSGFREALADTSPSEPTSCSVTEEEEQPAQPEKRADACDAPTSLATGDMPEKRADACDAPTSLATGDMPELSGIVRARINAFQEKIERRSSKGARDAKVAHLRPPGGDKKAVVYFTSLRGVRKTFVDCCSVRSILRSYGVRLDERDVSMHAVFRAELAELLGPGGFACAALPRVFVDGRYLGGAEDHSTWEDQ >KN539249.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539249.1:41717:44073:1 gene:KN539249.1_FG011 transcript:KN539249.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVHLGLPGPWAEDYREKADHYTTKIGGVPVHAPVAKFNIENRTIYVLVCPKPKCGTNPQSWKVLRVQKCHTSAQTDGKVDETDQINGNVCSSEPSSSSLLNKSHEVSDDDFDLDALANALEEAATLASNSKKQNKPKRSNAPIKCPVGKQKVDDPSLPVLPCFYIYYGKEQCRDKGSVGSNSSESVLAKEIADVANDEEEKWEGENYEYDRAIGADRTFLKFKKRLDAYPQQCFRYSYGGKPLLAATNLQDSGTCQLCGSPRQYELQLMSPLSYFLHEAGDGSSDYAPDGWTWLTLIIYTCSKSCCPSSCVGKPGSCCWGVAEEEIMIQDD >KN539249.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539249.1:693:16638:1 gene:KN539249.1_FG012 transcript:KN539249.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQYALRGGELASLNPMTKEAIHLFHLQAASSTASFSVQIHGGNWKAQVTTMVCSGYLFEEGAATEGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFALRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFRLVSYLILKIRFIFLELHIEYRELYGKFRDVLVPEMYLEQTRRRVLIMEWVEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKDGTFQIDRLESLLTESLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPQLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTGENEGDSTDEISLVLYEMRSLPEFLPVLSVIPELLSSHTNAVLLTFLNAASTRVPTAVSPPASRFN >KN540072.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540072.1:66599:66853:-1 gene:KN540072.1_FG001 transcript:KN540072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVTIAKHGSLPGRLNAVLAIKEAVSRDGAFVDLADDKVDEVVDALLVIIKALIRLQATKAAMVATYHLTSSDERVAARVAY >KN539249.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539249.1:86184:86598:-1 gene:KN539249.1_FG013 transcript:KN539249.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRSRRAGSSVPSSSSSRSSISEDQIVELLSKLQALLPDQSQARNGAHRGSAARVLQETCSYIRSLHQEVDNLSETLAQLLASPDVTSDQAAVIRSLLM >KN539249.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539249.1:116403:119014:1 gene:KN539249.1_FG014 transcript:KN539249.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATIGMMDSAYFVGRGEILSWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRLSKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERSLKGSNKSSKSLQANRLSSANSSDGGPCVGKVNVISEEHYIEQIQQLSEKIAGLKISMDSAEKERDFYFSKLRDIEILCQRPELEHLPMTKAVQKILYAADARDSPLPEANEIITRSPSMFPDEA >KN542952.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542952.1:3884:9419:-1 gene:KN542952.1_FG002 transcript:KN542952.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIYSPYVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQQWIGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLAHRMGSEHLAKMLSKHLETVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLAMENVKKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYINMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >KN540072.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540072.1:2672:13515:1 gene:KN540072.1_FG002 transcript:KN540072.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKIQHVLGHFQKDFEGGVSAENLVILSGKNFLTGSKYGGYGSFLPTYQRSPPPLPQSRSPPKVANVGTSRSPYQQSAESIGQYPSTVANESISRNNGSTAPSSGDLCKREICSSTNGEKDSVACSDSLDSSFNGSDQKTLKVRIKVGSTNTLARKNASIYSGLGLDISSSSSMEGSPDGHEGQSPVCSNVPYESPRTILQIMTYFSVPGGFLLSPLHGNILKLTNKVTPLVNKWETNLDLKNVPRAVDGHSELSLSSGHVKAHVAKKMKPDGKKKKSIDTETRKDANNTSAILGKEANVEIPGSRVILSDTHDITCSSGAPTTELKDVSQFTEESTKDARSKQQMGYNDLGTVKSEAVKTEVTKHIEENSSFDSSGNGCLAPRGKVKLKASKVDRTSEDMNISSHKFSPYDRKKESKVKPMRTFEPAMDDFEGNVNKDWGAGSSDDLKTIHDKETFASERTVEDNSRTEVKRMQKEHKANFAAPSSFLEDGNFTHSSVLVNDGTTDSHLKSNHFENKSKAKSHKDLSENLPKRSIGNKEGASLESVSVQGQRKEKMMNSDNEKELHITGPAKKEIPSSVKHGTFSGSEEQQLHMPSNGGIIPGPANAASLPAPVLIEDNWVCCDMCHKWRLLPYGTNTSMLPKKWICSMLDWLPGMNRCDISEDETTNALNALYVTQIPAAGVSSGGPHTAHASVAASSTYNISGQLGQSRKRKNALKDENCYEHDQQAPAKMTLTSNQQAPAKNREVVDSEHYTNDRDPVSTHDLVPQSKSASERHKSKHKSRSSHSDGGDLTEKSKKHSKSKNRRGIDRDEHKTSKKTKKEDRHYFNKDWKNEYDLAGNEVRDETKALSAKAKMSKDSCEQDEFSLRKEKASRFDILEKTKRINDDDVAFHEKMKEHRAGIETLDLSGKKKTVKEWEDNWLSSMDHTSKGGDNENLKERLSKIKKSEARPEEVQDANALFSSAGRRQDNELVADNKFVTCKEGPSELWDNQPPRQVLNLAEPTRRDVACLQSSTVATSSSSKVSSSRRNKNSREAKGSPVESVSSSPLKNSNTDKISKARKTGKDGELNADSSILHTPMKYPTHEVGLLHTGQQAAGEAILRGSTNNSGMGRVDNQLYPGDKKILDMHGPTLQPDQQDCFNPRATADSTGHKSKNSAPSRQGRNGSSNLISEGNKQIEMSSRKEKLRPSIDNQDMQKSIGQDNHSHLKEGKSEVHTTRVKPDASKNHTQLRSNVENGDSASPIRRDGNMVAFALKEARDLKHKANHLKEKGLELESMGLYFEAALKFLHVASLWETPNLDNSRSGDVAQSMKMYSETAKLCSFCAHAYERCNKMASAALAYKCVEVAYLKAAYYKHPSASKDRQELQSVVQIAPGESPSSSASDIDNLNSHGLSKALSTKGGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDVNCAFDATRKSQVAIASAASSQERGKTVDDGLASVRTVLDFNFNNVNELLRLVRLSMESINT >KN539249.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539249.1:47768:49681:1 gene:KN539249.1_FG015 transcript:KN539249.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMTNLWFHGKEHIAASPFPQVATSMNFTCLGSSKTIEASKKMFQQSNQSLSIPDDGCRLVLGLGPTPNLHYADNESSGGNRDKESANLFSQHFAIADHGLKLGILRGGTRNFQATTMIEKYSHQNRNGIVFPLTDEGSTSAKRKPGGYVLPLLFAPRSDDICPNGTPPVTDIQHVETVDDDDDDHITSLNQQKVRISPEPSATTDCSFAATSDMIFSSTSTEQRSHQRHPKKCRFNGCSKGARGATGLCISHGGGQRCQKPGCNKGAESRTAYCKSHGGGKRCQELGCTKSAEGKTEFCIAHGGGRRCGTLGCTKAARGRSGFCIKHGGGKRCRVEGCSRSAEGQFGLCISHGGGRRCQYPNCSKGAQGGTMFCKSHGGGKRCIFEGCTKGAEGNTLLCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKVDGCDKSAQGSTDFCKAHGGGKRCAWSTGCERFARGRSGLCAAHGTLMASKQVPESEHSRGMIRNSLFSKMVSASSMTAGTSMDHAISSSLPGASSDRGESLEEMRNGKLLIPHQVLVPGSMRPSSSHGKGQEDGGSQEQQCFGFVVPEGRVHGGGLMSMLGAGGNLDDPKA >KN540072.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540072.1:46547:57476:-1 gene:KN540072.1_FG003 transcript:KN540072.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRYSDIPIDDVRKARVQEISAVDKLEYLHSILASVLPVLKQIYSDQCFEIGVDTKAYGLRTDIIRAKVNPDEQMCCDTSNYPRSDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSRTSVARGEYAEGRVVDRSKDTSNKRARMEPSAESANDKSVPRRRDIKNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKLVLRRIFKINWISKLVKNSEEMVNGCKVHVLENGCSSCNDGRTLELTGHRNFGVSTCSNNGGIDRFCVFSPVLENLKSEGIIHFRKHWIKGEPVVIRNAFEPSLSSSWDPLNIWRGIQEIMDEEVDEDVIVKAVDCSNQAEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGLLNLSAKLPPDALQPEVGLKLLIAYGRHQEAGKDPVRRFVLSSTRVKVHMLMHTAKGHDVCPKRLQPERSEKIANGMTMHVNAHAPVQNLNVDMGEQSPDHVSSKFDESAHASALRLQEKSSDAKLNCGFEGSSTELSCSSHSEEPKVNGSERSQAGAVWDVFCRQDISKLNEYLTANWEELAASSQVKNPIYEQSVYLNKYHKRILKDQYGIEPWTFQQHIGEAVFVPAGCPFQVKNLQSTVQLALDFLSPESLGESAQMAQEIRCLPNDHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLDLKFKDHNLTQAVSENLARVTKQRNVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISSMLLVTAGGIAWHAFDVLQGVMSSAPEIIGNVSHGHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALIGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYDSVLELVDAAVDPSLLQPIKETILQVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIGSLQPLNQNAL >KN540072.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540072.1:38783:43107:1 gene:KN540072.1_FG004 transcript:KN540072.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAILIGSSHGALFDAMLAPYCCRQYDAICARVSESFGARKYILDAKRWCIIGKNMESLEPEDGDGHQSDATDDDLPPRICTGKAYQAEIPNLATEDERRQYMSNTTDSCMALGYDCPIPIMWTSPSEFNKKEEEIQKQHSSETKAIENSRDGDSQTTSICPTSNNTSGQCSTSQDPHPELPDQIVSDSHQAHDDKLAPCSTQEGLNFTDKAMADQGEIEQFIPVPNSSNSIWSDQEAELLLLGLYIFGKNLHVLSRFVGSKTVGDVLSYYYGWRRQELISRLKSKIPKEAHDLLDEMFKSFNDSQTSLMDFVFHLKSVVGIETFVEAVAIGKGKDDLTGFVLDPSKPNQVLSVQPGMPAGKDCSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSELTKGTHYFDSVSDVLKKVVADPVLLEIEVDEMGNGVNAEKNGFDTAMKLNQDVPFDGYHELPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLRHTHNMVSDSSSDEHDTDDRSSDYKEGYAGVTADENGTEMVSSKNADNESQVDSFRNMAATSGSVFPVNGHSSNGNGDTIGATSFFPQKTKIEKRKYLSPVTKRRRLTSCSNDQTSRRSFSFSKGPGLEKEKVKLPSTSSKPTAIDVGGSFQSKSLASCSGKEKPCQQIKDASNSRANDRSNEKMNVAKPNEKPSGHKVDTLASVHSKTAVEDTKPAKGVAQSSDLVANQVKLETPQDDKTVTIAHAPSSDNHGSILKNKETTSSSNTEIVHDAPEATRGGPANPQPDLQASSQAMNPRRQGTRVRPPTARALEAVAFGLLGSGKRKADSTGSSRPRQRARKSTKEAASVSTSSDTEKSSMDSGARQ >KN540072.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540072.1:16330:25945:-1 gene:KN540072.1_FG005 transcript:KN540072.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSIYLVNFSAVKAENKYINGEIFLLQCADQLPHKIPFFGVLIGLINLENEDFAKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVGMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLISNLSIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRGGDPNVNSAARDPEATTMEIDNENGGDNDSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >KN540072.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540072.1:62620:63200:1 gene:KN540072.1_FG006 transcript:KN540072.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQDRVKFLTSLWGNYSIPKDNPYTDDSDLELEVWALGLRNPWRCSFDSARPSYFYCADVGQ >AMDW01036744.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036744.1:142:411:-1 gene:AMDW01036744.1_FG001 transcript:AMDW01036744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMM >KN542262.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542262.1:11213:12970:1 gene:KN542262.1_FG001 transcript:KN542262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVSGWAAMDESGKIVPFVFKRRENGVDDVTIKVKYCGMCHTDLHFIHNDWGITMYPVVPGHEITGVVTKVGTNVAGFKVGDRVGVGCIAASCLDCEHCRRSEENYCDKVALTYNGIFWDGSVTYGGYSGMLVAHKRFVVRIPDALPLDAAAPLLCAGITVYSPMKQHGMLQAGAAGRRLGVVGLGGLGHVAVKFGKAFGLHVTVISTSPAKEREARENLKADNFVVSTDQKQMQAMTRSLDYIIDTVAATHSLGPILELLKVNGKLVLVGAPEKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDICGVHNITCDIEIVSTDRINDALARLARNDVRYRFVINVGGDSKL >KN539015.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539015.1:103269:104156:-1 gene:KN539015.1_FG001 transcript:KN539015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDERRPESLDHSQVNDVAYLDEATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHDRQAKSFTAGALAFDQGSSIFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKNVAWSWSDAGMAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >KN539015.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539015.1:58848:63991:1 gene:KN539015.1_FG002 transcript:KN539015.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPARETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGSPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQLTTKFGNGTPTSIVVCMHQPSFFMGWVGHGMLHPELDMPFACSPSLSVANKMSCSCGKVPPTIDVFGLPLIVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLAEGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEVIGINNEEKVLYSVKLHGSVVVTKNT >KN539015.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539015.1:3581:11850:-1 gene:KN539015.1_FG003 transcript:KN539015.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVGGGDPIGDPNFEVRERVRYTRDQLLELREIVDIPEAILRIKQEIDIELHGEDQIWGRPESDVQVQTQTQAQPHNRYGETDNRDWRARTVQPPAANEEKSWDNIREAKAAHASSGRQQEQVNRQDQLNHQFASKAQVGPTPALIKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMESGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGAESLRAEIAKLTGPDQEMERRDKERIVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGPDKKACPEEENVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNLQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAIKTLGLRPGATGLTRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGTPGMPGSRKMPGMPGLDNDNWEVPRSKSMPRGDSLRNQGPSLNKPSSINKPSSINSRLLPHGSGALIGKSALLGSGGPPSRPSSLMASPTHTPAQTAPSPKPVSAAPAVVPVTDKAAGSSHEMPAAVQKKTVSLLEEYFGIRILDEAQQCIEELQCPEYYSEIVKEAINLALDKGPNFIDPLVRLLEHLHTKKIFKTEDLKTGCLLYAALLEDIGIDLPLAPALFGEVVARLSLSCGLSFEVVEEILKAVEDTYFRKGIFDAVMKTMGGNSSGQAILSSHAVGSSAGAAAGACAGPELHRRLALSSLGLGTCSPCHPLGNRECLLGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVYDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >KN539015.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539015.1:144361:154974:1 gene:KN539015.1_FG004 transcript:KN539015.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MDQGSSSSMSSCSSSTTASTGPDQRRLSAAAPTFDPSKASSSSVAATTAGVPGSSPAMAPLLTSARMSSGTSASSITSFDPAGSSASAPARSPRSGLSASAPAFYPTTASSSSTPVMPAVPGFLPQIPEPTASCSDFPPEMLWHHPCGEQKIKGGRPDLLGRDFGLLAEQKRLIQRHLDLKEEMHLRHNKEIENAFISETRLAPGVEQDLLGDCKKAVLVQQDQSGKEEESHQCLRQLQDVNGEQLVGVPKLGLPGAEQNVACNNTAAHKEFSEFPGPDIKNPLKIVVNWYTKFCLETPIRPLVSKNMLKDFQSSRKVLAVVGICHLPSSPDLGRVAADFVDAARSYPSALASRCFAFCPTDAQLVQKKRDNIIMFPPSDQQSLELHMLTMIQDLSASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVHTLGVPSILTSVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMAESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRGQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKTSKMDHGLLKSMLHSFPLHPSQREIVKRNPNKKEWWTGGGPSGPFIYTPFTKGGTSGTSKQEVNWIVGEPVQVMVELANPCSFDLIVESIYLSVHSGNFDAFPVSVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANVALSGKNQDSVISIAHNTWKSALPIKPGGEVTFAVTLRAWHLSPTDLEADGSRSPANSRRIAREGSNPFLDIHYAGPSGNSESNDVSLPPGRRLVVPLNICVVQGMRLVRARLLSMELPARFTDAHLRSVSSKDNLSNGSDAIRNDISLLKIDPYKGSWDLRLLELELFNPTDVVFDVDVSVHLDGTSVEQKILPEDKTASSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPLGSRAATLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQTALQASIMDILLPDPLTFSFRHAKDGTTAKTDSSKEPGDDSSRSADESVLRCKDPIFANEMTRMEVQIRNNTKETIRMNLSISCKDVAGENCFDENSATVLWAGVLSDIYLEVQPLQEVVHPFSIYFLVPGDYSLQAASVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTA >KN539015.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539015.1:512:3003:1 gene:KN539015.1_FG005 transcript:KN539015.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIKFFGFSPQDRVIGASSMLTLALHRKLGIMPAILALTAQSAGAAVADVTVDALVAQNSITHQPLAADMQSLCGFSSSVGALLGFSISGLLVHSMGSQGALGLLSIPSALVFLAGVLLKERRVTDFDYKQVHRKFYKAIQSMGATLKCAEVWRPCVYMYVSLSLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSIGSIGSLLGVLLYQCSLKDYPFRGVLFWGQVLSSLAGMLDLIMVTRLNTRIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNVTRTEFSNLWVAVLIRNLSRLLPLMLLFLVPQSDQNSMLLPAEMLQDNESTEARKGGQDTAEFSVLAADDSSCHALSVAVEDERIKVVDAGTGTVELIPLMNELQDRGS >KN539015.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539015.1:155820:157233:1 gene:KN539015.1_FG006 transcript:KN539015.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELIIFGEERGAQANPSAGGSSVGEEALVREGVPGGNGVDVSDDEMEEARGSDSRRYSFLVWLSNLEEATDDLPVIPSGTDILGLINISLLHLLNEDFLERGWGWKRLVPYSRVEWANYKRYLEEYFIHNAGEVAALCASRHPYQGGQGIDSGCNDLQAAAKLLLINFLNPLKCMSHLSHHLNEHSNKDNKAKPDNHLEEQELQGKPSPVSELLKCAGFYALRKLEKVVIEIERNEARLEKIGNVARAALTRMNNGVTLSQEEMKSYLEEIIRLASI >KN539015.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539015.1:139770:140617:-1 gene:KN539015.1_FG007 transcript:KN539015.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENASSTNSIKLELRIYMHCKACERSVRRAIEKIDAQSILPEISTIYTYAGVEKVEVERGENKVTVTGGGDFEPEKVVRRIRKKTGKKVDILALEEEEDDHEEDGGGGDAQAHHEFQRHGYYVPYYHHRHHHHLVPVPCAYVPSCYDHLVPVPPPENGGGGIADVAHEFQRRGGGMGHYGYYASCYYDGGGDGAHEIQSPARSEWDLHGFDDENTQACRVM >KN539015.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539015.1:117727:123894:-1 gene:KN539015.1_FG008 transcript:KN539015.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSALIKKELLDHSVPDIKLAVASCLTEVTRITAPEAPYDDDVMKDVFTGVVEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNTHQENVITSMQTVMKFVIDESEDAQQDMPSCLLQDLASYLLKNLKKEEKETRPSSFELAEKVINMCSEKLKPVFIPLLRGTPLDEYSEVVTSLFEDDLDAGVADNSDAPGKDTVADGKLSHKIVSDESAQESSKLEQDANCPGKDGTPPNNTSTSAVSNGCALIDRVKSPSGPSSSDKKAELPSDDNQAKDSDNLISGDKEIPEPITTEPEKPSDHNLKKNHKLDTSTDSEVVDHSKAVNNNEDILVSGELSPETDDGDNKLPPETGNRAVDDKSKHVDNTPSGKGKRGRPPASKSHEKKNVGKGKVSGLESKKADTVSDSGGRATRRLAKDDDFKSSLKKTGEGESSKKKQKENLKQQEDTPPDEDTDEDLSLKDIVSPKSSAKTGKNKGQSGDSGGSKRKRAQEAEETPQPKKNKILKGNLVGSRIKVWWPDDRKFYKGVVHSFDVASKKHRVVYDDGDVERLHLKNEKWEFIDEGQDNNPDASSDIGKQRGTDVADPPKKRGRPKGVRSSNTSPNDDSPLKGKSAENDDEDISKTPKSGSALKNEGGRSSRSTGKTKDGLLKGSSKDETGNTKSASKSKNDGGSKHKDSKDEAKSSGSNPKVLPRGTYEACSLKVFGMSSLAMYMASIPLAGCLPSLALIDRHETAGSCSSCSGSCNVGVTFVPCGQL >KN539015.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539015.1:46145:46876:1 gene:KN539015.1_FG009 transcript:KN539015.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTILFYCVALSFVAAAAVASSAAEEAEGPQDEAGRFLSEPCPVEFEQVKGFGELGAKCNDKQTMKECCELFKKIACPYNHLLNDITNVCANEFFYLIHTKGKLQPGTILENCNEGPMGIKC >KN539015.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539015.1:29515:31101:1 gene:KN539015.1_FG010 transcript:KN539015.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTGDRKEKRNVGFKIPKKKFRFCVRDEYGTKALAEPQVMIKSTSLWRELELRTESRMILSFFYYQFKDILTWPAFMQNVHMFNKLHATLNDILKMYKSRRDDIGLFYLAPSMRSLRYTVRSPLYDNNEFLKRLRRNKVLKATS >KN539015.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539015.1:73079:74000:-1 gene:KN539015.1_FG011 transcript:KN539015.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >KN539015.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539015.1:106240:111295:-1 gene:KN539015.1_FG012 transcript:KN539015.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEQPSSSSSSLEAKYSIPLEESQECSWAPIFVTQSNSRLPTDPTVPIIMIGPGIALAPFRGFLQGFINEDELNNFVDSGALSELIVAFSHEGTSKELPRFGASFLRVVIFVCGDAKGTARDVHCTLHTIVQEQVNDVAYLDEATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHDRQAKSFTAGALAFDQGSSIFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKNVAWSWSDAGMAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >KN539015.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539015.1:127636:133228:1 gene:KN539015.1_FG013 transcript:KN539015.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 2 [Source:Projected from Arabidopsis thaliana (AT5G17410) UniProtKB/Swiss-Prot;Acc:Q9C5H9] MDPAPATPRWNLERPYLTGRFHQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNAFAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKVPNDLEFLAYLNSIVLCIFIRLEYWESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHENLTCCVERSSLLKKLSTLKDLDCAYPSDKLAAADVDQPMPLSVTGLETFCLSYKGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVIQIHDFFLQKCLKECLLLLPELLVVRLLALLYTEVFVAAKIEKLKALCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >KN539015.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539015.1:138423:138710:1 gene:KN539015.1_FG014 transcript:KN539015.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEARERSEAAISKEKKLTALWEQRARQLGWQDSRPRVTTI >KN539015.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539015.1:68264:71405:1 gene:KN539015.1_FG015 transcript:KN539015.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRPLQVPDISKSTHSGGNTVLAYASSAMQGYRSTMEDAHATIENLDAPTNTSFFGVYDGHGGSAVARMDEMLRNQAASKELTEYGSGNEYWRTAGRSCLRCAPCVQGPVYCGPLAEGCTACVVLIRNTQIVVGNAGDARCVISRNGQAIALSNDHKPNFPEETQRIVAAGGDLSYKNNKKLRPEQQLLTCSPEIRADQLTHDTEFLVIACDGVWDVLANQAVVDFVRLHLNNGVELSVICESLLQEAITRDPPSTDNMSVILVRFLHPEGNRGARAATSSTSTGTVPSRHSKSISL >KN539015.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539015.1:35167:38273:1 gene:KN539015.1_FG016 transcript:KN539015.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTRRKPAWVAFGHPGASGAVVLDDGRELDLGAEGMAWWSGDGRCAGCCKMYPEMAEEVTITQTVVMGIAPSKGYAH >KN539015.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539015.1:75865:83973:1 gene:KN539015.1_FG017 transcript:KN539015.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCELPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPDAVELEADAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLEEQALQGMGNSGFGSSFSAPVSPVSSPPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLNNGITNDLFDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVDLFNVPHAFEWTLVITGVCGLVIFCCFIWYFKKRSVQKLHWKFIVFQLCWHAIVHTFSLLVSTKDTCRSYRDGVASAANGRAYTFQAYVAADKHVVAVVITGCLIATKIQKLWCGNRSLSFIAPLRNFYVRSVGVLGRVVGRGAVAAMSGANSRGRLSPASGGGGDSEPRSAGSAGSRTRSVSATRGRKPSPRPGRDAAAAEEKKPTAVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTLCQTDHKIVSMLEREVGLASANDVPGLKRRCSWVTANTGWGGDGSREHFVVTNSKKLAVEMTISLEPCYAAFHDEEWGVPVHDDKMLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGSNTTVGSETNSDSSNRATEQQMNGTNGLAADIARTIDELSIS >KN539015.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539015.1:158178:160062:-1 gene:KN539015.1_FG018 transcript:KN539015.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQVNLVAGYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >KN539015.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539015.1:43358:44022:1 gene:KN539015.1_FG019 transcript:KN539015.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIGRATIFYCVALSMIAGAAATQVPPTEAESVEAPVCPVRFDKMKGPANELGKKCKTTGVKVCCEAFKTFACPHNKLINDVNNGCADEMFYTIHTYGQLPPGTIFKKCLEGPHGMKC >KN538983.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538983.1:82533:85844:1 gene:KN538983.1_FG001 transcript:KN538983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDNQPMDPAAGDEQQYVSSSLFTGGFNSVTRAHVMEKQASSARATVSACMVQGCGSKIMRNGRGADILPCECDFKICVDCFTDAVKGGGGVCPGCKEPYKHAEWEEVVSASNHDAINNINRALSLPHGHGHGPKMERRLSLVKRNGGAPGEFDHNRWLFETKGTYGYGNAIWPEDDGADSVPGHPKELMSKPWRPLTRKLRIQAAVISPYRLLVLIRLVALGLFLMWRIKHQNEDAMWLWGMSIVCELWFALSWVLDQLPKLCPINRATELSVLKDKFETPTPSNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVDKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHEIEPRNPDSYFNLKRDPFKNKVKGDFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEQQLEPIKIPKATWMADGTHWPGTWLQPSPEHARGDHAGIIQVMLKPPSPSPSSSGGDMEKRVDFSGVDTRLPMLVYVSREKRPGYDNNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHTTLWSCCLPRRRRTRSQQPQEEEEETMALRMDMDGGAMNMASFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRETLDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTNRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQLGDDVDDEFAELYAVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAQANSQLGGSFSFP >KN538983.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538983.1:147513:149166:1 gene:KN538983.1_FG002 transcript:KN538983.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >KN538983.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538983.1:49428:60171:1 gene:KN538983.1_FG003 transcript:KN538983.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKNGTEVWRAETPMQQVEGRNCLPAEVRIGLETLKRRRLERMRLTAQNNAGDGPPVSARSGGDALRTPANCGVRLHANNGTALPSGTTQNKDPFAKRRVDKFDMSSLEWIDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLTKEQPGFKFMTRVQPLRLAKWAEDDTITFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFEKVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDICLDKEISGFDSYKQAEKNEPFFEITRNLRNTEANLIEDAFSGATAADAAKSSPATSTLTSFAQHDVPVLAEAIVCANQADQLYSTTKQTISSPLVKGTDAVGANSSSMADANNGTGSCNASAVEYSGNSDSESEIFRVKRRSGVSVKPASDAKTSNLSDQQVLRRLKKVRPEIQQHNKRPEDYGHCSVPSGRMSMKNLNSSSSCGEEHWRMKRRQLETQQDESSYSAKQKSYSYPSTSYSFRGEFVEMSRDAAAEQCLQFEAHLGTFTDGGGEVELRLDIGKLGIENSRDVFVDVDDTSLLVRAKSDGTLRTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGHVRTVVATLGGKQGAASRFDKWQYLHAGFTVWLSVSDASDEASAKEEARRSVSSGNVAYAKADVVVKLGGWDPEYTRAVAHGCLVALKQLTLADKKLAGEVSIIIQLAS >KN538983.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538983.1:169835:171367:1 gene:KN538983.1_FG004 transcript:KN538983.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAVDPNSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRLISGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >KN538983.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538983.1:12737:15356:-1 gene:KN538983.1_FG005 transcript:KN538983.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLLAYKIIRRVFFADSDDPAHLADLDSAHSDLCFALASRLEKLYSGRCFVGLRIPDPDAGERQHVDLVLLTNREVMVVAIHNISGFVEVDKDGNWTCPSDKKHKHDVIPNPVLQVNRLAANLQSYLEKRGAKLPDGHITGKVVLPNPNCRPSYAITLQPEVILYDQWKDLKADSKGGLSTWIKGAFSGSKGDMQDSLLQNLHSILSTSPMWDRLELKGDRNILGEFIEFKGRHDDIQALKCLKRSKVCRFIVQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEILFQPLHSKKVKKFKLSSVASVTLSA >KN538983.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538983.1:120455:123030:-1 gene:KN538983.1_FG006 transcript:KN538983.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRGVLNGIREKGLSNFLRHARDEGYFKCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGLSRPGFRKLLALPLVIVAGNVGGCCGWMWKGDSDILGMSWQLLEQNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAT >KN538983.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538983.1:149894:154044:-1 gene:KN538983.1_FG007 transcript:KN538983.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRVGGGTNRPAWLQQYELVGKIGEGTYGLVFLARLKQSHPHAAAGVGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNLPINPYTVKSLLWQLLNGLNYLHRYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQAGEKIVQYPVRPVDTTTDFEGTTSLQPTQAPSGNAAPGNQSVVPRPIPRQMQQPMVGMSRMGGTNMAAFGAAPQGGIAGMNPGNIPMQRGAGPQSHPHQLRRKADQGMGMQNPGYPTQQKRRF >KN538983.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538983.1:8587:11573:1 gene:KN538983.1_FG008 transcript:KN538983.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRESNSELEEEKKSVCVMDASGPLAHALVARLLRRGYTVHAATYPHPHHHPEEEYQQHPRLKLFRADPLDYHAIADAVHGCSGLFAIFNTPSSSLSQSHSCFLDEEEGMVEAEVRAAHNILEACAQTDTMERVVFNSSVTAVVWRPQPEEDDDAALQLDENTWSDLTFCRRFKAPVQVADYSLLMNCVRKKKNCTLWHALAKTLSERTAWALAMDRGVDMVAINAGLLTGPGLTAGHPYLKGAPDMYDHGVLVTVDVDFLADAHIAAYECPTAYGRYLCFNNAICRPEDAAKLAQMLISSSAVPRPPAPPSDELKVIPQRIHTKKLNKLMLDFTSGVYGDIN >KN538983.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538983.1:126902:127900:1 gene:KN538983.1_FG009 transcript:KN538983.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSLFWQSSADQGDLSDVVRASLQLQTAPRHQAASPPYVHLLGGGGGGGEDQLAAVSQHADEQQQQQSMVDASAACDLLHALLPPPPVGQQVQQQGASRTRTRTIEEDTTGDGEELFAGAHYVVPPIKRRKSQTKKVVCIPAGASGGGGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRADPTMLVVTYTSDHNHPWPTHRNALAGSTRPNSSNIRLQDTTPVHHQTQTGHDHLTTTHLKQEDVIISPSLLQPDHHQLCTIIDTKHHLLFHQDYPHSFGFFD >KN538983.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538983.1:98841:100957:-1 gene:KN538983.1_FG010 transcript:KN538983.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSHSRKRTRAPSNSNSNSKPTSQTQPPPPPELTDDRPVRVYADGIFDLFHFGHARALEQAKLLFPNTYLLVGCCNDELTNRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYTRKDLGVSYVKTNSDAFCYLHGFAAFASNDMLGYGFLLSFYQEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGINHEEWLANADRWVAGFLEKFEEHCHNMETAIKGRIQEKLRKQTSRGIIGGLMQQPVAA >KN538983.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538983.1:115089:119322:-1 gene:KN538983.1_FG011 transcript:KN538983.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPWVPLLLLLLPPLLPCFLFPALGGLLYGYDIGATSGATISLKVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRDIMESKENATRCLCRLRGQASPDLVSEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQDLIGTGILFSGFGVIAVASLVFIFFIVPETKGLTLEEIEASL >KN538983.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538983.1:157994:161124:1 gene:KN538983.1_FG012 transcript:KN538983.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGRPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTPAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVVFVFLVYTSSSKLGSPRVVYDRLMAVASAARDCSADLSRNGQACGPVAGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVLGFGCFMGVLAVVLNVAGVSLGWMYLAMGVIVGSAVIPIALLLLWSKANAVGAMGGAVSGCALGVAVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLLRPQHYDWGTSREMITTVESVHADAALDDELKEERLVHAKRWIVRWGLVFTAVIVVAWPALSLPARRYSLGYFTLWAAVAIAWGTVGSVVIILLPVAESWTTITKNTTRRVMGLNLLGTRNQNWICDSSLPVSVQAC >KN538983.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538983.1:172165:176997:-1 gene:KN538983.1_FG013 transcript:KN538983.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding ERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGRGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHTPSRGSKSSSSTSTSTSRQLITKARLLAESVCGVHMDPFFRRASSDPLCLEDNSVQHGIERCPFLRNINEPTSFSFSSVNFPVPARGDKGPIFEDGPNFDMAFRVFHGQDGVVPLSQGSFERFEKPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNQKKPNNLPQNGGQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAVVAARAALSRTAFAKGLRPQPLPTKILVMALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAKVAAGSQGDASTRMSLKTGRYTDDVQFWDPLALRVESTIGAGTPVLVPTFH >KN538983.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538983.1:168211:168732:1 gene:KN538983.1_FG014 transcript:KN538983.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTAAIHFASQKGHVEVVRELLASGASVKAKNRKGFTALHFASQNSHLELVKYLVKKGADIAAKTKGGQTALHVAEKDDVRDFLKECEQSLKKGGELPSEKKDDSVSTIAEKPDDDKSSGEATKDEDEAGFGEKRKSDGIAAALRSPELKKAKVSLGHLISANDMEEEEEAD >KN538983.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538983.1:31724:34570:-1 gene:KN538983.1_FG015 transcript:KN538983.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWSGLLVISCMLLMSWAAAAAVDMSPVRFDAAYMPLFGGDNLVPSPDARTVLLKLDRFTGSGFVSKSAYHHGFFSASIKLPHDYAAGVVVAFYVCLIPTFFNFLNYDRNLQVNSYLSNGDVFPGQHDELDFELLGNRRGHAWHVQTNMYGNGSTGRGREERYLLPFDPTAAPHSYAISWTPAAVIFYVDGIPIRELVRCSSGDYPAKPMSVYATIWDGSAWATDGGRHKVDYAYAPFTAVFSDLVVTGGTDDDDHCAAVGLMTSEVAVMTPAKRGSMRRFRSRHLTYSACYDMVRYNGSGVVFPECDESDRDNFHAWGESKRVINSRSMSSSDTYATGSGVRID >KN538983.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538983.1:16500:19650:1 gene:KN538983.1_FG016 transcript:KN538983.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASKPKASSSVPRVARLQQVRPSSAASTSNNAHANANALLDKSSMDIPKPERRSFKASRATTPDIADANADKAETLAAEVSRLQSELETKAEEATAIVTKLESEASGLRAELQNAEASRKEEVDRAEQLLHGLKVDIAYAKRAEADANLAAQEWKTKAESLQARLHELSSLNKSNEDSLQSLTSTFDECKSMLQHEQSQVVQLKEKVSSLEKEAREYKECFLETNRRLDVATKESHQLQATIDRLTSQHKLLNEAHQQVVTNEKTVNSQISLLSQDKIRIEQELDGARDERDKAKKAVEDLAAALREVSSEAREAKERVLAKQTELDSAQLQISELKAEMKNAQDRYRLMLDESKSEVECINKTVEKLGSEAKISNDEWASKEAGFVEMIRRSEEEMSSIKSEMSSLMVSLGAAEKQVQELKAERTQLLDKLKQSELTNSEGSSISSTGVQQTADESESTVRLKDLVSSKEKEVLALNDEVTDLRLRETVALAKANELSKLLAEATAKKAEEEEAAKGTEKSKVLLMKLEMDKLLGSLKAAEQEANAAKDDKAQLQAKLRLLESKMTEANLTAEEEKISSLRLKETLAEKEEELLSIAREYDGLRTREAAAQAKIDELSSLVAEASTARKLAGEYSANGVAAIRSPEKQHNMFRKMICSPMDNVRDDVNSSNRRTQEDEIKHVEVETVIMKQQQQQVIVKRGKEEASAMEVKTLENSKIIEDDISKHRDDDDNESSDDEEIESQGDDAAVEQMNGLLIHGPTSSFNKEQHNQHKKKKALLKKFGSLLKKKAHFTKLNSHAKLVS >KN538983.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538983.1:69705:74523:1 gene:KN538983.1_FG017 transcript:KN538983.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEELILHIRKEVEKGKLPADVAANLEELYYNYKDANPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGSGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGAASSDSAISLSQPWR >KN538983.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538983.1:89796:97419:-1 gene:KN538983.1_FG018 transcript:KN538983.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVVSLGILVAADKLLEQAFAAASIKFPSALFAMFCVFALLLLLPPSLANGFMAFFDPATVFIHRWLPLFFVPSLVVLPLAVRDVSPASALKILFITFGGWFASLVVAGYTALSVRRIVKTQLIPAEPMKRPSPFGPLEFWAWAAVFVASFAVAYVSPTALGTTATTCLPFLLASTVFGYILGSRLPSGVKKVLHPIICCVLSADLAAVAYGYLSRSGVDAVLGDYLTESPSNPGAGDILMGFLGSVIISFAFSMFKQRKLVRRHAAEIFTSIAVASTFSLYSTAILGRVVELEPILTISILPRCITVALALRVVSLFEGVNTSVTAAVVVLTGLIGANFAQAVMDKLRLKDPIARGIGTASRLYWRGGIYPLVLQLFDQMPLWGFHPNAFARNVVLDVLLRTRHHHSALCFLRDNPSPNYLTYAILLTHLCRSRNWPGVRACFLAMLHQGFLPSSASLNSVFACCSKLAATSELLQLLGFTLVSGYQLTSAMWTCLIARLCREGRLDEAIRMLAKMLGSGSPPTVVTYTPIVRALYRAGRHDIASELFASMSSTNCSPDLVLHNVLMDCMTKEKRYDAALGVYLNLHQSQMKPDAYTLSTLVRALHLSQNVGLLPRLFLDSADIPYDLVACNSVLNALCKSGFPSQAVQFFINMIKCDVRPDSYSYVGLLDSLCQLGRIDHAINVYHSIVSSDPDSNAYVHAAILHGLVKKGHNRLALMILNEAVRQNYALDAVCYTVVLHGLLQAHLIEEACMLFDKMKRSGMASNTCTYNIMLRGLCRIRDTHALKWFLREMECSDVEMDSISYNILVVFLIKFQHISSATALVREMVNLGMVLSAKTSSLISQSMGHEYVLEDANIAENDHSDSTNDLLACSAS >KN538983.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538983.1:107793:112940:-1 gene:KN538983.1_FG019 transcript:KN538983.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPDLGVSAFINILGAFVFLLIFAALRLQPINDRVYFPKLYLTGQRRHHPHPHGSAVRGFVNLDLCSYFRFLAWVPGALRMSQPDLIHHAGLDSAVYLRIYTLGLYVLLLACVSYQSRPALDLRLKIFLPIMTVALLVLIPVNVSGGTLLNLRKEIVFSDIDKLSISNVNPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKKKERLQNWLDYYQLKFERHPGKRPIGRTGCLGFCGREVDQIDYYRARISELDKKLASERQRVLNDPKAVMPVAFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRKFLISIAVFALVFFYMIPIAFVQSLANLEGIEKVAPFLRPVIDAPVVKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRAASKYYYFMLVNVFLGSIIAGTAFEQLNAFFHQPPSQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLAENLPSLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDSLERTSEPNLNLKSYLQNAYLHPIFHMFEQQQEQEQEQQREEKVEVRIDKAQQQHHRQQVEKEEEEEEESKSSQATTHYYHHHHEQTTTTTHHHYHQHEHMSHYHMGPSDTADSPSPPHFVYHYGVDP >KN538983.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538983.1:102461:105452:-1 gene:KN538983.1_FG020 transcript:KN538983.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPAEIAARLRDTAPSLVLASTHNAAKLPPLTAPLVLVPDTFHQQHDDDQFDFFFHALLETDPETPVEVGVGVGQDDAAAVLYSSGTSGRSKGVVVTHRNLIATVELFVRFEASQYTRPARDNVYLAALPMFHVYGLSLFAVGLLSLGCTVVVMRRFNVDDAVKAIRKYKVTHLPLVPPIMSALLRANPPLELDSLLQVSSGAAPLNHTLIHHFLHAFPHVDFIQGYGMTESTAVGTRGFNTCKHKKYASVGLLAPNMHAKIVHLESGSCLPPGSYGELWLHGPAIMKGFCFVAGYLNDDDDAFTRKDGWLRTGDIAYFDSDGYLFIVGRLKDTIKYKGFQIAPADLEAVLIRHPEIVDVAVTSDEDEEAGEIPVAFVVRKSGSTLSCTHVMEYVAKQVASYKRVRKVIFVEAIPKSAAGKVLRRLLKDSLVDASSGRSRL >KN538983.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538983.1:143241:145017:1 gene:KN538983.1_FG021 transcript:KN538983.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNMMGSRGRDEKKKKRWKWKLGPATILGFELLESIAFSGVALNLVVYLATVLHGTLAFNAAHVDTWNGTTFIVPVVGAFLADSYWGKYRTILASLLFYLAGLVLLTVSAAVPSLRPAPCTGVPCSPATGTQFSVFFLALYLTSIGTGGVKSALLPFGAEQYERDDHDDEGAPAPEKTKQSFFSWFFGAINLGIFVAGTLVSWVEQNVSWALGFGIATLCLLIASAAFLAATPCYRVRLPTGDSPIKAILRVLVAAFRNRTRTLPPDADGLGLYEIDDDKNKNGGDDEKLAHTEGLRWLDKAAVRVDGGGVWEVCTVSEVERVKVLARIVPIWVTCVLYAASLGQMTTTFIQQGMAMDTRVFGGRFRVPVASLVSVEVVFMLLWVLLHDVVVMPVARRWWRSGGLTQLQRMGVGRVLVVVAMATAALVERRRLRGEKPRSMSILWQVPQFVVLAGSDVFSGIAQLEFFYGEAPGSMRSICSAFSFLALSLGFYVNSLVVTIVAAVTKRSDGSGGWLAPDLDTAHLDYYFWLWALISLANLALYLLLAARYKSKKPSPPLNSSHP >KN538983.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538983.1:61290:64175:-1 gene:KN538983.1_FG022 transcript:KN538983.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAAGCADACNISKLHKHPANNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQNQLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGDGNNAYIIVEPNGTQEMNGHFGASESSAESSNFESSTDDVSSPKRARAERSVTGNKTGGAKETIGENEPHALLPIHCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVSQDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDKMLISTIMRLQDKLPPMSRHEAPNTWAKMMAKP >KN538983.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538983.1:23308:23505:1 gene:KN538983.1_FG023 transcript:KN538983.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGPRIPVPGSGDSAGKLGVSGPGCWKPGDSSGYGDLGVGESRLRKPLGEGSIPGDGTGGGGGG >KN538983.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538983.1:162207:166573:-1 gene:KN538983.1_FG024 transcript:KN538983.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSFDEYLLDCPRVFHATFPDKNRSQRPSDIFIAYYVTYNKITKFLDFLISFPFLSFNNAKVPNCHALQVASSMAGSEDLSGLAASLGELHVESSASKDSEISQPGNSVDDDAIADDDVWDDVSDSPGHGSTLDREWVHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDSLKEKLLPNVQCRGQLQELNNSVQEISAEDALQMFHESILQSGHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELRGGS >KN538983.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538983.1:78631:80335:1 gene:KN538983.1_FG025 transcript:KN538983.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVNALGERLKVSGAEVSRKMSAGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTGNVNSIELIRAIKRRIMLKNPRVQYLALVLLETVVKNCEKAFSEIAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSAPSAEPYSAAAQEGYQEIPDESFAPVHVVPAVQVNEAFEVARNSVELLSTVLSSSPQKEALKDDLTTTLVQQCQQCQRTIQRIVETAGDNEAQLFEALSVHDELEKVLSKYKELKEPVVAEPEAEPAMIPVTVEPENSPRTKDGPAGKRAGSGADELLQDLDDMIFGKKGGTSSQQDRKEQKDDFISF >KN538983.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538983.1:133087:136673:1 gene:KN538983.1_FG026 transcript:KN538983.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding METQRRSQEVVAGGEGQWPGAGDEVRRKRAASASNQHYTKPPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTIAISTVFYLIGLLVITASAVIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLVVATVAFLAGTPVYKVQLPSGSPLKSVVMVFVASFKKRKLEVPADSALLYEGDDDDLSNGQSVKLAHTDGFRWLDKAAVVFEEVEVEEINKDEGGGGGGWLQCSVTQVEEVKILLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMIWVVFQDTVVVPIARRYTGNPAGLTQLQRMGVGRLLAVPALAVAAVLETWRLRSVRDGGNLSIAWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSLVVSIVAVVTTTNGKGWLPADLNHGHLDYYFWLWTGISAINFVVYAAFANNYTVKRLAVPHSH >KN538983.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538983.1:27726:29129:-1 gene:KN538983.1_FG027 transcript:KN538983.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSVLEEEELLPKLQDNISFLHLLAIPNILDPRIQKMVIADALPPPPAQAEENHLGECNRALVFDDSPGKSDLSNAASVVSSSSLAFQDSSSTDRSPDDDSSSAWSIQVNASSEKGDEDTFTDQDPEEEEEWLTEDEDEDECFDDLCEGMSKMSVFDDEEEEDKKAGLPAFQGKHTRFIYDSEGEMEREDVAHVPVENCTMVLRGLPVPEGKHLRFHEVEEDEE >KN538922.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538922.1:92471:93247:1 gene:KN538922.1_FG001 transcript:KN538922.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELELPEFNPRERVKQQISVPFLWEVKPGAPKKDWAISNPVPSAISCPSPAKLVVSVPFQWEEKPGKPLQDASPFHPLFDHADFSVSPSSLNPFLAESEEEYSLGFDLEAFGFPDSKEASGTAECAGGSSRHGTWYSFSETEAYSNSSGDTSALDFQFPRAPSEKSWEVANDDDQLKNPWSPPKSTFTLEELMMLSRKLCCGQGMPVDVKKKNLSLSSTVTPPKSTLNFVSCICKYSYQTFFRSEIRMSVAILNLQA >KN538922.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538922.1:160813:166500:1 gene:KN538922.1_FG002 transcript:KN538922.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAADLGAWKNTRQQYSPSSPISLGSSVFSESSMELSTTTADGSTANAVLAAIVASIGNLLQGWDNAAIAGAIMYIKNEFNLQNDPMMEGLILAMSLIGATIITALSGMITNSIGKRPLLSVAAILYSISALIMFQASNEYMLLLARLIYGFGSGLVVTYAPLYISETAPTNMRGLLNTLPQFNGSLGMLLSYIMVFLMSLTPNPNWRIMLGSLSIPSFVFLLLCIFYLPESPVFLVSKGKIEEAKNVMKRLRGTNEVSSEIAFLIQGLTVDQDNYIEDYMIGHDNDEFDDQSISNTETTKLYGHEEGVTWFARPFKGKNVVESDHSPIPSLLDPIVTLFDSIHGNILNTPEFTSSGNMSSDIEQPKTDLESQEDLDTDYEDDLGHPLLFHQGSYMEGIDDACVNGGWHMAWKFVQRENEFGQTQDDFQQIFLQGDILQAGRVAHATALVSTPSFHHSIGPAMVHPSKFNLSTEGQSWYDLLQPGVKQGLIVGVTIQILQQLAGISGILYYTPQILEQAGAGILLKWFNVSSSSSSILTSALTTFMMLPSIGIAMKCMDRYGRRSLLLYTIPMLIVSLIILIVVNVMNLEAMFGAILSTFGVIIYVCCFVMGFGPIPNVLCSELFPPSCRNRCMSICTLTFWIVSIIVTYAFPVMLSSIGLIGVCGIYAVVCIVSFIFVLIKVPETKGMPLAVIANSLAVGAKLSVKRNENI >KN538922.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538922.1:57993:60335:-1 gene:KN538922.1_FG003 transcript:KN538922.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYDEQSEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKALSPGECGSMAATQEAAVAAAVFGVSSQLDHGDDDKAALLFLNSDKRDKVDRWTGLLGSAGASGVDGDLGICVFDK >KN538922.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538922.1:9864:22334:-1 gene:KN538922.1_FG004 transcript:KN538922.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLGLLRRTHLLSRHASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMDVLYFDPRHSANGKAKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGAVPSSAISDEDEEISEAGNEDDQLEEKVSSSQVFDSEQQTDESQLKMEYEKRRAISQHKEPQASARSQHIVPRSEGRRSRSGKKGKKRPARRRSQQKTDELSAVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAESPMEITSETKLPTVLRRKYPDTLKDGFVVALRTKDNSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQVLYLYLYKLHCTGRYSQPKINRRMEFVFASHTFDVWESWMLEGSLLEGCKLINCRNSSYRNLVGTECMIVRVVLLDLTFNTVAPGKHRQPEIYQPLEENSAVTPCPHRRQQHYERTANNLSCPEPELNGREVLETLIGGDHFSEEEAEATLRLLLEEENEARIAAFLVLLRAKGETYEEIVGLAKAMIGCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEAFGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVNTLHDGVALAQETQRSGEAINTLESWIKISNLNMNLTPSGKLLIQSC >KN538922.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538922.1:137014:138226:-1 gene:KN538922.1_FG005 transcript:KN538922.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPHLASTLPLLRSIRTPPRRLPPAVSAVPPRASGVVLRGFRLPDPAARKFLCFENSVSLHTDHQKQVLFAKQQSSSDDNSSSSDGPPVLTILAGIIVFLLVLWVMGSLITWVAGLVFGAAKS >KN538922.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538922.1:170880:173609:1 gene:KN538922.1_FG006 transcript:KN538922.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVRSATGSYHCERSLNRNLGIDLLTWRVLAPPPPIDSPTVALSWVTRPRRIIGFHNFSFLRQLRADCLASPLVLGSNNLLVTSHVLVHSGTKCECHLECHFWSSNYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALIVAHKRRGRAKAD >KN538922.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538922.1:1519:9248:1 gene:KN538922.1_FG007 transcript:KN538922.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MSQHAVCCGAMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIVFFPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALEMTQKTAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGSPNNIIGLILVKNLITCQPEDEVPIRNVTIRKIPRVSDDLPLYDILNEFQKGHSHMAVVVRRIKEAGASIEKTYSDRSDYKTNSDRSDYKINHRDAHADGLSPSRVSIAGSRRSNIEKNGEVRLYKKSEKKRDNILDFNSGPLPSYSLDQEAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPTISPSNGPHSQGLRRTPMTSPLSSYHHGGSILRSPASNNPQSPGTLPTIISPERSPASQTPSRSSPNSTQASSELQQQPAAAAGMWVPGMSPQAVDAGLSARAQEIARRREEMLGMLRDLPESEYELSLTDLVEKAGGVGVAPPSPSPSEGKVEPGPARTASGRQSEQQQQQAAAAGRPERRGSARRERDGETVRCWSLLWDRRWRKSSRGDHGNESAIRAAILKAAKHNASSPKKI >KN538922.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538922.1:138967:142469:-1 gene:KN538922.1_FG008 transcript:KN538922.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/Swiss-Prot;Acc:O48520] MERKQAEYSNLVSFSFLVLDSPRFWFWAEQWRLGDSRTRVTTVLGLEEGKDCIIVGTLYKNMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAYVTDEDKYVVFVSGLSVGSDKFNPLQFQLLIDHITGHLGDENTVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGSNDPANFSLPQQPLHRCLFAGASTYNTFSSCSNPHQFELDSVQFIGTSGQNIDDLYKYSDAKDKLEFVERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETQLLQESYKEAESSSGGLATFTQHRKKFTNDEPVKQLHTLLVEAQFKELGVQHVEFLNKLRCLTMLYLSGAQGCLKDVLVVHQFF >KN538922.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538922.1:106661:107911:1 gene:KN538922.1_FG009 transcript:KN538922.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLVAAVVVLVACLALPAARGLNITAMLNGYPDYKMFNKYLSETKVCDEINARESITLLVLGDGPMSTLVLDADQSLAGIKNALRLHAILDYFDPKKIRGLPDADTMTDTLYQAGGDAAGKMGNVKISTLDTGKIAFASANPGGKYEATMVKAIKQMPYKLSIMEISAPIEFDGLFDTPSATNLTRLLEKAGCKRFASLITSTGVLKTFEDAMDKGLTLFAPNDDAFDAKGAPDVKKMPSADLVTLLKYHALPSYNPKPTLKTVSRAMRTLASTASGKYNITVDTRGDAVTLNTGVDKSRVAATVIDDTPCR >KN538922.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538922.1:135008:135573:-1 gene:KN538922.1_FG010 transcript:KN538922.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQGGGGAVSVQHVARASSDELLRKFADPDAHSKQITPPRRSLALRRKRWPSCD >KN538922.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538922.1:174176:177477:-1 gene:KN538922.1_FG011 transcript:KN538922.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRALPETPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHKREKKAMGEALEKIKAELMLLGFISLLLTVAQTPISKICIPESAANIMLPCKAGQDIVKGLKGKKDHRRRLLWYTGEEESHRRSLAGAAGEDYCAQSGKVALMSSGGMHQLHIFIFVLAVFHVTYCVITMALGRLKMKKWKKWELETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIQGFGTLIWISFVPLVILMLVGTKLEMVIMEMAQEIQDRATVIKGAPVVEPSNKYFWFNRPDWVLFFIHLILFQNAFQMAHFVWTLATPGLKKCFHENMGLSIMKVVVGIFIQFLCSYSTFPLYALVTQVKPFRQKSLVNALGGLNSNVLNLSVCLQMGSNMKKTIFEEQTMKALMNWRKTAREKKKLRDADEVRSEDPPSAPASPGFAGEARDMYPVPVAPVVRPHGFNRMEPDKRRAASSSAIQVDIADSDFSFSVQR >KN538922.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538922.1:191380:191759:1 gene:KN538922.1_FG012 transcript:KN538922.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPATARLHSKAASKQRMDFADFSGLRPGSCSISHAARDASFSDVLGSQLVARYFDITNCRINHAC >KN538922.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538922.1:49433:49752:-1 gene:KN538922.1_FG013 transcript:KN538922.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGARLVAERRKRSDGGADGGGVAGRGEEVGGEATDEGSSVGSREARSHGCGGRRCGDCGREGGAREDAEGG >KN538922.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538922.1:23676:29301:-1 gene:KN538922.1_FG014 transcript:KN538922.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMIVENEITATPELRELLHRFLSLNSSRHHHLILRAFADVWNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIIVNCGGADAAVSLANDTGLSSWVQNPTIKALCAAFPGQAYASNATLKCSRNRSASCPVAFTDKYCSLVAFTDRCMDGTSPFMRALKKATMLYCCLCD >KN538922.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538922.1:81292:86002:-1 gene:KN538922.1_FG015 transcript:KN538922.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDPPSELLVTPKTARKDEAASCNAATPPKPSPVSPEEMRAVARKFAEQPVQNPDDGVWAVLTAISKNARLRPEGMNILLSADEHYIGRAVQESSFKISSLQISGKHCKIYRDTVLGELNRNEPVPVFLKDSRFLVLLVILSYTSFAFVYREVNAVSRAENEVTILKRKSATLQVMIYVPQFVFDLKEDIHSERKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEEHVVTIETLRTQIKISEAQHEKELKELKEITSSTYVDQAKSLQQTLEDKQKQIDSISTSNTELQNSIKDLDERLSAYKQSRAEADEIIQSQKSNICELEAQLSEERDLRREERDKAAEDLKSALHKVNAEAQEEIKRQAEAHLRQQREQKEVISKLQESEKEIRLLVETLRSKLEDTRENLVTSEKKARELEAQLQDEQLVSANNQKKSDKLEMDLRKVKKELEHEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTIMGDDLNKVPLATVTADDARTRVNYSKNTMEASGASTENTQASEQSSGDDSKETEQQDDFTRVEGANTQEVECNSPETATERFRSDSHGDLAATAPELEPTDTEQVPETESQAGNVGCGDHNSALQRFSEMGGDTMQLDDEVQPQENDESILICKDRGQPQGNEEASLTLKDGIGHYSEEKLELNCSERKHEDTQTRTIGTADLLASEVAGSWAVETGPSVNGENESPRSLGETTDHAGEQDENVRGSSAADALVNSDGQAAGSQSNIDHVISKITDHHRVLNAMIEIVDPDFRKQLPGSGVGKDDLMSDAETEEGSEANDTDSDSEEAMVEDSVG >KN538922.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538922.1:95786:102608:-1 gene:KN538922.1_FG016 transcript:KN538922.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) UniProtKB/Swiss-Prot;Acc:Q8VYR9] MREKFYGKLVTNGSGNSSGDGSIAEMFGRVLDKEFSDSDTPEAPDKSSFNNSISDHQAVLETVAVITHDKKKNDSEQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLIGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIVLFMFLCGLTAVLCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQAACIIRIYNCCIYDDLVICSSILETDDSAIIAVHSQYHTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNELPLQDKATMLEAYNRSL >KN538922.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538922.1:127512:129894:-1 gene:KN538922.1_FG017 transcript:KN538922.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYYKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPELDDNALNEVMKKLFKNYKKWCKYLDRKSSLW >KN538922.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538922.1:109698:124644:-1 gene:KN538922.1_FG018 transcript:KN538922.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTKKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQAILDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLIKFAFSYYVEIKPLVEPTKDVMKLPIHTFQWHEFFPKGLFHGYTNLVYNLLHTAWWNLWCFPTTWRAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQAIEIMQWPPFLLASKIPIAVDMAKDSSGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINNIFAEVEKYIADDKVITDLNMHALPDLYNKFVELVKYLEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSHQRPEGTMTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLDLLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKCLYVQYSHGALVKLIMSISICSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVDWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVGEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQKWIKCESSFCDPVKLQHLHFYVFKVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLAWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSVRFFIYQYGLVYHLNITGDKTILVYLISWLVILVVLLVMKTVSVGRRRFSADFQLFFRLIKFMIFVSFIAILIVLIVILHMTLRDIFVCFLAFLPSGWGILLIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >KN538922.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538922.1:159129:160384:-1 gene:KN538922.1_FG019 transcript:KN538922.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHLLSGQRLLLPIPCAPPPSPAIKPSPLGLRPFTKSSSSMPLHLHAVSPATVATDGSSTSAQPDSWAEFASRVSGEWDGFGAEFTAAGDAVELPENVVPEAYRDWGVQVFDWQTQCPTLADPAAPCDLHYRLVRLLPTVGCEADAATVHTSHQRHASSASAFAYSAAGSYVAAWPRGPAPVLEVEHCVVHPDNREVRVRLVQTVALAKEARLRGVKGKFAGLTPDEPGERLSRDDGDGIVTLPKQLWSSFKENGEDEFVCEVGWVLGHGSAITSRLSAEYTAKK >KN538922.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538922.1:79032:80781:1 gene:KN538922.1_FG020 transcript:KN538922.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIGKFNFTAGAAAAAGNGVALGPNRFSLEFGSGEFTPSEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQSEATNLSAQLTMMQRDSAGLATQNNELKFRLHAMEQQAQLRDALNEALTTEVQRLKLATAELGDSCSSRSLAQQIQLNAQNQMFQLQQQQATQIPFYQLQQSQQNGAAKNNESKE >KN538922.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538922.1:35194:36067:1 gene:KN538922.1_FG021 transcript:KN538922.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKVVSTLAGIDAISMDMASRKMTVIGTVDPVNVVSKLRKASWPAYIESLGPAKEPEKKKEGGGDAKKDGGDGKKEGGGDGKKEGGDGKKEGDGKKGEAAAAAKKEEGGGEKKVAAVAPMPMPMHQPPPPYMFNAGYMNQYRPPPPPPPAYPYAPPQYYYARDMSMEENPNPCAIC >KN538922.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538922.1:181726:190493:-1 gene:KN538922.1_FG022 transcript:KN538922.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP44 homolog A [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/Swiss-Prot;Acc:Q9SHL7] MLQSKTFVKKTRAGRVQKVVREHYLRDDISCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQGVELGIPEADVLRALLQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKAIEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRLSKKKVIYSEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIHGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDEEDVHLAPNTADDAPRKANPTQSTAASSADSVSSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPDRQDLRHVRVFSVDPPVLDSGLLDIADVTNFVHPGTPLDEEASQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRNRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKNDDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALEIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTLIYFRTRPMDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVTKPGTNVSYAVLQTVMIHMEHFSQMDKPAECDEIVEWNFCSVAQNISDMNTVDQSVRAKEAIRNDDHHTAETKERMPLWSRWVNIGRLAYRCSWRGHR >KN538922.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538922.1:88046:91976:1 gene:KN538922.1_FG023 transcript:KN538922.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MALERIIGRLRVHGAGIAGKLTPSLARLASLESVSLFGNGLSGGIPSSFSALGPTLHKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFSGEIPASLFDPCLRLRYVSLAHNALTGPVPTAITNCSRLAGFDFSYNRLSGELPDQLCAPPEISYISVRSNSLSGAIAGKLNACRSIDLLDVGSNHFAGPAPFGLLGLVNITYFNVSSNAFDGEIPNIATCGTKFSYFDASGNRLTGPVPESVANCRSLRVLDLGTNALAGDIPPSIGKLRSLSVLRLAGNAGIAGSIPAELGGIEVLVTLDFAGLALVGDIPGFLSQCQFLLELNLSGNQLQGVIPDTLNNLTYLKLLDLHRNRLVGGIPVTLAQLTNLDLLDLSENQLTGPIPSELGNLSNLTHFNVSYNGLSGMIPASPVLQSFGSSAFMGNPLLCGPPLNNLCGASRRAKRLAVSVIIVIVAAALILIGVCIVCAMNIKAYMRRSKEEQEGKEEDEVLESESTPMLASPGRQGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSPHTFSGSSSGVGLSWEQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKDFEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPVESPGVATAVVLRDYVRAILEDGTVSDCFDRSMKGFVEAELVQVLKLGLVCTSNTPSARPNMAEVVQYLESVRTNS >KN538922.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538922.1:154963:158889:1 gene:KN538922.1_FG024 transcript:KN538922.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLSHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQQACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGNNVGLGWQTMESSLNVALRDAPQCQAAEATDELVSAQTRIGGGDVKFEPKLQFAKPGEKLHRSVPRNSECGVLYYF >KN538922.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538922.1:38996:44289:-1 gene:KN538922.1_FG025 transcript:KN538922.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) UniProtKB/Swiss-Prot;Acc:Q9MAM1] MELIKVRIILLESKSYKTTTHTKSHVELSLQNNSAVPVTIISPSTSKVTKAASVSTTNTRVAITILSNCNVTIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEYVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLICKAKVSCPHWFSSGAKKFIKRILDPNPCTRITIAQILEDDWFKKDYKPPLFEQGEDVSLDDVDAAFDCSEENLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYNNFSSELKDIVWKSESDAKAAKKR >KN542795.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542795.1:5414:7193:-1 gene:KN542795.1_FG001 transcript:KN542795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVITHLKCGGFVLGTHICHCIADAFGTLQFLKAIVDIARDEAKPTTLPVWEREHFVATSLPPNIKEEQEKLFDELENTTCDDIMVTMPAENMVSEYFTISQRDMIALRRHVPFNLTKTVTSFELLTAVLWRSRTMALGYKPCQIVRLMITVNARGRWKKLPLGYYGNGLLCPVIEITVNDLCTNSLGHTIELVRKAKHEMKTEENMQLMVDLLPLWREKPYIKVERIFETCDIKWIGQDTLDIGWAKRIGGGIPTVSLPDMASYQFMCKNEKGDHILVFRTTNAVMHLPLVVKRK >AMDW01038704.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038704.1:73:564:1 gene:AMDW01038704.1_FG001 transcript:AMDW01038704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRILKCRDDNINSLDDVIKQHVEACTDQPNWDEDDAVAKIQAPNDDIASTADGFQNILHSNNFVRHSEGKDSASGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSEGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >KN543427.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543427.1:3895:7163:1 gene:KN543427.1_FG001 transcript:KN543427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRKLTFGSGLPPLQGQCAAAKVVRGHDPHPYLCRSSCSLCAEVLNYVVSVYDVWRDGVLSCHVNEFSRIRNFPLV >KN540856.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540856.1:30866:33340:-1 gene:KN540856.1_FG001 transcript:KN540856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARNALIVFTLLLVAAFCFVASAQDPQANKQGCGGGGGGGGGGGGGGGGGGGGGIDGVARASVRFVIAFVVADIKIFQFTKQRGRGREAANGTTSTSSGSNEVCVICVAFFHINSPTPTSSMSAHLITLLHAAALRATIADHLRRQRPCAAWSDRAGARQDRQGKERRAK >KN540856.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540856.1:2974:5536:-1 gene:KN540856.1_FG002 transcript:KN540856.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIVIKVHMGSDKCRRKAMSLVAATGGVVSVELAGDDRSKVVVVGEVDSVKLTSLLRRKVGPAELVEVGDAKPAKKEEAKKEEKKPEPVPPYYYYYPYHHYSPYGYIICPTGLLMAALSPNNKLRVKQKSLESLWEDMLLHWPLFIACPERWRWMWCFWAGRLRLPDKDGWWWLQELLLLLLVGGLNELLQLVSWLNLHMLLLVEALGGKLVGWLLRLLLIEALCGNIFPSISSVAYLRQIVTRQGFSRRHPNSCMFKASWTMETFFKSPCSSIQVEDSIRVEYRPSSRLLSIGLQSVLLRFDGELLDNILLSPVKLKKNLRLNNKPRIAPFPWRQPKGSPVCQAVCASSVEARGRGRRGIAAVPCRSRSLLLFGLSGRMFFLLQLALPSEWMMLFFMSYLLWRCSGDAISFELCL >KN540856.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540856.1:15442:16191:-1 gene:KN540856.1_FG003 transcript:KN540856.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAIIVFALLLVAALCFVAASAQDPQTVFAESLPIVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPEDRGATTASASAAAKPELASYSSNH >KN540856.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540856.1:13202:13468:1 gene:KN540856.1_FG004 transcript:KN540856.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEGRTAQETERTKLGRRWVVQVLHAESAPPSSSFRLTPLGTGIGDERRQQGRGTMGTRGNEEFVQTPEEKRASGGCDWGRRPALV >KN540856.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540856.1:8287:8835:-1 gene:KN540856.1_FG005 transcript:KN540856.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIVIKVHMSSDKCRRKAMALAASTGGVVSVELAGDDRSKVVVVGDVDSIGLTNALRRKVDGSAELVEVSDASKKKEEEAKKKKEEEKKEELVYYHHGYGYYPSVYHHHPGYRPHGCPCGCNPSPDSTCSII >KN547625.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547625.1:153:275:1 gene:KN547625.1_FG001 transcript:KN547625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SASPYERMRRVGCRVLGIRQHATARDGSFNAELTQIETINL >KN540856.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540856.1:23376:23583:-1 gene:KN540856.1_FG006 transcript:KN540856.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAIIVFALVLVAALCLVASAQDPR >AMDW01033100.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033100.1:297:398:-1 gene:AMDW01033100.1_FG001 transcript:AMDW01033100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EELQFISPKRQIGLTSAGLLTTKINMDNFLDSQ >AMDW01019172.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019172.1:23:226:1 gene:AMDW01019172.1_FG001 transcript:AMDW01019172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELPLARYDTSNLVIHGYPELLFAKRSWIALNTGSFLLRNCQWSLELLDAWAPMGPKGRVRDEAGK >KN540007.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540007.1:59890:65100:-1 gene:KN540007.1_FG001 transcript:KN540007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRNSVSQIKRLLGRAFADPELQRDLASFPFRVSEGPDGFPLVHARYLGEDRAFTPTQLLAMVLSNLKGIAEGNLNAAVFDCCIGIPAYFTDLQRRAVADAAAIAGLRPLRLFHETTATALAYGIYKTDLPEKEWLNVAFIDVGHASMQVSIVGYKKGQLNMLSHAYDRSLGGRDFDEVLFKHFAEKFKDEYKIDVYQNARACVRLRVACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLQRVKAPLEKALAEASLTTENVHFVEVVGSGSRVPAIIRIITEFFGKEPRRTMNASECVARGCALQCAVLSPTFKVREFEVNDGFPFSIALSCKPDSENTESEQTIVFPKGSPVPSAKTVTFYRSNTFAVDVVSVDADDLQMAKKISSYTIGPFQSSKPEKAKVNVKACLNIHGIVSIESAMMLEEEVDVPVATTNETLKDDTKMDTDDALGDPASGTDENMQESKCAADATHGAAENGKPDSEEISAPMDTDAKVEPLIKNVKKIDVPVSGLVYGALGSEELVKAAENEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYNDFVMSEYKEGFIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEIRYKEWTERSSSINQLVHCINGFKEVASSNSQAFDHIDMSEKQKVLDECSEAEIWLIEKQQQQDALPKHADPVLLISDMKKKAEALDRSCRPIMSKPNPAPKPQTPPPPTPPTESPTTPEPQTPEQQQQSNGAGEAEEPTSEGGAQDQEPTAEQMDTDKPDGWAEPSA >KN540007.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540007.1:6927:13287:1 gene:KN540007.1_FG002 transcript:KN540007.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRTGDNDPRAHPTGDSMVHGPCRLVEDIVDTGNTVSCLIAYLEKKGASSISVCTFLDKPARRTANFQLVGDGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKAEMTPTAAGLLSPVISTSRTLIFLLVASLLSLSGVRPLPSLASPPPPTQQPQETEEQEQQQESEEKQQQQQEEEGVEAEVEEAWLRQDEEEEVEEKEEEEEEADDEVQMYMEILSRDPGDVDALKCALFAKMRRAEWGGALGIVNSKLQLAFLTLYMMGLALCMHKRSEGPTVFEMLEKALQLAISEERVPEERNIKLLIAQMHVVKGQLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDKKEEADELFDTYRSLVPDEFPDKNFISDVIQAARVESKDRLQKDFGSEFLSKK >KN540007.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540007.1:37994:38581:-1 gene:KN540007.1_FG003 transcript:KN540007.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSKSTVAAAGSNGADHAPRRSAAPVSSSSSEQCGGDGELGESVRRGCRVCLHLASLAFLACAFVQTARRARDDLWSLAFVFSAYAALVALFLVLRRAEQLTPESPAHERRRLQRAAWALSTLLSCLFAYRVARIMPAAMAAAVWAMTASVVAGGLYFLVLNDGGRLGSEEDSHVAAVDGKSSFHKIPADEIV >KN540007.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540007.1:27418:28287:1 gene:KN540007.1_FG004 transcript:KN540007.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGDAAASYARRPLEQREVEVVATASLTNNKLAVPAQERQTSPEPHARGGVIPVDCGASLSPGQGQGGARARRQQPLHHRRSPRSSSTSFASGESPCNLASMNSQARWLEREGNEVAEGRKEFGEDSNSSELVTIEGSPAVALHSEDSDSGDPRGVVPQRHSTAQGGLDGAVAVLCIAVQDGRGKIERGGEKEEKTLTSRLGP >KN540007.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540007.1:16667:17041:-1 gene:KN540007.1_FG005 transcript:KN540007.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPALPDPWDLAFVAAAYASLAALFVVLRRAERLTPGSPADERRWLQRAAWALSTVLSCLFAYRVARIMPAAMAVAVWAMTASVVVGGLYFLVLDDGRGSEEDCHVADDGKLVFQKIPTEEMV >KN540007.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540007.1:56235:56872:-1 gene:KN540007.1_FG006 transcript:KN540007.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTLRDAAVGFMFAAKDLIAAALTWLFYMICTHPHVEAKILDELRSCLGKDLGLSNIKVAAAAIVYNFTVELVAGHVVEPKDSVVLHTKNGLMVRVKRRETA >KN542389.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542389.1:5870:9784:1 gene:KN542389.1_FG001 transcript:KN542389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFVSASVGVMNPLLTKLSALVEGEYKLLKSVKKDIIFLRNELSSISVLLEHLSTKEDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVQKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRKRNQIDDFISEPSVVEIDPRLPALYEEVERLVGIDGPREKIIKWIMTKGRPLEQRKVVSIVGLGGLGKTTLANEVYKTIQGDFKCTTFMSISRTPNMRKVWVDMLKGLGSNGDVSEDEQNLISHLRGFLKDKSMYPEDYVIDRQELIWRWIAEGFIIEVKGQTREQVGENYFNELINRSLIQPVYIQYDGRAKYCRVHDIVLDLIISLSTGQNFVTIVHEQQHWSSFKKIRRTWFPSNGTDNRIVKEITNNCSHVRSLFFDSREPEQIPQFKKCHALRVLVLDGCMSLESQHINNLTYLFQLKYLKLNVANGTELSKDIGRLQQLETLIIHAGDVDELNIPSSVCRLQKLERLIVDYPVRLPDEIGFLQALEMLSLGRIKYSIKCLQELRQLTRLKQLRISCPRIYDFGGDVARFQRYKEAFYLTLNELGKNSLRSLHVTCSLEFSDTLMDSCCSSAPDLKELFIDGDAISKFSDRVVSLCNLASLRLCNITSIDQKVVDLLGNIPKLLYLEVCLSRGLGDGLTVGCGGFPSLKELMFRHSRLRWLLFEPGAMPKLQRLSIELFAQKAASNLGFEQSFVHLSSLQHLIVVLDCSDATTRDVKALEDAIRNVASIQTRCLTLEFRRFYEDRMIKEDEEEQFKGSTEVSGAEEHHIE >KN542389.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542389.1:12693:13553:-1 gene:KN542389.1_FG002 transcript:KN542389.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTRCVVCQRLDEDGGHLFFKCKEAKKLWREANLEGVRVRLVDLPSAREVIREITQMEEKLQITVAALLWTWWQERNRIREGERRRDADNLAYSALKQAEEFYNLDKTEEGTVTKPARRWQKPGQNQLKINVDGSFRPSDATGGWGYVIRDEMGTVIQGGAGFVAQLVDPFHAEVVAGMEGLKAASANGISHAVLESDSLMLVQALRGSNFRLAPMGGLIHEIKMFIDMHFASFSVVHCPRVCNKLAHEFANLGCNSSLPSCSWDGVPPGLEGLVAGDLAASES >KN542341.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542341.1:253:546:1 gene:KN542341.1_FG001 transcript:KN542341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IYGYRMSLWAEHIGVVEEGFNYPETMECMRRVRQIGEQNWERFVDNEVTEMRGHLMKYPVSVDRKGKVKPLPGCTSFPDMGGNICGSFRAIQENLTI >KN542341.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542341.1:16192:16459:1 gene:KN542341.1_FG002 transcript:KN542341.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRARWVALLVGLAAAAVVATVGASEGDADPLYSVARHLFVLPRFGDLGEACGGVCVFYVWFEELIACAMESAR >KN542341.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542341.1:6830:7153:-1 gene:KN542341.1_FG003 transcript:KN542341.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRRRRRAAAEAVAWCLALGVVALLLVGSVEKEEEEVVVVRGARLAAARPCEEIYVVEEGETLHSISDRCGDPYILEQNPHVHDPDDVFPGLVIKITPRSGRRN >KN542341.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542341.1:1175:3072:-1 gene:KN542341.1_FG004 transcript:KN542341.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRATGEPAAALRDALLEVGVTPDNGFTFDHVTGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVLLYATVSRILFKSQDGVPYPVAYGVVFSDPLGVQHRVYLRDGDKNEVIVSAGTLGSPQLLMLSGVGPQAHLEAHGIEVIVDQPMVGQGVADNPMNSVFIPSPVPVELSLVQVVGITRSGSFIEGVSGSEFGMPVSDGALRWARSFGMLSPQTGQLGTLPPKQRTPEALQRAAEAMMRLDRRAFRGGFILEKILGPVSSGHVELRTTDPRANPSVTFNYFREAEDLERCVHGIETIERVIQSRAFSNFTYANASVESIFTDSANFPVNLLPRHVNDSRSPEQYCMDTVMTIWHYHGGCHVGAVVDDDYRVFGVQGLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQSERWKK >KN542234.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542234.1:2399:12075:-1 gene:KN542234.1_FG001 transcript:KN542234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQVKVEANPMNNRRFSLTLKKEEDLSPLGKYATLVDSNTNEEPRTSLLDGTRQSKLWIWSSSRSWKERDDMEVLDEPLYANFLRVTGVDRPYREELLSNMDPDGNKVISEVIFGPGKRKYRYCKHIAKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFFELGIAELVSIYSELCELGSPPPVIDADDLQRDPQAVLSGLCEDLGIPYQPQMLQWEAGPKDFDGIWAPWWYRSVHKSTGFSMPRRYPLTFPFALYDLLEQSLPFYNMLKRHIKDAIFRTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCTLIEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHAEYCLPGITRATVMDLVVKESLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVITAGSGVTIPRNADE >KN542234.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542234.1:15001:17679:1 gene:KN542234.1_FG002 transcript:KN542234.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAALDSGGALPLVTLRGQVGSETPIIASQSGCQAVIAEQQVRQHCKTKHVTKSATTEINEKDGEEFLVLRRTKATDGDEYLVVKRTRVKVGTEHRPTKRVRDIDVEQWVHGTNVVSSTKNEVPWYLEDATGRLHVVEAHKATGFTLNRESSVFEENKQLCSRCQVCGQEGSVKVVGLERTERVLPTGTTFTVVGE >AMDW01034978.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034978.1:290:547:-1 gene:AMDW01034978.1_FG001 transcript:AMDW01034978.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGRVRTDWSRAPFTASYRGLAASGCTSQDAAACANPGSPWMYQQQQLDSAAQDRLRQVQRDYMIYNYCADTYRFPQGLPPECTAK >AMDW01036697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036697.1:381:645:1 gene:AMDW01036697.1_FG001 transcript:AMDW01036697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMTPDPWISLLEEEEEAACVSVNKRPKVEEDTVTSDGEGEAACANKCLKVEATVTSDDGAVVRQRHEAAVAARGYGDRMRRRLRPG >KN544678.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544678.1:2406:2870:1 gene:KN544678.1_FG001 transcript:KN544678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEINRWVPYLLRYTITPTEPVDELGVHPLDVLQKSVRGSPDSRRSTGQSHMPSATELREAGIRFKVSTGSGFAGTVSFERGVLHVPQIILHDNAERIFLNLMAFEKLHPDVGNEVTAFVYFMDELINTAKDVRLLRDKGIIDSTAWAATRRWRI >KN543721.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543721.1:4087:5576:-1 gene:KN543721.1_FG001 transcript:KN543721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLGSPTYLVCSQELANSCGCFFLQKSTIIPHCHERQLEGDPKRKKEKEMEIPLFYYRCTYKNDMKCPATKQVQQKDTNDPPMFSVTYFNHHTCNSSKIVGSTPDTAAQSSSRRAISICFNSHGTTSEQPTFLSSSASLLSPSMQSYSSNQQPDMNTYSRQFQWADTSSSTSNAPVKMEADDYAEASASPSTTGALSRTLLPIDSSQIFVLE >AMDW01040805.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040805.1:95:1848:1 gene:AMDW01040805.1_FG001 transcript:AMDW01040805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LYWFHYLPLNCNDLRKWILYAVKHNVKVLDVELDRYDKTTLPSRIFTCRSVEELSLQMGKAPDEDLEHVGLVLPDIIQLPSLKKLTLSDVEVDQLSLNQFIGRSPNLEDLHLINSATYLDLIASKVLKRLTLDGFMHGPKRFTISAPHLVHFECQGCALQDVSWGEQPSLESAHIDTWGKKYDGESEFIGVLLSAKTLTLFGSDVKVMLEKELPACPVFERLTTLEIGNWCLTEDFYAVLRFLQLSPRLGELTLMQEELPHAARKGAETDAMPIDGMTFQCPLLETVIIQCSKGDDGINKLVNVLAANGINPKKIQVNFYEDIEEMERAENRRIIEEREKELCNFEKMAKKNPEWVDESRYADSNPETDSDEYDDDYDDF >KN546438.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546438.1:294:646:1 gene:KN546438.1_FG001 transcript:KN546438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKGKMDQCSPFPVRLREILV >KN544098.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544098.1:1042:3956:-1 gene:KN544098.1_FG001 transcript:KN544098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CGEALQAEAGRRRSSFIHCEQQGIKIVHPHNEPYHGCCDEMIYFDPKMGAKFAEMDPMDENEVGFIELVQGYFALFDYPVQFLLVEFIETLDFLNIRTPISVKEKRKRNRIFI >AMDW01008360.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008360.1:46:220:-1 gene:AMDW01008360.1_FG001 transcript:AMDW01008360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YWRTHFKKGKPSKNIERARARFLKQRREMQQSQQQQQQPPTLMPTPTPESKDIVIAET >KN544212.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544212.1:768:1646:1 gene:KN544212.1_FG001 transcript:KN544212.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAICLAVFFLLAVISSAASDDPLTTVQQPSQASHGASMEEKRSSPVKQEKFAGGRREGEPAEANGTRFEIDLKLVFP >KN540377.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540377.1:542:4018:-1 gene:KN540377.1_FG001 transcript:KN540377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDGDVETNDLWFIIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFTRDGITPCGMRAVSAYSRHGRLDDFSTDTILELLAFSNKFCSEGLKSACDNKLATMVSGVEDALSLVDLGLEEAAHLLVAACLQAFLRELPKSLSNPDVARLLCSPDGRDRLDIAGNASFALYYFLSSVAMEEDIRSNTTVMLLERLCESAERPWQKQLALHQFGCVMLERGEFKDAQGWFEDAIAEGHMYSLAGVARSKFKRGHKYSAYKMMNSIMEDYEPAGWMYQERSLYCVGKEKMADLHIATELDPTLTFPYKYRAVVFLEEDMVESAVAEISKVLGFKLVTDCLELRAWFYLALEEYEAAVQDIRAILTLDPSYMMFHGKVHGEQLIEILRGYVQQWDMADCWMQLYDRWSEVDDIGSLAVVQQMLTREPGNSSLRFRQSLLLLRHAAMRSLRFARNCSAHEHERLVYEGWILYDTGHRDEALAKAEQSIKIQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEAAECYNIALNIKHTRAHQGLARVHYLKNRKKAAYGEMSELIKVAKDSASAYEKRSEYGERDEARGDLNMATLLDPTRTYPYRYRAAVLMDESKEDEAIGELSQAIAFRADLQLLHLRAAFFDSMGDNANTLRDCEAALCLDPTHGDTLELYRKASTKAEPQS >KN540377.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540377.1:32713:34803:-1 gene:KN540377.1_FG002 transcript:KN540377.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDACVALLRSKLHGLVERNRSLEEENKQLRHQVSRLKGQVSSLEGQDTDRKMLWKKLDNSSTGNSYLKEKQFVPNNDAKEAMDLNSTSCYSRQQFSRAPLVRSRAPRVPNPPPSPTYTQPIVNARKEGGMAPPPPPPPLPSRLLKSTKAVQRVPDVVELYRLLVRREGKNDAKSGSMGIPAATNSREMIGEIENKSAYVLAIKSDVENQSEFINFLAVEVKNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADTMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERARDSASGRYKDLKIPWEWMLDSGIISQLKMASLKLAREFMNRVVNALKSDPFTNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKMYASKSD >KN540377.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540377.1:19252:31542:-1 gene:KN540377.1_FG003 transcript:KN540377.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSGGMSDQLFVSIKLECPRLAGMGLVPHVFGSHPVAGAWDPSKALLMEQEEAAVWGLSCIVPSHRETLDFKFLLKPKDNSSPCIVEEGPDRSLVCGSNEVEIRNALFKFNDETGVVECKIFVETEILSPFDLAASWKAHQEHLQPRVRGAHDVIMNADSESRAKNGFASGLELDLEKYVVPTPNMGSDVVYAANLTENPRSLLTTGISSNNDTTKGFLHNSIKGDASPYLYASENKGADGRHVPPQEEQRAIFVDRGVGSPEFARPTNETISMSNIKFDSEAKDMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGINQSTSLSASNSVEQTADFFRGDNKEGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRNRRNMLMKMAEGKCKVIFLETICNDQNVLERNMRLKVQRSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYVKMIDMVSGTGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRGRIGGDSSLSETGSLYSRKLASFIEKRLASERTASIWTSTLQRSILTAQPIIGFPKIQWRALDEINAGICDGMTYDEIKKIKPEEYESRSKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADKPLEELPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAVNSTAGI >KN540377.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540377.1:9368:12234:1 gene:KN540377.1_FG004 transcript:KN540377.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQVLLAAQSHDGQLRTVAEENLKQFQQQNFPHFLQTLSVELSNDEKPPESRRLAGILLKNSLDAKESTRKEEFVQRWMNVDPAIKSQVKESLLITLGSPVFEARRSSSQVIAKVAAIEIPHQGWPELIVNLLTNMTKPDAPPCLKQATLDCLGYVCEEISPEDLEQDQVNAVLTAVVQGMNHVENNSDVRLAAVKALYNALDFAETNFQNELERNYIMKVVCETAMCKEADIRKAAFECLVSIASIYYDLLEPYMQTLFELTSNAARVDEEPVALQAVEFWSTICDEEIARQEESKESGVFSSSCHFHFIEKALPSLVPMLLETLMKQEEDQDQDDGIWNISMSGGTCLGLVAITVQDAIVPLVMPFIEGNITKPDWHSREAATFAFGSILEGPSVQKLTPLVHAGFDFLLNATKDQNNHVRDTTAWTLSRIFEFLHSPTSGFSVVTDANLPYVIQILLTSIKDSPNVSEKICGAVYFLAQGYEDAGSISSVLTPYLGEIISALLATADRSDSNDSRLCASAYETLNEIVRCSHISENLNMIVQLLQEILKRLNQTFEIQITSSDDKEKQSDLQALLCGVAQVILQKFSSCHEKSAILHFADQMMVLFLRVFSCNSSNVHEEAMLAIGALAYATGPEFVKYMPEFHKYLEMGLQNFGAYQVCCVSVGVVGDICHALDDKVLPYCDGIMSALLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTMPMLQGAAELCFRMDAPDDDSIEYQNELSRSIFEAYSGILQGFKNSKSELMVPYAGNIFQFVELVLKDNLLRNESVTKAGVAMVGDLADALGPNIKLLFKDSKFHSELLGQCCQSDDEQLRETASWVQGVITRVLVS >AMDW01065048.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065048.1:173:892:1 gene:AMDW01065048.1_FG001 transcript:AMDW01065048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAYMDHLQMPTTGLHLHIIDYSTPRFFHVTDEDFKYVVVVDRCRMNLGYVTYGSRPFHARNEIPYLAQVHAVVGGSKAENAGVARAEDVPIGVVQDGVAQDSTSLNEWIRLSASSSQGTTFPTSLKSIIEKHSAMWQDEFVSALDNFKRDMIDLHAKRTCDMISDISK >KN539942.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539942.1:9099:15512:-1 gene:KN539942.1_FG001 transcript:KN539942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPNVNIFRDPRWGRGQETPGEDPVTASRYAVAFVTGLQGIGGDEGSLETETETLQASACCKHATEYDLDCWNNVVRYNYDSKRKREDILLSVEKTRPLRNGYFEVTLQDLEDTYNPPFKSCVVEGKATCIMCGYNSINGVPACASSDLLTKKVRQEWGMNGYVASDCDAVATIRDAHHHTLSPEDTVAVSIKAGMDVNCGNYTQVHAMAAVQKGNLTEKDIDKALVNLFAVRMRLGHFDGDPRSNAVYGHLGAADVCSPAHKSLALEAAQDGIVLLKNDAGALPLQPSAVTSLAVIGPNADNLGALHGNYFGPPSETTTPLQGPSAVTSLAVIGPNADNLGALHGNYFGPPCETTTPLQGIKGYLAGRARFLAGQLCCPYPRSGCRLCYLLAPAPLFRTRPPSTADLIARDHQQGKGNANWGLREKMEGEDRVGKAEDDGVVLLQCRRRRLLARPSSVYQPPQRGRKEKNEWIRVSGVEFALLYPRKIPAGLFASWAGLKIQIGPKFFDRFSVVSGRAEMGEIHRISAHSVRIPEPWDNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGNTVYNFGYGLSYSNFSRRMFTSFSTSNADNAN >KN539451.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539451.1:26870:29312:-1 gene:KN539451.1_FG001 transcript:KN539451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAEVWVGQAERWIRQQPPEQVYVAVAVVAVTVLLLVAVSCLKSSKANTIVLSGLSGSGKTILFYQLRDGSTHQGTVTSMEQNNDTFVLHSELERRGKVKPVHVVDVPGHARLKPKLDEVLPQAAGIVYVVDAQDFLSTMHAAAEYLYDILTKATVVKKRVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRNAISSADITDEVKLGNPGEAFNFSQCQNKVTVAEGAGLTGNVSAVEEFIREYVKA >KN539451.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539451.1:93442:97925:-1 gene:KN539451.1_FG002 transcript:KN539451.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESEGETEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNRCMTLIWKAHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDSTNVRTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRDWSKWAEKCVGRRFTGLERMDCCCCVAGLGHIPGMRNIACIVVSSSGDRRIDQMQKGGGGGSSSSSAAVVRMGPCGGDGGGGRDMDMRGVGRVVRVAVRHGAAVDAMSVLYERNGHEEWTDLWGGPGGTLSEVLHYSTSFILKKIAQLWTMNVKARSLFFIEWRSCI >KN539451.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539451.1:10155:12711:-1 gene:KN539451.1_FG003 transcript:KN539451.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKMSRITIHDVEPVTFGSMLRFIYTDELEEKDSMTTDLLQNLVAVADRYDLSRLKLICAQKLWEKVSVENVATMLIYAEMHGCPELKTSCLDFFVQEENFKEAVLNEGYAQLVQHCPSVIDEIKACSAGFNAAAEPKRRGSAPKAGMPDSGSLELILDYEATNHCAIGDALWSDVFSAGGHSWQVQYKPRGRKEDKGEYLSLFLELASESMDIKAIFDVFLMGKDDEPSSTHTKRFVKVYSPKETSWGWHQFVKRSDLESSCVEDGKNNT >KN539942.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539942.1:57534:65881:-1 gene:KN539942.1_FG002 transcript:KN539942.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELYDLTSGSAEWGLHGEGEPLGPRRGKALGENLRGEEERVRGRGGVTRHVARARAVEDIERKERGADEEAEHSGGEGDVGERHGGGEGGAERVELFDGEEFSPIASYLARILNKHRNTVLVHRYVLIVRVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSNKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKIDGERHMQSHNNDVPFTSVAHDISNMAVKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLEGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKNSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGARSFLGNFWFFPFISLVLLTFFSGCLDSSKIMLIPYLRGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPCQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLKDDLALRGNIETAELLIFASNTLPNNFQRWNMFHFLWGVFRVRRKDANLPPDLPIYENNQGCSNGVKSLFHPLVGNPLDGQSHDSITAMFPTNNSSAIDDFLPVLTRKDLKLAYSEQKEKMGYPSEGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLRDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKILSFLKLCKGRKKNLEGYLVVSPKCLELVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >KN539451.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539451.1:34822:43991:1 gene:KN539451.1_FG004 transcript:KN539451.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRETLRKSASSCVCMDVITWTPFFSLHKCGEVWEGEIWSGSEGGVIKAWPWDVIAKSLSLTPEEKHVAALWIERSYIDLRNNATAGNISSFPAADVKHMLADHSRAKVWCLTSMAFAVWDARTRELLKVFGMDGQIESARLEAPVMPEQFIEEEIKAKPVKKDKSQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTVWSGCTDGLIIMWDGNGNRLQEFQHRCSSVQCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMTIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYDSLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYDSLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVSRRNADFDHIYRTMTFNKPHGSAASATSVQLHKTVNANGNQVDEDVPEMAEADMVVFLGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVNIARVDEFIRRQEYGNILQSDVRLHNLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGQTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPSNYLQRSDFKPFGSSERTHGDTKYSLQVEYKESYFESFSFRSCCLIQLNSPSDLQLVPEMDAVPKSLYCYLLN >KN539942.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539942.1:35263:36578:1 gene:KN539942.1_FG003 transcript:KN539942.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRLRRRHCFGPRCRTLGGTLTSKEVFTWANSNNQRLPTSAILIEQASMASYDVEVFMRVNLLLLTEQMLEARSK >KN539451.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539451.1:63734:65140:1 gene:KN539451.1_FG005 transcript:KN539451.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYLCLKYIEVCLLSLLWTSIAPVVLPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KN539942.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539942.1:26420:32943:-1 gene:KN539942.1_FG004 transcript:KN539942.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNGAVTAITSFPQVILTAAAFDDRLWFRIGQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGSTPGTLQTSACCKHATAYDLEEWNGVARYNFNAKVTAQDLADTFNPPFKSCVVDAKASCVMCAYTDINGVPACASSDLLSKTFRGQWGLDGYVSSDCDAVALLRDAQRYAPTPEDTVAVAIKAGLDLNCGNYTQVHGMAALQQGKMRESDVDRALTNLFAVRMRLGHFDGDPRSNAAYGHLGAADVCTQAHRDLALEAAQDGIVLLKNDAGALPLEPSAVTSLAVIGPNADNLGALHGNYFGPPCETTTPLQGVQRYVAAGGVRFLAGCDSPACGFAATGQAAALASSSDQVLFGDHNPSGRLPVTWYPEEFTRIPMTDMRMRADQATGYPGRSYRFYQGNPVYKFGYGLSYSKFSRRLVAAAKPRRPNRNLLAGVIPKPAGDGGESYHVEEIGEDGCERLKFAATVEVHNHGPMDGKHSVLVFVRLDLEERGADEASRRRERCGREVRQPRLARRKTTASASGGRIYAMASRSRSRTRQGEMSTSRGLENIP >KN539451.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539451.1:8521:9096:-1 gene:KN539451.1_FG006 transcript:KN539451.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHFGGLLDRGDGTDVSFLVDGEKLPAHRAVLAARSPVFRAELLGSMAESKMSSITLHDIEPLTFRALLRFIYTDELPADDGGDQLKTTAMATDELFQKLLAAADRYDLSRLKLMCAQKLWEAVSVDTVAATLVHAEMHGCPELKSSCLDFFVQDKNFKEAVLTEGYVQLVQRFPSIKDEIRGLLRAKSM >KN539451.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539451.1:104388:105353:1 gene:KN539451.1_FG007 transcript:KN539451.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGLPLSPSTFSAVISSYGQSRLTDQAVEVFNRLPRFGCPQTTQVYNALLDALCANGNFAGAYKLLRRMARKGVSPDRATFNTLVDAWCAAGKLREAQAFLDDMAERGSGDVEFAVALLSDASSRGLCPDISTYKVMIPAVAKAGRIDEAFRLFYAALEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFVEVANYLVEMSEAGFAPRAPTFNSVVDGLRHCGKHDLAQRMEQLEMSMNGN >KN539451.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539451.1:46078:47698:-1 gene:KN539451.1_FG008 transcript:KN539451.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNLLTGAATSSGGGGSNLRKKNTHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGITVFALGTLQIFALFLRPKKDHKYRVYWNAYHHSVGYTIIILGIVNIFKGMSILNVEQKWKTGYIIAISILGGIAVILEAVTWSIVLKRRKEENKSYNGASNGHLPLSM >KN539451.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539451.1:14698:15360:-1 gene:KN539451.1_FG009 transcript:KN539451.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGKVRIMCVVIVLRDNNAAMFVPPSDIAAHLGSLLDRGDGTDVSFLVDGETFPAHRAVLAARSPVFRAELLGPMAEATMSCVAVHDIEPATFRALLRFIYTDELSEDGIEIESSSSTTTMMVMTSELLQKLLAAADRYDLGRLKLMCAKKLWEMVSVDNVAMTLFYAEMHSCPELKTRCLDFFVADKNFKKAVLTAGYVQLVQHFPSVIDEIRQLVES >KN539451.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539451.1:68:787:-1 gene:KN539451.1_FG010 transcript:KN539451.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASGFLELKLDYSATNAYAVGDMLTSDVFSAGGFTWSVDYYPRGYEKEGNNGDYLSLFLKLVTKSNNIKAIFDVFLMEKSGQPSSSVAKRCVQVYPPKGYTAWGWPFFVKRSDLESSHMVDGKVRIMCVVIVLRDDDGNNNGVPVPPPPPPDDVTGHLGRLSVPPPDIGVHLGRLLVGGDGTTDVSFVVDGERFAAHRAVLAARSPVFRAELFGGMSESTSSCITLKDIDAATFRALL >KN539451.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539451.1:75682:77504:1 gene:KN539451.1_FG011 transcript:KN539451.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVGGDYISSLLSSSPRLDFGVPVGEEKESDGKRCKTGNGEKESSVKPKAEQAGSDSSVEDGGGGGQKQGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSNSAFPFAEQGDVFQQFAQNSMESQCTLNQLDLALSQATNAAQYAFQDGTAGANLQQRNFWEDDLQSVFHIENGQSQENGVSAPNFHGW >KN539451.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539451.1:18967:19881:-1 gene:KN539451.1_FG012 transcript:KN539451.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGGGFMPFVLDYSETKNHAVGSLVCSDEFSAGGHLWRIECYPHGTKTAAKNGGEYVSLFVSLMSKSGSGAKAFFVADVLNGGSTPFERDEKRITAIREFKRNGDNWGWHQFLNRADLEKNCVTGSGLVTFICGIAVLCRGGEKFAAHRAVLAARSPVFRAQLFGCMSDATSSCIMLQDMEPAIFRALLRFIYTDDLPGDTGELDGSPIDTFLQHLLAMADRYALDRLKLMCAQRLLQDMTADSVADILACAETYNCPELKNRCIDFFAAENNFKKAAFTDGFAVLLQKFPVIAAELKKRVGI >KN538753.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538753.1:70457:73432:-1 gene:KN538753.1_FG001 transcript:KN538753.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVVAPPLVQWRPRPPPWERAKKGRKLEAEAVQKTAATFAPRASTATKNPAVPGTALYTIFEVQGYASMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSSKEKEALNYLFLLVPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKDCLVESNRNWKACQAHWN >KN538753.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538753.1:193211:194392:1 gene:KN538753.1_FG002 transcript:KN538753.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARLGVIVVLWAVVAEGILDPVDFLALQAVRRSLDDMPGSSFFEAWDFTADPCGFPGVFCDGNKVSALALGDPRAGSPGLSGRLDQALGRLSALTELSLVPGRVEGELPESLSSCRNLRFLAVSKNLISGQIPDGLGGLSNLRTLDVSFNQISGTIPASIATLPSITNLILCHNHLTGGIPSFPDSSPLIRLDLKHNDLSGGVPNLPSTLQYLSLSANRLTGTVDSVLPRLTRLNYLDLSMNQLDGPIPASVFTLPLSVLQLQRNFFSGLLQPANDVTIQVVDLSYNRFWGPVSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSTNTRPADQCPEWRG >KN538753.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538753.1:50899:52080:-1 gene:KN538753.1_FG003 transcript:KN538753.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAGGRQLLVLIRRAAAPAAAGANPNHHHHATLFTHRYYSSSPLARTPEAESSAAASYLVASVGLSPAAAARISRKARFRSNADAEAVVSLLRGHGFSDANIAQVLPKIPGLLILNPDKILRPKLEYFASLGVVPSALSRAPLLARSLDKHLVPCVEFIRGVVGTDANLCAAISRNPWALWCDINSSMRPAVESLRRHGLSEADISRLVVINLSALTMSPDRIDGIFGDLEALEVPISHSRFVYGFWALSRLKRGAWEERMSVFMRFGVSRSELLKAFREQPGILVFTAKTIQRKLSFYQEKLKVAPADVIAHPLLLTFSLEKNIIPKCAVLNVLLREGKIKRYGREMDLLRPLQRSNASFFERFVRKYEEDVPDVVKAYEGKIKFTGFMD >KN538753.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538753.1:3803:16909:-1 gene:KN538753.1_FG004 transcript:KN538753.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVGYSKARHLSTPQSPPNLATATFGRALVSRAKQLPLGDGAGEGYPCGQLVQGNAREVLGIEGDRAPAYTENSGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCIAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCYDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPTRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSESHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRQKQAIANLEKKHE >KN538753.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538753.1:143244:146307:1 gene:KN538753.1_FG005 transcript:KN538753.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVVEEEEEEVTAAPAPAAMVAAAELGLTAEEALQRDNSLLSNTVRRLNRDVAKLEVFKKTLMQSLQEDEDPANTTPKARVSETSNFSSATSVGVPRPPRPHVFLPSYNSTPRVTPPDSPPRSFASISPPRRHSISITSRNLFDDRSSAYSGHSSVTSPFDAASHTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNSHKQTREDTLRKADEIFGPDNKDLYTIFEGLITRNIH >KN538753.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538753.1:201489:203817:-1 gene:KN538753.1_FG006 transcript:KN538753.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding VWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFDPMLVSSSWDGRVAKWSRSSCQQEETTDLD >KN538753.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538753.1:617:2437:1 gene:KN538753.1_FG007 transcript:KN538753.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFCTPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTEKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKQSTLKAWSGKAENIEKARAAFLTRCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >KN538753.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538753.1:146626:152401:-1 gene:KN538753.1_FG008 transcript:KN538753.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRRAATTAVMVVVLAVVAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFDVKVIRPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLEKEFSKSFLEVLNRPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDEGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALEDIQHTHESKFVHELLSLLQELQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPQIKPFLPKEYSIELHLYERALVLFNLSSSKWFD >KN538753.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538753.1:91890:99638:-1 gene:KN538753.1_FG009 transcript:KN538753.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGLSVPPGFTVSTEACQQYQAAGKTLPAGLWEEIAEGLQWVEEYMAARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVMDIPHALFEEKLEAMKAVKGLHNDTDLTATDLKELVAQYKDVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEPYEELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERRTALKMVEPGHLDQLLHPQIVVIEDKALHEGEWLSLNGSTGEVIIGKQPLCPPALSGDLETFMSWVDEVRKLKVMANADTPEDATTARQNGAEGIGLCRTEHMFFASDERIKAVRQMIMASSLELRQKALDRLLPYQRSDFEGIFRAMDAAITMTNQGIQVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKLATERGRKARPNLKVGICGEHGGEPLSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLL >KN538753.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538753.1:135601:140958:1 gene:KN538753.1_FG010 transcript:KN538753.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVYSDGTEGFRTSHPMLLVVAGDETNGSGMVQGGRLSALIRDNSSEPPNGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGINIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTVIANSSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGLPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANAMEHLLVYSPSGHVIQHELLPSGSESSDSSPIVGPGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVFHNQRNSMMAIDASDCDSEHSDSVPSDGISGKEMMRSRERSSWYLSNAEIFFYVIDQPPSKSGESLSSSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFSERNLAIGRFQNALTYIDKDNGAHGSKAGFPISGFYSDMRKMQNMNGLEGQLLSEPITNDSQPMEKCNSVQSPKVANFTALHNVDNESMNHVSTATGATTSVITPHVRETVDTLPSNIRPLSSYSLLDGSLDDGLPSPASNVSCRPQITNNSSVSNGTMTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >KN538753.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538753.1:47468:48835:1 gene:KN538753.1_FG011 transcript:KN538753.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPLAVASGALLLLFVSVSPCRAVAGGGPSSVVLPVSKDDATQQYVTMFRQRTPQVPVKAVLDLAGTMLWVDCDAGYVSSSYAGVRCGAKPCRLLKNVGCAITCLDAPSAGCLNDTCSEFPKNTATSVSTAGNIITDVLSLPTTFRPAPGPLATAPAFLFTCGHTFLTQGLADGATGMVSLSRARFALPTQLADTFGFSRKFALCLPPASAAGAVVFGDAPYTFQPGVDLSKSLIYTPLLVNPVSTAPYGRKDKTTKYFIGETTIQLKGRVWREKPTDHFIALKGIRVNGHTVPVNATLLAIDKKGVGGTKLSTVSPYTVLERSIHRAVTDAFAKEMAAIPRAPAVEPFKLCYDGRKVGSTRVGPAVPTVELVLQSTAASWVVFGANSMVATKGGALCLGVVDAGTEPQTSVVIGGHMMEDNLLEFDLEASRLGFSSYLPSRQTTCNNFRLG >KN538753.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538753.1:26010:27314:1 gene:KN538753.1_FG012 transcript:KN538753.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARMPPPLAVASTALLLLLVSPCRAASGGGPSAVLLPASKDDATQQYVTMFRQRTPQAPVKAVLDLAGATLWVDCEAGYVSSSYARVPCGSKQCRLAKTNACATSCDGAPPPACLNDTCGGFPENTVTHVSTSGNIITDVLSLPTTFRPAPGPLATAPAFLFTCGATFLTEGLAAGATGMVSLSRARFALPTQLAATFRFSRKFALCLPPAAAAGVVIFGDAPYVFQPGVDLSKSLIYTPLLVNPVSTAGVSTKGDKSTEYFVGLTRIKVNGRAVPLNTTLLAINKKGVGGTKLSTVTPYTVLETSIHKAVTDAFAAETSMIPRVPAVAPFKLCYDGSKVASTRVGPAVPTVELVFQSEATSWVVFGANSMVATKGGALCLGVVDGGAAPETSVVIGGHMMEDNLLEFDLVGSRLGFSSSLLFRQTTCNNFRLG >KN538753.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538753.1:62986:64179:1 gene:KN538753.1_FG013 transcript:KN538753.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MRERVEFLHSLGLSNEDLAAYPLALGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPHDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQVGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRLAIARIIEKKPYVLGFGLEDKVKPNIEALLEFGVRKEALAFIVAQYPDILGIELRDKLAAQQSLFESSILVSSEDFGRVIERMPQAISLGRTAVLKHVNFLTSCGFLLSQVSKMVVACPQLLALNMDIMKMSFEYFQNEMERDLVELVEFPAFFTYGLESTVRPRHEMVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGIEEMEVDNSFDTNTLSERVEDEVEDEDLDEDSDYDSTDDEFIE >KN538753.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538753.1:82200:86897:-1 gene:KN538753.1_FG014 transcript:KN538753.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGKPGFITMYAITCCKCEKWRTIPTKEEFEVIRENYPAEPWFCSKKRDCSCEHPEDIQYDTSRIWAIDRPNIPKPPPKTERLLIMRNDLSKMDAYYVLPNGKRAKGKPDIDRFLKENPEYAATLPLSSFNFSTPKIVKETVSDSAKWVMAKAEREERCMQLDAKEVPSSSSKNCRRVALYCCEYLKPERKRGSSTDSIGMYAVQCCECHKWRKVPTKDEFETIRENFTEEPWHCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPQYKDRFSVESFSFTTPKIVEETVSHNSVWKSGKAKKQDKINALSNNN >KN538753.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538753.1:122763:125473:-1 gene:KN538753.1_FG015 transcript:KN538753.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGILLAAFLAAAVPFALRLLHSFLFSLSTITKNMSICNYVSLSKMFITHLLDAWIPEITSITSSMLDKWELQDEVRTEFEIDVNKEFHTLIADVISCVAFGSSYEEGKRVFQLQEEQLKLVILAMRTVYIPGFRFVPTKKNRRRKIVNQEIRNSLRKLIEINGRKCEDSNNLLGMMLSASKIDSEFKMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHQEWQNKARDEVLQVCGKYEHPKAENLSELKIVNMVLKETLRLYPPAVFLNRIANRDVKLGKLDIPAGTQLQLPILDIHHDVSIWGADADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLTMVEAKVALAMILQRFALVVSPSYVHAPMLVVTLQPQYGAQVLVHKI >KN538753.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538753.1:177363:177846:-1 gene:KN538753.1_FG016 transcript:KN538753.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRRAATTAVMVVVLAVVAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKSCLYNILLLLVGAPYS >KN538753.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538753.1:118205:120005:-1 gene:KN538753.1_FG017 transcript:KN538753.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKFLDGWISEITAITSSMLDKWEVQDESRAEFEIDVHKEFHTLIADVISSVAFGSSYEEGKRIFELQEEQLKLAILAMRTVYIPGFRFVPTKKNRRRHMLNQEVRNSLRKLIEINGRKCEDSNNLLGMMLSASKLGSEFRMGIEEIIDECKTFYFTGKETTANLLTWATLLLALHQEWQNKARDEVLQACGKSEHPNAENLSNLKIVNMVLKETLRLYPPAMFLNRMVNRDVKLGKLDIPAGTQLHFPILDIHHDVNIWGTNADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLTMVEAKVALAMILQRFALVVSPSYVHAPMHGVTLQPQYGAQVLAHKI >KN538753.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538753.1:57005:57802:-1 gene:KN538753.1_FG018 transcript:KN538753.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQPSKLSTAPLLLARSLEKHLVPTIQFLRSIIGSDDGIRRGFSRIPRALMVSLDNCMRPAVEALHRHGLTGREDVSRVLVLQMGVLMLSPVRIGEIFEDLKAMGMSITDGRFANSFRAMCSMRRATWLRKVALYRSFGLSESEVFEAFKKQPTALLGADETIKKKASFFRDALKLEMREVMVHPVVMAYSFEKTILPRCAVLSVLMREGKINPDIQLLHALLGSAKTFSGRYVDRFAADVPDVVEAYEGKIKFKGFKGQGQGV >KN538753.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538753.1:28912:30225:1 gene:KN538753.1_FG019 transcript:KN538753.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPPPLASGALLLLLFVLVSPCRSAAGGRPRAVLLPVSKDDATQQYVTVFRQRTPQVPVKAVLDLAGATLWVDCDAGYASSSYARVPCGSKPCRLTKTGGCFNSCFGAPSPACLNGTCSGFPDNTVTRVTAGGNIITDVLSLPTTFRTAPGPFATVPEFLFTCGHTFLTEGLANGATGMVSLSRARFAFPTQLARTFGFSRRFALCLPPASAAGVVVFGDAPYVFQPGVYLSKSSLIYTPLLVNAVRTAGKYTTGETSIEYLIGLTGIKVNGRDVPLNATLLAIDKNGVGGTTLSTASPYTVLETSIYKAVIDAFAAETATIPRVPAVAPFELCYDGRKVGSTRAGPAVPTIELVLQREAVSWIMYGANSMVPAKGGALCLGVVDGDPALYPSSVVIGGHMMEDNLLEFDLEGSRLGFSSYLPLRQTTCNNFRLG >KN538753.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538753.1:66274:68825:1 gene:KN538753.1_FG020 transcript:KN538753.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B3 [Source:Projected from Arabidopsis thaliana (AT4G04800) UniProtKB/Swiss-Prot;Acc:Q9M0Z6] MSSSAPSPPPGSVQKSEEEWEAILSPEQFRILRLKGTEYPGTGEYDKLFAEGVYECAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIARTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASEDILLFSDNQRSSYPYIIEHGRNYPMEKATGRMAGVGVLGLQWQLRH >KN538753.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538753.1:166450:173025:-1 gene:KN538753.1_FG021 transcript:KN538753.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTYHRGFGGGSRIRGSARAAGTLEATGLSSPRCRGLPGNVRNVGVGGDGGISGHGTIHPNVGGYLPLIDQGMTTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIPITLIPLDATNTIPVNEEFFHAFQQQQSTYEAQYCFESLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEVSGPEAVRVCVATMAKVNVDKSSLLDREFFKSFLETLNLPENTGLFNITAQFPFYREVLYRPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGHGSTSAIGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFTVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHRLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKPISIVANSTTSIDGQTVVDNQSANLVKVLLDFNSEEYYKRVANSLGDKERSAVISGFAEQRAIWSKPPENVGV >KN538753.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538753.1:33743:34611:1 gene:KN538753.1_FG022 transcript:KN538753.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRATAPGFLFTCGATSLTKGLGAAATGMMSLSRACFALPTQLASIFRFSRKFALCLAPAESSGVVVFGDAPYEFQPVMDLSKSLIYTPLLVNPVTTTGVSTTAGDKSTEYFIGVTGIKRSGRHGVTKLSMLSPYTVLETSIHKAVTDAFAAETAMIPRVPAVAPFKLCYDGTMVGSTRAGPAVPTVELVLQSKAVSWVLFGANSMVATKDGALCFGVVDGGVAPETSVVIGGHMMEDILLEFDLEGSRLGFTSYLPLLQTTCNSFHLG >AMDW01022744.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022744.1:85:303:1 gene:AMDW01022744.1_FG001 transcript:AMDW01022744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGNAVINDWTDTKGMYDFFWTHALISDEANDGITKHCNFTDGADANSLCDDATSLADDCLQDIDIYNIYAPNC >KN543146.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543146.1:4851:10398:-1 gene:KN543146.1_FG001 transcript:KN543146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLIRATFTYGNYDGLNSSDKGSMFLFGLHIGVNFWTTVNLTKWGSSDTVWKEVITVAPDTNISVCLINLGSGTPFISTLDLRKLDDAMFPFVNHSVSISYFTRQRFGSVDDFITRYPTDPVDRFWEAGQRYSFPWLNMTTNQNVRTLPGNDNFQVPTEILQKASTIRSNYSYFSVNVSATNNLDFRSLELLPIFHFAEIGDNSPNRTFDIYSDDTKLFANYTPPLLVVNSMHQRGQFLRKKSTGFTLRKTPSSELPPLINAFEVYSLVRTDNFTTSSDDVNYMKEVKKYYSLARNWNGDPCSPREYSWNGLACNYANGNKNPSIIRVDLSSSGLIGVLHTSFMKMPSLENFDLSNNQLDGPISNSVLQRFKAGQLELRLEGNPICSKVKDRYCGNKKNRTAIVLIAVIVPVVFLLLLVLVCLWRLCWKGKSEEQDDYSIYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSVAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDSSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIAKGSIHDVVDKKLLDQYDATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKVCLPISSERQSATSTPRKKNVMDAEIPRQFQLMISGASTTSYEGSSFQSGYTGGVSEISHISGR >KN540019.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540019.1:14962:17447:-1 gene:KN540019.1_FG001 transcript:KN540019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETVIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEIPEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >KN540019.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540019.1:59293:68185:1 gene:KN540019.1_FG002 transcript:KN540019.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEITSQQSPNATSIVTDLMDLDDIIVEGNEVQFAMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPPVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQVYSLHKSDKAKTGEDPFIQRKDIMKETKWVTIGKLPVMESECEYDFGGYFLIKGMEKVFVAEEQRCLSRIWINDSPTWEACYQRSQIRREKISIKLVQSNDGFRKVINLYFLGATIPIWIMFFALGVSSDKEAFDIIDIQECDASMANIISATITESHEQCEGFQREGRASEYIDKLIRNTKFPPKGSFDEYIGRHMFPDVSGNRSKALFLGYMVRCLLLASSGNRKSDNRDDFRNKRLDLACELLQRELWVHIMHAQKRMVKVMQRHLSGDGDLQPLECYVHASIVTNGLNRAFSTGSWCHPFNKRERCSGIVATLRRTNPLQMMSDMRKTRQWVAYAGKAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAVTAIVSCLAREPSVDTLVSCGMKKLDELLLQEISGKDRIFLNGNLVGVCADSVEFVLHLRSMRRRKQIDAQVEIKRDKQNKEVRIFSDPGRILRPLLIVENLRNIMNRKNGSYSFQELMDQNIIELIGVEEEEDIRCAYGIRHLFAGDKEKNFSFYTHCELDPSFLLGLSCSIIPFANHDTAKRILMQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHFKSYKALIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSESGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRVGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGATRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRSAEEVVRVSVPYGAKILYQELFSMGICLKFETELI >KN546780.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546780.1:25:423:-1 gene:KN546780.1_FG001 transcript:KN546780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILVRLPTSPSSLPRASLVCKQWRRLVSDPAFLRRFRARHRDPPLLGVFKDELHHPVFRSVLDPPDLIPPDRFALRLDDDYPVAGLLGCRHGFALIFNYNTCEFLVWDPVSGDLRRGAVPPELAGGEKSVMNGA >AMDW01024694.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024694.1:49:324:1 gene:AMDW01024694.1_FG001 transcript:AMDW01024694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPRPAVQSIGVCYGMVGNDLPSRSEVVQMYVSMGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASASNAAAWVHDNISPYYPA >KN540186.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540186.1:57984:60385:-1 gene:KN540186.1_FG001 transcript:KN540186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKLAVIYPPPGMIGHLVSTVELGKLLVAHGIDVTIVLGGQDDGGAAATASFLADAAATNPELSFHRLPQPTLPCNVPADDYVSRVFEFARASGPDLCDFLRSTSPAVLIIDFFCYSALDVGAELRIPTYFFLTTCIASLAFLLYLPVIQEENTMSFRDLGGDLVHAPGIPPIPADHLPMSQLDRDSVSSRHFIALSEQVCNSHGVMVNSCHSLERRAADAIVAGLCTFPGRRTPPLHCIGPLIKPREEDSAERHKCLAWLDAQPKASVLFLCFGSLGVFSVEQIKQVAVGLETSGHRFLWVVRPPPGLEHVTGPDLDALIFPEGFLRRTKGRGLVVMSWAPQREVLEHGARMNKVFLVEEMRLAVGVEGYDKGVVTAEEIQEKARWLMDSDDGRELRERTLAAMREVKEALSDKGESKMTLLELLPSYLEAVPFKPWSPVLLSSAIACFAQNLQIVKYPHVAEEKSHHQ >KN538984.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538984.1:66352:67036:1 gene:KN538984.1_FG001 transcript:KN538984.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRSKYDALHSRVESLKQEKLALTVQLHELRERLREREERSGNGGAATTAASSSSCNGSGSEEVDDDKRNVAAGCLDLEPPESCVLGGATCATPADVSVESDQCDDQLDYEEGLFPESFCATPELWEPWPLVEWNAVA >AMDW01026589.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026589.1:156:359:-1 gene:AMDW01026589.1_FG001 transcript:AMDW01026589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQSTLHTKEEWHRRFTCLASTLFHGFLILLLASMQLVVVYY >KN538984.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538984.1:146234:149081:-1 gene:KN538984.1_FG002 transcript:KN538984.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDGIAKGYNALDFPAVALILVLTLCLCYSTKESAMLNMVITAFHLLFFVFIILAGLWNGSARNLVSPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLALCAMLPYTEIAESAPFSAAFREKAGWEWAGSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLDRANGSIKYTNNFTEVKVLTTVSDHENCNAGLCTASIALFTELQVVFEMISIGTLLVFYLVANALIYHRYAKLGANRSLHVLLFLLLLTLSSLGFSLSRRIHGQCRWGMALFGATSVAITAMFHCAVRRDMPEPPSEWMVPLMPWPAAASVFLNVFLMTTLKVMSFQRFGLWSFVIIVFYVCYGVHSTYSAEENEAVNAMIHHANMDIS >KN540186.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540186.1:44154:45578:1 gene:KN540186.1_FG002 transcript:KN540186.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEKTVLLYPCPAVGHLNPMVQLAEALVRRGVSVTLAVADPPDKGAVLAGAIARIAAACPSIGVRLLPIPSCEGKTYSHPVMWIVDALRLANPALRELLRSFPAAVDALVVDMFCIDALDVAAELAVPAYMFYPSAASDLAIYLQVPHVARSAPSSFKDMADTVLSFSGVSTIRALDMPDTMQDRESDVGTTRIHHCSRMAEARGILVNSFDWFETRALKAIRGGLCLPTGRSVPAIYCVGPLVDGGKLKENDARHECLEWLDRQPKQSVVFLCFGSRGTFSVSQLSEMARGIENSGHRFLWAVRSNLGEVDLEALLPEGFLERTQGRGFVVKNWAPQSAVLQHGAVGAFVTHCGWNSSLEAIMSGVPMICWPLYAEQRLNKAHLVEEMKLGVVVEGYDGELVKADELETKVRLVMESEEGKRLRERSAMAKEMAADAVEDGGSSDMAFAEFLNNLGTNNVKSGPRDTPVHD >KN540186.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540186.1:28379:34725:-1 gene:KN540186.1_FG003 transcript:KN540186.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPAETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCSNRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSKKERWRRSVIWRHVQYFASLTKLDLTSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITLSASHSLDDIKRLVKDPELTMNRVTLEGLDGDLGTSRHPVSVNATLNADVSLRNPNVASFRFDRSETDFYYAGETVGVAYAPEGEVGADSTVRMNVTLDVLADRISPNVNATDLIFGQDYNLTSYTEISGRVNVLGIYKRNLDIKMNCSITLEVEFPVNCQFSGVAPVDMGPFIYVPNSYWAEN >KN538984.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538984.1:12930:14122:-1 gene:KN538984.1_FG003 transcript:KN538984.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCGLFACVRRCDGGDVRKRGEAGAMSSRVAADPAGVEEEGSCKNGAAASARQLAWAEVESVTGGFSSRVIGHGGFSTVYLASLSSSRLGAVKVHCSSERLHRAFRQELEVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHERLHGGEVAGGVAAVLPWARRVAIAFQVAMALEPSARAVMGSPGYVDPHLIRSGVATKKSDVYSFGVLLLELVTGKEAVCRDTGRRLTAAVGPMLSEGKVADVVDRRLGGEHDGAEAAVMAELAMQCIGDSPGLRPSMADVVRALQEKTSALASAVGSRLDRKMMF >KN538984.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538984.1:91803:95059:-1 gene:KN538984.1_FG004 transcript:KN538984.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGVAARPFTRQENTVVQTCAVACYSIAVGGQDHPPLHPSSTRRGFGSYLLGLNKRTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLSYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFLWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMRSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSLRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILSVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >KN540186.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540186.1:629:4779:-1 gene:KN540186.1_FG004 transcript:KN540186.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAASAAAKATISVEYAKSGRSSCKVCSEGIAKGALRLGASARDPRGFDSTKWYHVACFPSSSHPIDPVEKIKGFDSIKTCKRDHTAVGTTEESSPKKGCSESIAAGALRLGASIRDPRGFDSTKWYHIACFPSSTYPAFPVENLKGFDSIENQDRDKLRELENCKRDGNVTDQLNEQNLKKEVVHSMEDSKGTGNNIEGVKMLAGDKRAGPVIPFSVSDIKQNYKDATLPAHWKVFNTVIFREQDDGLQSSAKIAAFDFDGCLAKTSQRYQLAFPRKYSLHASPEKCYAGPFDELEHNDCQI >KN538984.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538984.1:115053:118360:1 gene:KN538984.1_FG005 transcript:KN538984.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIKGLINVAIDAVEGAGRGERGGEDDAPRRHRPAREEEEEGRGDERSRSTWAEVVSDHKGGEAEERPDHRNSRRDGRQERREDGDWERVDGRKQHQHNQYDEEDRRDSSSRRPQQHQQAPAYRRQQQHQFEDGTGILSVYCGGLPVWFGVLRMEMREMMEGGRLLSEAWNAYRRPPSEQQYCEDAGQIHHGLNVEPTREELNSLSRACSRLWELDMNRLTPGKDYRIESGEGKKVYQKGDMASETLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVASQDYEDFKRMLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRGQGENEFYLEEANGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFMGGEDNRVEIGPYGVNIKCYRMGNSKIGSAFPIAEN >KN540186.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540186.1:22177:22770:1 gene:KN540186.1_FG005 transcript:KN540186.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLLSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRRGGGGGHFSGVLTPSSSSSHLSITVPRVLFVAEGSESPDAYSSGVAAASSPVGLDPAAIASYPKVPFYSGAGADADAMCSICLSEYADGEMLRVMPDCRHRFHVSCLDAWLRRNASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRSR >KN538984.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538984.1:3407:7634:-1 gene:KN538984.1_FG006 transcript:KN538984.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEERRMGRVAPSVEEIGVLVKSANAALHEVWVPLIAFPARAQLEPQPLGVILVFSCWNVPLGLSLEPLVGALAAGNAVALKPSELAPATAKFLGDNVGKYMDATAVKVIQGGPEVGEQLMEHRWDKVLFTGSPRIARVVMAAAAKHLTPVALELGGKCPCIFDTIGGSARDLQTAVNRVVGGKWSSCAGQACLAIDYVLVEERFVPVLIKALKSTLKKFFADSDHMARIVNARHFQRLSDLLKDKSVAASVLHGGTLDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPRPLAVYAFTKNAALRRRIVEETSSGSVTFNDAVVQYGIDSLPFGGVGESGFGQYHGKYSFEMFSHKKAVLTRGYLIELTARYPPWDDSKISMMRQLYRYNYVGFVLTFLGLKK >KN540186.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540186.1:50686:52816:1 gene:KN540186.1_FG006 transcript:KN540186.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVVLYTWMVRGHLHPMTQLADHIANHGVAVTVAVADVPSSGDSRETVARLSAYYPSVSFQLLPPPAPARSGADTADPDADPFITLLADLRATNAALTAFVRSLPSVEALVIDFFCAYGLDAAAELGVPAYLFFVSCASALASYLHIPVMRSAVSFGQMGRSLLRIPGVHPIPASDLPEVLLLDRDKDQYKATIAFFEQLAKAQSVLVNTFEWLEPRAVKAIRDGIPRPGEPAPRLFCVGPLVGEERGGEEEKQECLRWLDAQPPRSVVFLCFGSASSVPAEQLKEIAVGLERSKHSFLWAVRAPVAADADSTKRLEGRGEAALESLLPEGFLDRTRGRGLVLPSWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRMNKVFVVEEMKLGVVMDGYDDDGVVKAEEVETKNTAQLLLLLREMGTMWDDVPNVDGSCPDIRR >KN540186.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540186.1:12997:14452:-1 gene:KN540186.1_FG007 transcript:KN540186.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDDKKGSSSSSAAAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEIMEYHLLTLVCHCLILSLAVLFLWSNASTFIHKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRDLKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVALYTVPVLYEKYEDKIDAFGEKAEIELKKYYALFEEKCLSKIPKGPLKDKKH >KN538984.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538984.1:152997:167946:1 gene:KN538984.1_FG007 transcript:KN538984.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRGEEKGLPVPPPPPPNPGGVTSRRQKEAAMEIEAVGADGLCPFPLSIAVYYYSFVSLRKNYGWIVTACRKISGYCCGGAGSVPWIFHSGRKESRVFFLQVFMVVMEDDPIISPKSSQKKVMRGEEAQKYKVMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYAKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDGVVIGMVDTGIDPSNPSFLSTSDQAKPPPASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDRAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIITGNGQVFSCGGLSPPTPGETMYPLALADDVSNTNSTDGSSNCQDPDVFIRSLVQGKVIICMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDRSSSDSDIEYQPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMVEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVISKRHLRMSTSRASALAGRTEAIDLQLSSPIPFAVGPAWPRLSMRATGVTPLSVQVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAIPYGGAKGGIGCAPGELSTSELERLTRVFTQKIHDLIGAHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISGSTFVIQGFGNVGSWAAQIIHEKGGKVIALGDVTGSIRNKNGLDIPALVKHRNEGGALKDFHDAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILAKKGVTILPDIYSNSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNNSFQHIKAMCKSHDCNLRMGAFTLGVNRVARATLLRGWEA >KN540186.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540186.1:35132:37737:1 gene:KN540186.1_FG008 transcript:KN540186.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHLLPMVELAKLFLTRGLDVTIAVPATPGSGTTGSPTIAGIAASNPSITFHHLPPPPSCTDPDPNLLLLMLDVLRRSVPSLASLLRSIPSVAALVLDIFCAEAVDAAAALHVPAYIYFTSAAGAFAASLGLMHHYSTATTNLRDMGKALLRFPGVPPIPASDMPSLVQDREGRFYKARAKLYARAMEASGVLLNTYEWLEARAVGALREGACSPDRPTPPVYCVGPLVASGEEEGGGARHACLAWLDAQPARSVVFLCFGSMGSFSAAQLKEIARGLESSGHRFLWVVRSPRQDPNNLLEHLPEPDLAALLPEGFLERTADKGMVVKSWAPQAKVLRHTATAAFITHCGWNSTLEGITAGVPLLCWPLYAEQRMNKVFIVEEMKMGVVIDGYDEEMVRAEEVEAKVRLVMESEEGGKLLERLAVARAKAVEALAEEGPSRVAFDEFIDRLRGRDGEAEGGREAPAYLPPSSTDLPTDRRAWWSPSPWQQSSATLLGLLEVRPSPLKTEAVAVAWWSLVSVPVAVRDGGITVVDMGLVDVHAGVGFSCLVVFGSSAGTNSRRSRRLSDGSGSGSGGSSP >KN538984.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538984.1:106889:109720:-1 gene:KN538984.1_FG008 transcript:KN538984.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALDRLGIASRPFTRQENTVIQTCAVACYTIGYGGGFGSFLLGLNKKTYELSGASTPGNVPGSYKEPGIGWMTGFLLSTSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGNWYSAKASESSMSGLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVVGTLMGCVVAPLTFFLFYKAFDVGDPNGYWKAPYALIYRNMAIIGVEGFSALPRHCLQLCAGFFAFAVLANLARDFLPRRYGRYMPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHRFDGKRAALLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFTPGN >KN538984.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538984.1:31466:34327:-1 gene:KN538984.1_FG009 transcript:KN538984.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKQEEMQRRFLETMEKREAERTAREEAWRRQEVPRLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDAIYRRKHLTGGGGGGASAANVAATAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVDSGMKKRFKLNMRMFVRKLTGNPLAVKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGATTAPPPATTPASAVPTSTPTSTFLAMVQ >KN538984.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538984.1:482:2663:1 gene:KN538984.1_FG010 transcript:KN538984.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQLILFVFVCCLSSRFADAYDPVDPNGNIIINWDFQSIENVYTVMVSVHNHQLYRHIEQPGWRLSWRWAGNEIIWGMTGAEATEQGDCHRIRGATRPHCCEKQPVIVDLPPGTPYNNQVSSCCRGGVLSSLTQNNRTSTAAFQMVVGGFRRATYHDGDRGPALPSRFGVGVPGYSCSNATKVNATSEGEKLELPAGDGEAVAPVFRCTDHMCPVRVHWHVKISYREYWRVKVTITNYNQVKNYSDWNLVVQHPNLRSLTQLFSFNYQPLIEYGTLNDTGMFWGIQYYNEMMLQDGNVQTEMILKKDKSDFTFSGGWAFPRRVYFDGHECVMPPPDQYPLLPNGGPDSRVSAAQLIASSCLLLPFTFLIM >KN538984.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538984.1:132298:135986:1 gene:KN538984.1_FG011 transcript:KN538984.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKARRGAAAAGRKGPGTRGRLVKAQAAVEEPAPVEEVPAEEVKAVEEAPKVEEQKLQPSPPLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVQEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVGLKIWIFYIMVRGKQCGVAPSHDNDTLFVGNICKAWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGQGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPLPVRSRPLKRPVDIRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSRTTFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSAPRLSDRRAYIDDGYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMPTKTLLLLCQDDVPPRYHDISVRQSKARLDYDVGGSSARYADTYSERLGRSHAGYSGGRSVSGHDPVYSSGRHSMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVGGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >KN538984.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538984.1:174245:176362:-1 gene:KN538984.1_FG012 transcript:KN538984.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding AEDCGLEKSNPDGIVGDADVHLGSGSDARDAECVSEGLKKPCVNNSNCSSAADCTPKVKKGNDSGTPDGGADESNAAAKSSNSACPGNNGDETNRKGRKVVLPWRFQVGYKRSFSKAFCSDSESSGPSDTQFYRAQDSSTPCTPATRSSVRCYASAHSGVRVSAMRDFSVKGEKETSTPYKKSKTGMDGPSQGMPKNGVNNLDVLVYSGAMTAIANQKIEGTNLALKKSMDTNTPVRVIHGFVTHLNGNCQRKKIPTYIYGGLYIVEKYWREKEGNDRYVYMFRLRRMAGQKHIDIQDILNSGQAESYGGIIIKDISRGLEKIPVSVVNSISDEYPMPYRYIAHLQYPRNYQPAPPAGCGCVGGCSDSKRCACAVKNGGEIPFNDKGCILEAKPLVYECGPSCKCPPTCHNRVGQHGLRFRLQVFKTKLMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEAGFAVDASKMGNFAKFINHSCTPNLYAQNVLYDHDDKSVPHIMFFACEDIPPRQELSYHYNYTIDQVHDANGNIKKKKCLCGSIECDGWLY >KN538984.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538984.1:56735:61362:1 gene:KN538984.1_FG013 transcript:KN538984.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKTKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTTDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKVPSDTQIMQASTYCSALAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKTRDFSFEYQWQIFRIPVYYLGPLKEITVFTFETN >AMDW01021741.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021741.1:2:301:1 gene:AMDW01021741.1_FG001 transcript:AMDW01021741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAAAAEIFAEHRRIRTQLLATSHRYRAFILSCLVTITVSQLGALVVALSSKDGKSFANSGDLL >AMDW01029992.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029992.1:53:278:1 gene:AMDW01029992.1_FG001 transcript:AMDW01029992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLVDFVGAMDEDWLYKSSSSVSFCSYLDDIVIYFQTMPQTTSAVALWVVKLDALITPYLERAGSDRALGEESVQT >AMDW01026019.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026019.1:52:334:1 gene:AMDW01026019.1_FG001 transcript:AMDW01026019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAGIPKKFAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQ >KN542063.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542063.1:3506:8418:1 gene:KN542063.1_FG001 transcript:KN542063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLKGYRYGGGEAEGECDQRSRLMSLGGARQRKRSAWRSHQKIDHDEGAIGMRRPTFLWHPHKISTCFDLDDNDISPWRAHASLPKLILKLCHPALMTNQDVDEFYGFCDPEKENLCLYGHPNGRWEVAPPAEEVPPELPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLNGNERKRLFSLINDHPTVLEALSDRKHGRDNKSGADNGSKSRHSGKRANDVQTKTSRPAVVDDGYDEEEHSETLCGTCGGRYNANEFWIGCDICERWFHGKCVRITPAKADHIKHYKCPDCSSSKKSRQ >KN542063.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542063.1:14980:17710:1 gene:KN542063.1_FG002 transcript:KN542063.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLMGMLPAKAVLVTTGDVVSVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDERSLTGESFPVPKQPHSEVWAGTMNMDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVALIPALLGADGLEQWWKLALVMLVSACPCALVLSTPVASFCAMLRAARMGIFIKGGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVGDHKVEMDHLLYWIASIESKSSHPMAAALVEYAQSKSIQPSPENVGDFRIYPGEGIYGEIHGKHIYIGNRRTLARASSPQTIQEMGEMIKGVSIGYVICDGELAGVFSLSDDCRTGAGEAIRELGSLGIKTVMLTGDSSVAAMHAQGQLGGVMEELHSELLPEDKVRLVGGLKARFGPTMMVGDGMNDAAALAAADVGVSIGISGVKRPTCCDMGAADVPDSSPETAKDCRNARCCASGGSEDDDLR >AMDW01037523.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037523.1:281:715:-1 gene:AMDW01037523.1_FG001 transcript:AMDW01037523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVDQRAGREDRGRTMILQNNGYDPSGSFMVYSQIDSELMNTMVLSPSDLPPGRGGPSLYPNGFSLLPDVEAAQDSSGIALGEVGGTLMTMGFQIPVKLASGDRMYSRSAASAIRLMTDTIALVKKTLMNEHSGIYGVSPFHP >KN541591.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541591.1:5529:9336:-1 gene:KN541591.1_FG001 transcript:KN541591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLPSRLFRLMASGSSGDGGFSRPTSTDEGPMPIYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPNDKKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVKDSVKLLRPSDHFRRDSGGSCTARLVLALGAEAKIDVVPGSAEYAIPFTTLDDALKVESQLKMLERRRFGKNSPDIQVAIVGLGYSGVELAATISERLKNKGIVQAINVQTTICPSAPPGNRDAALKVLESRNIQLFLGYFVNCIRKASASEDSSSMVTDAKEVNGDHKKLLLELQPAQRGIQSQVLEADMVLWTVGSTSQIPRLQPPDTPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRSDAAITASFIEGLTLEGPLGHAARKIVYCLRMPTDEHRVKVGISWFTKTAVDSLASLQNALLRVLFHMVVSKG >KN541591.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541591.1:21837:25109:-1 gene:KN541591.1_FG002 transcript:KN541591.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTIKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSY >KN541591.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541591.1:15750:16292:1 gene:KN541591.1_FG003 transcript:KN541591.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPSPLGVAASGSPAKKADEFTTRPVSKSAGWRRWRLSDLLLFRSSSEHGRVTKDPIFKSSPARHPDSPVKKASAQPATTPGKANGKADTASKPRKHAGDKNAAAAAEGILGSVRLSPLQRLARGLRGSSWYHGHGGMAKLGTKG >KN540368.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540368.1:3:4995:1 gene:KN540368.1_FG001 transcript:KN540368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASAADVAILIHDAAAVAAAGGRSSGSAAAAALSTIRLHKDGVTALAVAPGSGASLASGSIDHSVKFCSFPEGVFQSNIARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASIDTFGTVIFWDLCTGTEARSLKRIAPTFGSDHSINNALCWSPDGQFLAVPGLRNNVVMYDRDTGEEVFTLKGEHEQPVCSLCWSPNGRYLVTAGLDKQVLIWDVKSKQDVERQKFDERICSLAWKPEGNAVAVIDVTGRFGIWESVIPSTLKSPTEGAPDLNSTKVPLFDDEDDEERPSTSGGLDDDDDDDESLGELGPFNHKRLRRKSTYHDHSNGDSEDEDLILQMESRKRMKDTHRDNKEVADKAIGDSATSVRLVTARMQTAFQPGSTPPQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKAVAVGAGWVAAVTTLNFLRIFTEGGLQMHILSVGGPVVTAAGHGDQLAIVSHASDCLSSGDQVLDVKVLKISECAQSLSSRLVLTPASKLSWFGFSENGELSSFDSKGILRVFSGQFGGSWIPIFSSIKARKSEDESHWVVGLDANNIFCILCKSPESYPQVMPKPVLTILELSFPLASSDLGANSLETEFMMRKLHLSQIQKKIEEMAALGLDTIALDDEAFNMEAALDRCVLRLISSCCNGDKLVRATELAKLLTLEKSMKGALMLVTRLKLPILQERFSAILEVKFTTLILNLRTFSTLKMAHSIFKNLSYVQLKVMQWLLVGDDAKQCKNCQYICLKLPTLPKLNPAAQRSNPTESNKAEVEQADNLKEISTKVSPAQTPSVKIPKNSEMGVKTKKDNDGASHATTVDQNPKGGSGQVGLKNKSVDSCNGVQPQRPVNPFAKSSSSKEQPSSLFDSIKKMKVENEKVDKANSKKVKV >KN540368.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540368.1:51084:53509:1 gene:KN540368.1_FG002 transcript:KN540368.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRFIPDRSAMDMDMAYFLLTEPKKEKENTDMLSPAEEAYKRLLAEKLLNNRSRILAFRNKPPEPEGIVQQLLYETLTSSQTKPARKCRHIPQSSERTLDAPGIVDDFHLNILDWGCKNAMSIALGNTLYLWNSADGSIMDLVTIDEDDGPITSVSWSCDGQRIAVGLNSSDIQLWDTSSNRMLRTLHGVHQSRVGSLAWNKNILTTGGMDGNIVNNDVRMRSHVVKIYRGHEDKVCGLRWSGSGQQLASGGNDNLVHIWDVSMASSNPSLGHNRWLHRFGDHLATVKSLAWCPFQSNLLASGGGGDDRCIRFWNTHTGLCLNSVDTGSQVCRLLWNKNEKELLSAHGYVQNSLAFWKYPSMVKLAELEDHTAHVLCLAQSPDGFIVASVAADETLRLWKTFETFEDAKPVFKTFVLVFQDNVRVGPWGTDCSYFQDLIALVVTMVTGSQKL >KN540368.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540368.1:35819:37691:1 gene:KN540368.1_FG003 transcript:KN540368.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVRRERGAVKKPTISSPFDAVSEDLLRLPNMASLVNAALACKRWRRAASDPVIFRRFFPLRRPPLIGFILTDRGDSVPYSCPNHYFVSATTRKPNLASAAADCDIFFEDVPDIDSGEQRGGGYFDEWRLRGCGGYAIVVDDADASFWVIGIDGDMFFAVFSSSTGKWALFDHTADLYEFTRSDGMPAGRFVYWRSNNKKCRYYDNDKRILLLDVATMEWTVTVAPFPVGESYCIADLAEHGGLCLVSSKEQNLQLWVRSGSSNGGWMLKTEISLLDQFGYLKKLRCEEWMKRVRVLAAKAGYVYMEYWSIRKPNSYLLVLNLNTMKLDIICNDADEPFMGPALPFFLRLAPLAPSPDDTNDLHVPSASACWSSRRTMPDFLRNSSGFLSWMTSVDSDKVVAGFVLRSKE >KN540236.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540236.1:16036:30430:1 gene:KN540236.1_FG001 transcript:KN540236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGGRVGIKLPTIEVRYENLNVEAESYVGSRVTTLTSKQGLGNALHITRKKKQKISILHNVSGIVKPHRMTLLLGPPSSGKTSLLMALAGTLPSTVKVSGTITYNGHTMHEFVPQRSAAYVSQHDLHMAELTVRETVSFSAKCQGVGHHYDRNINIFYKMFRYANGTIEKRKENIKPDPEIDLYLKAATTGEQKAEVVTNHILKILGLDICADTIVGNNMVRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTVHILGGTTIIALLQPAPETYELFDEIIILSDGQVVYNGPRDHVLEFFQSIGFKCPERKGVADFLQEVTSRKDQKQYWTHGDSTYRYISAAEIAEAFQSFHVGQAVRTELVVPFGKGKSHPAALRTSKYGVSMKELLQANIDREILLRKRNSFLYIFQAIRLTIVAINTMTVFMRTNMHRDSIENGRIYMGAQFYGMLMIMFNGLAEMGLAIAKLLVFFKQRDLFFYPAWTYSLPSWILKTPISFLNTIVWVFLTYYVIGFDPNIESEPLGRLVLESRGFFPEAKWYWIGVGALLGYVILLNILYTICLTFLTCTVDVNNDEATSNHMIGNSSSGIKGMVLPFVPLSIAFEDIKYSIDMPEALKTQATESRLELLNDISGSFRPGVLTALMGVSGAGKTTLLDVLAGRNTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTIYESLMLSAWLRLPTKIDSATRKALKTQATESRLELLKDISGSFRPGVLTALMGVSGAGKTTLLDVLAGRNTSGYIEGNITISGYPKKQETFARVSGYCEQIDIHSPNVTIYESLMFSAWLRLPTKIDSATRKMFIDEVMELVELCPLKDTLVGLPGVSGLSIERRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIRYFEVRKKNRKLKAIEGVSKIKHGYNPSTWMLEVTSPMQEQKTGVNFTQVYKNSELYRGFYTMWNLFSGFLIPLTRIAIWWRWYYWICPVAWTLNGLVTSQFGDVTDKFDDGERVSDFVKNYFGFHHELLWVAAMVVVSFAVLFAFLFGLSLRLFNFQKR >KN540368.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540368.1:18370:19630:1 gene:KN540368.1_FG004 transcript:KN540368.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCARSESGGGKKPMTSPLIDAVGVDLLRKILLRLPNMASLPVPRHCPNIYFVRTTARKPNLASAAADCDIFFEDLPDIDSDEDDDDGRGFYSDEWRLRGCDGGRLLLSRGRYGLDLAVYDPISRTAIFFRPPQAFRCSFHMVRYAIVVDDADASFRVIGICDDTSAAMFSSRTNKWTLFDFDAEADLCYRFTDRDGMSAGRFVYWRSNNKNNKNVERILLLDVGTMNWTVIVAPFQVGESYCVADMAEHGGLCLVSSQEQNLQLWVRSSGSGTINGGWLLKKEISLLHQFGYLKKLRSEEWMKRVRVLAAKAGYVYMEFWSIRKSNSYLLVLNHNTMKLEMFRNGSDEPFRGPAFPFFLRLAPLTTPSWDDANDLQVPSG >KN540236.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540236.1:43803:52545:1 gene:KN540236.1_FG002 transcript:KN540236.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGGSLRREASSSWAASSARSGDAAVFFSRSSTSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLGPQERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHITPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGNVPSGLKFIIPLEVLVLNVTLQPHKVSGQITYNGHTMDEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEVDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYNIVDSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPKRKGVADFLQEVTSRKDQRQYWMHGDETYRYVPVKEFAEAFQSFHVGQAIRSELAIPFDKSRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMTFIAMTVFIRTNMHHDSITNGGIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLVMNETSSGLFRFIAGFARHQVVASTMGSFCILIFMLLGGFILSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKTIPGFREPLGKLVLESRGVFPEAKWYWIGVGALLGYVLLFNILYTICLTFLNPFDSNQPTISEETLKIKQANLTDNADDSNDEAISNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPAEIDSATRKMFIDEVMELVELSPLKDSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPVGQHSCELIRYFESIEGVSKIKHGYNPSTWMLEVTSTVQEQITGVNFSEIYKNSELYRRNKSMIKELSSPPDGSSDLSFPTEYSQTFITQCLACLWKQSLSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRSNQQDLFNAMGSMYASVLFMGVQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >KN542057.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542057.1:790:3696:1 gene:KN542057.1_FG001 transcript:KN542057.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GALVANYPWDGSRDQSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKAAELPVIWEHNRMSMLNLAASLVKTGVHGKIFAADTGHPIPGSLTIKGIGSEIRASRTYGDYHRMLAPGENYEVMASMKGFRTKATRIVVEEKAVSLDFILDRDGANGQVIRNDLDCPCDDDKLFHVQGARLELYLFVLLIIIALYFLLKRKTTSKFTTHRHSPKRPIAV >KN542057.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542057.1:11081:12080:1 gene:KN542057.1_FG002 transcript:KN542057.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRDSREGCGGRKGVCNAVLFMYAHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKMKAVTCGICRHNYGRTGLAWIINGLH >KN541216.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541216.1:12650:14552:1 gene:KN541216.1_FG001 transcript:KN541216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFARERDWEQFHSPRNLLLALVGEVGELSEVFQWKGEVPKGLPGWGEREVEHLGEELADVLLYLIRLSDMCGVDLGKAALRKMELNARKYPIGQCRGSSKKHTHYSTTTTTTDNGASGDDDNRNAGADADAGKEQC >AMDW01037166.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037166.1:142:464:-1 gene:AMDW01037166.1_FG001 transcript:AMDW01037166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPG >KN541216.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541216.1:22325:23685:-1 gene:KN541216.1_FG002 transcript:KN541216.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLPFQQRIPDKFIRDYITGENLNSNMAIILSPLGKSWRVELDKDQSGVFLGGGWLQFLSFHGISRGDVVIFRYEGNLVFKISVFGVNGRQKDFKAKGISIHQGTGEQQEAPSFSRRKCNNKKKSRFGEDDGNQQEMPCSRKGSGNKGRTSDRETKRMRKTRSVYEIGPRSWIKKEINEYVLERCILSLARTFCESIGFAEESSITLMMIDTTSTQGDQGGSSSSSRSWEVTGRRYKDACYLLGAGWRRFCEDNGVRSGDVCVFTVLDTTLWRVDIERC >KN540797.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540797.1:3185:4553:1 gene:KN540797.1_FG001 transcript:KN540797.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGVKTEGESEAESDNEDEKAKVMARAMLGPNKGVKTEDDSETESDNDDEKPKAMAMAKARVILRPNKGSN >KN540797.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540797.1:28921:29874:1 gene:KN540797.1_FG002 transcript:KN540797.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPKVTERKADKDHDDNNDGEGGGFFDKVKDFIQDIGEKIEDAVSFGKPTADVTGIHIPHISLEKVELIADVLITNPNPVPIPLVDIEYLIESEERKLMSGTIPDSGTIHAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKIRVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKETTNIKKQEVTTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDNDDQVFVS >KN540797.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540797.1:20721:23554:-1 gene:KN540797.1_FG003 transcript:KN540797.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATSREVFKYHPLPTPGVENSFKDEIQSKVLGTIGDVMNSFDPKSFPQHVEGALGTAGNIINSFESKLAEHKQFDFGGKTNFYGYDCADDGWGSAPLKADKPVNLRNLLGGLIAIISRGGKNSEIQLPKDTKSSVAFLGSGSDGETFLHASVYVPSAPPLLDEEALNYNVYRVVIEAEPPEWLPDSHANSCMQCAASFTVVTRGRHHCRFCGGIFCRTCSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLFINSISNATQTAKHDVMDWTSTRGWLNLPIGLTMEHEIYKAANSVRSYSQIARLNPERSIPHAVLSGASGLAILTVVKAGALLTYKLGTGLVVARRSDGSWSPPSAIVSVGLGWGAQVGAELMDFIIVLRGLEAVRTFSSQMHFSVGAGLSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNFVATRRDANLRFYGDPYLTTSDILMGDMQRPNAAKFLYTALDGLYSGLSR >KN540129.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540129.1:27274:30252:1 gene:KN540129.1_FG001 transcript:KN540129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFFSMFTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPGSNIEAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLEAAKRMNTNPPNCLVIEDSFVLLSLFAGPCQSTQPINFFNASMPNFHSNLPAENFSDVISEHTSGVYFGWAGLSTRESLIERIHEDARIAEKALNLPLYAKYKDSPYLRNSLKEDNSANGNQSVIDSK >KN540797.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540797.1:7961:11298:-1 gene:KN540797.1_FG004 transcript:KN540797.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKSVMTKEEQQLSPAASPAAAVMTAEADAINEEQDKAAADTSADHTPPPAAAVADHAGEMDMASGSGVAHLAPLVAVAPAQRSALAMAVSGLADHVLERMVKVLMRKCHPPQALYPLIGKSPLRPPWPAPLLSKAEKEVAVVAMVKNLVPDFERLFMAVRMAPSVTSRITDAEARAWDDGVAKEREEYIARHPQRAMPKPMHEWSLMASLKPEAVRMKLKAPKPKPQVTIKVEDAAPFLTVSAAADDPAVAAPATAAAVEAAAIEAMRNSSRDPDASYYPMPSPLHGHNEVGPNDYPENPAIWKEFNRKEGQLDLLRVGKKNGRMVISDRVDGGASGSGPRKGHLVMKTYKKAQEYYRELRNKGAMASGAGVKIEDGSETESDNEYEKAYEKAKAKAKARAVYQQNKGVKTEDQSETESDNEDEQAKIMAKAKARVIPRPNKDSK >KN540797.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540797.1:39494:40858:1 gene:KN540797.1_FG005 transcript:KN540797.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFYDNPNRRRAYEIVGAQLVICHQQLYTKNILRRAFYLTIVPCHISFRSLLITLLVIVQYMLTPIALVLFMCAEKGGQLHNRADIIISYILLVGAIILDVFAATMYVCPYLISKLPSERTKSIILWAVNSIPPPRGRKQWSQEVAQYNMITKYTMQGTVGLLSSVQKWISECSNTCGVELLDSTLTHISITEDLKELVLDKLLEFGKANEDWNFASSRGQLTLQKRIDRCKSEDLQQTSMTKLQDSIGSDVDFPTAELIWHIATDICYCSEDSTTEVNMKKKVSRELSNYIMYLVFKCGVMLTVNSQLVHDRALREIGEKIYRQQDEQAKTSEKEAVKKFFEANEHEQGASMANEELKDLDLWQSIAEALNYPVLPRACQVAAELFSIGNEAERWKLISEIWLEMLYYVAPRCGGAFHYEHLSTGGEFITHVLLLMRFLGPFLPIPTSSAS >KN540129.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540129.1:50777:52466:1 gene:KN540129.1_FG002 transcript:KN540129.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELKESVKEEQAEKKEEAAEEKPDEPQEIVLKVDMHCEGCAKKVEKSLLRFEGVENVKADSRSKTVVVKSRAADPSKVCERVQRKTKRRVELIFPLPPPPEEEKKEEAPAPPPEEKKEEPPKTITVILKVQMHCDACAQILQKRISRIEGVESVETDLLNGQVVVKGVMDPAVLIESIQRKTRRPAVIVEEVKPREEEKKAEEEEKKPDEDKKADGIEEVKKYDFWPPVQYYVEYVYPYPLPPPPTALMSEEFSDENPNACTVA >KN538773.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538773.1:215459:216947:-1 gene:KN538773.1_FG001 transcript:KN538773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADESDRFLGEILAAHDDEKAEGEEEDFVDVLLRLRRQGAAAAGGLELAEDNVKAIIKLIRNTRVMSKLQNEIIQVTGSKPTVTEEDLTKLDYLKAVIKEVLRLHPPAPLLIPHHSMVPTTIQGYHIPAKTIAFINVWAIGRDPAAWDTPEEFRPERFMGSAVDFRGNDYKFIPFGAGRRLCPGIILALPGLEMAIASLLYHFDWELPDGMDVQDLNMAEAPGLTTPPMNLAWLVPRCRTI >KN538773.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538773.1:239174:240289:1 gene:KN538773.1_FG002 transcript:KN538773.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAKRRRHHSPPATTAVGGDSSTPTPAAPADSSSPSLDLIPDIARRLTSLEDFFSLRASCRAYRALLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMINNLGGRSLFLDLDGFAACVDQDHPGVRGDFLYAAGRCLGEWHEYSLADGTCDVCNADYPGAPPLNNSSLIRPSVWIFPSLC >KN538773.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538773.1:187823:189945:-1 gene:KN538773.1_FG003 transcript:KN538773.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAAGGGAAAAAGKPSGPALPRRGKSCKGCLYYSSMLRSRGFNPVCVGIPRSIPQVPSYVVDEPREEAAAQGHDLRQFKYACAGYSMFVVDNKDGRSGEKEGKTLLPYCQGLELLVDSRLVEKKSPNNEPATASFRKEAATSSRQQGQRPGQLTGQDFYARKASFQISPSCPFLMIVLPM >KN538773.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538773.1:218414:220754:-1 gene:KN538773.1_FG004 transcript:KN538773.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRDDDPAYAARLLAGARSAFEFADEHKGAYSDDPELRAGGCPFYCDFDGYQDELLWGAAWLRRASKEGTYLDYIQNNGRTLGAEDSTNEFGWDNKHAGINVLVSKEFIDGEVLSLQSYKEFADGFICTLIPESSSPHITYTPGGMIYKPGGSNMQHVTSISFLLLTYAKYLSNSSRTVNCGNVSVGPATLQHLARKQADYILGDNPMKMSYMVGYGDRYPQRIHHRGSSLPSIKSHPQRIACNDGTPYYNSSNPNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAYLVGNPDPGQGHVRH >KN538773.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538773.1:229559:232690:-1 gene:KN538773.1_FG005 transcript:KN538773.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWDPGNRKVRARLREAEKKVLDARKKKVTASDGNVAYLGGFAMPNDPAEQLKLRGYHMVSGAVDIGMFRKVALEDVKRFKEAVMEIGEEEGEEDDEKKDKEDDGLRGLSGSIFQGPLVYSCLVVRLILRTSCLGILGFPFVGASSVVNSNLPCSSRISSCSDFTSGYSWRPIEAAKLCRTRAVRSLQITCTATKPAKSPAEEEWKIKRQVLVEKRVRSVDVKEALRLQKENNFAILDVRPVADFKEAHPPGAVNVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIQSVDEKLGKDAKIIVACSTGGTLKPTQNFPDGKQSRSLIAAYLLVLNGYKNVFHLDGGLYTWFKEGLPAVEGEE >KN538773.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538773.1:178986:182297:-1 gene:KN538773.1_FG006 transcript:KN538773.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLFFVFLLMLLDPCSSSRSNAAMDAILYSYKHAFSGFAAVLTGGQAARLSDWPGVVRVVRNRVLDLHTTRSWDFMGVNPSPSGGGILLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGRCVAGEKFNASNCNRKIIGAKWYVKGYEAEYGKMNTSDIYEFMSARDAVGHGTHTASTAAGALVANASFRGLANGVARGGAQRARLAVYKVCWATGDCTAADILAAFDDAIHDGVDVISVSLGQAPPLPAYVDDVLSIGSFHAVAKGVVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRIFLAKIILGNNSTYVGQTLYSGKHPSKSVRIVYAEDISSDNADDTDARSCTAGSLNATLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSLDIPCVQVDYQVGTAILAYTTSMRNPVAQFSFPKTIVGELVAPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWSPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDAYGFKMVSEAAPYNDANPFDYGGGHVNPNRAAHPGLVYDMGVSDYMRFLCSMGYNTSAISSMTQQQTTCQHTPKSQLNLNVPSITIPELRGKLMVSRTVTNVGPTLSKYRARVEAPPGVDVTVSPSLLTFNSTVRKLPFKVKFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRIIISKFYVNA >KN538773.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538773.1:244025:248479:1 gene:KN538773.1_FG007 transcript:KN538773.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYINKIAESQRELLQAREMIDEAQRSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGALLVKCCNLRKLICASSVLALMQREFFTLHDHPFFLHNNLYSNVQAQETCIILVIHNAPNRMELDDISLHHKYGSAGRLLPVASCYWPMKSQPTACSAQESLEQEQIENFISLESWNNDHICTDVEYLIKEMALKVFNWLNRKKHSNVEYCTINENKVAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMCDEKVEEEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMHGMEVEDAPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDTEDKCCPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVWLSSSLLYLLSLVTLYVTSLEFITLCSMVMRKMLGKKIHPEQLNGRSNAEGPVTA >KN538773.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538773.1:261368:264230:1 gene:KN538773.1_FG008 transcript:KN538773.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIVWGGIKSPWSRRKRKRVLSRQQWEGLFSANGKLRDRGKKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSTEDERNTIKIKKRKEYEKLRRQCQQILNCYKGNGLKVINENNEECSGIEFSAEGSESPCFEDVNIARASVSLEELKPESEPEQPDNSMCAVTECMEEDTGELNCLDPCIAESESSDSESSDEDDPGRISMSGEENCDPDPKFTRTTSFKADFFKSSKTSEDFATWQRIIRLDAIRANTEWILFSRNQAEISRERALQCAESVGLRDYDHLDPSMIYHAARLVGLLEAYAVYDPEIGYCQVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNFHDKI >KN538773.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538773.1:226910:228649:1 gene:KN538773.1_FG009 transcript:KN538773.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVIASMSRPPTTAFLPSPWWCSPAGRMPATPRHRSSAPTTTSNAANAMAPLDQANPELGRDVVPAAAMSAATLSTPRSSSCPDATSLRRHSGGIAPAANAASISSSSKMLQLLMTTMTMVRDAAARFGCDTSAATGLEYMITRAARSNSGSDSLQLRKEMRYFYSGKAMIAGVIRSPTLTASWPSELDEDGNGGGSGRADERFGIWRGGAAVGVSDEHRTEHVVSSDRRSDHKLPLLAFYTTVLFCFSKADFRVQKRCSVKEVSEIQS >KN538773.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538773.1:190990:199354:-1 gene:KN538773.1_FG010 transcript:KN538773.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEDAGGAGVHLDETRVAVDDPTEVRASLIDDATAMVSVLGGDGDSFVQQDGQDTSGGGACDEGNELEEDARVQVRLDDTSAAVDEVGASLIDDVMNTLPVGGVASFDDGPQMDKNAQDEGAHIDVVSSTVLNDASIDFVKASDSVLEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETAEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEEPETIGLTGDDKAEKEAAPAGDNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGHASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPACHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVFFWHMCSVSLFLNYLTLQGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGRRKEYPSSLFTLRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRVGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSFVVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQHEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTGWAQLANTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >KN538773.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538773.1:169136:177081:1 gene:KN538773.1_FG011 transcript:KN538773.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAARRLRAFLQDHRWDAAMSGKVCDVVPAAIQGLDAFVAHFSASCFPCRTKEFLPVWFEPPRDGEQQTKAHMLTCGGHGATIHARSSCPLPLMGCEALGKKRKTLLYATDKGPEESLKKTIEVDRLIEMLRDANPREKCLKYAYPVILVSLSLDFIIMSMKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMHEGENATWPPRDPEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLLLDGLTVGKGNVSSEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >KN538773.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538773.1:234683:238583:1 gene:KN538773.1_FG012 transcript:KN538773.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 22 homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/Swiss-Prot;Acc:Q5M759] MVVANVEGDGGAGPRDDVGVGGDDWVFGGEEDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKVFTIELKWHSIFQLLSNFVYMVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKS >KN538773.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538773.1:252970:255527:1 gene:KN538773.1_FG013 transcript:KN538773.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGSKKKEVLIFDAEEDLAVSLAKYTAELSAKFAAERGAFTVVLSGGSLIKNIRKLAEAPYLDSVDWSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKNCRSVTKTLVLLIQFTEPLLLSVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSQSTGFPRFDVMLLGMGPDGHIASLFPGHPLVNENQKWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSMLQNK >KN538773.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538773.1:200244:202075:-1 gene:KN538773.1_FG014 transcript:KN538773.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWDKFLLPIMAEHAEKKKKKKRDDGGAGEEDFIDVLLRLKEEDTELTDTHVKSRVVDLIAAATETTSVTLEWTMAELAANPRVMAKLQDEIARAAGGKPAITEVEVGGMEYMKAVVKEVLRLHPPAPILVPHESTAAAAVQGYEIPARTSLFVNAWAIGRDPAAWGSPEEFRPERFLAGGPAVDFRGNDYQLVPFGAGRRICPGISFAVPVLEMALVGLLHHFDWELPAGMRAAELDMSEAPGLTTPLHVPLRLVPNCELGSEKKSRLDSDFSDKEISGPVDQRLVDPSSDVPVFLAPAGSLGQ >KN543669.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543669.1:4220:6136:-1 gene:KN543669.1_FG001 transcript:KN543669.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ICAYRSLSLCLLFALGSKNGDDDPDGVKAILVYENLSSPYGIAGTLLHVQVSQSYLSKPKFIFNGGHELWEWRSICGCGHMDSQPLNDTTTRTEVKGGKVVSRK >KN538723.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538723.1:226384:229940:1 gene:KN538723.1_FG049 transcript:KN538723.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Aurora-3 [Source:Projected from Arabidopsis thaliana (AT2G45490) UniProtKB/Swiss-Prot;Acc:O64629] MEKPEWSMDDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTFKAKLDKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRTVRRFSERTAATYVTSLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTLRRIVKVDLSFPTTPHVSADAKDLICKTHQGVIVTKRLAHNTFKKQGYSVSLRDLVKAGVVFWRMRCLPVARIFLS >KN538723.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538723.1:294736:294969:1 gene:KN538723.1_FG050 transcript:KN538723.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVGVWLGEFAKMGRGAAPAADAGGGRRAQGEGESCKCKAGVVVQENTTRRRDSSILLSDSEATVCMLMDRFAPA >KN538723.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538723.1:181259:187581:1 gene:KN538723.1_FG051 transcript:KN538723.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELEDFGVDLSDKKLFIREQVDLLLSEVAAGKAEQEEAEVPKEEEPETGAEAAGGEPEGAEGEGEEEVEEEEEEEEEEEEEEDSSGSRKKRRSDGANTDGKRKGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSDGPVTSASPERSTPKEKPQKRERNEGKKQKGGSSGSASGFLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQHMGRVALKFNEEKLTGRFNPVDDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >KN538723.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538723.1:269144:269500:1 gene:KN538723.1_FG053 transcript:KN538723.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVATTLPSLAGAAPAARKRSGVTYVEGMNAYSGLKALNKVTLLGVRKTADYSFAKVVAKLSPAGGKSRGGAFGAQCNAAGEIFRIAVIMNGLVLVGVAVGFVLLRVEAAVEESE >KN538723.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538723.1:270186:273766:-1 gene:KN538723.1_FG055 transcript:KN538723.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTSKIFALFALIALLSASATTAITTMQYFPPTLAMGTMDPCRQYMMQTLGMGSSTTMFMSQPMALLQQQCCMQLQGMMPQCHCGTSCQMMQSMQQVVCAGLGQQQMMMKMAMQMPYILATIGYLDFCLMSLLKAVLYMLEFPLGPNLLHAPMLILNSLLPQSMSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLLLEEIIRVADSKGGWLRAVLLTGHGFH >KN538723.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538723.1:263694:266123:1 gene:KN538723.1_FG056 transcript:KN538723.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKYSSAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNPSDSTASFTLSEITANLSNHALYGAAEKGTCEHDVKARIMEYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQSPNGGTGTGMVRIMPVFPQYLSDSVDGFDGVLDGLEDDTIIPIEEGSASNSLHATHLPAFSGAYSSAQVREVIEDDMDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPASWFSGRKNVKKTSPKVPSKLGRSPIPDNHVVSFDAAVRSVSQELEHGKEFTEEDCSQNGIKNVVPIKVSEIEEDQDGKQNKRFVKFSCANGPAEGSSTSVFGGCTARGNGSTSEICSEAKDSAIRRENEGDFRLLGRRETHNSRFNGGRFVGVEEAERVSSMGRKVSFSMEDSRLCRNSETAETSGYAMGDEDDDEEYSDYDDIQDGRREPEIICKHLDHVNHLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYERGAAVAFNIKDCSTGTSLINPETVQKLAEKEGLSLGIGFLSHIRIMDNQKQGVVDVGLSSSLCRPTSNGRREKKSSKNDIIGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQQRLSSIPEDSER >KN538723.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538723.1:234219:246920:-1 gene:KN538723.1_FG057 transcript:KN538723.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRVVEDEAEADLQALARRFSFSSPSSPSSSPPSSSRKPPLPPRRSPQKRPGLPTIPENGPPAGMATATATPKRTRPPTSTNKMREYKHENDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMISIRSLMAVVKEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLGECSRMVGELEQLIQIKNDKNYSNAFESLSTVVPNVYDYLIHVATQIHSEVVQFKFVIKVVKAVENLHQYAEIMRTHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGATSSSTRAILRTPLSALPWFSIQTSPASSGSMLQPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGAVINVLYFTLSDVSTENSSFHPILLDCFNFQFLIPISSVAEDDDPKKDALSRPFCLFASSRHSEYHHDADDHNHPKPKPKAKALPAASAIAANGGGHSLLLSRSCATKAPVNDPPSSLAVGLLTVFASGMGSATGRVGASSLSASPSISSAFNPAALLPFLQATKWLPCSDLITSAAPSRKSARPVDVAKAPTAAPAATPVSRTNPAPAPSPRPAHVPSPAVAAPSKVGVKVLVGNGVINSGVINSSGAASNVGVKALVGSGVINSGAAGMVRKSSPALGAAAEVSRRNWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPNILDIVIFRTPPVLQALGYSSGDVFIKRIVAKGGDTVEVRDGKLLVNGVVQDEEFVLEPLNYEMDQVTVPQGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTVFEHDAVHCAAGIS >KN538723.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538723.1:174520:174969:1 gene:KN538723.1_FG058 transcript:KN538723.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLEIGVELAVRRPNPTESLLEGRDEGAAGEGEESSPSAETPTSMSSTCRSRCGSLPPPQPNPTTSRRRLGTPPPPPPPTREVEARAGDAGVDADADADEEDGDGEGETERFHHRIGAKASESLPGGAARNPSDHSGNGDVRGGGG >KN538723.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538723.1:204829:209665:1 gene:KN538723.1_FG060 transcript:KN538723.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEARYFFQQLISGVSYCHSMHRGKLNYPTFSIFKQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQVCDMAPFDRKKRITIPEIRSHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >KN538723.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538723.1:230715:233559:1 gene:KN538723.1_FG061 transcript:KN538723.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPLPLLCLLLLLLLLLPSPSASASFSFFTASSNASLSTFSDSDPEPELAREPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAARVGAVQRYEFRARAGSASALIVVACNLEKGYQHVNNKRNATYAGLKRVIVAAGVALKVIGAQKVIFTHPHSIGLLTNGSLLASNNDPSRIWPLSYATCAPILQVSAVGSVMVVVNESNVLGRRRSHDTVELLSEKCEVDVANRLISVCVFCSISSRLPRLDKILKTWFSNKTQDSKSMQFIQAKVTSIPLIKFRLELERDITEEDGIWENISEWKTVPMVQRVTLDVVAKVEEEGRLKAMSVKKCLHAGIISNVARKIEIRAAPICGVAPALYV >KN538723.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538723.1:286959:291866:1 gene:KN538723.1_FG062 transcript:KN538723.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHIASGEAQLQQQAGMEVAMEAAAGGGGDGGGGGVVLPMMPACDDMVGICSSPAATSSAAAYFLCATTTSIATAPLAVVVPSPPAPTIPTRFHECIYGDVDVVIQSISMLRNVLLHGIDKSCLALTFLEHRRTDQTPVLEKGVAIVDSLHRCQKHNYSPVLQDALHQGAEEDHLITGNLPMGGASAQASIEAMATTNSSSPASSSPSLASLEQLLPEDSFAPNLEISLGRQNWNMDHPEELSLKYL >KN538723.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538723.1:247410:247951:-1 gene:KN538723.1_FG063 transcript:KN538723.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSEGDPRYLINSIHHRSDLRKKMAEKSHNSLSSNSLGQAAKPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWSSPQDSDSSFPVNSSNVP >KN538723.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538723.1:250743:251528:-1 gene:KN538723.1_FG064 transcript:KN538723.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYCTTLKGEECCSCWDAVEEFNKLEMELPRAELETMVKDAGGDMGHLISAIHRRAQARKTAGESSSSSSSPGDHSTKTKPYFPAPDELPKTAEELEGETEAAMPESTHTRLLRRMADHD >KN538723.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538723.1:197648:199808:1 gene:KN538723.1_FG065 transcript:KN538723.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLSLDLKMFAARSAVRMAAAAAKEATGVEACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAGKRRKDGDDAEAKAEDGDKTKWMSTAQLWVDSRGSDADSENDRRSGSTSPASRLLGGAEESSSRAVAPPPYFRREERVVLRPAMPLLPPAAHSLQAQAQQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPGTASASHSIVLVGDHWASQEVKLKLLQLGHCNACG >KN538723.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538723.1:214576:214953:-1 gene:KN538723.1_FG067 transcript:KN538723.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQLGMGTLGHHDVLSSLGLKLPSSASSSPAASYYSDQLHAVVSNAGRPQAPYDVATASLPCTTAVTSLPSALSSVSAAAPTSNTVGMDLPPVSLAAPEMQYWNGPAAMSVPWPDLPTPNGAFP >KN538723.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538723.1:276405:276689:-1 gene:KN538723.1_FG068 transcript:KN538723.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKILVLFALLALSASAATTITTMPYLQPTLAMGTMDPCRQYMMQTTSIGSYASMFMSQPIALLQQQYCCMQLQGMMPQCQCGCGATVLVAR >KN538723.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538723.1:168772:173435:-1 gene:KN538723.1_FG069 transcript:KN538723.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQGANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASVSPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRNTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHSASYSLWCLAAVAGGKRVECRGRRESQTRSEKADSDPGFSVAMVLVVATMNESKGELGSQAEGQVVEEGKLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTG >AMDW01135657.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01135657.1:511:1537:1 gene:AMDW01135657.1_FG001 transcript:AMDW01135657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKPPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIPVELHEKADSRRTP >AMDW01026542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026542.1:2:313:1 gene:AMDW01026542.1_FG001 transcript:AMDW01026542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVAAILCLLLLQSSKSLVQAARMMPAGDRPEIPVARTNSATDTAASSSTSQDLLQEFMAPPRPIAGKPEIIAVVDIAKRRRAIQVQGSVPSPGIGHH >KN543308.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543308.1:190:5837:1 gene:KN543308.1_FG001 transcript:KN543308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKLIGWTPLIEMKNIAKKEGIQARLVGKMEAYQPLFSVKDRTALGMVEDAEEKGLITPGVTTLIEPTGGNLGTGLVLVAIQRGYRFIAVMPAGYSLDKQMLLRFLGAEVILTDPAGGFKGMMDKVEELTKVMPNYHCFNQSINPANPDAHFKWTGPEIWKDTAGKVDIFVTAAGTGGTLSGVGRYLKMKNPSINIVCVEPSESAVISGSSPGFHKIQGTGPGFIPEILDRSIIDEVVTVSSEESITMARRLAKEEGLLVGISSGANVFACIKIAAREENKGKMIVTMLPSGGERYMNSDLFADVREECANMTF >KN540438.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540438.1:41369:43588:1 gene:KN540438.1_FG001 transcript:KN540438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTCGFRSNDLIERINKDVLTTNALFVANAFLVVILVGAGSYGRRYRHIALNRYLFLGASTLVLPILSYVVSYVGRDKYYNLFHGLECVDNRAFCLLLWAALLPMVGMNTSSILTAVHNGNEGGNFESIAMLARQIWTFFLVLYYYTKKTEELPDIIISTLLGLGFIMIILKFVAYGRARRSFAMGQNPSLIAGYMEELYRLQVSEVAEVTPLVVMGEDKQQIEKGPHGYHFKRSTFSKNGTSAMTSNGNLVTIDKVWHLAEMNDALLGPRPALKHLCMSFSLFKLLRRRFARYPLVEAGSEKAFHFVRGTLLTDGADADPEAVFRVITDELSFAWDFYYSSHPISHLDPCKRKGHIRRLFRLSKAMKPTKIPKPVKAALINSLKGSNGQLSNGIASLQKCHLRDDIRWACNGEGTSDIILVWHIATCIFEIRHMHDPSERHSSPDENDDMITAIHLSKYCAYLLASCPELLPDDTPWSKELYKSAKKITDSVLGSTDMRCFEFDRMMQLLSEKSKSNEVVCKGVQLGKQLVDGIQDENKGWNMLAEFWSEMMLYVAPSDNTKAHAKAIARGGELITILWALLTHAGIIRRPEHDNVV >KN540438.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540438.1:45048:45216:1 gene:KN540438.1_FG002 transcript:KN540438.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKPFLCSTLTIAPSGPITRHFGSLASDATVATDQASTRA >AMDW01039789.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039789.1:205:1051:1 gene:AMDW01039789.1_FG001 transcript:AMDW01039789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVRDAFSEISRSLSNVMAEDSVRCFLNMFLESPSTFAYRFTITSETLTFMIRYNALRCMKLVLEGKAPGLGSMHANPNCMSPYGCFALHEAAERCSPRMIRLLFRHGASANVRTLADDDCFLGGD >KN541545.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541545.1:3655:6739:-1 gene:KN541545.1_FG001 transcript:KN541545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGPELRTHTDRKRKDCFSCALGVCGNAQEEEEGTLGTHLVRGLGDLPGRLARRGGFSGAGGAVVVAGGGGGGGGGGVREGEGGEDPGEHGADAEAGDTRPRHPLQPVRERPRRERERERPRAEEGARDAGVRRRGEDDQARLAIACSSVSQVWLKNVEPVSYCEIRTKKDKDGDEGGNSVLIEAGSKEEVYTEEDEKLLGPCVEPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPDWICPVCRGICNCSICRTKKGWFPTGAAYRKVVSLGYKSVAHYLIATQRASPAGSSSADPNKVAATEPEALAESENAPAAKEDQEDAEMSGKAIQKVEADHQANNAPDDSDNDDSRSESVVTSDSQDCQVNLDIGCATPSKPTGPKKRKWIERSPDCVASRLRSRSNKS >KN541545.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541545.1:22212:26466:1 gene:KN541545.1_FG002 transcript:KN541545.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRREIRELRDRMDRTLALPDLADEELLRSLVKRQILASSLSAGNDEGNIDLIAEARSKEISNFLEMLNTSGNERSSKIHEAAHKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESSLYKKWFPQYNLPTFKVAQSGCLKKVRVGEEISLVSWIFSLMDVVTNICIHYLEERVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIETHGFSRDGIPEAGDTVRIDVVGGFVLQRITKEKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVANCDEDYKKALREPLYVRIREHRGSTDMANVTPVEGRTTEALPDNPTLQNLLAVTNITSNSEIVEEESEHKALFKLDHHAIGPSNPPAEQEQHVENKPYISPEVEQALSILDKAIEIIRGNNTASASVVQKFLGNDVTLDGSTTDSRNSHNIPNEHPATLPPRDSRETQHTYSLSNENVNHREKDALDSDSQRYMTASTITKTMSMTRRSTTRVHGEESLDTNGLHQNGFHKDKESKRTRKRKTNSWLCCLTPSTTG >KN541545.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541545.1:13134:17638:1 gene:KN541545.1_FG003 transcript:KN541545.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGKPNPGRGGGGVVAKFYEDLVDGKGLDGKPKSVPEKPLNKKITFADSDSSDDEEEDHSGEVADDTNNADKGETTPSEQQKEVSDTPVVPSKDNEEQTDNADESKLKKQRVEDRPISEQTEPKEPTDKPTESTDKPKGSNDKPIDDLIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVAIVQNMMSSAASTRKHMSRFILRFLPTEVTCYASEEEITKAISPLVEKYFPKESSSVYKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSNPDRTIIVQIAKTICMIGVVERYKELAKFNLRQLTSPPEK >KN541545.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541545.1:11819:12190:1 gene:KN541545.1_FG004 transcript:KN541545.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEMTQLYTKITELEMEVSEHSLVIGAIEPLDHSRRCYRMVGGVLVERTIREVLPAVHRNKEGLEEVIARMHEALEKKKKEITEFELKYKIRIRKADTNADEEEGGKKEGSAQGVLVGPAGQ >AMDW01039672.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039672.1:55:857:1 gene:AMDW01039672.1_FG001 transcript:AMDW01039672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEW >KN542736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542736.1:701:3456:1 gene:KN542736.1_FG001 transcript:KN542736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRRSGPRRQSQSVVVVVAAVLLATASCAAAQLSQSYYASTCPNVETLVRGAVTQKLKETFNAAPGTLRLFFHDCFVRAGGPYYQVELGRLDGKVGTRAVLIGRNECGAGGHTIGVTHCDKFVRRLYQFKGAAPQYSPPMNLAFLRQMRQTCPLSYSPTTVAMLDAVSPNKFDNGYFQTLQQLKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAITKLGRVGVKTAAGSDAEIRRVCTKVN >KN542736.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542736.1:9050:11460:-1 gene:KN542736.1_FG002 transcript:KN542736.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGRSISTTGFLRRGSSVSLKDQGNEERPNKTKLNPMNARWADSKEKPRYLREPFRSSGTKAACPSSSKAPVRKYFEEKQGRTFLGEADNAESSSRRTEANRLQCSKKAVVEEDVHPYGQQDEPEDLLSTSTTEDQPAELDPELLDSSVSSGVSAHAISSVVRNAALRSKSRQQKGKEELCQIRPQTASAFVNRSTIPRNSTNGVKSSNAAGPGVQRRTLKNLGCTSISDVLPSGCSSSNSVHNKRAEVMRNRAFDGESSSRPRGLNGHSSLGHSPAMYSGITGPRVRTAEQSASQQTRTSSRSIQESADSSRIRRPSTQHARVRVPNEREDSVFAFRETLARARQPEWAHFSLGEAAPRRSMRPFSMELPHEIYSSSRQGSSNQTARSRSSYRPDESPPQMFHGLLVERENYRRINMEGIAEVLLALDRIEQDDELTYEQLLVLETNLFLSGLGLHDQHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLKRNVYKLPNSDLEANRAVLDDAKCSICQEEYIEGEEVGRMQCEHQYHVSCIHEWLRQKNWCPICKTSAIPSEMDKGGT >AMDW01054345.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054345.1:6:276:-1 gene:AMDW01054345.1_FG001 transcript:AMDW01054345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVHSETLFIFMSLSRPGATYARTSPSNNNMPTVAHP >KN539760.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539760.1:36196:38100:-1 gene:KN539760.1_FG001 transcript:KN539760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRLQPSQLLPLLLLAVPELLTPGFVREDDRKRALVSRLLQYSLVHHALGIPFHQIRINRTLEGKPYLQNKNANLPGFNFSTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTASSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLCIDGEEARKWRFWLFKIDEMHLCLNFGSCTSSFGLVIEVICLRLSTPLQACIAKGHTENATDSFRRTLSDVVIQEGELHTAIEIPEEAFTLLTVEQLIQLHD >KN539760.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539760.1:12948:23967:1 gene:KN539760.1_FG002 transcript:KN539760.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLDAEKGEAALQRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKQPPSQPPPRTESKSADAEEKSEEEDEEDGEGDEELEELDDVDDEEESEEEEEEEEEDKEGLEDKAGNQVEDEFFKIKDLDKFMVKGEEAEYGGGAKQGKKKKKTENWMEEDSDEEEEEDLDEDEDNEDEEDDDEHLDLEDFDDEEEEEEGAVGDIMYKDFFEEADDQKVRKKGGSKKVQFKDEQDEPEVDDKNNDGNVSQDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNECCYFIQYFLLCVVMVAKANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYAAHLRLLFSSSLLKEFVCLYQEQEEASCIGSHDHGGGPVLTAVVPLYVAMTLAYACSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAAAADVDSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGGGGAAELQAEAEVGDDGRMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGKQGDEEKGAAGGGGHSPQPVVGKRKDLHMFVWSSSASPVSERAAAAAAAGAVHVFGGGGADHGDAKGAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGKAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTACSDFVWMIGHLSDARYTIIRGLLGSSDGQPRFLIED >KN539760.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539760.1:40302:48546:1 gene:KN539760.1_FG003 transcript:KN539760.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLETAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVAIRKISNSISSKLIIWKETLHKHATERNGDCKIGSLSTHLVDSEEFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTYLSRPWLSIVVNIASMLKNNFVCKLPVYMVVQVTINTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGILFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >KN539760.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539760.1:66769:67613:1 gene:KN539760.1_FG004 transcript:KN539760.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPLLKVKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAVPYGFGRCSVMSMIPVPVGKSLILYNSRLTGPS >KN539760.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539760.1:7325:9832:-1 gene:KN539760.1_FG005 transcript:KN539760.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHMQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSILAMLEAVMSFVPVDKLAVHFHDTYGQALANILISLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >KN539760.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539760.1:52534:56708:-1 gene:KN539760.1_FG006 transcript:KN539760.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQAVGSLILVPTALLQRRSARPAMTLGVLIKLFLCALIGITLGVNLYHDRTEYVKLRSSSGIAKVTSVALCLAGVFIIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVRSLYTMLWGQSKEGNETDDVTDDDIEKSTHIYPGEQQHTTTDQAKESTLTSSAALHVQEL >KN539760.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539760.1:249:5149:1 gene:KN539760.1_FG007 transcript:KN539760.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding DSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYGQVSKHLRKIWITTFRAMDDIKETVRNAGDSLCRAVSLLTVRLCDVSLTTSSDANEIMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAAALLRLLYSAVLEEKSSAAKRAFASSCAVVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVIFASRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEILSLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSTEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASLITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNPDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQITALLLYVTVHMLVFGFTDFRTNSYKVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDATGLAMEMD >KN539812.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539812.1:67192:69675:-1 gene:KN539812.1_FG001 transcript:KN539812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMARRVNESMVSHRLACLPWRGRLPVPRVSSPLTIGDVIASAKQPKGYRHTIVIHGRCVRETTSIMERIDDETYRGGARGGGQGIGSMAGNIAGGMASMGGSMVGGVAGMAGGNVDGGMGSQGAAGMGIIGNGAAAVVAQLRSLTVVAHGGSTPMVLTGIETGKKTGLIEVHAGGVTSSGQPGSDARSDCVAWWINGSDMGLTVSDEYDGLRKHNDGGDWPATFGTCASGGSVGSGQMIRSRILLRWRISLR >KN539760.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539760.1:27337:29590:1 gene:KN539760.1_FG008 transcript:KN539760.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGLSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRAYFGCSQMDIVNFVPRICQCYHHCCLSYENRTKISGRFDYSNKMEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >KN539760.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539760.1:68735:71912:-1 gene:KN539760.1_FG009 transcript:KN539760.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWWRGEGAAAAVVVRSKKVTKFGPWGGSGGEYRDVQVAPYRLVRLTIRSGDTVDGISFTYIGIDGLVYHMGHWGSHGGVPYEIQVVITIFIILLRTT >KN539760.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539760.1:33123:35414:-1 gene:KN539760.1_FG010 transcript:KN539760.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGRKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAATEASSSKKPAGPIGEVLERPMEEVRTTYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLANKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLCAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAADADGNGIIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNVGLRFMFGLAHCPG >KN539812.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539812.1:70536:77765:1 gene:KN539812.1_FG002 transcript:KN539812.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISMSTLLPLASACLALVLNQCYRDTRVRKKICRVDVYLRVDSRPTRDDTHLTRVGESQGGREELQGLCSRCMVEKTLVVLVGKMTCCRRAWLERDHEITAEFGASWNFEGGEQRGERSLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDGMGTCRKAGKGISGGWCMDAIELEFGVCEVQLWNQWCSCKINGVHWAQVCMSEEGQQKNHVALKERSASPYKCSLGYDLSNNVCILVCSSCNLRNLCSRGYILTRKEDEARTLDVMRILLFYGFDHVKETVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPIIRKPLPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRSKLGFTAHGCQQNKSSAKGNQKPKIYYHTISSSILKHSGNHGRKNDGIYMFQRCNFLNYRRNMSCFHCEHNRPPDEYTNSQMEANLSVPRKRLERPAHKSEVCNAWNFDFDDNESDGADVAAFEFADSSKARNSLSVDNTSSRGGSKFSEDKEFGINETWREGTERRFSERERVGFDDFDDEEDDIDSYELDLSKGGQTDGMSRMSYSDFEDACDSADLNGRAKIRNSKHGEEEDIIGSPEDEEFDHPSQSSHLAASWRKPVSCNGSNNHRRESFGSESDDGIISDLDGDINEGLKCKGGHNKHYPRRAVVRHNELDDVPFSDMDCDIGGCFQSDRRNRFSTKFSDEFHARASNSNRKKMNELFKSSDMRDGHPPFDRTRRRGTTELHDGSRDLQSNARRNWVKSGGFDDNNRPLRRLNRR >KN539053.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539053.1:152952:153778:1 gene:KN539053.1_FG001 transcript:KN539053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRVVVVSMSNAHEQSAGMYGHLILSAARRMVLNHGAAPGYCASAPIKGPSMITLLTMEKVKKKRGKLQNVLREQKARLYIIRRCVVMLLCWSD >KN539053.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539053.1:44852:48199:1 gene:KN539053.1_FG002 transcript:KN539053.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQGDTNFYLCEVSSNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKTGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYLENCIGEIVRLWHRCHSMYLAASIYDFNERNGIHTLLFTDATIEEFDLTEEDWDFLPDPDGPEEVFVSIEVHLVMLVKIVGWVIN >KN539053.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539053.1:107186:120339:1 gene:KN539053.1_FG003 transcript:KN539053.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARRCRLARYQMCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIKNFASLYAHATFNMEVLWDNTNYELAEFLQPAFLQRCLLYKIHARRKKRSLITISLSGGTNKELRAQNIFPFYVLLARPTNNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFVIPDVKNLATSRACSLNIILISCGRAEQTFDDNNCSGNHVEGSTLQKLEGKCFWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPSFLEPKFLEDDSCLTFCSQKVDATDSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIISRKRYAGHLECLWLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTEHLAEGVDPRHQTFSYRSRFKKRTRAEISSEKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPAKSLHGSNLSAPTVLQFGKTGKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRGTSRWPYSLGMRSILAVSRKRTCTKSSSTMVLEVFYGQTLEPQSTGCASHECLQHNS >KN539053.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539053.1:96976:98205:-1 gene:KN539053.1_FG004 transcript:KN539053.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDDEFGGHASQPPGLLHLYLSFPKDTPFSFLSLSSAAPRRRQKVPTAAPLEKPTSIAMILKNDNDLKTDGPYWASRAMRTYKKMSN >KN539053.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539053.1:77924:79678:-1 gene:KN539053.1_FG005 transcript:KN539053.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTGPKSPVRNGGSPPHKKSTSEFRGRKNEPQILRKGGQDSITLDESKRRSPTSQTSPKRSSPKHEQPLSYFRLHTEERAIKRAGFNYQVASKINTNEIIRRFEEKLSKVIEEREIKMMRKEMVHKAQLMPAFDKPFHPQRQIITSTYH >KN539053.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539053.1:120653:130877:-1 gene:KN539053.1_FG006 transcript:KN539053.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKLAGTPPELALVVFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEVAISEGLAEALMILQGSSSNSQNHQSHEVQKHCILVAASNPYPLPTPVYRPLVQSSDHKENNDGAKESCLADAETVAKSFAQCSVSLSVVSPKQLPTLKAIYNAAKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLPGNLVTNHPITKMDTAATSVPVPTSNGNPSVNGPMLTRQPNGVVGIPTANIKTEPTTLPPMVSAPAFSHVTPVANGVSQGLSTVQSPSPSLISQETNLANDSVQEHKPLINPIQQSIRPGGPANVSILNNLSQHRSVATIISGGMPGIPMSGTGQSIGSQQVVQNTAFGSNTSITGNSNIAVSSSLGNIQSNIGISGPPVTQGGSMGSTQLGQGGINTNQNMISSLGTTTVSSAPAMMPTPGMAQQAGGTLSGQRQGQPVFICKLEGYRSGTASETQYVGKADFLVFRTLNQHGFLGQLQEKKLWTSVSVRSDSTAFANFVVVSVRQSWAPHWHAVPWGKIFFTQKRIRSRGIKLSDHGAKQQTE >KN538891.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538891.1:133344:134786:-1 gene:KN538891.1_FG001 transcript:KN538891.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAATKAAPTDALSSVAAEAPVTRERPVRADLELQIPKPCEYLFASMRLIGYSFSFVRRSSSSSEFGGTTILIADLARALVAPDVYHPEGTEGRDHRQMSVLQQHVAFFDLDGDGIVYPWETYGGLRELGFNVIVSFFLAIAINVGLSYPTLPSWIPSLLFPIHIKNIHRAKHGSDSSTYDTEGRFMPVNFESIFSKNARTAPDKLTFGEIWRMTEGQRVALDLLGRIASKGEWILLYVLAKDEEGFLRKEAVRRCFDGSLFESIAQQRREAHEKQK >KN538891.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538891.1:167190:169733:-1 gene:KN538891.1_FG002 transcript:KN538891.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAFFSSGSRALVEILTGLQSVERPMPVDHTLFEFGSIRYHLQASITDSENIYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPAKEGYTLTLRLNFSGLTRPKDRTKAINQISLLQSVILSSQLKDMLASLGSSGTMKLVYNQRDPFFVSKTPVKINAIFPMRFRDDTDLAIASSFFQELQDLGSTSSFSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGRRAARTAWILLNFQSYVKYHIKCTRSYIQSRMRKRLEIMTEVIDDAKFRGNDESRKKLQVRKRSKRRSIKFARAKKLQKGFKAVIDKIKRLRLRIRVKGLDRFRRHCQCFPVLKLTMAQRKEQKYQKLE >KN538891.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538891.1:118454:123331:1 gene:KN538891.1_FG003 transcript:KN538891.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYHMEMPTGMRELDRVQQQIASHPYAFEVCSYFLQGYYNVLANSPELACQFYTDYSTAVRLDCQTMKSSFGETVEEINDMIISMNVHKIEVKTANFVQSWGGALQMLVTGLVQLKDYPVRKRFAQTMLLAPQDNGYYVFSDIFKLICDEYDYYEGADYSHTDNILQMDAHNTMTETENFSNGNRDYSDNVYFLLLVDSLASDCMPEELEAKEALAPADIEERGPAFMPENHEVQQQDPLEYGVVIDDDSPSEELTPSFPSSTDSKQDAPLGPIVHPSVTTPEEEPMGEPAKQTYASVLRTKGHPSHQAIHSIPLNKATASSVESQLNGHMTKQVQPVHEKANLDTRYDASGPEDEEEFLSVYIGNLSPSTSVFDLEKEAGIFFGFVEYEDMSGIHNALRASPIELNGRLIHVEERRQIYRGGGARRGRGRPADFSRGQSGGRYDGDYATRSKGNGYQRRV >KN538891.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538891.1:136409:138882:-1 gene:KN538891.1_FG004 transcript:KN538891.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATLADKAEFKECLRLTWSQPYILQLVFSAGIGGLLFGYDTGVISGALLYIRDDFTAVEKSTVLRETIVSMAVAGAIVGAGFGGWMNDKFGRKPSILIADALFLAGALIMALAPTPFVIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVKGTWRWMLGIAGLPAFIQFILMCMLPESPRWLYRQDRKEEAEAILRKIYPAAEVEEEIDSMRRSIEHEKQLEGSIGEQSLVGKLTKALSSKVVRRGLMAGVIAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMIISLLGIVLWLAVLGGTFLGAAHHAPPVSDLETRVFANQTCPEYSPSARWNCMNCLKAQSTCGFCAHGGNKVVLTFLSLDVVLAVLAIDLRRELFWTIEQLLPGACLAAGEASRRTCHAGNREFYTEGCPNNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVGGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCAVSFFALVVVFFTVPETKGLQFEEVEKMLGEKDYKPWKRYRPDVSSKGRDIGLSVP >KN538891.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538891.1:149989:151535:-1 gene:KN538891.1_FG005 transcript:KN538891.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRERIAAGVFAETPQRTTAVLTDMDMNIEQKASPACSLLPEKQVTPPLSPVAHSPPVVEAVVCTPDPELREVGGQESRSSGKKKVTFDMNVTAYENTALADQEEEPPEPVSMVENEDGKHMQKDVLLPENHRYRNCSDSEEEDDEYGEDDNYGDDSDEEEEDFVDCKIDLVDEDELYTEDSKQESHESLFSLQMYKDQQNDNEVSSPAPKSSSTSVEEQSPLIQRNNHRDRGQYVRPVLNPVQNMSQWKEVKAQAAPAKKSNKENVNSVPKVGATPENSIKKEVSVDASLSTWLVSSDNSTVDKVQSGSPCSISTVNRDERPVLGALTVDDLKQSSATSSPRRSPSHNREEAILGTVGSYWSSTKQDNAHCSSRSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKSSA >KN538891.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538891.1:170457:174096:-1 gene:KN538891.1_FG006 transcript:KN538891.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFLNPLVLNLQKMELELTCPVCKCATTRTMDGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVSSMVTQQEAQADIPVAKTSFQRTPESGNRNGAEKSDQVKSYTPVASKLAYNQSTGLAYGNVDGTKERNPALETRGAADVTAMPTILVQKGPCRSQSSDGPRDLDCDSNDLEGELITSRSSPQSVLKREPNTANDDNRELKRQKSTDQDDRQPAVGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDNQAWKPNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDDENFVMLCPSHSSKKLPCERSKSKNKKTSLQRSSSDTMLDDLNSPSTIQMDGLWTASPFLTSQWVICGSALSSQEKEILDQFEHQTGITVTNGWRSNVTHVIANTDERGACARTLKVLMAILAGKWVLNINWLKACMEAREPVPEEPYEISSDVHGSFDGPRMGRLRAMQNAPHLFAGLTFYFSGHFMPNYKVHLEDLITAAGGSILDKADLSSTSLIIYSMEPPQGSDPDTLNEVIRKRKAEAEELAATIGSRAVPHTCVLDSIASCTVQLTM >KN538891.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538891.1:109796:115565:-1 gene:KN538891.1_FG007 transcript:KN538891.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 13 [Source:Projected from Arabidopsis thaliana (AT3G16840) UniProtKB/Swiss-Prot;Acc:Q93Y39] MVEDPFLVLAGGKEGGFLELEEIDEADFGIFGGAVEDLGEVDRKAGKDQKKKKRKKRKRGDDDNALPDDGDLVVECEEEGEKGEKGEKRAKKKRRNRKKRKVKEMEEKLESKEDVSDDNVEDMQDGNDMEQDNNDGLILGEDEVYAWRELRLHPLLITAVRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKAMRLSREDESTQDENSRESPLRALILTPTRELAKQVCDHLKEAAKFLRIQVVPIVGGLSMEKQERLLKRKPEIVVGTPGRLWELMSTGNQHLIKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTNGSDEQTVGTMPSCETVPILQIKKRQTFVFSATLALSANFRKKLKRGLVTAKSSASTDLSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSDDDKDAYLYYILSVHGQGCTIIFCTSIAALRHLSSILRVLGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVGLHPQKWKDSAEINGRLQHCINLSCRQGQVLLTLQVTVKDPFIFLLVATSALLTLTELGSFSTYVNENLQQFPVDHAYMPAVMNRLTLARQIDKITRYNLHLHAFQENANKSWLQRNAQSMGLVLETSDSEEERVQGHKQRKATSANLQKLQQDLSELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSKRNVKGSASVNANKGSRFVVIGQDQIEPLQALQNSGQEVCVSIDKQREKRRLAENWRRKKQKEKKSTREQKRKEKRIAKERD >KN538891.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538891.1:189962:194180:-1 gene:KN538891.1_FG008 transcript:KN538891.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERYNDLARDRDALRLRSSDGERRREPSPLTPTSCLTKCSLHGRERDKKQVIKLLLSDEYNCQGVYSVVPIVGAAGVGKTSLVQHIYNDEALRSKFDMKMWVWVCQEFDVLKLTRKLAEEATESPCGFAEMNQLHRIIAKRLEGKRFLLVLDDVWDESLVRWTSLLVPLKSAAPGSRIVVTTRSAKVARMMAFKIHQLGYLTDTTCWSVCRDAALQDRDPSIIDDGLISIGKSVAAKCKGLPLAANAAGSVLSIAIDRKHWETVEQSDLWANNEVIDHTLPALLVSYNSLQKPLKHCFSYCSLFPKEYVFRKDKLVRLWLAQGFAAADGESDAEDIACRYFDNLVESFFLQQSPSYDHNEQRSFRNFHNTDYTNRYVMHDLYHELAEYVAADEYSRIERFTLSNVNGEARHLSLTPSETHSHEIGEFHASNNKYMNESQYPGLRTLLVVQRTKHDDGRKTSSIQKPSVLFKAFVCLRALDLSNTDMEGLPNSIGELIHLRYLSLENTKIKCLPESISSLFKLHTMNLKCCNYLSELPQGIKFLANLRHLELPRIDNWNVYMPCGISELTNLQTMHTIKFTSDSGSCGIADLVNLDNLRGELCISGIENVSKEQIATEAIMKNKGELRKLVLQWSHNDSMFANDASSVLDSLQPHPALEELVIMGFFGVKFPVWMGSQCSFKLSFLELKDCRNCKELPSLGLLPCLKHLFINSLTSIKHVRRMLSSGDHTSSGDFQSRTAFPTLETLKFTDMESWEHWDETEATDFPCLRHLTILNCSKLTGLPKLLALVDLRIKNCECLLDLPSFPSLQCIKMEGFCRVNHLLQLPLFSQLEMLELRCHKKLVSLRKLQHISPFHSLRLRKELVQKASGCEVLPFQNPSVQDSQKTWTFLRCAGQILECNVVACTDLTFGQTNVHSSEEEIGNGVIFHIGQDEAVELVSCKPVWVQIGQPEEVEIICID >KN538891.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538891.1:188741:189202:1 gene:KN538891.1_FG009 transcript:KN538891.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRDVTRCAYGEVSQWKDVFDPETWPVGQVCAARRAGGEQEGSDDLADEDMGWEQHIPSGDEVGAPSSGEVHGAGSGVGVLWQMEVRGVPVCDGSEAHPGEKAAVWYQSWKDLFTPELLADERELLHLETGLGMINSAAQGQQISWPEHSDV >KN538891.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538891.1:142709:146890:-1 gene:KN538891.1_FG010 transcript:KN538891.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGIASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGEWDRSCQIRKGDISARDANSCNADFLCLGSVLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDAQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEDYCMSRTFTCTFTIVRVCPRSMGDSSSVANNDHTGIGSEQGKMTSSCGREPGSSEQSNLDRASAAGDCNNSIDGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKHIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEENAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQANIDLKFPCRNDYDALLALDENNHQHAGASESQINNLPQSVIQCIDEWLRRKKLCPVCKSGIT >KN538891.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538891.1:124270:125385:-1 gene:KN538891.1_FG011 transcript:KN538891.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHSFLSPSSAAASPSSLQLRRAFSDGHLPSLHPSSDGGGGGKNNTADLHTELSFSIYNTFNKMAPPPVQEQDDVVEQEEAEQQQQQQAPGQPELPLFLARGLGIDRIASGLFTAGGGGGGGKGGAGRMSAVEEEHAEKVAALDAQYKRMMDEQPGDALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHRDQDRSLTYFHKSVQAAPHNSNVLAAYASFLWEQDDDDLEEGEQDAGAAQPGHVRPLASVAV >KN538891.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538891.1:101294:108781:1 gene:KN538891.1_FG012 transcript:KN538891.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MWALIPTFCCELGLIVLAMVLICAVFGSVCSGLCSGMAQSNWEADKMLDVYIYDYLLKRNLQATAKSFMAEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAAAYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNATHPSLNGPISGLNSDGILGPSTASVLAAKMYEERLKHSHSMDSDGSQLLDASRLALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSMPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGIDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNLGDMDPRRLSALTRSVLNGKDGQPAGTDGCITSPMQSSSPKVRPDQEYLMKGNRKRKQPTSSGAANSTGTANTVGPSTNSPPSTPSTHTPGDGLGMTGNMRHVPKNLMMYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSPAEPNPVASKDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSNQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAMKGGTAQVRFQPNTGQFLAAATENVVSIFDVETNGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGDCTHEVSSNGNKFHSCVFHPGYTDLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPITGMVASASHDNSVKLWK >KN538891.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538891.1:155363:163805:-1 gene:KN538891.1_FG013 transcript:KN538891.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGGYKYLVLAPAAMHTAHRLATKGWGDFDPAYTFILPTLLLRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQSIQIKCHRRAYQLARSGEGAACLPLGQRGKTLPITMATRPGPLTEWPWQWMGGYKYLVLAPAAMHTAHRLATKGWGDFDPAYTFILPTLLLRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQVDRERSWDDQIILNGLLFYLGYAIIPNFRLMPKKHDDAVIHPFAEHLAYFLLFSISILPPIFMGCGSVLAGVLYITYIDFMNNMGHCNFELMPKWMFQTFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTEETPDIVHLTHMTSLKSTYHLRIGIASISSKPCNDSVWYMWMLWPVAWLSMVLAWIYGSSAFVVERLKLKKFSMQIWALPRYNFQVMDSSAAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPFGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQIWIGDSIDDAQGRAPKGTIFIPTSQFPLKKARKDCTYLSNPAMKIPETMQNVHTCENWLPRRVMSAWRIAGILHALEGWEMHECGDDMMNIEKTWWAAIKHGFKPLTKPCSLNSGTDL >KN538891.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538891.1:198336:201817:-1 gene:KN538891.1_FG014 transcript:KN538891.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding EDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDRRYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYIAGHNIILSHANAVSVYRNKYQGKQGGQIGIALSITWYEPFQNTTVDLLAVKRALSFGASWFLDPILLGDYPTEMSEVLGQSLPKFTSKQKKRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKTTGAPFFHDVPRGMEEAVTYYKQRYNNTPTYITENGYSQASNSNMTAKDFTNDTGRITYIQGYLTSLASAIRKGADVRGYFVWSLLDDFEWNFGYTLRFGLYHVHYKTLKRTPKLSVDWYREFLTGSLLRRKFRDESQLHKFNSY >KN538891.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538891.1:185227:186984:1 gene:KN538891.1_FG015 transcript:KN538891.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDEGRNQGGGLPHGESTAGQESETYDLGMGTSPNSSNTETSCVQETVAAANKDVPTIHFVRASSGDRDHHCRSLFSPPAYCNSYYPPLPPQPGSLATNQIVARLMAQMNYEEGTGLGKYGHGIIDPIKLTKKYGKGGVGKFESPYDSDSDYDMGPSAEPELERGTSEAEPEAILDAEEVRAMDTLQRERQAYAAARARERHHEKVRAYNMRGQRPPKHDTTADDDWEGITSGYTAIKRALKVVREQSESGKLTLGGLIHEFAGVKAKFPKEYRTNRMPYKAISFAAPLLHSQLSRQYNASKYGGTEPVLNRTLVMVEALKDMLGADTSAAYPRLIHDLVMAPPLDAWRWRAEAPKPMLRFINRWKGLLPQATMDSILDEVILPELVAAADVFRLTTWSSKPSVCVGMWIPHLGHARLRIVYIIISRRLRDWLCGGISEYDYKLALPWKKVFDPVSWDEHIERHVLPHLRKALHDLEISVRMTWLQNNNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERPRLDEAMAWYEVWKGLFTSELLAEKRVVVQLEAGLDMINRATQGLEISVPEH >KN538891.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538891.1:126165:131151:-1 gene:KN538891.1_FG016 transcript:KN538891.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTTTKAGRNGDRAGTEAPSATRATDGRGGAAVAARKVGDMRGVSGVEAKDSLTIVALQSPVTVERPVRGDLEEHVPKPYLARALAAPDIYHPDGTTTDDHEHHHLSVLQQHVAFFDRDDNGIIYPWETYEGCRALGFNMIMSFLIALVVNVSMSYPTLPGWLPSPFFPIYIHNIHRSKHGSDSGTYDNEGRFMPVNFENIFSKYARTSPDRLTYREVWQMTEGNREVLDLFGWFAAKLEWTILYVLARDEEGYLAREAIRRMYDGSLFDFPGGLGPLYFWAFGPFPVGFSLCGSPALAAAVNRTRPRNQGGERAHYAAGGGVEEWWGEDEGPGEGAHTVFRRHSEHGNGVVSVSAYPASRPASDEWTVTERWLQERNARIYPNSFGANQFKILGYQWRVMRFNDHTRQSVAKVMACYRSSGDTGMYLMQQPHCLAVPFSGQNNMKILCIGHGGGSLPLFLASKFRGAVVHIVEIDPVVASASIDSMGFPSLPVKGLPPEPTKPANGNELLWGGIHDRLFLHIADAEDFVANDSNVYDLVFIDAYDGDDIFPRKLWDAEGTFLKNLEKKVHPVHGTVVVNLHSDSELSVAGVEKTSSAESILPLGKHVSQVCRAYKQHFGLAFTAAVPWLCNITLVACRDRAIASGARLGLSRRDFVLGKLLSKSNMVEHALDLPFPCLQYIKNGFTLVD >AMDW01039609.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039609.1:147:966:-1 gene:AMDW01039609.1_FG001 transcript:AMDW01039609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKK >KN543588.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543588.1:2371:5289:-1 gene:KN543588.1_FG001 transcript:KN543588.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGLSSTHSAVKSLVGRLTDVLSDQVQLLGGLRREVQFIRDEMESMNGFLLNHARRGRMDHQLQAWMNQVKDLANHSQYCVDQYLRCLGTTSHRSPGGLWGSVRRLPRFVSTLPARYRLAIQIQDIKIRVVEVSQRQQRYPLHGTATEQEPQSGMASDHSQQAFLTGDSEADQQEHLRRRILAEDDSGLFKEAADELTSWLTVEGDGRSDLRVIPIVGSRGMGKTTLAEQVYKGYSSRLADHKAWISVGSNQSPQQVLRDILAQIVGLHANNLQDMGTWGNSQIALMIQEQLEGKRFLIVLDDVCSESLWKDIEASLHCGNSAPSAILVTTSLPEVAQSFCPYRIYDLRYIQEEHNRSLVDFFLVRAANLISDNGHGKAGLKEEVLRSILVKCSPCIFSMKMLLRFLYANPNKTLQELHDFSNSLCFCSPLHLSSWLSNAEKMLTFCYNALPCDYRSCLLYLTIFPNDHNIRRTSLLRKWIAEGLIAERDGLSAFDVANRCFDALLAQRFVLPGDVGNSGKVNSCRVHNLVRDFIAWVIRDDNFVYTKLPVDLAHRLPIHNGERLQQVSRIKLRASHFDDCWSMTRCCFTTKSVDPLAGISMLLRSIQESAQLGLRLNVLDLEGCKGLEKYHLNSVCKIFQLKYLSLRNTDVSHLPKKIDKLQYLETLDIRQTQIKAFPGKHFILPGLKHLLAGCTNCPSKKNNLMEKESCSFSTVLMPQKIVRMGKLEILCYAEVSSGLTGLMGICQLRRLRKLGVLLQGNAACNLDYLFRQIDMLDRSLHSLSIRMERLKLAKDDARKRDDMVPASFPFSPPKFVQKLNISGIRSALLGWIGDLHQLSKITLHETSLTEHVLGILGQLGSLRCLKLQCNSTMGSSLSFRSGAFRNLVALVVQDNNLLDIIFDYGAAPRLERVILSIAAIDSLSGVQHLQQLKELELHGSARNIGEVEQAIAGHHNNPVFRHEQWNDLH >KN540783.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540783.1:12872:13141:-1 gene:KN540783.1_FG001 transcript:KN540783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGKARHPAVLPPLAFYCAMQEKLVVYEYLGHGSLAKLLHGKLKLCLSFDGWALIPAHPCGWAEILIQIMACLLGWARIEAHMNNAT >KN540783.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540783.1:31659:33246:-1 gene:KN540783.1_FG002 transcript:KN540783.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLNRFTQWLRPEKLSPELQTDGDPDGCFAVLVRCYRHGQPQPAGRPDGGAHFLAATAASLANGGLSDGRNFV >KN540327.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540327.1:42077:50664:1 gene:KN540327.1_FG001 transcript:KN540327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACGPGGNLFGRVLRYVLNEFLVEVLANNRTFQRFAVRTNKSLENLSSKAKEVREELSEQWRNSRGNDDGSDDDSRVPFSGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVESAEATLDVIQGYSILYPAELFSGSPSCVKMYHGYFLTKVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >KN540327.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540327.1:182:10670:-1 gene:KN540327.1_FG002 transcript:KN540327.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRVADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTTNASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSADVSGYTDALASLNDALSEGLSPSSDWVVRVSAFEFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGKTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKRMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTSLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >KN540327.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540327.1:30381:32243:1 gene:KN540327.1_FG003 transcript:KN540327.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRHVPSQDRYIVTGAVLRDVCSGDISSSMCLFVDWNQTAEALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRANPHLLYSGSDDCSFSCWDLRQNPSNAVFQNKKSHNMGVCCIAQNPLEGNMLLTGSYDEFLRVWDIRSMVKPVNVKSLNLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVGTGDAAVVETYCKHESLAYGADWQRSEGAEQNKNCSVVATCSFYDRLLRVWQPENLGNL >KN540327.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540327.1:28503:30082:-1 gene:KN540327.1_FG004 transcript:KN540327.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLRVLVQVMAVDFSSQQLQTAASRQDQRWKPCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASILDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSILHYLTGKELEELAKEAGFAAAKHYELGGGLMGDLVATR >KN540327.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540327.1:52413:54899:1 gene:KN540327.1_FG005 transcript:KN540327.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKAKETVASAPVVVYSKSYCPFCIRVKKLFEQLGATFKAIELDGESDGSELQSALAEWTGQRTVPNVFINGKHIGGCDDTLVLNNEGKLVPLLTEAGAIASSAKTTITA >KN541314.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541314.1:13067:13966:-1 gene:KN541314.1_FG001 transcript:KN541314.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQRVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQFS >KN540327.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540327.1:22212:27517:1 gene:KN540327.1_FG006 transcript:KN540327.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLVGPLVAALLLVAAATPAAAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPRVPRVNIIRIGQQLDNFENYQRNLAAFVGEDAARQVVQQSLVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYRKILTVTATRMQRRSVASGADAARIDGEGKGRLHDLGPRRVIVTGTGMIGCVPAELAMHSIDGECATDLTRAADLFNPQLERMLAELNSELGGHVFIAANTNKISFDFMFNPQDYGFVTAKVACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRLIVAQIMHGSTDHISPMNLSTILAMDERRN >KN540327.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540327.1:36451:39755:-1 gene:KN540327.1_FG007 transcript:KN540327.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAATIISTSAVGDNRVVWTSGVQSGLGGAYPFYPPGSCPHIDDDFNCHKNGRADTGFLRWRWQPHGCDIPRLNPIDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRRMYEASGRNQFKTRGYYSFRFRDYNCSVDFIRSIFLVKEMINETKGGAVVDAKLRLDELDETTPAYRTADIVVFNTGHWWTHWKTSRGLNYYQEGNYVHPSLEVMDAYKRALTTWARWVDKNIDSTRTQVVFRGYSLTHFRGGQWNSGGRCHRETEPIFNRTHLAEYPEKMRILEQVLGRMRTPVIYLNISAMTDYRKDAHPSVYRVRRPPRRPERHHGVEELLELELSHCSSSSSSCCSQEPCACSSHSSSMVSSTSPEKSIAKNPESAW >AMDW01040078.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040078.1:1001:1150:-1 gene:AMDW01040078.1_FG001 transcript:AMDW01040078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEEPTKLASEEVVASSEEVVTVQRNEIRSRGADPFVSGGKQPGINAAGI >KN541314.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541314.1:24012:25818:-1 gene:KN541314.1_FG002 transcript:KN541314.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTNLTAAEDRRKRDREVCYTPSTIVTGRVPIRDITNYAGFGATQAYSGTVILKDWLKVFYGSWKCSSTVLISEGDAVSDGVRTRHKGKHLWCLRPTFLLRHPILLEQEACKTFEGWIMINGRG >KN541678.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541678.1:3611:8426:-1 gene:KN541678.1_FG001 transcript:KN541678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLVRAHAVWRQRWTPASAATSSWRRSRRRRRIARGSVRTAVESQNIIGRLEDVRFRRIAPNTSPTTQHRATTARRSYYVLKLVGLQTSTDRQADRKKLVIAGYVIVGNIGDQWSDILGSPEGCHTFKYPNPIVQPYDHASFLQYVAGGSAPALQGTLRLYQRLLQLGIKPVFLTDRTEDQIAITTHNLLSQGYSSWEKLLLQPVGLQTSTQAFKTSERKKLVDAGYVIVGNIGDQWSDILGSEGCRTFKYPNPMYYVV >KN538820.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538820.1:90394:93925:1 gene:KN538820.1_FG001 transcript:KN538820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADRGYIVLNRPWAFVQWLKKSNIKEDICSYVLMAEPDHIFVRPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEENGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNISLKMKEDVETDKAFGWVLEMSVLLCVQYAYAVASALHGVHYSLRKDFMIQPPWDAKSDNTFIIHYTYGCDYTLKGELTYGKIGEWRFDKRSYLRSPPPRNLTLPPPGVPESVVTLVKMVNEATANIPGWDEER >KN538820.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538820.1:154459:156530:1 gene:KN538820.1_FG002 transcript:KN538820.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMVTNCSFSPSPAVKTYSKSPGYCCNVTQFQSSKCSNLVLKSCTATRPNRPFVARASAAVQGQTQTPLTGSQQASGHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKALTGKTIQLYVGDICDFDFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLMELGLEPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPKTVSV >KN538820.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538820.1:27844:28221:-1 gene:KN538820.1_FG003 transcript:KN538820.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTATVTKWASLADPPLASLKRGGSDDSGAREGGYDGGGQEALRRRWGGAAAVMQRRDSGGSDVGEARVAMDSAVRKHGRGGESSSSHAATEGTDPVPPSSLAWIPAPPRPYHDKDGGNGFEQRQR >KN538820.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538820.1:103975:105629:1 gene:KN538820.1_FG004 transcript:KN538820.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLTLVAGGGVEAARSGGVGGGGAGGGGGGLGAEEAWLLGRGGGRGGEGGGSGRGGGGAGELLALAAACGGDPGKKAKGKRRVKEVVCVGIEVARNSSVRWRWEQCGGDRDFLWGRRAASAAAAFCRLQQQKRDKSCTLYLLEYWPGRL >KN538820.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538820.1:143576:145643:1 gene:KN538820.1_FG005 transcript:KN538820.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRPRAPRARRPGRPQVRIPGERTGFRGGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFAKKIKRFRDHGLWLVDEHSDESPLGRI >KN538820.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538820.1:24237:25676:-1 gene:KN538820.1_FG006 transcript:KN538820.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSRIPAVTIAIASLILVLMPEMASSGIPIGQAIKLIAKSKLFREIMKEGAGEVAGSILPTSPSGSEGDGNPKLPHAPATTVVPFSISFTLGSQEFSGAFDITSELVWVPCCSSDTNYNLPCSTTSTPGDVVYVVEQPATELYTCKSYTCQVILQPPDCGATNDDPPCAYVYTYGGGNDEKKTRGYLASRVFTFGNTQVPPVIFGCASTEEANNFGSTGVIGANKGNLSIVSQLELDRFSYYFAPEDTTNTESFIIFGDDDDEAEHQTGTHRYTPFLKNGPVSSVHTDLYFVELTGIQVGGKNLPISSSASGGGSSLEALLSTSVPVTYLEKNTYALLRDELTSALGTNTRDGRSTIGLPLCYDSKDMDRANIPEISLVFRGSNAIMALQQTNYLYHDLSTGLEIEAGLRDYPAAIQPGYVGCRAANLDHRLFRVVGHDAHLIC >KN538820.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538820.1:134523:137378:-1 gene:KN538820.1_FG007 transcript:KN538820.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAGSSRSVAPCCCCAVLLAAALLFSAPATTEAYDALDPNGNITIKWDVMSWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGGTPHCCKKDPTVVDLLPGTPYNMQIANCCKAGVINTFNQDPSNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTGDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVAQHPNFNNITQLFSFNYKPLTPYGSKINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSKDFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLSVLVFSIVLATLLAYA >KN538820.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538820.1:38125:39267:-1 gene:KN538820.1_FG008 transcript:KN538820.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYISSLLLLSRGAVAHFGTLKSLEHSLSRLGHEIPMQLNPLELAMEVTEQLEADHARFGAALATIIPQHQHNKVVDDEDESSGAGEHEHEHEHGYCCSRAVEVGALAVRCWRTMHRTRELFAARAAQAVIAGLGLGSVYFRIRPDTEGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPVYSLTGLRATPQAFACFALAVWLIVLMASSLVLFLSAVSPDFVLGNSLICMSLGVFFLFSGYFIPRESIPRYWAFMYYVSMYRYPLDLLLMNEYGGSGSGRCVAWAGGSGVCLRTGGDVLRGRGIDEGMRWVNVGVMLGFFVLYRVMCWAVLVRRAAKTTL >KN538820.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538820.1:2557:8316:-1 gene:KN538820.1_FG009 transcript:KN538820.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVTPGLVPQFRITPKANKPFGRDFFRDRALDMAGQAGSNLGEGDGSSNADHTRDPATTFGDYVISLDVGTPTQSVSGAIDITTDLEYCESPNCQAFVPQTCSANVLCGYSYRYGGDGGKTTYGILSMEKFTIGSTQSQVSFGCGTSDPNRGDFYGQPGVIGLNKGRFSLASQLQLERFSYYFAPEDHDCDSVFRFANDAVPQTNNPRYTPFLTSGAAASRYPSIYWVGLAGIQVGGKGLPISSGASDGGSIDVYLSTSVPVSVLEKSTYGLLREELISTVGSGTADGSALGLDLCYNGGREFPDMALVFSGGAVMELQPRNYLYRDTSTGLECLTILPSQDAGELSSHSHLGSLIQTGTHIFYDIKGSRLGPAVPRQGGGGTLLFEEILEVGADSVDVLLEALLEYLDDGVGSGAQRVAAECVEVAAPGQHLRDLRPPDEKRIGGGDSARRGRMSRSGAARGEMDKAGSVGLAAATGGDEQITASRGHFRVNLA >KN538820.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538820.1:146735:149600:1 gene:KN538820.1_FG010 transcript:KN538820.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAAKAKQGGDQAENKTGKDEKVAKPKDAKDLIDFMEKNYDSIKDVTSFEDFYHAFYELIEKFCEERGQLQYRIPEKAELQKQYERVNKSPQKGQNLSRKQFMELAGQVIKVNSFTFGKATMDVLVVLFGAPVCALLAKRVVPGLKSFSDDVVIPVATSGAVVYLAKTNKL >KN538820.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538820.1:45441:54812:-1 gene:KN538820.1_FG011 transcript:KN538820.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSTPGQKQAKKLPTRRIQKSRNQQSTAPPQQQHVLLQQQSSSGCMIELGVAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLITRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGGENYRLRSPFGSPPKVGLEKAFSDIVSYAAPPKPFFPSDSNAGSVHSVSSGQSDNTNLHSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSSGVKMDCLIPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALANMNIELVACGEYHTCAVTLSGDLYTWGNGTSNSGLLGHGSEVSHWVPKRVNGPLEGIHVSAISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDTRLVPTCVAALVEPNFCQIACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPTLVESLKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHACSSKKSLKASLAPNPNKPYRVCDSCYSKLNKGPETDRYSSAKRGSVIQGFNDSVDEELETKSNAQLSRLSSLESFKHMDSRTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVLSLRSQVENLTRKSQLLEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGLVKNSRLPPVPGISIPTDISVASESLGSPRSSGEPCSNGSNGLLVSNGPTSVRNKLSHPEIPKNGTRLPDSDSKHESEWVEQDEPGVYITLTALPGGTRDLKRVRFRTLRLCPPFLETAGSVSARHKQNNGGKRIGHGLRCKVALKDLSFVLANLSACWRKFHANYSAPPD >AMDW01032536.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032536.1:65:475:-1 gene:AMDW01032536.1_FG001 transcript:AMDW01032536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ACRPNAVSYTILMRALCADRRADQAVGLLRSMRSAGVRADVVTYGTLIRGLCDAAEVDKAVELMGEMCESGIEPNVVVYSSLLQGYCKSGRWEDVGKVFVEMSEKGIEPDVVMYTGLIDSLCKVGKAKKAHGVMDMM >AMDW01003054.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003054.1:11:199:-1 gene:AMDW01003054.1_FG001 transcript:AMDW01003054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVRRVTVEAPADSPNTDGIHIHKSTNVAVYDAAIRTGDDCVSVGPGNSNLWIERVACGPGHGI >AMDW01041128.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01041128.1:81:5086:-1 gene:AMDW01041128.1_FG001 transcript:AMDW01041128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPARGLALTQFGHGQSNPTYCIEASAPGGATARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHIEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATPSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAANWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKLANAMVDRAWDIINRENVLREQPARGMHVSKGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRDKLMKFMEDYIYPMESEFYKRAQSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDRSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKTRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILILM >KN540369.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540369.1:383:506:-1 gene:KN540369.1_FG001 transcript:KN540369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRGAAVVVVIVAAVLAAALTMSSVEGQKPNCDSVCKDY >KN543768.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543768.1:2074:2365:-1 gene:KN543768.1_FG001 transcript:KN543768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSISVQLLLVLFVLLAFASGILAQGGPSTCTDNPVQQDCPPIPGRGN >KN540369.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540369.1:47564:51116:1 gene:KN540369.1_FG002 transcript:KN540369.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVVEPSKKKKFMDLKSMWGRAEKKLKSIVDSNNISEHTAERKDPEPATIHASATEEIGCSRACEVGFAETETQVPYESIEVSRPQDVDGSIENMDSITLSYIIYAADGYHSMAFSSSVLDPIFMVVQPYAMSVVCNFVPPSLKSCVRHWAVVRKLIHLRKCTADGSAAAATAAMLRRENKTGETALPGAIRFGSVDMMRELLEEDPELVCVPRSGTGTSPLYLAVLLGHTKIVEETHTKLLLKLPIAYPSVARMAKLPCMLLFSAEK >KN540369.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540369.1:18022:20117:-1 gene:KN540369.1_FG003 transcript:KN540369.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDELLLIAISHGNNEDGSGGVVGISDEVYAAELQLQELIMSSAMAATAAAAADQLDSGLNPGAQYSRDNLLMIAILGAVDAAGVELGDDVAGAAGGAEGVRVGRRHVVHADVAEEERRMVGTDGGTADEEQERLPTLATRVTAAAEEDEHSAAGTREEDGDVYA >AMDW01085393.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085393.1:58:675:1 gene:AMDW01085393.1_FG001 transcript:AMDW01085393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVATVYSVAPFVRTPADILHTLRDADELDTKRPRPTDKRVWASVEKSGPDVIREAFREALRRDPQLKRRWVVLVDGEPKQLRAVKAEARRAGVHITILADIVHVLEYLWAAARALFDGSNGKAEKWVADRLLALLCGRSGGEVVKTIRWWQARAKLDEAASAAIDKTCAYLADRTRTRLLRYNEALSDGLPIATGVIEGACRYV >AMDW01035892.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035892.1:59:548:-1 gene:AMDW01035892.1_FG001 transcript:AMDW01035892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLKLYGNLITLPGWIKGLQHLVKLKLVGARLLEHDVAMEFLGKLPKLEILVIAPFKGEEFHFKPTQTGAAFVSLRVLKLEGLRGIKSVKFEEGTMLKLERLQVDGLVENEIGFSGLEFLQNINEVQLSVYFPWDYDRMRAARAAGADKKTAEAEEQQEQRRKE >AMDW01015819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015819.1:2:169:1 gene:AMDW01015819.1_FG001 transcript:AMDW01015819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKGTILNHRMLHQ >AMDW01062773.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062773.1:2:583:1 gene:AMDW01062773.1_FG001 transcript:AMDW01062773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVPRADDGHCFACALADCATHAFGHPLPADARAARYFGAYDGGWVFVGFYRTLDYALLSLRGDERLPLADVDKLTDMVAATLSSPPDDEHCLAAAIGHTYPMYDPRVYLFWRMEHRVEEEATAVEFVTMSVLLEDVIHHKKAFHFLTREENLHAFSVPDFHEDDDGNLVIPPMEVHRFSRGGRDYGGCFAVRYL >KN541557.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541557.1:2624:11280:-1 gene:KN541557.1_FG001 transcript:KN541557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHPGVWAWMRQLRAEAEMGRQANGRHGGPVAAASIHMHRLPSLRLLHHRAQVAATSIHMCRPLFCCLLRAQAPICVVSGTSTTNMMADAIYDRPNECDGNLGGRYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGHLTEVSHDMIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIRCKSIEENFSITMDHSQTTVRHADKVRRLSLHFSNAHDITPLAGLRLSQVRSMAFFGQVKCMPSIADYRLLRVLILCFWADQEKTSYDLTSISELLQLRYLKITGNITVKLPEKIQGLQHLQTLEADARATAVLLDIVHTECLLYLRLVLLDLLPHCHRYIFTSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLKFNANEFKQYDSKETGFEHLVALAEISARIGGTDDDESNKIEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDHGFCMLPESSSRLQSRGYLRSKWEVLYFLGYLRRCGSYLKNRKMLALTLGSKNERYCASWVHFSANVYNIYPMVLTHVLTIIAHQHKIYTTTEISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFASAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRAKIRRHTASPYFLR >KN540572.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540572.1:12539:21724:-1 gene:KN540572.1_FG001 transcript:KN540572.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEELLVEDMEMHGKDELLFLNGVRRERCFLLFVCTEDDIPEEEEEGTGTVANNSAKDGDDILRRSDSYDVMDLEELLKQRTFTRQDQVASPAELAKAYMGSRSSKGSPLRLRLHDPSVLSKSIEANTTQITRPPTLSLLASARNHAPTTSDRLGSNYTTPNRSAIYKMSSSPYFKSAVSSRDLFGTVSPYQAPSSLHTFGRQVLKRKSAALNNESASVGPIRKMHQRYNRVSPLLQTRSGHRGYSGSHASKLDEGFEHSVQSQKRRCLDKVGDITRSGVHDRANDSSFGQAPAQSIQMAAKILKQLDTIVPSQKEGTLATRQKHVDILDVEDPISQKTEVSAQGSLLKPSSSRVKESLPNNSNCAAKFTSAAKDSNTVDATSDKSAKLMPKDWLEMDNCMGSTKLSLNQGNDKTERKQSPIPENNDMSSGIINKEKPPTLPLRSHAPSNLVLSSEIDRNKILASSNGFSFPVPAALTGHSQAPPTPTLASPPVLSVEKQQLSASSSAPVTSVESIPRVFKPVSEEASVSNQRDTKSNTDKPPISQSSGQIVSFTSNPVFNVISSKPTTLSNGLADTTKSASAAVFPSNGSTKSVCSTNAGSSTPSFPKFSFQPGFRTSTSSAQSSGIQEPAITAPFSTVSSLAGGSSSPSSMIFAGATSQSSSITTSGSVPFQFSSQSSSESSLAGQDKSKAASSSAPFSFSPQFGSTSPFAGQGKSNAVSSQSTLLSGSQFSNSLSAQTSTSNSNLLSSEKVNPGSSPSFAFGSSAPGSSPVFSFAVGSGTTSATPASASSPIFCNSLTSTNAPPFGSPATLPFSSTSSPVFSFTSATPTIPNASPTTPLFGTPSPTVGLSTGTDQMNGGQMAGDKNPFAFSAASPFGLPSSSPSTPTLFSTPATQFASATSASPGMFQFGQHSQSSPGGFSIGTTGGNSEKSARRILKVKRKK >KN540572.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540572.1:38037:41143:-1 gene:KN540572.1_FG002 transcript:KN540572.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFSLGEMGRSGVASSAMTLRSARKVLDELPLRERLRLLVLSPVAFRTMTLLGSFLMEVGDGNAGNVENISEIVHRVTEVLRAEVPGLSVEQRLENLGVTYTPRLVSLVLNRCFKKRHLGFKFFDWVRRVPGFQHTTETYNAMLYIAGEERNFGAMEKLMDEMDKEMCLKDIKTWTIVISSYGKARQIGKMLSTFQAMGKSRHVVADSKVYRTILRALCNSAKSELALEFYKDMARNTEVGSDIFRLLLCCLAGSDNAEGVFYVRDDMIKSMKYPEEYCYLEALRSFCVSGKIEEAQKIFQQMMNKSIASSSAFEILLRGLCKDGRMDKALQVMEYMKSNSSASSATFGSLIDGYLRKGERMKALEVLQEMREYGCVPLASSYTQLMQHLFAFDQHEAACRLYEEMQENGIEPDVVAITALIGGHVRNGHISEAWDAFRNINENGQKPTLKAYTVFIQELCKASRPLEALKLLKEMLESDFRPSEQTFSRIISALRDNHYLEEASNIERMRASFNCCSPIEELQRRTLDQVDYTDKFEKSSGSGPEEKERTVEFVGHPSYKDCEVSGSFPCDDTQDLEQAKDYNNEDVEQICRILSSSDCWSSIEQALEMTSISFTPDLVDAIMKRCKANSRAALQFFSWVGKRSYYMQTTKTYNTAIKLAGSAKDFKHMRHLYREMIWAECCPTVDTWNVMICQYGNAGLTEMALETFYQMKQDGFQPDKTTYSHLIMYLSRRKGRKVDAAVKIFHEMCRAGYIPDNGMVCTYLSVLCECGMIDRAESSVVLLCKHGFSIQAGYSILIRSLCRSDRMAEALSLFDNIKNYGCSRSAYMYGSLIHALLRRDRFEDASAKLAEMKNLGIAQSTHMYTSFMIYYLGKRDVSKAMDVLKEMTENGCEPTVVTYSALIRGHMAMGMVSEAWDVFQRMKLKGPVPDFETYSMFMSCLCKAGRSEDGLQLIHDMLNSGIIPSAVNFRTVVHGLNMEGKYKLADSVLQSKWQLRSRRTFSDSFVVNSSV >KN540572.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540572.1:30702:31875:1 gene:KN540572.1_FG003 transcript:KN540572.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIPIDEEKTITDPELLMEMMEIREAVNDASDSQSLEKIQSQIKRKLETWSHSFQEAFEKRDFDCAVKATQRMRYYERAVEETIKKL >AMDW01038470.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038470.1:144:739:-1 gene:AMDW01038470.1_FG001 transcript:AMDW01038470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFALSGFPCPSLMNPSDHFLRTINKDFDKDIEGGLDGKTMTTAQAIDTLVNSYKSSVHLAKVMHQIEEIRANNEGQLVKKERQPTFLTQSWVLTKRSFVNMYRDLGYYWLRFAIYVALCLCVGTIYYDVGHSYGSIQ >AMDW01085905.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085905.1:65:1111:1 gene:AMDW01085905.1_FG001 transcript:AMDW01085905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVEKPWKPLSRKVPIPPGILSPYRLLVLVRFVALFLFLVWRVTNPNMDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAADLAALKEKFESPSPTNPTGRSDLPGLDVFISTADPYKEPTLVTANTLLSILATEYPVEKLFVYISDDGGALLTFESMAEACAFAKVWVPFCRKHSIEPRNPDSYFTQKGDPTKGKKRPDFVKDRRWIKREYDEFKIRVNSLPDLIRRRANALNARERKLARDKQAAGDADALASVKAATWMADGTHWPGTWLDPSPDHAKGDHASIVQVMIKNPHHDVVYGEAGDHPYLDMTDVDMRIPMFAYLSREKRAGYDHNKKAGAMNAM >AMDW01017275.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017275.1:14:247:1 gene:AMDW01017275.1_FG001 transcript:AMDW01017275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWARMGPASPEYARWGSVLHDTLRGKSDKESDDQSALVYLLSEHEEKWGTKTYLEKGYFFQGYWVEVVDRLDDIAA >AMDW01040740.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040740.1:236:1192:1 gene:AMDW01040740.1_FG001 transcript:AMDW01040740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLGRLPGTTVYRNRLQYPESYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKVQQRYSFLKNLWKSQVGDGCTGSEVQPLLRQNLV >KN542759.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542759.1:8073:10129:1 gene:KN542759.1_FG001 transcript:KN542759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIGHGGSDGLHGYVPSLDYVVQDIDVLLGKIVLENPGVPCFLLGHSTGGAVVLKAVAPIFSLLAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTVPFMVLHGTADRVTDPLASQDLYNEASSRHKDLRLYDGFLHDLLFEPERDEIAADIIDWMERMLALQTV >AMDW01026999.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026999.1:61:273:1 gene:AMDW01026999.1_FG001 transcript:AMDW01026999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIADALPPPPAQAEENHLGECNRALVFDDSPGKSDLSNAASVVSSSSLAFQDSSSTDRSPDDDSSSAWSIQ >AMDW01039817.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039817.1:542:1090:1 gene:AMDW01039817.1_FG001 transcript:AMDW01039817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPKGKTTQTAFKWPWRGESQLSAHLLIDIPPEIELSDYRRLPSPGNESPSELLHGEDFKEEVIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCCIGFFFLFVDWPALGDLKCGVEALESGAKPCDLMKLIKYHPLVPFTFTKFITIGSMVILSTYGIINFVKFFVKLRSTLK >KN544660.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544660.1:1183:2850:1 gene:KN544660.1_FG001 transcript:KN544660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKKAAWKSLRCPSSGHTWTEDYFRGGQPSTCCVCLSSLTTAQGVGSRGADAVVVHRCSVCGVAAHSGCSRGAEKDCKCVSQHGASTLLHHWSERWVELDDNPEMSSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPLSVKEVAQGPAITGMLNSIKEGLVTSSVRGRIKRRGNKKRMNNHPGGKASPVPTNGSILDSVLEGFARLQNLNGKYALANHKSSGDSIKQTHGYGSPNGGKKQYEIIDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQFFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVSILPLGTGNDLSRVMRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGAEDQCTKQVKFMTNYI >KN540309.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540309.1:5313:14981:1 gene:KN540309.1_FG001 transcript:KN540309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSITVPSGMSSVQRKPVDLVVVLHVRSDWKVPRNCMKLLVEAVSIVAETLGDDDRLAILPVQPSLALVSATESREAASAVSTMMLFGRGQDDKENRVGHIIVISNTSDAGVAPVESLLQPWRFPSVHAFGFRDSHNARTMHSIIASSYDCTYAILDDERGNTTDAFRTTIRRITYSVPIQVKLVCEENNVVMSSIQAPIVSYFISSDKKATTIWASAHPDPAANSVRTTNYIVNLRNKGQATLFLDQVPNLLKVENVKNNNQLQSSLAENVVVAIHEDAMAEMVRLEAIKVVDRISANDNQDWEQRHVATNELRGWWTMTRSNKLYAKVDWKAIAISRLAAEIQEMEIRLYNDYLWKEYMLSWLSHQRQATDDVPVQLEINAKRLGGTNLADQNRQKHGVAVLARVKVPETGLAKQKTPFVDLVVVLDVGCQAIEMEGKSRERLQILSEATGVILDKLKHKDRLAIIPVQSSLTKHGASLLEMSDQGREQTFTKIQSFINLVAKKWTKHAMQTWREKLKNTVKLARNCIHISSINSSLPIPTFPASQHANSTTARSAPAAGITCKLSKVLLTNAIQRIPRALLRDLVNINLLDRRYNLKKKPRDHFYLLYTTNVLVQLPVALKNIHDTILFDKMLDNRPQEEKDRMGVIIVISDNHDNSICMEALSTNYNIHTFGFNGMHNVRAMYNIASRSNGMYDLLNDDRNLITEAFISCMNKITSIIVLGTEVDMICSSSRSPGVALSTIECGQFESFMIDNARKSTIMVGALHATSVKNFLFYMDNVREDDHDNIFKLFTVHVRCLPTPNTVDEKLNNQVEVIWNGIDGENNDDVVASIARVAAVEIITRITDPNYDQKLVGRLSREMETMQDIILRVMEKINGNLQYVERADPSYNEKLEQRLPWEMLLKVYEYKQAAEKVLRERKELAKTAQRRVFQHSKISNAHLEHPGYTTLMEESLTKELEEMEANLLREIFDPNYYIKLVDRLVRVLSPEGSGGSTRDAGMRHKLTLVIENMAAKLSGLINGPTNNKQLVEQLVSNMCKSCSNHARAHGQVRLAMEMEKMNIRLGKEMVTMICRQIIVPVYYKNLMACSAMLTCLTDSESQRANGNALYALTIEKMEITLVMEMDKMEANPERMDKIVSTYNEKMVVLLVREMFLEVSKYAQVAGEARLSREMEDMEDSLVYKAATDPDYPDYYKKLVSNKLSYMLSWLSFQGSCEQLPR >KN540309.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540309.1:38641:39537:-1 gene:KN540309.1_FG002 transcript:KN540309.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARAFGGDQSKDITRRRPVGTLYGRSGGHDRWLTQWTRPWVVGTLKTRCSAACRLGYCVSSVQENPVHRPDISAVVLMLSSNSTSLRTPSKPAFFFGSGGLAVDAAAGHVLLGADGSDDVADVGSKPQQLSPNPVSENEVTVSELQPT >KN540309.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540309.1:34239:35054:1 gene:KN540309.1_FG003 transcript:KN540309.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLANHRFYICNPATRQCVVLPGLTGATVAALYPHRPSGEYRVLFWKDVNTSTIDAYYVLTVGSSEKPRCIGMPVASESMKAVLRHGIYIANKHLPVMLHGCLHMGPGCILGSGVIVFDPVAESFVRLMPPPTALSRANLHDMDGTLGISSTFDDRSGRVAKLWELQDYEMGIWSLKYQIKLPVQEMTRITDSKYYYVTVVSESGDVLIRCRHPWYLFHCDSKGKLVDKFLWDGVCPNVTGHWFKQSLVRHAFFQMQDGSCVRQPRFFRGL >KN541705.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541705.1:1493:4891:-1 gene:KN541705.1_FG001 transcript:KN541705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMAYAGVAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSAVRDSFAEALGSLLALAVNPDAQVKKGVKKQSTSGKKFDDGLQKHLILPFVRANGANAKKLRIGLALSWVFFLQ >KN541705.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541705.1:16907:19321:1 gene:KN541705.1_FG002 transcript:KN541705.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTSLGLAAVAVVVVGIAMPASASAAAPAQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >KN541705.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541705.1:7971:12763:1 gene:KN541705.1_FG003 transcript:KN541705.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVSNTEAESSRQSSCGFHGAMCPTGPACQWGWRLVRVSCFRQEDVPTTSDDGPGFEHISRPESSRGAEASGEEGEGEGEGSSGQGERGSGEGDDWFLRAQEIKRNLRERIFRFQTQRWTVPWTGKTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKESLTHRGQALYSLLTDITEGLAGIAILHHCLGRFRPLPPGWFEFNLKGRWYLDVALGCLLFPLVNFLSHININLIPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILVSAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >AMDW01012063.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012063.1:11:205:1 gene:AMDW01012063.1_FG001 transcript:AMDW01012063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAATCASGPAQLVVVFLALFLTAVGTGGLKSSVSGFGSDSGDDLFDVTGRGEESSQMTAFFN >AMDW01040579.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040579.1:66:1456:-1 gene:AMDW01040579.1_FG001 transcript:AMDW01040579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLSGVVSHGNFSGAATVDFFDWAIANSKLPPSVDTCNIVIRALGRRKFFAFFEPALEIMRKNGIIPDISTLEIVIDSLIAARHVNTAIQLINTDHFGLGVWQTCQRKEIFTVLINCLCRRSHVGLASSLLQASRGETIDLDNHMYNEVIGGWARFGRVDKVEHFWETMLEDGLVPDQVSYCHLIEALGRANRAEEALQVFKKMVHEGYCPTTMAYNSLIFNFISVGDFDRCIKYYKDMLDNNCPPNIDTYRKMIRAFLRERKVADALQMFDEMLSRGILPSTGMITLFIEPLCTFGPPHAALLIYKRSRKAGCRISMKAYKLLLERLAMFGKSGTVLQIWEEMQESGHPSDKEIYEFIVNGLCNVDI >KN543729.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543729.1:4274:5313:1 gene:KN543729.1_FG001 transcript:KN543729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGQQQGNISVEGRHLGGRAAGQCPCGRCDGGTWYLFQGLENCSKIIYPIFKMKETDSTFIVDELNNCSKLPQPFNENLTGECISD >KN539998.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539998.1:40026:42784:-1 gene:KN539998.1_FG001 transcript:KN539998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDMQIHKALMDINESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRANYTIEVSTVNPFILTAGDMPLDNSLLRQVSSLEYNDTLLMTYLAMFTNCSSTMNELVEKFNATYERSTARRGGRGAFM >KN538757.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538757.1:247283:247752:-1 gene:KN538757.1_FG039 transcript:KN538757.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSAVLLVVVLVAAGTANAAIFTITNQCPYTKPLTLAEFTLAGSAGGSQQLDFYDVSVIDGFNVGMSFSCSSGETLTCRDSCCPDNTKLRHCNANSNYQVLFCP >KN538757.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538757.1:210322:210672:1 gene:KN538757.1_FG040 transcript:KN538757.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEDVLVVAPGGGRDALLLVAQESAWRNSDGAGDGDDDDYGMLNFRPGLDGGDDDDGFPYETIAMWLVVVFLAMCLYGLTKLVLAYVPASWIIIHRGRGFLIDPAHAHCCCFDF >KN539998.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539998.1:19264:20151:1 gene:KN539998.1_FG002 transcript:KN539998.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGAGDCRPPESSHENEEKNALVIPCSLAPIKTGKKYDQQEEEEDNNWEYDEEEEEFLHDIDEDDDMMEASELIGVKHSDGSIHDPDSHPFHSLYCLDDTRETSLLPMRLSARTDHCQPCWTACIVHHGCRMMQIFSIKIAALSNAAAAAPVQIYGFMAARDLFDPLRNYIFNCGRDDPFVLPGHYSDPDSLIRLSGPKRGISLENPAVIEYDLKIKKGEDEKDDLQLIDGVAAFSDLTPFHGVYSRRIHGIHGAVDISLALLRNGKESTIQIKIPKLIHGGIHLSISCFVS >KN539998.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539998.1:43801:52459:1 gene:KN539998.1_FG003 transcript:KN539998.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQVGDHAASSDKIVHCKNHMSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEEMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRVDDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDSFRFVTKQFTSDQVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSHNLDVLQKEFPL >KN538757.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538757.1:296854:299823:-1 gene:KN538757.1_FG042 transcript:KN538757.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding VLADVYRFGNRQAFNVGANNIGWPTSLPTNEKESFMKSVLREKLKALEVSSASDSLPLRPGVEQFIDDALNEGVPVAILTTYGRNGEKTSRSIIEKLGQERTSKIHIVGKEEVERSLYGQLVLGEGVASSLDEQLVKEAQKAASAEKQRIAEEVASILKLSVDINAASKSSEKVIVTLRAGSEYVGRDVQNCVLVAGSQSGVLAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGGTDLTISKLLSKKWS >KN538757.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538757.1:150416:153457:-1 gene:KN538757.1_FG043 transcript:KN538757.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIPDGIMGETIAKDVTELIGNTPLVYLNRVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTHNSFILQQFENPANPKIHYETTGPEIWKSTGGKVDGLVSGIGTGGTITGAGRYLREQNPDIK >KN539998.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539998.1:58623:62389:-1 gene:KN539998.1_FG004 transcript:KN539998.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWEGLFDVLLIEPLSIGVSSFTIDSHLQQLLLANDMAYRNFNTSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKIRTLWKTSANEDTSSHQNGSISGSVNSEESPVVDDEWGEYADDSEKLPEMFLPLKQSYFKAFKLMDKELKMHPTVDCFCSGSTAVTLVKQGLDLVVGNLGDSRAIMGTRDAANNLTAVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPQISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVAAAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHAKSPDLIQENGSEEETTEDVAIPDTVAKVDQDIAQGDAHISSEEQITEPALQHSYTLRDVDEIVPVEEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGDKRSTSWRKRR >KN538757.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538757.1:281588:281734:-1 gene:KN538757.1_FG044 transcript:KN538757.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKGLCPDAYSYAKDDQTSTFTCPVGTNYRVGVGVTAGDEDDEIASA >KN539998.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539998.1:5557:8218:-1 gene:KN539998.1_FG005 transcript:KN539998.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLNEEFSSGPFSDIFGDNGSNRHQDGLGKSKAFIDSSREETAQLAKKFESNLFGANQKSSSNGCLSERMAARTGFGVLKIDTSRVGYSTPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMHSNVKPSIPKKTEDETRHDRVFFFQPILGSKPPTCPVAEKGFSVNHQNQPSVTDNHQELSLQSSSTAAKDFTSATIVKPKTSDSMLDNDDHPSPANDQEENATNKNEEYSSDLIITPAEDGYNWRKYGQKQVKNSEHPRSYYKCTFTNCTVKKKVERSQDGQITEIVYKGSHNHPLPPSNRRPNVPFSHFNDLKDDHSEKFGSKSGQATATSWENAANGHLQDVGSEVLTKLSASLTTTEHAEKSVMDKQEAVDISSTLSNEEDDRVTHRAPLSLGFDANDDDVEHKRRKMDVCAATSTSTNTIDIGAVASRAVREPRFVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERSSHDLKSVITTYEGKHNHEVPAARNSGHPSSGSAAAPQATNGLLHRRPEPAQGGGGGSLAQFGYGSAGHRPAEQFGAAAAAAGSSFGMLPRSIATPAPSPAIAVPAMQGYPGLVLPRGEMKVNLLPQSGNAGAAASQQLMGRLPKQHPQM >KN538757.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538757.1:267638:267856:-1 gene:KN538757.1_FG045 transcript:KN538757.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAEFTLGGSQDFYDLSVIDGYNVGMSFSCSSGVGLTCRDSRCPDAYLFPSDNSKTHACRGNSNYQVVFCP >KN538757.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538757.1:207769:208086:1 gene:KN538757.1_FG046 transcript:KN538757.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDLLVVAPAGSQEEQRDWRTDDDDVDYCDDYGMLNFQPGFDGGNDDDDDSFPYETITMCLVIVFLAMCLYGLSKLVLPYVPATWIHRGFLILRMHIVILSS >KN538757.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538757.1:223026:223400:1 gene:KN538757.1_FG048 transcript:KN538757.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVVGGGGGDSTTHPTKRKEKRRWLRKLIAKRKEKKDPSVVSSSHGELNQPDAAAEQQQPSPSAEPTMAEASPADGRRGGALPVAAFVPVVLVGLVGGKLPAVALTVICAVFFSSVERRSPA >KN538757.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538757.1:256107:257470:-1 gene:KN538757.1_FG049 transcript:KN538757.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAASSAVLIVVAVLVASLAAGGANAATFTVTNRCSFPVKKVLKTAFYREENDLVIINNLPTGLLTLEYVYLYSY >KN539998.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539998.1:54457:57220:-1 gene:KN539998.1_FG006 transcript:KN539998.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIERVWVAEEKEKEEQRKIQELKKQQDEEREKAAFRKLQEDAGLKPRQERLDFLYESGLAVGKGSSEGFQALQPSAPAAAAAASSSAQASAGSSKKNDKIFAFLLAAAPGALFEDKPQSANDAWRKLHTDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKRKHKKRHHHKAKSKRHHSSENSDSEESDGKDERRKSVQASEHKREEKRSRHDKKDHGQDSEDDERRKRRHATSEDDEPRKSRKEKKGQREDSEDDKPKKSRKDRRRHDSEDEEPRRKHQRSEDDEPKRRQSEVSGDDEPRRRRQEMPKHDEYSRRDRSDADDRRGRHYTPSDHNSAYPKHDSSDSRHRRPEYGRGNSTSELGSEGQRRPESQQGRNGPTFNRRRGVQHMSEEEREARLRQMQADAEVHEEQRWSRLKKAADDDAKEAASVNANQFRGKNFLEEEKKSIFGTEKGGSATIEESIRRRAYYSQRNAHESNAFRR >KN538757.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538757.1:272129:274492:-1 gene:KN538757.1_FG050 transcript:KN538757.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAASSAVLLVVVLVASLAAGGANAATFTITNRCSFPVWPAATPVGGGTQLNPGQTWTINVPAGTSSGRVF >KN538757.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538757.1:278219:278939:1 gene:KN538757.1_FG051 transcript:KN538757.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRVFVLLLLAVAVAVCIPELKVAGGCDSACGKFGGDAYCCRGKYEHECPPTKYSKFFKDKCPDAYSYAKDDRSSTFTCPAGTNYQIVMCP >KN538757.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538757.1:215593:216689:-1 gene:KN538757.1_FG052 transcript:KN538757.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRSRANASSGIGVAAECKQTFLELQRKKSHRYVIFKIDDKCKEVVVDKTGSSTESFDDFMDSLPESDCRYAIYDFDFVTEENCQKSKIFFVAWSPSVSRIRAKMLYATSKERFRRELDGVHYEIQATDPSELDIELLRERAH >KN539998.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539998.1:800:4682:1 gene:KN539998.1_FG007 transcript:KN539998.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding VHIIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGVDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERKIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFSLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALGAVGLAIWVPSVVMSGNKEAFEATSPRHGYSSLLPPTDRNETDRRRPDRDDVELAILDDDPYRYGINNEDMLLDDLKANSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRRAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQPAVNGH >KN538757.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538757.1:233585:236538:1 gene:KN538757.1_FG054 transcript:KN538757.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSADAVTKEMEALLVGQNPNVVSACETSSKEGKVADSNGSHSSPPEDDDDEAQGDGPSQDGGSEAAKKKKKKSKSKKKKGPLQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGYIVDCAFTVAFNPMFDPLLQASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >KN539998.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539998.1:25355:33425:1 gene:KN539998.1_FG008 transcript:KN539998.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIFHCLESHSPRHAVQCTGEDQEKDYDELVSYLEEELGLKMHKARRFDLDCTNHLVKHHAMLPDQNLSAQAQIDSDTINEAPQALPTNISSQIVSCSVDEAPQALPTSSSPISNNTEGLSLQALPTSSESAAGVTIDDLRSLCSQEFITDPVVVHAFNRLSDRIDSEDVLLVNPAMSHLLGNSSDATHLDCTNQLVENHPKLPDQNLSAQAQIDGDTINEAPQALPTSVGSQIGGCSVDETLQALPTSSSLISSNTENLSPQALPTSSESAAGVTIDDLRSFCSQEFITDPVVVHAFNSQDPHLLGEGTHWSLLVFDRNLGGRPCLAHHDSSPGDANLRAARRLAASLLPYLPPETKCTKAPTPKQNNGTECALYMIKCAEVICGWWRNHAAGGSESHWLGVVARAVESSEFAASAGGVSLSWNASQRKILQLLGMTVCQMNSAGCQRTPCAKEKMIGKPDFPTIASCRAAVASSKWRRRHPSTTKESAGVEPAEPPVLVRIKNERDPVRLYELFRANAHNRLLIENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPDHALRAFREMGLYGCPRTAKSLNATMKVLLRARLFDEVLQLFESSETYGVELDDISYNTVVKMMCDLGELRAAFRVMQEMEKAGVRPDVITYTTLMDAFYKCGQREVGDGLWNLMRLRGCMPTLASYNVRIQFLVNRRRGWQANDLVRKMYTSGIRPDEITYNLVIKGFFMMGEHEMAKTVFGAMHGRGCKPNAKVYQTMVHYLCERREFDLAFRLCKDSMEKNWFPSVDTINQLLKGLISISKDRNAGEIMKLVIGRKPSFSNDEVKTFQDILSLGKTRR >KN538757.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538757.1:201640:205337:1 gene:KN538757.1_FG055 transcript:KN538757.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGRYEDSGVSVSFRRKAAYTAASLLVFLVAGQLPLYGVKKYNGDKDVPDPLYWMNCMFASTNNTLMTLGIIPLLLSEMAVRIFSALTITRWPPFHHVRLNRARKLLAMVMAVSGVLSAGVAAELGTMASLVVMFQLFLGGLIAIYLDELLQKGYGLLSGVSLFAAANCCACIFWKAFTADDPLLHWAAIIIFFKLVLQLQSCHITLPAVTSPDDPTLQTTYTVSPSYMAYVPILFQPAFFSFPLVSISQTLSIKYGETNRVVNTLVCAKSSKRYLVRLVGKPKQTRLSPDDEQMPDEDESISPKQCRRYMSIAAIFVGFCVGFLSLLAGFLGLDGPAIMLAVTVIHSVVQGRNTDQIGAFGL >KN539998.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539998.1:36741:39322:1 gene:KN539998.1_FG009 transcript:KN539998.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MSYTNPIVGPRCLVVYPGARVHIYTLLQSPLARKQAGGKQQMEKRRRLVVAGDGEGGGGEASGSAARGLMFPPTNAILNRILAGNGALRCLAVNCSLLDDSAVGAIAKGSLRELSLLKCSSFSSYLFVAVGERCKKLRSFVLEMAASDDDEHFGICRKSIAHIVKGCGYLENLSLKFFPLLGPGSVDFESLVPIPSTIKAKRLFPISTSLKTSVSNTLESLSLVLDIITDELVAFITGSLHNLVELCLEDNPMKEPDLHNDLTNVGLQALGLCHNLAHLSLTRGKQNCSSTFRRVTDFGIMMLADGCKQLKTIRLAGFSKVRDAGYAALLQSCKDLKKFEVSTGYLSDLTCLDLDEAAPKITEVRLLCCSLLTSETAISLSSCTKLEVLDLSGCRSIADSGLASISQLSKLALLDLAGADITDAGLSALGNGRCPMSSLCLRGCKRISNNGIASLLCGSGTINKTLVSLDIGNVPRISGRAVTLIAKNCDQISSLCLRNCLLINDSSLETLGSMRHNSGKSSLRMLDLSYCSRLSRNFLGLFEPPFFRGLRWLGVGKNMLERRGCSPTVAELLERKPGLTVCGNACEMGCRNQCHPDIRRQ >KN538858.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538858.1:62393:62647:1 gene:KN538858.1_FG001 transcript:KN538858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKGPSQLQPRWRLLWIRALRQRSPWSGGGNLRWLSTRRGTAEPRLVEENHAPTRSMQVEGEVERSMQVEGEVDSEADIFESK >KN538858.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538858.1:136284:157614:-1 gene:KN538858.1_FG002 transcript:KN538858.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRSGDGEEERPIEALMILKYGGVLTHAGRKQKKNISIESPTNSRGGGGMAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >KN538858.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538858.1:16685:20230:-1 gene:KN538858.1_FG003 transcript:KN538858.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFFPTCSSLKVEMEEMCREMDELRSEEVARLRRLLLEKDKKCSEAEQRALAPKEVMMRDDMLLKMEDQKAAVEGKLKWKSEQFRHLEDALKKVQDEFRAAKKEWGSDRSMLVDQIGTLEVNLDSKTRMAEDFRSRLEMCSQALAHEEGRRKLLEAEMSELKHLYGNVVSDYEEARSTIESLTAKRDGEIASLRSSLAEKVTLLKEMEYGKARLEQENEDMRSSLKEHQEAQIGGADAVVSLKVLQQKFRALEQMHRNCIDKLRDKEAEWKTQMEKLGSELDGCLSQLDSKDTLIKQMQIELLSSYSSLEMQAVQNWEASVALVIVESKLYDSCSYFETIQLDMQKNCAQLEHNFAAARKQLEEDNCAIAQSQAERAQQVEVIATLHQRIEQLEHMEKEREEMQRQLDTYNLDNASRDVHCLKGESSEEEKGLHEKLQKALSDLDEAYSAVSERESELSQIEINLHKQKEAMEHLEELKLSMENELKGYMDENNVLKRDLIATTEIEKSLREEKEKLLGALNEANSALSEKNCELRQSEIILHQQKQALEHLEELRVNMETEIKGYIDEICVLKRDLDATHMAKIEAEKTYSEENEKLLCALDEVNCCLLDKKNELDQVTENLHQQMQAVEEFEKLRVSMETELGRYMDENSVLKSDLVSALNSKMDAEESLREEKDKLCSIIDERCRNIDELQQHIAVLEEENLDKKLDVAGLIKSEADRSIQEVNRKYSEIVEVFDKKLLELETRLSFFEQKYTCREQELMEMFDQEEADWYTLIAEKENAISEIQENVESAQVDIKHLVESAAEKLAEVQVEVRQLYCLAENLNSLNLIQEHDNLFKDMLIEECERELKAVQVNLALEKQQSNNLKNDLEQLKAKATAEMLENAKEHLEVANKLRSLEERKEVLDEHVGELKSRTKNMCNAFVQERKYLFDELTGLVDTIGAAIHVDEDLMTSLTKIMHKVNNEEAFRNSSSKEMLSSENINARNSAPLVRNKSVQLPDRRLPLKEHNY >KN538858.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538858.1:68282:70477:1 gene:KN538858.1_FG004 transcript:KN538858.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNNFGELSGMFCGLSYDGYTDHGSQSDYFRFADPQPAIVPQMDAGPSSAASSTASRAAVSSGTDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAALRAAAKPFYDILGHKFPPSPDHQLVAWPLDSPSESSTSSYPHSLASSVTSSSISSAVDSSQRRYVGHSEYQSLSGHSSQPPVSPSSDVRNAMETLEDPLISNGRIPEYLFESFPTWDFRRGVDEAQKFLPGSDKVVIDLEAGGVAKRQEAGKAISLNGSKAEVLKVKKNRQSEDLDVMEGRNSKQSAFCSDEPDWIEMFDVLLRQTEKKATDLRKMMRFEASKNSQVAQPKGPSGTRSRGRKPTKKDVVDLRTLLIHCAQAVAADDRRTANELLKQIRQHAKPNGDGSQRLAYCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSLTKNASKVHIIDFGIYFGFQWPCLIRRLFKREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAEYAEKIGVPFEYQGIASKWETIRVEDLNIKKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVLKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNNN >KN538858.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538858.1:114990:119761:1 gene:KN538858.1_FG005 transcript:KN538858.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSGTCDDEEGVNLDLARTIFFSTGSRRGHWEELGTCGSLAASSSGGTSSFLFPSRTKGSATEYERAVFRALSALIGEERDEQVAIERHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLKFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAEKAARKGLAINKNDCWLTHNWWHVAVCYLEGEFPTCKVLEIYDHNFMTELEKSDCEAAEVYLNALGLLLRLHIRGQVDLAKDRLAALLDALMNEKIWHVEWLIDLLVLRALSSMDEITRADNLLESLRSRVSSMDTKRQQMMQKAIQLAEAVYEYGKGEHKKVFDILGPDFDALSYKMIGASDEQVDVFNEVWYTVLINTGESSKAIEVLEKQTRKREGAPFLWRLLEKAYSLHGRAEDASVASEKANALQASYSQ >KN538858.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538858.1:4201:4567:-1 gene:KN538858.1_FG006 transcript:KN538858.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRTRAAASQNWRLCSWAAINFQPLNCVLDRDVYVLPAQDRTFGIESIDNAVDKCSQRFHETMTFVVIFVNQSQRVWSYCSIWKEI >KN538858.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538858.1:111858:113939:-1 gene:KN538858.1_FG007 transcript:KN538858.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGKLKEEIQRLGQKQPDGSYKVTIIGNAGMCLYGELAMHCSEEESFYLLVTFGVIFNDDRCANIFEALVGTLRAAKKRKIVKYDGELLLQGVHDNVEITLLPPPAVAAA >KN538858.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538858.1:26958:29312:1 gene:KN538858.1_FG008 transcript:KN538858.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATSSSSSRAPRPKTEELDAALHAMGFEIERVSPAEVTGRLLVTPTCCQPFKVLHGGVSALIAEGLASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLVRAAPLHVGRSTQVWAVKLWKLDPSTKEKGAQISESRVTLLCNLPVPESVKNAGEALKKYSKL >KN538858.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538858.1:67:2079:-1 gene:KN538858.1_FG009 transcript:KN538858.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSLLLCWCSLLLLAAPPALALPLCTDSILAVGHGFQEIFFWFIFSKCNPYSAELFNSSSKIRMVPVLCNGSASASSTQSKDSTQDYCKLVWETCKNVTILNSPFQSPLQGGATLPSSSSKLTDVWQSENDFCTSFGGSSDNQSVCLNGNEVSFSTSEPSPSPKGVCIERIGNGTYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQGSGGTLQFDEASPFIDLTDEVHFDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSSNCAGRCSCNSDVNCDPSKLGSDNGAQPCQYQVVVAEYSAKVSSSNVSE >KN538858.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538858.1:121485:128354:-1 gene:KN538858.1_FG010 transcript:KN538858.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKGGLDAVLKESVDLVLENIPIEEVFQNLKCSRQGLTSEEAQLRLQLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGMHTFFGKAAHLVDSTNQVGHFQKARLRSLTSVHILNLAHNKTQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWRFVALLPLFDPPRHDSAETIRRALNLGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPGMYAINCRIKTKWLKVTKKNITVKFSLFSEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLVFAFFVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAHRTLHGLQPPDAKPFPEKTGYSELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >KN538858.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538858.1:59130:60270:-1 gene:KN538858.1_FG011 transcript:KN538858.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLSFFFWLCALRIWFVFPFYRFIQSWPSLLICILLRRSGYLIMRLTLEKSPADVMAYYSIAHMHISIQKNREVHGDDDGLLLY >KN538696.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538696.1:455063:468051:-1 gene:KN538696.1_FG084 transcript:KN538696.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKKTPPPWKTKGEQQPRGGGAAAAAAGSKKAKKKKKPTTLPPLLPPGTAVEVLRNGAWVGGGTVTIRNDRTYMVRLAGGMTVLATRRRHNSSGKDYINHFYQIITTQYSREFGVKVGQDELNLRWLYISWMWKFNSLQLVTNESTVNRNQGLNLFPQDEQSYEAIKLSKPTNNIRSAPRTVVSAVIILLISNPTDPQPHLIIPQSAIPYQRTMHLASGNRCRKIEQPQSMAPVAAEEDDVPRLRNTRSRATRRQPGLQELLRTVRGRGNEQDSKGRSHCSRSAPASPSSERDQRMKRRRKMIEADHSCEDGEEKVPEKMNEGEEEEEVSSAPSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQKRREEFEEKRGGKPLARCSGFLVDWDETRKKGIVMTTSDIICSKSSLDCWSGEDEYSPNAELIKPVRFDYQENCQPMIATTKSGHTKKLIRITDSVVYYAINVQVYVHLLDDTTVEARLIYSQTHYNLALFEIALETPGELPTFSSRVDRAQHIFMLGRDENLYLRISHGRVLYSNPYLCDRHHYMYVSSAIPEFGLGGLVIDLKGKVVGMTGLIHAFIPSSVILKCLKLWHKFRCIPRLQLGVKLWAIKFLDLPHIEMILRKTHICDGLIVKEVSEGSILEKLGVRIGDIIECLNGERIYDTIQLEELLLELCEGHFDNGNGLNSTLEMAVVLFHIRKGAQSIKKLTANVSENGEVVKRGVFFVAGPTCEEIPNLAPLGEGALREEGWAGDSHIPTADGASTSVPLDQVGPGDPQIPTAEETSTSRPLDQVEPACCPPAVVAGREALACLPIAQVLSKCDLCTGYGLTVMMAWRLIFFSCRFLIGFRIGLQAKCKFDVGWRLILTRVCQIRLPTSALYNLQAIFLIAMP >KN538696.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538696.1:434167:441690:1 gene:KN538696.1_FG086 transcript:KN538696.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHASTGRQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEIIKLTLFCFGIAQVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNDHGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVAVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNTMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGVRSTCLQLKLLAKARYSLSTFEGEQIVIVSQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDDFLVVLDREEATTASSDILKEHAIPSVRSSDLSEPYVDTEQEIQKPNDDFGDSPVTNYEMGVDCLLWA >KN538696.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538696.1:446107:446439:-1 gene:KN538696.1_FG087 transcript:KN538696.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFCVEVWAGAGDGGAGELTWTRVEKSVRFHKAMAMLQHDSVEMYHHGLDVVGVVAGVLFLRHWNCLLSIDLETMKLRKLSDEDCSSASIYPYAMPWPPSFLNPAEHGA >KN538696.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538696.1:473380:485430:-1 gene:KN538696.1_FG089 transcript:KN538696.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRWVNESITALVMVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSGEPTTVVDEPIHAREVSSDDTFDQATEPASDERSRVRVARNKSTGAKINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVYIVILLKKNKNTGHHLHHFHLKLSCQLGKKLEMEHCQVRFFIKNSFVKMLIQVSHVQLLYKCFDYNHWLSYGHGIETQIITDQDTS >KN538696.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538696.1:499499:500506:1 gene:KN538696.1_FG090 transcript:KN538696.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVYGVAASPYVATVLVCLEEAGASYELVAVDMAAGENRNIHLLLLLLLLESRAIQRYVLRKYNKPDLLREGNLEESAMVDMWMEVEAHHYDPAISHIIRECVIKPMIGGGGRDQAVVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVKAWWERLLVRPAVRKVAALFPPVTSA >KN538696.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538696.1:503415:514789:1 gene:KN538696.1_FG091 transcript:KN538696.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAYTRILENIVTFFRSLAETSVTSSTRRAIAAAANGRPVTDGNRSNEAANDFFSRKCSRSWIKEGRCTQGVINLCGEAFMSVAYSVMGIFSSGGLESTKEHFREKFAQPGGRNFPTAIDEAADEVARRAALAFLCPCHRPNAARPHELDPRYLLVDVPGFDTDAEYHPEQVAAEREKIDPRALLDYLKGAAVEQLDAAELIGKPKRHIPAVQMSSMLEAYRLSRYALKDPTYAQAFGMDYDEAQAAKTALEKKAREGSEIMINWQRRKLKELIGSLWSYLSTRHNNSCKGFPFKKKISLCMANETKGESLPATKTNPETKIIGDGHVVVAALVVVLVVARDVFGGTGKHRRNPRMIRASLQIQLLQVISELQSLPLVASYVAGRRSISDEARSFLLAFRSKSFKKSHENDPPEDNKASKPNAATAADGQKPAAKKKPAARPGDAAAASAKVAGVKRAPKKEKEPAPAPAIKTPSPTALMMKFPPKTTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKSDADVALKYARANTTMFGQHQQQPYRPNNDTQLGLHGAAAAAAAAGDVTPAWKRGGREFDEELMRVMRGIAKMVEPLTDKNGNFPYHLFTSA >KN538696.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538696.1:443316:444662:1 gene:KN538696.1_FG092 transcript:KN538696.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g05340 [Source:Projected from Arabidopsis thaliana (AT3G05340) UniProtKB/Swiss-Prot;Acc:Q9MA85] MVHGLVVSCGFEAEVPVGNALVTAYFECGSPASAERVFHGMAEKNVITWTAMISGMARAELYKESFRLFGQMIRTVDANSATYSCALLACARSLAAREGQQVHGLVVKAGFEADLHVESGLMDVYSKCGLMEDALTVFRSCREPDEVSLTVILVGFAQNGMEEKAFELFAEMVGAGNEIDENTVSAVLGAFGASAPFALGKQIHALVIKRCFGVNTYVCNGLVNMYSKCGELRESVQVFDEMPSKNSVSWNSIIAAFARHGHGSEVYQLFESMKADGAKPTDVTFLSLLHACSHVGSAKKGLEILNSMSSQYGVLPRMEHYACVVDMLGRAGLLDDAKSFIEDGPFTDNALLWQALMGACSFHGNSEVGKYAAEKLLLLDPSCTAAYVLLSNIYSSEGRWDDRAKVMKRMSEMGLRKDTGKSWIELEKEVHSFVVRSTSHPNSAAAVR >KN538696.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538696.1:448393:449663:-1 gene:KN538696.1_FG095 transcript:KN538696.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATGGVEVLGDEILREILLRLPFPTALEEASIVYAARPMKAKTSLYWKLDDGTRMAAFDAAPGKMKLSILDLPPFPATLAFDVIDTEDDDDGLRVLAMSDDFCLETWKLSSAATAAAIDDDEEEETPWTLEDTSVRFYRALESMLGERKLSDRHRRRRRRGYEFEIVGVVDGFFFFRQSGVLLSIDLKTMELTRLSEQDCSPATIYPYTMAWPPSFLNPTD >KN538696.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538696.1:490110:494106:1 gene:KN538696.1_FG096 transcript:KN538696.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIPGTVAASGVYYNDQYRMPCKLKGIHCMALNCIPQKAKVRKCMNGYQSTFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVAAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRYYLLKARARKDTKKIDHSVKERAARLTHFARILKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLSAKLPKKLGTGVKINVFLQ >AMDW01040332.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040332.1:202:1400:-1 gene:AMDW01040332.1_FG001 transcript:AMDW01040332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEVMQRKIADKGRKMVTIVDPHIKRDSSFHLHEEATAKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAVHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAIWTGDNSADWDHLKSSIPMVLTLGLTGMTFSVGALTGADIGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFG >KN542376.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542376.1:13792:14241:-1 gene:KN542376.1_FG001 transcript:KN542376.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEACWRRGRFGEPKEDCSDDGMEVGEHRVGATKEAVRVAKELRGSDHAATDKVAGEEARAMGQRGNGRLYFPTTTAPAFLFLTPSGKLLADLLHRLCQARHTSTAISSPMIDCRATTRVSSQCASPGCLGVDMCAALSRSAILNSD >KN542376.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542376.1:15581:16263:-1 gene:KN542376.1_FG002 transcript:KN542376.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AIKQNVKGFPRPLLDISAENFGKIVETAMNTTLDPPFNPYENSLNFLLASYIIPYVGLTGYVGANPRLLTPQARKLVAGLLGVESAQDAVIRALLYEHGLSRVASYGVGVAELTAHISELRNVLGRKGVKDEGLVVAPGQGPEGQTVGNIIAGDRFSLTYDRTPEEILGVVYGSGDPAKAGGFFPQGADGRIARAFIA >KN544531.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544531.1:140:388:-1 gene:KN544531.1_FG001 transcript:KN544531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANLEDVPSMELMTELLRRMKCSSKPDKRVILVGNASVPPPLSCSSLSLGGLVGGSGSAARAALVGRWGKIAAACWGC >KN545064.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545064.1:49:509:1 gene:KN545064.1_FG001 transcript:KN545064.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGEPDERVIAESVARLRETLAVHFPYTRYFMEMPYAAPVFGAYPRVTAWWERLLARPSVRKVAAMMSGGEG >AMDW01056397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056397.1:1013:2575:1 gene:AMDW01056397.1_FG001 transcript:AMDW01056397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHERIKIKGWSGSAAVWLLLVPLFVLIVLKTDFLPQVARLGDTSFTKVADEMVQKVSSLGLDRARWQQQQTLDVAKLEDSVVGTSDELTGHVDANNEGN >AMDW01023502.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023502.1:40:141:-1 gene:AMDW01023502.1_FG001 transcript:AMDW01023502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFALLVATGAGAGFGLTYDAKKAFGGSKLQGEVA >KN539976.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539976.1:21626:26374:1 gene:KN539976.1_FG001 transcript:KN539976.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDADSGGLPGGEAAEEMGMGGEEERVATLLGIAEECDTEDELRLLLRGNPHPVCYDSFLPCDRMTIAQGVLKAVHVRKMVEAGCRVKIWVDDWSAFLSNKLGGDMEKIQTVGRYMIEVWKSIGMNRDGVEFLCSSAEINSRADEYWPHVMGISTHRKIGVVRELRECKKPTAQFFNPCMQCAGIFFLERFPGSIIEDGKLTVKPLFNIPLLIKSVCGKSWTSRVMSLANVDKMLAIWQTFVRWAWISMRCSSLQSLGVLKIHKNKTKAEIDLKIKQAFCLPKIVKGNPCIEYIKYIIFPWFGKFEVVRKAKNGGNKTFMRVEELVMDYESGSLHPADVKPALKEAINQILKPVREHFENNKEAKFLRDTVKGYKEVNSEEGLPKKEDSEEEAKC >KN539976.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539976.1:63681:66870:-1 gene:KN539976.1_FG002 transcript:KN539976.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAYGVGAPLKLAATRHGALALASSHRCSGWKSSVSYPVPQVWMGSCSSVAMRRVASGSRLIVQASNSGGSRLKASLADASLLTEERITVLVIGGGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDATCISDLDVSDSDAVIAFCRKRGVGMVVVGPEAPLVAGLVNDLVKAEIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDPAEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSQVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTIMESIIIPTVQGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLMSACRGELGNVSLTWSPEMAMVVVMASEGYPGSYKKGTVIRNLEKAEQVSPVVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARARAYDAVDVVDWPEGFFRRDIGWRALKHKQVANY >KN539976.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539976.1:7031:7749:-1 gene:KN539976.1_FG003 transcript:KN539976.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAREKELELESKTKGRANDRGDPRGQKRRSDVRNQSSSPWMEQDSTSYNSSYLDQEGALGDDEIEMFLHSRVKRGRGAVGSRMDETGPNLNASSRSQDNIPSLDIRVEEKWELQVQGPERPLSLRLQSSDDFCHRETTDGETFISGKHMKKGKKKESNSEKKR >KN539976.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539976.1:29185:31740:1 gene:KN539976.1_FG004 transcript:KN539976.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEAEKSPEVVLEWPKKDKKRLLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGAGFGHFAIATEDVYKLAEKIKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVIELTYNYGVTEYTKGNAYAQVAIGTEDVYKSAEAVELVTKELGGKILRQPGPLPGLNTKIASFLDPDGWKVHIIRTSSKRTVPKFIVLNSTVDKVLVDNADFLKELQ >KN539976.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539976.1:42800:53308:-1 gene:KN539976.1_FG005 transcript:KN539976.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQYFLMTRRYTGSRIVRCMVSSSDCPNRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLHNESTEWKKLDTTEVDLSQDVSSSSMRKVDATDEAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDGAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENALNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDEAQTGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEEEGTKHHKYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQQTAGLPEQNMSFDGVHRKSQSIIGLPFQHQSIVSSPEKYQSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFHKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAKPRESQSKQISIVRRHDPLHLKEVETKDRDGISKKSSGDNDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEAYRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVERLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNGVDYALNRQVPPHLTIV >KN539976.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539976.1:4315:4569:-1 gene:KN539976.1_FG006 transcript:KN539976.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFISQITTEVAPSKLSSIIRRARLLTMLDTITEDDREAMESPRAPPRRTSYDKEFGGTSVHCTDKEALLAPVVKVGYLKIKA >KN539976.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539976.1:39172:40684:-1 gene:KN539976.1_FG007 transcript:KN539976.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >KN539976.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539976.1:13309:13536:-1 gene:KN539976.1_FG008 transcript:KN539976.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPPKLSSIIRRTRLPRRLDTIMEDDREAMESPRAPPRSTSYAKEVVDTSIHCTKKGVFLASMMKAGCLKIKA >KN539976.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539976.1:64:2947:1 gene:KN539976.1_FG009 transcript:KN539976.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MADLADEDPPVLLDRASRATRGKRITKILEDEVEQDEVFWNQDALKEDEEDDNYEEEQDAGDEFDSDFGEDESEPDDEPEKEVRERLPIKKRLIFPGKTMKKINAKKKKKVVPKLEDDSKTDKYSDQQSPSKQTEIPDELETGEKTIRKSTRTSVIVRQAEREAIRAEKEATMKPIIKKKKEGEEKRMTQEEMLLEAAETEIINLRNLERVLAREEEVKKKAVVHKAVYEGPTIRFCSRDDPEKSICAVTGLPAKYRDPKTGLPYATKEAFKIIRESFLKEEADRKRPNLANMGELFESITGEYSTPKKRRIEARSPSISSDQRHGGRFRRIPALDLLDED >KN539976.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539976.1:60059:60394:1 gene:KN539976.1_FG010 transcript:KN539976.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPAPSQEETTTRRDWSELPVDALSVVFAKLGAVEVLMGAGLVCRPWLDAAKLPHLWRCVDMSHSHPRDVSRRNCAMAKVAVDRSGGKLEVFKGKRFVTNNLLTYVADR >KN539976.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539976.1:14171:21075:-1 gene:KN539976.1_FG011 transcript:KN539976.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIGGARSPLSFSSSLCNAKVSCGLALHNVKIKSSRRLEVVCHGMLTTRKFMQKKKKEEVYKDAADEAEQKNWRMMMREIEESGSAVSILKTQRSKKEPLPRDAVLGTLMRFKQLKKWNLVSEILEWLRTQHWWNFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYKPSVISQTALMEAYGRAKQYRKAEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYSQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRTGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILIDAFAISGLVEEAHTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRMQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTPEEQEEANELTRNCTIQLEAKPDGTTYGLEINGTGNEYKNGQNDAGHDSLLDGACTTSNRNEIYQPMEIWNTSTDPQHRQCMAIEKDDLLVNLEGTEWSLAQLEFMSLIPTIYSFAFLDAVVPLLLGGRLYAILKY >KN541402.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541402.1:2614:2988:1 gene:KN541402.1_FG001 transcript:KN541402.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFNSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTMLISVVHRWSAGADGSNWLPDNINRGRLDYFYWIVALLQVLNLAYYAICARCYMFKPLQLREVDDDAKPQIELQEKASPLSH >KN541402.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541402.1:18140:24128:-1 gene:KN541402.1_FG002 transcript:KN541402.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEEKSVVVTVNGEIYNHEELKANLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTDRTMMVHHVDLCINIQIDDITFLNGLCMFMAIIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAAQWGNKLHTFCIGLKGSPDLRAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKNFINVAMDIDPEWKMIRRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHNAARLTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTLQKSPASANPVSDNGFGPALGESMVKTVASVTAV >KN541402.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541402.1:10678:15836:-1 gene:KN541402.1_FG003 transcript:KN541402.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLVCLQEVDRFRDIAAEMENRGYQSRFKGRTGDAKDGCATFWKSKGLRLLEEDSIDFSEYNLRNNVAQIFVFELSRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGCSNVMVAKHPLNICSSYAMLKEIGSDHLPIIAEFVFMESAASTDSDQDESDEDKESEQEATRGQHIYFSSDSDSSDECTVCLLTKKYTQIAVLPEFRETKAVLPEFREAKAARSTKWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >KN542495.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542495.1:10615:14408:1 gene:KN542495.1_FG001 transcript:KN542495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVSGVLKILGSKLAPLVIKEYSSVVGVIKNLKELQDLVEQINCYLETAALGDAQSFNWLKNLKDVAYNVDDVVDEFQLEAEKHETYGDGGIVSKYMYKPKSVISRRKVAKNIKAIKKRFAAIVAQRIDLNAIANSIPPPAGKSIHHMNQTTVEMPLPTVDAASVLGRDQDKNKIITKLMESKDQQEIEIVSVVGLGGSGKTTLTKLVFNDGDIIEKYFEVRLWVHVAKEFVITKLFEKLFEAVTKEKSARYTFEHMREKISKEVNDKRFLLVLDDCWTEGRIQWEQFRREFMVHLKSGKPGSRILLTTRSRRVAEAAESTSLFDLPFLSLDDSWQLFDRTFRGVKSLGSEFEEVGREIVKKCGGVPLAIIAVAGVLRDKELIGEWKAMRDNNILDVKGEESISACLKLSYFHLPSHLKQCFVLCSLYPKGHWVSKDQLIDQWIAHDMIDLAPGVEYLEHIGHKYFNSLVQMSFLQDVDVDRYGMARAIYVDKGDHTIFGKALKCAKHLRSIAVESICTAAVPTTIFQVKSLKHLEISEMECEALPEAISDIWSLQSLYVTSEDLIKLPKFIGKLKKLRTLKLLRCRKLKSLPDSIGDCHLISTLDLSGCNKLKELPDTIGKNRRLRVLSLRYTRIERLPSSIITLENLEYLDLQSCYWLLELPEGIGNLTKLQVLNLVDCIGVEAMPVGIGQLTRLEMLGIFHVGSGEKSARISELATVDKMSGALCITHLKHVTDPCDASKACLKQKKNLNELKLAWDGSDKVNIENELAVLDGLEPPLRIKYLEIYRYAGTQFAQWMQKQVDVGIQGRRQFPFLTNMMLNDLPNLKHLDGLVQLHSLAGLYLTDMPVLESISGGPFPSLEYLSMKNLASLGEVWMVTERNLSGGVDGGSYNSLHHSRELQIGNCLRMLRIDGCPKLEVKPYLPSSLERLYSRECNDQLLQSPGQGPSSSSSGPPSFSHLKKVVLWPMTASSTISPASPGLESGRGWELIQHMSALESLEILFCDGLTELSKSLESLASLQSLRMYLCPAMRVLPESLGELQSLQELTIECCDSLSTLPQSMGHLTSLQKLRIEDCKALHQLPESLGELLTLQELEIKCLNGLTCLPQSMGHLTSLQKLQITHCDLLHQLPESLGELRALRKFHIRLKGLTCLPQSMFHLTASLKEIKIICCEGMKSLPEGIQGLTALQLLVISGCPDLVRRCQLGKGEDWHLISHIPYLTIE >KN543405.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543405.1:1008:7823:1 gene:KN543405.1_FG001 transcript:KN543405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEGPSTATAKQQQQKFASHLLVVPKACYPWLPLQLPWHKKRGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVQKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMISSDTSFIHENRRIQLSRVSGKNGVQLPLKQPTFGTRGTVVFKL >AMDW01034112.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034112.1:291:473:-1 gene:AMDW01034112.1_FG001 transcript:AMDW01034112.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRDQSNANSGVESSGNDNPSCTNSSSGVPPTNNEVNDPKADAADGDSVENGTRLNSEEPAQ >KN543287.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543287.1:2569:4910:1 gene:KN543287.1_FG001 transcript:KN543287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPASYEEDAASGGGAKLSDKTHLTSLWLRCTTTEGNELQSQEVFDDLCPSPSLEHLTITGYFGCRLPKWLMSMVLDNLRMLKLENLQSCTELPYNLGQLPNLESLCVQHARRIRYVGEEFFRPSTLGDDSESDQDERSIRTVVDQYNRSMAVSTAFPKLIKLVFYGLLGWKEWDWELKLEAMRALQNLQISRCRLSHLPPGLAFHAVALRVMTIERVMELRSVENFGSVVELYLHSNSNLEKIANLPKMEMLRISKCPKLKVLEEVEALSSMELKDYEMRTLPEYLKSLELRQLKIDCTLKLLHMIYQRDAALEWEKISHIQNIEAYADGFDDNKRLHVFYTKETDSFKIHIGEYGAHSNSTDTEDIDEAAGQSEQEDNHDEHVTGSELPTSEQSTHGS >KN542260.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542260.1:262:3523:1 gene:KN542260.1_FG001 transcript:KN542260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVPFYSLPQHSEAFLDVLQIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDPWVDQVTWLAGDVFYARWDEVLVGATAVVSTLGGFGNEEQMKRINGEANVTAVDAAKEFGIPKFILISVHDYNLPSFLLNSGYFTGKRKAESEVLSKYPTSGVVLRPGFIYGKRKVDGFEIPLDVVGQPLEKLLSSVENFTKPLSSLPASDLLLAPPVSVDDVAYAVINGVIDDSFFGVFTIEQIKEAAAKCMNVVLVPSKQRGRMGFDGKGQCASFLTRCIAK >KN542260.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542260.1:5596:7552:-1 gene:KN542260.1_FG002 transcript:KN542260.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSDTFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFNNDEVVQLVYQFMHDNPIGDPAKYLIEQLILKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >KN542260.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542260.1:3913:4305:-1 gene:KN542260.1_FG003 transcript:KN542260.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEDYVNKRNEVRREQRRKQLQMEQALAGVSPPAPEPRESPMQVNKDGFYGENSVDLLNAALTAAQDKFQRFTF >KN545503.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545503.1:1212:1571:-1 gene:KN545503.1_FG001 transcript:KN545503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPWTLGDSEQLRQMPEHRHDSLKKFEVVGSCYTKSLVELACHILETTSSLDRVKLDTYGYAMLCASGSGRCYPHYTEQIMEACNAALAIRTYIMGKVPPTVKFELVEPCSRCRTPVQ >KN538912.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538912.1:130000:134253:-1 gene:KN538912.1_FG001 transcript:KN538912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATSLIGGGVGGVAYVVLLLFLANACVSDAGFEVSSRVLMSDEQGRSGLLATRRRLIVETPSPGAGADAAHPGTKSLPLAAARTHRSDPLANLTMYNGGWNISDQHYWASVAYTAVPLILVGMLWFIVFGIVLLIISCCCCFCRKKYKTYSPATYFISLILLIIFTLATIAGCIILHCGQELFHSSTIKTVDYIVGQGNLTVDNLRNFSGSLAAAKNIGVDQVFLPVQVQQKIDVIEDKLNSSANEFSTRALENSKKIKHVMDKMQYNLMIIAAVMLGLAIFGFLFSILGLRFLVSLLVIAGWFVLVITIMMSAAFLLLHNVVADTCVAMDDWVKHPQAHTALDDILPCVDVATANESMYRSEEVTVQLVALVNNVIVNISNRDFPPSFRPLYINQSGPLMPKLCDPFNPDMSPRKCAPGEVNFDTAAAEWKKFECQTTGPPGSEVCATEGRVTPAAYGQMTAAASISQGLYQYGPFLMELQDCSFVRETFTAISDNNCPGLELYSRHVYLGLLLISGAVMMSIVFWMVHTRQRRRRSLGLGRKRTAQITRSSQIRQYNPSLAINSGDLSLGRSIIRSGRGGEESHVSGEDSGGGGEGREDESSSALVLVPSQQLQPDVDKTPRRSRKHEASCRCDDGNSRHWERFGLLHLSVD >KN538912.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538912.1:96994:100963:1 gene:KN538912.1_FG002 transcript:KN538912.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNHTTSSKPMHPHITFQLMHTKIESGNSCAKYADSTDQSQAVVAQKLLFSCEKGDQSIVAMVHPSLSSPEKIQQKQGISSYEAKHDVQDTTKADVSSSILSPHSLDDEIKSVVGLLSPSAYESLWDGTIQLSSTVKVSVIAFFKSGEKNHDITWPKIIEIKGRVKLDAFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLQGMKEVLQALNYILICNSYGLFWTLDLTHLRHRYRLPRTLNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPSMDSSTNTSKIHNHIDQTQEIQSNSAKTHSLFKLASKLPPQPPFKAPSLIGCQLSQVSPFELASHEVSEIQQHVQSITDSHSGSFGVRHPISHSQGSYLKSLHVQQKTIHYDLAAQKNHMAEAFSGSITQEYASNVAESSKQQETNPFLPQPDTGAHRANDIDDLPEFDFPNLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFRVEGIKNHEKIEVKENVTYMISRERTNQVSHRKAEIKNFKNKDGIDAEDMPECHFQGLDNEKLHQSGSSKQISPSLKNSTGSKAPSQVFPPITQKSNTPLEVEGISSRHDPNMPSGCLNIPREQGSFPRHPPLAQGDQRLAARHPPVLADQGNVPRLPPPVLEDQGLAPRCPPALAGQGFVPRHPPPVLPNQGFVPRRCPPFAGQDLYRWRPPFHANQGPFPRHAPPHPCPPFTPGHMRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPERSNGPSGSGNKPSYHSPSYGNGPGCRPPHQAQR >KN538912.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538912.1:37823:40952:1 gene:KN538912.1_FG003 transcript:KN538912.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDFICNLLRRSSSSSRVSKVTNKSSFGGCAPLKNGEFQKMLEMESQKTIVINYTDVDIPVIEETKPLICGITEFDDVLKEQELSTKEIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEISNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFTIPHSAKVDEVVLANGLIGSVLSDYFCCAIFDMELLVRALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRRYSAVYIFGSVQVFSGFVIANLADRFSRFLGL >KN538912.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538912.1:172668:173466:-1 gene:KN538912.1_FG004 transcript:KN538912.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKITSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMAAAKQFAEEQLAEEVALKRGISVGAATNMLVAGGERDEDIIF >KN538912.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538912.1:630:3015:-1 gene:KN538912.1_FG005 transcript:KN538912.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWLNDLFGIVYSVSVGMGHGSCTLSQSNVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFALITYYTSGLLADCYRTGDPRCHTSLVAVLKYAGGWQVWSCGVFQYVNLVGTAIGYTITASISAAAVHKANCYHKNGHDADCGVYDTTYMIVFGVVQIFFSMLPNFSDLSWLSILAAVMSFSYSTIAVGLSLARTISGATGKTTLTGVEVGVDVTSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETFAARRWPGSEFITRERPVVAGRSFSVNMFRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIQRYTSRWVALQTLSLLCFLVSLASAVASIEGVSESLKHYVPFKTKS >AMDW01040098.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040098.1:358:802:-1 gene:AMDW01040098.1_FG001 transcript:AMDW01040098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGTGSSNEKAEEQKRKSRAERFGLASSSADDDAKKKARLERFGQSTNVDKGEEEKRKARALRFAETSSGPSQENGKDGSKP >KN538912.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538912.1:175895:180309:-1 gene:KN538912.1_FG006 transcript:KN538912.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQKKRSPKIEPFRHRVDADPKSFDKSWKKLEDAIREIYNHNASGLSFEELYRTAYNLVLHKHGLKLYDKLTENLKGHLKDMCRSIEDAQGSLFLEELQRRWADHNKALQMIRDILMYMDRTFITTNKKTPVFDLGLELWRDIVVRAPKIHGRLLDTLLELIHRERMGEMINRGLMRSTTKMLMDLGSSVYHDDFEKPFLEVSASFYSGESQQFIECCDCGEYLKKAERRLAEELERVSQYMDAKTADKITSVVDTEMLANHMQRLILMENSGLVNMLVDDKHEDLSRMYNLFKRVPDGHSTIRSVMASHVKESGKALVSDPEKIKDPVEFVQRLLNAKDKYDEIISISFSNDKAFQNALNSSFENFINLNNRSPEFISLFVDDKLRKGVKGANEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTTSDEAERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTMQSFYANLSGDTDSPTISVQILTTGSWPTQPCTPCKLPPEIVDISEKFRAFYLGTHNGRRLTWQTNMGNADIKATFGGRRHELNVSTYQMCVLMLFNSADGLTYGDIEQATGIPHADLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYYNDKFTSKLVKVKIGTVVAQKETEPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIITEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKMYRYLA >KN538912.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538912.1:166582:169629:1 gene:KN538912.1_FG007 transcript:KN538912.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25660) UniProtKB/Swiss-Prot;Acc:Q9LI77] MPSTGGSRILDGYQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVVDTATILSVIAGHDKMDSTSSSHDVSDYKSELVPLDLLESKPLNGMRIGIIQETLGEGVETGVISSIKDAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSGDDLNELYGGSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFKEALERYDILVSPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRIGHIFEQTLQNYSFVPPLLAES >KN538912.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538912.1:145291:152159:-1 gene:KN538912.1_FG008 transcript:KN538912.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGYGSFNPVRSVLSGVRKGSGRLKSLRQSLKSGAPKTAFAEDLKSYKKTIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDDKSNCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSRVFGTGELVIDPMRIAIRYLKSYFIMDFFALLPLPQIVVWRYLHTLDGPDVSSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHIEDGCSRSYLYCSDNHIGNYNSWLNKSTKILEPCNGTNSFQFGIFQQALVSGILSPGNFISKICYCFWWGLQNLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSHHPSLAATIYASRFAANALRGVHRLRSRASPTITLSLYANDWRRALEFFHWSASPDGANVPPTPATVARAVDVLGKHFEFPLATSLLVSHHDPARADPSFLRPALRSLLNRLAAANLIDDAIRAFDSTAGSIGLRDEASFHALVDALGHHRRVDEAHHLCFGKDPPPFPPVTKTYNLLLRGWAKTRAWARLRQLWFDMDNRGVAKDLHSYSIYMDALAKSGKPWKAFKVFKEMKQKGMAIDVVAYNTAIHSVGLAQGVDFAIRLYRQMIDAGCKPNASTFNTIVKLLCKEGRFKEGYAFVQQMHKFGIEPNVLTYHCFFQYLSRPQEVLGLFEKMLERGCRPRMDTYVMLIKRFGRWGFLRPVFIVWKAMEEQGLSPDAFAYNSLVDALLQKGMVDLARKYDEEMLAKGLSPKPRKELGTKIPGAESDSDNALSGVL >KN538912.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538912.1:84227:91758:-1 gene:KN538912.1_FG009 transcript:KN538912.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASPDHLFGLRNSFYVGAYQAVITGVQAIPARAALSPDALAERDSLLYRSYIAIGSHQLVIDEIGPGAATPLQAVRLLAVYLSGGAGGKESAIRKLNELLADDAVGSNPILRLVAGTVLMHERDYAGALKHTNSGGTMELLTMNVQICLQMHRSDHEEKQLRIMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPATCLILNGKALCLMHMGNFEDAEGLLLESLNKDAKDAETLANLIVCSLNLGKSASRYLKLIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGAAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKLTFRKGQRYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFTSYFLGIFSYGLALPSGLFVPVILTGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCTASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >KN538912.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538912.1:49877:58045:-1 gene:KN538912.1_FG010 transcript:KN538912.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGISNVDVDDLPYGGSNALNINSLRISLPKIVNSDIAGTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPRTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKEPAIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHGEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVNYGTKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKEIFEHDVGSPREANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRASVQPHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDKVFNAISKPERGTKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEETGNDEKAPDSNSDESLTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSVDQLLAPQTSDEVKAGMPAAEQAIQGESTSPGKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGSAQSSNSINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >KN538912.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538912.1:116611:120263:-1 gene:KN538912.1_FG011 transcript:KN538912.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAEVARRAGGVEPDRINLIFGGRVLKDDPPASLQQAGLKGNAKVLSTLLAPDRGKAIAAQAAAAAAEEEHNNRLVRLWNAAEALCQRHTDGSFHEEDFNLDLEDQSGQKVMFGSVDDLKAVKMALMLHQKAKTFIKRGMHKEALDVLAMAEEAFSLCDPKLIERVDNVSMLQLDIVWCYFVLRDVSRLEVAGTRLEKARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNTVKARESLTSAQAKYMQLQVPDEAISILMSMGYGARAAKRALKMSGYDIQSSVDLLCEEREKKIRRVQEDMEMQKEIMEQKKYGKTPMNKAVNMQKLKGLVAIGFEKKLAAEALRINENDADKALDLLTDPEQNCILQQKIMSKAKRLSRGSGSGSSSSRAAAATTASGLNNSQAPVDTSANVPDGSAMEESHVLPVINEEAANNVEAVNDDDTVNHEEEDMSEESAEGEEEANPPPVRDVAMENELAHEMTGDALDDYDIDVSNEGQAISEYLSLLDSAA >KN538912.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538912.1:137622:139069:-1 gene:KN538912.1_FG012 transcript:KN538912.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRTRTSLLALASLLLLLLATRAHGIRLDRQLHEAINNKQEIMRDSKAEQSLNTARLMNKHCTSDGHCNSGKVQRPLVQAEAGAAAKQQQQNQSLERSGDANQQEQETAPRQQEKTSSTATATMTTYPDILDIAGMDYSPATRKPPIHN >KN538912.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538912.1:190652:192689:1 gene:KN538912.1_FG013 transcript:KN538912.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRCCPSADTAFRRPVGTRGADRFSAGVLRCSKRGNGVVGLKLSCAAASAAPASQALPPLPWWAKELKEEDEKFFPLVDLDPAGQGQEEIDAIWNALLSGPLQPVLRALREIGAAGNLFRCRSFHIGILSVLIFILSFKDNSSSQGIYGILSELIWFINVQLYFSMVYSEVTGLKHMRLFWLGVYRLLQTKGGLTKVIKSLFTYGYGNDT >KN538912.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538912.1:155683:157669:1 gene:KN538912.1_FG014 transcript:KN538912.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARALELSAAFFALPDEEKAKARPVEGSVAPLPAGYARQPAHSADKNEYLLAFDPQLGFNLYPDEPSGFREALEELYGKLTELGVLIQEILNECMGLPPGFLKEYNGDRSFDFMAALRYFPATAEENNGVNEHEDGNCITFVLQDGIGGLEVLKDGAWVPVDPVEGSIIVNIGDVIQVLTNGKVKSATHRVVRKPAVHRHSLAFFFNVHGDKWVEPLPEYTEKIGEAPRYRRFLYSEYQQLRMRNKTHPPSRPEDVVHITHYAI >KN538912.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538912.1:159126:161816:-1 gene:KN538912.1_FG015 transcript:KN538912.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNADDVDLVLLCTSTPDDLFGGAAQVLADVGCANAFGFDITAACSGFIIGLITATRFIKGGGIRNILVIGADALSQFVDWTDRGTCILFGDAAGAVLIQACSADEDGLLGFCVQSDGNGQKHLNCVSSHVESILSKTNGVPSFPPKKATFSNIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAIVKWG >KN538912.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538912.1:101419:106450:1 gene:KN538912.1_FG016 transcript:KN538912.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCPCTTTTSSPLSLPRPACRSRWSGGGGSSANAAAWRARGGGAKRSLVVAASGSKDETAEGKKQEEESEFNPFGFVTDNPSSRGAIQLPESPAQDGNVGQMLYRIEDKGREFGSRVKSGKLRWFVRETGSAGARRGTVVFIHGAPSQSFSYRMVMSQMADAGYHCFAPDWIGFGFSDMPQPGYGFDYTEEEFHKSLDELLSTLNITEPFFLVVQGFLVGSYGLTWALKNSSKVLKVAILNSPLTVSSPVPGLFNQLSYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFRDVLSRISAGFASNSWEKPILLAWGISDKYLPLPIAEEFQKGNPAAIKLEPIEGAGHMPQEDWPEKVVTALRSFL >KN538912.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538912.1:60815:62531:-1 gene:KN538912.1_FG017 transcript:KN538912.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGAGRGKGRGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEGGVAGIRTHN >KN538912.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538912.1:126005:126511:1 gene:KN538912.1_FG018 transcript:KN538912.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEILPRTKLYSSQSLVLANAPHGELIGGSVPRYFLGPACCPMLPWLVTPYNDMDAKNGMSKESIFNNVHSHGMRLVRNAFGHVRSRWRLLDECWKGECQEALPYVVVAGCLLHNFLIKCGEPDPEEIQEGAAAELFSDFEGEKDKEGERIRDVLAVHLSLVSRNQ >KN538912.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538912.1:183500:184405:1 gene:KN538912.1_FG019 transcript:KN538912.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGAMANRYLAGKEHQGGAGYAERSEPGVAKDQASRRGAWHWNIRLIEVAAFEVVMQAFEDNFRSLAMVSDNEKVPIVVVENVLKEVKVSADGSLWSRMC >KN538912.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538912.1:65734:70114:-1 gene:KN538912.1_FG020 transcript:KN538912.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGLVLGIAAGVALIVGSARAENSRAARRRQLAATIASFSKMTIEDSRKLLPGDLYPSWVVFSAQQKLKWLNQELIKIWPFVNTAASELIKTSVEPVLEQYRPIVLASLKFSKLTLGTVAPQFTGVSIIENDESGVVMELEMNWDANPSIILDVKTRLGVSLPIQEALRHLHSELDLVHKVKDIGFTGVFRLIFKPLVDQLPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVTLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEETPNPFRQQFSMTSLERTMTSMENGSGSTGFNRMSSRKKKEIIMRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKSKTKYKTRVVSESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLIEEDYKDSFKLEGAKSGKLNLHLKWSPQPIFRDSREEDSLRFR >KN540714.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540714.1:37640:42650:-1 gene:KN540714.1_FG001 transcript:KN540714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRRRAGRAGDVVVPARRAVAPRRVFLYWFGWTPDVCVADVEVAKQVLSDRTGLFPKNVTTPMLLKLFGRGLVLANGDEWQRHKKVVHPAFNTDKLKMMTATMAGVARSMVSRWEEQVASHGGKVVIELSSQFEELTADVISHTAFGSSYAEGKQVFMAIKELQFIAFSSLLSVQIPGSRYFPTKKNLKVWRLDRKETTSHLLSWTMFLLSTHPDWQDKLREEAVRECGDAGAGDDDDDQLPTYDMLGKLKLPGAGDPEADGGGGGDRRRDGAGRHDADVPDRHDAPRRGSVGRRRRGVRPAKLLSFSTGPRACVGQSFAMVEAKAVVAAILRRFRLELSPEYVHAPTDVITLRPKHGLPMSCPDFEEARRLHAAALVGGHGRGTVLVAQLVRAYAKLGEVAHALRVFDGMPRWNSFAWNAVIKGLVDAGRFSEALEMFWGMVNDGSVAADGFTYPPVIKACAALGAVAQGRKVWEMVEADIASGNARPNVFVQCALVDMFAKCGCLDEARNVFESMKVRDLAAWTAMIGGTVHAGNWLEVVDLFNHMRLEGFGVDSLIAATVISACGRAGELQVGTALHGCAVKNGVSGDIYVSNALVDMYCKCGCAEMADCLFRSTNAKDIVSWSSLIAGYSQNGMHNVSVSLFCEMISSGINPNSSTLASILPCLSELKLIRSGKEIHCFSIRHGLERSEFVVSALIDLYSKQGLIRVAETLFWLMPDKDLAIWNSMVAGYAVNGYSDSAFCALSSSSMQSTPYVHPGHAGLIDKGLHLYHSMLHDYNISPEKEHYSCIVDLYSRCGKLDEAWCFMSNMAEEPEIDVLGGLLAACRVHNRMDIAELVGKRIFEQNPNDPGYHILLSNIYAEAGMWSDVTRIRTMIQERNLKKETGNSLT >KN540714.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540714.1:6081:6665:1 gene:KN540714.1_FG002 transcript:KN540714.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIVAAACIFLSCSASVAHGRRFVRSSSYDEPCKEMRLYLHDILYDYSNSTSNSTSAAATKPTALSTAVSKSGYFFGRVVVFNDPVTEGRALPPSLEETAVRAQGLYLYDKKEDYSAWLAFSIVFNSTAHRGTLNLMGADPLAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYVV >KN540714.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540714.1:25499:26269:-1 gene:KN540714.1_FG003 transcript:KN540714.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLQLGFSKSQLVEKLRRLKRKYRNCVSRLRGSGSAFSFRSPHEQAIFEIARNIWRPTNKHGRDGDSDDDDATPVTPAPIPVNTSPNGEVKSPTSGRQRRRRRGGDFAAAAPATAPATTNMVQPIQPVQVPVSVPVKMDDTLPALSQTTMPITVTMEGSEPLRLPVMPPQPAVLDADKSCLTPLFKEMVHAVINIGSNPFGAQLPEPPHGLPMEGEKWRKQRILELEVYLKRIELLQDQVKATLEELKSSAPGT >KN540714.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540714.1:11018:22873:-1 gene:KN540714.1_FG004 transcript:KN540714.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGGGGGGGWGGGYDDDDDWGLSAEQLDQLERDAYRKLAERKASSSAASTATSPLPSGAAAYSPVKNSHHHPASRVSQESCFGKVESLSPSRLSQPNASGNAVNNSQGNLSKVSVHLFLHSTGVIAAKFQYHQKLVDAVHKIPKASWNGKERVWMFPHSSLSVAEEVLSTVPGIAVEVQKLDPLVKRALIASLYAGDLRDLYGKIPTDVESKLMPFQREGVRYVLHVELNCPEISNMSSHTRNVMLQILMAQTGYGARGLRFALQHGARTLIADEMGLGKTLQAIAVASCLHDAWPVLVISPSSLRLHWASVMLPQTGGSNKAGYRLVYSNTKGDFNLDGVFNVISYDVVPKIKDMLLDLDFKIVIADESHFLKNAQAKRTMHSLPVLQKAKYVVLLSGTPALSRPIELFTQLQALYPTVYKNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLSEKEMRHIRALFHELETVKIKIQSCDSQETMDSLKFAQKNLINKIYNDSAEAKIPAVLDYLGTIIEAECKFLIFAHHQSMLEAIHQHLLKKKVKCIRIDGQTPVPVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSRSDTRPSPSKQKTLDAYLKRCSNSTEADQPKLKNPRF >KN540714.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540714.1:8300:10521:-1 gene:KN540714.1_FG005 transcript:KN540714.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFLLVVIAILCLVPMSKKKNKSQLLIKAPLKDAEQGITSDYIGGDALDDLLSKLIKSVEVAKTSREGLPEKIWMKRQFAVGVNDVTRVLERMPAAATAATHSSHSSTEALTGKALCRAPSVLLQSRKVHESIAPLRMSALHLASKMILNVVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKESSLRLGQVVNVRTALAIGVKARDSIINKAIDEVLKTANLVAKEP >KN540714.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540714.1:33053:33349:-1 gene:KN540714.1_FG006 transcript:KN540714.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >KN538681.1_FGP127 pep scaffold:O_longistaminata_v1.0:KN538681.1:1068814:1069206:-1 gene:KN538681.1_FG127 transcript:KN538681.1_FGT127 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTPDVPGASDVWDPIEVPAQMLELWLERQKAKAEAAAAKKKRKVFKCRVPNRLVEAMITQPYTCVDHNRSQEELAELAVPHRQIYILRKFIDEKKMNYEQTIIDQYHKQGYAEDEEEVTDDEEEEEV >KN538681.1_FGP128 pep scaffold:O_longistaminata_v1.0:KN538681.1:1048045:1050466:-1 gene:KN538681.1_FG128 transcript:KN538681.1_FGT128 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKNAGVLALFDVDGTLTAPRKVVTPEMLQFMKQLREHVTVGVVGGSDLVKISEQLGKSVITDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPHGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPDDTAEQCRSLFMSK >KN538681.1_FGP130 pep scaffold:O_longistaminata_v1.0:KN538681.1:1109380:1114675:1 gene:KN538681.1_FG130 transcript:KN538681.1_FGT130 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECISEDELRVLLKKKPNPICYVWFEPTSMMGIEQGIMKTIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQSIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKICINFSAHCIPYVDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELQDEIGADIQKIQLQNEEMSGHGEFVSHVYHVRTIELDLHICACTQPANHGPRHCGI >KN538681.1_FGP132 pep scaffold:O_longistaminata_v1.0:KN538681.1:1117059:1121283:-1 gene:KN538681.1_FG132 transcript:KN538681.1_FGT132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MAMAAAKGRVLPLLAVAAALAAALLYRAPFSKVSGRFPFPRGGEGRADQRDRRRGLPELPAPATGGGLRHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSYPSTVSEETLRLKLDAAKDKLHVDVGFWGGLVPENALNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVQNEDGIDGELDPKAYTTYLKSRPPAWEEAAIKDLQRAMKDTEIGGRSEGAHIHIVHLSDAKTSLGLLKDAKQNGARVSIETCPHYLAFSAEEVPDGDTRFKCAPPIRDSTNRDNLWEALLDGHIDMLSSDHSPSAPDLKLMEEGNFLRAWGGISSLQFVLPVTWSHGKKYGISLNQLASWWSERPAMLAGLKKKGAILPGYRADIVVWKPEAQFHLDDSHPVYHKHRNISAYLGKQLSGKILSTFVGGNLVFAEDKHAKAACGAPILAK >KN538681.1_FGP133 pep scaffold:O_longistaminata_v1.0:KN538681.1:1102678:1105967:-1 gene:KN538681.1_FG133 transcript:KN538681.1_FGT133 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCDFLTELVQRIDFCLDSTLSVVLDRASDKLATIRKDRRKNIDMLESLLRDTSTKIFQGGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIRLNNMEVKLSGDERAEELAILGLLTSSIADSEMKIRHLMGKILELDLACARGSYALWINAVRPAFTDRDSDTQLNPNSECSVFIEGIQHPLLLEQSLSMVKESTEVGKGQLSDEHLVSPMPIPLDMQVRNDTRIIVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGTPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVQVVSKDSLVLIDEIGSGTDPSEGVALSTSILKYLASRLNLAIVTTHYADLSRLKAVDDRFENAAMEFCLETLQPTYRILWGSTGNSNALSIAKSIGFDQKVLARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVLSDVERLYNEIRSEADDLDSRVAALRATESEKVQQELKFVKSQMDQIIKNFESQLKNSELEQYNSLMRKAEAATASLAAAHQPTDFTFGDEENESSYVPEIGDKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNKIKLVQRGTKDTSASSPVKAKGRTPKKSAAEANQDGNISFGPVVQTSKNTVDLRGMRVAEASHELQMAIDGCRSYQVLFVVHGMGTGAVKECALGILRNHPRVAKFEDESPLNYGCTVAYIE >KN538681.1_FGP134 pep scaffold:O_longistaminata_v1.0:KN538681.1:1077662:1080238:-1 gene:KN538681.1_FG134 transcript:KN538681.1_FGT134 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLARTFRDTLDRLPDWSHFDAELGPLERYFGSDGELNVKERLLYLFPMLDRAPKDGGVSCGELEAWLRRQAADRLDAVARRELKKHDKDGDGHSADDDTSCAEAEKKFRQLDSNNDGYLTVEEARPVIQNLISGEFSYAKSHAKLLMKADDNKDNKLSLEEMLNHYLSFYNIVYMDDHYDYDDIGNNIHDELR >KN538681.1_FGP135 pep scaffold:O_longistaminata_v1.0:KN538681.1:1043115:1046865:1 gene:KN538681.1_FG135 transcript:KN538681.1_FGT135 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVKNVMNLFFENQLQAQVQGGKATLAAQQEGFSDKMNSGAGYEDGSEEEEPYERVFYDDEDDGNDDSECGDPCDDSVPKEEVHSTCRKLMHEAEPYDDLVAGEEESVENSALQAFKKERNEQELKQAQKMGYGIKQKEIPVDKEMGMKPFKKRLVKFADDVSCYTYNTESFAAAKLEKRKAQFDDQDKHLHKKQEHTPPSFPQDGGKLKEVDNTNLYVGNLPASVGSHKLIELFLPFGRIVRSRVVDDCFTGLSQGYGFVKYSDPRCASEAIKRMNGRLVEGRALEVRVAGFPSSEDDSQQPSKETDMAKLYVCNLSLSMNTDRLIHLFLPFGEVTNAKVAKDHTTGLSKGYGFVQYSSPHHAAEAVIHLNGHLVDGRKIEVRVSGIPSTLPNSAVESPSTTRTVKEIDMSNLYVCNMPSSIDTKKLVELFLPFGKITHARVVADPDTFSAKGYGFIKFTDSESATKAIAAMNGALVGGEMIIVRVAGLSPSASISAVQTTQDINKSRLYITNLPRSMTADKMVNLFAPFGQITKVLMNLEYSLVWYADAPSATKAVQHMDGYMVEGKRLVVKRSELCTTNASQAGGKPIKEIDMANLYVGRVPSSLTEDQFIDLFRPFGRVVQARMFRFQRYGMVRFDNPSCAAAAIDHLDGYQIGGSILAVRVAGLPAESNAAKGALTSRMSSNEQGQIDMTNLYVSHLPSYVNNERLIDLFLPCGQITQAKVVVERYTGVSKGFGFVKFADAYSAAVALTHMNGYPLDGHVLEVRIAGVQPDAMSSYMAHFYSHFTMHDPAKAAVGIPTSYWPHYYDESAYNTTAENLGQVTTTSATDASAAQTSQKERLPGSKSVDLVAEKDCSSASNKVANCSESQPTAWAGPPGFEPHAISKKCTAGSNASQACSKDHFAQSGGGHKRRSIV >KN538681.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538681.1:1055327:1063959:1 gene:KN538681.1_FG137 transcript:KN538681.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSILAVIKKVENLGDQFITEISRVLKAGGMVLIQSSPSNQDPNNSIQRKLLLGGFVDVQASAASSQDNEHSVTIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKVMTSLPDRGVSSSSSDPLCEGNVAPSSSSSGQKEDCSLKQSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWSRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHAAFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPSEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMSATVIIWLFLQYPFLLGIHFDDFDDFCSRATELVDKADGAPLFTVVQSVQPSKQMYNQDDVLGSSGDGNINVEDLDGSVTVINKLEQSTHKLPNMLGVLLSVALLSISIVKGTDGGGVGVTYGMRGTTMPPLADVARFLSRDTIFDRVRLLDADPRALRAFAGTGLAVDVTVPNADAHAAFAMNLYFQSNGQHEFDCDFGQTGVITTVDPSYKSCKFT >KN538681.1_FGP138 pep scaffold:O_longistaminata_v1.0:KN538681.1:1071693:1076957:1 gene:KN538681.1_FG138 transcript:KN538681.1_FGT138 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPMPAADGLNFVCDYPEGDIRLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIERASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLIVVIGESDPYVHITGREISHLHAATVGMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVLQLYGLYKIATEGPCTAPQLSALKLKARAKWKDEDTTVSASSSKGPMGPVFSSLMYEEEDQGNDSELGDIHVSAREGVIDDIAKHLVAGVDINMRGRCQKDVGVLVKGDISCTAYTVYTV >KN538681.1_FGP139 pep scaffold:O_longistaminata_v1.0:KN538681.1:1082598:1086647:1 gene:KN538681.1_FG139 transcript:KN538681.1_FGT139 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPMPAADGLNFVCDYPEGDIRLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIERASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYIADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVVAMPWITVVVFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDSEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >KN538681.1_FGP140 pep scaffold:O_longistaminata_v1.0:KN538681.1:1096385:1100021:-1 gene:KN538681.1_FG140 transcript:KN538681.1_FGT140 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGESSGAAAVPEEGRSLALTPTWSVAIVLTLLVASSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIKHAVSSARKHLIEVILHHAARRNLKARYHHNQSCAEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHSWRKWEDEAFRDNHESFSQIAYISATRRQPALGRSYSFRSWSENNAIKCVFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNSFELASFFWFWVTTSVSTFVNSNIFWEIRTA >KN538681.1_FGP141 pep scaffold:O_longistaminata_v1.0:KN538681.1:1051596:1052480:-1 gene:KN538681.1_FG141 transcript:KN538681.1_FGT141 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVILTCRTQEQKSSVAKGAGSEPEWNETFVFTVSDDVPQLNVKIMDSDAFSADDFVGEANIPLEPVFLEGSLPPAVHRVVKEEKYCGEIKVALTFTPAAVKTLTSVSCNIHGVVATVSEHLVGVCDANVLDTRISSGNSPSSQPRERGGGLQQLELIACY >KN538681.1_FGP143 pep scaffold:O_longistaminata_v1.0:KN538681.1:1064807:1067255:1 gene:KN538681.1_FG143 transcript:KN538681.1_FGT143 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQAAVIGLLFAFLVAKLISTVIAFKEDNLRITRSTPTSPSAADTPAAPAPPPASLDGGHGDTSDGSDSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEQAQLQLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQKYITVVDELFPNWSMGSSTKRKDEDTTVSASSSKGPMGPVFSSLMYEEEDQGNDSELGDIHVSAREGAIDDIAKHLAAGVEVNMRDSEGRTPLHWAVDRGHLNSVEILVNANADVNAQDNEGQTALHYAVLCEREDIAELLVKHHADVEIKDEDGNTVRELCPSSWSFMNLAN >KN538681.1_FGP144 pep scaffold:O_longistaminata_v1.0:KN538681.1:1125750:1126298:-1 gene:KN538681.1_FG144 transcript:KN538681.1_FGT144 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFKIPRRRFRLLVRTPGGTMSMQDGERLKTTPLGREVWLRWHLLIFDQTIYAVDGIRTWDAYARHLPDIAAATAAIAAVLRGYRERRVELGLFHLRPLRKLLVFRLMSPLLVMPLPDALEKWRSLRRRRRHAMLLLQSKSSGDNSAAPSIYGRCCTAGFIYPVYLLVVAILACVIIFW >KN538681.1_FGP145 pep scaffold:O_longistaminata_v1.0:KN538681.1:1036982:1040789:-1 gene:KN538681.1_FG145 transcript:KN538681.1_FGT145 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGGCGGDESEFVGVGGGGGGGGEGEGNQSPPNRRFFVAVHVGAGFHAPANEKAYRRAMKRACLAAAAVLREGNGTSLDAVAAAIQVLEDDPITNAGRGSNLSESGHVECDASIMDGTTTTFGAVGAVQGVKNPIQIALHLAREQMWLVIESAKAQWGKYRSLLASAKESVNHSTGSGSESSSVQLEAPGAEAEDITGVKKMKMITRSIMEDDQDCVMDTVGAVCVDAYGNIASGASSGGIALKRFPRPSISIGFLQVDGRVGLAAMYGSGCWASSKGPFGTPFIVGCCATGAGEHLIRGFAARECCISSSLIQSGPASACTKVLRQAVQSSSEMSHDTGAGLLLIQADVLKVRGDVSALGAAELVAAYSSPSFGVGYLGSNMNSPKVAMLRSSKAAPNTINHFATRVNFDAQSDQ >AMDW01035657.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035657.1:245:469:1 gene:AMDW01035657.1_FG001 transcript:AMDW01035657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSRSAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >KN542247.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542247.1:6923:9411:1 gene:KN542247.1_FG001 transcript:KN542247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMGFDHSFGLWFVARWLKPDLMIESGAFKGHSTWVLRQAMPNTKIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSIDWGKLLRNHGISDLSRVLVFFDDHQSELKRLKQASIVGFRHIIFEDNYDTGTGDHYSLRQICDQEHIRGGGHSCFWDSDEARLRSRRKSFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMSYVQISGSMLSREDA >KN542232.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542232.1:4869:8064:1 gene:KN542232.1_FG001 transcript:KN542232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYGSTYHVNASFECTELPEVRSIKPSRVHPLHTTHSQDFLGLDYTKPTGLLHDAKYGDGIIIGIIDSGIWPESASFSDHGLSPIPSKWKGQCQAGEAFRSNQCNRKIIGARWYDKHLSAEDLKGEYRSARDAHGHGTHVASTAAGALVPNISFHGLAAGYARGVAPHARLAVYKACWGLGASCHDAGIIKAFDDAIHDGVDVLSLSIGKSGDEFFSSFHAVKNGITVIFAAGNEGPAPRTVTNALPWVITVASATIDRVFPTVITLANGSSSIVGQSLFYQPKDNNNWYEIHHSSCLISNGEKINASLASGKIVFCYSPLSVSITSPFGYVSLAVKAAKEAGAKGIIIATYGLDILDYFEKCGAMPCIFVDFDAVGQINSSGDENTTPLVKIAPACTWVGGEVLAPKISTFSSRGPSPLLPQFLKPDVAAPGSNILAAVKDSYKFQSGTSMACPHVSGVAALLKALHPDWSPAIIKSALVTTASNDRYGLPILANGLPQKIADPFDYGGGFIDPNKATDPGLAYDVDPKDYDLVVNCESANSSCESIFQNLNLPSIAIPNLTMPTTVLRTVTNVGQDDAIYKAVVQCPPGVRISVEPSVLQFKQGKKKQSFKVTFSMTHKVQGSYLFGSLAWCDGAAHYVRIPIAVRPVVSENYADL >KN542232.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542232.1:11167:15230:1 gene:KN542232.1_FG002 transcript:KN542232.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVLLCLAIAAATAVCAASSGAPPSPDAAAVAVGSCPTYSGSGYSSASDGGNQEEYDPNKENPCKRSCGSMSIPFPFALLSACSGSKRFLLNCTSNKTLIGIPPAQYQVINISFDDGLLFVNKPSNLGDIITTPTVANELHDFDFSGSQGIWRWAVANQTCHTARTDQLSYACVSSHSLCVDRSTGYHCKCSLGYGGNAYIEDGCQDIDECLLPNSCNGNCQNLPGSYRCSHCPRGSIFDPAKRVCIYGHGLHPAGLLIGLSCGIGVLFLVVGLILFVRRWRRHMQRKIRREYFQKNKGLLLEQLMSSDENVAHDPKIFSLEELEKATDNFHSTRILGCGGHGTVYKGILLDQRVVAIKKSRIVEQNEIDQFINEVAILSQIVHRNVVKLFGCCLESKVPLLVYEFISNGTLYDLLHGEQSTTFSLTWEDSIRISLEVASALSYLHSAASIPIFHRDVKLANILLNDNYTSKVSDFGASRSISIDETRVVTIVQGTFGYLDPEYFHTCQLTEKSDVYSFGVILVEILTRKKPIIVNCFGENQNLGHCFLQTLQDGTIMEIVDPQIAKEANESEINEMASLAEICLRIRGEERPKMKEVELRLQLLRAMITERSRQELLRNNGIGPSVRSNSSTTSVTRSVVLRAVKDLLHFLPLEWAELVT >KN543002.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543002.1:5013:8401:1 gene:KN543002.1_FG001 transcript:KN543002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATIVCLQQLKGMLGLAHFTTSTDVVAVVRSVVTQSHQWRWQSIVVGCCFLIFLLFARYISKRKPKWFLLSAMAPLASVIAGSVLVYLIHGDRHGIPVIGYLKKGINPPSVRNLLLSSPHTMVALRTGIITGIIGLAEGIAIGRSFAMLKSYNVDGNKEMIAFGAMNIVGSCTSCYLTAGPFSRAAVNHNAGCKTPMSNAAAVRLWKVDKIDFCVCVGTYLGVVFGDIQIGLAIAVGISILRILLFIARPKTTVLGKMPNSTNFRRMDQYTVAKAVPGLLVLRIDSPIYFANSGYLRERIMRWIDHEEDRIKAEGLESLKCVVLDMGAVASIDTSGTKMLEDLKKNLDRSSIQIALANPGSEIMRKLDKSNVLGLIGEEWIFLTVSEACYYAQQNCKIGVGMGVVQCVVDPEHMV >AMDW01067058.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067058.1:342:500:-1 gene:AMDW01067058.1_FG001 transcript:AMDW01067058.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLDGWMDGSPEEMGDEPEQRSREECAEEDEAPDLESAQHLIDEMGGYRG >KN540194.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540194.1:43080:48414:-1 gene:KN540194.1_FG001 transcript:KN540194.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL5 [Source:Projected from Arabidopsis thaliana (AT4G12570) UniProtKB/Swiss-Prot;Acc:Q9SU29] MRQRHDRDSPLFDAQPTGDHAAQYLEIFRQAGAPFALVRLYAANPSSASHHHAENAIKCFLTMDPSALPPDVLPVMAPVLLEFCGLLSFSVGKRDELYISSRSMLATVLSLPSGLPPCIKSPSKLIEQVLPFAEEIVGVVMDELASLDMTVSSKNLEDLSNFFKVLRQQALRWVPNGGPLPKNLYNSERGHNDTWVWKLHEMSMNLLNRVDECLKRLEMDLSLSSENRGVNISQSRWVARSHMLVMLTQLDFISMIYEDLAHNLRLVLLAHRDPLNALVRCSKRNEHLHWLVKHKDLLCFESRRNLVLMMLPEGKDEYGELHEMLIDRQHLLDESFEYIIQARPSELRSGLFMEFKNEEATGPGVLREWFCMVCQALFSPQQVLFSPCPSDRQRFFLNGTSAVDPLHLKYFIFSGRIIGLALMHRVQVGITLDRTLFLHLAGRSIKLEDISAADPVMYASCKRILEMDAAVVDGLELTFSRDVHELGSRRTIELCSGGKDLHVNIRNRELYIDLLIKSTFVDSISVQLTHFVRGFSDILVDPELQKVFFEFLDLEDFDGMLGGSNKTINLEDWKLHTQYNGYKEKDRQIIWFWKAVESLSIEQQRQLLFFWTSVKYLPSDGFGGLASKLYIYKVSESADRLPSSHTCFYRLCLPAYPSLKVTRNQLQKITQEHASIFHILSGEVWWEHLHRAMLTSSGSQLRLKPCHKVPAGSRNFLGISQKPVSQQLTSDMDHTLPEHMDSNDVFGI >AMDW01082124.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082124.1:606:5117:-1 gene:AMDW01082124.1_FG001 transcript:AMDW01082124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonokinase [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/Swiss-Prot;Acc:Q8VYG2] LDVVRAKVVEISGRGAGEVRVVACPYRICPLGAHIDHQGGTVTAMTINYGVLLGFVASDDAEISLQSGQFEGVIRFRVDDLQKPIENPENINWESYARGAVYALQNFGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLVVSPVDNIQLDKSIENKYLGLENGILDPSAVLLSRYGYLTFMDCKRILEENLARRAEHYFSEMKRVVKGRDAWARGDLHEFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAVVESGHAEAAAAFVRAEYEKAQPELVSKIPPDRRVLVCEPGDGARVI >KN540194.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540194.1:25004:25426:1 gene:KN540194.1_FG002 transcript:KN540194.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGVLSYAEMAGELMSLRVLERHFGADDDEAAMGADELAALYRGLFARFDRDGSGGVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAGAAA >KN540194.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540194.1:17765:18181:1 gene:KN540194.1_FG003 transcript:KN540194.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGLLSYAEMAGELMSLRVLEKHFGVDDEAAMGADELVELYRGLFARFDRDGNGAVDLEEFRAEMKEMLLAVANGLGFLPVQMVVEEGSFLKVAVDWELAKAA >KN540194.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540194.1:16:481:-1 gene:KN540194.1_FG004 transcript:KN540194.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHAVVIDLSCGPAIEAVRKLDVPVYQFYPSDAGTLAVDVQIPSLLVPGSKKLGGDGDAADLVELLGVPPMPASHVTGIFGRPINEVSKDMGALMVEAARSKAEFDGILINTFVSLEERALRALADPRCCPDGVVLPPVYAVGPLVDKAAAGA >KN540194.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540194.1:7008:8420:-1 gene:KN540194.1_FG005 transcript:KN540194.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLAVGHLNPMMELADVFLDHGYAVAVALIDPSVMENEANLAAAVARAVSSKSSATVSFHTLPGIPDPPSLAFNDQFFKNYFDLVRRHNEHLHDFLRSVRGLHAVVIDASCAHAHEAARKLGVPVLMFYPSNAGHLAVNLQAPLLVDGFKKHLGGDSTSPVEFLGVPPMSASHLAGLFGPISEVNKDFEARIFAGARMKAEFDGILINTSVSLEERALRALADPRCCPDGVVIPPVYAVGPLVDKAAAGAGDKTSRHESLVWLDGQPDRSVVFLCFGSIATACEQSDQQLKEIAAGLDKSGHRFLWVVRATSTQHLDALLPEVFFARTSGRGLVVNSWVPQPSILRHRATAAFVTHCGWNSVLEGITAGVPMLCWPLYAEQRMNKVLMVEDMGVGVEMEGWLEGLVTAEEVETKVRLVMESEHGRKLRERVEGHRDGVAMAWKDSGSSRVAFARLMSELLNV >KN540194.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540194.1:28829:29242:1 gene:KN540194.1_FG006 transcript:KN540194.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTIRSFVEDEGAFNSSVDGRFAALDTNRDGLLSYSEMAKELMSLRVLEKHFGVDEAAMSSDELVEMYRGLYARFDRDGNGAVDLEEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >KN540194.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540194.1:6198:6611:1 gene:KN540194.1_FG007 transcript:KN540194.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVLSFVEDEGAFNSSVDGRFAALDTNRDGLLSYAEMANELMSLRVLDKHFGVDEAAMGADELVELYHGLFLRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >KN540194.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540194.1:20277:23229:1 gene:KN540194.1_FG008 transcript:KN540194.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVLSFVEDEGAFNSSVDGRFAALDANRDGLLSYAEMAGELMSLRVLEKHFGVDDEAAMGADELVELYRGLFARFDRDGNGAVDLEEFRAEMKEMLLAVANGLGCYARLPARSTNCRKKKCGHTNQIRPKKRFISKTAN >KN539226.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539226.1:47477:50559:-1 gene:KN539226.1_FG001 transcript:KN539226.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQVNYSANQNFPLMQSLKFEIGDLVAGIAVWVSVVAWQFFQGERAGVLMVWQPVAQTRFRVFKHENGIAVRVIACFQPSQDCQKILFAGCFLMGEKVNPWCHWSNPPWTENSANNLHPPDVSLDNTNSVALPTYLSSDGYIYSGVAASMPSIAASVTDRPVSFSSRFVTTLVPSVGLSTAETLRKRPLVFFHNENNTFTVGPLLSKGALDTVPELQGSNETNVTDVGAQDTEYEGCLKVQELNNRVRKYPVQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >KN539226.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539226.1:109519:111363:-1 gene:KN539226.1_FG002 transcript:KN539226.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSETLVITDQNSGTMRWEEQSYTVYNALINDFMRPRIQEVDELLSYGVNVTVYNGQLDVICSTVGAEAWVQKLKWDGLKNFLSLPRQPLHCGSSEVTKGFVRSYKNLHFYWILGAGHFVPVDQPCIALDMIGSITQSSVQSHP >KN539226.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539226.1:17002:19945:1 gene:KN539226.1_FG003 transcript:KN539226.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPGRGVSPSSSDPLCEGNAAPSSSSSGQDLKQSKNLILSCVFSSPFSIFEAHQDSSAHRPLKSHSGSYAWSRFLRRIACTGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKLNPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVMQSVQPSKQMYNEESSSGDGMDIINVEGLDGSGETGEEEWQIL >KN539226.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539226.1:55570:56606:-1 gene:KN539226.1_FG004 transcript:KN539226.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCRENDKLGDLRNVFKMVVSRMESVRAWLHVGNEITGFCDCVEAMGLWEIRLSSHIDMVIAHGDTCPFRVCFKNEKVSSSMKNKTGCGRVGWGGSGVGRENDKLGDLRNVFKMAMRLLDFAIAWKQWERLSSHIDMESPAGGFLSLIFAVLEL >KN539226.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539226.1:90194:96052:1 gene:KN539226.1_FG005 transcript:KN539226.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVYINELRAHVAYPEAYMRQVVATLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAIIYVIDAAAASSFEDAKSALALILDQRVCNCIGQGFSTHSSQFAEHFCGVAISSSCILFIGMVLLNFFQQDLPGVITDEELARYLQLKELDERPYKFQAVSAYDGRGIKSGIDWVVEQMERSKRTEVLRARAGLTGQI >KN539226.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539226.1:11397:14380:1 gene:KN539226.1_FG006 transcript:KN539226.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MATTRGQLPVEDVLRVNGSRRFAAALATASPFASLADALLAARRIWLNEELADWNARYREKFGFVFMICASGRTAPEVLAELKRRYENRPIVELEVAAQEELKITELRLAKLFASEPVAPPSSTVGGPTSQSDKAADRMRIIGAHLGSHTQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASTPPSFNNKDFNGWATLGSSVTNNDGRSGQLMDIVNNVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >KN539226.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539226.1:127507:132747:-1 gene:KN539226.1_FG007 transcript:KN539226.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding GGSSVGNGNFAEIGPLDEELKPRNSTWLHKADLLFVDHPVGVGYSYADDRSGLATTDVQAAADATELVKALATRILPDLKSSPLFIVGQAYGGKLAAMIGASLAKAIRAGDIDLTLGGVVIGNGWISLADFSLTYARMLSDVSWLDGNAMDDVNKMAGKVKEQTAAGQFATSLQTFTDLLYLIDSKSDSVNMFNYMTGTGMGMMLITGDNTPEARSSPLTRWQQWSLDVYEAMKNDFMRPAINVDELLSLGVNVTLYNGQRKPLHFCLPYYIPNGFVKAHKNLQHYSILQAGQAVPVDQPCTALHMIGAIMQSPDV >KN539226.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539226.1:78444:82374:-1 gene:KN539226.1_FG008 transcript:KN539226.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCRRRRRRSASPAASFSLLCEEDSESVFGSDDDGVEETATMAPELGKMMSLGFSASHHLGDGGEELVGSFMEKEVEQMVEAARGEYLTKLSNGGIELSCRIAAIDWICKVQAYYSFAPLCAYLAVNYLDRFLSSVEFSVTNDMPWMQQLLIVACLSLAAKMEETAAPGTLDLQVCNPEYVFDAETIHRMEIIVLTTLKWRMQAVTPFTYIDHFLDKINEGNPITSELISRCTEIIVSTMKATVFLRFRPSEIATAVALSVVADGGRVLDFGGVLESSKLPVDKDNVGRCHQAMQEMALVMQNSTASPSGVLDTSCFTSKSDDNTTPGTSPQVDNNNNQACTPASKRARGSVCPCKVGPGTNVQNLTIQMELNANIKDPEIWRFHLS >AMDW01038459.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038459.1:93:792:-1 gene:AMDW01038459.1_FG001 transcript:AMDW01038459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSREVWVPLEKVDSGEIRLQIEPIKNDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGNKKKRTK >KN539226.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539226.1:23926:25528:1 gene:KN539226.1_FG009 transcript:KN539226.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTILLLLLLLLAIARPASSQLFTPAPPPPSQHSNSSIITIDHKRCTYLKAEA >KN539226.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539226.1:982:10308:1 gene:KN539226.1_FG010 transcript:KN539226.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAEEYSLPPQEAPVEKAVEDKPQEAESIAVTNDESPQADETATAVEVNPETSEVQEVADKSEVEDTNPAAEETTETAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVERWNEQRENGTFPGPLSESDSDRWAYTTISVQAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KN539226.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539226.1:99342:99885:-1 gene:KN539226.1_FG011 transcript:KN539226.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCAWGFFPLGYPTSADLNGNWKPFYKMFPWNNAPAGGGGSGRVKKTTARKKVGAGLKRRSFGGVESGIEPMDGQDGWGEGEEGGDGQAEEVRGININEEAQSTDGATRGHVHARGNVSGGVVDMGTFHLDVDPSEDILGNLQNLPFLRVDNLGRML >KN539226.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539226.1:30676:35703:1 gene:KN539226.1_FG012 transcript:KN539226.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLLHGVIDAKIVEADLSVTSDGQLRPSRKTLMKKKVFSWIKKKLPFCNSFQTQQVENAVGLGPLSGKLYATVDIDKARVARTRTVEPTGTPRWKESFHIYCAHDAGDVIFTVKAENPVGATLIGRAYLPDTARYFEGTKVHCVLCPRNPDQGRSYVQDVETATMFTHHQKTVIVDGGGKTAPGLVSFLGGIDLCDGSIQDAYIHAIRRARDFVYVENQYFLGSSYAWRGGEGGVASVEGINALHLVPRELSLKIASKIAAGERFAVYVVVPMWPEGVPESDSVQAILDWQRRTMEMMYRDVDAAIQAKGIRADPTDYLNFFCLGNRERLPVPGGDSYEPPERPDPDTDYMRAQNARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPSHLTSVNRPARGQVI >KN539297.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539297.1:37262:41094:1 gene:KN539297.1_FG001 transcript:KN539297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQRLLMDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEHQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPPNDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTAYPVEYFSKREYPSGSSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGAGGVNLAEIRQISGARVKLHEAHPGSSESIVEIQGMPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >KN539297.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539297.1:87213:90159:1 gene:KN539297.1_FG002 transcript:KN539297.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTRVLAVILVVDVVAFGLAIAAEQSRPSLNYPVKQPANLYKNFIQTIQFLHTGVLNILPDVLLLRLTFLIAESCLLAGLVQSAYHTRYRKVFFENPPDCETVRRGTFGAGAAFSLITCVLTGAYYFYFSKSRVSYARREATIGMSPYS >KN539297.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539297.1:19953:21272:1 gene:KN539297.1_FG003 transcript:KN539297.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCDERIQKIQTVKMMEGIFICAAPMCLKSFLKRSEFDSHIPEVHANLLHNTPEREERNEPDAPNISRASGGDQRQSQMPEMSTARAPPRTGVSPSSSSHVQDRDDRSRYHHSRDQTPQRPPMLSRPPSFHGRHSYPPGDTPSENNPPQGFDRPYNWAHENAPGATPVRQESEHGSQDKQQMMPNAPFMFPPMPHQPNFMMPMNMNQPLMSNTSFNYPLQQDGNPQFFSAPFQMQLPDVGLDQGSASGVQPTPPGPLSFPEGLQRPWGMGLMGNPFQSMPLGQGMPEGAGEPQGGGGMVFLQGGFGVMPDGSMNSGIPGRDLSGQGDRGVLAQMPMPMQMQMSLPPPPPTQPPSAGQQTFSRT >KN539297.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539297.1:43425:45160:-1 gene:KN539297.1_FG004 transcript:KN539297.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGGKPDAVGKAVNLFSVKQGYATKGALVEMHDLLDLMVWNGIQPNHHVFNILICAYAKQEKVDQAMLVFSKMRQQGLSPNAVNYRTVIDVLCKLGRVYDAVLTLKQMINEGLTPDIIVYTPLIHGLCTCDKWEKAEELIFEMLDQGICPDTIFFSRIIYSFCKEGRVIESEKLFDLMAHTGVKPDVITYNALISGYCLAGKMDEAMKLLPGMVSVGVEPNCVTYHSLINGYCKGSRMEDALVLFIEMVSNGVIPDIITYNTILHGLFQTGRIAAAKELYVRIVKRGIETELGTCNIIRQGLCKNNLTDDALRLFQ >KN544158.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544158.1:2108:2386:-1 gene:KN544158.1_FG001 transcript:KN544158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAIHYLLRATSQQRRHHRRLLLLDSGRVLMLLAVVILVHLLTSGAAVQGAEPWVLLAAFLLWLLGAAFAVLSLAAGQFPVLAATIAAAT >KN539297.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539297.1:51385:62619:-1 gene:KN539297.1_FG005 transcript:KN539297.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGADEVTPDLCTYSILIGCCCRAGRLDLGFAALGNVIKKGFRVEAITFAPLLKGLCADKRTSDAMDIVLRRMTELSCMPDVFSCTILLKGLCDENRSQEALELLHMMADDRGGGQPKEAIGTLKKMRSDGVEPNVVTYRSLMNYLCKNGRCTEARKIFDSMTKRGLEPDIATYRTLLQGYATKGPLVEMHALLDLMVRNGIQPDHHVFNILICAYAKQEKVDQAMLVFSKMRQHGLNPNVVCYGTVIDVLCKSGSVDDAMLYFEQMIDEGLTPNIIVYTSLIHGLCTCDKWEKAEELILEMLDRGICLNTIFFNSIIDSHCKEGRVIESEKLFHLMVRIGVKPDIITYNTLIDGCCLAGKMDEATKLLASMVSVGVKPDIVTYGTLINGYCRVNRMDDALALFKEMVSSGVSPNIITYNIILQGLFQTRRTAAAKELYVSITKSGTQLELSTYNIILHGLCKNNLTDEALRMFQNLCLTDLQLETRTFNIMIGALLKCGRMDEAKDLFAAHSANGLVPDVWTYSLMAENLIEQGSLEELDDLFLSMEENGCSADSRMLNSIVRKLLQRGDITRAGTYLFMIDEKHFSLEASTASLLLESSPIVWEQISRISRDALLAYARALSLVEPRFPISPDRAHVHSLAFTWHDAFKTGKKASVASIHLEKAAVLFNLAAVYSQIALAADRATDVGIRTACGSFQSAAGAFAWMRESGVAADAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALADAKKVARGVAAPLLDSVNKLESNMKTNLERAMKENDRVYLMRVPDASSLGALPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLEAELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERGLKESYPLMSILDRRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKVARERCYKQIAAAVAKYRDIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAGFSFSSSSSQASMQRNTSVPPDQNSPSPPPPSSHAPHAQGPYGVPPGGDSRPGYSQPEQRPAYSQPYPPYGAPPQQPPYGAPSQQPPYGAPHPGHYQQPPHQQPPNHDYGQQAYPGGWRGQYYNPHQPQPQPQPPYPQPPYNAQGSYPPHQSSYYRPQ >AMDW01005971.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005971.1:7:150:1 gene:AMDW01005971.1_FG001 transcript:AMDW01005971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQA >KN539297.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539297.1:8663:14177:1 gene:KN539297.1_FG006 transcript:KN539297.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGSGKSTLLDALAGRLAANAFLDGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSAQLRLPDKMPMEEKRALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEACQFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKRRFERSDDPLDRIMTSEAIRRLITYYKNSQYYFAAQQKVNEMARVKGTVLDAGGSQASFWMQVFTLTKRSFINMSRDFGYYWLRLIIYIVVTVCIGTIYLNVGTRYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTISAMPFLILITFISGTMCYFMVRLHPGFTHYLFFVLCLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQDDELPKIPGEYILENVFQIDLVTAYSSWKFDGFDPQFDGCSILFGWHLAAVGLSKMELQLLQIGNDAYGSKVLKIFTRILSGQYAVWLCKRKTSKKGHDIYEY >KN539297.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539297.1:100841:109111:-1 gene:KN539297.1_FG007 transcript:KN539297.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKEMSGSMRVAHAEEPKMATGKEMSGSMRPERPTNVLPMVDLVDGMCSHNFCQLCSTRRSQLREEEESNSKSKAPAEGEKKRKRKKKKLVTVKLSDELMGYLRTKEVMAYLARETPRPLPIDPGVAQHMFVDQELRQEIAAQVHENSEFDAFVLYQYRTKGYAEIQQEVTDDDDDEGPCLFCTGDKKILLHGLTSRRTTDDITDNWGSMTSRADENDEWAAYAGPGGWNDPGMLEVGDGEMSESEYRSQFSICGHYHRFWNRQSYQATISAHWSSIGLTGSVAVTARDLWASPRSTRSAHASGEGHRWRDHRGRAKGELEGNGGGEVEEEDAAPTKLPSGAREERKTETATAGGKWGWRRRGGSWPADALSPPPPPLSFRPLLIREAVPNEPPLRTSSPVPAPWQPEFFGEGITVNGKAWPFLVVLVVHRRQWASWQTFHPVHPMEDLMPGGVRLPDPDEQPPDPIYAFLEVFEELYGDQFPPHVCRPPIKTRLID >KN539297.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539297.1:114990:115577:-1 gene:KN539297.1_FG008 transcript:KN539297.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIEGQAMARSSVWKKKKRAKPMPGKGWKRKGKGSKTKKKAAKLHDVDGDVMVAADAAGSKDEEAAAHPPGTETTVTMELDLVDHYLVGELVAYMTGALLCPILHLKSMNCPFVGPRHLAPLEKDVEFSANILKQHRLTNGPVELQMGPITTSDDHDHHDDDELIIDRRGWMNWRTPEEMRKRMVQEELEDQA >KN539529.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539529.1:50449:53296:1 gene:KN539529.1_FG001 transcript:KN539529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVRDCELDQYGVVNNVVYGSYVERAREELAEFLGVSASTAACTGNAMAVSEQNFKYFTPLKRGDKFVVKVTIQIKGVRIYADQFIETLPDRKQVLEAKATIICLNGEYRPTRVFPELSSKVLDFFSHREASD >KN539297.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539297.1:118478:121463:1 gene:KN539297.1_FG009 transcript:KN539297.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATTTSFLPSALSARKEGAVKDSAFLGVRLGDGLKLETSALGLRTKLWQCQGLALFPLDCSFANFYIRNTNTLAGNVPPKANLGDLRGLASGLDGVSSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHGETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAKKVWELSEKLVGLADHDQVKVVLFTGGSWDGNMGLL >KN541182.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541182.1:4940:5290:1 gene:KN541182.1_FG001 transcript:KN541182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNRSSRRRSWGGADDAEGGEGSGRGCEGIGEAGVSVPEAQFGGGGGRQCPWRRRFGFGGGVGATARRKMGEGGGERRVRKSKRRREKGKERGVGKEEWAWAVGRRSIRERAIA >KN539297.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539297.1:46966:48750:-1 gene:KN539297.1_FG010 transcript:KN539297.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRGGGSPPDVVSYTTVIDGFFKEGDLDKAYSTYHEMLDRGISPNVVTYSSIIAALCKAQAMNKAMEVLNTMVKNGVMPDCMTYNSILHGYCSSGQPKEAIGTLKKMRSDGVEPNVVTYRSLMNYLCKNGRCTEARKIFDSMTKRGLEPDIATYRTLLQGYATKGALVEMHALLDLMVRNGIQPDHHVFNILICAYAKQEKVDQAMLVFSKMRQHGLNPNVVTYGTVIDVLCKSGSVDDAMLYFEQMIDEGLTPNIIVYTSLIHGLCTCDKWEKAEELFFKMLDSGICPNTVFFSSIISNLCKEGRVIESEKLFDLMVRIGVKPNVITYNTLIDGCCLAGKMDEATKLLASMVSVGVKPDIVTYGTLINGYCRVSRMDDALALFKEMVSSGVSPNIITYNIILQGLFQTRRTAAAKELYVSITKSGTQLELSTYNIILHGLCKNNLTDEALRMFQNLCLTDLQLETRTFNIMIGALLKCGRMDEAKDLFAAHLANGLVPDVWTYSLMAENLIEQGSLEELDDLFLSMEENGCSADSRMLNSIVRKLLQRGDITRAGTYLFMIDEKHFSLEASTASFLLESSPIVWEQISRIS >KN539297.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539297.1:22296:26869:-1 gene:KN539297.1_FG011 transcript:KN539297.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVCRSCFRCQLCQVWEEDIKNPHHWWGGSKVSHYELQSLSGLTSPVESVSFDSSEAMIGAGASSGTIKIWDVDEAKVVRTFTGHRSSCVSLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRQIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFRNHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFVPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDVVDVGWSTLGDLIVHEGKLLGCSYNQSCAGIWVVDLMKIEPYAVSNAEAHLNESVNRSIQADNSISSVLGRLSVSRSPAKEASSDTLLKLSMSASKEVPVPASSAVTKKLPKEPITSNIRLTRSDSLPVVSPRVRLNPKFSDDQKRQTDYAVPVTTPRIRSKVDLSIGARAFHRNSVPSVAPTNRSRSKISAYSREGSSFIPVVIPRHIPKVDSGPNLSKVLTTDLTIVEPQDIERGGLSVDCGEDDKLVRVIDSRSSNMGVQNGSRREAGDIITHKETPETALTVMDRDFRRKAPKTESMQQDIFHSEPISSKCKYIKETSGAGDINLSGSAITESVKSNEGGDWYSASSFVKPNLTVGRNPETSYINRRTMFGLRHSTDSSEKHAIEHGPSNLSASYERNQYAPTLHNLRRRSSVAREQSASAGDEDDIADLMENHQEFIHAAKSRLTKLEVVYRCWHNNDVKGSIDATRRIQDLAVAADIISVLMENANSITLDICTCVLPLASSVLEKSSYDRHLKVALEIILKLVKSFGSTISSAVSSTPPVGVDIEAEQR >KN541059.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541059.1:125:370:-1 gene:KN541059.1_FG001 transcript:KN541059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLILPLYYAMTGISTDVWHMHWDCSSSCSSPGSGSSSASWCRRPYYFEIPLRDAISLGLFMNSKGIVEVITFNFFLTNK >KN539297.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539297.1:155:732:1 gene:KN539297.1_FG012 transcript:KN539297.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding KRGMQSTMFVEMAPGVNAGDLYQHLKSTYEGEEFVKLLHGSTVPHTCHVVGSNYCFMNVFEDRIPGRAITISVIDNLVKGASGQAVQNLNLMMGLPENRGLQYQPLFP >KN540846.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540846.1:15969:16331:1 gene:KN540846.1_FG001 transcript:KN540846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSIKEIVAAQVREEATEEEIKCVGSLAEMCLRLRGEDRPTMKQVEMALQFFRTKRSMSCHGAPENSDEMQPLLHRRSEVSCESLAINLGVNANPETGNSHKCYSLEQEFISSIGLPR >KN539297.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539297.1:67623:84446:-1 gene:KN539297.1_FG013 transcript:KN539297.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGSKLVIISFSLNRRLYYPWRKSKLCHLRGADSEKLIFHGEEATEMGGYFICGGMERLVRILILQKRNYPMGLIRGSFVNRGAGYTDKAVIIRCVQDDQSSVTIKLYYLLNGSARLGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDHYERGKGVVSTQLIGERAQIILDEVRDLSLFTRTECLLHLGKYFRSVMEGFEKDDFETVAEAVLKDYIFVHLQNNHDKFNLLIFMLQKLYAIVDQTASPDKADALQYQEVLLPGHLITVFLKDRLQDWLRKSKRLIVEEATKNKSFDLNDSQEVRKFLSKTSAYVGKAIQSMIKVGKVNSQSGLDLPQRDGMTIHAERLNFHRYISHFRSVHRGSSFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRISSFYNSEGATKDFQKIKMSLIARLVGAGMAQLLPRIERTGPPEVLHVHVDGCIVGSIASAKIEEVIPEDLEVGYVPLSHGGAYPGLYLFTNPARFLRPAFMEIRCPDGGDGGRNKLFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIFQTECIDLSAKSRDNVTEFFCKSNLSRDTTAAIESDGLPRIGENIFPNEQYYSVCNNLTGTVRPIKLKGSEPAAIDYVAVNGTNFKDRLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLKGKFIDATPFASSVKERSNSIVDELGPMLASYGFNYHGTEILYSGVFGTEMKCEIFLGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKYGGGIRFVDLPVSDEEEEEEWEDGESEEEEEKVGSRKKAKVHAKQLKRLQEKDPEFYKYLEKCDKELLEFDDDDFDNNEGSAEKPSSVPKEEPKEIVKPITMQMVDSWCQGAEDGKISSIRSILEAFRKACHYGEESGNNSAPKFSVMSGSVLDKVMHFVLKNMDRILRELLDAPSFGGKKETVSELMITKQWKRHGRLMRLYLVNALHMITELTDEQMVAFTVHRVRASAVFLAAFPALLRKYVKALLHTWSRGRGAMPLVSFLFLRDLCIQLGSECLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNVDPQSAYQHAFVFIRQLAVILRGALTERGPKLTSFVVPISFCKTSKDKKQKESIKPTKKRMEKSYQKVYDWQYIFCLELWTSVVCGCSSEEDLRPLAYPLTQIIHGVACLVDKKTVKTRAFQEACIFSAVDELAKHLAQWSYSIAFFEMSFLTLVRLQNFCKTVKADRFRREIKDLIHQIKASAEFVSSKRAGIGFSPNDPAVDSFLQVEKEAKSSPLSKYVATLHQRSQDRMDSLDDTSVIVGAESSTFSRRLSEAQKRQDEQDDGEDTIAFSKNLLTEKKKTKYAITFLFVPLFVSFLGMVNVICFLSGFCRTPKEKSKKRARNHDDVATEEDIVEDLILSSDEEDEDEDKNMESDEDDGSMPVEDDSDNDFIDPDSQWKKQKKEKSKKRNKRQPSKKGSSTTKRKEKISHPKKKAKH >KN539529.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539529.1:33177:34603:1 gene:KN539529.1_FG002 transcript:KN539529.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQL >KN539529.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539529.1:62409:64738:-1 gene:KN539529.1_FG003 transcript:KN539529.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTNARRRRLVERGTDRLAFITGQAQTLPSDPLPDSPLNSVDAATPQISERNASEGGISGDKFSNITRLHKSQPSDVVHESQLSAKARQEIHDGDLLREFKTSSTVPEIQPVNKTPMQRHGEETLGKRINHDRTATVTRKEMETRPKSVPPNQSNQAENAAWSVETLKEHLNFTPHEFTQAISATEYNRILASVAVAFLAVLSNWGLDIGGTITRVLVGTRPLLFLIITNVTIVFTLLMENKDPNVRGRSAGSNLGSADSLGQMLEIGLLLQKSLGALLMDCSICAVILICFL >KN541182.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541182.1:30563:31618:-1 gene:KN541182.1_FG002 transcript:KN541182.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRFVNLVLDKVQGNYTVRRMDMSRFFLPRKLATPLDAGAHDGAAAVEYGNLPCPVMSFRASVCAMETMEFMLLGGRHNKIVGTDLTGRTLLYDPDEHVVRSLPTLPMPKVSAVSLTIGDDDLYILDDIQGPFTGGHDHCFHALTYSRESFTDADGDWCCHTLPPPPYMVKERGDFQFDSYAVVDGVDIWISKQGVGTYRFHTERGEWSAVASGGEWCAMPFTGLAVYVPEHGLFYGLASGTDNVLSASDLISGGRKPEQHSLLPLEYTPPKALTQVSSHLVHLGSANFCIARFFETGFFDADEQHNPELFAVFTAVEVERCDDTGVLRLVKHKSEMYKLISGIYYWVL >KN539529.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539529.1:93842:96533:1 gene:KN539529.1_FG004 transcript:KN539529.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSDIRMDKVTQAVENLKEEWNQAVAQLEGCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMKLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPIYDEL >KN541182.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541182.1:22796:27031:-1 gene:KN541182.1_FG003 transcript:KN541182.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWMDEWGRRLSARRRSGDGEESAEEARWMPGVEEGAAERGIEPSRARDRGGCEERGEVGDSGGGEGMRVSAYSTHSEALDIWIQWVRLRVTARVGVTATTTEFQSSRDTRYHTKKVPLRREGVGIAKKAELHQLVYHSFGKISLNLRLWRVRRGLATSACCPFCPIDEDVEHLFLRCSGVAAIWHSYGLDEQQVASLAHLEDLWGLPPPDNALTPRIWRTILLAAVWNIWKRRNNKIFNSIDETHSVDQVYYLILQGRMLAVDPNTPGDDPRQINAKSCPPSSVPPLQAERQERRCHLQMQYVLLGFSRAARTTVAAAASPTSGTLCAMLPDSCSPHRPQLGVGCRQIGVRRRRTGGMNGSGAWEEETVASESGRDEDEAAPWRC >KN539529.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539529.1:29448:31560:1 gene:KN539529.1_FG005 transcript:KN539529.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAISQPLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMASSANHEHSAEKSKQANLFQPAREFLPMIDEVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKRWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLDDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSV >KN541059.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541059.1:22358:33640:-1 gene:KN541059.1_FG002 transcript:KN541059.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGMIRCRFDPSRCSTAFSISMALDMKIRLCFHLSLKAGLKLGVLDDQIAFVRHRCQDANHHSSRHFGNGGAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFHKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLTMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNFVTLFQLIVAILGIINQNFLFLAFFLLSCQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIACAAVARFVQEFRWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRV >KN539297.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539297.1:110508:110858:-1 gene:KN539297.1_FG014 transcript:KN539297.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLVDHYLVGELVAYMTGALLCPILHLKSMNCPFVDPRHLAPLEKDVEFSANILKQHRLTNGPVELQMGPITTSDDHDHHDDDELIIDRRGWMNWRTPEEMRKRMVEEEQEEQA >KN539297.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539297.1:31656:33136:1 gene:KN539297.1_FG015 transcript:KN539297.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKVSCFCKIDTEVNGGHCYGCRNKGAPPMKHPQNTNAYAGGHLDVERYRLVLTSSSEDEESEEEELGLFEVDDVETEEEEEEEEEEEEDEEEEEEETNMWLRRDIFDKFLDTMGLQAKHG >KN539529.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539529.1:85337:90630:-1 gene:KN539529.1_FG006 transcript:KN539529.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRTNPGRPRNCRTCENCGKEFTSWKTLLDHGRCGLDEEDGRLDVSLRSPPLHDGGDENDGEDEEEGDDLTLAAGGWSKGKRSRRAKVMAIGTGSVSELQLPAPSTEEEDLANFLVMLSSSSSSSSRVAQPAIVVDDADQESCASGSKDEERNRFLVPQPISMAAPLMAQMTVIAPQVVPQHISTVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESSRNETSQTQTQQQHVTAAPHDNTRATTSHVITSDISEIVLAGAASTDMAMMMSVEDFAPTPLAPSAVSSFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSGATDPLTKLQPVAQDHAMMAAMCHQLTLGRPIFDPTDQRILDLNVPTNPLAEAVAARQQQQQQQVAALNDGALCLNAAASVYLQSWTGHSNGSHSAIVADDADNSPAHPCLVATSDATGTRRATGQVLGGHKQCHWRTSSATDPLTKLQPVAQDHATVAAMCHQLMLGRPIFDPTDQQILDLNVPTNPLAEVVEDRQQQQVAALNNGVLCLNEASAVYL >KN541059.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541059.1:20824:21225:1 gene:KN541059.1_FG003 transcript:KN541059.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVEADMPLKARPWSGPKPQIDVDDGARACRRGRSRVASADGRSEWWRPDPLVVRPRASTGTALINTSPREVERARDREAMACGGVEMKVEKRAATRIHLTCVAEYAGEEDGAWRRNDGWRSTRLWRQRRLRRM >KN539529.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539529.1:16872:19994:1 gene:KN539529.1_FG007 transcript:KN539529.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAVRGAPQWLRGLLSEEFFDSCGAHPGERKNDKNHFCVDCAAALCRHCLPHDASHGVLQIWKYASCFVVRVDDLKLFDCNGIQSHTVSDHEVVFLNERTARKRSASVENPCAACARPLPSGHDYCSLFCKDPAQAVSAGDWRSPLELPFLWIGDLHPSLVTSLLRSLSPSPRLLAATDRVDRRIRAAVPSISDRLHRAQEAFISAEVSGAADVEAFLEELKDVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSMHDPEVLRRFDQCRASPGS >KN540846.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540846.1:34741:35793:-1 gene:KN540846.1_FG002 transcript:KN540846.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKANTFSYVLALWLTATVRESGAQRSQAAGNSSSASTLPSRATLAGCPTSCGDISFEYPFGIGAGCFRQPDFELICKHDQATTTATGRHITVAAYGEANNFPFTNLYAIFSRVVSMKPGVDVYNMSWVTPGRSFDLGSGQVNVTGCDFDAYLVDLENNMTMRLCTATCPGDLGTMDDPVTNGCNGTACCSFDLAADYYVGVTHLQFKFVRHNKGASASASFQGLRGNQSSQLRDTISLTADPLFSWKITDQLTCVGAMEDPNYACLSQQSNCLDVTTDDHFGYECRCSPGYGGNPYIRDGCFRDNVHPPHVIHLDE >KN541059.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541059.1:2545:5948:-1 gene:KN541059.1_FG004 transcript:KN541059.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMINNMLSTFHKLVKDDPHAPLIFRDVFEDELFRLSMIQSLGEDVSSVYVEEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTEARRTAVMRALIKQQKERIVRREMVINLYGCNMNKKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQATHIACAAIARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRM >KN539529.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539529.1:35167:38156:-1 gene:KN539529.1_FG008 transcript:KN539529.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPAHHSSLHVVLAGLKPSVSLAPAAARHDSSLPSDVVVARAGPLLSVMPAICCCGPRAPPIGQPVCYRPNLPPAAASSQPPAIPPPSLARTPAPPIKSRGADARSSGGDKYDNGGSAASRYTVTQPSQRAMPPPHAEGGPQLGFWLRRRHPGSRVDFGDSEAGRGGEGDGYRELGFAPGRPGVDEAGSGRGSKPAIFKCQNPNGTKCDIEGEWGESSHPKILRYFCKVNAMYYRISSYYNQRRS >KN540846.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540846.1:25111:27179:-1 gene:KN540846.1_FG003 transcript:KN540846.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAVANLTCHEAQQNRSGYACVSTDSTCLEVNSVIQYVGYRCKCMDGFQGNPYIIDGCRGIVIGLSVGLSIIFIILSVIFLLRRWKSDMQKQLRRKNFQKNEGLLIQQLISSNENASDKTKIFSLEELEKATNNFDCSRIVGTGGHGMVYKGILSDQRVVAIKKSKVIEQGEISQFINEVAILSQTNHRNIVKLFGCCLETEVPLLVYDFIPNGSLSGVIHDPETISLLSWDDCLRIATEAAGALYYLHSVASISIFHRDVKSSNILLDGNYIAKVSDFGASRLVPIDQTHVVTNIQGTFGYLDPEYYQTNQLNEKSDVYSFGVVLVELLIRKKPIFRSESGLTQNLSNYFLWEKKAKPLVELVAAQVLEEATEEEINDIASLAEICLRLRGEDRPTMKQVEITLQSVREKRLKFSQIVPRDDDMHPSLRRRTQLAHQSFAIDSDNIGRANLASQVDQKCYSLEQGSMASTTLPR >KN539529.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539529.1:40929:43140:1 gene:KN539529.1_FG009 transcript:KN539529.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVSLAPNTSCHHPQHAGSAAGSSRRSHLAVLHLHVGHSDGRRAGALYAATNLRSLEAIPATSPTLRSLEEAIAAPNLLSHEAVISANNTYQDAKPRARKFFELEMTVQDCDLDQYGVVNNTVYPSYIERAREELISGLGMSRTSIACTGNAMALTELNIKYFTPLKRGEKFVVRLSLGRIKGARIYAEQYIERLPDRKLVVESTATIICLNRKHRPTRVWPELSSKLLDYFSSQED >KN540846.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540846.1:18491:18777:1 gene:KN540846.1_FG004 transcript:KN540846.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFLIIILLLLLLVSCATAGYEEALLRHVEEERDLMEYHTSALVLDELECLSLTAHAVAGSSIITDVGYQLAGGGGETQGV >KN539913.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539913.1:3943:17598:1 gene:KN539913.1_FG001 transcript:KN539913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLAVFVFLLLVCSSCRADDKLTPARPLSPGDELISSGGVFALGFFSPTSSTSDLYVGVWYNQIPVRTYVWVANRNTPIKKSSSVKLVLTNDSDLVLSVSNGGGGGAVWTTVNKVAAAGVGAGATAVLLDSGNFVVRLPNGSEVWRSFDHPTDTIVPNVSFSLSYMANSLDRIVAWRGPNDPSAGDFTMGGDSSSDLQIVVWNGTRPYWRRAAWTGASIFGVIQTNTSFKLYQTIDGDMADGYSFKLTPPHLSILQHNNTLISTAQQQIRDRFTSSTLLQAKHNQRPHRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLVLDRNNLEGTIPESLARSLSLIELNLSRNFLSGQIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSLLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLEMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPATIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQQIDLSENNLSGEVPVFFENFISLAHLNLSYNYFEGPIPISGIFQRPNSRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKALIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >KN539913.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539913.1:37066:39835:1 gene:KN539913.1_FG002 transcript:KN539913.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGVLPDCIGNLTSLQSLLLARNNLEGTIPESLARSSSLIELNLSRNNLSGEIPPSFFNGSSKLVTVDLQTNSFVGKIPLPHNMGTLRFLDLTGNLLSGRIPPSLANISSLSSILLGQNNLSGPIPESLGQIANLNKLDLTVNTLSGYVPATLYNKSSLEFFGIGNNNLIGKLPLGIGHTLPNLKSLIMSANRFDGSIPASLANASNLEILDISSNHLSGSVPALGSLRNLNKLLLGSNRLGADIWSLITSLTNCTRLLELSMDGNNLNGSLPKSIGNLSTHLQKLKFGGNRITGIIPDEIGKLINLSLLEINTNKLSGQIPMTIGNLKKLFILNLSMNELSGQIPSTIGNLSQLGQLYLDNNNLSGKIPANIGQCIRLAMLNLSVNNLDGSIPIELVNISSLSLGLDLSNNKLSGLIPQQVGTLHNLGHLNFSNNQLSGQIPSSLIQCAVLLSLNLENNNLSGSIPESLSQLPAIQQIDLSENNLSGVVPTGGIFGKPNSVNLKGNKGLCALTSIFALPICPTSPAKRKKNNTRWLLIVILIPTVTVALFSILCIMFTLRKESTTQQSSNYKETMKRVSYGDILKATNWFSPVNKISSSHTGSVYIGRFEFDTDLVAIKVFHLDEQGAHNSFFRECEVLKCTRHRNLVKAITLCSTVDFDNNEFKALVYEFMANGSLEMFVHPKLYQGSPKRVLTLGQRISIAADVASALDYLHNQLVPPLIHCDLKPSNILLDYDMTSRIGDFGSAKFLSSNFTKPEGFVGFGGTIGYIPPEYGMGCKISTAGDVYSFGVLLLEMFTAKRPTDTQFGSDLSLHKYVDSAFPNTIGEVLDPHMPRDEKVVHDLWMQSFILPMIEIGLLCSKESPKDRPGMREVCAKIASIKQEFDKTM >KN539913.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539913.1:29630:33265:1 gene:KN539913.1_FG003 transcript:KN539913.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMLSMLCSLLIFTFFSTAILAAAQSNKSESDRKALLCFKSGILLDLDGVLSSWMDESLNFCSWRGVTCSSSYPSRVVHLELNSSHLTGRISGCIGNLTSLSQINLTDNHLSGAIPDELGKLLVLRTLLLAANNLEGDIPDSLGTSLSLSYVNLANNTLTGVIPDSLASSPSLSMLILSRNNLSGQIPAKLFSNSSKLTKIDLQMNSLSGPIPAFENNKLAGLIPMTLSHIPELIELDLSYNSLVGFVPLSLYNMSSLTYFSLGNNRLVGQIPSDIGNSLPKLQILKFQNSKFEGQIPTSLSNATNLIQLDLSNNLMHGSIPSLGLLANLNQVRLGKNSLEADHWAFLASMENCTELIELSLQWNLLDGILPSSVGNISTNLQALVLRGNQISGRIPSTIGKLHNLYILDLSINKLSGQIPSTIGNISHLGHFFLDDNNLSGNIPISIWQCTELLELNFSINDLSGLIPSDLSSSPFYSRGSTLLVVDFSHNNLTGQIPESFGSNNMQQCSDLFKRCNYVLNWCSGMPSMLCLPQPKRRRVPIPPSNNGTLKKVSYSDIIKATNWFSSNHKISSTQTGSIYVGRFKSEKRLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNIMRPLTLCSTLDHENHEFKALIFKFMVNGSLERWLHSEQHNGIPDRVLCLGQRISIATDVATALDYIHNHVMPPLVHCDLKPSNILLDVDITALLGDFGSAKFLFPDLVSPESLADIGGTIGYIAPGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFTL >KN539913.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539913.1:26447:27453:-1 gene:KN539913.1_FG004 transcript:KN539913.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDCTMVVDGGGQWRLRQRRYFGPHCQMSGSTLTSNEVFTWTKSNNRRLLHTGDIDRTSNYEIMGNIERKVVIVLLTDNEVSTIFITLPSRNDAIDVGAFMGVNLLLLNEQMWDTRSKWKTPQSKFATFET >KN539913.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539913.1:54073:54354:1 gene:KN539913.1_FG005 transcript:KN539913.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHHVMSLLLVLAIGCCAWGCRPGAAQVPVPARTDGFVYGGKAPALGETVVVEAYFDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLP >KN539449.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539449.1:52649:58273:-1 gene:KN539449.1_FG001 transcript:KN539449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRATAAAVVAVVLDVGGGVAARPCHSASVDGDAGCLSWRVMVEANNARGWRTVPAACVAYVRGYMTRGQYGRDLSSVMDQVAAYVDTVEADGDGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKTWASKGACPGIPAVLELFATLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPEYRGQSSSVFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGDRVFKIPNPMGFTRFKRDEYLKLKSEGRIVPDGVNAKLLTRHGSLADRQPGRGIFPPSVAGSAA >KN539449.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539449.1:100798:104011:1 gene:KN539449.1_FG002 transcript:KN539449.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTYDLTFLPLHTICSRPAFISEKINYHELTVIIVYTSRMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQLYMVL >KN539449.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539449.1:19598:21804:-1 gene:KN539449.1_FG003 transcript:KN539449.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVREEVQELTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRVQGAYRH >KN539449.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539449.1:61675:65052:-1 gene:KN539449.1_FG004 transcript:KN539449.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNALNMNAEILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEYVAKAVNGILTPNSSLMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRALANYIDESGYKAIATA >KN539449.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539449.1:77422:81495:-1 gene:KN539449.1_FG005 transcript:KN539449.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSSTAEAAIQSHNHESAPNDHHNGTDADDAMSTVGEEAPQYQNHEPDRQANHDSTNTDDVMSSVGEGIPFQNLDPAMTHENHKILPMQDKLHDTIKKLEDEKSFWHQKMSSMEIEVEKLHNKVDYHAQNEVRLEEKLNNLQNGYDVLIKREVALDNKVRSIEVINDALTHQETSLKERLSGLEETNKALLVQVKVLEEASNNTVEESQRLVKGFDELASRLGVFEAKSALTEASVTKKGNELIVDRSVSSSAAITSVDNYSPINSSPSNAYASNHLEEAPMQLPETTINDVASEGLIDVNAHQRSKQDFDEPRTSEEILPVALDDIQIHEEDPQPPVADDEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVNQK >KN539449.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539449.1:9418:9990:-1 gene:KN539449.1_FG006 transcript:KN539449.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGVAAKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRAGLEATVGGYIPAGAAGLRFGDFEALHRALGDALFGPVEEEEPGKQGEDDDEGDMKEAFRVFDEDGDGFISAAELQGVLKKLGLPEARNLATVQEMICNVDRDCDGRVDFGEFKCMMQGITVWGA >KN539449.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539449.1:73107:76498:1 gene:KN539449.1_FG007 transcript:KN539449.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRALCSGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPLALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKKKEKKDDGLDNNGKGADNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYIATGSFSAMLHGIKGIAEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVHSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMPEVIRMIEELRQSASESRDSSNENARESNPPSA >KN539449.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539449.1:31960:33606:-1 gene:KN539449.1_FG008 transcript:KN539449.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQRFAAHEYDAGELGPSRFSVSSVHRVGSLDVCLLNIDRHAGNILFKKSPESAGEDRRRRSPVDQVM >KN539449.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539449.1:2867:6711:1 gene:KN539449.1_FG009 transcript:KN539449.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQARIEDPRGLSSSRTGAEVFPLALSISASFCPLQCRLQLRLALYRRMRRRSHHHHLVFAGSKCRWHGSRQDGAKELPVEAKPKKYHFLVANAKFMLDEEEHFQEQLKEKLRLYGEREKEQDFWLVVEPKFLDRFPNITKRLKRPAVALVSTDGNWITFMKLRLDRVLAEQFEAETLEEALASNPVDLKFDKPEKWTAPYPKYEYGWWEPFLPPKSSNGTA >KN539449.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539449.1:82464:96590:1 gene:KN539449.1_FG010 transcript:KN539449.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTDENDASKKQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICDANTLESNDHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDADDEIFNFKLLKLSEGSSDDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENDVDDEEVDGDFEDLETGEEVDNDTKKSKREETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDETWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKVRKFNPIEIPARLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVSAPAASPPGPFVPRDNILLDCGANGRANDTDGRLWTGDTGSKYLPANLAAAAATAQDPSVPQVPYLTARFSAAPFTYSLPVGAGRKFLRLHFYPANYSNRNAAEALFSVSIPDPNITLLSNFSAYQTALALNFDYLVREFSVNVTASTLDLTFTPEKGHPNAFAFVNGIEVVSSPDLFGSSNPMEVTGDGSGTPFPIDAGTAMQTMYRLNVGGNAISPSKDTGGYRSWEDDTPYIPFASFGVSYANDTNVPINYPDSIPQYVAPADVYSTARSMGPDNNVNLQYNLTWAMQVDAGYQYLVRLHFCEIQSGISKINQRTFDIYINNQTAFSGADVIAWSTGLGIPVYKDFVVFTMGSGPMDLWVDLHPNVKNKPQYYNAILNGMEVFKLQLTNGSLAGLNPVPSIVPTASGGNSGKKSSVGPIVGGVIGGLVVLALGCCCFFVICKRRRRAGKDSGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSHASSLPSNLCRHFSFVEIKAATNNFDESLLLGVGGFGKVYRGEIDGGATKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDDSTPLVIVGKKDPNDPSIESSTTTTTTTSISMGEQSVASIDSDGLTPSAVFSQIMNPKGR >KN539449.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539449.1:43718:48792:-1 gene:KN539449.1_FG011 transcript:KN539449.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLLDEIQSMEDEVESMDVGSYDGLVGVALSCVRSLCSRSPWQHLRIVDESTKSAAAVDPVEPEKVLAAAAEVGVRIDCVLTTHHHWDHAGGNEKMAQSVPGIKVYGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLKFILTVEPDNEKVKQKLEWAQKQREANQPTIPSTIGEESETNTFMRVDLPEIQIMPSILQAKFGAKSPVEALREVRKTKDNWKGTFGVVGVTLNGWEDEPANWLKPSCRPGMTSLGGANGPNSTAAGLLALPFFFPGLAAVTPAFAPSSSSPALVTAGF >AMDW01040061.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040061.1:469:1221:1 gene:AMDW01040061.1_FG001 transcript:AMDW01040061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >AMDW01039977.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039977.1:29:1133:-1 gene:AMDW01039977.1_FG001 transcript:AMDW01039977.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGPSVLIETLGTLGHITGYLGSSLMLREWFGWHFPELVKIVNDNYIYAKLADYIKDKSELAEKDISKLADLIGDEDKAKEVVEAAKASMGQDLSEVDLMNVKQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSESATAVFGQKLREQVEERLDFYDKGVAP >AMDW01040520.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040520.1:241:492:1 gene:AMDW01040520.1_FG001 transcript:AMDW01040520.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESHFSSNNEVTDPRLGGSFTSEGMKELVVLTLQCVSTSARRRPKMRLIAAELDRILEKEMSLTTVMGDGTAIVTLGSQLFTS >AMDW01040520.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040520.1:1195:1623:-1 gene:AMDW01040520.1_FG002 transcript:AMDW01040520.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >AMDW01025045.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025045.1:50:283:1 gene:AMDW01025045.1_FG001 transcript:AMDW01025045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELGRWAIAENNRVSPSDELTFHRVTGGEQQVVSGMNYRLVVSASDPAGATASYVAVVYEQSWTNTRQLTSFKPAAAH >KN543787.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543787.1:4928:5545:1 gene:KN543787.1_FG001 transcript:KN543787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMTGSGIFSAQGANGDSETGSGDSNPPSKTSLRMYQQTVTGISQISFSAEGSVSPKKPSSLPEVAKQRELSGTLESEADAKLKKQNSEAKSKELSGSDIFGPPPE >KN542113.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542113.1:13171:14706:1 gene:KN542113.1_FG001 transcript:KN542113.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLETWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSVVPMVMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLRSACLGSATKLKAELGNNIGDDPLVILDENQEVVDCLDGARMWWRLCPKASKNKGSTIISMFPGDTDEPRCYRLVFHKRHRQLVLKTYLPGIIRRWRELTAKDRQRLLFTNHSKKGEISMWTSVPYNPPSTFDMLAMGHAKKVEIMDDLRAFQKGKEYHSKVGKAWKRGYLLYGPPGTGKTTMIGAMANFLDYDVYDLDLTSVKDNAELRKLFLDTTDKSIIVIEDIDAIEVELTTKRKGKKMDNGDEADNNHVLVELSNKTDDKSKVTLSGLLSFVDGLWSACGSERVFVFTTNHVDRLDPALIRPGRMDKHIEMSYCRLDAFKVLAKSYLDITEHSLFGEIGRLLDETDTTPADVADNLMPRGKRNGEISRLIEVIDGSPADVAGNLMLRCKRKREADECLAGLVETLKKAKMESATPPMDTIEEEANKEEQT >AMDW01034556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034556.1:69:278:1 gene:AMDW01034556.1_FG001 transcript:AMDW01034556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRSLASKSRMGLRALPQIQPQPAATVIPPRLLSHGGLLRRKPLTPPTALTPYRFFSSGVSESRGT >AMDW01020936.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020936.1:42:296:-1 gene:AMDW01020936.1_FG001 transcript:AMDW01020936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLDTFDRDFLAGVDQDTLFDLLLAANYLQADGLLDLACKKVAAMMTGKSPEQMREIFHIVNNLTPEEEKEIREDIAWALN >KN540144.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540144.1:45237:47565:-1 gene:KN540144.1_FG001 transcript:KN540144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYSKMLAEQVIKWAEEAQTCRQECLDLKAKVERLASLLRQAARADLYERPARRILDDTGKALDKAAALLDRCRGHGLIRRVFTIIPAGSFKKTSNQLDNSLGDLSWILRVSNYSNADDIDDDHIGLPPIAQNEPILFLIWEQIAVLYTGNPEARADAAASIVSLARDNDRYGRLIIEEDGVPPLLRLIKEGSSEGQETAALAIGLLGRDPECVELMVLAGVCTAFAKILKDAPMKVQGMVAWAVSELATNHPKCQDAFLQSNVIRLLVSHLAFETVQEHSNVVGGTVAGTKQHNASLSGTSTKAREFEDPETKAYLKANAAKALWQLAMGNAAVCKNITESRALLCLSVLLEKGVDDVRYNSAMALMEICLVAEQNADLRRSAFKPTSPAARAVVDQLLRVVHKADYDELLIPCIISLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTDNYLHVDHSKAIIHHGGAKHLVQLVYFAEQAVQIAALLLVCYIAHNVPDNEELAQAEILTLLEWASKQAAMVQDPSIENLLLEAKIRMELYQSRGAKGYY >KN540144.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540144.1:51:3140:-1 gene:KN540144.1_FG002 transcript:KN540144.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVLAVVATAVAALAAAASGYELTKNGTVITYDRRSLIIDGHREIFFSGSIHYPRSPPDTWPDLISKAKEGGLNVIESYVFWNGHEPEQGVYNFEGRYDLIKFFKLIQEKEMYAIVRIGPFVQAEWNHGGLPYWLREIPDIIFRTNNEPFKKYMKQFVTLIVNKLKEAKLFASQGGPIILAQIENEYQHLEVAFKEAGTKYINWAAKMAIATNTGVPWIMCKQTKAPGEVIPTCNGRHCGDTWPGPADKKKPLLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSVGGTMANYYMYHGGTNFGRNGAAFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHHALRHCKKALLWGNPSVQPLGKLYEARVFEMKEKNVCVAFLSNHNTKEDGTVTFRGQKYFVARRSISILADCKTVVFSTQHVNSQHNQRTFHFADQTVQDNVWEMYSEQKIPRYSKTSIRTQRPLEQYNQTKDKTDYLWYTTSFRLETDDLPYRKEVKPVLEVSSHGHAIVAFVNDAFVGCGHGTKINKAFTMEKAMDLKVGVNHVAILSSTLGLM >KN540144.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540144.1:25348:27138:-1 gene:KN540144.1_FG003 transcript:KN540144.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSEQCDVIISLPEDQVFEMLTRVSLDDLAACRQVSTRWRRLTYEPAFAPLHCRRAYAVSGYLVQTVARNRYHATFVSSMPPSPPPPDLVSLDFLPSPHATTEKRWARKKVAAVEGKLCLVVVVDEEVEVWVLAGYRQERWEKKMTASLTTLAMEEGNSFILRDLYASDVAFFNSVYRVLWYDFLRGKIAEAPLRHKCIQQVFKFESDLVPFEEIDERPNSDFKWVCKP >KN540144.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540144.1:21480:24903:-1 gene:KN540144.1_FG004 transcript:KN540144.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGMQPAVTFVSKKPWALWPFLSGLHNASPMPEYNPAHGPLGVRFVFVFVVVFFFSCKKEKAEQEQQREKAEAAAQPRRKSQARAGKPRRRRLHHHHHHQQAGMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSMRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >KN540144.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540144.1:52804:58904:1 gene:KN540144.1_FG005 transcript:KN540144.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLADECLERQGGFSGVGRGARVSSGDANVHVPALRGFPVWLKYVPGISFRTDNEPFKGFTEKILDMMKSEKLFASQAARECQDGAFASLITAKSTPRLLHCLALRLTAEQIVWPDKEISTVRFLDLLQLPAPSTRSNFHRIYFSLEFEQVTMHPVVSKKFQILLRCIKLWAKRLGIHCHLLGFFAGIHLAILAAYVCQRYPYGTILMELRGLAIGLGPLCMCFGTVAIVRFVLKQPFFRCEVIYITVSARSKRTTMGEVAVDRRLSHLSVKEHIGDSPHPNDLVKGIVKADGIRGLAEREEYAPPEGIDLGVLSLEMLPNFYLALRFPVTDSQISDLTNS >KN541138.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541138.1:505:3271:-1 gene:KN541138.1_FG001 transcript:KN541138.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTLFHLKSHLQKYRLGKQSGKEASEQSKDASYLLDAQGGMSVSPRVSTQDVKENQEVKEALRAQMEMQRRLHEQVEVQKHVQIRMEAYQKYIDTLLEKACKIVSEQLASSGFSISDNDLLELSGGVMCGSADTLSSSIFHQLSVSPINLHSPEGKPTPSGIEGQMILQKSPELKRKSC >KN540144.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540144.1:3512:8057:1 gene:KN540144.1_FG006 transcript:KN540144.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKSPKITYADLYQLAGVVAVEVTGGPTVEFIPGRRDSSVCPREGRLPDAKKGALHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTQEPLKFDNSYFLELLKGESEGLLKLPTDKALLEDPSFRRYVDLYARSAVGVAVAAAVVIVSYLYEASKKSK >KN540144.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540144.1:30469:31957:-1 gene:KN540144.1_FG007 transcript:KN540144.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMLLMLMQLLGSPTSLATTGFALSPGGSRSLYAPSGWSGRFWARSGCDFDDSGKGSCATGDCGSGQVECRGAGASPPATLAEFTLNGADGKDFYDVSLVDGYNLPMLVQASAPDCPDTGCLVDLNERCPSELRADDGRACRSACEAFGRPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPRSTPSSGNSKNGSRRPSHEQLEDAVWLASLKASSGAGMAATAASWPASLAFQAALAIAVVILIAQQEHPVLFS >KN541138.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541138.1:20224:23432:1 gene:KN541138.1_FG002 transcript:KN541138.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQVLMDKGSSSSMDDRYSQHADQRRYTERGRNQQSSPEKSTDKSKRGRPAEPSEVLWIGFPVGLKVDEATLWEAFSPFGEVVKITTFPGRTYAFVQYTTIAAACRAKETLQGNLFNNPRVSICFSRSDSVSAEFGKGSLDAPYSPHLNSSVRPIFREQDFEDFPRARPFDSPPRDMYMPSPHYGPKRLSRDHDDVGFSRDNYLRYGPGVEPDPRSNFEPFRIRGLGPERRMSEDPYEQHRRSPAGDAPWHNIPFERSQGALPLEDSRYAREDPYPFSKKLRTGEAHDSELPEYPFSEFDRGKVGSAYPRRPFYGVPDDDIHPRGYQLAPMHGRNHVDPLRNPTPLVDRHIPGHAQDSFSRHVEVETSTPEYHEPLLKEEWKWDGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLEMLSKHYYQAASSWVVFFVPENDADMAAYNEFMNYLGDKQRAAVCKLGERSSLFLVPPSDFSEQVLRVPGKVSISGVILKFEQSDPEVSSPTRKPETFVSHLNHDVRAHEDLDALRRINPPDIRPLPQGSDYLGLSPGSYNPANAHLVPPYKFGNAPSYIGSELAHQKHPPDSHREIAHDKQQQHPDVLPSRWSDNIYNPSPGSGNLNYLAESVIPHTSTDRTPEAYSFAPQGVPKVSTSGYAPVADEASNMSYPPMQPASQQVVRPQQPPSLPLSLPPEQLAQLATLLAQQNQPGKEPVDSLNKESGFIRNPHGHSSMMPHSSGSIPVQNSLPPAPPSASQLQVHAPPVQGSVPPNPSIMHTPNAPMPSHNTLPLPPMHPSGNPAHSSMPLRSFVPPLPEGPPPLRQHTSTALQAQPALPSGPQTSQQPSAQEDHHGDPQKRLQATLQLAATLLQQIQQQSKPGGQK >AMDW01141477.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141477.1:2:1520:1 gene:AMDW01141477.1_FG001 transcript:AMDW01141477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEDEEETDDEDDSSSTSPGGNSPSVSESIDISGTSPKDKSNDLLEKHNNLLNLFNRMVSSIRLLRLRKKMTTFKNIATQVEILTKREFLHTHLAQMKHLFPEAIQIKKILSHDEKSLCMYADMEITIQMDTVESRSPDQSLSMAICEAFHSKLLGFLDAHHKEIDIPEAMLPEPFNSRDKLHLKAPLDGHSAEPLLQSSNGNELLNPSHFPRSFQKLMSQKIIADGTDRTKLLSDPAELSMLSADDTEGPKRSSNKQDQHASIPFKTDISSTPNRHLISSCQGSTPKQGTLLHSPLMAETPAMQTPRRPLPTPIEKLETTSGQTSEARSASSARRSLKMFSPSKIQECSSGHDGAILTLEHEVTAGKCLFPDETHNFTNSLE >KN540468.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540468.1:20416:22791:-1 gene:KN540468.1_FG001 transcript:KN540468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPRATTSTADAQRFPPFTSRRSATGLRRRPITAITPHRHRCPTRDRQPRWLDAQRFPNNLDATLAAQRSSAAIWTGTMNRCHIHILLTYLGFAYCSWSSLACILTGRLLHAQPFCISCTMQSIGALAIIYFGQ >KN540468.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540468.1:24423:34712:-1 gene:KN540468.1_FG002 transcript:KN540468.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGARYLAFGSGVRSIALSVSTIPHLPVVFRSGPANTGALTDNVDLVGWRKPISGHPESLMMEGESGTSPRRLRVGKAKQYDRLLNPFLPTTKKDITAFDDHLWRLKVALHRVKRFGRYRQALRKRTIDDVLKERDQLASYVQHWRDSDLNDGKKTVQLGPLRQAVYQLEDMVDDIEYMQWKPNLATSLIRRLRLVLKLKQTEELLRNLHVIIEDFQKEFKSEKGKAITTESMKGSKRKYTHDDMKDHDPDMIRDDQYISKGKGGSEISKDRWTNYSVSQISEGKGSSEISEEIRSDNISDGHYNIKDHLVERLLQQGGDPVIPLEVVQETEKATIPVSAIFNDKRITENFGLLLRVRASDGPSSNVSQQGTLVGSILKLMNANVVNVQGALHIEKCGKCKCCSNTKVEIDRHPEEGSSNWYEGQEVVELQEKFSQLSEEQKKFLLVLEDVLEDQLPHLDRLLSALLCGNSGSRIVISSQSSGVVQSVRGVKILNDGNIQHDIFWNFFSSFAFRNAMEGEDEEMKHTAKMMVADLKFSPLAAKMVAKLLNTKRDRQFWTAILEKLRRVVKTDCEHRQLLSLLKICYDELPAPLRLCFQYCSLFPSNWTFTSENLIQLWMSQGLLVEDSKVEENVGKTHFRELLSRSFFEVLIDDGHTSLYRLHTSVHCFAQVTAGEEFMRIESDIRPEKSLFARHLSVKSANISVLDNVDALFRLRTLIIFGAIKSDARVVLQRVLPRLKNTRTLDLAGCDVNEFPELANDTRKHLRFLSIYDTGIQKLPDDLCEYSHLQVLNVQGSRIKKLPRKMTRLCNLRHISGPTFLTSAVNHIGKLKYLQELEEFSVSKKHKIQELGGIKDLGGSISITNLERVWFTDAAKARLHEKHSLNSLKLEWSDTVMQRSSICGLNTWPIKWMSANVLERLKPNSDIRILEINRYIGAKSPSWFTADVLKKIETITLKNCMKLVEPPPLGQLQCLKTLKMENWKKLRSFPRLEAFQEGFSKLIELSLDDMPELELWADCAGSFPCLEIITIRHCPNLRKIPILKYSELKEICVEEVGLQDLPLQTNIDSLERLTMQGCQDLSTIGCKHDNASYRYLPSSLLHLRITACKNIENIDFKAPISLQELHIDGCEKLMKLTINSVEGDLTSLRTVHLDNISLLKPYFKHLVCLEELVIEGKVGDSLDELPKSRLRKLFLIRCQDESFFIKGEDKTRPKDMEEFTSLKCLHLKQCNKMKCLPYLPSNLDELRIDECPLLEKQCREDGPGWANISHVPYKYI >KN542790.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542790.1:1780:2384:1 gene:KN542790.1_FG001 transcript:KN542790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSLVPLLPAPLFSLAFPPSFNTHPARITTRARRCHLSLSRDHENGTRSSGTRFDTLLQSCSPPKLIIAIYVSIGTTSFQPYSCCSDFLRCQPPTSVDEDTVPFWSSLTMLMNHSHNIETIGI >KN543229.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543229.1:7458:8061:1 gene:KN543229.1_FG001 transcript:KN543229.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAAVMMMVVVLLVAAASLHAADAAAVAPPRRLLGADLCMPQEGGFTI >KN543229.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543229.1:8923:9699:-1 gene:KN543229.1_FG002 transcript:KN543229.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKGAAALLVLLLVAASLNAGVARPIDEARHGRRANDSPPLAGAFFQTSRNDVSRCVLHEGIVLEALCDIGNFWIDFDDYDSGNCMRMTY >KN548579.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548579.1:10:464:1 gene:KN548579.1_FG001 transcript:KN548579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQGGFGCVYRGVLRLPGAPPHGTPVAVKRLNPDSRQLLGYCASQTERGPQRLLVYEFVPNKTLDDHLFDRSHPVLPWGVRLQIALGAAEGLLYLHEGLEFQ >KN540616.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540616.1:1583:2980:-1 gene:KN540616.1_FG001 transcript:KN540616.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAVAKIAMLDSEEHHFESSHVGLRGAPLRVEVRATEPSARMGTSLLKNRPCKVVEVSTSKTNAVAKYVFNNDEIAIYPNKGARQDRHVGLRGTPLRIEPCRTPRSTTSSRRPRAGTIRKNGYIIIENPRWWRFLPRRPDGLFVSLLTESGNTKDDLRLPAETSQIKTGFGFGEAKERGADLRAEGHWHLVTSSKGYETKGCLCIKPKQKNNDELDLMAVFKDRSSPTKV >KN540616.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540616.1:24633:27981:-1 gene:KN540616.1_FG002 transcript:KN540616.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAHLGLREAGVPGWFRYSSCRSENPLCRAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKNDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARAPSSHPHPFPGHHQFYFPPTA >KN540616.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540616.1:21048:21215:1 gene:KN540616.1_FG003 transcript:KN540616.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDLAVAVLKTIGFASTAAKERDGGGEGGGSGSGGVRGRRVKFHAGEDEGRHR >KN540616.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540616.1:9613:10308:-1 gene:KN540616.1_FG004 transcript:KN540616.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAVAKIAMLDSEEHHFESSHVGLRGAPLRVEVRATEPSARMGTSLLKNRPCKVVEVSTSKTRQEFADKGLMPVFKDRTSPTKEIEISILLLMALRAVSIH >KN539215.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539215.1:107218:107649:1 gene:KN539215.1_FG001 transcript:KN539215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPPYWVFMHYVSPYKYALDALLANEYTCAATRCFGVAGPADGDCSETGADVLAEKGLTAKERWTGVQVLFGFFLLYRVLYWVVLSRRAARAKR >KN539215.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539215.1:12669:15670:-1 gene:KN539215.1_FG002 transcript:KN539215.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGEAGQHHESGGAEWRVTVAEAPEAEVEHENAKGARRACCCAPAAAAAAAAWVLWWFGRTAWKVGADDPRRVVHGFKVALALTLCSAFYYVRPLYVFTGQTAMWAVLTVVVVFEYTVGGCMYKGLNRAMATVAGGALALGVHWVADRSGDDAEPFVLTASLFVLAAAASFSRFIPTLKARFDYGVTIFILTYSLVAVSGYRVDTLVTLAQQRLITIAIGAFICFAVCTLVFPVWAGQELHVLVARNMDKLAAAVEACVDDYFSSAEHAGGGGDAATALSEKARGYRAALNAKASEDSLANLARPPPLKVERLVGRFMRLLLFEKGNGNVE >KN539215.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539215.1:80292:82488:-1 gene:KN539215.1_FG003 transcript:KN539215.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPEGEWIGAAVWMPPTGVLRATHALVYCWAFNVSIGQIPGAHPFSSKVQTVALCQIFFGTLALSKHGLNVVLSYFAHLKRSYSSITLNLQAYDMFLTSSSLPPARALAVNATAAAPAPTTNAAATVVHSVWHGNFDAESAQLLAVAPRAAHVTVSIQYPRCAVAQAGTGGRRKYDHLTTEERYDMVKANSDELHPTLVGLSIRTDDAIEALQCKNVLKVLASLHNFI >KN539215.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539215.1:76313:76672:-1 gene:KN539215.1_FG004 transcript:KN539215.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAFSGERWMITSIAWRRCIVYGLGWLGDVPDNSDEMACDVAVAGDALRSPSSGAPAGVLLEQQADEFEEGGNVDESERTSVISRSGGCDRQGGGFDRQGDGVQRLDDITSPGKDVVG >KN539215.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539215.1:116141:116920:-1 gene:KN539215.1_FG005 transcript:KN539215.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNASNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPGGSGGSDRAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSTRQGSTPPW >KN539215.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539215.1:69775:74982:-1 gene:KN539215.1_FG006 transcript:KN539215.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRITPSMRSTTTSPSKKLKSPAVRAVVSELKVHNELQKDKVAAMDNYLGMREKKKDDEMARARELLAVAPHAAHVAVSVQYPGCAIAHSGTGGRRKYGHLNAEERYDMVKANINELHPTQVALAICSDDGGGELVVFNLRGFDINNTANVRDPASIAHLQGRGVDFGRLLHAGVKPHRLRSLLLGSGLLQAWPSWATFTSTYHIGYLMKILMRAELPSGLDAFTAMATATLGESVYDMKMLPVEPWRSTRRAGFRSGRLPRASTWCRRRPKGWLPGPAPSRRCNASRR >KN539215.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539215.1:85413:87324:-1 gene:KN539215.1_FG007 transcript:KN539215.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFNVSSVAHVLNLLNAADVLLWKDKKTSAVVIGGATVIWILFEVLDYHLLTLLSHVMIGALAILFLWSKATTFIKKLVRYMMITIRSDWSPPDIPVVQIPEDVAVNVSRALRSDINRALHLFREIALGHDLKKFLGVIVALWVLSEVGSCCDFLTLIYVAVLMLHTVPILYDKYQDKVDHFAGRAHSEACKHYEVLDAKVLSKIPRGPAKPKKN >AMDW01040542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040542.1:1343:1612:-1 gene:AMDW01040542.1_FG001 transcript:AMDW01040542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLITCASSLLAGIMIAVLLPLSQVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAQKKKEAQKMREQEQEVALAQKTADVESAAP >KN538831.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538831.1:214210:215061:1 gene:KN538831.1_FG050 transcript:KN538831.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEQEQPPQRYWFPYWTSPPPPPPPPPPSSSRYRPPSPPSSRHPHPTIPAAASRAAPPNRRLHQQPPPPASSRDGRREPPPKPKDVVVIPTDTVLHHKQPPPTHHHKVKEEEKKGDVLRKDIKAGLAGMLSAASHGQQGTSIITLAGDNKGASMKISSPATAPAGSKGGGDDKRSSANGKGGVKAMINSNVQSINNSLLLHSSCSGGDLGVHLKLKLSSNSKSKSKSKEKQQHNVADTSKAAADSSNKEKKEADTTAAKPNKPSAAAKGNKPAAAGAANK >KN538831.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538831.1:169790:209715:-1 gene:KN538831.1_FG053 transcript:KN538831.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGGGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLCFEQCKCPTVSRFTALAYQLASGICQTFTYLNRVLKEFSALLMSSARDAPPFWLTNDEMSILEGYWQDTWNSLIHALPLFSTTALVVDSVLRLLGEMIMRDQVHASFVSEDTWDLQIFKQLPSSSTLYFIACYFSKIGFQGDVSNSIFIRKNLLRSTFELVHSKGFSLLNEQSVLMIPETIFSLCAGFSSPVINSADTSQLFGECKNLSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSAFDITGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSRSLSSVNQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSVLHDTDYRVRLYLARKIVVLFQIWEGHSELFHDVCSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELAYALFDSVSKRLNYASRRKYLDQLIGPILFRWVACEVSLVLLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCIAARCGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRVFKFLLAIHQQIADASHPRHIRHRLCAIEVLIDVLGHRVALYSTWFYIICIVGSYIRRQPLQDQCCNILSKLLAAFNSNSSTETDAALGKQLQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKFVSRAPHLPPELFLLSSSSVANEASSVLADFISRAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDVLVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGKNAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRLCRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVHLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPRDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTQKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCPNQTSKSYVGNEDFSPVPTVPTRMQISDLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHQAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDEYLRHSVDLTELHKSPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQCTHAKFSAKIQKSSKDDRDKFLNLALRGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSEKRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLLGRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >KN538831.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538831.1:216575:217435:1 gene:KN538831.1_FG055 transcript:KN538831.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEQEQPPQRYWFPYWTSPPPPPPPPPPSSSRYRPPSPPSSRHPHPTIPAAASRAAPPNRRLHQQPPPPASSRDGRREPPPKPKDVVVIPTDTVLHHKQPPPTHHHKVKEEEKKGDVLRKDIKAGLAGMLSAASHGQQGTSIITLAGDNKGASMKISSPATAPAGSKGGGDDKRSSANGKGGVKAMINSNVQSINNSLLLHSSCSGGDLGVHLKLKLSSNSKSKSKSKEKQQHNVAAADSSNKEKKPDSSKEKKEADTTAAKPNKPSAAAKGNKPAAAGAANK >KN538831.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538831.1:223657:224699:1 gene:KN538831.1_FG056 transcript:KN538831.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEEKKSRILVVGGTGYIGRHVVLASARLGHPTTALVRDLAPSDPAKAQLLQSFRDAGVTLLHGDLYDHASLLSAVRDADVVISTLGALQIADQTKLIAAIKEGGGNVRRFLPSEFGLDPDNTGAVEPARSIFTGKAAVRRAVEAAGVPYTYVVSNYFAGYALPTIGQNLPPTRPVDSVVILGDGHTKVVFVEEGDIGTYTVLAAVDPRAENKTVNIRPAKNAVSHEELVALWEKKTGKKLERVYVPEDAVLTKIKESEIPLNIVLSIAHAGYIRGETTAPLDPATALEATQLFPDVQYTTVDDYLNRLL >KN538831.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538831.1:114408:117001:-1 gene:KN538831.1_FG059 transcript:KN538831.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAAADGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKQDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITE >KN538831.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538831.1:211901:212951:1 gene:KN538831.1_FG060 transcript:KN538831.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEQEQPPQRYWFPYWTSPPPPPPPPPPSSSRYRPPSPPSSRHPHPTIPAAASRAAPPNRRLHQQPPPPASSRDGRREPPPKPKDVVVIPTDTVLHHKQPPPTHHHKVKEEEKKGDVLRKDIKAGLAGMLSAASHGQQGTSIITLAGDNKGASMKISSPATAPAGSKGGGDDKRSSANGKGGVKAMINSNVQSINNSLLLHSSCSGGDLGVHLKLKLSSNSKSKSKSKEKQQHNVAAADSSNKEKKPDSSKEKKEADTTAAKPNKPSAAAKVPKPEMIQHVMLWK >KN538831.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538831.1:218085:218732:1 gene:KN538831.1_FG061 transcript:KN538831.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVLSSKPCSLAKAARILDLFADSAASNLPSSDAATYLHTAADATKNHHRFRLDLLNYYHRGDTAASASDKKKKKRSEDHHQAATHVKQEQEAQQVAYAADLVAEDETEKDTSKKNRKKKKHESQQENARDVKQIVGAPEQRFASPEKKRNKKNHPEEEEVKTVVKGIIVSAGDSVATEKKRKKKRERGDDTDNDKEQVEHTRKKPRNRS >KN538782.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538782.1:239985:242895:-1 gene:KN538782.1_FG023 transcript:KN538782.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSNPSYHQLGLDAISCCFVAGGGGGGAEAAAPFFGFGFGDVDGEFLVASPVAAVGDELACAVPLRRPQGSVSEEEVGGGGAASEEATPAGEFLAKFLVEHLRDRFLVRTNGDEMQVDEKCNQCVEEMQSTAARFNSMVRSTGGGGGLTAAFAGRAVAAAYRRVRRRVMGQLMAAATARSPSSALEEKERSWESSFIQKHWAMQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKDMLAARSGLSRSQIADFATVLVYHGTKTE >KN538782.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538782.1:196247:205431:1 gene:KN538782.1_FG024 transcript:KN538782.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNGYDKSTKKDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATFGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQLRVVRAVAVLGGLLQIILFMLLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLTILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAVVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVEVSMIV >KN538782.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538782.1:215283:220938:1 gene:KN538782.1_FG028 transcript:KN538782.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSSRTTRRFVPGAATALIDKEAPRRYSELYGAGVLSRLSFSWLNPLLRLGRSKALDLADVPLIASEDGAARASVSIRRGEKVAVCGPVGSGKSSLLCALLGQIPRTSGMVELYGTVAYVSQNSWIQSGTVRDNILFGKPFKNFDHGDLTEIGQRGINMSGGQKQRIQLTRAVYSDADVYLLDDPISAVDAHTAAVLFYDCVMTALSEKTVVLVTHQVEFLTKTDRILVMEDGYVKQQGVYAELMESGTAFEKFVSAHKSSITALDDSSQQSQVQEQNVTDENTSGQASDIDSVSAKGQPSATQLTEEEEKEIGDLGWKPYKDYINVSKGITHLCVMGVTQVLFTSFQMMATFWLAVAVQMNVSSALLVGAYSGLSILSCCFAYIRTLYAAKLGLKASKAFFTCLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSVAYVVVGASELITTILVTGAVTWQVLIVAIPVTITVAYVQRHYVASARDLARINGTTKAPVMNYAAESILGVVTIRAFGETDRFIRNNLLLIDTDVTLFFHTVAAQEWVLMRVEALQSLTLLTAALLLVLAPPGAVSPGRTGSGKSTLISSLFRLVDPAGGRILIDNLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEIWEALEKCQLQTAIRSTPALLDTVVSDDGSNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQRVIRQQFSSCTVVTIAHRVPTVTDSDKVMVLSYGKLIEYDTPAKLLEDKQTAFAKLVAEYWANSKRNAT >KN538782.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538782.1:184544:188077:1 gene:KN538782.1_FG030 transcript:KN538782.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPHLDAIADRKVAGGGGDNAAELVLDGGFVVPDSNAFGNAFRNYEAESERKETVEEFYRVNHINQTYDFVRRMREEYGRVDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDFPDEDWLHLTGLIHDLGKVLLHPSFGELPQWSVVGDTFPVGCAFDECNVHFKYFKENPDYLNPKLNTKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNERIDVEKVKPYYMSLIEKYFPAKLRW >KN541804.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541804.1:21734:22162:-1 gene:KN541804.1_FG001 transcript:KN541804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETNRAGAEIINGDAAGKKKSIELLQELGLPKGLFPLDDIEEFGYNRANGFMWILHSKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESSPGKITFKTGTGLSDSFDASAFELGM >KN541804.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541804.1:8698:9860:1 gene:KN541804.1_FG002 transcript:KN541804.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLCLVVAWCWVALLLVAPVHGRVGLPGEFSGDQRPVPATSFDLVTELP >KN541804.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541804.1:16113:16541:-1 gene:KN541804.1_FG003 transcript:KN541804.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETNRVGAEVINGDAASKKKSIELLQELNLPKGLFPLDDIEEFGYNRANGFMWILHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDASAFELDK >KN541804.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541804.1:13807:15156:1 gene:KN541804.1_FG004 transcript:KN541804.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLLPPSLSLWCACSEFADEMYRDALPRERWNDIPADMLGLVLRVIPCAADRARVRSVCRSWRAAAAIQRPPPPLPVLVFSRFSFASLSRLSPAMAFTKPCRFFFHKDVTIRWVGSFDEWLVGTKPSRECKDADSHCFLLNLMSRKKIQLPRPCALHFFDYFCKTLPIVNTSGWVDIIIHDREYSMCFRKVVLSASPASDSMCIVAAISSRTLALWHPGMRSWCVCRSFGIDGSADIAFYQGRIYMAMVSTYFPHILSILFFQLEEVHGRVMVSYVEQCVTETLPPVEGCVVNEFYIVEWRGKLLLIVMYAEHVWLDTEKIGIYALDFSTNPHSLTEINNLDGDCLFISLRSSKSFPACQYDGAKGDFVYFVSGYWQHATSVHHSFDVLVYNVRDATTTRLSVSAPEDNSGPFTNNLLWLFPPR >KN541804.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541804.1:11735:13102:-1 gene:KN541804.1_FG005 transcript:KN541804.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVASSCCASTSARPLVFQRIDVVSKDFDNIVDVELGAPWPLPPVELTATLAHKFEIIGTSSIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIS >KN541804.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541804.1:18123:19527:1 gene:KN541804.1_FG006 transcript:KN541804.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISGDALLRGPWNDLPGDLLGLVLGHIPCAAGRARVRSVCSSWRNAAAIQRPPRPLPMLVFSRFGFVSFSSFSSVMVIADAEFTRIPLHEDESLRWVGSFDEWLVGTRPGSVCKDAHSHCFLVNAFSRETIQLPRPSAFRLSHHICNTLPIVNTTGSVDIIIQEHEYSVCFRKVVLSGSPSSGSMCTVAAISQCILALWHPGMTSWCVCRSFWFDGSADIAFYQGRIYMAMVSTYFPHILSIMFFQLEEVDGRVMVSYVERCVTQTLPPVEGCAVNEFHIVEWRGKLLLIVMYADHVWVENRRIGIYALDFSTNPYSLTEINNLDGDCLFISSRSSKSFPACQYDGAKGDFVYFVSSFRQQTIGVHHSFDILVFNVRDATTTLFPVLVPGDNSDPFMDNLLWLFPPK >KN540815.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540815.1:21055:24006:-1 gene:KN540815.1_FG001 transcript:KN540815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSWVVEIEKYISGDTGGSTFGVMARGLSKAGHSIYRVPEYMKNMTNPNAYRPQVVSLGPFHHGDPALKPMEKHKGRAVANLVKRSGKPLQEFIAAVEEIKVQLQDAYESLEDRWYQGTCFLEMMLMDGCFLLEMARVFELNGRVEDYEPDDPVFSEHGCLYLFSCIKSDVVLMENQLPLLLLQKLISVAYNHDFLKSMTGIRQHRQKPITFPFMPCAAELHEAGIHVKLSGASMGLGGGVTFEGGVLYIPNIFLYNDAERIFLNLMAFERLHPDTGNDVTALVFFLDLLIDTTKDVALLRSQGIIKNGLGSDEAVVDLIKKTLTKSAVLNPESSLSSVIGEVNSHCQNRWNKWRVSLRRTYFSNPWLFSPALILFVATIIQTIYTVVPFYNKS >KN543086.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543086.1:78:2560:-1 gene:KN543086.1_FG001 transcript:KN543086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCLLALYHPRNSYILHLDAEAPDDDRAGLAAFVAAHPVLSAAANVRVIRKANLVTYRGPTMVTTTLHAAAAFLWGHGAGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSDIGWKAFARAMPMIVDPALYMKTKGELFWIPERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAGEFRNTT >KN543086.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543086.1:6253:10601:-1 gene:KN543086.1_FG002 transcript:KN543086.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKKSLLGKIMRLDVDGVQSQSQIINQSLWGNYSVPKDNPFSDDRDLQPEIWALGMRNPWRCSFDSERPSYFYCADVGQDLYEEVDLISKGGNYGWRAYEGPYIYHPEWTPGGNTSLNSINAIFPVMGYSHSAINKNTGSASITGGFVYRGSSDPCLYGRYIYADLYASAMWTGTETPESSGNYTSTLIPFSCSKNSPIPCESASGSNQPSLGYIFSFGEDNKKDVFLLTYKSVYRVVRPSLCGYTCAAEKPTTNNNGTSPSGSSSLASGKRIGMLAVVMAFILCALFF >AMDW01032715.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032715.1:111:476:-1 gene:AMDW01032715.1_FG001 transcript:AMDW01032715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEWALNLPINAKVDVYSYGVVLLEIVTGIRVSSGIVVDERQVEFPEFVQEAKKIQATGNVTDLVDDRLHGHFDPEQVITMVKVALSCLEERSKRPTMDEILKALMLCDDEDDYHPAYSY >KN538915.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538915.1:81097:82542:-1 gene:KN538915.1_FG001 transcript:KN538915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPGNLSYWRDVRASFVVPKVQTVDAHTLPQAATDAPVHCLRRKWAHSIPMPESGCVLVAAEELDGNGTFERTVILLLRVGSRDAYDGPFGVILNRPLYTKMKHVNPSFRNQATPFSDCSLLFGGPVDMSIFLMRTTDDRPIKGFEEVSPGVCFGFRTDLEKASALLKSGAVKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLISDSLATDPSCLWTEILKLMGGQYAELSQKPKEDGS >KN540898.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540898.1:7250:11266:-1 gene:KN540898.1_FG001 transcript:KN540898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPEFWSVMHAKCTDETNKEAFDAVALRRLINNKSDGGVTPLHLAALHGHAECVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKSSVEGILTKRPEVPVRILPSSYLSLPLMSIVKIARECGWRKTSDSSVCHDPCAICLDTECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLASTTPIKELPWTNKSLALCAAGASTGSKYAGPAAITSSKYAGSLHRSMDSEAGNYRDL >KN538915.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538915.1:175931:176203:-1 gene:KN538915.1_FG002 transcript:KN538915.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSVTMAGGDVHSLLRRWSLDGVGGGVGVDDDEEPCLLSCTGAAPPPRECADDRAVETWPWPSSMPVRLYTRHSEISVCNFMDARRSG >KN538915.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538915.1:62084:64909:-1 gene:KN538915.1_FG003 transcript:KN538915.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKIQEEIQMEEEAGIEEAEIEEEEEEEEEEEEEEEEAEASRKKRERGLGEGECGAPSSKKRDPELELRMKQKAAEWHRKAREESLKEMAKYPNEDWSDTLGVKAREYREDWEYRWSAIFGPYDTISPIPPMRYTHCKDDPMPRHISVRHTLQIISVKIKGIRRGLQWPINVFGLIAARDTIDRNRIMVFNRTRDNCQTITKAKEDRYLSLTGPTRAVVVSDPVYFEAALKVKGSVESEDKDLSFLAVPLTGASDRGETRLVNREYTSRLSTLELTFGFVVESLEASISVRIIDGSWRDGFRGAFTAHTPSLKDNKVLLLDSGYEMVPVTADHMIKLSRHVVSVEGEGDLTVSVLAFGTDNVIEDEKDFTPKEAGMSQSSLDVGFCKLEVTVNWSLLSLLPDGYT >KN538915.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538915.1:8972:20001:-1 gene:KN538915.1_FG004 transcript:KN538915.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSDEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANTDGETVEFRYTENYLVLFKDYWEAIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVVKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAAFNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDILLCVSNLWDDIKISMLSEEDIEEDECNDLLLLAKKGLFKRPTVADLEEKAASIHVDIVNHVPEAIPDTEEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQDASGQVTDYLEVVEEETPEDASGQVADILEVVEEEPPEDASGQVADILEVVEEEPPEDASGQVAHILEVVEEETPEAPGKDLCNGGTPGSGLQNKMHNAQDGGTAQGSDMCNGGNTSRHLNDRESVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTPPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEQEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSILLTEAMGLKFSS >KN538915.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538915.1:132394:140252:-1 gene:KN538915.1_FG005 transcript:KN538915.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRITRSYCPLSPSLRLPAPLHHRGAAPQLRFLSLSSSSAPSSSAAATANSSDGPGEKGGGGGGWDDYLGMSDDELMGQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIITQAVEDRSQHMNRASALSRLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDVGPQIGPNNSKFAPGMQALLDLLFAVEGSVSEAAKLLGLSTGAVSRLILSDDSLRAAANELRAAKGLKPLR >KN540898.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540898.1:24694:27744:-1 gene:KN540898.1_FG002 transcript:KN540898.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIDSFVGLCLNELQKVITEEAILILGVKEDLMELHQRMEQIKPFLNDAEQRGIQDSAFGKWLGRLKDAMYDADDIIDLARSEGSKLLADHPQGSSGKPNSCTGFSPFSCFSNIRKRREIGVKINNLNKIIESIAKDKIFLTPEYSAQSTGKSSSASKVTMSSHLVEPNLVGKEVMHACSKLVNLILANKDKKTYKVVIVGTGGVGKTTLAQKIYNDIALKGNFDKQAWVCVSKEYSKASVLRQILRIMEVRHDIDESIGELQSKLTSAIKEKSFFLVLDDVWQSDVWTNLLRTPLHAAATGTILFTTRYDSIPQELGAGCIHRVDLMSVDVGWELLWKSMNITDEKQVQNLKDIGIEIVRKCGGLPLAIMVIARVLASKDQSQNEWKKILNKSTWSMDKLPSEISCVLYLSYEDLPQQLKQCFKYCVVYTEDSDIYLDDITKMWIAEGFIEEQEGQLLEDTAEEYYYELVHRNLLQPDYSNFLHNVCKMHDLIRQLACHLTRDECFVGDPESLGGNRLCRLRCISVVTEKDMVVIPSIGKEQVKVRTFAIASWSLRVEDTIFKRFLHLRVLDLTGSQIQSIPSYIGNLIHLRLLDLESTSVTCLPESIGSLKNLRILNLPGCGGLQTLPLATTQLHNLRCLCLRQTPINQVPKGISRLKLLNDLEGFPIGGGNGNTSTQYGWPLEELVPLLHLRRITIIKLERAVHRSTDPILLDKKSLKILSLCCTKHRNRPYLEVDVNNIEKIFELLIPPHSLEDLVIEGFFGRRYPTWLCSTYLSSLKYLNIIHCKSWVHLPPIGQLPNLRYLRIVGATSVSKIGPEFVGRLAGNPTSTEPVAFPKLEWLIIDNLPNWEEWSIVEQDSSATTEGANDGAEAKRKREAMFPRLQLFPRLEKLDIARCPKLRALPEQLAQAGSLRILQLRKAGRLKIVENLHFLSDLLLITGCGCLERVSNLPLVERLNVRRCPRLRSVDRLGSLRQLSLGARMLKISSLWMPGLQQQCQQLHGEALDVIIR >KN538915.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538915.1:181413:187096:-1 gene:KN538915.1_FG006 transcript:KN538915.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGEMSLSNPLRFQGFPCNVGKINGFIAARSSGLGRPRTQQFFQQHHICWPGVRRASVPNVRLLPAPGALVSRGVDSSLVPKTGNASEAGVIQLYRIPYLQESETIELLKQVQAKVSSYIVGIKTEQCLNIQLDNALTREKLATLQWLLAETYEPGKLQAQSFLEEEISRSPNSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGNGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANQLRPTIPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILVDASDGASDYGNKFGEPLIQGFTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTINGCGKIVLIDSAAVEHAKLNGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSLVSEPLDIAPGVTIMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAIGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVLYLKKTFEAVQELLGERLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISANVIGQVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFSPKLTDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTMGIWSAHALNLAAGLKLGILVQDAGSSLTFWGRIAQTVYGVIDLRVKNLI >KN538915.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538915.1:5030:8492:1 gene:KN538915.1_FG007 transcript:KN538915.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGRFELSSVMDSVSLSLLDSISNFRVLSSSNASKTELVKKYCQTMDGILDHLEVALNRAFPQITPDDELSKVIQADSIISKMQIYVFELYQIVNSLMQIEAMHLEDLEHDSCGKISDAIREASRALAGEVMPNSEELGKIQTTLSLSTNQELLMEYVALVKVKTKGNHEDNKEMDDINDIVELVNHMLDKHVEEKQTRSIDGVTIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQILGHTKLIPNFTVKQLIENWCEVHGIMLPDPVKLLSLCFPVSLNITDGSTSADKSGSPEHCKLVAALHPKAQCASDDSHHYNLIHENSDSDDRVSSFGDTDDSEPDSLRLSTETTAANKSLLDEKTDRSEGLKQLREDVFQVSDEEQYLERNGKSHISSHHQLDGENVRVQASSDINASEVMQDDPVTTCSKVSDNPPRLGGVHSRNQPNWWRQSNKTVPRIGLSSSTDSKPDFSGNDAKVSNLIEELKSDSAEVQRSATGELRVLSRHSLENRITIANCGAIPFLVSLLHSTDPSTQENAVTILLNLSLDDNNKIAIASAEAIEPLIFVLQVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALFNLSIFHEHKTRIVQAGAVNHLVELMDPAAGMVDKAVAVLANLATVHDGRNAIAQAGGIRVLVEVVELGSARSKENAAAALLQLCTNSNRFCTLVLQEGVVPPLVALSQSGTARAREKAQVLLSYFRNQRHVRVGRGCFL >KN538915.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538915.1:146153:150771:-1 gene:KN538915.1_FG008 transcript:KN538915.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIKALTTDVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDREARRRLFNMINNLPTIFEVVTGAAKKQAKEKTPNSSSKSNKPSSKVQSKAESRSKSKLSAPKDEEGSGDDEGEEEEDDHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >KN538915.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538915.1:100330:103936:1 gene:KN538915.1_FG009 transcript:KN538915.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSPLQYSPSPVHSSPHPLSSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNHNFVDPERIEHGSPLRLPGLPVNGQPMDLEGWSGMQTENMRVLQASSMGWNGPPAITGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDTRLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >KN538915.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538915.1:129164:131815:1 gene:KN538915.1_FG010 transcript:KN538915.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MALNSRSSGLGILVACRADVMPSVGAARLLRGGMRGDGVRLSPPPKPTARRCGAFGRMWHCELARVDQGEAVKERSVSVVLLSGGQGKRMGVSMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADNESFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDLLLAERLMNEK >KN538915.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538915.1:191186:195126:1 gene:KN538915.1_FG011 transcript:KN538915.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEAAAALEFTPTWIVAAVCSLIVLISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVSQGILQKTCVPPKWTNYLLPCRKMEDQSKQRGPSEAHFVAAGVLGHLGRRLLADGGTGADHCQNKGKVPLLSLEALHQLHIFIFVLAITHVIFSALTMLLGGAKIHQWKHWENDIQKDVAQNAPKKVTHVHQFEFIRERFKGIGKDSIILSWLHSFVKQFYGSVTKSDYITMRLGFIQTHCRANPKFDFHRYMVRALEADFKKVVGISWYLWIFVMIFLLLNVNGWHTYFWISFVPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPKVILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFILTRLIIGAIVQILCSYSTLPIYAIVTQNLPTEKVVTGEAAC >KN538915.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538915.1:49263:52370:-1 gene:KN538915.1_FG012 transcript:KN538915.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVNQTFFAWSQGEPTERDGSQGLSVSQKIDHGSISFGRFELESLSWEKWSVFSDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAQQQNQQTELILEYSGDGSDSSQTGEETQGAELETPTGSGTIADDYVEQGAHETTSEQGLTCYDDHENENFNAEFSSSNISSSAVGLQQTGRDARENVHGDDSADKMDLEQQNAISGHSLGTAYEVVRAPKRIIEKDSRLRYAPKIVPKSVKTSSGSPLDRTSVTKRPDSLKLGMSINQKAKTDNDRLLRGPNVAPHKMSGSAERNKLTTKQTGVRRPSSASSQRPSVGERHRIARESVKKPADVSTPRRPSTAERHPITTERARKQADVDTPHRPSTSERRAVNKGSAERNADMATTHRPSTGDRLSVTRESVLKMDVRTPSKTRPTMTQLKGATTTVLNLKNMMASDFFGIRFLRVFIFMQAISENWKELRKWADTLLGQKLCQPSSKETVEFQYWRTSAGNLYKAKKEGCSSAISSIYIEESNDFAHWKRKGEEFKSTPTTTSTASAFTDDEQTKWQ >KN538915.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538915.1:35218:37486:-1 gene:KN538915.1_FG013 transcript:KN538915.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLINIDFFSPTKCPFLNFCLKCDRTLHGQVLAIPAIPLTMSAGLLFGSITGTIIVSISGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNSQLLTLGIGLLFTAIAAAYVTRLAKDAVKEIDE >KN538915.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538915.1:71476:72937:1 gene:KN538915.1_FG014 transcript:KN538915.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARLPQTDFWGSDLKLVSSISVDGCKAACLELCNCVAFEYKDDVSDCYLKSALFNGKTYPGYPGTVYLKLPANLLCFIAFGWWFTARSRPATSEQWAAEEGYRVVTDHFRRFTYGELRKATRNFKDVIGHGRYGSVYRGVLAGAGDNRAVAVKKLKAATPQRGDDEFETEVSVIGRINHINLVRIRGVCSERHRRRRLLVYEYVDNGSLATWLFGAKETLDWNQRYNVAVGVAKGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVRGARMADLATDSVGDAEIAMRQLVWKIREGLKSGDRAWVISLVDRRLNGSFMYSQVALMLEVATSCLEKERNQRPSMNDVVKKFYTSDKKVEFIGEMSS >KN538915.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538915.1:92203:96835:1 gene:KN538915.1_FG015 transcript:KN538915.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIVFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLIGESIGNVTAKELKSLENRLEKGISRIRSKKHELLFSEIEYMQKREADLQNENIFLRAKVYTDKFKGSCDLLYLQIFHDFSKGLEEIKEP >KN538915.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538915.1:123363:127525:1 gene:KN538915.1_FG016 transcript:KN538915.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVRAVPLQSPLPPAEEVMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFHGWIMSESLLNPGKARLCPARP >KN538915.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538915.1:175209:175493:1 gene:KN538915.1_FG017 transcript:KN538915.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKVPIHP >KN538915.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538915.1:106666:114584:-1 gene:KN538915.1_FG018 transcript:KN538915.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVPPRQRRTPRRRLREDGGGGGGGSSGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLEGACQNWVLSVAVYNHYKRIYNATVQKGCSTNSGWLDAASDDQNNIEIDKSNVLLMGPTGSGIVDNAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSEVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRIVAKKAIARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVVDEEAIGSIDRPGCGAKILRGDGALEQYITNTNMKNSMESNEGLAGAGIGAGFPGLQLGLGAGAGCGIGIGFGYGFGKGIAYDENGRYSNIRRSFQNSRNLPYDEQFDILFDEMMESTRKLIKATSKEIDKWRRM >KN538915.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538915.1:66442:69443:1 gene:KN538915.1_FG019 transcript:KN538915.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MALANTRIAYQPDVEKHSGVLAYELVQGSLVQWNSFMDKSVPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLVWVLDATPGKVRAGGDGKDHPAELIESLRRMPMQVSSKQEVVDALVKEQFSVDVARWVATNLRRSSPLGSLSSSSFSWIFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALDDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >KN538915.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538915.1:39420:41346:1 gene:KN538915.1_FG020 transcript:KN538915.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSDAPPGDAAAGEKIFRTKCAYCHAVDKAAGHKHGPNLNGLFGRQSGTAPGFSYPSGDKIVPVIWEENTLYDYLLTPKKYTPAKMGFNGLKQPQDRADLIAYLKKATA >KN538915.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538915.1:73174:74959:-1 gene:KN538915.1_FG021 transcript:KN538915.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGPGEKTKQITQMIDAPADGPIVGLAAQLRRTSLATQNFKISIIRFYFADTGKLGVLEFTPSPELSYLDYPHIEFPEGSNFAKSFLVASHGELFDVYVFFKGFTPEILAVRVCAIDLGGAGDERPAFREVGDLGDRALLLGDANAALLCSASAHGVKGNRVYFMHNILEEPDGGPLRVYDLGDGSMETVRPCPGVSELMCKPFWL >KN538915.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538915.1:154320:156826:1 gene:KN538915.1_FG022 transcript:KN538915.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIRRHGGGRILRRSREEKRRLSEGGGGASQQPQVPAEDDYCPVIGSKINMDGISDQEVANAYSFVFSFDEALRSIKLEEGRLLIHIADLYEMKRSLDDKITSLKAAVKSSPSADILRRIKSTRTKNNETQEEIYADKSLLAKTRLKLVKLEARHRASTLIVAQDVEAKGDPLLGYLAYILK >KN538915.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538915.1:43965:45158:-1 gene:KN538915.1_FG023 transcript:KN538915.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTREAADAFGVATITLFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRKKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGQREITEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEVPRY >KN538688.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538688.1:74544:77595:-1 gene:KN538688.1_FG037 transcript:KN538688.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSRGSGGGGPRGSRFGCTNVKSWVRPNASDRSGGAGEPCSMSRGELQLMLGVIGAPLIPLPVDHAKQSPCSVLCEQLKADPIESSTAKYIIQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDADGGGGGGGGGHRGGKKSSKNCGEVGGFVLWQKKPELWSLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQDRTDRSSTRTAERHDYAFAALIGPLALAVVKGLDPMLTASLFADAVCIGERSVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHAGRTAVSLVRFGDSSDGNTRTRMEEVWNIEEVDFNIWGLSMDCFLPPSDLKESKEDKDVSAAVEIFLFSGSGIYLR >KN538688.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538688.1:136116:146053:-1 gene:KN538688.1_FG038 transcript:KN538688.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSSTTLMDLITSDPSAPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGAQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEERAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEAANLQSYSDNVESLDSDLNENSQPEATRKANPLSNGHSGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELADPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWNDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNISTSAGLSDPAVATGISDLMYEPKDVPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDDEYMNSRPSVGYDDMWAKTILETYEAEDDDGRSSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSGYGASQQTIREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDRSSGNPQSGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKTAGLVPVLYVNS >KN538688.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538688.1:40829:42700:-1 gene:KN538688.1_FG039 transcript:KN538688.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMSSNKM >KN538688.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538688.1:173162:174489:1 gene:KN538688.1_FG040 transcript:KN538688.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGSRSSKVYHERQRLQFCLLHALNNLMQEKESFTRAELDGIAGNLVQIDPNKEHWTPMSLIFKPHHNVFTGNYDVNVLITALEARKKKVVWHDHRKGASSIDMDADALFGLMINVPVRRFRGLWTGRHWVAIRSINGTWFNLDSDFSAPKEFQDKEKLIAFLDSILSQGGEVMIVLQDE >KN538688.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538688.1:7527:10941:1 gene:KN538688.1_FG041 transcript:KN538688.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFVYRAIVHRRDGRAVGNPFLNDDPAAAATAYKRLATCDSGTYSRPGTTVDAPFLGGAVVTTISAQEDAKLRCVGDVLDYLLKQHCLPHLGLNQGNLDS >KN538688.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538688.1:119746:123632:1 gene:KN538688.1_FG042 transcript:KN538688.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQSQIDQAIMDLDKAHHKAEIGVNSMLAVSIAACKAGAAEKEVPLYKHIAELVGKSATTLPIPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGSNSCNIGDDGGFAPNISRQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPVRIKRAVNEYTCNALVLKANQVGTVTEAIEVVRQAKDAHWGVMLDRSKRVLLAVENVSLLRIEEELGSDGVYAGENWRTTASTS >KN538688.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538688.1:148758:151630:1 gene:KN538688.1_FG043 transcript:KN538688.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MAPRSAMCEGVKRKAEFVRATDSSTRFEKLSRPPPSRTFPIPHFHLFPQPHALRREERQRRDCENKRGRHRETLSARARIIAAKEEEMSYSRGSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSRARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSPYGRRRSLSPYDTRGSRRRSYSSYRGSRFRSRSPYRYRRERSCSYDHSVSPYYRRRYSPSARGRSYSRSVSPRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRSVSRERSE >KN538688.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538688.1:99:1662:1 gene:KN538688.1_FG044 transcript:KN538688.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVVAGSSSEGKGPECDTGSRAARRRRMEIRRLRVVAERGAEEETSGKRRRLDGGGGEASTDEEDREVERARYGFTSVCGRRRDMEDSVSARPGFLPGHHFFGVFDGHGCSHPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVICDPEVRVMERKDGEDEFLILASDGLWDVVSNEVACNIVRACLRSSGRRERNRSSPTSNLSPRQSSSSGDEAPNDGAPSAAAAAAGSESDEESAAEEDKACAEAAVLLTKLALARQTSDNVSVVVVNLRRRKL >KN538688.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538688.1:124865:126383:-1 gene:KN538688.1_FG045 transcript:KN538688.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLGNYSSIEGVPYCKPHFEQLFKETGSYNKSFQSPAKPASEKLTPELTRSPSKAARMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAPPPAAADSS >KN538688.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538688.1:93924:100852:-1 gene:KN538688.1_FG046 transcript:KN538688.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREARALSSDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVGCDSHTKRVVYLILAYHKLVGPIPPEIGRLNQLEALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVELGTLDLSSNTLSGSIPPSLDKLAKLTSFFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFINRRNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPYCEGVQIETLDALLSLAKQCPVIWMDLTSGFLSSSLGTVTVSTPFSMDALICSGLVFSGSRNLRRNLPLLRSTRCHLSDFSSCSLLRSPLICRMLPSSTSTLTSSFFSPGTSALNTCASGVSFQSMRAPAKAAVSEEAPRGKDGKRLLLPEPKGKPSKGSQRSREKGSNTLLRRISDIVGIAANLEVPRKPAHRSKDQQALLRIEITLFPSRTQTRQPKNSKTQYTMSLIRRCNVFDPFSLDLWDPFDGFPFGSGSSSLFPSFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERSREQEEKTDKWHRVERSSGKFLRRFRLPENTKPEQIKASKEEPKKPDVKSIQVTG >KN538688.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538688.1:118211:118687:-1 gene:KN538688.1_FG047 transcript:KN538688.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSGSGSIFPSFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPDVKSIQISG >KN538688.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538688.1:153054:155310:-1 gene:KN538688.1_FG048 transcript:KN538688.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLFRRFPCLESLKLKAKPRAAMFNLIPEDWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLSSLKLDRCSGFSTSSLALVARTCKKLETLFLEDSIIAEKENDEWIRELATNNNVLETLNFFLTDLRASPAYLTLLVRNCRRLKVLKISECFMLDLVDLFRTAEILQDFAGGSFDDQGQVEESRNYENYYFPPSLLRLSLLYMGTKEMQVLFPYGAALKKLDLQFTFLSTEDHCQLVQRCPNLEILEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSSSLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKTIRYMLLGNVGESDQGLLQLSTGCPSLQKLELRGCFFSERALAVAVLQLKSLRYLWVQGYKASPNGTDLMAMVRPFWNIEIIAPNQDEVCPDGQAQILAYYSLAGMRSDYPHSVIPLYPSV >KN538688.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538688.1:175971:179224:-1 gene:KN538688.1_FG049 transcript:KN538688.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAAEAQPLLLQGDQVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVAKFKPDIIHATSPGVMVFGARFIAKMLSVPMVMSYHTHLPAYIPRYNLNWLLGPTWSLIRCLHRSADLTLVPSVAIAEDFETAKVVSANRVRLWNKGVDSESFHPKFRKHEMRIKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGVRIAFVGDGPYRAELERMFTGMPAVFTGMLQGEELSQAYASGDLFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLSSKVLRESIGRAAREEMEKCDWRAASKTIRNEHYCTATLYWRKKMGRTN >KN538688.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538688.1:167265:169789:-1 gene:KN538688.1_FG050 transcript:KN538688.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGVAGAGGGIIAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRSRAEAAALQRSRRGIGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQTHRKANKQQSPLDLTCVLNKALQAPAVENIPHKTIHVIQDLYKEAGFLGFWKGVVPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQVKQIIDDDKRHRYKGTFDAITKMIRYEGLSGLYKGMSTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPLR >KN538688.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538688.1:32888:35809:1 gene:KN538688.1_FG051 transcript:KN538688.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MEANHASRGRRTLEEIRQKRAAERMQQQHNPAAAASLIDPYGNPGAGAELLGRVRELENGNIALERENQMLLSKERNIVPSLKKAVNDISLEKDAAAVAKAEEDSASLRAQLNTLQQQVMSNSYSGFPVGVSNEHILAMEKEVENLQAQLKQESLLRQQEQKKLSEESLLRQQEQQKLTEEQSRAASLVAEKKELEEKIAALTKKASDEASEFAARKAFSMEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSTLSTSYQEAVAVTMQWENQHLACSINHNFQDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLTRLYRPVLRDIESNLMKMKQETYATIQ >KN538688.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538688.1:187130:190379:1 gene:KN538688.1_FG052 transcript:KN538688.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADKPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQEEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFDYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATDDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGTSQDNMLQNAHGSNSEDLTSSFNKRSTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKRERFKTSPIALSALAEYTGMVSFAPFTIMRSSAYTVVC >KN538688.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538688.1:14127:15916:1 gene:KN538688.1_FG053 transcript:KN538688.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLADGSGDPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADNGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKKHACISSSIIGWHSTVGMWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >KN538688.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538688.1:59553:63881:-1 gene:KN538688.1_FG054 transcript:KN538688.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRWSLTGTAALLISLAAVVFIEDGIHNAPGRPTTMATRSKRPVRPPICAYVRTPVAPLLRVVQGMHSDVATMRKTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYTYERYKNLLQMIPGLDRNGGFGADVGVRLIGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTITFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTESLRGLYRGILPEYCKVVPSVGIVFMTYETLKSILTELASDD >KN538688.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538688.1:87041:90681:1 gene:KN538688.1_FG055 transcript:KN538688.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54050) UniProtKB/Swiss-Prot;Acc:P25851] MTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIADDQNLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKADVPGLKKEEVKVEVEDGNILQISGERSREQEEKSDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQISG >KN538688.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538688.1:111369:114811:-1 gene:KN538688.1_FG056 transcript:KN538688.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGCDLIPLEATMVENHVSKEDSKNYKLRMGFVDGHICAICDDGGNLIRCEGACRRYFHRTISNDADFNCETLNMSQEQVESSKFICKNCVYKQHQCFGCGELGSSDMSSGSAEVYQCSKSRCRRFYHPKCLAEFDSSKNPPVFECPLHECFACKNKGEKNNEETCKGQESIKKKQGAENNKKMHLALCRRCPIAYHRKCLPRKHTMVEHLRSATRDHLKFPKVMEEHIQKYVPKRDAENKKLIVYVRKRHRGASKKQGASMVEEVDHGTKESDHVQRSRDINLGAHEQTEAPRNYMSDRNTSTGFVLSFAPKSLFPLPYPGNCGWLDD >KN538688.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538688.1:102396:109039:-1 gene:KN538688.1_FG057 transcript:KN538688.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding METLRKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYNSTRAAKHFQRSIVRGVEGFIAVSTKQMEIVKKLAEDCCRYGNDNQNFGFILARASVEFGNSHSQMEKERENLLKFLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAMVDAERAYHQNAADILNKLHDEMVQAKHHDEPENHYDETSSDPKTAATHEHSRSTSEDHIFTNTSEPTRTETSEPTRTETSEPTRNGQEVHYVGEVIHPFDAQADGELSISVGDYVVVRQVAPNGWSEGECKGKAGWFPSAYVEQRDKAPARHVLNYHVILTLVKQLGTRERKHQPTIVNGRLRSFNGSRSTVKTGAVVWKGEKTGGLAWPMGGRVTSRAEDTGYETAQLSNLWVASSVQIAQIETKQLAQLSILRSMPT >KN538688.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538688.1:133108:134191:1 gene:KN538688.1_FG058 transcript:KN538688.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAVEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTVYPASDKPNRSDS >KN538688.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538688.1:55107:57949:1 gene:KN538688.1_FG059 transcript:KN538688.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DA1-related 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) UniProtKB/Swiss-Prot;Acc:Q0WSN2] MKWLCAFLKGTKDGEANRRRPRVTAGEETTLWEEPVRPKKEEPPRHNNEEMDHALALALADDAKNTKERNHDKGENDEELARAIQDSLNMNPCQPYNPYAPPQTQARSRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCSSCRHPIRETEVTFLQFSLECLKFTLLGADPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGANRLLDMRTQPQKLTRRCEVTAILVLFGLPRLLTGSILAHELMHGWLRLKGYRNLKAEIEEGICQVMSYLWMESEILPSTSRYGQASTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSSAYGDGFRAAYAAVNKYGLRQTLNHIRLTGGFPV >KN538688.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538688.1:19853:22474:1 gene:KN538688.1_FG060 transcript:KN538688.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQLLVYPNKMKFSVPGEQEGYVRRWLEERIGLLPKFEIKFYPGKFSTEKRSILPAGDITQTVSDDKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKREKNGYIHAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSIVCNVHGVNPKFIEIGKLKHQQISQREQAFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDSDEVKASAEKLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSEELRHELSWEAATERFVRVADIAPIMSIKQHSPSPQYFMYISPDELKKNMEEASAFFHNAISGFETARCVFGAIPNTLQPDEQQCKELGWRLQE >KN538688.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538688.1:164589:165784:-1 gene:KN538688.1_FG061 transcript:KN538688.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVADDAFVVEEFDEEFAAGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAIDYLSSRYGATVFDEYEDDTDGEDDGEEEAEELQEGEGSAEEAAQGFLFRAHEQLNNLQIENDFT >KN538688.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538688.1:71154:73949:1 gene:KN538688.1_FG062 transcript:KN538688.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGQFSRERNVKATLGRDGRQRGNSQDQSVQVPKEKIAVVGGNIDGKFEDRIRVVKNEKFRRQREPRSADAGGSLKGSKPWPGRKATTVDELVKHMSNVPSYLQRKETSGHLQDKALNVGVLEWGLLARWSHQQKHEFPSSHGASPSNTSRSVIFSSPSQSSASPSSKSLESNQSPTLNDHQHFSMEFQQSDLEDKYHGKARYSPSPNSAVLNLLSVHGKHFPENTGKYGDLNLRNISPLSDSLLTATGSSMRHEMVDDEETTRNIEEAVHHCSRRLFTDDDNIGQSFFTSHNNDSACGDFQQSSGATGENRNQKRISRGASERTPRISAKFSDMDASPHRHLVSGLNRVNRCSSLKDGPCPRQPEASTSVDKINGDKSSGNKGSRRSPLRRMLDPILKPRQSSTSGPIQPSFVPKCHLPGHIDKQSLSLGGSALQNVQLRSVDSVLNSNCRTETNTNQPPQVLNSERYLQQDIDSTTTRHALLQLAWKNGLPFFMLSCGSDILVATVRRKGISDNNDLESTYTLFGVEEPKKRGGAWIKAGNKNKKDQLVYNIVGEMRVSRRKSRCYQAEKNHLHREFVLVGSEQLPSSEESGDSHVNREFAAFISAVPQQEPETSRHSSSQHSSRSMSTPTDCSCPLGNFHPNTRDDSCASSSVLAILPNGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLSDDARENKGDKSTQANPTTDGSQRFDLLVQGRSREDKHAFSMVSFREGLYTVEFRSSIALLQAFAMCIVMLHGRHPSRTQAGVPASQEHAPLADHKLNKIMAASQGRAQASYVPHRPPLSPVGRA >KN538688.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538688.1:129442:132637:-1 gene:KN538688.1_FG063 transcript:KN538688.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARPSNATLLARLRDGEARFELLEDSAAAAAPSPAPVWPGLSCFARVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALETLVCLLVGERNGKEQGDSIDLKGGDDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRRSDFWGGESELLVLSKLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFSKDSKQWKKNVPVRLLYSGRNHYDLLV >KN538688.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538688.1:24165:29375:1 gene:KN538688.1_FG064 transcript:KN538688.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGEGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKLLRNILNTREIRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDMRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHVSLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKCGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNDPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >AMDW01012469.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012469.1:49:234:1 gene:AMDW01012469.1_FG001 transcript:AMDW01012469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVVGEVGWPTDGDKHATATYAQRFYNGLLKRLAANAGTPARPGQYIEVYLFGLLDEDAKS >AMDW01040881.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040881.1:68:2486:-1 gene:AMDW01040881.1_FG001 transcript:AMDW01040881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAKIARRPKTTTVVAQQPPRIRRALADVSNLVNGRAALPVVNRQKAAADKCRKPIKQRNENNKAAKPEVIVISSDSEKQKRNPAQRAASRRAPIQTLTSILTKCSRASDGVISPKKELIYDIDASDAHNELAVVDYVEDIYRFYRSAENTYRTLCTYMVSQTEINERMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVPRKELQLVGVSAMLIACKYEETWAPLVKDFLVISDNSFSRQQVLSTEKSILNKLQWNLTVPTMYMFILRYLKAALGDEELEHMTFFYAELALVQYSMLFFAPSVIAAAAVYAARCTLGLSPLWSDLLEYHTGLAEPQLMECARRLVSLHAAAPESRQKVVYKKYASPKLGAVSLHSPAKKLLPPPSPVAA >KN542143.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542143.1:7042:8481:1 gene:KN542143.1_FG001 transcript:KN542143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRLLSRKQFVLEVIHPGRPNVSKAELKEKLAKLYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >KN542143.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542143.1:17528:18034:-1 gene:KN542143.1_FG002 transcript:KN542143.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEVCDANADLIMNGELRALQPIFQIYGRRQVFAGPIVTLKVYEDNVLIREFLEEKGHGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >KN542143.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542143.1:13079:15876:1 gene:KN542143.1_FG003 transcript:KN542143.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRASMDKKDNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALRSFEGKRDGNSYLINLIDSPGHIDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQSLAERIKPVLTVNKMDRCFLELQQSGEEAYQAFSRVIESVNVTMAPYEDKNLGDCMVAPEKGTVAFSAGLHGWAFTLSNFAKMYKAKFKVDEAKMMERLWGENYFDHTTKKWTTTAPSTSSKTCQRGFVQFCYEPIRRIISACMNDDKENLWDMLTKLKITLKAEEKELTGKKLMKRVMQAWLPASDALLEMIVFHLPSPAKAQQYRVDTLYDGPLDDPYATAIRNCDPKGPLMVYVSKMIPASDKGRFFAFGRVFSGTVATGNKVRIMGPNFVPGEKKDLYVKTVQRTVIWMGKKQESVDDVPCGNTVAMVGLDQFITKNATLTDEKAVDAHPIKAMKFSVSPVVRKSVACKNASELPKLVEGLKRLAKSDPLVVCTIEESGEHVIAGVGQLHLEICIKDLQEDFMGGAEIIVGPPIITYRETVTRSSCRTVMSKSPNKHNRLYMEARPLDTEDLQQDEPSLCKAIDDERIGPKDDIKERGKILSEEFGWDKDLAKKIWAFGPETKGPNLLVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRGVCFELCDVTLHSDSIHRGGGQLIPTARRAMYAAQLTASPRLMEPMYQVDIQVPKTAVGNVYGVLNSRNGELVEESERTCTPLSNLRFYLPVAKSFDFTEKLRAETSGQAFPQCIFHHWQTMRSDPFQEGSEAAKVITDIRKRKGLKDIITPLSDYEDKL >KN542143.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542143.1:9261:10960:-1 gene:KN542143.1_FG004 transcript:KN542143.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGALFLNYGVSFAAPADDALLASLDALLKANACFSTPSSPAGVAAPARSSAATTTTTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQGQEAVGDDGEDEPVVARHGHRGDGVHGRAAASFEAREGLPRHRPRGDKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >KN545334.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545334.1:1550:1858:1 gene:KN545334.1_FG001 transcript:KN545334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGELKLLGVWSSPYAIRVRVVLNLKSLPYEYVEENLGDKSDLLLASNPVHKSVPVLLHGGRPVNESQVIVQYIDEVWPGGASVMPSDPYERAVARFWAAY >AMDW01039841.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039841.1:4:1003:-1 gene:AMDW01039841.1_FG001 transcript:AMDW01039841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGKKKEDCCPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVKTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDHHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVTAKDLQGLLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMHAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGAITFQYALTVLPV >KN540351.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540351.1:51097:53128:-1 gene:KN540351.1_FG001 transcript:KN540351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNGHTFQAEDGDRLSEMPDDILLDILARLNISTVAKTSALSTRWRHLPWQLTKLDLDVAEFLHVPAFSSITTVHMDQAMSALTKAATSLLSVPQRDTSIRNLGLKLYMMGSYSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAAAFPRVIRCITRLSLYNVHLDGDIHRILFDLCTQLDYLNLEHCDDGSRAVWKINAPNSKLRHLELAVCFFGRLDLVCLPKLEYIYWEIWFTPYAPLSFGSVPSLGELRLACPAQSDFQGFKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQLCPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVDGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLVFLKAIMEQARNLQTVILKEEEPCEDCEAIGTPLSCINDYDFPKSKDEQDNVVEQLREKISSDSQIIFLCL >KN540351.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540351.1:395:928:-1 gene:KN540351.1_FG002 transcript:KN540351.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEETKAAAAASSEQTAAAAAATSNTTTVGDMSDTTFKSIGDVLKLLPTATVIVYEVLTPIVTNTGDCHVANKVVTPVILVLCAFFCAFSQFTDSYVGADGKVRYGLVTARGLTPFSGGADGDTTGRDFSKYRLRFGDFVHAFFSVAVFAAVALLADANTVSCFYPSLKDQQKK >KN540351.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540351.1:31826:39321:-1 gene:KN540351.1_FG003 transcript:KN540351.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISRVKGDESGKPYRRLPSQEKKHTAITQATAVGGEPLFAVRRKKKGSGLRARRRFVAESASTARRTTAIVTGVVFSLSSSSSSPSTPSSQLASRARSGEVVVGGLGEGVEANVAGEVEEGTERVAGECVVGVEGEEVVGDEANECVGGGVGGLRRLQRGAGRGCGRSWQRATTIHQLHSIPANIQICRPELPASGSSLASVAARSLPPACTSCVFGSAINFGFCFHLFEDVDIGSLILFNDRFPWEPRNMTTISRVKGDESYRRLPSQEKKHTAITQGMKTIDTRGTILEVRAGDEKSNKDAASSEVLYVKYDILGQSAKHDGCDAEDRRSETEEHVGGIVVSEEDEVLDPEEYTVNNILPKSRHRDGSIYMDIMDTPWKKEFHIADRNETRLEAMRFSNPTNCVIRRNGTCMSHYHRCMLQILSLELAKITLDGGSIELYGYIAVRDDLDPLLNYIVNCSRDDPIIVEQGSLINIEGPKRGIDMRDYALIEYDMRIKTDEQEKDDLQLIDGASMIGPAGLWNRPDTICIPGDYGAVDITLSRFYCSAEATVEILISEVQSSFNLLLGCLTSDLDKEIRLFDGVISESRGLKRSVVAVMRDSFIDLKFKVGAFPSSFDQHYVSFKEKIHGYDTQEIKTDFALISVKMCQTRNCDILELSLCTFGRLELACLPKLEEVHWEIWFCSYAPLVIRLRPKPQGTISCMWCPIGLRRLQVKRSFTRHQRFAYFDNRFPRRKVVLDFCTSHEDLAVECCHSFSWFSSRTT >KN540351.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540351.1:28874:30703:1 gene:KN540351.1_FG004 transcript:KN540351.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLVCFLFLASSLLHCARSDGSDSQLLKGINSYRASLKVPALSENKNAACLAEQLAQQFKDQPCTNTTGANTVPGTEQQFPDYPKYLDHCHLNASVTGDGQVMPACVPGLVPDVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVVVLSTSSGSGDYSPAPPGSNWAPSVHPFNQLILLLVGSWLPVCIQLVIIGEPMQLNNDLHAL >AMDW01037758.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037758.1:39:180:1 gene:AMDW01037758.1_FG001 transcript:AMDW01037758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIGYSNVCAALVRRCLKEPHKSEAASREKVHFAISKWADGKQEKP >KN540351.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540351.1:4591:26182:1 gene:KN540351.1_FG005 transcript:KN540351.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNASANIAAAVPNQHLDPTADAPGTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQVEPIYEKGIDQPSMDEARILLGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDEKDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSMGSRSRNNTSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVKDEGLTGAITEGFTCQSSSNIATSSDSYFNGLKLISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPELDSSVNSRPTVDPTVISNMKTVLKLISERVMASSEFRRSMGQILQTLFSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMLMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKESVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVFLQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNARTSNLGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEEENSSEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >KN540351.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540351.1:46598:48818:-1 gene:KN540351.1_FG006 transcript:KN540351.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLISSPSFMASAAASWCWWSPLLARGGPVRRVSRFSWGPRNMTTISRVKGDESGKPSRRLPSLEKKHTAITQGMKTIDTRGTILEARAGDEKSNKDAASSEVSYIKYDALGQSAKQDGCDEDDRRSETEEHVEEDGVLDPEEYTVNNILPKSRHRDGSIYRDIMDTPWKREFHIADRNEITLPPQATLLLLALQLSTICTHSNLCHSLMGGTDFQGLKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQLCPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVYGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLVFLKAIMEQARNLQTVILKEEEPCEDCEALGTPLSCIKDHDFPKSKDEQDNVVEQLREKISSDSQIIFQCL >AMDW01065219.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065219.1:157:435:1 gene:AMDW01065219.1_FG001 transcript:AMDW01065219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERKTRLKMMGLLGLVTSIFTMVVLVSLLALHGQGRKLFCGLAATIFSICMYASPLSIM >AMDW01038795.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038795.1:327:659:-1 gene:AMDW01038795.1_FG001 transcript:AMDW01038795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTMEVAEEANSYLAKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >KN540861.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540861.1:30035:30880:-1 gene:KN540861.1_FG001 transcript:KN540861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLHDFAATGFGFGRFIERSYLEQSEHLKNDRFAIRCDVVVFSDELRAEDRTADAAALSVAVPPSDLSQHLGGLLAAKELGADVTFLVAGETFTAHRCVLAARSPVFRAELFGSMKESAATAVITVDDIEPDVFRNLLTFMYTDTLPETNPQELEEEEEDDDDEDYEDDQAQAAAMVEHLLIAADRYNLERLKLICEDRLCKHIDGESVATILALAEQHSCDGLKEACFQFLSSRSALNSLVATDGIEHLARWCPSVLNQLMSKVAALVPVDFVVRETR >KN540861.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540861.1:10547:10900:1 gene:KN540861.1_FG002 transcript:KN540861.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAKCECCGLREDCTVEYIAGVKADFGGRWLCGLCSEAFCRKNPAFRVADGMRQMLRRRSSDISAPSGAS >KN540861.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540861.1:23441:24559:-1 gene:KN540861.1_FG003 transcript:KN540861.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAATGGSELLRPLPPYSSASAIVGGTVTGHHILQIDGYSYTKEKLPNGKFILSRSFKVGDHQWRLRYYPNGQSSDYADCICVYLVLAAAAAGHAKEQPVKARATFSLLDRAGKPVPSYTTDVGMHDFAVGGSGFGYGLYKRDVGHVDDGFAIRCDVTVVMELRTEDRTPPLVEVPPPDLHRHLGGLLESRDGADVTFRVAGEDVRAHRYILAARSPVFKAEFFGQMKESSSSSNTVVNVDDMEAEVFRALLAFIYTDALPETKTKAKQEDELVIAQHLLVAADRYGMERLKLLCEEKVVEFIDRGSVATLMALAEQHHCHGLKGACFRFLESKETLNAVMATDGILHLMRSCPSLVKDLVFSVADRHFQ >KN540861.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540861.1:20717:21847:1 gene:KN540861.1_FG004 transcript:KN540861.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAATTGSSDGGRPPHYSSASAIVGGTVTGHHILQIDGYSYTKEKLPSGKFIQSRSFTVGDHQWRLSYFPNGKGSDYADYISVYLCLVEGQPVKARATFSLLDRAGQPAPASASYYTRDMPMGRFAVSDIGFGYHQFIKRELLEKSGHVRDDGFAIRCDVTVVTELRTEDRTPPLVEVPPPDLRRHLGGLLESGDGADVTFHVAGEEVRAHRYILAARSPVFKAELFGQMKESSSGNTVVNVDDMEAEVFRALLVFIYTDALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEEKLVEYIDRGSAVMLMALAEQHHCHGLKEACFRFLESKETLSAVMATDGFLHLMQSCPSLVKELLFRVVDHSLEPK >KN540861.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540861.1:15422:15982:-1 gene:KN540861.1_FG005 transcript:KN540861.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVVISQQRNHHNQHSGGRGKMTGPHFSSPLRGMNCRSFHSSVCAGLLPSLPPPPARTYSSPEPKTPKQQQQLQRRGGKRSRPISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLRQNRSISLELPLFELFDEVEVKPHAKSAPSSPVGGSGFDFFNDNETAVATENLRRILHLDISNH >KN540861.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540861.1:25951:26870:1 gene:KN540861.1_FG006 transcript:KN540861.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRDAGIHGFTVSETGFGYHEFIGVEVLEKLGYVRHDGFAIRCDVAVVGALRVEDRTAPVVAVEVPPPELRRHLGGLLESMQGADVTFHAAGEEVRAHRSVLAARSPVFKAELFGQMKESSSGNTVVNAELFGAMKESVSGGSNAVVEVDDMEADVFRALLAFVYTDELPETETKQQVVMAQHLLVAADRYGMQRLMRLCEEKLCGRVELGSAATLMALAEQNHCRGLKEACLRFIDSTATMDAVMASDGFEHLIKSCPSIVKELIVRGSQLLSGAK >AMDW01012319.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012319.1:13:234:-1 gene:AMDW01012319.1_FG001 transcript:AMDW01012319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFPKGMVHFQFNNGTGDVARAFSAFGSATPGTISLPAALFGSGIDDAILDKSMHTDQATVDQLKQDQAPPSP >AMDW01039348.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039348.1:616:852:1 gene:AMDW01039348.1_FG001 transcript:AMDW01039348.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFEST >AMDW01036498.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036498.1:23:563:-1 gene:AMDW01036498.1_FG001 transcript:AMDW01036498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EQPAYPGEETFSDDEPGAGNVDDEPAASNNPDQVLKMCSDKGSSPVECYGGDERRVGVRAIAGVEEDDLSPNVGCRGVTDCVDESNVIQKQKRKPDLSVADLRDLVEMKQQNMKADTNIHDQGHPSVMQIPKNELGLDLLPHKNSSDHEVPTLSVSSTDDPDGLVSTDTNSDKETETVNV >AMDW01039790.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039790.1:128:942:1 gene:AMDW01039790.1_FG001 transcript:AMDW01039790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QFHQTSKDAVQCATKKLEWRSMFSKRVYLGRNLSASRLSGWINPSFRNMSLEILDLSHNNLSGTIPYNQVNSLKSLNLSYNQLSGSIPDYLFERYKAGLLEL >KN543088.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543088.1:1837:3782:-1 gene:KN543088.1_FG001 transcript:KN543088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKTHWRGRDAEEETRTRERDPLVNRVRSFSEGIVLMACPVLLAVVLNKVDVKSKGNGLVRRISPIAASSLWLCLLPFLFLNMSAMILACLILLLITMEAKLFFVFLVPFIMFILWRCYWCSQNDKDHDQRVYNRNCHIKLEDSLDFSATVTAMLFLALEGMALEGQASIGQQVGLNSRSATALYLGFATCVMAAVIMLLGAIPPLIEDDDRQRTNMCSFFDALCLVLAAFVTLVVFTIVVMALPEEVAATVVAVPWLVMLLVYAIYWCRHELNPQNPPQPNQTEMQPDSDTKPNQTVLDANVGVGELDKPASLELTKITFTGFLAVSITSLGNGSGYPVRGHTTDAFIVLTAAAVIWGLLWRLLTHRNNLPKLPVDASAKIACFFTHLYCLSMSAYIPRWYGLIWNIYLISVVDPYMASRP >AMDW01035567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035567.1:325:543:1 gene:AMDW01035567.1_FG001 transcript:AMDW01035567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAREHFVLVHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEK >KN546053.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546053.1:371:934:-1 gene:KN546053.1_FG001 transcript:KN546053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLAALSFVVLMSIGLANAARVSRLANAQGQGSGTGSGGQGSSSGSVSQGGGSASAGGGGGGVAGGQAGGVDGSGGYGTGTGSGNGSAEADGGASPTSSPPYANANATGDGNGNGGGQSGGSGSGGGGGSGYGDANP >KN543514.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543514.1:5052:6482:1 gene:KN543514.1_FG001 transcript:KN543514.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILKYGERIWALQSARFDSIAKCLDQQDASIRENEGNKILRDVLEQRCCMDVEAVLKAAHGLLDVCQGIFIGLRGGSRSYVRHVLLSFQMYDRLDKLMEMELSLMYDILYTKATVIHTWYGCCIRVVALLATAAAFFLFQLSSMDAHSTKNIVTTNILLVGGLLLEVISLVRAVGSNWTLVFLHRMKWNWLHEELLSLRCVFSVAAHRRWCGSVGQYNFLTASAHDAVAMETSKPASWLLGLGTTAQDLWARLHHSKYVMLSNSTKELILSNILGMQEKGEEMGSLRGLSTLRKLKLDSRIGWTIQDVGFEDSIMAWHIASDICLFKDRSNQPDLVEAIKVLSNYMMFLLALRRYMLPGPVRRSRYELVRADLHSLMNEDKSSSPQERLYWALRKGFHDYLKSGGPPKQFDAGVRLAALLYHQYDRLDVIFGVWVEMLCYVANNCSTESHARQLSSGGELVTIVWLMARHVDLS >AMDW01016091.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016091.1:59:262:-1 gene:AMDW01016091.1_FG001 transcript:AMDW01016091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAG >AMDW01015737.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015737.1:8:253:1 gene:AMDW01015737.1_FG001 transcript:AMDW01015737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAIKRGEDAAVQTNVQSYTRLTKKAHKQCKKINKKPASSADQESCGVVKLMADAREITFSVLESTLHLLSKQIAVPSSSK >KN541995.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541995.1:1459:2221:1 gene:KN541995.1_FG001 transcript:KN541995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRSAARVADLLVATVDGGVQELYINEKRIELEARVLLATIARYRKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >KN541995.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541995.1:19588:20692:1 gene:KN541995.1_FG002 transcript:KN541995.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNPKGNRVAVHLSPYELERLKECMKNSARLKELGLPDRYTPVLEGYVGVHSDQNQSGDSESEYDPLKDDTGEGDLIDDENAKQCSKEKTRKNCNNKTSSIQTGGVKFRSRKRVYAEQMTPKVT >KN541995.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541995.1:8153:8635:-1 gene:KN541995.1_FG003 transcript:KN541995.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPLQPLPVPHILLTVHDGAAMGVFSLVDSREAAEAPTASHGDGLESQCEGSHAAVQGEHNLEPWAEACVCSVASEAVQIVLINDSFAPDEGCYESEEMSCQNGL >KN541995.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541995.1:3791:4036:-1 gene:KN541995.1_FG004 transcript:KN541995.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPEPDKDKALPKPKLPKSKPKPEPNTRSASASAPEHDQTAGPYGMVRATTGKGEEKETLTLNLKEKGRGGKEACSPERR >KN543720.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543720.1:3346:3911:1 gene:KN543720.1_FG001 transcript:KN543720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPRETVDKMDG >AMDW01035530.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035530.1:15:483:-1 gene:AMDW01035530.1_FG001 transcript:AMDW01035530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PHPTAERRISFKEKLDSALGSVLPLSMKEKLCSLMEHHCSEVHAAGSHTCEDVLIDALVLVAEDSVTPSDKVQNDVDDTNTEGCNAVPSRFDDNADVDASIPDDVVVCTPIENDPCVNSFV >KN539327.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539327.1:35606:41419:1 gene:KN539327.1_FG001 transcript:KN539327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGSIPLDVVPRIIARPAPGSVYRSPQLYARLRADMDADNSTDAICNAVAIAGFLNATLVIPNFHFHSIWRDPSTFSDIYDEAHFVKRLQNDVRIVEKVPDFIMERFGHNLSNVFNFKIKAWSPIQYYKDAVLPKLIEERLIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLVSRMKEKSTASNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMNAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRAEKNMVPLLEMFPLLQTKETLASAEELAPFKNFSSRMAAIDYSVCVHSDAFVTTQGGNFPHFLMGHRRYLYAGHSRTIKPDKRKLAILFDNPRIGILFLGRRIVHGGTPNKAPCAQAYPNDAFGYARLHECAA >AMDW01085422.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085422.1:9:128:1 gene:AMDW01085422.1_FG001 transcript:AMDW01085422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVDETDDEDNQDIDGDDVIEDSDDDGGAEEDHTIND >KN539327.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539327.1:74470:74706:1 gene:KN539327.1_FG002 transcript:KN539327.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVEKKPAEEKVPKGEKKPKAEKRLPTSKEGGNGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAM >KN539327.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539327.1:20365:22422:-1 gene:KN539327.1_FG003 transcript:KN539327.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRALGRVGSVSHRDPGLQGDALLAGHGEHGGVIQEILELHHGLEYPPEVVGAHEAGGAEAADGVDVDGEAALPELEPGHLAAGEPPDELLVDVAERDHGRPELVDGGLHALGLEHEEHHLGLLGAQPQRERVPRLVRQDGEAGGHVRRGGQERQALALAQCCCWYDHTWTPPKMQSLRVKGHLGIKEEVWITLKC >KN539327.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539327.1:51209:52714:1 gene:KN539327.1_FG004 transcript:KN539327.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >KN539327.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539327.1:56427:59124:-1 gene:KN539327.1_FG005 transcript:KN539327.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLAADDKKRIEDAIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGSGADMAGGMDEDAPAGGSGAGPKIEEVD >KN539327.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539327.1:8079:14802:-1 gene:KN539327.1_FG006 transcript:KN539327.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKVEEEEERNPVASSPSVSEGSAQAAALASPTAADSIFGRRRKSGPVRRAKGGWTPEEDEKLRKAVDIYNGKNWKKIAESFSDRTEVQCLHRWQKVLDPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARSLNGRIGKQCRERWHNHLDPQIRKEAWTAEEESVLARAHCMYGNKWAEIAKLLPGRTDNSIKNHWNSSLRKKIDDYNTRDILPVHPPVVGDDLKQLPKRPPADNHFDLNKEPIICSRDRLGVVHSDPTSHQRASNLKDFKGCADYLSLGQPVTSCEASAADDSAFDLATQGMRMDSVHDKGTGNNFVCGKVQGINFLGDKGLKINQISDKMGCSRQAKREGEAAINGGGSSLQSESHPVGSLCYQIPKMEDIAPAQSPVFTANYVPEHSRNVMHSPNGYTTPPTHGKGSDQLSVESILRSAAEKFHGTPSILRRRKRDKLTPAEDNDLKIGKLSSDDFHTPIGKCTTDSPQSFKTAALLSLGPMDEQGSLDVSPPYRLRSKRLAVLKTVQNHLDFSSDEMSICDTTMKSACGNSDCANASSGVSSIQGKKLDEHMIGLETLTMNFAHTTKLDATQPNL >KN539327.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539327.1:75068:78339:-1 gene:KN539327.1_FG007 transcript:KN539327.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monofunctional riboflavin biosynthesis protein RIBA 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G59750) UniProtKB/Swiss-Prot;Acc:Q9FN89] MDRVLLSSQLSSQTVVNTRVQQGSGGINSIGFAVIRKGSLKLRCYAIGGLGGGENLNDPLKESNNGPVLQGFNGSSASFRTVGAKITQETGDFFVSDAEGDPDKPTDGFSSIDEAIGALREGKFVIAVDDESGDNEGDLVMAATLADPESIAFMIRNGSGIISVGMKEEDLTRLMIPMMSPIAEIEDISAAASTVTVDARVGISTGVSAADRAKTIFTLASPDSKPTDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVALAGLRPVSVLSTVINPVDVDGSRAGMPVLKQMALEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGNQLDLAMQLIDKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDDGHDTVQATVELGLAVDSREYGIGAQILRDMGVRTMRLMTNNPAKFVGLKGYGLAVVGRVPVISPITKENQRYLETKRTKMGHVYGSDLPGNVPEEFLNPDDIAGDQDEDDTHN >KN539327.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539327.1:90074:94672:-1 gene:KN539327.1_FG008 transcript:KN539327.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRARRPAFPDLRRRGMPWELEGRGERPPRAPDRRGERPPREPKGREERPPSCFDLDLDNIGEEKGTPNGGEHGERAVDAEEAVREHGVGTLHARRRGVPPESCPSVKNILLLDSEGKRVAVKYYTDDWPTLSAKLAFEKSDFLEEFVNLKREVVKICAEIVMFDGHIVVYKFIQDLHFFVTGGEEENELILASVLQGFTDAVDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSAHGIEGATSLAEQWINILPMEMNVFL >KN539327.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539327.1:97913:106544:-1 gene:KN539327.1_FG009 transcript:KN539327.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVGGKAAKKAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSAAAKEAKEGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >KN539327.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539327.1:54643:55416:-1 gene:KN539327.1_FG010 transcript:KN539327.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQINDEKIASKLAADDKRRIEDAIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGSGADMAGGMDEDAPAGGSGAGPKIEEVD >KN539327.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539327.1:27637:31432:1 gene:KN539327.1_FG011 transcript:KN539327.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLQREEVMGDVEGQLHPAIAHALRRSDLAAPVRLERPVPCPATTTAAVTVSCRNECYKLDAFFGQESRVCEIFDQEVSAVIPGIFEGTNATVFAYGATGSGKTYTMQGTEDLPGLIPLAVSTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAREIMVLDDKDGNLQLKGLAWVPVRSLEEFHEIYSIGVQRRKVAHTGLNDVSSRSHAVLSIRVSNDVIKGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVISALNKKEPRIPYRESKLTRILQDSLGGNSRAVMIACLNPAEYQEAVHTVSLAARSRHVTNHMSSASKQETPKDKVDMEAKLRAWLESKGKTKSIQRMDGLLSPNAIKTPLSMSHKKQSASVRVSGRVVLPSLTPCKEDKTGSSLRKALSPISSNMDPQKQRTADDSSCLMLLEPRTPMGSCNIVGKVTGATPLDKFIALGSNLKESLIQQYLDFLNVANKEELQKLKGIGERRAEYILELREDSPRPFKSVRLLDY >KN539327.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539327.1:56:1793:1 gene:KN539327.1_FG012 transcript:KN539327.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding VQTTSVTRLCRTKSIVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWHGIRQLLSGWADGPSYITQCPIQPGGSYVYRFTITGQRGTLWWHAHISWLRATVHGPMVILPPAGVGYPFPAPYEEVPIMFGEWWNNDTEAVISQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDALYVKPFTVETLIIAPGQTSNVLLTAKPTYPGASYYMLARPYTTTQGTFDNTTVAGVLEYENPCPTAAATKTVPVFSPTLPQINDTNAVSNFTAKLRSLATAEYPAAVPQQVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPATALLQSHFAGKSKGVYASNFPYYPLNPFNYTGTPPNNTNVMNGTKVLVLPFGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPVNDPAKFNLYDPVERNTVGVPAGGWGAIRFHADNPGMYSEPHRFPCNSMAHLHQLM >KN539327.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539327.1:66123:69610:1 gene:KN539327.1_FG013 transcript:KN539327.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDDFKKKVRRIVRKSQEML >KN539327.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539327.1:79675:85379:-1 gene:KN539327.1_FG014 transcript:KN539327.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTFFIPLYPIGVGPGEMWTMYQALPFVKERDLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRTMVSQLVKHERIETTVSKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRVPLDPWTKSLASKQWAAPKISQNSGAEGL >KN539327.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539327.1:31761:34163:-1 gene:KN539327.1_FG015 transcript:KN539327.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSELQGLHCQNQNYKGCTVKIRTTRVALSKSELQGLHCQFQKLQKIWKGCTVGTGQQNELIKVKNADSRRKSATKSKTGARIHQNMKEIHGFNAQAQIKRRFLGENLQQRGATIAPYSSSTSEDYSYNYTQGIMDGTQTTDCLKSATQEGANLILRQTWLIITSQ >KN542643.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542643.1:4704:5048:-1 gene:KN542643.1_FG001 transcript:KN542643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNALSTSSEASLLSKHMTYSITDASHGNVILPSSPAKPNSSRDMVRSSPKSGVPRYGNGTSNRAPSAVYTAQWPLPARDRDAVLQQSSAATTAFCRLPPADAILICNFLAN >KN542643.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542643.1:10232:10660:1 gene:KN542643.1_FG002 transcript:KN542643.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQQKMVSASAGGGRHTAGTSHDCCSTASLAVAGKGHCAVYTADGARFEVPLQYLGTAVFGELLTMSHEEFGFASKDGRITLTCDASVMEYVMCLLRRDASEEVERAFLCSMAMPCHNVGVLDHQLAVCT >KN542643.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542643.1:6765:9373:1 gene:KN542643.1_FG003 transcript:KN542643.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLPGVQVWYLPKNPSTGLNPGTSHVTSQYRGISEVSASQIQTRGLVPGSNPGFMTGTTQYRYQSHSTSHSKAPAARYRVIPSRVLVGERSTSALSSFGGAPRRRRGIERGGTRYLDTEPGSSWGRILLALHVGFWLVVAVALCAAAGEVSRAESGGVGGENQMVIYDKERLCTGSAPFHCWKVSYLFFMKK >KN538987.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538987.1:65940:76321:1 gene:KN538987.1_FG001 transcript:KN538987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILETIIGLTSYGEDDNDKDLSQKDLEEPAEWSSDDDILDIENMNVAFGHLVRLWLLASVNFAGSGSASGGGVCCHGVAAGEGGFCAGGERERRRRRRRADRENQIMLSEYIGLPCSAVEPQSSESLLTSEEDGDGATAETHVAGVRVVQSFSTDNSTADSAGTFRSDTPVSSVSTTESPAAAAVPATPQSNSSGNAVSSAEQKDKAASDAADAEVQSSASPAVNSPGAMSESAVDKPPVIRVNLEQEVKVDQKEIMDMYMKSMQQFTESLAKMKLPLDLDNGSSDKSAALYFDQKQLNSKASRRIYLSIKR >KN538987.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538987.1:132084:133379:1 gene:KN538987.1_FG002 transcript:KN538987.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCGTGSFKDVDGKAAAPEAKKKKQGGGGGGGKKENPYASRGLDKFSTVLSELESRREKILRQVGGGGAPGEGGGGGGGGTIISKELLDSGM >KN538987.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538987.1:25118:26507:1 gene:KN538987.1_FG003 transcript:KN538987.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MVERLHAEVRLLRSLHHEHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRDRHRHVSLKALKKWARQILLGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECDSVVQIYHSVTRGVPPAALKRIRDPELRAFIDRCIGQPRNRPSAAELLRDPFFAGIDDDDSTVTLG >KN538987.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538987.1:82126:90590:1 gene:KN538987.1_FG004 transcript:KN538987.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKLIINAIDASLRYAAKTMFMQMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQHMKSDCGGSMVLHMAGRSTMRTGSMGLEIILLMSPLMPWQRQMSALPTSDLNPSQQGPGGAAGAVASLVRWPHMCSTSSNFASGGGGMWSWNGIGSDAMLPQDSYTLVWWENSEVKLEVVRMRIRLTDLVKDQVSMKRELVRVSPVNRLLRSFPRTLNSLFRTHLAVEPGVQ >KN538987.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538987.1:106713:110956:-1 gene:KN538987.1_FG005 transcript:KN538987.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVSGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIPFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDVKPFLNGSGPLGAYAASFHNGLGFNNGNGILSGEQGFAIGGEERLSRSNAYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVERDGSIIGCAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYIEKAAMSLGLEKLFLLTTRTADWYATLSFSWSIIWVVSYA >KN538987.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538987.1:7232:12099:-1 gene:KN538987.1_FG006 transcript:KN538987.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGTLSMAAIMNKSANPDILPSPRDKTSGSTHEDGGSRDFEFKPHLNSSSQSAASAINDPKKHETSMKNESLNTAPSSDDMMIDNIPLCSRESTLAVNVSSVPSQPVGIVGLTDSSPAEVGTSELHQMNSSGNAMQESQPESVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCDVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLSAGAVPPSQGEERYDGVATTDDKSSNVLSNLGNAVHTAGQESPIYTTECVVYNCSNRKMESAAIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTPPMKPVVHPINSNMQGLGGMMRACEPRTFPNQYSQAAESDTISLDLGVGISPNHSDATNQLQSSVSDQMQYQMQPMGSVYSNMGLPAMAMPTMAGNAASSIYGSREEKPSEGFTFKATPMDHSANLCYSTAGNLVMGP >KN538987.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538987.1:135125:145613:-1 gene:KN538987.1_FG007 transcript:KN538987.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGRYCDGRLVIDFIAESLGLPYLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYNNKGGVYRELLPKAEYFSQALYTFDIGQNDITTGFFINMTSEQVIAYIPDLMERLTNIIQNVYGLGGRYFWIHNTGPIGCLPYAMVHRPDLAVVKDGAGCSVAYNEVAQLFNQRLKETVARLRKTHADAAFTYVDVYSAKYKLISDAKKLGMDDPMLTCCGYGGGRYNFDDRVGCGGKVKVNGTWVVAGKSCDDPLKRVSWDGVHFTEAANKFVFDQIAGGKLSDPPGSSSDSDGGGDAPCDFPAIFNFGDSNSDTGGLSALIAVVPPPFGRTYFGMPAGRFSDGRLTIDFMAQSLGIRYLSAYLDSVGSNFSQGANFATAAASIRPANGSIFVSGISPISLDVQTSQFEQFINRSQFVYSNIGGIYREILPKAEYFSRALYTFDIGQNDLTMGYFDNMSTEQVEAYVPDLMERFSAAIQKVYSLGGRYFWVHNTAPLGCLTYAVVLLPKLAAPRDDAGCSVAYNAAARFFNARLRETVDRLRAALPDAALTYVDVYSAKYRLISQAKQLGFGDPLLVCCGYGGGEYNFDRDIRCGGKVEVNGTSVLAGKSCEDPSRSVSWDGVHFTEASNRESCEEDSEKARHNSCPWCAIQVVCGDEDIIESLHAGHAHYFLGTWENKVMNCPSHFGELTPHTLFFGILLPIFRNLNISSDDEWGAKQDGTNKEIISGLNCKVWVQFENYDDFNGAMQALCGRSLEKLCFSIAYWQLSCTDVA >KN538987.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538987.1:154295:160404:1 gene:KN538987.1_FG008 transcript:KN538987.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G21470) UniProtKB/Swiss-Prot;Acc:Q9SJT1] MASSPASAASEEEAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDAQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKMFGDKNQDNDLNVRSNESGTSKSDVFERNADEDLDQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIRDTLPEEAIRQNGSSRDINNEQEEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLVFDKDDQLAVEFVTTAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLHGDYKKYRMTYCLEHPSRKMLLMPIEPFEPNKSCYVCSETPLLLEVNTKTTKLREVIEKIIKSKLGMNLPLVMIGSTLVFEDGEGLEEDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELSCSINIKHRDEFDEEKEPDGMVLSGWSAPVEKQVTSNGGNQSVASSSGADYADGIVEEISTKPGMKRKLDEVLESKENCDASSSAQVVEDDDDDDLVMLDENPKLAKKKRLQ >KN538987.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538987.1:172035:174636:-1 gene:KN538987.1_FG009 transcript:KN538987.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSAAVPARLKREDYPRTKHDSLFSPWKDHAAGKEGVQRYRVLNLPENFPGLYELGVARASDEGIRAARRWNGSGGGGVVVVYLGQADSVRARLQQYGRTGSHLDAGNPPPSAGEAETNTRATGNGLFREVFVRGYSLVFRCALMGNKQEAEKTEARLLRVFDYAWNKLQNGVLRREEILIKLEQGAVNNRSSLLSRVRHFKQEVFRERAGIKISRNGSVDVSSGIMKNMLPRIRTFVGFRPQLVNSGDNVDKEIGIRWKNTSEGNSYGKQARRSSEGYKVKRVNVIKRRTMPEQDSNDVCGVMLEDGSSCLDHPVQGRKRCELHKGRRLGRITVNPKGSSCSYSCQVEISVVESIPPLTENESESDQAQQTNELLSKLLPATVKESSRPRYSFEAKEMKTGEAPIENGKHDTSEVIDICEAKKSDNSACTNIVISGSKKCQLHNGCKAEEFVSSRVIDLLQNEEKVHSKLSGEEISHGKYQSQENQPSGRMWFELLKLQNSTSTLSSKGQGRQRRVTGNVTAICEALTDNRCRETIPMAGRERCDAHEGIKVTDASSVPFSGSSGWPSICGARASDGSPCKNQPIAGRKRCAMHKGQRACRTPSID >KN538987.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538987.1:103079:103415:1 gene:KN538987.1_FG010 transcript:KN538987.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPQQGYPPPYAQPPPQQQQHSSGPSFMEGW >KN538987.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538987.1:30783:45768:1 gene:KN538987.1_FG011 transcript:KN538987.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPENTKVSICHGNAIVRTQEKQGVAHNNDRLFCLFRALKAWAKETGSPATVSVRVGDRSFNLHKDPLVSRCGYLRQAILRCGDGEVVDLPASFPGGSEAFEVIGLYCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLVGMKEKYVSPVVLFYANKWVLSKKTHKFMASTDTGDGETDANRRATAILQGVIDLLPLESSAATGGAIPAACLILPWQEHPGLSGDEKASLCGHLECRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELLVPVSGGAAAATAYTPSPGGRRGGEDAMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFTDAGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYAMSRVHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFVNNSNGRQIGSFYNGSSNRLGSPIGYVGLNDDSGSLLSSMSRNVWGNGNLNYPNNPTNMSSFAPSGTGGQMGITSDGINWGGPTPGHGMGNISSLGLANLGRGAGDSFGLPSGSYGRSNATGTIGEPFSAPPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVDPDIKSNIPASYMGNYTVNNNQTSRGQ >KN538987.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538987.1:3776:5410:1 gene:KN538987.1_FG012 transcript:KN538987.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSNIPSQNLKQFQYSDNPQHPCHPYRAPSDTHVVPHHYGLKSHSPDAGYESQATPNKYTLDSSEGAGCMRHDSPSSQSFTTRSGSPLSQEDSHSDSTDGSPVGASCVTEDPNDLKQKLKDLEAVMLGPDSEIVNSLENSVANQLSLEPEKWVRMMGIPRGNLKELLIACARAVEEKNSFAIDMMIPELRKMVSVSGEPLERLGAYMVEGLVARLASSGNSIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIAGLCKVPFEFHPLAISGSKVEAAHLGVIPGEALAVNFTLELHHIPDESVSTANHRDRLLRMVKSLSPKVLTLVEMESNTNTAPFPQRFTETLDYYTAIFESIDLTLPRDDRERINMEQHCLAREIVNLIACEGEERAERYEPFGKWKARLTMAGFRPSPLSSLVNATIRTLLQSYSDNYKLAERDGALYLGWKSRPLVVSSAWH >KN538987.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538987.1:123186:127474:-1 gene:KN538987.1_FG013 transcript:KN538987.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRSLPSLGRALLSPSPAPARMLSAAASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKAKSYAENFKWRGPPKAEQA >KN538987.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538987.1:150092:150513:1 gene:KN538987.1_FG014 transcript:KN538987.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSPSTELPSSETIEIKLIEDLQEAISNCAGREITIVICGLTKLQDVAKIESGKVLELHVFGKLRRESKVSGLWELVGVDEAMAIAMEG >KN538987.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538987.1:57490:60078:1 gene:KN538987.1_FG015 transcript:KN538987.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPRRESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLIHLVGERGSGTSEKAMVVLASLAGIVEGRDAVVEAGGIPALVETIEDGPAREREFAVVALLQLCSECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGGCRVEPVAASSLAR >KN539097.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539097.1:70707:74777:1 gene:KN539097.1_FG001 transcript:KN539097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANERCRVALFVLIVCAFAYAAVYTSSPAVSVNPCAQTLTRALLAVTGLDPYVVSCAADDGVSTPLLSDGGHDKINAGRRIAGKLLGDPGFAVPFWSWDVPEGMRMPLQFANASSPLYDPMRNPWHAPPKLVDLDYAMDVVENNYTDDEQIKHNLWIMYKQMISSAPLASLFHGQPFRAGEASKPGAGTVELQPHNLMHVWVGDLLSYPNAEDMGAYYAAGRDPIFYTHHANIDRLWDVWRSIGKGEDFTDPDWLDSSFLFYDEEARLVRITVRDVLDMDKLRCTYHGVGLPRLDARPPTTPNVRYRVKNRVEKPVMFPVSLDNVVTAEVRRPLMLWRQPKGATQEEVLVVEHIQTDGVCKFDVFVNAREHKKIEPCGREMVGSFVCLRHHDTQNNVTRRGIQTTMRVALNDILEDLGAEQDESVTVTFVPRHGKVRIGGVRIEYNVGM >KN539097.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539097.1:132925:133464:-1 gene:KN539097.1_FG002 transcript:KN539097.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGKEGSSNGASAGGGGGWSDQCDVVARAFVEYYYQTFDTNRAALAALYGQTSMLSFEGHMVAGAEEIGRKLLGLPFEQCRHAVCTVDCQPTPSFPGGILVFVSGNLQLAGEEHQLRFSQFGNGLISCLCYDLIPDVSAGAQRAGKLLRAE >KN539097.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539097.1:54851:55171:1 gene:KN539097.1_FG003 transcript:KN539097.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKSQRAAASWADDGEWEDEEEQQQQQHLHEMAAVEKMERVEVKIRVTRRQLQELLEKAAGEGKGRPVEKVLAEMISSGKVCYEQEAAGWRPSLQSIPEADES >KN539097.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539097.1:7510:11580:-1 gene:KN539097.1_FG004 transcript:KN539097.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASKDESLSSIIYNYKHGFSGFAAMLTAEQAEQLAELPEVISVQRSRRYRTATTRSWDFLGLDYQKPSELLRRSNHGQEIIIGIIDTGNSATVLAAIDDAMHDGVDVLSLSLEVQENSFGALHAVQKGITVVYAAGNSGPVPQVVGNTAPWVITVAASKIDRSFPTVITLGDKTQIVGQSMYSEGKNSSGSTFKLLVDGGLCTDNDLNGTDIKGRVVLCTSLGIPPLMLFPVALKNVLDAGGSGLIFAQYTTDILDVTKNCNGTACVLVDLDTAQLISSYISGTSSPVAKIEPPRTVTGEGILAPKVAAFSSRGPSVDYPDIIKKECLGRSLIRLTTEVETLTQHRAADPGLIYDIDPTDYNKFFACTIKTSASCNATMLPRYHLNLPSIAVPDLRDPTTVSRTVRNVGEVNAVYHAEIQCPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNDNKSVRIPIAVRITIQDFYADVA >KN539097.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539097.1:104978:110973:-1 gene:KN539097.1_FG005 transcript:KN539097.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQQHNEGNESFVALMNGVAGDGTATLPNDGEQSMSIPARELFAAIEADSGLLPGNSSNTNEKRKRRLQRLTGKQSEVLEGFFSICGHPDDGQKRHLSETTGLGLDQVKFWFQNKRTQVKTMCWKEENYKLSVENEILRDENRRVKIAHCTAICLTCRNSSVQNQLAVEMERLMGQSEWLQQEIARSNGTPPAANLAFQLNSSADYVFSGQHDQQMIAELAKNAMHALIILAESHVALWFPVPGCSYEVLNKMAYDQAYPGDNSANAIGFKTEATRAVSMVMMDYKSVVDFLMDPYNYRTFFPEVISGAVTNRIYTWPTSDGYNGVIQLMTVEMMFPSPLVPARKCTFLRYCNVLNEGLVVVIDVSLDDGSIFSKCRKMPSGFLIQSIRPNSCKVTAIEHVLADDTGVHELYQPCMNGLVFGARRWVATMARQSARMRDVHHNKTAPQVSTKGRKNLMKLADDLLASFAGGIAATGGGTCTVVIGAGTEKDIRVAYRRTTEGSSSYNAILSVSASLRLPLPMRKTFDLLRNLTHRCKWDVLVHGSVVKEEVTIARGVGNDDTVTVLHCKVPHHKPSLPPPAISRAVLRLTPTMCGVDQRAGREDRGRTMILQNNGYDPSGSFMVYSQIDSELMNTMVLSPSDLPPGRGGPSLYPNGFSLLPDVEAAQDSSGIALGEVGGTLMTMGFQIPVKLASGDRMYSRSAASAIRLMTDTIALVKKTLMNEHSGIYGVSPFHP >KN539097.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539097.1:136945:141827:-1 gene:KN539097.1_FG006 transcript:KN539097.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKVACKHLVLVHGACIGGWSYFKVAARLRSAGYRVTAPDLGASGVDPRPLREFIEGKWLDWMDTEFKPQDAEGKLPTSMLFGPQIAQERLMQLCSPEDVTLAGSLLRVSSMFVEDLQKQQPFTEGRYGSVRKVYVVVNQDLAIPEGFQRWMIGNSPPVDEVKEIDAADHLVMLSRPDELARCLADIAESYA >KN539097.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539097.1:94:2021:-1 gene:KN539097.1_FG007 transcript:KN539097.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGPAPQVVQNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSLYSQGKNSSLSGFRRLVIGVGGRCTEDALNGTDVKGSIVLCASFTLNKPSILFQEALGNVVKGGGAGMIFVQYTVDIVSSTARCNGIACVIVDYYTVKQIGKYILSASSPIVKIDPARTVTGNEIMAPKVADFSSRGPSTDYPEIIK >KN539097.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539097.1:111525:114336:-1 gene:KN539097.1_FG008 transcript:KN539097.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVQRMQCWVVVACVVASVSTTASAFVFKAGGTGEWRVPDQQASGNVSAYNQWAEHTRFRVGDAIAFSYQPGNDSVLLVDKSSYDACNTNTPIDTFADGNTVFTFTQSGPYYFISGNKDNCNRNEKLIVVLLR >KN539097.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539097.1:87081:89028:-1 gene:KN539097.1_FG009 transcript:KN539097.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHRTDRTANNGFAKFGSWPPKSQLPPGKKNGSRPQVVARVAVEVSQQIRPAFPRGSARGAIGSSGVRGKEEASTSAADSEPDKKRWRKKRFWRKKKKKAKKDHYGDAAATEHGSERASCRRYENDAVADLVNDISSKSDVCNVYAAEGILRITHQNIPSMVLTYRQLCNATDSFSPNNLLGEGGFGRVYRGHLEEINEIVAVKQLDKDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDMDQRILVYECMRNGSLEDHLLDLPPKAKPLPWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKTSNILLDEDFNSKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLEIITGRRAIDTSRPTHEQVLVQWAAPLVKDKKRFVRLADPLLEEKFPLKGLYQALAIASMCLQEDASNRPMISDVVAALSFLAEQKYHPQDGPDQAARKSRDRDCSNPPRKTDMVSEIKADDEIKHR >KN539097.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539097.1:98513:103655:1 gene:KN539097.1_FG010 transcript:KN539097.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAASAAAAGESSSAGAMAVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTAVYEHMKIDIRMNLKDILAGARSKWWYCLSYCSVDHGVKVCSFYGHSLCCRFLIGTGKPFMDASGARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAESNRFVMICLFYRLFEGMCQKPDKLLPRIVAPFLQNARNVDIVKLVFDEACKQMVSEKSPSAAAAMRPDLAACNAVLGGCCRLLGSVTEAERVLEIMSAIAVSPDVDSFGCLAFLYAWRDIPSRVDELDKLLDALGFGKKIFFKNLISGYLKSCSFESVSSVILRVVEERRVGGSNAFDLESYTEVAQRFVDNGRIRELAQLIIKAQEIESLQQSLAVEDSVGFGIVNACVELGLLNKAHSILDEMTAQGASVGLGVYSSILKAYCKEQRTAEAAQLVSEISAAGLQLDAGSYDALIDASMTAHDFLSAFSLFKEMREARLPDLRTSYLTIMTGLTENNRPELMASFLDTVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRYEPNNQTYLSLINGYVSAEKYFSVLILWTEVRRKGADFNHELIDAFLYALVKGGFFDMAMQVIEKAQELKIFLDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >KN539097.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539097.1:146344:147213:1 gene:KN539097.1_FG011 transcript:KN539097.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGDSAPWIDCFHDHYGWSALRDLGDLSRLDTIIWKDIVAGLTHFRSVSKSIIGDGRSTSFWFDNWIGGGALFQLFPALFSHATRPNISVADALATPELLLHLRPRLSTVATHELANIQALMWSISSYAVPKWQQSGTPLTWTNNRSPPCRSLKVSGTSLHPDNPPLHESGALSCLPSCGIFGNDTTTRSSIPLTNLLR >KN539097.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539097.1:34866:39827:1 gene:KN539097.1_FG012 transcript:KN539097.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLASPPLGPPSPAHAIREPPLSSLSTVTGTLSATTIVAWVPGAAAVSPRLPSAPVRHSCWRDEIDAVKVAAGDSEGVEIEGYDYKGRELGPVHPGLKVAAYVGEMLEQQESASTMDAGSTQTNNIIAVIQMAEMVPMCRSAKSSHEYNNDCDNRQKLPAALRQLDLSSNSLSGKHPHSMAILKSLYTLHVQNNELTETLRCAMRFPPERLVITSSIHTDCVRKDSIRIGLPCRMLGNTE >KN539097.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539097.1:17569:20789:-1 gene:KN539097.1_FG013 transcript:KN539097.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHYCQQRLASVLLLCFWMLFIRAHGSRKLYITYLGDRKHAHTDDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLNYQNPSELLRRSNYGEDIIIGVVDTGIWPESRSFRDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDANGHGTHTASTAAGSVVEASVWGRGGAGSGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVVYAATNFGPAPQVVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYYEGNNSSGSSFRLLAYGGLCTKDDLNGTDVKGRIVLCISIEISPLTLFPLALKTVLGAGASGLIFAQYTTDLLGITTACNGTACVLVDLESANLIGSYISEASSPMAKIEPARTITGEGVLAPKVAAFSSRGPSVDYPDIIKPDIAAPGSNILAAMKDHYQLGTGTSMATPHVAGVVALLKALHPDWSPAAIKSAIVTTASVTDERGMPILAEGVPRKIADPFDYGGGNINPNRAADPGLIYDIDPSDYNKFFGCIIKTSVSCNATTLPGYHLNLPSIALPDLRNPTTVSRTVTNVGEVNAVYHAEIQCPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNDNKSVRIPIAVRITIQDFYADVA >KN539097.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539097.1:61044:61554:1 gene:KN539097.1_FG014 transcript:KN539097.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDFGSKYGGGTLHIKVRKRRTHTPDHSYIRTFFRVQLSDL >KN539097.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539097.1:84061:85490:1 gene:KN539097.1_FG015 transcript:KN539097.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPADDPRSFAAQASVHCAYCDGSYSPDGFPGLDLQVHNSWLFMPFHRCYLYFFERILGSLIGDPTFAIPFWNWDAPDGMSMPAMYTDQSSPLFDPRRNGRHVPPKLIDLDYNGREPRFTDNQQVDHNLRVMYRQMISLSPTPSLFFGSPYRAGDDPNQGPGPVENIPHSPVHIWCGDPEQPAGEDMGNFYSAGRDPLFYAHHANIDRMWPVWKGLDPRRHTDLTDPDWLDASFLFYDEDPKLVRIRVRDVLDMDRLRYRYQDAPTPWTSARPVVTTQRARSATSSLLTPTARAAGAKEAARFPVALDSPTRVTVKRPVSARRSRAESKLAKEEVLVIDGIQVDMDVAVKFDVFVNAGEDHAAVGPGGRELAGSFVNVPHRHKHDKRGRAIKTTLRLALNEQLEDLGAEGDDSVVVTLVPRQGKGKVKIGSVKIEIMD >KN539097.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539097.1:95914:97917:1 gene:KN539097.1_FG016 transcript:KN539097.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTDLISVLPGEVLQHILSFSRIRAIVRMRRLSRRWRRVIECLQFICLDCRDFKHWKVEKFARFVDNLLLIRSKVDLHTFQLYWFHYLPLNCNDLRKWILYAVKHNVKVLDVELDMYDKTALPSRIFTCRSVEELSLQMGKAPDEDLEHVGLVLPDIIQLPSLKKLTLSDVEVDQLSLNQFIGRSPNLEDLHLINSATYLDLIASKVLKRLTLDGFMHGPKRFTISAPHLVHFECQGCALQDVSWGEQPSLESAHIDTWGKKYDGESEFIGVLLSAKTLTLFGSDVKVMLEKELPACPVFERLTTLEIGNWCLTEDFYAVLRFLQLSPRLGELTLMQEELPHAARKGAETDAMPIDGMTFQCPLLETVIIQCSKGDDGIDKLVNVLAANGINPKKIQVTFYEDIEEMERAENRRIIEEQEKELCNFEKMAKKNPEWVDESRYADSNPETDSDEYDDDYDDF >KN539097.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539097.1:122964:123686:-1 gene:KN539097.1_FG017 transcript:KN539097.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRNICVRAALSGDGIILQDLQVENTAGAEKQQAVALRMSADRAVINRCRLDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAAVLQGCVLTARRPARAQKNAFQTFLGRPWKEYSRTVYMLSYLDSHVDPRGWLEWNGADFALKTLFYGEYQNQGPGAGTAGRVNWPGYHVITDQSVAMQFTVGQFIQGGNWLKATGVNYNEGL >KN539097.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539097.1:91833:93453:1 gene:KN539097.1_FG018 transcript:KN539097.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLRMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAIAMVEKDLLHPGLVGLVVVRDLLLVGGAVYKRASSLGWKWNSWSDFVNLDAIHREKVKPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITVLSWLVASTTIASTLFVKHLEQDTEGRGQTFE >KN539097.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539097.1:57836:58174:1 gene:KN539097.1_FG019 transcript:KN539097.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGASQRAAESWADGDEWEDEAAASSSSEDDGHRERMEHVAEVTIRITKRQLHELMERKGAGHGHGKISRRSTQQLLADIMNSGEVHHHDQHREAHWKPALQSIPEAVES >KN539097.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539097.1:24653:25414:1 gene:KN539097.1_FG020 transcript:KN539097.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQIAFI >KN539097.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539097.1:62857:67346:1 gene:KN539097.1_FG021 transcript:KN539097.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHNGTDGGLGGGTLHLETNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRCYTAVGFT >KN539097.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539097.1:119449:122180:1 gene:KN539097.1_FG022 transcript:KN539097.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDAVFRPLKDYFARTFGYVMSCGDYIDLLGHEMDELKSKRDDVKRLVDVAERRGMEATSQYNNDFLINSPDINVAINIEVGKEFSLDDIQKIIGDRLGVSWENRTPRERAGMLYRVLTKMNFVLLLDDLWEPLNFQMIGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGEHLMFSSMEIQEQAKALAMKCGGRALALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMDVLMPLKNSYDSLPSDKLRLCLLYCSLFPEEFSISKEWIIGYCIGEGFIDDLYTDMDEIYNKGHDLLGVLKIACLLEKGDDEDHISMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYERPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSIHELPSGISSLVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLDLIPGGVISSLKMLQVLYMDLSYGDWKVDESGNGVEFQELESLRRLKILDITIQSLEALERLSLSNRLAGSTRNLLIKTCASLTKVELPSSRLWKNMTGLKRVWIVSCSNLAEVIIDGSTKTDHMYTPPDVSLQSRGDLYFSDEQPILPNLQNIILQALHKVKIIYKSGCVQNITSLYIWYCHGLEELITLSDEETVANSSEQAARICRDITPFPNLKELYLHGLANFRALCSSTCLLRFPLLESLKIVECPKLKKLKLSAGNLNVVQCTREWWDALEWDDAEVKASYDPLFRPLH >KN539097.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539097.1:26160:27412:-1 gene:KN539097.1_FG023 transcript:KN539097.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEQSPIKTQRKVYFSQVQISELEGKIIGLYFAANWYPKCEAFTPALTAAYHRLKEHGAGFEVIFVSCDENRPSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPNGEVVQPDAVELVHRYGDRAFPFTSARVAELEADEQRKFASQTLEEIFSVNGKDYVNGGQEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYSNLKGKAEDFEIIYIPMDKEEDGYLRSCSDMPWLALPYDDGASSGALARYFDVREIPTLVVVGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEDAKGYPPSLRHTGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRCGRDMGGRAE >AMDW01034319.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034319.1:54:458:-1 gene:AMDW01034319.1_FG001 transcript:AMDW01034319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQK >AMDW01020970.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020970.1:111:257:-1 gene:AMDW01020970.1_FG001 transcript:AMDW01020970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CKDYFGKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVE >KN542803.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542803.1:2412:2951:-1 gene:KN542803.1_FG001 transcript:KN542803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAREWYKSRNNDKPFTLEYMWKDLKDQPKWRGVLEQSSKNKRNKISESGAYTSSSNQDTEEESVSKEKRPEGQKAAKQRQKGKCEPSPLGDKPSQNMILFHEAVTTRAAAILRSAEATLVSAEAKKEKARAKKDKAKAEKYKTYLKLMEKDTSNYSEAKLKRHEDVLDQLARELAEE >KN542803.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542803.1:7498:9374:1 gene:KN542803.1_FG002 transcript:KN542803.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYRFVTRGDDEEEEETLLQAHANLHVCKAVFLDITLEPGTIEPGCTVRVDPGEDMYKLLEMELSLMYDILYTKAAVIHTWHGLCVHLTSLLGTAAAFVLFQLSITISARNGGTSGFSAVDVAISYVLLAGALVLEAMSLCRALLSSWTCSLVHEKARSSSFYSGTPPAWLRWLRRALVGLRRPARSARRRLWRGSIGQYNLFHLCTRDRGELGSRMATKGLWRDCMTDEGNPVEISPRSWNPYRGLKELFHREGPNCSRIPQREKLAEKLFISYKDIQAFVQQGAARDPLLEPFRDSGDKCAVLLAKELLDLGRDEDEMLELIFGVWVEMMLYAADHCARDSHARQLSNGGEFITIVWLLVHHRMYIARYNKFINMLNGRHPGSNNPNV >KN538719.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538719.1:91844:97404:1 gene:KN538719.1_FG001 transcript:KN538719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEPTRVMVAVNESSIKGYPHPSISCRAAFDWMLSKLVRSNAAGFHLLFLHVQVPDEDGFDDMDSIYASPADFQRMKQRDKIRGLHLLEHFVNQCHQLEIKCEAWIKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHADCPVITIKRKADEAPQDPVDD >KN538719.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538719.1:65327:68915:1 gene:KN538719.1_FG002 transcript:KN538719.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGVVTEEAQNEVNSSQNKDNSSAPRSPVASKSMNSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEGEGSGNAANAVTAAENGTPVKALSSNSSKKSSKKAAKSQLESESSVGPNGKASTEEGEAEVSKPGSRVGRRRKASPNPHNGTENAGLNNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYDEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAAGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQKALDIHRENGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFSYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGEAYDSFKSAIAKLRTCGEKKTAFFGVALNQMGLACVQRYSINEAAELFEEARAVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEHVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVTKRDLVRGVIENRICFENLEMPNVLIDCCKVAKYDSLPLVLCSWPFTVLVEVKRCFHAIRS >KN538719.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538719.1:23418:23915:1 gene:KN538719.1_FG003 transcript:KN538719.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVVCCCCPCLVLQITVFLFVRLPKKVVVKSKRIILRRWHGRRSSSSAAAAKRGCSTVDPAAGVTKQLEELFDDDDLFQGAFGGGINGGGGDDEEGWWKERCFAVDDDDGGVWEALIEQEGLFWFGSFWGRTTEQVDRPDQFGDDEHAHPALRFPLVLERVCD >KN538719.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538719.1:162091:166939:-1 gene:KN538719.1_FG004 transcript:KN538719.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPRAWLLARGFRGFRSRRPLEAIRHHSLAPMPQINYQADIVSQRDQEIQYPWLVTFVFADATDVKEPICHFLFIENLEVHSTLNYLPITVLRKSNMRMSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSNSRGSANEDQRRRYHNFQ >KN538719.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538719.1:1437:15467:-1 gene:KN538719.1_FG005 transcript:KN538719.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHRGVVLVCSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVVSPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPNWNLGLCSFRFELLKSRMIALFVAGTSRVFLICFGVHYWYLGHCISYAFVASVLLAAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGPHGNATDSMYRSNSQSDGVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVAVQDPETAVVSADRHGDPTASLVVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKVMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRSGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVTVAVHRPKPIKVINATHEQFEFGFSILLLSPVVCSIMAFIWSLCAEEMTMTSRPRKYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGVIPIVAWFATYRFSPSSAICVGLFATVLVSFCGVSYWGVVNSRQDGVPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISRGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFIGAAISAITLVIAFSFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRHFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNALPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVDDWESEEANFELYDQEDVEWDGQYSSGRKRHARDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDQSLFVDPMNPSLKLQVVSEWMRPSDIAKEVSISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMARISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >KN538719.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538719.1:176018:178891:1 gene:KN538719.1_FG006 transcript:KN538719.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDLIRLLPSVMKQFKVLGLKIGSHSSWMENRNKEDMGPSQRNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEEAGKTILEMLRQSDVIEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILPPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYVSDSYHVRDMEEPKDSFSQFSWSADYQECLSFHNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEVSCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFRLIASFIDSELKTEALLTLHEL >KN538719.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538719.1:149675:154562:-1 gene:KN538719.1_FG007 transcript:KN538719.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRSRTTAAAAAAGNAGGRRGGFQRVRPREPGAGGQVAAEAQLVEIREAGKLFELMRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >KN538719.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538719.1:120637:124476:1 gene:KN538719.1_FG008 transcript:KN538719.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVHVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTAKWYKENGIELVLGTKVITADVRMKTLLTATGETISYKNLIIATGARALKLEEFGISGSDASNICYLRNLDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNRIKVTMVFPESHCMARLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDSTGKVTSVILKDGKHLPADMVVVGIGIRASTGLFEGQLLMEQGGIKLAEQRDMLLQPSWSLQKLRTSITCHSSTPGSSHYPGNSMGTIPEKWFTLETFTNSSPRFGAYWVDKSRIRGAFLEGGSREEYEAISNVVRRKAKVINIAELEKQGLMFAIQESQKDLPDGGLALGEKPTYVWHATAGVIAAASIAAFGYWYGRKRRRW >KN538719.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538719.1:49758:60308:-1 gene:KN538719.1_FG009 transcript:KN538719.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MLMDPSVMQVDCQLQNDLEKTTPIIYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHVNLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDEPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGKKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHSNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENIRMATTWKEFAREASCCRDYTDLGKMLVKLQNTTQAVIGCKILFTCGAKSAIMLMMPRPLKFLPSLTFLKLTMCLSATDEIIYGIMEMICTTRALLFDYSLVTLDVLLFLTLFKAWPTLLKTLSTQELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKVSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLTHVWGFGTDSSNQMHSENQDGSVMVLHEDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRSSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLHAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVVVCEDVSFGREKVPVVCVIDVDAKEFPDMKPGEILQSENSLPWQGFHYITKRLMDSSLVDSENTMVGCACSHAHCTPEECDHVNLFDSIYENLVDLHGLPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERLELYWNFSGAFHKLIRKLLDLREAKSGSSYLFEITSQIDRERVQTAGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGFFANQDILMGEELAYDYGQKLLPGDGCPCHCGAQNCRGRVY >KN538719.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538719.1:26360:29217:1 gene:KN538719.1_FG010 transcript:KN538719.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASVEEPAAAAVAAAETKRGPSGASFIREHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVAKALGNLKFPYVYPDPESRQLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKVPRLPDFSLDVAQIVKVVEQEKPKCIFLTSPNNPDGSIINDEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLQTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEEVKNLLLQERDRLYDLLKEIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPKHTDALMKGLKALQL >KN538719.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538719.1:73635:78343:-1 gene:KN538719.1_FG011 transcript:KN538719.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRRRNGLLRDQVQLVKRKDTNRYEIVRFPDPLSFEKGFFVMIRACQLLVQHNEGMIFVGVAGPSGAGKTVFTEKVLNFMPGVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKMSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPMYILKSPRTITPTDIKVALGEDHTESIEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRKSRVFSDGKATVKIDWLEQLNRNYIQVQGRDRNHVKFVAEKLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEALSRVSADKRNKHLKSGLSHSYSTHGDKNIVKLSKLTETNRRFGSGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNCKFAIKKSSTSQQNLALPNETCNGSAPTNLFVSHLGNGTLIPHSSSSNQLLKESPIVDEINAISRGQRQVIHQLDNLTSLLHEHLALTRQGNAVRRNGILEMDMSICPLIALTIGGFGYLVFKSLNRS >KN538719.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538719.1:97624:98190:-1 gene:KN538719.1_FG012 transcript:KN538719.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQPMGYYPTSNSIMHAQPATTSRGSFGPVFTVLAVITFLAVAACVVGRLCGRRLSKKRAASAEDQFYGINAVGGDLEKGFEIKYPVMKPMASSRAMIHDIDDGFEIKFTPGKPAAWKNDSKGDGKGHQQQHQQHQHQHHPQQHGMPQHHPQHGMPMLPGFRYPANVVRQGQIRGGTFISAKPST >KN538719.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538719.1:125470:130325:1 gene:KN538719.1_FG013 transcript:KN538719.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFEYVILGGGVAAGYAALEFVRRNGGASSQELCIISDEHFAPYERPALSKGYLLPQDAPRLPAFHTCVGSKDELLTEEWYNEHGIVLVLGTRVISADVRQKTLLTSSGETISYKTLIVATGARAVKLEEFGVSGSDARNVCYLRNVEDADKLVGVMRSCPGGNAVVVGGGYIGMECAAALVTNNIKVTMVFPVKHCMGRLFTPKIAEFYESYYASRGVTFVKEAAVTSMQISAGKVTAVNLGNGRRLPADMVVVGVGARANTGLFDGQLVMENGGIKVNGRMQASDASVYAVGDVAAFPVKLFGGDVRRLEHVDCARRTARHAVAAMLEGTGSVGDIDYLPFFYSRVFSLSWQFYGDNAGEAVHFGDLAPPGDGDGAAPKFGAYWLMICHGRTTCDACETAKEWTFVVQPAGVRAQKPLLKRLMRMAGLRPVDVEIEPGTTMHIWVPKHHVSKKTGTIRPVVEHGGVDGDGEKDGAAKRKKSAAESRPNVVLVHGFAAEGIVTWQFNFGVLVSRYNLYIPDLLFFGKSATASADRSPELQARCVAAALAPRGAARDLVRSLAVSGSVVAMTDAVNSATMTRLGATSSAELLMPETLKGLKQLLSISMYKKMWFPDRFYKDYLKAMFNNRKERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKIFDIELAKKMKEQLGDGCFLHGIPKAGHLLHVERPCAYNRQLQRFLSYVNSEEKEAAGGGAN >KN538719.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538719.1:145543:148110:1 gene:KN538719.1_FG014 transcript:KN538719.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEEDDEEEDEEEQGHHQYTTAAAAAAAQQLHPQVLGSSASSPSSLMDSAAFSRPLLPPNLSLVSPSAAAAAAAAPGGSYLHAAHHHGQGRRVEAPGGESQHQLQRHHEPARNGVLGGVAGAHAASTLALVGGGGGGPRGGEGAAGEAPTWRVNDDMYLSANTRLGLEPFNFAFDAVS >KN538719.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538719.1:71285:71785:-1 gene:KN538719.1_FG015 transcript:KN538719.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGDVAVAVPPSIAGASSSGAKKGKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGNIASS >KN538719.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538719.1:32127:32615:1 gene:KN538719.1_FG016 transcript:KN538719.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFVDEHLLTGLNLIVVLTFKKAMRSARQAEELIREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGEKGNSGDVKGTPEKVLTANAKSSGELSVKPALEKVLTANAKSSGELSVKPADVKPVLTVQ >KN538719.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538719.1:82874:88699:-1 gene:KN538719.1_FG017 transcript:KN538719.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase small chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27740) UniProtKB/Swiss-Prot;Acc:Q9LVW7] MAFSCFISLSSAHENYGVGVIIRLRTAISRGPASGKGHGLFFKEADPTRPERNATDTQPSIGPRTVGPRRDGGRFLGVHAAKAVSGVQSGTVVDDGVQRPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNRCFLAGLIIRNLSICTSNWRCTETLEEYLMKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLEMAKKWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKGQSTESFHVVAYDFGIKHNILRRLTSYGCKITVVPANWPASEVLNLKPDGVFFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPESLPEGVKVTHINLNDNSCAGLQYPKMKLLSLQYHPESSPGPHDSDLAFGEFIEMMKNNRL >KN538719.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538719.1:33099:35164:-1 gene:KN538719.1_FG018 transcript:KN538719.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRESSETLRNKCAACYRQYNRMEHLVEHMKVSFHSPHEPRCGVCAKHCRSLESLREHLIGPLPKVECARVFAARGCSICLNLFDSAAAVRYHRASTCQFTRAAPMPRGSYGGRAVAMACKMVGGGSDGSLDICARVCLIGEDENVIFQTYVKPTTTVTNYRYEMTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSSGRARILVGHGLEHELERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHTGIQDPYEDCVAAMRLYIRMRSQAHPRDYASGSGETQNNYPAWRQRELERMSPEELLALSGSDYYCWCLDF >KN542980.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542980.1:7893:11051:-1 gene:KN542980.1_FG001 transcript:KN542980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSEKALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPIQLM >KN541058.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541058.1:9356:13258:-1 gene:KN541058.1_FG001 transcript:KN541058.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLEGETKQKKPNPIGMPSKMAVSKLEGEMKSTYSEGLVCVKDEQQNENDKINLKIELQKFENILVILNINAHVYRLPYCLLSYLGDRLVVLQLGRWWNSDNSTYMEVEGLEKLNAIGNLKKLRYLGIRGLSKLTELPKNVNKLQQLEVLDVRGCQNLTHVMSSTVRNLRQLTHLDLTECYMLEHIGWEITSLSELQVFKGFVFGIDAPRRYVFQCRDRHVCHLQDLKAMKNLRKLSINVTTDANVDKNDMGQLKHLESLQSLTITWGELPSILTSAEREKEKKQLLERWTSLVLPSSLVKLDVRCYPSEEIPFEWFEQKGAIKPTKLKKLYVRGGAVKKLNLPKDNHIETLRLRYLKEFKMKWEEILGMMNNLHYVEVVYKDPKVMKSEKIKHQTDNVELQPHMIKEKEKKVKEEEEKCMAEIKKNMSIPDSTLDEHGVWEKDQKEADQKKAKEEEEKHMAEIKKNMGIPDSTLDEHRVSENDQKEVDQNKKGKGCEGDGDGSKESSNSQSKVHDGHDSKATINNNVTKVSSESDLTGKEGAQGPSGQ >KN541058.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541058.1:18881:24600:-1 gene:KN541058.1_FG002 transcript:KN541058.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRERQNNETKLELHEFDNIWVVLNINAHVYRLPESLLSYLGSHLVVLQLGRWWNFDDNTYIEVEGLEKLSAIGNLKNLRYLDIHGLSKLIELPKEVNQLQQLEVLDVHGCQNLTRVMSSAIKTLRRLTHLDLTECYMLEHIGQEITSLSELRCSRGLSLVLMQDVDKNEMAQLRHLNSLLSLTITLGELASILKFPERIEEKNEVLVWWTSLVLPPNLMKLDARCYPKKEIPYDLFQSKEHSKPTMLTKLYVRGGAVKMLKLPQEKNINILRLKYLNELKMQYNEMFHMMKNLRYVEIVIKQDKVMMSEKRKYRAEYVEPEPYMVREERKKAQEEEEKLMTNIKEIMGIPKSILDEHGVWESDQKEAAQNMKEKAQRVIETKARVHSSPTIFNWFL >AMDW01008937.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008937.1:1:214:1 gene:AMDW01008937.1_FG001 transcript:AMDW01008937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQ >KN540169.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540169.1:44136:57987:-1 gene:KN540169.1_FG001 transcript:KN540169.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPTAAARSSRRRSPSASSSGSASRTKKYILKIPKIHEQKSSSHLCIEVGKSGNVSSCKYGDSCRFSHDIDAYLAQKPADLEGMCPFTSLDQLCPYGLTCRFLGTHKDIHAASGNLSEKHEINALNKDIQKLLWKNKYKFPKASAQIKLLGLKEVIKSKPDAANDDKKVNHDNLDGNGDEKKEPLCNPPVNAECDSTLCEELDRSEGEPLIDNSITCVEPRPTKKSKVESDEIDKHGAGTLNTKVESEDPNLSNGLEVPSNNASSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPDQLHVVGNGDVFSFTDWNKHVSGCSKISTCMIARGALIKPWIFTEIKEQRHWDITSGERFNILKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMISDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDRAENG >KN541325.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541325.1:2545:5283:-1 gene:KN541325.1_FG001 transcript:KN541325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYHLMPLLAMLLILVLADHTSSTEAVAPAACLPDQAAALLQLKRSFNATIGDYSAAFRSWVAVAGADCCSWDGVRCGGAGGRVTSLDLSHRDLQAASGLDDALFSLTSLEYLDLSSNDFSKSKLPATGFEKFTELTHLDLSNTNFAGPVPAGIGRLTSLTYLDLSTTFFVEELDDEYSITYYYSDTMAQLSEPSLETLLVNLSNLEELRLGMVMVNVSSNGTARWCDAMARSSPKLRVISMPYCSLSGAICHSLSALRSLEVIELHYNHLSGPVPGFLAALPNLSVLQLANNMFEGVFPPIIFQHEKLTTINLTKNLGISGNLPCFSGDSSLQSLSVSNTNFSGTIPSSISNLRSLKELALGASGFSGVLPSSISQLKSLSLLEVSGLELAGSMPSWISNLTSLNVLKFFSCGLSGPIPASIGNLTKLTKLALYNCHFSGVIAPQILNLTHLQYLLLHSNNLVGTVELSSYSKMQNLSALNLSNNKLVVMDGENSSSVVSYPNIILLRLASCSISSFPNILQHLHEITFLDLSYNQIQGAIPQWAWKTLNLGFALFNLSHNKFMSIGSHPLLPVYIEFFDLSFNNIEGTIPIPKEGSVTLDYSNNRFSSLPLNFSTYLSNTVLFKASNNSISGNIPPSICDGIKSLQLIDLSNNNLTGLIPSCLMEDADALQVLSLKENHLTGELPDNFKEGCALSALDFSGNAIQGQLPRSLVACRNLEILDIGNNKISDSFPCWMSKLPQLLVLVLKSNRFSGQILDPSYTGGENNCQFMKLQFADISSNNLSGTLPEEWFKMLKSMIMVTSDNDMLMKEQHLYYRGKMQSYQFTAGISYKGSGLTISKTLRTLVLIDVSNNAFHGRIPRSIGELLLLRALNMSHNALTGPIPVQFANLKQLELLDLSSNELSGEIL >KN541325.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541325.1:10469:10912:1 gene:KN541325.1_FG002 transcript:KN541325.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPLSVAENTAIRIYTPPRQLLQDSASSTAPAALASYACRCHRRVTSKHSKRIAAKLALAGPSDTTLCVQHNLMHKLGLVPKKGPVLAEAVAAYNALFSRPLPPDHAMAVSSLFPSSLPPTHRLEYSGIPNPTLHWDRRFFFLSS >KN540169.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540169.1:16478:16921:-1 gene:KN540169.1_FG002 transcript:KN540169.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVIALILVVIAVECTNKMATGAAPGDNFAANLEDFKAALYGPAEECSANVDRCISVTKSHILKALKEVEDAAPPEKKLETQEATFEQAKIAVSTLDKAKATGIGNKVASVSFAYRMASDAVLVAAPADKFTVMQKNFKVATYPVA >KN540169.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540169.1:35027:37817:-1 gene:KN540169.1_FG003 transcript:KN540169.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGDYGAFRERFVLPPPPSQQLPLHGLTFAIKDIINGENTHYGTPMNPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRPSHGLVSAENVIPMAQMFDTVGWFARDLSTLSRVTKVLLPLPDDTVKQPTQVTVPMDCFQILGSLDDRTYQIINASVAKRFDSQIIDNRNLGDFISDNVPSIGKFIADFSESELPSVPALSVISHVMRGLQRSQFKANHAEWVNTVKPNLGPGLRERILEAIASGDNEPLEDFQAIRAEFKSALAALLKDHGILAIPTVPGPPPKVGMEAAPLENFRARAFSLLSIAGLSGFCQVSIPLGTRNGLPVSVSLVARHGADHFLLNVAEELYQTLIDEATKAWSS >KN540169.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540169.1:27369:30771:-1 gene:KN540169.1_FG004 transcript:KN540169.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >KN540169.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540169.1:58944:60552:-1 gene:KN540169.1_FG005 transcript:KN540169.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNQLPEAMREQMLASVQLRFRTEEQLQQEVLSKLPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVSKMKAKFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKYLESLKVQTKEVAFVSSHLCNENSTVLGRATEFVVDESKDATHKLLPCKEPKRVVIHEQLPNETGTTLYPSPGKLVLLPDSMQELMKLSEKKFGKAARGILTVEGAEVEDIEVIRDGDHLFFSL >KN540169.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540169.1:23437:25273:1 gene:KN540169.1_FG006 transcript:KN540169.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATETETVRASHILIKHEGSRRKASWKDPDGRVISATTRADAAARLADLRDQILSGRANFADLAARHSDCSSARRGGDLGTFGRRQMQKPFEDATFALKVGEMSDTVDTDSGVHIILRTA >KN540169.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540169.1:40446:42644:-1 gene:KN540169.1_FG007 transcript:KN540169.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMFDTVGWFARDLSTLSRVTKVLLPLPDDTVKHPTHVTIPMDCFQILGSPDDHTYQIVNASVAKKFGSHAIDNANLGDFVSDNVPSIGKFIADFSESELPSVPALSVISHVMFSLLRSQFKANHAEWVNSVKPNLGPGLRENIHGAIASGDDESLEEFLAVRAEFKSALAALLKDHGILAIPTVPGPPPMVGIQAAPLDSYQARAFSLLDIAVVSGFCQVSIPLGTRNGLPVSVSLVARHGADHFLLNVAEELYQTLIDEAAKAWAS >AMDW01016408.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016408.1:34:258:1 gene:AMDW01016408.1_FG001 transcript:AMDW01016408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPCHATDTASTSISPHHPHASVMYCLYTVKLTCPLARSSALISRDDAFAVLMSPFDGALSLSLGAEDGWRESG >AMDW01023329.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023329.1:26:308:-1 gene:AMDW01023329.1_FG001 transcript:AMDW01023329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPS >KN541695.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541695.1:1477:10060:1 gene:KN541695.1_FG001 transcript:KN541695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSWLIAAVQAQQPARTDPIEVAALEAILGRWNKTTSPLWSMSGEPCRGVPVDGSTDLDGNPKNNPGIKCDCSYINGTVCHITQLRVYALNVVGQIPAELQNLTYLTYLNLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGSLPKELGNLTNLNLLGISLTNFTGELPEELGNLSKLKYLGISLTNFSGQLPEELGNLTKLQRLYTDSAGLSGPFPSTLSRLKNLKLLRASDNNFTGTIPDFIGSLSNLKDLVLRNCKISGDLGAVDFSKFINLNFLFLGNNSLTGELPDGISPSLTNLDFSYNQLTGSFPSWVTQNNLQFNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLLKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCVPIQGYYGPLISALSITPNFTPTVRNGVPKKKSKAGAIVGIVIAASALGSAILFGVFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFANLNLSNLLSSGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTNNSLEESKIYLFEWAWSLYEKEQALGIVDPRLKEFSRDEVYRVIHVALVCTQGSPHQRPPMSKVVAMLTGDVEVAEFSVNRWEAVFTSKGKPWLPYMHAQQIYAILT >KN541695.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541695.1:17138:23795:1 gene:KN541695.1_FG002 transcript:KN541695.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVIVWILLSVCSWRISAAQAQQPPRTDPVEVAALEAILGRWGKTTSPVWSTSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNLTYLNYLYGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEDLAFQGNSFEGPIPESLSNLTKLITLRIGDIGSGSSSFAFVSSLTSLNILVLRNCRISGDLGAVDFSKFTKLAFLDLSFNNISGKVPQSILNLQMLTDLFLGNNSLTGGLPDGISPSLKNLDFSYNQLTGSFPSWATQNNLQFILPPGLNCLQKDTPCLRGSPEYYSFAVDCGSNRSIRGSDNTMYELDFTDLGSSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELLQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWQSLGRRVFDIYIQGDLKEKDFNIRKMAGGKSFTAVYKSYTATVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRIAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKISCKLVGLPSKYKCNGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQSLFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSMEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSSQKEIDPLTLSPTITGSSHDGRSNRVVS >KN541695.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541695.1:10588:11232:1 gene:KN541695.1_FG003 transcript:KN541695.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDSVNKIFAAASGIFNGGAAESHPQVVIDTPRHAQPAAGLLGLGKFRVEHLDGNEQCALNFYMVQFTPLKYLIESIL >KN540703.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540703.1:25085:29384:-1 gene:KN540703.1_FG001 transcript:KN540703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWYTSPEEADDRGGATFASDVYRLGVLLFEVRVLEINALLVLRMHCLSSAQLIADFMSTAVVSAVLYVRDDGGEDASNGEPTAPRLASTAAAQVAQGSIILSVVDAPCAGDPTEDELGFVLRTRQHLVKLWTVGEAFFFLFDSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAHQQSALGQCGNFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQEIVLSKSSRLMKNFKKLETAYFLTRSKLARQVGNPVSSCHQVMKRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLMNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWNMNQAILFLHLAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMSQARIIDSPLQTFTGHTNTKNFVGLSISDGYIATGSETNEEYFGSLTLVRKVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >KN540703.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540703.1:8691:9077:1 gene:KN540703.1_FG002 transcript:KN540703.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGEEVVVVADSMDDAAGEEVVVVADSMDDKAAEVVEVEQNKKVHSDHEFDEIKTEMWKLLLPWYFAKEECVTTGAGDNNDEVIEEERDLHKQKVHSDHEFDEIRTEMLKLLLPSYFPKKRNDSS >KN540703.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540703.1:20133:20862:1 gene:KN540703.1_FG003 transcript:KN540703.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHSAAVCSALLVLAAALLLPAHHAMAEDVVSSSDNTARAPASSPSAATATAPAPSPYTDTAMAPAPSSSNDTAVAPVAPPPLPFIIVEGVVYCKTCKSRGYSSDMDASPLPGATAQLVCYGKKVVNVTGTVTDANGYFLVMFYDLRNFNPRTCKVFLGSSPTSLCDKPVYPPNKWIGLSLLKETRTVPPVGLQAIYCPTSVLFYGPANAGQCPSG >KN540703.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540703.1:12757:15252:1 gene:KN540703.1_FG004 transcript:KN540703.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHFLSPTVPSVREFVERYEATTHTKVWADIRQERRAELEKVGNMCDLLEKQLRFMTVDDGEEYTVPSLEALEHNLEAAMRKVRSEKDRKIGGEICYLQNIIRGRQEERYGLCDKIAHAQTLKDAECGSTSLSNGLDLKLGFN >KN540703.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540703.1:34213:41943:-1 gene:KN540703.1_FG005 transcript:KN540703.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKEVLERPAIPRWPRLGVVMVATRAVALVMAVLSMALMISAKQRGSLKIFGIEIPLYANWSFSDSLEYLVGMSAVSAAYCLSQLLLIAYKAVKNAPVVQSRNYAWLLFTGDQIFAYAMMSAGSAAAAVANLNRTGIRHTALPNFCKPLPRFCDLSAASIACAFLSCIFLAATAVIDVIWLSNMSNVLPKLVQISLATRTISSTPANSKFQPPLFPLYSCPPLPPTPPHPPSRPLHRCSPLPPQMPPKVVAVAAGEAHTLALTGNGARFAPGANYRGIGGIRDLRAWLSWVLLGVRALQMKLWRLETILLISKSAPVMFFLDYLTDGQLGYGEENSLFPCLVERFQDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSSVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALCPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIHEDRAQASTSGMNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQECIVSLSSGRQTP >KN540703.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540703.1:10551:10987:-1 gene:KN540703.1_FG006 transcript:KN540703.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMEPQDDDDFADEMEYGDLNLDDGLDFNSEDLIDFNLEPHTEDANDDVILDAESDVNLEAEIEFNMEGGIDLNLEPPLEDVGGKYCTFF >KN540703.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540703.1:23706:24305:1 gene:KN540703.1_FG007 transcript:KN540703.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVGFLQLFCLSVMLVILTQLGGGSAMGLPRPPPNVNFTIGVEGAVWCKTCRYAGYVKSKDASPLPNAAALLRCRRGKWALSVWGATDARGYFLIQTGTQVAAFTSKDCRVYVPRSPSRAACGVALQPGRKTGSPLKFRRFVALPDGLQGRYSAGNFVFGPRDPKKC >KN539256.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539256.1:38058:39140:-1 gene:KN539256.1_FG001 transcript:KN539256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHKPSDALDRRLAPLLLLGVGHAAADDDDGDGISVYSLPRGELLHLPGGPGSAFVRDHRVWATPQGWLLMARRGSPESFLWDPFTGTRIGLSPDHDGTVLAVDHWRRRCLLSRRRPTDPGCVVLVVDLEDTVLWHCRPAPAGRGNGADEPPPEEDDQQWARHEYLQPGTPHHEHRDHVLWAIGRLTAVDGSKLLVDLVDHRLAVLELSSPDQPAVTVVAAEGVSLACSSNSTHLVESDGELYYVWFSHPILCRWIVARVSVYKLDYMAAKGSAEWVKVNSLGRGRSFFIGEDGIGGSFDAEEAGLKPNCIYYYWLMKNRAALYAHDMERGTTAMHNLYPDDLSYHLSPAITMMPTAR >KN539256.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539256.1:67195:67491:1 gene:KN539256.1_FG002 transcript:KN539256.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVCPINYSPTAFAMLDVSTPRAFDNAYFNNLRYNKGLLASDQILFTDRRSRPTVNLFAANSTAFFDAFVAAMAKLGRIGVKTGSDGEIRRVCTAVN >KN539256.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539256.1:7992:12114:1 gene:KN539256.1_FG003 transcript:KN539256.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTATATSLASSAAHRRLPSSRSAASSILRAPNRGRLCPGFPSEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNYLAHILTIKIPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKMSVLMINDLDAGVGRFALDFYGALRSRTYDRAILQLLKMYFFANTVEDVLWVEEIGGHEQLNEKLLKRKKGEELPTFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >KN539256.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539256.1:40920:42077:1 gene:KN539256.1_FG004 transcript:KN539256.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEADATAAAQGRRRDDRWSSLPFDMLVLVVDRLGWSNHPSFAMTCRHWRSAVSPFYPAWITPLLLSSADVGVANARYYSPYFHRSFEVDGRTLNVPPEANLCCSNGRRLTLCLPKLVLQTDLVTGAVDELPEMPFYWFNFIVYDDADRRMYCVNTIFVVRLARAIQDDDGEWGPWDLTEFNVEEGAQLEASPISNPVLHGGLLYVLGEDGKLAVYDPCNHDDNFKVVDKLKGFGIEHDRVDSYLFESDQGELMAVLVGYTGTPVHVLQLNEETIEWEKMESLDGRALFTGTYTTMMRKTKLKSMQDKVFLPRLYEWPKTIHVDLVIRDGEPAFVPKSHSQSSIEKITSNTSIWSYKVGQQEEARKVWGSEKVDYSIWVDFSTNLQ >KN539256.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539256.1:48278:51998:1 gene:KN539256.1_FG005 transcript:KN539256.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAAIASHLPSGVSAAGQGEQTRDRFVRAYERLKSELLNDRAFNFDFTEETRQWVAKMMDYNVPGGKLNRGLSVVDSYMLLRQGTEVDDEDFYLACVLGWCVEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLFNGARLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPKCVAEVKSVYRELDLQDIFLEYESRVYKHLVSTIDAETDRAIRDILKSFLKKIYRRKK >KN539256.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539256.1:5282:7409:-1 gene:KN539256.1_FG006 transcript:KN539256.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHAARKPNPSAAAPPDSKAKAGRSRPTKNSEPSSSYLAGIDLPPSDDDDDDELAGAATPRAASFAPVDVNAAAPPSQRKEVKTKKKEERRKHEAVAAAANLWDDPDSYGVALFEGASLRVAHGRRYGLVGPNGKGKTTLLKLLHWRKLPVPRGIRVTLVVQEDDNRDPRPPTLLLLDEPTNHLDLRAVLWLEEYLTAQCKSTLVVVSHEEGFLNAICDERVAVVGPNGAGKSTLLKLLAGELTPTSGEARRNPKLRIGLYSQHFCDALPEEKSPVQHLLDTHPHLESKPWEARAKLAKFGLAKESHLTAIGKLSGGQKARVALASVALGEPHVLLLDEPTNNLDMQSIDALADALDEFAGGVVIVSHDSRLVSRVCDDEERSALWVVQDGTVRPYDGTFAEYRDDLLDDIRKEMAAD >KN539256.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539256.1:73905:75290:-1 gene:KN539256.1_FG007 transcript:KN539256.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRENRNLLQYGTPYGNTPYESAAKLQAVSGMEQFRFHLLDEFDDAKKSCRKRLADHHHRRRKSKPSDGEHSEAGSSSKNAGIGDGFETQLLGGAHMSKDQDQAMDLGEVVKEAVDPKVFLVGEAG >KN539256.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539256.1:43144:46543:-1 gene:KN539256.1_FG008 transcript:KN539256.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGAYELLHLLFSPKLVVVAFRGTPALDVARWCADVDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHVKGKPQRVHAYYAIRDAVKRLLEANGRARVLVAGHGSGGALAVLFATVLAYHREKAALDRLAGVYTFGQPRVGDAMLAMFAERNLDRPRKRHFRITYGDDPLPRLPHESSAAYFLHFGIRLHFDSLYNLKVVKELPGDGSSSASAAEFATSRINAAWELARSAYLGYWRSAYCREGWLLLAARAAAVALPGLPFHRVQDYVNAVTLAGSNIPKDM >KN539256.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539256.1:23128:24405:-1 gene:KN539256.1_FG009 transcript:KN539256.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEAWPRAAPQQQQQPSAPPPQPQAQQQNGRIDLREVKLQLEKRLGPDRSRRYFSYLKGYLSNRLSKADFDKVCLQTLGRENLRVHNRLIRSVLYNAYHAKCPPPTPAPDVGRSVGATVKKVCQPGEALNSCNGDIRLLQMQGSRHMSTMQDHQSKDRMKSTGLSCRVDASANHSQITHGGVAVPENGTLSSSDLKRSVHFQHESAEPLAKHQRVEQSPTGNIIKLRRSMSNVSDHSAEASNSPVRAPLGIPFCSASVGGARKLPPPPISAGEDHCTSCCEHRELLNTEALHRRMEKTAESLGLAGVTLDCADLLNNGLDKYLKNLIRSSVELIGANVQSDARKGELYKQHAYGKHMNGVWLPNHVQMQSGSVPSGATNDIRNHHLISLDDFKVAMQLNPQQLGEDWPVLLEKICLCSPEEND >KN539256.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539256.1:2041:3513:1 gene:KN539256.1_FG010 transcript:KN539256.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding PLYQSSLATRLAAGVSSGPSPAAAAAFFAAYLATLRREWVHIDTHRLDKFYLLNRRFLHHAFLLLAANSFAADLTSQVVSILSEKVLLPEADNVAAGSPRGLGYHVAEVFLDELSPVLPVSLQTMEVLLSPFFAVLEKSSDRVMVAKVKSSVFERFLESGKQLLDMAKKGEGVEKGSPEEKIGKVGLLFGFSKRFSDIGAKAETVQANRKVLFGLRDAFVKVEKGLELSGVEIAVPEFKSTEVTGVENGMDLGEVKVEKKKKKKAKKASLVEGETEGAKDSKQEKKVKKEKKEKKKKKKVEVVDEGDVTEQSTDAPAEEDQQMGDGTEAVTFDETLMSNLQKQFEKAAAEAGMVNGGSSSSASPASGKAAKKRKRAKSADRLSVSDGDDVSSEGTIISQDGEKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVLPGPIKETPTPVKKTKPKAKSAKKVLKKPSSAAKRLRKLQNFSA >KN539256.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539256.1:54656:60782:1 gene:KN539256.1_FG011 transcript:KN539256.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDDSPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGCFKHVHYYLMTSWTIHIPGEIRFAGTGDLRFFNPSSHDTLILLISCNKTGHLVLPPIFLLQVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEITLQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKIWAIDLADCEFCPPQDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKNRIPHLVVADETTPLAGAAALTTPPSLAGVRSGRSPGQRGSSSVATANFTMNAAIHPPPQAKAREEKRIGDLLRSSDGGIWVVAQSFRQTEAVNENHKYFWLLVQAIAIKQRYCKLHASPRLFLRNEREKALIFLISQRYLAFVFQYNYGKKGDV >KN539256.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539256.1:102594:109196:-1 gene:KN539256.1_FG012 transcript:KN539256.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSEEGNRRIEMFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQTILTLCNCKEDVKRKVHAVIDKYAERGLRSLAVARQEVPEKSKESAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDASLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVIFFWAMHKTDFFTDKFGVRSIRNSEHEMMSALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVTAFMLAQLVATFLAVYANWGFARIKGIGWGWAGVIWLYSIVFYFPLDIFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >KN539256.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539256.1:27913:34161:-1 gene:KN539256.1_FG013 transcript:KN539256.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAGGAGEEGGAAAAAQPQQEGPVVTCKGVNGLDKVVLREVRGSSAEETINDGILPEEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSSKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFTFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGFKCPGGFNQLVLSGYLSRRHRIFGKANSEESCCAIIKCLLLELNLQSRDIWGALSTNLKQQGMVHVHGLPTLQSFPLQGIKIRG >KN539781.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539781.1:25697:35520:-1 gene:KN539781.1_FG001 transcript:KN539781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MSLLSRFFYKRPPDGLLEFIDRVYVFDSCFCTEVLPHGMYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLSFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQRPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKFGFPFVHQNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKKQKHESGPSTGWMIQISEHNFLLSSYSGGLKIELDHYPTSALLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >KN539781.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539781.1:72472:75646:1 gene:KN539781.1_FG002 transcript:KN539781.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRLVPWGRKAAAHSLSPWSGKGSEASLQRRHLPRTSAGGGDDDADGDCIHANFFHIRDNMDEHLLRSTNHAQSSLSDHHSCLLLCITSIILASDLDELRADEVMMVRTDYASGHNLFSIIIILMAVCTVETGLTRFIFHINGYMSKAFVVNFVGLAILMFDMAVIQAVILPLRY >KN539781.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539781.1:60018:70022:1 gene:KN539781.1_FG003 transcript:KN539781.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPIPTAGPSLFPQQEVTQSQGILNNNAFKHAPSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNHSSDIVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESTKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRPRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREPWTVLDGQGDVYSENVHLALPVDGMVMGRMVMGRCWYSQHQTIDYETKPLVTMGCKPQAWSISEFLLFGRMLVLSSIFASSLDAGFYGCHLRSQGLWCLLVNAVVTQVDARTDRTAMLNKALITSVPHVCIVCHCHLSVDAGDWWWCRRRDRRGCQPAGGGSGKKSGEATIMPVKSSASFRLTALPVVMVAQLLAAAVLTLTLVWVLHFRGGVSWSWQRSSTPQLVYTAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYALQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFIFPFHGYPSEAFVVNFTGLAILMFGVAVVVAVILPSRY >KN539333.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539333.1:94094:94402:1 gene:KN539333.1_FG001 transcript:KN539333.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQALKLTSWKAHGLPIGATTEEKAHVVEHLRRHMAPGVAILVRSTQGACNFLYPVEIWHNGFGVHHPEGEVIIINSVIILRKPPLVADRHDPLFPPSTGCR >KN539333.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539333.1:1803:2700:1 gene:KN539333.1_FG002 transcript:KN539333.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRWARSTGGEPRKSQRGSEQEQGALVAGDVVYYDVAPAAEQLPRRAPLPPPFLASLGRWATPPTPPSWRRALCSSCCLYAALFALNLATWFGDGVDGELVGAMRAVQQEFPPLPPPRPRLLHLPEGGGRRRRKLSDGEMVGLVSEYLGAAMGTVVAQLEWTLANLVRRPDIQTRLRGEVEAAEGGGDGVSLCLRRHPLLFGDESN >KN539333.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539333.1:62440:69257:-1 gene:KN539333.1_FG003 transcript:KN539333.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDGNHRMAISGQGGTWALGAVQWCRWRVTNLSVVGEIPLELQNFTYMLDLNLGYNYLTGAVPSFFGKFTFMKYLAFPFNALSGPLPKELGNLTNLLSLGISFNNYSGQLPEELGNMTNLQQMYIDSCGFSGPFPSTFSKLQNLKILRSSDNDFTGKIPDYLGIMPKLEDIRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLDLSFNNITGQIPQTILNMTNLEFLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTRGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSPEYYSFAVDCGNNRTTRGLDGTIYEPDAANLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWADFTPTVRNGVPKRRSKVGAIAGITIGALVLGVVSLFGIFLLVKKRRTIAQQQEGFFIWQGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCLKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVTKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLVESSPTITKASLVGR >KN539333.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539333.1:39212:47548:-1 gene:KN539333.1_FG004 transcript:KN539333.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRTCAAHQFRSDAFRRFKGEDGRFINTGIADEPRGLLSLYNAAHLLIHDEPELEEAISLARHHLELMRGGGGLKPPLADQINRALDLPLPRAYKRIETLHYMLEYGQEEGHNVDLLDLAKLEFNLLQHVHLKELRNFSYASREHRKGILSEMDLHIGSILGLLDRWWKNIYGYVQLSYARDRAVESYLWSYVVFYEKDLVLSRMIFAKIFALLVTMDDTYDDYATIEESRKLNEAIQREIGKTFMFLSVFTIWLITICIIALLILYLIFSEVPSARIRKNNLCIHTTVMAHEIEKANINGRYNLISPYQTNVLMLAKVISYKLPICLLDRWDESAISLLPEYMTKFYNTLLNNFKEFEAQVDVSVGQDDVVTREAFELATQHNSAVLACGKILRFTNDIAAFKSERKNKGDATSTVECYMNEHKVTGEEAIARIDSIIEDEWKTLNEVRCEHPQLLPAVQRVMNLAISVPFFYNKRSDAYTSSKYLHKIVECLFVTPIPI >KN539333.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539333.1:95963:105243:-1 gene:KN539333.1_FG005 transcript:KN539333.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDMILRNCRISGNLGLVDFSKFANLTYLFLGNNSLTGSLPDPISSSLKTFLFVEIFPTTSSVEDFLLGSTRTICNYYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSYTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVFGLAALFGIFFLVKKRRTMAQQRKGGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEMAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >KN539333.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539333.1:25176:32310:-1 gene:KN539333.1_FG006 transcript:KN539333.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAATMVALLFTPRIVSLSPSLPPVALLSTVARHGLLSGTGHRELGVGSAAATVAAAQAQQAPKTDPAEGKCPRLNFYAVAALNTILGRWGKKASSEWNISGEPCSGYAIDKTDWDYYPNINPFIKCDCTDSNNTVCHITKLRVTKLDVVGQIPTELQNLTHLVDLNFNYNYLTGAIPSFIGIFTSMKYLALAMNPLSGPLPKELGNLTNLVSLGISLDNFTGGLPEELGNLTKLRQLRASDNGFIGKIPDYLGSMTNLQDIAFQGNSFEGPIPQSLSNLTKLTSLILRNCKISGDLRAIDFSKFEKLILLFLGNNSLAGMLPDGISSSLKAIVYRDFSYNQLSGSFPSWANQNNLQFKTALRGSDNTIYEADSINLGAASYYVTDQTRWGVSSVGNYFQATDGNNIISSPQHFQNVVDSELFETARMSPSSLRYYGLGLENGNYTVVLQFAEFAFPDSQTWLSLGRRVFDIYVQGALKEKDFDIRKMAGGKSFGAVNRSYVATVSKNFLEIHLFWAGKGTSYIPSQGYYGPMISALSVTPNFTPTVRNGVPKRKSKAGAIAGISIGVIVLALATIFGLFMLAKKRKTIAQQKDELYNLVGRPDVFSYAQLKLATENFSSQNILGEGGFGPVYKGKLPDGRVIAVKQLSQSSHQGTNQFVTEVATISAVQHRNLVRLHGCFIDSKTPLLVYEYLENGSLDRAIFGIARGLTYLHEESSVRIVHRDIKASNILLDIDLTPKISDFGLAKLYDENQTHVSTGIAGTIGYLAPEYAMRGRLTEKADVFAFGVVMLETITGRPNTDNSLEESKIYLFEWVWGLYEKDQALIIVEPSLKDFDKDEVFRVICVALLCTQGSPHQRPPMSKVVAMLTGDVDVVKVVTKPSYITEWQLRGGGNCSYKGSTNPEFDRQKEITRDCLHGR >KN539333.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539333.1:73669:74448:-1 gene:KN539333.1_FG007 transcript:KN539333.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCSRMSGLVWVVLVCSWTWRIAAVQAPQPPKTDPLEAAALNTILGRWGKKASSEWNISGEPCSGLASDKSDWDNYPNINPFIKCDCTFSNNTLCHITRLCAVHYEFNEVPFVKSSFKCLIYIFDGSNICGQVSYS >KN538793.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538793.1:76971:79265:1 gene:KN538793.1_FG001 transcript:KN538793.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRETKPRKGDARRNRGEARALWASFPRRPVLSRRNRLAKSPIHPPKAARNRADSPPPATDFVLLRRIGVPPTSAPPFFHLDLAVLKSQSRAASPSPPTETEVPLPRSAPGSSVIVEVSVAVMKAAPAPIPAPAPAPVRADESVGKAGMMLYSHEEDIKLASAWLKCSTDPIEGVNRKGEAYWVNVAETYNETTPDERKRDPSYLKGHWHKITPKGIGTRSHQSGRNDEMLMDDALALYIKRLKKNKPFLYLHWWKVKLDVESMKEHQEKLGEQRVPFANLQLMATKEKKERKLIEQRNKAMDMFTQLLQVDTSKMERWAKDAHLKAVSLLSEQIWGVNDRD >KN538793.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538793.1:116860:117888:-1 gene:KN538793.1_FG002 transcript:KN538793.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTCS >KN538793.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538793.1:25671:27626:-1 gene:KN538793.1_FG003 transcript:KN538793.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRLSTGGGAVQRRRRRPASAATITCHRSSSSSSSARVVRTGAAAAPAAATAPAVPQTNECSLPTWAEFELGKAPVYWKTMNGLPPSAGEGLILFYNPAATKMTPNAQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRIRVPQHAMTLVFSFTNGVDWDGPYTLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDENVVITSCEMGSYYEEGGDRCKLDIVSGCMDPNSHMFDPLATVDDGSCPMDSDSEE >KN538793.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538793.1:87985:89091:1 gene:KN538793.1_FG004 transcript:KN538793.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGDDGKRGGKGGGDRGHRAPRESLELSFDAEHTDTALERYVPFVMATAEQLQRRERVLRIFMNEVRSWHGFNHHHPATFDTIAMEPDLKKSIVDDLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRVNAALQRLLISMPNKSFLVIEDIDCCFDANPREAHKITTAALDQAEDFDFSSSDSDDAVGAPPRARRAGDLQQQKLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDPALLRPGRMDVHVYMGYCGWDAFRTLAHNYFLVDDHPLFPEVRELLAGVEVTPAEVSEMLLRSEDADAALRGLVEFLRERTRRRARQEAAIDDNQLVAEKGNAA >KN538793.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538793.1:99560:109707:1 gene:KN538793.1_FG005 transcript:KN538793.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNTSPSSVARQKATEERRETRPSARDGKLMEWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSSSDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKVNSAFYCSVTCCLPTSCLLYCMKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNGHIGENFPADSQDGILTPKVHCLIRTLLQYRHMQDLRCIVFVQRVITSIVLEPLLSSIHQMSGWNVKHMAGSRPGLLSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLVIRFDPSATNQRGDVEAQTNAKKFLASGQIMREESLRLGSISCQPLENTLYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHAIGALTDYLLPELDVPCDEEPDIGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLVLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRVQCMLLSVKLKVQLGPTVQQFDVPVLKVDFLINSFLFMNYWST >KN538793.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538793.1:123659:126639:-1 gene:KN538793.1_FG006 transcript:KN538793.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIVKKEVGENHDVLRFGVNNSVKGDLAPQHPIQATVHKEAKFWEDKKRFGAEAIYGSAFNIRRDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSDSFHAPDMHHGMEVRLGLSKGPICPSFN >KN538793.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538793.1:21514:24868:1 gene:KN538793.1_FG007 transcript:KN538793.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAEEEPENNNEDGPDEVVGAEAEDKEQEKTQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >KN538793.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538793.1:164136:164885:1 gene:KN538793.1_FG008 transcript:KN538793.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKRRATFKKRLKGLMKKASELATLCSVDTCLMVYGEGEAQATVVWPSESEMMRVLERFKALPQLDKYKKMTDLEGFIQERIDKLQEQLDKVRRDADESETKLLLIEALEGHRPGLEGITIEQLTSLGWMVDARLNIVNDQLQKLHEQGLLPASVSLPTMGVLPYTTAGYTVAQEAPIQRGGWLMGVVRGIGSLGYSLFRGSGRNNTAGPSGDMVQPFNIGAGSSLANQGISFPPK >KN538793.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538793.1:138450:140609:-1 gene:KN538793.1_FG009 transcript:KN538793.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAASARAGAVAEKEAAAPLPPEKEVVALPPPVVVEEEEVKEVLSETAVPVSRPRPPPEPEKEVVKRKEEEEEEASESASVASATAEKAKAKGGGEGEQEVEQKAIGGMEKGRARRRTPEQRRPKEAAGNGRARLLTN >KN538793.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538793.1:96320:97572:1 gene:KN538793.1_FG010 transcript:KN538793.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACVGKLQIADSSLEIQKIARSIGRLKITHVRVLYTSDLKTPKSEDLIPSQAGSSFLSFIFFLILPVVDFENSYAWNSIYSPSYDQISKLTIIWGKINYVLVNKSASMVLRHIFLGTSCHGVYSVSLPRPLYSCSRDWLELKRGMQFDRVINPLR >KN538793.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538793.1:30577:35898:1 gene:KN538793.1_FG011 transcript:KN538793.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinosyltransferase XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/Swiss-Prot;Acc:Q8VXZ5] MVGARARGGAIVVTFGNHAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAVWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLLLSDDTLWDQNAFNDLIHKKFGYPVVGEDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVKSHFALVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVFEVHIMLKDLPKEEFGPHIDFREYSFLENPSLPKQVKESFLEVELCNEHSTRCSTTNRTNKGAPVLLARNSTEQTLLDIFKPYKDIKILQFSSMVNAFRGFSDALREIGHIYYDMYWDEKPAWKPLPPQTKEEDHPPWLEMSDKPWSIHLVKIML >KN538793.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538793.1:5876:16848:1 gene:KN538793.1_FG012 transcript:KN538793.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKGIVNVLNHIGAQKKGKQTQVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTILVTDELPNGQMVDQWESVVTDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIIFNCQMGRGRTTTGMVIATLIYLNRIGSSGIPRTSSIGKVFHSGNDVDDYMPSSEEAILRGEYSVIRSLVRVLEGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVCSAQQSNFSEEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPSLAKIVEYADGRPHEMDIVAAMRNGEVLGRQTVLKSDHCPGCHNLHLPERVEGAPNFREIPEFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYSGAIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKLLESEGLPIKYARVPITDGKAPKSSDFDTITLNVAAAHKDTAFVFNCQATKVEFNLLIFVDGQGKNNYRPIRLPACEYNHEDPNELGYSSGEETTDHNGHLNSSSPRPHTVTEQHPRFGIDDILVLRKITRLFDNGIECRQTLDNVIDKCSALQNIRQAVLQYTKVINQQHVEQRVKRVALNRGAEYLERYLKLVAFSAYLWSEAFDGFCGQGEAKMSFKAWIHQRPEIQSMKWSIRLRPGRFFTVNDESKASFQPSQGDVMMEAIVKARNGSVLGKGSILKMYFFPGQKRSSTINFCGAPQVFKVDGYPVYSMATPTVDGAKEVLSYLGSKDTGRSIPQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEVKQQGGRLLLHQEEFNSSTGQSSVVGFWEHIGTEDVMTPAEVYSVLRNQGYCIDYKRIPLTREREALASDVDAIQSSVDENARYYLFISHTGYGGVAYAMAITCLGLGADEKFIMEQTAETHFISTSLTKSVSIKTSADIALKQGDYRDILNLTRVLVHGPKCKEEVDTVIDRCVGAGHLREDIMHYRKALQDCSLDDDETWSYLMDMGTKALRRYFFLITFRSYLYCSSLREPTFASWMEARPELGHLCDNLKLDK >KN538793.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538793.1:111107:115237:1 gene:KN538793.1_FG013 transcript:KN538793.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVRSEVGLTWSAFMTVEAAVLCLASKNGGKNGSICLSLKRILSSACAGYIHAEEFNPKDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINLRKWAAWAVDEAVFLAIQVGINGDRSPATRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGETTYSATKSGPSKLVAKKLASKAVLKDLIAGHKDTEAAAVS >KN538793.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538793.1:167955:177219:1 gene:KN538793.1_FG014 transcript:KN538793.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGRWGHSDNPFEEVEIDQVNPFSHPRPTPLPHEPVAFYNDPGASVDPLDSKKGLKKKERELLVKEAELNKREQELKRREEALARAGVFIEPKNWPPFFPVIHVDISNDIPVHLQRVQYVAFASLLGLVICLFWNIICVSAIAIMWGDPRAWFLAAIYFITGCPGAYFSWYRPLYRAMRGIFQAINVIGYNGAVGILFFLGFAMFVLEALLSIWVMQNRYGEGRCSRKISAMKLAKSCNMDEILRISGRGREKPRKGKREEEEREEEKGGARSRYDNPFEEGGGDEVNPFAYFCFDIRRNAVYKIKKRDYKQIILQAPIAIILLIYLAQCSSIRIKLLKEDQQQSRPSAPPATHLSPLPPEPADFYNDFSTPVDIPMDTSKDMKTREKELLAKEAELNRREKDMKTREKELLAKEAELNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGNTVIVGRVYLYFRGSGKEAEMKREAARSAARAAF >KN538793.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538793.1:130769:133390:1 gene:KN538793.1_FG015 transcript:KN538793.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAFVPPLPQYVPPEEPSAFARLYDVVDRAKAALVTITGGPTTVHGVVDVISSGHGQRARRAVTEIITTAPASDAAPAAPQTGSPSFLNSVAVKVAAGVVGDQPPLPFVFMQQQQQQHPTAPQTSGGTLSDAGSERRPHSISIDGGSLSGRLQGGTEVAIKKLKTESKQGDREFRAEVDIITRMHHRNLVSLVGYCVSGNDRLLVYEFVPNKTLDTHLHGDKGPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHDFEPKVADFGLAKYQLGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVGWAKPLLSEATEEGNFDILVDPDIGDDYDENIMMRMIECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRTTYAEDTYSSIMESGESIGPRSRRAPGSQGNTSSDYSSDRPSSYRQSQPKPR >KN538793.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538793.1:70272:72992:-1 gene:KN538793.1_FG016 transcript:KN538793.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKKEVGDGLTTSFWNDVWVDKGPLKILVPDLYAVNSQQNATFRDIYQEGAWELSFRRNLNEEGTAQLTELLSKIQGVVLNGGHYKVVVYMIISLLQRWRLIQRRKEGVRLEQLIKDLMTKLQQLRPSGRLPDDLDVGPLKILVPDLYAVNSQQNATFRDIYQEGAWELSFRRNLNEEGTAQLTELLSKIQGVVLNGGHYKVVVYMIISLLQRWRLIQRRKEGVRLEQLIKDLMTKLQQLRPSGRLPDDLDVGPLKILVPDLYAVNSQQNATFRDIYQEGAWELSFRRNLNEEGTAQLTELLSKIQGVVLNGGHYKVVVYMIISLLQRWRLIQRRKEGVRLEQLIKDLMTKLQQLRPSGRLPDDLDVG >AMDW01035985.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035985.1:32:506:-1 gene:AMDW01035985.1_FG001 transcript:AMDW01035985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPVSAALSALSLLVQFNGWLSFFLLLSYKLPLRPETQMTYYEYTGLWHIYGLLAMNAWFWRAIYHSCDTVWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDK >AMDW01038397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038397.1:12:707:-1 gene:AMDW01038397.1_FG001 transcript:AMDW01038397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDE >AMDW01036462.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036462.1:285:611:1 gene:AMDW01036462.1_FG001 transcript:AMDW01036462.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLATTSTAQAQTTATDIVNIHNAARSAVGVPALSWDDNLAAYAQGYANQRAGDCALRHSDRNNYQYGENLSWNPSVQAWTAASSVDQWVAEKGSYDYASNSCVGGA >KN541564.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541564.1:6673:14939:-1 gene:KN541564.1_FG001 transcript:KN541564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVCFAVFVLIEAARGFLSIDCGLEASSSGYNNLHGIFYVPDGSYVDAGEIHRVAADQEGTVQRPHQTVRSFPSGERNCYALPTVLGAKYLVRVVAFYGNYDGKDSSSTLQFDLYLGVDRWTTVHADGNQIRADPTWKNISTVLPIEQAYDFVVPLPVMQSAVETSSNNTTLNILALQDKYLPKFVVYLYFADFQNSQHRQFNVTSDYPPCQYSPRYLATQTVMTDGWYKAPNGECTITLTPTAESKLPPMLNAYEIYTLISHDNPRTFPRDFDIIMAIKFEYRIKKNWNGDPCFPTKFTWDGVKCSNAGGNAGRIIYFYDGDMCNNTKNSSASRNMAAILAPSIVVPVIAIAGLAYFIMRQRRKPRISTDNRSREPELENPPASRQNHGDALQRVDSRQFTYEELEKFTNKFERSIGQGGFGLVYYGRLDDGSEVAVKMRSESSSHGLDEFLAEVQCLTKVHHRNLVSLVGYCWEKDHLALVYEYMARGSLCDHLRGNHGVGETLDWRTRVQVVVEAAQGLDYLHKGCSPSIIHRDVKTQNILLSENLQAKIADFGLSRTYLSDTRTHISVTPAGSVGYMDPEYFYTGRLTESSDVYSFGVVLLETATGESAILPGLGHIVQRVKRKIDAGNIDLVADARLRGAYNISSMWKVVDVALLCTADVGNQRPTMAAVVMQLKESLALEEARAYSGFQGSVGTRSDTTISASTFGPSVR >KN542910.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542910.1:8773:10206:-1 gene:KN542910.1_FG001 transcript:KN542910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEIFHPSHQEHALTLTKSKEPWQCDACKQPGFGELKIMSAPIVSYPADDFCNHELGDFLFLPGVVLMNATTRMLDN >KN541564.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541564.1:25991:26482:-1 gene:KN541564.1_FG002 transcript:KN541564.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDARAWKNISTMSPIKLVSNYDVPLPVIQTAIEAVSTNTTIIFGWLDQGPRKQYEYRVYLHFADFQNSQLRQFSASFNTLKSQEGSPPYLAPFVLSNNGWYKSENGAYNITLNATAASKLPPMINAVEIYSRISHVNPKTFPRDCKHSLSHFFFYSIPCLV >KN541564.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541564.1:19607:22991:-1 gene:KN541564.1_FG003 transcript:KN541564.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKFEYGIKKNWMGDPCFPIETGWDGVKCSNASGNTTRIIALDLSNSNLRGQISNNFTLLSKLEYLNLSGNQLSGPIPDALCKNNKGSFNFSLDSNRNVCNPPLPPTKKGKRVIIIAISVVVSVMTFGALALVYLIWRRKTKSNVSSADLPREPDLEITPASRKDNGDAIQKVENRRFTYMELEKLTNKFEQFIGQGGFGLVYYGRLEDGTEVAVKMRSESSSHGLEEFLAEVQSLTKVHHRNLVSLVGYCREKDHLALVYEYMARGSLYDHLRGNNDVRETLNWRTRLRVVVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSDTQTHISVTPAGSAGYMDPEYYHTGRLTESSDVYSFGVVLLEIVTGESPILPGQGHIIQLVKKKIAAGNISLVADARLGGAYDVSSMWKVVDTALSCTSDIGAQRPMMAAVVVQLKESLALEEAHSDSGFRGSISTQDEDVAIPMFCCVGDGSICSTWFDKLLIMENTHIAYGL >KN540274.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540274.1:12225:15726:-1 gene:KN540274.1_FG001 transcript:KN540274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGVITAFSPNVWVYAALRFVSGFGRSMVGTSAMVLSTELVGKWWRNTVSVAGFVLFSVGFMSLPALAYTLREASWRTMYVWTSLPSLCYAVLLYFLVQESPRWLLVRGRKLEAIEALRQIASLNGGEGVTTSSFSMLDACAVEVGDGVAGGDGMFSSLRLIWERRFNRRSSVVALTTASGLCSLACVVIPDEEAGTGGLRLAAELASFFASCAAYDVMLMYSIELFPTSE >KN538786.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538786.1:57713:57978:1 gene:KN538786.1_FG009 transcript:KN538786.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGEDNVFHHECTCIENIRMPAVPYIEDADAAVLQDHVEDHDDALPPDLSGQIVDEQE >KN540274.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540274.1:52903:55573:1 gene:KN540274.1_FG002 transcript:KN540274.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKSKKGGGGGGVAAMDTSEGAPAASTAVGAPEPMDTSEGKQTSSASVAFTSINKKIKKGVQIKRSQNVRKMKAVARAISKNEKAEEKVLKAKSKKSRVQSAKSLYD >KN541920.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541920.1:3860:13175:1 gene:KN541920.1_FG001 transcript:KN541920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQLLSEIKCALRGHNFFLSEWAANHTKFIALRPIQAGLLFDQAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAVVFVSWYMLESMGGGLVEIERIAVNLLVGNRIFVSTVTMNNCLFLLSIVIKAVWRDKALHTRLNAIAVWRRCHMRKPSEEKRKEIGAKRSSGWSSNDASGEVINLLKFKKTLMRRAAIDLSSLIVVDGKICWDLYIDGLVVSSDGNLLDALASAIKVALSDTGIPKVNVSLSATTDEEPEVDVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQICGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSEILAAEAAG >KN540274.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540274.1:35870:44263:-1 gene:KN540274.1_FG003 transcript:KN540274.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAAKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSARRPSLPSGVKLENGAAKGAVADTVMAGVKLENGAAEGAVADMVMADKKPGVEMKICVQEAKEENVVKTRIFGAKRKFSNGEVLEESPYADNIHKERKEMMVSKELPSVSPRTKTNGKPVFTDTMDYVLQKKLRVWCSSPDATWKLGQIQSVSGDDVEILLVNGEVLTLSPDRLLPANPDILDGVDNLIHLSYLNEPSVLYDLQSRYSRDLIYTKAGPVLVAVNPLKEVALYGKDFISQYRKKLNDDPHVYAIADLAFNEMRRDGVNQSIIISGESGAGKTETAKIAMQYLATLGDARGMESEVLQTNAILEALGNAKTSRNDNSSRFGKLTEIHFSETGKLSEKLFLKEADYYNYLKQSACLRIDGVDDAKRFSMLVDALDIIQISKEDQMKLFSMLAAVLWLGNISFSVIDNENHVEIVSNEGLATAAKLLGCSAPQLMNALTTRKIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWVVEQINHSLGTGREHTWRSISILDIYGFESFIKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLEDGIDWANVEFVDNADCLTLFEKKPLGLLSLLDEESTFPKATDFSFANKLKQHLRGNSAFRGEQEGAFKICHYAGEVTYDTTGFLEKNRDPLNSELIQLLSSCKSELPKYFASVMVADSQNKSTLSWHSAVDSQKHSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNSKQRPMLFEHDLVSHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGCLLLHSIASQDPLSISVAVLQQFNIPPEMYQVGYTKLFLRTGQVAALENAKNRMLHGALRIQKNFRGLCTRQEYQGLKKGAMTLQSFIRGEKARVHFDHLVKRWKASVLIQKYARRRIAATMFIDQLKYVVLLQSVMRGCLARKKYKCLKEEKDSKASRSKVIHVRNNVSQARMYHETNGDYPRQPVITELQGRVSKAEAALRDKEEENEMLKQQLDQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTAEDVASRAARTDAAPMHAHYDSEDTSTGTHTPEGTEFKYQNHNSEARVAAPNSDRRINAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGEEKSRKRWWGKKSSK >KN541217.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541217.1:129:26120:-1 gene:KN541217.1_FG001 transcript:KN541217.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRLRLPLPLARFLSIDCGYTTSSDYVDKNTTLPYVSDEGYVEGGKNYSILAQYKRSATNKQEETLRSFPDGQRNCYTLPTSTGKKYLIRATFTYGNYDGNKSSEKGLPFIFELHIGVNFWTKVNLTNWNPEDTVWKEVITVAPDANMSVCLINLGSGTPFISTLDLRILEDAMYPFLSPSVSISYLTRTRFGLVDDFITRYPDDPIDRFWEAAQRYEFPWLNVSTNQSVRRLPGNDYFLVPELILQKASTIRSNFSEFYVNVSIINNLDFMSLDLLPIFHIAEIGDNSLTRTFDIYSDESLLFSSYTPPLLGVDSMYQRGRFLRKKGTGFTLRKTPSSELPPLINAFEVYSLVHTDSFTTSSDDVDYMKEVKKYYSLTRNWNGDPCSPREYSWQGLACNYGNKKPSIIRVNLSASGLIGALHISLMKMPSLENLDLSHNNLTGTIPDYQLNSLRVLNLSNNQLDGPILGSILQRVKAELRTITNNFQSIIGKGGFGTVYHGLLENGEEVAVKVLRETSIALSKDFLPEVQTLSKVHHKNLVTFVGYCQNKKCLALVYDFMPRGNLQEVLRGGLEYLHESCTPPIVHRDVKTANILLDENLVATIADFGLSRSYTPAHTHISTVAAGTVGYLDPEYHVTFQLTVKADVYSFGIVLLEIITGQPSVLVDPEPVHLPNWVRQKIAEGSIHDAVDSRLRHQYDATSVQSVIDLAMSCVENTSIDRPSMTDIVIKLKECLPAGFVSIDCGLTNVTGYDDSITNLTYVSDRGFVEGGKTYDIMAQYMNDATNDQEKTLRSFPDGPRNCYTLPSSPGKKYLIRTTFSYGNYDRLNSSQIGSLFLFGLHIGVNFWTTVNLTNQGPTDTIWKELITIAQDTNISVCLINMGSGTPFISTLDLRELDNAMFKFMNLSFSLSYFSRQRFGSVADSDFITRYPTDRFDRFWEAAKRYNFPFVNMSTNQDVKMLPGNDDFQVPTPILQKASTISRNYSWLNISVTTTDNIDYRSLELLPIFHFAEIDGNSTNRTFDIYNDENLMFSNYTTHRFLVDSTYNNSQFLRKKGAFFTLRKTPNSELPPLINAYEVYSLVRMDNLTTSSDD >AMDW01040607.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040607.1:33:1616:1 gene:AMDW01040607.1_FG001 transcript:AMDW01040607.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAEDATSDAYIDNLEHPKKTLTNCSTNCSSFNGMTDLTVVVVERCATTVIAFVELIGIEDNGHTTCIGTSNPSKVMPTRCSTVVLNTNDDTVQALDVPPFIIGAWEVITVLAEPSQVMVLRPSITLSLEGKMHTRHSLKCPGLVGHANKKPNSRGWQLRTAVGPVFNCCWPRVCRLPPWPPPTEVSYLALACHDIGVLSTELMDIILHWGELKPWPPPSQVKDKVSWMQQWDLCLSLGNIELTRVGDGWKEKELQYCILELVKDSDRSAQGISFSELVGSPDVKMVEFLLGLVGTSDRNHAESITSFVKERMEGKLEGENDVSDMQLSFFSNLIIWMNGMDRSIYYPWFDPVLSSLPSRVCYDWLIYLRATLEICPKKMMLQRRIEDRDITWQYRQGLYGKRDSWFCDDLRDQEPYIEGDQIHPSIQENPALVLNSESYIDFGKDIVNKRKYVLQVAEFFEFIERLK >KN538786.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538786.1:126047:128050:-1 gene:KN538786.1_FG010 transcript:KN538786.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLYFALNLLICFALPSLCTSEDRFLYSGFSGANLIVDGAARVRPNGLLEVTNGTVNLYGHAFHPAPLHFRRSPNGTVQSFSVSFVFGISSVYRETSVDGMAFFIAPSKNLSGVLANQFLGLLNSNNDGNSSNHIFAVELDTFQNTELKDINDNHVGIDINSVHSMKSQPAGFYDDTNIFRNLSLNSGEAMQIWVDYKEETTQINVTMAPLKMAKPVKPLVCATYNLSEVFMDPAYIGFSSSTGPISTQYIVLGWSFCMGCPAPVINVNKLPKLPHSGRKFPSRVLEIILPIATAALILSVGISITLFIRRRLRYTELHEDWEEEFRLHRFSYKDLFHATQGFKNEHLLGAGGFGKVYRGVLPTSKLLVAVKKVSHESRQGMKEFITEIDMPTLGWSQRFHIIKGVACGLLYLHEKWEKVVIHRDIKASNVLLDSGMNGRLGDFGLARLYDHGSDPQTTHVVGTMGYLAPELVRMGKASPLTDVYAFGSFLLEVVCGQKPIKEDAQGNRFMLVNWVLQHWHNGTLVETVDKRLQVEDGLDEVCLVLKLAILCLHPSPTSRPKMQQVMQYLDNEMQLPDLEPTHLSFNELRLMENKGISTSVMSYPPLTTTSIGTISGLSGGR >KN540044.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540044.1:47:4315:-1 gene:KN540044.1_FG001 transcript:KN540044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQQQASQHVNLIKTCPSCGHRAQYEQSQLQAAAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARLDARKLHPLIDEFIPTIEGENGICYTHPERLPGTGCSFYGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTGGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGGSTATAKDLSVDLVAGNSIKASNAAAEHHHNDGGHGGNNSSILKEAAGIVDFYNPAAALIGYSQAAPNNRAAASAHLTMPNFEVHTGGAGFGP >KN538786.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538786.1:32190:36742:-1 gene:KN538786.1_FG011 transcript:KN538786.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLSTVLSMLGPKLYTFLQENHELRRNLEHDIRYIRNELRMIGAVIDEHERGQMNHGGPVRGAWIHGARELAYDMEDCIDRFMHRMTSGHRLATMAVRTKFATVIQKLRKKSEDLSKLRANYTTVGNGNGDCQASTSGTMSSFETTHVPTGDTVPVGMDGPRDEILELIMETQSQPNQLKVISLVGFGGLGKTLLAKQIYENTTICTQFEPQAWVSAAGKSARDVLKEILCQLGFQSQAQEDDHRDVSKLITSLKKCLHSKRFFIVIDDIQREYWNSTIKDAFPVDTGSSSIVLVTTAIHSIANACSSGNGHVYMMSTLDKNHSRKLFLREASWGDYPPGSETVVSTKCDGLPLALVSTAQFLKSKGQQLPQEYAKLCGNLGMHLEREDTLERMKHVLVHNYTSLPGHVIKACLLYFGIFPSGHPVRRGKLIRRCTRRGRMNSDIDLSHVRSLTIFGEAEDSVLEFSSYELLRVLDLEECENLKDEHLKKICKLLLLRYLSLGGTVTMLPKEITKLKFLETLDVRRTKIKVLPIQVIKLPCLTHLFGNFKLQDVGQKISKLQKYLSEKSKLETLAGFFANNDQGFPQLIDHMKNLAKVKIWCESETPSNSSSNIVHLSKAIQVFIQRGTNMNDVRSLSLNFGGCFQDQENMLKFSLENSCYLSSLKLKGKINILPPFVTLLKGLTELCLSSSDKLNSDVLAALSNVRCLHYLKLITPNLEKFVIGQGPLKSLRRLCIMVQTMTEPEIQQGALPNLESFRLLCQDLNGLCGINIQYIGPECLKEVVLDKRISEETKRKWKETVKNHPRRPKVSFVNTGEVGQMQNMEVAQPTEDAAALALAAGSLRPVPVFRRPKADQQRTLDLCSMGQTSRESCSNTEPYFKTNGTSPGKLNPCE >KN540274.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540274.1:34178:35048:1 gene:KN540274.1_FG004 transcript:KN540274.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIMAPTSRVLAAKTPFLGHPRPSNAPLRDVAAAATGRITMTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSDGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGYRINGLPGVGDGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLADPVANNAWVYATKFTPGS >KN538786.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538786.1:87725:88221:-1 gene:KN538786.1_FG012 transcript:KN538786.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPPLLLLLVSLLAATAAAFLAPQALAQHQPQVGGNATAAAGEGPGWRPRLRKTYVEGGGAGMVPRRRRLVGRFQICAVCTCCGGPHGVCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >KN538786.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538786.1:105764:110099:-1 gene:KN538786.1_FG013 transcript:KN538786.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVAAACMHRSGISAADGLVTNGSSLTCGGDEAKGGTATTTTVATCGLRSSGSATGRLQNGGSTASKRGSEKAEERTLRLHCPRKGSIGRRHRTSVLSPWVFLLPVKKGMCRCRTASPPAICSLLAVAEVIAVRTKEAKPSHPSLQTSGTTLWPDEERFGDSGTTQPRWERGAWPSGDGTEHLQGRQWSARISSADRTRTRALREAFLALYLVTFKKRQKFCIREKLFRRLIIQEPSEKLRKSILSRPSHNGNPSYSHILQFIVCHGQLMDDW >KN538786.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538786.1:24656:26071:1 gene:KN538786.1_FG014 transcript:KN538786.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARVGAAMIFLILAFLSEVSASMSQQQQQQCGGASSAGTRCAVALPSSGEESSILSNFSSSIKSSGGGFAVKTILSIGTDEFREDVSNAAFSRMASEKNLRRAFINSSIELARANGFDGLDLAWRFPATQLDMENLGALLAEWRAEIMEDSTNRSSEPLLLTATVYFSNHLFDMADTNLNYPIDDMSSSLDWVNIITFGLHKNSNVTTADAPLYDKDSHFSASYGVISWLDAGLPPCKLVMGIPLFGRSWFLRNKDKNGLGAPTAAAGTKQRKSNQIGIIAYAEIEEYLKSQSVLVTHDNQSVADYFYSGDLWVSFDSAVVVQEKVEFVAKSQLLGYFLSTISFDDSNYTLSKQASQSWNQYHVSSYAQGSFGIMQEGAIIQDLHASGSPSSWYSKTLSYLLLSIILVLEVL >AMDW01059548.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01059548.1:314:750:1 gene:AMDW01059548.1_FG001 transcript:AMDW01059548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKYELISVITSNAIIDRSGYGHVNFVAKGDLPDSVDEFFFAEVRWDIDSYVPVCMVSLEGKEKVDGYRDIEVDYPRGGFLGVPVDKKHCYACGDGLKHPEDGALYESGHIASGSYYD >KN540044.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540044.1:41960:44787:1 gene:KN540044.1_FG002 transcript:KN540044.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHVDDMAGIGMEEVEMEMEDDGEGMELELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAAYFCKQATGVNFAMAQNNLEKLMMPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >KN538786.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538786.1:95107:95732:1 gene:KN538786.1_FG016 transcript:KN538786.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGITAAGAGAGESIGSVVAAGAEHRGGRHHAGEHLADRAEGAGADDVGVLPGERGLDVATGDGRRAADGFAVKINEKALIQI >KN538786.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538786.1:38600:49270:1 gene:KN538786.1_FG017 transcript:KN538786.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAAMGSLLSRLADLLSLEENQLQEGVRNRVRQFSRGLKNMDTTLRLVANVPRDQPDEQVRLWAHNVRILSYDLEDVLDTVLISLCVGSDPEQADLEMLMRLVAKMNDQLFNGSVEYSQMFSDAVAKQKLQDVPTKHGTGTDVGHPTHDPYTKAMSTKLVGIDGPRDEVIEMLSMGDQSKLKIVSVFGFGGLGKTTLVKVIYDKLEPGFQCGAFVTVSRINPNMNRVFRDILYYLDKKKFTNSYTLMLDEKQLINELQEFLQNKRYFIVIDGLWDINSWNKIRSALPDDNCGSKMVTTSCISNVARDVGDVYNLQPLSHGNSKKLLSTRLYVDESKCLESTSAEASERFLEKCGGVPLGVIAIAGLLASNPEDNWSEMYNSFCLGKGINDDVENIRRILSFCYYHLPSHLKTCLLYISIFREDYEINKCLVIWKWIAEGFIHGDQQIGLFELAEGYFVELINRSMIQPVEAQGTGHVIGCRVHDMVLDLVRSLSSEENFVTVLSEDGDEQQKFPLTNANRLSLQSRVVEKRHPQLANVGMEQVRSFVAILSDIHVVSPSFQVLRVLALEDCKFIEGYTSNGLEHLGKLLHLRYLGLTRTRGFHRLPEEIGQDLKFLQTLDLYETDLEEMPFTVGLLTQLLCLRVDVGTRVPAGLIGNLTSLQELWIYPAMKDFSMGFATAMQFVKDLGKLSELRVLKTRIHGWDQSMEIALVESLHNFHKIQLLELHGESYLGKGVTWETGFVSSQHLRYLSLACMQLTRLPAWMNSSLLPNLSYLVVNVQFWQEQDMETLGRMPELCSLELQSCNIRVVNIKHTCGDIGYFQKLRSLISYAILIRFDLYKLSSSSVRIDEPTTMPTLEYLQFMVHVRFLKDANLGFDKLVSENLPSLQRVKAIINCSDARLTEVEEAEEALTDAVNVHPNHPTLKLMRYNEHRMVSSDQAQQQVYAITPINSTSLDVTQFGGKNRVIQAISSSLGTMGCLITKLDMLLDQGCKLPKGVKNRILLLKGDLEEVGTYLEDLSKVDDPHLMAKCWMKEVRELSYDIEDYTYNIEDKIKLARHVHLNTKTRFVCRINHLKISGVPRRLKWHQQIGSMISEFRIYVQEAIERYERYDLHSCTYRQRYASVSYVLPTPYEQTADLVIDGRTSEFIKWLANDGDPKLKMVSIVGCGGIGKTTLAKLFYNKFGGRFDCRAFIQVPQKPNMKRLFCDIISQVQQNNPYEDCKELELIDNIRRHLQDKRYLIIIDNLSAASVWDILNQAFPECTQRSRIITTTRIISVALNCCLHRSEYIFEMKPLGDDCSRKLFFKGLFGSERDCPHRFKEASNKIVQICGGLPLAIIIIASLLASQPVVSMRLWIHICNSLRPDLWTDSTSDGMKQVLNLCYNNLPHYLKNCMLYLNKYPEGYKISKDALVKAWVAEGFINVTKDLGMEKVAARYFDELIGRRFIQPIEINYNDEVSSCTVHDLVRDLIAQKSADENFIVIIDGYRKNVGLIDKVRRLSVQFFYSKYTKVPSNIKRSQVRSLTFFGLLRCMPSITDFKLIRVLNLQLVGHLGENTLDLTGISVLFQLKYLKIVCDICIELPNQMRGLQLLETMDMKTKLTAVPWDVFHLPGLLHLYLLLEPNLLDWIGQMKSTITLDASSNSSQGNLNNLQDICLSCCALPSEHLQRNMETLGSLLGAVSNLKTLSIVSSSYQNVDMVSRTSDATVAWDFFSPPRFLQRFEWLLHDCIFSKVPEWIGELDNLCILNIAVRELVKNGIDILRGLPALTSLSLNVHTTSIEKVIFDKGGFSVLKYLEFRCSAPWLKFESDALPNLRKLKLDFNSLFENIHGTAPISIEHLSCLEEISAKIRGGGNVEFPLTSAISNHPGNPRINFQLVDGVFYGDEDKEHATPTMGLEGRCWETVSGDSDGVGRCPQPNHVLENVLLESILQFLTTARDRNMASLVCRYWYHAEAETRQELFIRNCYAVSPNRVIERFRGLHSITLKGRPCFADSTLVPKGWGAYASPWVAALGPAYPHLKCIFLKRMTVSDNDLRLIAQSFPRLRELSLMSCDKFSATGLAIIAEQCRHLHVLDLINDKVEDTVDEQVDWISMFPKPSTSLESLLFSCVDTPCNFESLEALVARSPGLCQLGVNRHVTVEQLCCLMAIAPNLTHLGTGVFRSKTGYPAGEAPPSVSELATYFAACRSLHSLSGLQDANPDYLPAIYPVCANLTSLNISSATLTGQQLAPIIRSCGNLRTFCARDTIGDDGLSAIAETCLDLQNLRVYRLFRGSEHHLSVSDVGLETISKGCRKLKTLTYYCGSMTNAAMVIMSSNCPNLEVFRLSILKTYLPDRITGEPMDEGFGAIVMNCKKLSRLSTSGLVTDKAFAYIGQYGKSIKTLSVAFSGNTDMSLRYVFEGCTRLQKLEVRECPFGDEGLLSGLSHFWNMRFLWMSSCRVTMTGCRYVAQQMPNLVAEVISGHSGNEDVTADNVDHLYLYRSLAGPRDDAPSFVKIL >KN540044.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540044.1:22630:39004:1 gene:KN540044.1_FG003 transcript:KN540044.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMAPPPVLPPPALPLSSGELLIGASSPYDEPPLPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMLPPPPVASMQLTPSELRVIHSVESQLGEPLRDDGPVLGIDFDPLPPGSFGAPIVPEQQKQHVRSYDTKIYSRHDSKLLKASAFFPSVEHPFVPNSIAGKRKSMVGNLPPVHPHAGSRAVHEYQFLPEQPSDRYEGASRSHEGASRSHYYDTPVEASNSRMSSHTPGSHLLRGSDEAAPGYAFQGQMSGSGHLPQSGRREVLLAVPTDYEMIQSNSDLNSVPVEGQYGISQVAGIENSLLPSERRAYHDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMKRKREEQMRKEMERHDRERRKEEERLLRERQREQERFLREQRREHERMEKFMQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELVEDERLELMELAAQSKGLPSMLSLDSDTLQQLDSFRGMLTPFPPEPVRLKEPFSIKPWTVSEDNVGNLLMMDKQIDLFDTLASLHQVYRRDLHDLLYLYLSTSLQMVWKFSITFADVLGLSSVTLDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALAVNPAGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNAEDVYYRDDNEGHDGQDVISTLRNGSAAVHAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEVVLSSAREKIRAFQNVISDSEAEKEADDAERDEDSECDDADDDPDGDDVNIDVGDGKDPLIGVKEQDGVPITTIVDNTKREKEKVDALTQSSDLTTSGKEAPKPSLGKPSSANTSSVSPVRASSEYHEVAPTDAEDKEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALVGVANEGNFIRAVLEERLESANALKKQMLAEAQLDKRRSKEEFAGRVQYNSNMNLKADVNQENATESTPTPFHNVDKHNDGNAGVVDNNNNEIIDHNSNAANASYERNGLGQDITATPDTLSVQQYAYADKTRSQLRAYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFECRDGYWRVLDTEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKKSAVVEQSAGRYLKNGATEMIRASYRSDFGSPSSNLSGVTSDSATAYSDSFKIELGRNDVEKTAISKRADVFIRWMWRGCNDCKLTCAMEYGKKRCSELMHSCNYCYQIYLAEERHCSSCHKNFKSIHNFSDHASQCEDKRRTDHNWKMQTADHSVPIGVRLLKLQLSTIEASIPPEAIQPFWTDGYRKSWGVKLHSTTSLEEIFQMLTLLEAAIKRDHLSSEFETTSELLNLNTQDNPSQNHVGLSGSAAVLPWVPDTTAAIALRMLDLDSAVSYMQNQKMERNGGDFMKPPSRFVAVKNAQELDPLETTGLDLFDGRWATGSGRRGRGRGSRGGSRGGRGRSRGGRVPRGINIASRIGFKDENEASRKNTRRGRTRGRGRGRGRRTVRSRQPSEGKGRSIPKENLLGSFSMLSNAKAATVEESPRSSGADEWGLENRRPYIDGDENSSGSQLDQSEDNEENGQPMDEEYDEQVPDYSRGYSGGSRPHGMIDDDTRTRGTGLLGIRLEKPTATRIMRSHVMEEDFACKGSWSSVDRKINEEMCWEERQPVPCDVGYIDWSF >KN538786.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538786.1:6144:10986:1 gene:KN538786.1_FG018 transcript:KN538786.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYLVVDIVQYGLIKNLTVPVEALLAGLRPDVVLFDFATPWVADVARQLGARAAHFSVFTAVTSAYLTVPARRRLHHGAASCPTVDDLATAPVGFPPSSSLATVPTYQAADFTYMFTSFHGMPSAYDRVAACDKASDVLVFKTCAEMEGPYIEYIATQYNKPILVTGPLVPEPPHGELEERWATWLSSFPDNAVVFASFGSETFLPTAAATELLLGLEATGRPFVAVLNFPKNMDAEAELKKCMTPGFEERVKGRGVVHSGWVQQQHILRHRSVGCYVNHAVQRYEKTMKSLCAAASLQLSRSGQLGTEMYRALHETMALMIFVGQGSRIV >KN541217.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541217.1:30156:32068:-1 gene:KN541217.1_FG002 transcript:KN541217.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDSSLNWEERLHIALDAAQVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIARGSIHDVVDKKLLDQYDATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKVCLPISSERQSATSTPRKKNVMDAEIPRQFQLMISGASTTSYEGSSFQSGYTGGVSEISHISGR >KN538786.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538786.1:119678:121684:-1 gene:KN538786.1_FG019 transcript:KN538786.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKTLSLLSLLFFLGLNQQAHVTGDDNFVYSGFADSKLILNGAAMVMPNGLLDLTNGSVRLKGHAIYPTPMRFRGLSNRTVQSFSASFIFGIVSPHPSNGFTFFISPGKNFSDALPTQYFGLLNDQNNGMETNHIFAIELDTIQNSEFQDINDNHIGIDINSLHSVQADSACYYNDRHGLLKNLTLVSGDPMQVWVDYDRVATLINVTMAPLNFAKPSRALISTNYNLSTVLTELAYVGFSSAAGKANARHYILGWSFATNGPAPAIDIRKLPKMPRIGSKDWSKVIEIVLPIATAAFILTVGGTIFVLTTRYLRYTELREDWEAEFGPHRFSYKDLLHATEGFKNKHLLGSGGFGRVYKGLLPRSSLEIAVKRVSRDSKQGIKEFITEVVSIGHLQHRNLVPLLGYCRRNNELLLVYEFMPNGSLDKYLFNEDGKPTLSWAQRVGIIKDIASSLLYLHHECEKVIIHRDIKASNVLLDNEMNGRLGDFGLARLYDHGVNSQTTHVVGTIGYLAPELASTGKPTPLTDVFSFGIFILEVVCGHRPIMKNEENHTMLVDWVLEHWQNGSLTDTVDTNLSGNYDNVEACLVLKLGLLCSHPFMHARPSMRQVMQYLNGDIPQPDDQLMPTQMSFEMLSLMQNDGFDHYIMSFPSLTTSYEPPVLPGER >KN538786.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538786.1:55447:56649:-1 gene:KN538786.1_FG020 transcript:KN538786.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHHASATSASAASGDPMDSTASYQGGLGHKSKTKIKKGKDKGSSTVPAVYDVNANIEEEYRLFLENVRVHENEDFVLEYDGKVIRYGGEEMDDDDSCIEVPMKEKEEVLKALVISSDDESPTSLRRVYESDSSRQKVEMVVDDQEKMNEKNEAAVRLKGKGGPIEDVEKLLKPSHQGAVICPQATPTATCRTGAVAGTNDVVVAAAVNEKTLTFRKKTRDFEYDMKVFGFFI >KN540044.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540044.1:10738:13627:-1 gene:KN540044.1_FG004 transcript:KN540044.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGFAPTTATYNVLVKAHCSDAAVPIDDAVRVFRNIPKPDACSYNTVIDGLCRRGRLPEARDLFAEMIANGITPTVVTYTTLIHWLAREACFDDALKLFDEMARRGIMPNVVTYSSLIDGLCKGGRAASAVELLDRMVKERKLPNTITYSSVIDGLCKEGCLGQAMEILDRMRLQGRKPDAGLFGRALEASNYFDEMNFAGIRPNRLTWSLHGRINDAVVTALCSKGEVVRAFQVYQSMRTRGISTKPTTFHLLVECLSKKNNLEKAAHVVRDMLSERCIPERETWDTILRAVGSVQEYNHEKKSTAKISSIEIHATGPCAAVAKVGARNWKTGTVSEGRNLMFLCLGDCFLHGLMLLVRSNIFNKRGFPLILPAIPCCGDGGVEQT >KN540044.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540044.1:47515:52272:-1 gene:KN540044.1_FG005 transcript:KN540044.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALELHRILEGYIDTSTGRPANPAVHGENAFLTRVVTPIYGVIRAEVESSRNGTAPHSAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYMQAAAIVAWESEGLPWRSLGNRNTQVRVLTIFITWAALRFLQALLDIGTQLRRAFRDGRMLAVRMVLKAIVAAGWVVAFAILYKEAWNNRNSNSQIMRFLYAAAVFMIPEILAIVLFIVPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSVFWVLLLAVKFAFSYFLQIRPLVKPTQEIYKLKKIDYAWHEFFGKSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNERSFLPNRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIITKFREEDIVGDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVKGPDRKLWRKICKNDYRRCAVIEVYDSAKYLLLKIIKDDTEDHGIVTQLFREFDESMSMEKFTVEYKMSVLPNVHAKLVAILSLLLKPEKDITKIVNALQTLYDVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEFFVERMKREGMSNIKELYSEKQRLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLRTGSRELATMGSSRIGSSRREVGSDGSYYSRTSSSRALSRASSSIYGQQKAKNDPHAFEILELMKNYEALRVAYVDEKNSNGGETEYFSVLVKYDQQLQREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEAFISSNTNSTNNAALGAVLNQQFVIQLGIFTALPMIIENSLEHGFLTAVWDFIKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTLYASYGSSSGNTLVYILLTISSWFLVLSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWEKWWEEETDHLRTTGLFGSILEIILDLRFFFFQYAIVYRLHIAGTSKSILVYLLSWACVLLAFVALVTVAYFRDKYSAKKHIRYRLVQAIIVGATVAAIVLLLEFTKFQFIDTFTSLLAFLPTGWGIISIALVFKPYLRRSEMVWRSVVTLARLYDIMFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKSHGV >AMDW01038023.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038023.1:132:569:-1 gene:AMDW01038023.1_FG001 transcript:AMDW01038023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVERHLQTFTHREKKKMPDMLNWFGWCTWDAFYTDVTSGGVMEGLQSLGKGGTGPKFVIIDDGWQSVSMDPAGIASLADNSA >KN539830.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539830.1:77085:79860:-1 gene:KN539830.1_FG001 transcript:KN539830.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVKETGMDFYGCIKLINFVRSKVAENKCWSCGQVFSGNSELCGHLHALEIPQPEGKEPSDKQLKIARASAVARGIKSVDESYFGSYSSFGIHREMLGDKVRTEAYRDALLGNPSLMNGATVLDVGCGTGILRYNAWLDLMHSSNSLLGGVLTSFCIRSLFAAKAGASRVIAVDGSAKMVSVATEVAKSNGFLYDENMEMEQKRDTQVITVVHTKAEELNHKIQVPSNKFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATIFGAGFGKGGTSLPFWENVYGFDMSCIGKEVTGNSARFPVVDILASEDIVTETAVLHEKPVNLSTSPFSTPTHWSQTIFTFEEPIAMAKEESAVVSSASVGTDECPAVMIRSRVSIVRASEHRSIDISIETTGISSDGRKRSWPVQIFNL >KN539830.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539830.1:68498:72671:-1 gene:KN539830.1_FG002 transcript:KN539830.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIIVASEIVNFDSDLPHYKTEGGVYRDPADDGHIFSPTIMWVEAFELLLEKLKPKINFSKVVAISGSGQQHGSVYWKKGSHAVLSSLDPTKSLLSQLKDAFSTMDSPIWMDSSTTKHCREIESAVGGALELSKLTGSRAYERFTGPQIRKIYQTVPQVYDDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEEKLGKLAPAYAVAGRIAPYFVERLQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNNYLDRAPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENFNDATSNNLIEREVEEFDPPSEVRAIIEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILYSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYQGNLENTSLGAKLAVATGEGVEGKGLLEKYTVLMRKRMEIERWLVEKIGRA >KN539830.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539830.1:20987:22681:-1 gene:KN539830.1_FG003 transcript:KN539830.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLIPALNRVLVEKLVQPKKSAGGILLPETSKQLNSGKVVAVGPGERDKDGKLIPVALKEGDTVLLPEYGGLEVKLAAEKEYLLFREHDILGTLVD >KN539830.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539830.1:4649:7696:-1 gene:KN539830.1_FG004 transcript:KN539830.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRDVVVSELFEHQKAALGWLVHREESCDLPPFWEEDGDGGFKNVLTNQKTNERPPPLKGGIFADDMGLGKTLTLLSLIGRSKARNVGGKKARGAKRRKVEEAVEEESRTTLVVCPPSVFSSWVTQLEEHTKTGSLKVYLYHGERTKEKKELLKYDIVITTYSTLGQELEQEGSPVKEIEWFRVILDEAHVIKNSAARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQLPLERKNNGTGLARLQGLLGAISLRRTKETESGSKSLVSIPPKTVLACYIELSAEEREYYDQMELEGKNKLREFGDRDSILRNYSTVLYFILRLRQLCNDIALCPLDLKSWLPGSGSSLEDVSKNPELLKKLASLVDDGDDFECPICLAPPAKTVITSCTHIYCQTCIMKILKSSSSRCPICRRSLCKEDLFIAPEIKHPDEDSSVNLDRPLSSKVQALLKLLRRSQSEDPLSKSVIFSQFRKMLILLEGPLKAAGFNILRLDGSMTAKKRSEVIRQFGRVGPDSPTMLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQTKEVKVVRLIVKDSIEERMLELQERKKKLISGAFGRKKGGNEHKEIRVEELQMMMGMK >KN539830.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539830.1:13746:14015:-1 gene:KN539830.1_FG005 transcript:KN539830.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARTFLNQATWTAREYGVMPATLRCFFLNSSWFSAVPLATAKLAPYRKSSLLFPAKYGVQYGNPSLSTASIHHVVVIVIVSGGGGGGAR >KN539830.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539830.1:74362:76196:-1 gene:KN539830.1_FG006 transcript:KN539830.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMRAVKVPPNSASLEEARHRVFDFFRQACRAIPSIMEIYNLDDVVTPSQLRSTIAKEIRKNQGVTNPKVIDMLLFKGMEELGNITEHAKQRHHVIGQYVVGQKGLVQDMEKDQGSSDFLKKFYTSNYS >KN539830.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539830.1:10046:11981:-1 gene:KN539830.1_FG007 transcript:KN539830.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >KN539830.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539830.1:33861:48362:1 gene:KN539830.1_FG008 transcript:KN539830.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSVTIGIQFHLPLQQILAPFYADMSAAPVYLVTECEPNEAPIPEGGEAEVGLDKGFGFSKHFFAKYELGDEVGRGHFGYTCSAKAKKGDHKGHDVAVKVIPKAKHEDIGEKGATFSRHFVFILAPGVLVIIVGSIDWLHAMLMVSTLVEFLVPPPSFVLTLPSSGSGRVNGADDDDPLWIDLQMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMIIYKLTRAYISSSSLRKSALRALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKTALVKNSTDAMKDSRVTDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASGLTLVQQSVVSLSVQVFIAKEKASHSDIADAVKYSTESFLKDLRVAPKARIMANDVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSNVTSNKSGRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLRDAANKIKVILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEPVYTDG >AMDW01033536.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033536.1:121:465:1 gene:AMDW01033536.1_FG001 transcript:AMDW01033536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKELVQLKREARMKGGFYVSPEAKLLFVALTVRYALCSINAMHPKTRKILQLLRLRQIFNGVFLK >KN541806.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541806.1:101:403:1 gene:KN541806.1_FG001 transcript:KN541806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNLRGANPAAAGRSKDGAEGMKAKASPMVSSHGGAGSIAGDNGLETATEGDDNGWLDQEVRRQWSDRAQDEAF >AMDW01039784.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039784.1:38:738:1 gene:AMDW01039784.1_FG001 transcript:AMDW01039784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQKQALAKFPESADDFLEKLAQTARQKVEARIPTEHIDLDKSPERQETREKVVVTVQDKAGQHQFRLYKDEKFGKLFRAYAKKVNLSVADLTFAFDGDKVDAESTPEDLGLEDEDMVEVLHKTR >KN543370.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543370.1:3435:3881:-1 gene:KN543370.1_FG001 transcript:KN543370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRKDPEPPSSSSCSSSSGDLGGKTVQKLLDMDEDAVSEQLSMGGKIDELDIAKLKDNTLLKCFIRRGIG >KN541806.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541806.1:6907:8391:1 gene:KN541806.1_FG002 transcript:KN541806.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRRKRLNEKLFALRAVVPKITKMDKASIVRDAIAHIEKLQEEERQLLHEISVLQFNRSTSQSWPSDPQLQVSKVGEKTVAVSIRCAKTMGAMAKVCHAVESLRLKVVSASVAAVDGTIVHTMFVEETSLIQTFEVTRYS >KN543132.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543132.1:128:1877:-1 gene:KN543132.1_FG001 transcript:KN543132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQGFLVFYGLPIPNAAASTPAPHTAHVPKPQGCKFELHTLPVDAKAVADGDTITVYIDTADPRESGNVPREIQKAAAERTRARAARDYQKADGLQKMIADAGYRQVPNARGEEVLAKKYRIRL >KN545623.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545623.1:262:1399:-1 gene:KN545623.1_FG001 transcript:KN545623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YSFAVDCGSNASIRGSDDTIYEADPTNPGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQIHLFWAGKGTVDIPTKDKYYGPMISALSVTPNFTPTVRNGIPKRKILVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKVLVSHN >KN540593.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540593.1:129:3333:1 gene:KN540593.1_FG001 transcript:KN540593.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GPGGIGKTTFTQHIYEEVKSHFHISVWICVSQNFNSNILAEEIAKQMPTGNNEKDNDSDQDKIIERIQSKQFLLVLDDIWACQEDEWKTLLAPFRKGGTKGNMVIVATRFPKVAKMVESTYCSIKLERLEHEDSMRLFQACVFNDKKTWEDYPSGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKLTLDRWTRVYESKEWELQSNDDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYKFHSQELVNLWIGLGLLDASDQNNKIEDIGLGYLDELVDNGFFEQDGKEYDNRYDRRTFENHQKDLSSLGKKLKAGNLRTIMLFGKYHGSFYKILGDILRDAQSLRVIFLFGASYNVEDLLPNFSKLVHLRYLRIEDSWLCSASLPNCITRFYHLLVLDVQRHRGKLGFPRDMGNLVKLRHFLVHDDNIYSSIFEVGKLNFLHELRKFEVKREMKGFDLEQIGQLLELRGSLSIYNLEKVEGTKEADDTKLAYIKHLDSLVLNWDNSRCNKDPVREENVLERLKPHNNIRELHIAGHEGSTCPNWLDGDFSIGNLESLHIESVNWGTLPLQGKLCMIECQELEGCVTSHGFQNLKSLKLVNIPKLKKWHGDGVGSGFENLNYKKYEQPKSRLDITGKDAPADSEDDIQYNLSVEELMINSCGASGRELTHVLSHLPKLSNLVIQKCQNIAGLGVAEQRTITTPESSLSPSANKATNTQTTIPRQMETAAAADDGLLLLPPQIKELKITNCRELSLDGGGIQGGARSCGISLPKVTSPFYLSTKPPISSLVQSTPAPRWMYKKMCIVPGGCRNSGRMTLQGFLLHPFAITSPS >KN540593.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540593.1:42854:47449:-1 gene:KN540593.1_FG002 transcript:KN540593.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQELELADVGAKLLAHASSSSPVTGPSALPTTHRAALTANSMVNDDARVDLHYAPMVNAAATAKAIRLQMQLVTRSIWEVCYQLGGLKGKKNAETSREVGGVGGRMIGHVNLQKKTYLDELILSWSNEHNITNGNHPDVLEGLRPHPHMKHLRVEYCSGDVFSPSWLLPHYLPVLRSLELYSFAGSRSISFSNLDCGMEVRRFSSLTDISISWCTNLCCLEEFIKPDYLAAIKKIHIENCEKLVSMPTEKLGDFHFLKDLKISNCPSIRFQNGLVLPNSLKKFQLVCCVDFSKFIPSCLMNTTLLEYLSLNGCPSVESIPAQVWSDLPALQNIELQNFPDLTPIGGPEAVEGIKIVHIDNCAKLKELNQPFSKGSL >KN540593.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540593.1:32115:33869:-1 gene:KN540593.1_FG003 transcript:KN540593.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSGLIGVGITPLSKEPALGTWFFSVAGALVAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLSANTSAQGEN >KN540593.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540593.1:22033:26460:1 gene:KN540593.1_FG004 transcript:KN540593.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSAARWVVGRALGPVTGELLEAWAASKKLGPNIRELKLLLLHAQAMLENAEGRDIRNGALDQLMSQLRDLAYDADDVLDELDYFRIQDELDGTYEAVDDAEEERGLVRGLALHARHTARAIARKLTCKCNAAALVPVDDAEQGRCLSATAVGKFLPCCSPPTVRNVDSTAAKANEQHLQAPKLKFVRVEMSKKMSEIVEQLKPVCDAVDKILGPLQPSGHSKNAKTQCIDLEKRPKTTPTIIEPELFGRKDLKRIVADEIMIGKYRDNDITVLPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPKGNNEKKNESDQEKIEKRIQSQQFLLVLDDVWEYHEDEWKTLLAPFRKSGTKGNMVIVTTRKPKVAKMVESTDCSIKLDRLDHEDSMRLFQACVFDNKKTWEDYPSGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKLTLDRWTRVYESKEWELQSNDDDIMPALKLSYNYLPFHLQQCLSYCALFPEDYRFCGQELINLWIGLGLLGTGDQNKTMEYLGLEYVDQLVDNGFFEQVGKEHDSPYVMHDLLHELATNISSHEIRCLNSSTLSSINEIPKSIRHMSIIVDNRHVENRIAFENHKKDLSTLGNKLKAGNLRTIMLFGEYHGCFYKIFGDVLIDAKSLRVIFLSGASYDVGDVLCNFSELVHLRYLRIKDSRMCGASLPNSITRFYHLLVLDLQEHYGELGFPRDMGNLLKLRHFLVHDDYIHSSIFEVGKLNFLHELRKFEVKREMKGFDLEQIGRLLVLRGSLGIYNLEKVEGIKEANDAKLAYLNHLDSLVLDWDNERCNKDPIREGNVLESLKPHDNIRELHIAGHGGVSCPNWLGGDLCIRNLESLQIKSVNWDTFPLPGKLYMTEGQERQGSVTSHDFHNLKRLELVNIPKLKKWHGDGTINLLPHLQSLTISDCPELTELPLSVSTSCQFQQSTICFPKLQKIVISECPKLLSFPPIPWTNSLCYVSIEGMDSGLEKLNYSKDEQSNSSLYITGKDALDSMFWNVLDFNNLTELQELEIKKCPPISLDHLKRLTCLKTLAITDFGSILLPVDSENEVKYNLLVEELVINSCGASGRELTQVLSHLPKLSILVIWDCQKVEGLGVAEQQTIPTPESSLSPSTNEAAKAPTTRLQQQTGEAEEMETAVAADDGLLLLPSKIKELEITNCRELSLDGGGIHGLLSLQSLWINDCPKLLCSSSSSYSPFPTSLQRLILRNVEGMETLPSLPNLTSLTISSCGNLRGGEVLWDLLAQGHLTYLSVYETPNFFLGSEQSCSQVDEQEDMHRSWRLQELRTDDFARVLAAPVCHLLSSSLSKLVLAWNNEVECFTKEQEKALQILKSIENLHFLSCEKLQSLPAGLSQIPTIKTLRIYECPAISSLGNLPNSLQQLGCTAIHSLPKDGLPTSLREIDVTDCGNEELKRQCRKLQGTIPVVWV >KN539250.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539250.1:118638:121611:-1 gene:KN539250.1_FG001 transcript:KN539250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAKSNGHHAIDATSSLDALSELYGNTFAVVKSMALKAAMDLGIADAIHHHGGAATLSQIVTRVTLHPSKVPCLRRLMRVLTLSGVFAVQKPAPGDAAAPADEAEVAVYALTPVSRLLIGAGNQGHMMSMLLHPNFITPFLRISDWLQRELPGPCIFKHTHGRSLWEMADDDAAFNTVVNDGMASDSAFMMDILVREHGEVFQGISSLVDVAGGNGTAAQAIARAFPEVKCSVMDLAHVVAEAPGGTGVEFIAGDMFESVPPANAVFLKWIMHDWGDNDCVKILRNCKKAIPARDKGGKVIIMDIVVGTGPSDQKHRDVQILYDAYIMFINGAERDEQEWKKLFLEAGFSDYKIMPIMGFRSIIEVYP >KN539250.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539250.1:58681:60806:-1 gene:KN539250.1_FG002 transcript:KN539250.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMKAILRAPPWEVTPPPWQEEAWATPLRERGETSQAQSCSVGPLPSVEVGVEVAAMRRDEKATTRRRSGWSMCNLLPKRVDESRNWRRLRDSEVARGGSRGCRRGGLTGALSRRWFGEFLWREGFRLNALISGRLTRGKQWKREPGAGGIGWSGSVRKRTSVVACLLNTLAVTGDGDLKSTWRVEEDGGLMSAVMVVEPGKGKKPDHRKAIRERVKLNFKGYGVNEQGFYSMKLAVPEDGGSKKVCRGILSVIKGRGTVQKVETELISLFKGVKWEWKVKQLNENDFLIDFPNNDARSKMTLVKSFDFDKFPIKASVTESRMTDSAVDELYFVWVRMFGLPDFARSEDSVSTVSELVGELDEIDASTISKGDFVRMRVGCLDPFAVNCSVIIYVNSIGYKIRWEAERDSLKGDGGHKNNDSDKTNQDQVRGNSQQQDKKEEKGPKLSQSAPPASRGKGVVMGVDDVQGLLEEESTKTSPVESQEQSLVVWQAEGISSQPEADIDSQLEEEGYNLDKMMDLDLQKGFVNPVLEDGSGERCDIPTDSDIEKMRAEEEDIKDDRLFQLGGINL >KN539250.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539250.1:53344:54006:1 gene:KN539250.1_FG003 transcript:KN539250.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVARLLVGRAWAVVAWPEEQKQGRLRTMKDLPDYNGRKDRF >KN539250.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539250.1:1170:4087:-1 gene:KN539250.1_FG004 transcript:KN539250.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHVVAKAPGGTGVEYIAGDMFESIPPANAVFLKWIMHDWGDDECVKVLKNAKKAIPSKDAGGKVIIIDVVVGAGPPDQKHIELQALFGAYMMLINGVERDEKEWKKVFIEAGFSGYKIIPVLGFRSIIEWIMHDWGDDECVKVLKNAKKAIPSKDAGGKVIIIDVVVGAGPPDQKHIELQALFGAYMMLINGVERDEKEWKKVFIEAGFSGYKIIPVLGFRSIIEVYP >KN539250.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539250.1:39755:41431:-1 gene:KN539250.1_FG005 transcript:KN539250.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIQSNNGQHATSSLDALSELYGNTFSVIKSMALKAALDLGVADAIHHHGGAATMAQIATRLELPEPCMFKHTHGQSFWEMTNEDAAFNAVVNDGMASDSAFMMDILVREHGEVFRGISSLVDVAGGNGAAARAIAKAFPEVKCSVMDLAHVVADAPRGTGVEFIAGDMFDSIPAANAVFLKWIMHDWSDNDCVKILRNCKKAIPSRDAGGKVIIMDIVVGVGPSDQKHRDVQILFDALIMFVNGVERDEQEWKKLFVEAGFSSYEIMPVMGFRSIIEVYP >KN539250.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539250.1:71120:73772:-1 gene:KN539250.1_FG006 transcript:KN539250.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLHPSFIAPFLRISDWLQRELPGPCIFEHTHGRSMWEMADDDAAFNKVVNDGMVSDSRLVMDVVVREHGEVFRGIGSLVDVAGGHGTAARAIAEAFPEVRCTVLDLPHVVAGAPVGAGPGVEYVAGDMFESVPPANAVFLKWIMHDWGDDECVKILKNCKKAIPSRDAGGKVIIVDMVVGVGPPDQKRLEMQTMFDAYMMLINGVERDEQEWKKVFVEAGFSDYKIMPVLGSRSIIEVYP >KN539250.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539250.1:32670:36030:-1 gene:KN539250.1_FG007 transcript:KN539250.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVAVLSSTSIATPPRPRRQGPAVDVLSSAGITTSPLSRWSCLVPPLQGALTIRKEIELMKYKQQLQVPLLDFVPIRIYPWLCGNNIAKDNDDPGKDFASSESFARFMDED >KN539250.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539250.1:58124:58519:-1 gene:KN539250.1_FG008 transcript:KN539250.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYALFNSVDKNTLIEIADASNIDLGSNEKDVLINLSAICARELAQAALFEAEQRKKITVESVTEEVVGDKESMVLAEGNCSFEKDETEHCMIEDFLGDDLIRKKNQGKSQGCSGQIKKRGRGRPRSKT >KN542329.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542329.1:6176:7202:1 gene:KN542329.1_FG001 transcript:KN542329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGKLKEVVRTLFWTCNDMVDKMHLVDVVQHLGIDHLFQEEIGSTLSDINGSQFASNSLHEVALRFRLLRENGFWVSPDVFNTFKGEDGRFIRGISDEPRGLLSLYNGAHLLVHDETELIEAISFAKDQLQSMCDGSELKAPLADQVKRALHLPLPRSYKRTEALHYMLEYGQEEGHITVLLDLAKLEFNLLQHVHLKELKAFSQ >KN542329.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542329.1:11459:13984:1 gene:KN542329.1_FG002 transcript:KN542329.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWNDLHGCICLSYTRDRAVEAYVWSKMLFYEKELVLTRMICAKLIALVVIMDDTYDCHANIQECRKLNEAIQRWDESAISFLPDYMKKFYIEFMKNFKEFEEQVGINGNYQVAQTKKEFQKLSSYYLQESEWSHENHKPSFQEQVALSTMTSAMPLICVCTTVGRGDALSKEAFDWVASGTGAIIACAKILRFMNDIAAFKVQIY >AMDW01033844.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033844.1:9:140:-1 gene:AMDW01033844.1_FG001 transcript:AMDW01033844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSVVRLQPKAEKTTAAAGGEQQHGGGCGGSSFRMPLHYP >KN538797.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538797.1:92599:95247:1 gene:KN538797.1_FG001 transcript:KN538797.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIGKQRGFWLLYFTIHGLYYICLSFCDLSSNRRLWWSKCECLTFHCEEKARMLIVHVDRSRDHCFQLQRSFPSIPLVAEEDSASLRSSNADNSSNVLVESISSAVADNVSNTDSLLTHDDVLRAIDKGGKDSASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGSPNWASDTIANRKDDSIASRYDRGILMIAHEGCGAWTKRLYDEFGQFHYARYCLSDNQTWNMIPLSVVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKVTDWRGEPLDLEADLTGRRDIYPHGGILITNGVLHNKLAELIKANY >KN538797.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538797.1:53587:56184:1 gene:KN538797.1_FG002 transcript:KN538797.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNNGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLIKFFPSVYRKEQAAEKNQSNQYCKFDSPLLTMFTSSLYLAALVASFFASTVTRVAGRKWSMFGGGVTFLVGAALNGAAKNVLMLILGRVLLGVGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKRMLRRVRGTDDIEEEYNDLVAASEESKLVAHPWRNILQRRYRPQLTMAIAIPLFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVADIPKAYAAFVAFLPMLCRFKFILFFFFGAWVVIMTLFVAFFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADVEMPAAGNRNGKVDPAKLAN >KN538797.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538797.1:11677:11928:-1 gene:KN538797.1_FG003 transcript:KN538797.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRWGPGISLTTSSLVSSLASLAMSTSPVCQQPVACRRAPCRQFSWTSIEITSGMRLISSVESGLSGGDDDARLRKGDDIS >KN538797.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538797.1:149254:153346:-1 gene:KN538797.1_FG004 transcript:KN538797.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSARSASKHSEKKSRVTEAWSSIRYCSESSSIIRVISLEYFSVVAFLICRAIKNYHPTRMITLVYQPFVLTTTALFAYHEAKINTRMRNLAGYMLFFLSSFGVIVLDVASSGRGGIAPFVGLCLIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGIAASGAITSALRFLTKAIFENSRDGLRKGAMMFSSIACFFELLCVILYAFVFPKLPIVKFYRTKAASEGSLTVTADLAAGGIKSQPENPLDEEDQAFAERLSNRQLLNQNMDYALDVFMIYVLTLSIFPGFLAEDTGTHSLGSWYALVLIATFNVSDLIGRYMPLIEQIKLTSRKWLLIAVVARFLFVPAFYFTVKYCDEGWVIMLTSFLGLSNGHLTVCVITEAPRGYKGPEQNALGNMLVFFLLAGIFCGVVLDWMWLIGKGWATATKLGARNLKHRIVHRFVDVYTATHAPNRDTIGAAVRHLYALDLKVSWGVHVAHELKLLAPKSHCHDLDAAISMTSSILTSKGSLCTQRRGDDGGRDDGLEGEPVEHEEVAEAVHAEERDEDSDVGEGGGAKGGNGVRGCGCGA >KN538797.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538797.1:9548:10277:1 gene:KN538797.1_FG005 transcript:KN538797.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQAKTKSSLATSLSFSCSSTRILGRKRVAVSPAPSPSGGPHSPVRTLRKQRSTRLHMDDAVSLLESLPQDVLIKVLCKVNHSDLRPLLLVSKQVSEATVVAREQHFAFATPSSKAALRGGEEEEEEEQEAPGAPKLQRRVARSSPVWGKNLASISVNLFEAFESEVVEM >KN538797.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538797.1:156630:164241:1 gene:KN538797.1_FG006 transcript:KN538797.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIILCDWPRVILVDYKHGICMLTIEDYYTFLFPNYHPTRVVTLTYQPFVLGTTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIILDVATSGRGGIAPFVGMCIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRLITKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFIFPKLPIVKFYRSKAASEGSLTVAADLTAGGIQNRANPLVTSKTHPLVLVTSLCRYALVLIASYNVWDLIGRYIPLIEQVKLRSRKVILIAVVSRFLLIPAFYYTAKYSDQGWMIMLTSFLGLSNGYLTVCILTEAPKGYKGPEQNALGNLLVLSLLGGIFCGAILDWLWLIEFQMLDTEFRVMQKLQIKQQFILGIYSRRKAQVNFIMSLEVAGAGAPQAQGKFLGILVCWVLGNGSLFAWNSMLTIEDYYSILFPTYHPTRVLTIAYQPFAFGITCILTYHEAKLNTRKRNLIGFALFLISSFALIMLDIGTKGRGGLGPFIGVCIISALFGTADASVQGGLVGDLSFMCPEFIQSFLAGLAASGVLTSALRLITKAAFENSQNGLRNGAILFFSITCFFELVCLLLYAYVFPKLPIVKYYRSKAAAEGSKTVASDLAAAGISNEQSIQAEEDPKKCDRLSTKELLIQNIDYAFDIFLIYVLTLSIFPGFLSEDTGAHSLGTWYALTLIAMYNVWDLIGRYLPLIKCIKLTSRKGLTGAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGFLTGPEQNALGNVLVVCLLGGIFSGVVLDWLWLIGKGW >KN538797.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538797.1:141226:146120:-1 gene:KN538797.1_FG007 transcript:KN538797.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAKESADEKEDMEAEADGDEGEDKSDEMDAEAGAAEAEAKEEEEEEELKDAERQKKNKRKGRRNVNASPASPPAATSDVTRDLPNGLMRISPGSSEPAASLPSPVTTKVGVDIPVPTQRCYRSKNAEPMPVGPVKVVPRAMGMSKAGQKTCHRCGMKKAARIVQCKNCDNRYFCNSCINKWYSGLSKKDIKTRCPVCRGSCGCKQCTLGQTKGAISKESSGDQDKLISIKICNHQLYKLLPVELNQEQLDELEIEAKIQETKISDVRVQVADEQSGSLDCFSSGPRDLMNFYLNAAITIIRWPAGDRFLITTTADVADVPFIFEMAESICYCHMDDFWIASGSILQGDHRNSRLRR >KN538797.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538797.1:110226:110714:1 gene:KN538797.1_FG008 transcript:KN538797.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQVTPPPPPQAAATEQFLQATSTACHQMPGLVHASPTQQLAQQPQDHMAATTCHHRGAVQQPSYDNQLEYVPALMQMASDASNLQQWSSMVSSSNNHNVNSGVSTPSSSPAAAGQINSSSTTTTTTTYGLNASGDVDDAGLLINMHLSELLDVSDYM >KN538797.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538797.1:41082:43013:1 gene:KN538797.1_FG009 transcript:KN538797.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAATAERDEQGSVTAALDAITGLVSASLAASLFPYKWQLIRDRLNRLHAGLADIATGGGEGGEGHGALAGVLGAVVETARVASELVPRSQGRHYGGGKLRLRSDLDVVAGTLDALVARVDEKCVRVVVSDVADGVGVLVWLLECPDASVQEEVLEAVSVIAGFEAYRGDLVVGGVIAPVIRVLDSAGARPSAKERAARLLCKLTENSDNAWAVAAHGGVTALLNVCADYTASSGELVCAACRVLRSLAGVDEIRKYMVAEAGAAPVLVSLCRGAADEAAQIQAMELLAAIASGDSSVREAVLQEGAVESLVSVLDPASPRSSKAREVALRAIDALCLSSPASTSRLVAAGFLDRVLVFLRSGDATLQHCALKAAHRLCHASEDTKKAMGDAGFMPELVSILHAAKSLEARDMAAEALCAMVSVHRNRKRFVQEDRNVAQVLQLLGPGDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHLRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWSLQKA >KN538797.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538797.1:15767:16354:1 gene:KN538797.1_FG010 transcript:KN538797.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHTSNSTMFFMIVLLILGSTVHGKEPPNPCLAPVPTPAKPPSTITPAKPPSTIAPTKPPIKSPPTIAPAIPPSTIAPAKPPTKPPPTITPASPPPSSLPPSVNPPKPKPLPKCPLLLTNLGGCISLGIGNSLMKYPCCSQLYNLQYDTAAACLCDAMKIDLRINVDVDINVMIDKILKLCSKAGDPTVVCLR >KN538797.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538797.1:80730:85582:1 gene:KN538797.1_FG011 transcript:KN538797.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID FORMATION 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20890) UniProtKB/Swiss-Prot;Acc:Q9SKT0] MDRGAARPAQFLHGVLLLLPPFLSTFFNSSSKCRLLVKYLILDHYVPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKTTYQYDAVFALGFVTVYDQLMEGYPSNEDRDAIFKAYITALNEDPEQYRADAQKMEEWARSQNGNSLVEFSSKDGEIEAILKDISERAQGKGSFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETPKSNEAVTKFDGSLNSMRH >KN538797.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538797.1:97473:101155:1 gene:KN538797.1_FG012 transcript:KN538797.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRLFPSIPLVAEEDSASLRSSNTDDNSSNVLVESISSAVAEKVSNADSLLTHDDVLRAIDRGGKNAVSFDSNPASYWVLDPIDGTKGFLGGDDALYVVGLALVVNEKVVAGVMGCPNWSNATIASRKEDSAAAQPDRGILMIAHVGCGTWSRHLSVDIGQFTTAQSTWNRCLVDSCSVVNMARFCIPDSQTWNMIPLSVLFNSTMDESNPRDENEILLLSVYCGSLCKYLTVASGRASVFVLRARTKNLKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLHPHTPEACEEEKTWTNLTAVHHAVNQDAFGLATNSSRRKGHPKALIRATDLLENKKNSDDLLLCSPHAKSRNYALMIRDNSAMKASKQASKHGEINSWTMRGFRVNFILI >KN538797.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538797.1:121328:125271:1 gene:KN538797.1_FG013 transcript:KN538797.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVGIGERCQLKLENPQILMFCFTFVSRLLALGTNRRAAYFSQPRHRSGYYTAVRDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCRNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLPTMEGIVTNARDTRQFLSSMVWSIWEGVTLLIRAVHLAILFFPATALSPFADSFSVEFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDQHTGKHVAVKVRHPGVGESIKKDFLLIILLAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEIASFLNQELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPVDFFS >KN538797.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538797.1:128512:129397:-1 gene:KN538797.1_FG014 transcript:KN538797.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVLLLLAYSECREDGDKGDMP >KN538797.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538797.1:71726:78112:-1 gene:KN538797.1_FG015 transcript:KN538797.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHLIAVGWSPPTDVRRRWKSSDAGNQRGVGIYVVVCGRKSRSYGVINGGYLNLVENVLIRVPFRLARDQPGQQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATGNTSDHLLYMNNIFKFVEHPENNENVLQKCKVKFKILSLKVKGPMDYAPEMFDEQRDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >KN538797.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538797.1:21232:21723:1 gene:KN538797.1_FG016 transcript:KN538797.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding METRWYGYGHEDGRIRPRKVTVGTRKTCSRRRQQWDGVVATQLSMVADGSRQGQWARSGGLSRRRAQHLTTLTWWSAEPRARVSIGGIGRCDGGGKQHCRRSRCLWRQWGGWRYCAFGDGERRATARGNGGCRAHRFAILSGMRGAGGFTCRRPVRAMRCTGL >KN538797.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538797.1:87058:88279:1 gene:KN538797.1_FG017 transcript:KN538797.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQSGRIVARFGFGGGKKAAAKKAARPSAPTTDRPLWFPGAGAPDYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNNAGEIIGTRFETGEVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSSS >KN538797.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538797.1:29046:29198:1 gene:KN538797.1_FG018 transcript:KN538797.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTWTSDSDSDSYSGSDDDVDDYRGDGDGMIEIELEEDNLIEIDISKCR >KN543413.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543413.1:60:1066:-1 gene:KN543413.1_FG001 transcript:KN543413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALASFLLLLFLHFMAVQADGEDAAVGNGGGNGVLASWNGSAGPCSWGGVACGRHRRVVALSLPGHDLSGTLSPAVGNLTWLRKLDLSYNWLHGGIPASLGQLHRLRELDLSFNTFSGEVLGLDNNSFVGHWPASLANLTSLQYLSLRMNSLEGTIPPEFGSNMPSFHILDVCSNNLSGALPSSLYNLSSLTDFDAGNNKLNGNIPTDIDEKFPHLRSFAVFNNQFSGEIPSSFTNLTNLTSLQLSENGFSGFVPRDLGRLNALQNLQLGVNMLEAGDIKGWEFVESLTNC >KN543413.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543413.1:5693:7510:-1 gene:KN543413.1_FG002 transcript:KN543413.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPASAILSAACRSSRTLFVLRRLGFLGSCTPTVRQNASEELPRAGLHPRFHQSVLPIHCVLGSLRICHSTALDGSKDVHVSEIVKILKSRDGGSELAEVLNQFSDEMDEDVVLKVLQKQRSNWKVALSFFKWAAGLPQYNHGSRAYTEMLDILGRMKKVRLMRQLFDEIPMESRQSVVTNRMFAVLLNRYAGAHKVQEAIDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRGCQADVATYNTLIKHFCKINRMEKVYELLDDMEVKGVSPNNMTYSYILKTTAKPKDVISLMQRMEKSGCRLDSDTYNLILNLYVSWDYEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSHGKLDEALHYYRTMESRGMTPEPRTKLLVKAIRMKKDEPATEEQPLTRKNLKLDPISRLFHVRK >KN543413.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543413.1:1417:2895:-1 gene:KN543413.1_FG003 transcript:KN543413.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDDGEEVFGEAAATGSKSLTRALPPGSRAHLRAPPRRVAAAGAAAGMRRHWKPCSDPTRTMPNPVYFFFFETSVAVATTVLQRLGVLSLRPLPVRQNSSEELPVPDPLTKEARCCSFLYSSTVVAHAFTEALHKLNLFLDHHKTFTFPRVSGTPHTSVEKGGRTTVQGNTLDCQPNGFSVGISQEEIIRNLVEQRRGEDIIGAS >AMDW01039307.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039307.1:173:601:-1 gene:AMDW01039307.1_FG001 transcript:AMDW01039307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QDEDQEDPDERHHADSDVEMDDVKPLDDSGRRSSIQNVRVKRESAETDAADQLLWLMCAQREHIDIK >AMDW01012828.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012828.1:15:239:-1 gene:AMDW01012828.1_FG001 transcript:AMDW01012828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLEEQPASSSVGRAKFIVFVTDITRFSSDMPELAKYPVHAFGLGASHDAAALRLIAQRSQGTYSFLDDGNADK >AMDW01051945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051945.1:1313:2011:1 gene:AMDW01051945.1_FG001 transcript:AMDW01051945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGDFNIYRFPHEKNNDNINTRGMEEFNGWINGEGLFDIDIPNRKFTWSNKRRCPTLVKLDRVLIDTAWNQTFANASAKALIATTSDHIPILAEFSDNCSKSDIFRLENYWLQMPDFIAMTETNWSRGTRPLTAISKLNHKLRRLRASTKAWNRNKRSIPTLLSANKDTLEYLDKIEEWRQLTDLEYYLRQRIQKHCNELNDFITQKWKRRARTRFCTLGDENTRFYHTVAS >AMDW01039951.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039951.1:32:708:-1 gene:AMDW01039951.1_FG001 transcript:AMDW01039951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PRNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDKASAAEGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATNTMPAAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGR >AMDW01040537.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040537.1:70:739:1 gene:AMDW01040537.1_FG001 transcript:AMDW01040537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTISS >KN541909.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541909.1:14480:15359:-1 gene:KN541909.1_FG001 transcript:KN541909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGKAGLGGTLNWATRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNTLLGRNLKAKIADFGLSKTYHSDSQTHISATVAGSMGYVDPEYYVTGRLTKRADVYSFGIVLLEVTSGEPWIIPGNGHIIQRVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIASQRPMMSAVVTQFKESLELEEAPGNKGDMENVARDDTSSMSMFSPSAR >KN541909.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541909.1:21054:21464:1 gene:KN541909.1_FG002 transcript:KN541909.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPHARRAAAKAISMRARPGPAHGATDKVVLVGDGEGVVHPRRRRLHQRYRWQREKETYLRVEQRVLAAKPSPPEVEEEEDADSDGDLAAARVGDCFV >KN538745.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538745.1:182412:187690:1 gene:KN538745.1_FG001 transcript:KN538745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKLVYNRSDEIGVVLFGTKETCNELAKELGGYKHVVVACDIKVVDEETTNALQNLPRGTSPGKQRMCLVTDAQHPLRDPPQGTKKDQVDTIAEQMKRHEIKMDCIIFRESGVRHNAVMDENYQLLYHFRERSVTKVVQVDSPTSLLGALRTRNVLPVTVFRGDLEVWVYKKTSEEKFPTLKKYSDKAPASDKFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKVLRPDVSNGDTVHLFYFNRHYFMKDVFSFVPEPGNTKAVAAVSALARAMSEMNKVAILRCVWRQGQGNVALGVLTPNISSAKNVLDSFYFNILPFAEDIREFQFRSFSSLPSSSQPTKEQQEAADNLVKMLDLAPPGREEILKPDFTPNPMLERFYRYLDLKSKQPDANVPPLDKCLKKITEPDPDVIDYQAPLIKKLGNVFELKENPKKKKARTQDRLTYTGADDQAKLLEEPSAEKVGVSEALYPPKNKAGEIGDHNPVQDFEAMLTQRSSSTWVQTAIEEMQKYITALIQDSCDRDNHQKALECLVALRKACIIEQEPNEYNGFVTKLCQKFRTAGDAKFLQLLSSKNASLISKEEAPDSSVFGKLCVMGVMSNTSYYCFGMDMHVKLSWDGKIPDEM >KN538745.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538745.1:188027:190058:-1 gene:KN538745.1_FG002 transcript:KN538745.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPITAATSPLSPASRVQAKQDTIDKVCEIVKNQLAVDEGTAVSGETKFVDLGADSLDTVEIVMGLEEAFQITVDESSAQVIQTVEDAAALIDKLVAEKDA >KN538745.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538745.1:99415:101593:-1 gene:KN538745.1_FG003 transcript:KN538745.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEESRADGRNPNQLRPFSCTRNPLDRAHGSARWAQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMTGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQLLPCAINACCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTNKAEEQQLKSFAHLVFPNSRKSASSKEPNQKEEDSERGLITSITHGVMSEEDYFSCIERGLAASSRISDFMRTTLQKQAPGDV >KN538745.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538745.1:29540:32416:1 gene:KN538745.1_FG004 transcript:KN538745.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKALTNPASPSASASASTPKKSTATSKDRSTPKPRKNPNPKEEAPPPPPANNKRLNPQGGSNRKKKADAGTPSKKPKRQPPEPKPRKHKGAKSEKPHRVSGEGEKPTPTKKKKKESSKEPKREKQQASAPMSTPSKKNKEAKRDTGGAGKPTPTKRKLAYVDPPQERPSGEGQASSPTPAKKRKDKAAAAEAVADHGAGSFPMARVRQIMRAEDATIRPSNEAVFLINKATEIFLKRFADDAYRNALKDRKKSIVYDNLYFVPQKVTAEDALKAPVSSQVNQRQ >KN538745.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538745.1:119531:122608:-1 gene:KN538745.1_FG005 transcript:KN538745.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKLMCSADDILERYNNFKISGMPVRVLSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSPDSCAGVIPSEPLQHGKNEFLKSSHDLSSSYEAHEHDGMSAAESSSSASFDAVLSDVQEVNNVVEDKQKVLNGSGEVAGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGTLEIAKPLQGPMYGVGAHLAPANSSNICVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSADQQQARMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >KN538745.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538745.1:2196:4496:1 gene:KN538745.1_FG006 transcript:KN538745.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHQGHLSLISAAAAASADPVAIVVTIYVNPSQFAPSEDLATYPSDFAGKPVIFVGSEYLSLANVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISRSLVDARTGALKGNTDCKQIKNKIVQTLTETGGQVDYVEIVEQESLVPVEQIDGPVVICVAAWFGKVRLIDNIEIDTRS >KN538745.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538745.1:87531:89099:1 gene:KN538745.1_FG007 transcript:KN538745.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASSLRGVLLRHCSVGTTSPPQVFSRVSDLQAPGCIAWRHFSTFKPNPLPKLDGLGSVTCLYSQARWASQAAAVKETENSGSKISIGPKSKQIKEDDKDDRLVYQGPISSTIRKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEIMSWLATPLTRTIKFADVRPPETNRPFVTFRAEGNFYFVDAEHFLNKALLARLTPKHPNESAFKNL >KN538745.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538745.1:26:1231:1 gene:KN538745.1_FG008 transcript:KN538745.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLGGLTNPAHKEQLEARIARMKDDPSLKPILDEIENGGPAAMMKYWNDPEALQKFGRAMGVGPSGEGAAAAGGEHEEAEEEGGEEGEYEDESVIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAGDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEEVLKLLEKHAFV >KN538745.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538745.1:90041:92364:-1 gene:KN538745.1_FG009 transcript:KN538745.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRKRPAPFAGFSPFARSLLFSASSSKPLPPPEDPPAAAADEIPSGIAGSSRDMPPPKRAKRAEPSSDEERYSSDDESYSSDSDDSDDASEELDTVQADFAFYDPKPGDFHGVKLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDEEDGEGNGADGSSAGGNDDLFGLISVLNLGRYGEHRCIKDLKDYLLAVCGDKDTKKKLKQMLGDKAPDVGLLVCRRFVNFPYELVPKMYESLFDEVSWATEDEPTQELRDSFRFKQYLMVVRVLERKTPAKQKAKNSIEEDEPIIYPKLEDEIFHELSSWSFTFPIRSEQSAQQEMKNYKEMGLVMAVKAEAILKFRKKLEDLLSE >KN538745.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538745.1:171446:172543:1 gene:KN538745.1_FG010 transcript:KN538745.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEECWEKKPRNLGGSLPVPNVQDLAARPDHLTPTLLRRYLRPHHLPLPLPADDHHAMAMIPVVDFARLHEDEEEAAKLRHACEEWGFFQVINHGIADETVEEMKRDVMAFFNLPLADKAAFAQQPEWIEGYGQAFVTSEDQTLDWSDLYFLTTQPPSYRDLRFWPPETSSTFRRSMDRYSVETQRVATELLRAMARNLGLRDAHQMTRLAAAQSMRMNYYPPCPAKERDRVLGVSPHSDAVGLTLLLQVSPVKGLQIRRGEDWIPVDPIPGALVANVGDVIEMVTNGRYKSIEHRVVVDAAQERVSVAAFHNATFGSTYGPLEEMVGGGEARYRSISVEDYVRLVVSSKLQGKNILDAVKIAITT >KN538745.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538745.1:84428:84874:-1 gene:KN538745.1_FG011 transcript:KN538745.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTVPGASQYAAHRFGVAIRLLKNVCLWKDIFAKPVLEKLALEELLKGKILPHMKSIILDVHDAIARAERISALLKGVWSSPSQKLQPFIDLVVELGNKLERRHMSGISEEETRGLARRLKDILVELNEYDKARAILKTFQIREAL >KN538745.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538745.1:138275:142626:1 gene:KN538745.1_FG012 transcript:KN538745.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMEEEAANAATAQAAAAGDLADVVARANARAFLLSTPHHHHSPLHPLPPPPPLPMPQPHAPQITIPYHHHHGELRRPTTIAYTDAPVPFETAGPPSTVVDSYHHLTPGNGGYGMPRPLALQISQHALCGGGDVVMGGAGAGAADDGEDAIRISPLTPSAHHQMMKRKNEVKKVVCIPAPPATSSRGGGGEVIPSDLWAWRKYGQKPIKGSPYPRYCISGQKHQNHGHNSFHISCLDNFNWGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYARSHHSTHATASSSRHKQQQQQTNQLQPALITSSSSSSSSSPFNLYGDVVLGGQQANMMMTTTEGAGAGLGIQQPSAADEVFAELEELEPDNPTMINANMQVYSTTSRPGVSSYDHQWHKF >KN538745.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538745.1:113420:114581:-1 gene:KN538745.1_FG013 transcript:KN538745.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAVLWACMMLMSIAPASLAASGFEDVPTIAFDEGFSPLFGEDNMVKSTDGRTVSITLNRYTGSGFISSDYYHHGFFSASIKLPKDHTAGVVVAFYLSNGDVFEKTHDELDFEFLGNRYPEPFPECDVNMAERQMYWQWGESKVVRPRVRPRPGRRSKRRPSPAAIPPPVLVSLQQAD >KN538745.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538745.1:159373:160869:1 gene:KN538745.1_FG014 transcript:KN538745.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKATAAATLAFTPSAADLRFKASATDAAFARGPSLEGLTLTLEKPGSFLLDLKPHSKDVRFQFMNSALLLDRRVSLTYTHSTTLSPGPAKPPARTALDGSLTFDPANKLTLSHTLGSSGCRVKYSYAHGQDRLTTIEPCFDTANNAWDFAVTRKFQGGDAIKATYQASTKLLALDWTRDSKIGASFKENRHRSPTKAESM >KN538745.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538745.1:94899:97833:-1 gene:KN538745.1_FG015 transcript:KN538745.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKNAVFRRLKAKPENKMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVDAKYTSRAAELYRQILQKEVAKSSADNVLPSSPVAASQPQNPSDDFPEFKLPEAPAENTNGKHEPDVTNSQKAPTQTPKAPTHPTFATSVKKPIGAKKIGGKTGGLGVKKLTTKPSESLYDQKPEEPKPAAPVMTTSTTKSGPSLHSRFEYVENEPAVDSRNGGTQMTGHVAPPKSSNFFQEYGMDNGFQKKTSTAATKTQIQETDEARKKFSNAKAISSSQFFGNQSREEKEAQMSLQKFAASQDLSSLKNMAGETGKKLTSIASNFISDLDRIL >KN538745.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538745.1:75590:77227:-1 gene:KN538745.1_FG016 transcript:KN538745.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLKYEMAEPMYNTKHAVPRRESPWKVDVESSGVPAKLQLLEQELINLEKIGNGDLPKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKGSFGDELTAEAKMSTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >KN538745.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538745.1:107729:110925:1 gene:KN538745.1_FG017 transcript:KN538745.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYPTLPLFNSFSLKNFEVSSKYSFLSELTNNCATTTPSKSPSVIPPVKDCLFQGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPTHGNNQVQQPQLPAAPVPACVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMHSPGFLAQFVQQNENSRRRIVASNKKRRLPKQDGSLDSESASLDGQIVKYQPMINEAAKAMLRKILKLDSSHRFESMGNSDNFLLENYMPNGQGFDSSSSTRNSGVTLAEVPANSGLPYVATSSGLSAICSTSTPQIQCPVVLDNGIPKEVPNMSAVPSVPKAVAPGPTDINIPEFPDLQDIVAEENVDIPGGGFEMPGPEGVFSLPEEGDDSVPIETDEILYNDDTQKLPGIIDSFWEQFLVASPLSVDNDEVDSGVLDQKETQQGNGWTKAENMANLTGQMGLLSSHHTG >KN538745.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538745.1:46119:49962:1 gene:KN538745.1_FG018 transcript:KN538745.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGKIKTVVVLVMENRSFDHMLGWMKSLNPEIDGVTGDEINHLDAADPTSRAIRFGDGAEYVDPDPGHSMQAIYEQVYGTPFVDARATPITPPGVPSPPMAGFAQQAEKEKPGMADTAVFCYASCALAFWLVCCASSTVYFRC >KN538745.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538745.1:176376:180980:1 gene:KN538745.1_FG019 transcript:KN538745.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAAAAPAFLFLSPPGKLPGGKPRLKPSCAASTSSSSSSSSPSLAEQLEPLSRRLLHGKPTPTQHAPEPTWPLLRAIRALPDAADLAPTLHDFFPPASPPSTSDALLLLNYLHPSWRKSLSLLSWLRALPDGAFPLDTIVFNVALKSLRAARQWPQAERLALDMLASGVPLDNITYSTLITAARRCRQFDKAVEWFERMYASDGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPADNILCNTLLSMCADVGLVGEAEQLFSEMKDPDLGDVPKPDKWSYTAMINIYGSSGDADRSLQLFAEMVESGIEPNIMSYTIVIQCLGKAGRIQEAVDVLEAGMAKGLKPDDRLCGCLLSVVALSSGDETEVILACLEKVRSNLVKLIRMLGDARVGVEDLRVELKGILNGAAPEVRRPYCNCLIDICRNHGYPSERAVELFRLARHYGLYSKIHTRKEEEWSLDLRSLSVGAAKTAFDDWMKTIREHNEEEEAALPQTLSVYTGSSTHKFAQGLATAVASHLEQVGAPFRASESQLGSFISSRDDLLSWLHTTMSSPDVARSTPNHSSSSSFRNPNQSTEQGTGRDGDESSPEKGERLERDQRGRRGDKMILAVLFSNSDGNILIERFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >KN538745.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538745.1:51325:52898:1 gene:KN538745.1_FG020 transcript:KN538745.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGKIKTVVVLVMENRSFDHMLGWMKSLNPDIDGVTGDETNHLDAADPTSRAIRFGDGAEYVDPDPGHSMQAIYEQVYGTPFVDARATPITPPGVPSPPMAGFAQQAEKEKPGMADTPNRLFVHSATSHGLVSNDTKLLVAGLPQRTIFDSLHDAGFSFGIYYQYPPSTLFYRSLRQLKYAGNFHPFDLAFRRHCAEGKLPNYVVVEQRYFDLKMLPGNDDHPSHDVSEGQRFVKEVYEALRGGPQWEEALLVVTYDEHGGFYDHVPTPVGVPSPDGIVSAAPFFFEFDRLGVRVPALFISPWIEPGTVVHRPSGPYPTSEFEHSSIPATVKKLFNLKSFLTNRDAWAGTFDVVLTRDAPRTDCPATLPEPVKRRPTTEAAEQAALTEFQEELVQLGAVLNGDHADMDVYPRKLVEGMTVAEAASYCNTAFKAWMDECDRCRKCGEDGSHIPTVVKPPPPPSTSSSGSSSFASKLLSCFACGRPNKN >KN538745.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538745.1:103612:104828:1 gene:KN538745.1_FG021 transcript:KN538745.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDGNHVRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKKRSDLFFLLACQDEEVYQKFCGSSGSEGDVEAIRVVRDPDTSLGKGIAYVLFKTREAANTVVRKQDFKIRDRLLRLAHAKSADATPKKTTDAGKTKGGSKHKTALTPSSKSHEGSDKTKRKASTLSYQGLRASKSGVVKKAKVSQRPSYQGKQQGRTSETGHDASSQKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKK >KN538745.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538745.1:72366:74563:1 gene:KN538745.1_FG022 transcript:KN538745.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLKDMVLKLSGTSRHHGQQRRGGSPPPRGRTTSVYRSGYYRPGMVQDDMAVPPATYLGGGGTSMSSASSTPAWDFSRPAEGEAREWVAQVEPGVQITFVSLAGGGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERAWQQQQQPQRAGKSPTAASDAMDAARTTSCSSRDEVSISNASELEVTEWVIQDEPGVYITVRELADGTRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >KN538745.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538745.1:105049:106247:-1 gene:KN538745.1_FG023 transcript:KN538745.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMAHLLSLLVFILVAIPSSRSHALPSSSSPFDAALATLQNQIAYRFHAPDLLRRAMTHASYSRENGRALAVLGLAASQKLMSKHIDALTGDNWARLAHIQQPKLECKYLNQKQNE >KN538745.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538745.1:168371:168637:1 gene:KN538745.1_FG024 transcript:KN538745.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWSESENARFEQALATYDSDNPNRWELIATAVGGGKTADDVRRHYDHLQHDVTTIDDDHSHAAGEALPNGNNNNNTNKFTSFLPS >KN538745.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538745.1:22262:26362:-1 gene:KN538745.1_FG025 transcript:KN538745.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARPPNAGLGAGARFGAMGADPQAQ >KN538745.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538745.1:5826:11932:-1 gene:KN538745.1_FG026 transcript:KN538745.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFPPPPACPVCSTTRRGHPIKPGNQVIALPRERDLIPYLSASACSLSLAKRQKCLYGRPLTLYRDLVSQGKLQHDIYQENVATQLDNLLRRLEQYEMEMEDYHARLSMWENTREKERRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRRKRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSIESSAFSWISSLPFVGKIKDWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQLVMNLAVKFHQSIIPWLKVLLPIYTWISSCDVTVFKAQDSSNRNYKDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVERVHSSLQQQSSVLTKSSIVSQSAPSV >KN538745.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538745.1:40840:41886:-1 gene:KN538745.1_FG027 transcript:KN538745.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETVPRDRFTFTSALQACAGVGSVELGHAIHRDVVRAGLASDVPVCDALVDLYARFGDVRRALQVFDAMLDRDGVSWNIMLAGCLRHGLSQQALELWRRMLREEHEPDSITLSTMLSILPSVCDNGKWGLEIHACAIRHGLETELSVANALIRMYSDKNEQSHALLVFESMTTRDLQSWNAIISAHIRDYRILMIYRRMVDSGMRPDETTFALVLSACDNLGLVEGGMRLFSEMENEYRIPPTMEHYTCMVNMLGKAGMIHEAYEFMSKRKPLDNEPTVLRALLQACLMHRNARVGEIIAKRLIELEPDNEHNFVKLMEIYQNVGRLVEVEKVTKTMRDRGLSCQS >KN538745.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538745.1:53477:55826:-1 gene:KN538745.1_FG028 transcript:KN538745.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLKAAELPQFSPDICIIESTYGVQQHQPRHVREKRFTDVIHTTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELQPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEAGESVNGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESSTEESDVPTLIVHERVTIRLESESYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPVEEAVKTQEETERVAQKVVYALMVSLFGDVKVAEEGKLVISVDGQVAHLDGRSGDVECENATLRERIKTAFRRIQGAVRPIPLISS >KN538745.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538745.1:81614:82368:-1 gene:KN538745.1_FG029 transcript:KN538745.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPQPYPGNYVESLLVVLEQLLVQSQILHQALTQKHDFPANLAAFEVQDPTYETSRIFQAKGGQPQPVEGTEYRAGQAA >KN538745.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538745.1:36378:38682:-1 gene:KN538745.1_FG030 transcript:KN538745.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEVLKRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQIFTDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLNCVGTGHSKKFLYSPYSADADKSEEAAAEELSKAAASQGGKATKGQQKKRATALR >KN538745.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538745.1:15688:16192:-1 gene:KN538745.1_FG031 transcript:KN538745.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKNEKAEKECGLPVIMPGDKIPKFFARPCPHEKCLPAAEEEEAEVQVKCSVPQSS >KN538745.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538745.1:193095:202579:1 gene:KN538745.1_FG032 transcript:KN538745.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDAGAVSTGASGVPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICSLSPGVHQYKFCVDGEWRHDDRQPTITGDYGVVNTLCLTRDFDQINTILSPSTPGSRMNMDVDNDNFQRTVSLSDGIIQEGPQRISEAAIQISRCRVADFLNGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYARNEGSWRANHHLVHATPYESLREIAMKILQNGVSTVPIMFSSSPDGSYPQLLHLASLSGILKCICRYFKNSQGNLPILSQPVCTIPLGTWVPKIGDPNGRPLAMLRPNTSLSAALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPVNSSFSCLVSLSGSGADVRRLFVQVEPHAMHVEEQLEIVQVKLNEKVKEHIEEKAGRAVAKHSQLVKEVDVRLSARGGELSRGPKICRCEITLFTKRHGVIRAEEDAESTYASIDLASSIIKRKLRKIKEKETDVRHLKGTKPPVSDWPPSSLDNNDDDALAQLKDLEEAVGAEDEDTVLTKVVRTKVFEMPPLSVEEAMEQLVNVDHNFYAFRDEKTGEMNVLYKRKEGGFGLIVPKGDGHLHKETIPNSDHHHPSLAA >KN538745.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538745.1:92787:93289:1 gene:KN538745.1_FG033 transcript:KN538745.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPIAGDGEAGPCSLATAGHRVSGELYAVTPRGLDRLDELEGVSRAHYERLPISVLLAEGAQVDAVAYYAHRGYADDLWARSGEKGYPEYSPVVAGGHIRRKDRPQQLTFLEQIRVFVSSQSS >KN538745.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538745.1:19816:21117:-1 gene:KN538745.1_FG034 transcript:KN538745.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MHSRHQRLASLTKLLTSHVNAGRHRDALAFFSRMVSDPSLPPLSDPSFAYAFPLALKSSSALRLPSAAASLHALAVKCGFLSSPFFASALVASYGACASPALARRLFDELPHRNAVVCSAMISVHIRSGDLAGALRELDLMDVAPTASCFNSVIAAVAESGEHPARAIDLYRQMQRMGVLPSLITLLALVPSCTALGALSSIKEVHGFATRHGMFASCHLGSSLIEAYGRCGSLAGARNVFDQVQERDVVVWSSIVSAYAFHGHADVAMSLFRHMELDNVRPDGIMFLGVLKACGHAGHADDALKYFDVLTKRFGVEACGDHYSCLVDVLGRAGRLHQAYDVIQTMPVKITAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSGMGMHDKAEQVRREMEQRGVRRLPGSSWMIHRKSRC >AMDW01015879.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015879.1:74:239:-1 gene:AMDW01015879.1_FG001 transcript:AMDW01015879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDIVGDEFTSSTTGSVRWDKFESFATNLLLNHDAPFLDRFRLRLPSSWHVMG >AMDW01026439.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026439.1:54:298:-1 gene:AMDW01026439.1_FG001 transcript:AMDW01026439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLVKVVIPRPDPSGAPVAGVGRHESALQVFLEFADVESSTKAKNGMHGRKFANNQ >KN539960.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539960.1:54861:58869:1 gene:KN539960.1_FG001 transcript:KN539960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIHPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEDEKRMLQKSKELDAFDQQNHGAVPQYHDRSGSEDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKIEAPSTDTICPEGQEKLKLKSLFPISFTEENTDQKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLVTDKLVAPNCAVTSKLNASPPSVINASSSEASSDEKKVPKNYKSYVDSKDGYSYLYPADWRDFDFLGHDSAFKDRNVALQCVRVGFIPTTKTDIRDLGPMDEAIFNLVNNVYAAPNQIPTVYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKLSDLTA >KN540524.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540524.1:1347:2028:1 gene:KN540524.1_FG001 transcript:KN540524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YSDQAESERASVLDKFRQATIQWNHTKAAAADIADSPKTESSLEVSIEIFQEAYFRHGIVINMVVGGEVANLKALEETSGLLIAEMPIHVSEIL >KN539960.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539960.1:1248:9102:-1 gene:KN539960.1_FG002 transcript:KN539960.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQLRPPFLDTNSFLTQDLDEFLLNEFAALSAAAGASDDDDDGEDGEGEGSDGEVISGRARRRRTLAREEAKLEKEIVRLVLAGEAEEALKPNSGQSVAVGAHHICVGFHDDSGGEYRVWEWHGHVMIFDDEDGYSAEYIYGNHFEPLAAATARAKKKEKEKREKELSSGLRDLIVGDAGSGANGSKENGKGGAPRVVRRNVVNAPAAPARSLISDSSGAISQMHRLFRLQKQQLCTWIRHDDLDMLTDELERKRYRTLILMADTFLANDLRQFPSAKRKSFSLYVNTCKHFHLDVEGVETCRLLVSSVAVKCEASNSPSTTVGFDRMIAADYDLFHHMSFSPSLQNLQSPTFFTTRSSESYLGESSIYGGGARPALAQFSYSQPIAATSAAHLVRWTAAGEPMTGDGGFRSSKRLKTATTATTQSPRHGVKCHAKPRNQTTKATCKKRSQKLGDRITALQQLVSPYGKTDTASVLHEAAACIRQLHQQIQDAGGGGGTATELRRRGLNCNSIQFNYEVFGEVEEEETRRCTRRKKRKPHTPTSTRVVLFLFSSLLPPYNKTPTEDWQGLVDYGKKKNEFEWKRKLDGGFRIRTWNDNLEGIHCMRE >KN540524.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540524.1:40224:41291:1 gene:KN540524.1_FG002 transcript:KN540524.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMDQRAIIHGEKMEEAAKKENQMWRASYGGRFSAKRDHHHHGWWSQSSFAATDGPDRSSLFCTCDTGDIGTRAVLELAGFSNSLFEKSKTESSSNAAKSCFRVFNGKLENVGYKSSGTKEADQKANQERGECRQGAEQ >KN539960.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539960.1:45243:50923:1 gene:KN539960.1_FG003 transcript:KN539960.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MKDCEANVQVVLRCRPLSEEEQRANVQSAISCDDSKREVTVLHSLFKQADKTFTFDKVFGPKAQQRSIYDRAVKPIVKDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKAGELSATAGVIPRAVRDIFDILEERKADYSMKVTFLELYNEEITDLLALEDQSRFPEDRQKRAISLMEDRKGGAVIRGLEEVVVYSASEIYNLLEHGSARRRTADTALNKQSSLDTDNVHLWTCSRSHSVFSIYIHVKETTVGNQELLKCGRLNLVDLAGSENIARSGAREANQKVCKSVMLKDLYQEMERMKQGSQVYITKLSDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLRAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLRDTVVGCVCEQRQFLESMNEQNKIYFSTKSEFLAVMVSEAEQVSNDVFKSISELKELLAFSAEQQEVMLKRDLVSAQFMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVSDCVGQLNGKCREEQKHLKLQISNLQKVSDSGVKEAAAYAAKVESQFSEDKLSHCKIKDQMEDILQQSLKKTVHSVSYWSHTETSLEHLNKISVVEADDFIEETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLRDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >KN540524.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540524.1:19411:20451:1 gene:KN540524.1_FG003 transcript:KN540524.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MSTLIDGLPNEVALQCLARVPFLSHPVLQMVCHSWRASVRNGELSKVRNQISATEDLLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLRRVWAQRAPMLVARAMFACCALDGNIIVAGGFTNCRKSISKAEIYNPEADTWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLPTVQILEDGNAWAVEDYSWLQGPMAMVRGELYVLSNSCIMKQRGVNFPDKMVSCASEFQSRIGFGMIGLGDNIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWLPGSPMTHCRGSISGCALLRI >KN539960.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539960.1:24938:26456:1 gene:KN539960.1_FG004 transcript:KN539960.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFGAPGEDRQGKPDGGNGERGGDVILECSSVVPEVEANASSRAANDHDSIYSRENT >KN540524.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540524.1:15430:16173:1 gene:KN540524.1_FG004 transcript:KN540524.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSASASSSGKDAAVVGLGRRSVLAASFRRFAYLIDGDLRSFVWLNDDDAAAAPARAPPPRSSPSASTRRRTLTPTAQPLLRRHHRHQGRQRRGRQRQGCRDHDHRFQPLHVTQPGAFRPPQEAAAAAGRQALIRTVLHEGHRAPGDHAGVRRQGRRHEAGAGALLVQEERRPVIGSMLQAGRKMVYDLDENTLTFDFETPSSSMRSSSSPSPTASRSSAAAAAALTPSVFLSAAWVVLLLLAVVM >KN539960.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539960.1:59612:67410:-1 gene:KN539960.1_FG005 transcript:KN539960.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGALAAAAHGAALVIYLHYFGRSLNLLDSERVESALHGRSDELLHRFKEHALYIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLVVGLINCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAIAYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGSTLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLERGYETQVGRAGMALSDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNGKERKSLQIEDLSASQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNKNSHDSPKDQSPPSEQTIDNGIPLVATERVPSIKRQDSFEMKLPDLPKVDIHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPEELQHHKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIDVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEVGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQVICLKGLLVASIAGPKFDILTKIVICVAFCAGNKIMELYRLHLGKILKQSLLQGLAIGFGFGFSQFLLFACNALLLWYTAISVDKQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLISVFQIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVTGQVLLDGRDIKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTAGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >KN539960.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539960.1:13165:13302:-1 gene:KN539960.1_FG006 transcript:KN539960.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLRLGVGIGVVGKNDDEGKKKKKKKAAVAAELVFDLNVPALE >KN539960.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539960.1:52842:53351:1 gene:KN539960.1_FG007 transcript:KN539960.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQERDEARSQGKILLAELQARRNNAHAMLARRALVSQSASPDAAAFAVHSSCSRALRMGPKPFAGTPQGQRDAPHTEAGCSYRFAGSGGHKNTAASASAAACSTSAVVPSSGHEFACSSQEEDSFDPDMFLVDPSESPQDFAANTSSSGVRDDRQWRVLEQANLQSRGK >KN543075.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543075.1:4367:7793:1 gene:KN543075.1_FG001 transcript:KN543075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEIVSMEEFAVILGRHFTSLYPQVSEATVTIAERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNSKSHAGGLQLKLLRNSHISKTDIYFLEQFADDVYLPTDEPHGTIEATVSRPKSKL >AMDW01009488.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009488.1:106:216:1 gene:AMDW01009488.1_FG001 transcript:AMDW01009488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFEPVIEGNLGLSAEEIETALIANNHDLARIHIALIK >AMDW01082444.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082444.1:342:458:-1 gene:AMDW01082444.1_FG001 transcript:AMDW01082444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYYIEYTLQNPGEQRRHIVSAIGMAFNGWYNRLYTVTGQ >KN538947.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538947.1:109674:111010:-1 gene:KN538947.1_FG001 transcript:KN538947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDCDEWPDDFELRANGGDMNVTYETADRPGYDYLTPRVSCIWSYEGNYMSSVMIWDEEKWPEKKACLVGGGRRCELVFENKEEVLVVTTSSPAAPGTGSSRRVLGDLAVKDCNTHWFVT >KN538947.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538947.1:74090:77020:-1 gene:KN538947.1_FG002 transcript:KN538947.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFVVHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >KN538947.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538947.1:12178:14911:-1 gene:KN538947.1_FG003 transcript:KN538947.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKYRDTYFLQKLCNLKGDDGFRMNDVLVPLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLILSCIGGAYALIPYFVLWKPPPPPIDEEEIGQWPLKFLESKLTAGVTFAVGLGLIVYAAKAGGEDWQEFIRYFRESKLIHITCLDFCLLSAFSPFWVYNDLTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLAATGPSDDKTQ >KN538947.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538947.1:180279:183374:1 gene:KN538947.1_FG004 transcript:KN538947.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQLSDAGLLNYSLAGCHGIQYLNLSANQFTGSLPELAPCTEVAVLDLSWNLMSGVLPPRFVAMAPVNLTYLSIAGNNFSMDISDYEFGGCANLTLLDWSYNRLRSTVLPRSLVDCRRLEALDMSGNKLLSGPIPTFLVELQALRRLSLAGNRFTGEISDKLSILCKTLVELDLSSNQLIGSLPASFGQCRFLQVLDLGNNQLSGDFVETVITNISSLRVLRLPFNNITGANPLPALASRCPLLEVIDLGSNEFDGEIMPDLCSSLPSLRKLLLPNNYINGTVPSSLSNCVNLESIDLSFNLLVGQIPPEILFLPKLVDLVLWANNLSGEIPDKFCSNSTALETLVISYNSFTGNIPESITRCVNLIWLSLAGNNLTGSIPSGFGNLQNLAILQLNKNSLSGKVPAELGSCSNLIWLDLNSNELTGTIPPQLAAQAGLITGAIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPDRLANFPAVHLCSSTRIYTGTTVYTFRNNGSMIFLDLSYNSLTGTIPASFGNMTYLEVLNLGHNELTGAIPDAFTGLKGIGALDLSHNHLTGVIPPGFGCLHFLADFDVSNNNLTGEIPTSGQLITFPASRYENNSGLCGIPLNPCVHNSGAGGLPQTSYGHRNFARQSVFLAVTLSVLILFSLLIIHYKLWKFHKNKTKEIQAGCSESLPGSSKSSWKLSGIGEPLSINMAIFENPLRKLTFSDLHQATNGFCAETLIGSGGFGEVYKAKLKDGNIVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDFVLHDKGEANMDLNWATRKKIAIGSARGLAFLHHSCVPHIIHRDMKSSNVLLDGNFDAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQDFRCTTKGDVYSYGVVLLELLTGKKPIDPTEFGDSNLVGWVKQMVEEDRCSEIYDPTLMATTSGELELYQYLKIACRCLDDQPNRRPTMIQVMTMFKEFQVDSGSNFLDDFSLNSTNMEESSEKSV >KN538947.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538947.1:7753:11074:1 gene:KN538947.1_FG005 transcript:KN538947.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) UniProtKB/Swiss-Prot;Acc:Q9SZL9] MAGAKGSRCAMPACDGSAMRNERGEDVDPCECHFKICRDCYLDAQKDGCLCPGCKEHYKIGEYADDDPHDGKLHLPGPGGGGGNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGIPYRIFIVIRMFVLLFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANVWVPFCKKHDIEPRNPDSYFSVKGDPTKGKRRNDFVKDRRRVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGSHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDDDQMIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFMLNFDCDHYINNAQAVREAMCFFMDRGGERIAYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMLLQRISYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQKLDIAFLCYLLTMTITLVALGILEGLLKVMAGIEISFTLTAKAAADDNEDIYADLYIVKWSSLLIPPITIGMVNIIAIAFAFARTIYSDNPRWGKFIGGGFFSFWVLAHLNPFAKGLMGRRGKTPTIVFVWSGLLSITVSLLWVAISPPEANSNGGARGGGFQFP >KN538947.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538947.1:163175:174041:1 gene:KN538947.1_FG006 transcript:KN538947.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFISLTVVFFSSLSIFVPSGEVMRGVNPQRWRCEKTQAWSFWSSLRASDLPGGLYGDVSKNMLKPAAAVSVEQAEASAHLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDFVVGHGEIWSAQLLSFAIKKSEAPCSCMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFARQPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKAGQVTIWTDVDGVFSADPRKGLCRYEELTSYFGANVLHPRTIIPVMKYNIPIVIRNMFNISAPGTMICQQPANESGDLEACVKAFATIDKLSLVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSATLHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMVLSDTGIDLAHWQEQLQTGAEPANLDKFVDHLSENQLFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDKYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWARECKDAEAAGEVLRYVGVVDVVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >KN538947.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538947.1:127038:128818:1 gene:KN538947.1_FG007 transcript:KN538947.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRERLREDVKRRKSASSFKPRGAKELILLIIERLAGDDHNCREICDTQGLLSKITAPITSRAFLDAVCEDDDVWFDILSTSLRVLARLISSPGEASTRLLQETSTSQDMEPALQILAQVALGPYTGLMTKEEFVSLLRDIFFGNKDNMGRLRRKAGELLVKSLSTPSNGDGIVGTIMEILCEGDSKDVLDIRRYGTVVDQLTEMLVKDKQCQISAAAILEHLCSRFLKSCQLSKQDAINLLTTVLGLILSSNTERNAVAGSDSSNYAGAATEARGSDYSAIARDEESQPPKDAVQDKSPTEQDDKLSQEKKLLAALLSLTMVICEKLIDADDFSNVAHVDRELLKKLIEIIDVNNDATADCLRIVKLSCQVAILAIQHKPSCAKDFNEHDRNHVLTKASENLLELDKCMFFAGNDHEAIKPARSLSSLVKEAQERFKEAQARAR >KN538947.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538947.1:70747:71184:1 gene:KN538947.1_FG008 transcript:KN538947.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGTEICEGLSTSSKCRMKSASRGRLSSAVTSATVDRIWPQRSAPADVDASTVDVDVAASALGCNIDAAFIVKDVSTSIMAGASGTDEAIIMEAVPTSTSTSASGVDAAFILEGKSFPMTGACGTLIVETRLCLSTRTDAAFIM >KN538947.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538947.1:39293:41959:1 gene:KN538947.1_FG009 transcript:KN538947.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRPPAQGLRLCAAHSVGGAGVACMCSALALLHGCICQPPEQWESRESLEVMLHSCHNLQWLSAELCQARWLLDNRHSFSNLRHLQLLMNLKTEDANKIHYAASLIRAAPFIEKLEVHFGCPHHVWFSDKGYVAPHLEQHEYSYLKNMHITGYKGERGQLEFLKDVVENAPALESVTIETTQIYICESSKLSPTRMSHVT >AMDW01040713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040713.1:251:1942:1 gene:AMDW01040713.1_FG001 transcript:AMDW01040713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKIDDNQLVTFMQHMFDVEVINLVFGGFPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICMRHEGLGSEAADVSDNQESNLRHWFRSQISGLKDREENSENQTDCPLKTKLYACRYMDEIFVAVVGSRDVAEDIKSEMITYLRKTLFLEVDDRLYLMPVRSNPRGLQFAGSMIRVTTKESAALKAVHKLKEKVHLFASQKQEIWDAMNLRLGKKWLAYGLRRVKESEIKSLGLSTPLLDHIAQFRKEGMKTDHWFKTLLKVWMQDINAKREADESILLSKYIAEPALPQDLKDAFNNFQKQAKEYISSETAATEALLSSLKNKESAITCNDGAVIKIHAPISYIQKCLNRYGLINLEGFPKHVSALVLQDDELIISWFAGIIQRWMRWFSEVDNFKELKLMLVECVRKSCIRTLSAKYRMYEKITEKRFELDDYGIPMVEDFEAIMAPLESSSLVCTDEALMYGISSSGLFVLTLSRVRVPSWQFNCFVMGCQSASPSMYVLHVKERQRFPGLRTGFSSSIHGSLDGRRVGLCTQHVKDLYLGHISLQSVDFGLLIDDSNKIP >KN538947.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538947.1:104446:106099:1 gene:KN538947.1_FG010 transcript:KN538947.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTTILLLALLGLLSSTWPPPLTACAAGQHCDDTAAGAGSLPSCFLAAGVRNFSLAGSPAYDALLNFSIQNLRFALRPAGVPRPATVVLPLSRSELTSAVLCTRDASLRIRVRSSGHSCEGLSYTVGDGDDDADRVRFVVIDLMRMNRVRVDAASATTWVESGATLGEIYYAVASSSSSLAFPAGSCSTVGAGGHISGGGFGLLSRKFKLAADNVLDAILVDADGRVLDRSSMGEYVFWAIRGGGGGSWGVVYAWKLRLVQFVGPALPDEFYLSVFLTIGGGSSSSPRDGNVTVSFTGLVLGSKELAMSVLSERFPELGLAEPEMSEMSWVESAARFAGLSSTEELTSRASRTKHYAKSKSDYVRSPIARGAVAAILRVQYGVTWEAGELGGEARMAWLRALYAYMAPHVSKNPRAAYVNYVDLDLGTNIALAGNVSSPSSTSPVSRARSTWGSAYFSPANFDRLVGAKTLIDRSNVFSNAQSIPPLQI >KN538947.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538947.1:111993:118901:-1 gene:KN538947.1_FG011 transcript:KN538947.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQSITKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPNCKKRYSAFDALQLISYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLQAQLNRVKDLPAPEFGSLQSWERANIGAFGTADPSAADSSRNPQGQYGTPMPYLGETKVEVALSGTGVKDEGAESGTNGNGLKVLPPWMIKQGMNLTKEQRGETSNSSNLDEKSEVKDEKKQDSKEDEKSIQDEYIKAYYEALRKRQDEEEAKRKIQQEGDTFASASHSERQVGMKSKREDDDEGVEWEEEQPAGNTAETYKLADLNVEAQESGDEEDEIDWEEG >KN538947.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538947.1:130395:135505:-1 gene:KN538947.1_FG012 transcript:KN538947.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLKWLCWWSQHDSLERPSTYDLLKAATNNFSSKSKIASGGWATVYKAQMRNSLEIAIKVYPMGTGEKRVFSQYERELNLLTKLQHTNIIKLLGHCTGEWELILIYEYMPNGSLDKFIHGPNREVSFDWFSCFKIIQGIAEGLLYLHTGYIAPEYLRGGILSTKVDVYAYGVILLEIITGRRSCIPCLKDDEYVHLTEYAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPYMLDVLTMLRDEKIVAAPKKPGDLLLGDETSVESGDLLLGEETSGETAHWFASSGATCSSTEFTVPR >KN538947.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538947.1:143786:144419:-1 gene:KN538947.1_FG013 transcript:KN538947.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVQAQNAFAAAHSVYAISLRDTGAALSEFAHGEGGAADDDGAAGEEILKSSEYLSLSCTAEVAAMEETSSEAADRSLHQKAAAMVASSMQTYRSKPLSFWLLLVLSAGAMLTAFPASSLLSRLYYNNGGQSKWILSWSAVAG >KN538947.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538947.1:81621:86123:-1 gene:KN538947.1_FG014 transcript:KN538947.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLASAAGGEDGRRRRRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDDTFRDLFQCIIKKTAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSTKDLENIKSMILDHLSKIEHVPSTQFHDRPSDPEAPEQEEEDMDKRPPQRSRLWSGGAYESDTEDPDNIKTETNDLSASSVMKDESNDDS >KN538947.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538947.1:49744:50404:-1 gene:KN538947.1_FG015 transcript:KN538947.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCFGAAGPSRYRGTGIFSQILPMKVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKAA >KN538947.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538947.1:108060:108320:-1 gene:KN538947.1_FG016 transcript:KN538947.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLGSLMCAAGGVAQLPRPPPRCVLRGKGEALSVVHMSVAPGASTSHRVASGGAPRRRTATPLRSLAVVAQLLRRQESPDLSYL >KN538947.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538947.1:52035:65041:-1 gene:KN538947.1_FG017 transcript:KN538947.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEENATAIRGRVAATERELPVGSVSMYNTIKTNMRGRDAATGENATAMRGRRDAATGENATAMRGRVAATERELPVGSYSCSHGNTCFIGSCIYLVLNEQNVHMVSIYITILICMEGYRLEIRINTLANGNQFWPDIFAARFKLIATHFEFRTTLALQVKRVVVDLLLDNNIFLMHNRDFYNNIFSLHSWEFYSNIVLMHNRDFYISYNLYGKFLIEVLKVFPYPINITTVQFAVGTVVALFMWITGILRRPKISGAQLFAILPLAVVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTVWVILSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEGLNVKQVLTRSLLAALCFHAYQQIFCTKLMHICKIVEKLEPFWQ >AMDW01054006.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054006.1:101:787:1 gene:AMDW01054006.1_FG001 transcript:AMDW01054006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NPVIPGGLPTAFKNLRSLMLRVTMHSNDDLAWATMLLEVAPALESFQIELISNEKREHPGGVLWEPSDFEHHRLRQVKFYRFRMRQGDVALAGLLLARAPLLQTMAFFRGFVHNPPNWIT >KN539778.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539778.1:35255:36785:1 gene:KN539778.1_FG001 transcript:KN539778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLATGCSRRRHAVVVIDAGDRLSSLPDDILHTIMSFLPVWQAVQTCVLSRRWERLWCSMPCLNIDQQEFEDCGRDREGGGFEEFVNNLLMFHSAPSLDMFKFHVTHSYDYKVVDRWIRRGIKCCPAVVEICNSSNAHMYELPNFGSSARRLKKLHLAVIALVKGFTQHLPSACPVLEDLELDKCCLDYPEITSFSLKNLTLTDCTTCCGKVLTITTPALVSFHLDITAVGSDDIIVNGMPSLVKASLCLRYPPRTGRNLPEGPCKILRNLSNLRNLELSGSKTLSVLHGVLDIFPTFYNLRTLLFNGCDLSDDIQILGCFLNNAPRLEKLTLQYCKVLRVFLNLPCKSHIFAILSSDYLHEHCLLPKASRRFQEKEKNGKSEEDNYKMSGHANFAVSKLEVN >KN539778.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539778.1:21421:22880:1 gene:KN539778.1_FG002 transcript:KN539778.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNSAEVAANDGSSNGGEKQQQQEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALEGADERLTLCRADVLDFASLRAAFAGCHGVFHVASPVSNDPNLVPTAVEGTRNVMNAAADMGVLRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQTDVYCYAKTMEEKAAEEEAAKRGVQLSVVLPCVTVGPILQPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAHLLQMLMDLFPQYPVTSKCKDDGNPMVEPYRFSNKRIKDLGLEFTPMRKCLYDAVVCMQQKGHLPLVGTGPKCDL >KN539778.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539778.1:38201:57819:1 gene:KN539778.1_FG003 transcript:KN539778.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRGGGGGAAAGEDPEDLSRSPLQAVLLADSFTLKFRPITLERPKIHGDFVLISGDTISNMNLKDALQEHKDRRKKDPLAVMTMVIKHSKPSILTNQTRLGNDEIVMAIDPETKELLYYEDRADVSNLYVTIDKDILASNPTLQLRNNMEITPNILHYFKSTYFSQIFFYLCSQDCYIDNFKSTYFSQIFFYLCSQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFGDRQEIKLHRQGIYKASDVTLSHSAQIGANSVVGNGTSVGENCKVSNSVIGQGCNIGKNVLIHGSYIWDNVTIEDGCKVSNSLVCDGVHLGAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSADHPTVSDDDDLEASETGTCGVVGYVWENVDAGIQEEWRQSIAPIPKDKLEELQHAASFDDDDDGSEDDFKNRPTVLDQDDDSDVSAVEDDDYSKFEKEISCAGDIIKIFSNTKSFLVVHKVQHTAARVSTEQFSCLPLLFSTPINLKKSSQSRGTIPKHLSPHSKLSYSLQHADCAGALFHSVMRSALVAAQSTNGNLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKENADESDKVFVKQSEAFIQSSVTISSALISDDILLELLSAGTRSSIHGLRKLKRKMKKRSRAGAYACIPLSSWRNAAFALFEWSAELWVGSTERREMRDAVGEGAEHRVGRRSLPLEMDSWPPRIKSVWLDREKGGKCYMLSARALQITWGDTPRYWRWIPLTDSRFKEGAELLSVCWLEIHGKILSKMLSRNTDYAAYLVYRIADRSYGLDFPFQEASVSIGGSITTRQVGSVERRLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNCLACSHEKPSYSLLTTSRSSKEEIFLTDGLTSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELPACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSQCTTRQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMEAWSWRWVSSSTTRSVMAMYASASWRRKMAGRIVFEREMERERGRKTMEEAWIDRLPQDVLQRVIPLETPRDACRAAAVSPAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSKMLSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNENGEDGEVGISLMSKGPNWKRGLIVLGIEIRLKEHGR >KN539778.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539778.1:64389:68962:1 gene:KN539778.1_FG004 transcript:KN539778.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEEEAAACEIARLPEELLVEVLSLTGPRDASRAAADSDAVWLKRIEPRAVVLAEDIENPQKRADGWMELKLGELYNEEGDDGESMWLDRETGFKCYMLSARALQIVNLTHSWRWISLTGSSRFSEVVEFLKGYRVEVCGKIPCKMLSGNSNYAAYIVFVVAEDSCGLASVWVATVGVGGRQSRQVCLDSSNRNDYYYEGEIEVPQDGSVILPQERADGWMELELGEFYNQEGNNQGEGIWFDRETGAKCYVLSARALVIKCSDTSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADELYELDTPPHEAMVSIGDNESRREVAFTGRNPHSFPPERRADGWMEVELGEFFNEDGEDGAVYMRLMSKGPNWMRGLIVLGIEIRMKRSGR >KN539778.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539778.1:15561:16972:1 gene:KN539778.1_FG005 transcript:KN539778.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLLYERPEARGRYLCIGTVLHRAELLRMLRELFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKGHLPLIYPVPKRAYL >KN539778.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539778.1:61007:62786:1 gene:KN539778.1_FG006 transcript:KN539778.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEACDDCECEIARLPEELLSAAISLTEPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKGMWLDRETGAKCYVLSARALVIIWTCTPRYWRWIPLTDSRFTEAAELLRVCWLEILGNIDSRMLSPNSTYAAVLVFKIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLIVLGIEIRIKKSGR >KN539778.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539778.1:3289:5795:1 gene:KN539778.1_FG007 transcript:KN539778.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRHLHAGVAISAVVLLLGMVLAPATPASAQPLPGCPDKCGNISIPYPFGIGAGCARDDGFNLDCSDSASPPRLTLQFKKPQQMVSLSLADGEARVLLKPESKCYPRSSPRSGFDVPTSSYTSINGSTTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSPSSPGNDTVPRLPGRCTGERCCQSIIPPTLNFYVPRMFNFENGMAAADDELRGGTTPCSAAERNATDYACRSTNSKCFNTIDGQGYRCNCSEGYEGNPYLDGGCTDINECLRPEKYGCYGDCTNMLGSHTCVCPPGTSGNPTDRNGCHPKDNFTLALKVVTGVCVGVFLLVFMCFWLYLGLQKRKLVRTKQKFFEHNGGVILQQQMHSAGGTHGFRIFSTEELKRATHNFASDRVLGCGGHGVVYKGVLEDKTVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPKADIPLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEENRSLVSCFTTAMKVGRHQELLDSQVRNEMSAEMLEEITYLLMRCISMNGEERPTMKEVAERLEMLRRYQQHPWAEAEDNAEEIESLLGREQQNANYQLEQQNVLYLEEGRNYTFSM >KN539778.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539778.1:26159:27918:1 gene:KN539778.1_FG008 transcript:KN539778.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSMEANNGNNNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLDLEGAKERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYEHHGARGRYLCIGTVIHRAELLRMLKELFPQCEDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTVAQQRACL >KN539778.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539778.1:31435:33182:1 gene:KN539778.1_FG009 transcript:KN539778.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISNDNNGDQKRQQQQQLVCVTGAGGFIGSWVVRELLLRGYRVRATVRDPADRKNAHLLALEGAHERLSLRRADVLDFAGLLAAFAGCHGVFHVACPLSNRDPGAHERLSLRRADVLDFAGLLAAFAGCHGVFHVACPLSNRDPELMAVAVDGTRNVMNAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCRQKDMYCYAKTMAEMAATEEAAKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIAAKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYEAVICMQRNGHLPVVLP >KN542411.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542411.1:3677:10695:-1 gene:KN542411.1_FG001 transcript:KN542411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAYFTVFVLTASVPATGQQGFLSIDCGLDQDHNKDSLVGDITYVSDGAYVDAGENRRVTTVYKDDWKGPRYQTLYTLRSFPSSVTGERSCYSLPTNKGDKYNVRLEFLYGNYDGLDSASLTFNLTLGVNHWDTVILDTAIHYGYKAYAAVFVAWAMSTPVCLVNTGGGTPFVSTVELRPFGSLAYPTDNQSLSLYERRSMRSGADVDIIRFPDDQYDRYWYAWELTGNDPYSNISTPSAIELNTTFMVPLRVLQTAFVPVGNSNELVLRSKRRDRLPGDHLVILHFADFQDNKTREFTVSIDSGMQSGPISPPYLKGWSIINWSSDSEDLSIKLVATATSALPPILNAYEVYSRIIHEYPMTFSQDFDAIMAIKHEYGIRKNWMGDPCYPSNSVWDGVECTNPGDDKTMRIISL >AMDW01033120.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033120.1:171:489:-1 gene:AMDW01033120.1_FG001 transcript:AMDW01033120.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARVAGAVARGIVTFVFATVGTILGAITGGLIGLATESGMVRGTGIGAISGAVVAMEVVDSSVAMWCSHDSGIWSVLYV >KN540083.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540083.1:4970:12234:1 gene:KN540083.1_FG001 transcript:KN540083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCFVYAVTVLLLFIHFFSTISSVLGLLFVDSEIFSIAYIYALITDLGANMERSDMSFSVRTQLDAGGECEWASGNGISGLLAKRSNALSPSFWHMISETLKFKRDALSFQSVVGCGHVHHKEFWASLLPLCCRFFLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSRHLSVIVYQGAIPISTRKHVHLCIGEDSGSDGCGGDDWIGETREGREGSFPAPTLVDDVDRNMGKPLAASAVVELHLPMLDLSRATLNLENIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGSGFHEDGFKAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLQVQDPLFYWQVATEADLGLADAYINGCFSFVDKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLEYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQASTVLLLYSLVLGTPRWDLASKLKIDLCSRARANLFIFAIV >KN540083.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540083.1:49097:50730:-1 gene:KN540083.1_FG002 transcript:KN540083.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSLALLVLSAAYGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKKCLADLREACDVIVDEHISGNRQRIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQEEVRRVVGDSGRVEESHLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPARTRVFINTFAMGRDPEIWDNPLEYSPERFESAGGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPAGVRAEDVNLDETFGLATRKKEPLFVAVRKSDAYEFKGEELSEV >KN540083.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540083.1:35432:38326:1 gene:KN540083.1_FG003 transcript:KN540083.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAAESPNPRKRRSWRQPPGSIPPVVFLLDDDEKKPDTTADGKKEVKEEEKKAVVVGEKEVCSEKAASTSELPCMDRLREELSCAICLEICFEPSTTPCGHSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCTVNTVLWNTIQLLFPSETEARRTSIVSSSETNDDLAQQISQRSNSVAQGGMRSSSSNGIGYITQRSTRSSATNNRSFTTTGSRSTFIAQQGSSTATGRGFVRASQLVPSARVVSVRSHQSDDAALAYRLQQQEFMTAFESEGERQPPRSSSSSTVSAARANLRAMASRAIRLRARGWPV >KN540031.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540031.1:62431:62646:1 gene:KN540031.1_FG001 transcript:KN540031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAAMCGAAEERVVGTHKAPGACPRCGGAVVATDVESERRILCLPLCVKSKRKYSCSRCLRRLVTLYS >KN540031.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540031.1:2321:17363:1 gene:KN540031.1_FG002 transcript:KN540031.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRSLGLEDEISGRKEETLQLENVVREKSAQIAALVNELEVLQVPNVADNESVLKANAHNEMLEKQVVRLGSDLEDQVKKGESLEARASDAEKSLLELTRKLDHAEKINMDQKKKIEELNHSLRQVQDKLFEVEREAKLKAEELMKVHGMWLPHWVMARFVYCQDLASDKWQLHGKPVLDALAQKDLASDKWQLHGKPVLDALTQKKLVPAAKAHFNSLKKRADVYASAIATRSTQAYRVCRDTIQPSMAKAQEFADHYWQESKKFTTPYITKVVAASEPHLSRVCAVLEPYTRPVISAWRKLVMSASVPHRQVQKGIKHFVNDYGLLKSGSADRFAWFTASALVALPMFYTYKMLSAAIWRKAVAAQGTLVSIVTLWAYIYPPQHYTSPMRDWLPAEPVRELTDQERASQVVFKQILSTPPVKSKRSKVAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHASPLFIGRDIRSEKVVWGKISMVDAERRLLANALEDVDNQHFVLLSDSCVPLHNFDYVYNYLIGTNISFIDSFYDPGPHGNFRYSKHMLPEVRESDFRKGSQWFSVKRQHALMIIADSLYYTKFKFHCKPGMEDGRNCYADEHYLPTLFHMIDPNGIANWSVTHVDWSEGKWHPKAYRANDVTYELLKNITSIDMSYHITSDSKVKGTDRGVLLPKDGHQEVADVALQLAKYCIDDPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMAQVVESPDVVKNKVSFSVFGFVGAVSLKGKLNVLDGKWIQVIFEPPEVKRAMPMRVLTVGKKRSRGTQLIIEEYKEKLGYYCDIEDTLIKSNPKLTSDVKVQVEAEDMAMMQQLKPEDFVVVLDENGKDVTSEQVADLIGDAGNTGSSRLTFCIGGPYGLGLQVRERADATIRLSSMVLNHQVALIVLMEQLYRFGGLEHTAHFCLVNGDSLILQQMAHKRVEH >KN540031.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540031.1:38643:47559:1 gene:KN540031.1_FG003 transcript:KN540031.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRGDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENSVCLESEKLFLILDRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRSFLDHSEYAPGMSKVIIENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIECPDVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKQSVRFRKHLIYCITKCRSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKNGGSFSMNLNDSVTHCIAAEKKDFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILASATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGPSEESDTGETGQDDQRLDADYISKMEEDSSDRDQGAHPAAPRAVRRSRAQRGNWLAKIDHGESEESGPGETGQDDKKLDADSISKTEEYAHDKDQEPPPGAQLITLDQPKGIKSSITETPSSTKHVRNETVLGTDTAEATSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGAPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGSLLKDW >KN541482.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541482.1:10602:11953:1 gene:KN541482.1_FG001 transcript:KN541482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISSQPSLSLDLRVGLPATAAVAMVKPKVLVEEDFFHQQPLKKDPEVAALEAELKRMGAENRQLSEMLAAVAAKYEALQSQFSDMTECTSGEPCKRIREECKPKISKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDNTILVATRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >KN540031.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540031.1:32876:36155:1 gene:KN540031.1_FG004 transcript:KN540031.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSTAMPYTGGDIKKSGELGKMFELHAVKSRKSGPLSNAPSRNASFGGAASNSGPVPNAGDRSNYSGSLSSSVPGASGSARAKSSSGPLNKHGEPVKRSSGPQSGGVTPMARQNSGPLPPMLPTTGLITSGPITSGPLNSSGAQRKVSGPLDSAASKKTRATSFSHNQAVTKITTEDSYSITGSLSKLILAAVGVLFVLGLIAGILILSAVHNAILLIVVLVLFGFVAALFVWNACWARRGVIGFVDRYSDADLRTAKDGQYIKVTGVVTCGNFPLESSYQRVPRCVYTSTTLHEYRGWDSKAANTQHHRFTWGLRSMEQHAVDFYISDFQSGLRALVKAGYGARVTPFVDESVVIDIDPDNKDMSPEFRRWLRERNLSSDDRIMRLKEGYIKEGSTADLSRISRIGGETIISEENLLMVWLLPSKRTKPKLHVR >KN540031.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540031.1:67931:68771:-1 gene:KN540031.1_FG005 transcript:KN540031.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding ADERAVDDGRLREHPVAGAGGGPGVEEVRRAHPIAGGVVDGDAESEATAVGRQHGGLQGEHRAALRELRDERGNLGNLPRLVHVRQLIDADADAVVLGDSERHAVAGLVEELVPVGLAGREADAAGERVEGVERPGQRLDQPGQHGVDVVAAGAEAVEEDALDGVGAEPLRVQEGVIDAVGDPEDAYVRTHGIFSTRKVGHALLYTLHFHW >KN540031.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540031.1:18087:20708:-1 gene:KN540031.1_FG006 transcript:KN540031.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADAQTQSRAHPSTAPPVAGETAGEPVGFPQNGATNGAPLMFPVMYPMLMTGMHPQQSLDDQAQGPGIYAIQQNQFMGSTLMPLTYRIPTESVGAVAGEEQAQDARQQHGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASVIYLYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPLAAQNDGNVQPPGGNLADPANNDQAAENQEPGAAAANENQQEADGEGNRRNWLGGVFKEVQLIVVGFVASLLPGFQHND >KN540031.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540031.1:49633:57201:1 gene:KN540031.1_FG007 transcript:KN540031.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDEVNQLRDQLRKAGVHLDENPTGDKVSREKLVEIDPINNGRREKVKEAMAHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQRAREVVGGLLSAYDLSGDKVFLEKAKDITDRLLPAWDTPSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWVQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEETEKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLIDNSKAHSVGIATPTCPYVVGYTGASVELYFHYVARLVTF >KN541482.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541482.1:21432:21680:1 gene:KN541482.1_FG002 transcript:KN541482.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWWCGLQLLVVVSSLAGGGEAMAPNWDFGSIIWHFAICDERRRWMMGRSNWPCLRADGLFLRGVVVVAFFTTASVINHWGME >KN541533.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541533.1:3008:4561:1 gene:KN541533.1_FG001 transcript:KN541533.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKFLLLGVFLAALLMFSLDVAHAKRMFLMYTKSAGTNMNPTRKPGVDDQKWGGCYYPGGGYGYGGGYGGGNGRPGYGGGYGGGYGYPRYGGGYGGGYGGAYGGGYSGGGGYGGGYGGGGGWH >KN541533.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541533.1:23644:24826:1 gene:KN541533.1_FG002 transcript:KN541533.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNLLLLGVFLSALLFFFLDVAHARELAEASESEGKNVKPTGGSGVEDQKWGGAHGGGYGYGGGYGGGGYGHPGYGGGYGGGYGHPGYGSGYGGGYGQGYGGGYGHPGHGGGYGGGYGGGYGGGYGGGGGYGGGGGYGGGHGGGWP >KN541533.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541533.1:18350:20927:1 gene:KN541533.1_FG003 transcript:KN541533.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSASKKRSIGAPSQQQGSVSCALGKEVSLDAVPLYLEETEWHGEEKGTRLRCKHGLCPARRVAWEGEDIGRRFLGCPLEEDEDQCKFVQWVDPEWDSRVKKTLAGMWDMVDRGVKREAIIQADMFKAFALKDRLEKEKNDELAHKNALLDMREAQLKELLYKSASEANELCSPSSQLNQWLYDCRKCEIKDSKLEGLPNREKLEVRSD >KN541533.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541533.1:14341:15022:1 gene:KN541533.1_FG004 transcript:KN541533.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLLLVFLTALLFFFLDVAHARELSEASESEGKNVKPSGTPGVEDQKWGGGYHHGGGYGYGGYGRPRYGGGYGHPWYGGGYGGGYGSGYGGGYGHPGHGGGYGGGYGGGYGGGGGYGGGGGYGGGQGGGWP >AMDW01028536.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028536.1:88:361:1 gene:AMDW01028536.1_FG001 transcript:AMDW01028536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WPAPVWKDDNDGFDHDDIFAIASGEHFDDKRENESDQDYGFGDGSDDNIASESDHDDDAPPSPYSVSYDGDNECESYEPRDKEESDRTVAY >KN541011.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541011.1:11955:12248:-1 gene:KN541011.1_FG001 transcript:KN541011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVADKKSGMVTKEHLAGRVEEVMGDAGMRERIEAMMVVAHESVQEGGCSHGNFNMFVESIMS >KN541011.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541011.1:19768:22048:-1 gene:KN541011.1_FG002 transcript:KN541011.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPPPARPHALVIPFPAQGHVIPLMEVAHTLADRGIAVTFVNTEFNHGRVVAAMPSPPRRNGVTENGGSGKLGMGRNRIRLVAVPDGMGPDEDRNNLVRLTVLMQEHMAPPVEELIRRSGEEEAAVDGDGDGWGRITCVVADYDVGTWALDVARRTGVKSAAVWPASAAVVASLLSIPELVRDKVIDAQDGSALTQEAFQLSPDMPMMQPAHLAWNCIGNDEGQELLFSCVLAGVRAVDECDYILCNSFRGAEAATFARFPKILPIGPLLTGERPGKPVGHFWRPEDGACMSWLDAQPARSVVYVAFGSFTVFDRRQFQELALGLELTGRPFLWVVRPDIVHGDVHEYPDGFLDRVVASGINGGGRGKLAAWAPQQRVLAHPAVACFVSHCGWNSTMEGVRNGVPFVAWPYFADQFVNRAYICDIWLVGLPAVADEKSGVVTKEHIAGRVEEVMGDSGMRKRIEAMMAVAHESVQEGGCSHGNFDMFVESIIP >AMDW01040260.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040260.1:372:741:-1 gene:AMDW01040260.1_FG001 transcript:AMDW01040260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAGVLAQIEKNNRKRQGVEVLSKMITFALTQWTKDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >KN541723.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541723.1:10038:13337:1 gene:KN541723.1_FG001 transcript:KN541723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGGSSHRCGSWNTMVSGLSKSGAVEEAKAVFLAMPVRNSVSWNAMVSRFACSGDMSTAEEWFRNALEKGDTVPWTAMVSGYMDIDNAVKGIEYFEAMPVRNLVSWNGVVAGLCSAYGDGKEAINLFERMKDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRLYHYSCKQIIKEDDEKLVGLKKQLSDEVYKAVSMVLLEINEYNASGSYVVSELWNNKEDRKTNVHEALEHVLNQWKLRKRRR >KN539069.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539069.1:104091:107870:1 gene:KN539069.1_FG001 transcript:KN539069.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGLSIPMVNGATIHLLPGFRFRPTDDELVIKYLYPRAFHVPLPCAIITDVDIHQHNPWDIVPVAEREKGKHFFTRKEVKYPGSRRSNRVAGNGFWRAAGSEVPIYYKPEGTADDMLVGMRRTLVFHYGKSRSAERTEWAMHEFQLAGAGLLPRPMMRRATSNGSEPPCGCLEATIAKKSDGLSATLRAKRDSAPLMRIMVEPDSSWVICCIYKKRQRAPPVVIPPVIGDVGEAIIPHAIGDAREGQVHFIDFLGQPARNDPSSPHSCTIDPSSLEEGSDESAGDGEDKDEFTYHVFGNKFTYHISGLEVIVGHGSFSVKSLNLELVVVAEVKCLERLMAWLKMELAADPGEIGP >KN539069.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539069.1:130383:130917:-1 gene:KN539069.1_FG002 transcript:KN539069.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGIQLDSFSLGGPAEYLASDGERDVLMVRFLRSIAAFLADGTCQMQVNDGLRSVVDLAGGGGGGRSMQRLATPSATISTTRQGFHAMCPIIRLAAAVSSLSITKVMDAKRGVIHVVDLSGGMTPTSGWCSSASSPRDSAARPTCSA >KN539069.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539069.1:23759:27784:-1 gene:KN539069.1_FG003 transcript:KN539069.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRVYIYGAVSATAGNVQPVTKGGEKEQLKPLITQLDSVTDLPFPDIGSIQDWVRATLGASANGAVCSSQNSEGERRYSSIPMPFLGETKKDKHEADKCNIKAYNMIMEICCTLCPFKLILPFWDALDTVPLDVNLVYWNDGDSMPSSVAELIKTQPSLAALPSVWRAVARRRCAAAGRLRGADARDRAGHAGPCRSRSAVVRDRPRTRHASRCRTAVLRNRRSRGMEERHCLSPAYPLRGGGIFV >KN539069.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539069.1:109962:112070:1 gene:KN539069.1_FG004 transcript:KN539069.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVCINLVNGTTTRLPTNADLVVHYLHRRAIQEPVPCDFITNVDVLQHNPWDIVPAEEKTNGKHFFIHEENERLGNHRSNRAAGDGFWRPAGSEVPVYHKRSGGADEALVGMKHTLVFHYGNSSSAKRTEWVMQEFRLAGATLIPCPVMRPATGDGSILPCHRTGTTIATRHNSYGINKILDFIEMQKEENNGSPSAGQTHGPLEKTMVEPDSSLRICRIYKKRQRTPQFIIPPSIGDARELILALPTIGNTRVVALAVPAIDFLGQPSFEEGSDVSADVITDDKDGYGHGMN >KN539069.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539069.1:123366:124943:1 gene:KN539069.1_FG005 transcript:KN539069.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVGTMPSVLGFGEEAAFAAFHHPSSIGNGREVVLILPAIGNACLPNSWTISPSFEEGSSESVNVTEHVKDGGGHCSN >KN539069.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539069.1:20926:21432:-1 gene:KN539069.1_FG006 transcript:KN539069.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVPVAADMGGSAKRGSSFRGLARKAGEAAAPVESEEAAREEGKNKKKKMTTTTTSTVYRMSQEQIDGILSWDLPATDYEPRGFSDETMEMCGHLIIKGFEGRNRLLRKRRELQHYVREQLDLYGVVDIHRPHAVHVDPKPSSRDGKRVSPEFNPLCCQSIMIDVI >KN539069.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539069.1:116907:119166:1 gene:KN539069.1_FG007 transcript:KN539069.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGVCINLLNGTTMHLPVGCVFRPTEGELVVNYLYRRAMQEPLPCDFITDVDIQCHNPWDIVPAGEKKNGKHFFTRKENSHPRDHESNHAAGDGFWRLAGTEVPIYNKPSGGADEKLVGMKRTLVFHFRKSSSIERTGWVMQEFRLAGASLVPCLVMRPATGDVFMPPCGCTETTTTKKNNGSLSAAHTHAPLVETMVEPDNSWMICRIYKKRQRAPQVIIPPSIGNAREAVLAVPAIGNAGDRQVNFIDFPGHRCFEEGSDESANVITKDKGSDGYGKN >KN539069.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539069.1:47665:50824:-1 gene:KN539069.1_FG008 transcript:KN539069.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIAVYLVYAPKKAKMFTAKLLLLVNVGVFGLILLLTLLLSAGDRRIVVLGWVCVGFSVSVFVAPLSIIRLVVRTKSVEFMPFSLSFSLTISAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYAMYRNSTPKAVLTKEGQNKTGHFSHG >KN539069.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539069.1:9028:9336:-1 gene:KN539069.1_FG009 transcript:KN539069.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDAVVKAENRPSTIYRMGQEQIDGILSWDLPATDYEPVFVGDDPCYSDEKRERYRRLVLRGTDAKNKLLHKMRELQDYVKNQLALHGYVDIDEKMHYPS >KN539069.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539069.1:127419:129305:1 gene:KN539069.1_FG010 transcript:KN539069.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPAVGMSHPTEGELVFHYLYRRAVNMPLPSEFICDVNILRHNPWDIVPEREKGKYFFMQKEIKCPGSRRSNRITSKGFWRSAGSEKPVYYSQGGGSDCMLVGMRRTLTFYLGNSRTAERTKWGMQEFRLAGNGLSPYPVMKHATGDGSKPPCNCAETTIAKCTIVRFSFGKWGGGFNKYDEPSSRYNSHVDFIHGKEKRNDGLSAVLRNVLAVTPLVETVVEPDGSWLICRIYRTRQRALPVIAPPAIENARETIIPLANGNAREGQVRFIDFLRQGSHIESSSPCSCIVGPSLAEGSDESAGSVDQKD >KN539069.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539069.1:66305:68235:1 gene:KN539069.1_FG011 transcript:KN539069.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MICQKRGNERYLWGGPNSVWKEIRARNDARNQQIPDNPDRTVERILFLLEEWASLFEKSDHTVPSPTMHWRLPESGWIKLNSDGGFAADEQMGSGGVIVRNDRGEFMGASRIFFGEVLSATHAEALVCLEATWVGARLAATRVVFETDSVEVVSVVMNKSFDRFEIGPVIQELKRGIQSFQDFKLI >KN541476.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541476.1:11797:13449:1 gene:KN541476.1_FG001 transcript:KN541476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVDFEEGWRLLATSLAKQRSIIDGSMSKSSSEDDNMQLYMMVYNMCTQKPPHNYAQQLYERYKTDIDGYNSSLVLPSMRQINGEILLRGLVDRWRNHKKIVISETRFFFYPSGYYISRKSLVPLEQLNLCSFRDQVYSELKDKITRTVVDMINDEREGKVIDHALLKDVLDVYVQIGLGMECYEVDFENAFLESTRNYYSNKAQTLILEYNGPDSPEYMLKAVECLQAELERVSHYLHSSTEPKLMQDLQSELMINCARGDAH >KN542942.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542942.1:1034:3180:1 gene:KN542942.1_FG001 transcript:KN542942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKMSKKKAIVIATSIAFGVLFLLLVVVSFLYIRKRRQYKMTSSSRLLKYTTSGGTPRSKGSSDKFMESGSFHYLQTHHFAYEELEEATDGFSDARELGDGGFGTFVPNGTVADHLHGHRAPERALTWPLRLNVAVEAAAALAYLHAVEPAPIVHRDVKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLAELISSKPAVDVTRDRDEINLAGMAVNKIQRCQVDQLVDDELGYSSDEATRKTMTMVAELAFRCLQHNGEMRPPIKEVADVLRGIQDECRAAEKGGKRGSPCSPNTVHAPWDSMSTTPNTSQ >KN541476.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541476.1:19808:20917:-1 gene:KN541476.1_FG002 transcript:KN541476.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCSDDGLLQKYPVHTFSLGKAEDPTELVFIAEESKGTFSSISGNSKIMEAFAICLAGLKSVSAVDARLKISPKISNAKITPVEIDSTGFRMEGNNKAVVLGVLYAGEVKDLIIEIEFTVEYLEGFHSIDVLTATVEYYKDVQRSKSTAKCTMAVHFCATSFASDCTNERTPFPMVVQQMARFDVLLLMAEIRGKLDAVKKKKKKEDGIMLPYEAWRMLESRWEESKNSDEYLRQAQRIGVDLGRIENDIHAMVSCLKRGLGLGCFYSWVSSYQMQRATTTGLPTTPSFLTPAMEDMVHQARKQSEKDAAAVAAAAGGGTRMALRPGEARIVEVLEQIARRLEDVETKLDHRGEPSRNPHRNPTTPGM >AMDW01039779.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039779.1:128:1031:1 gene:AMDW01039779.1_FG001 transcript:AMDW01039779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQAYYSFAPLCAYLAVNYLDRFLSSVEFSVTNDMPWMQQLLIVACLSLAAKMEETAAPGTLDLQVCNPEYVFDAETIHRMEIIVLTTLKWRMQAVTPFTYIGHFLDKINEGNPITSELISRCTEIIVSTMKATVFLRFRPSEIATAVALSVVADGGRVLDFGGVLESSKLPVDK >AMDW01139487.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01139487.1:42:164:-1 gene:AMDW01139487.1_FG001 transcript:AMDW01139487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WLCGAATGLAHNWTSIDGHSCNRYDDAAEKRKVDGARRKVL >AMDW01031787.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031787.1:23:247:-1 gene:AMDW01031787.1_FG001 transcript:AMDW01031787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLLKVSPDKAVELLTDEAEGVKFALTDGVDVAGDGVIYFTDASHKHGLAEFMVDVLEARPHGRLMSFDPSTRRTA >AMDW01031968.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031968.1:42:379:-1 gene:AMDW01031968.1_FG001 transcript:AMDW01031968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAYLQHWPELEGENGSTLIGAIEALQACTLRLPVISGAQADADAVKNSISSAVDVMQALSSSILYLLSK >AMDW01025953.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025953.1:113:346:1 gene:AMDW01025953.1_FG001 transcript:AMDW01025953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLYEYRGGGSNGGRRATASGGDGVAGVQLQDFAYFVVIDLEATCERGRRIYPQEIIEFASVVVDGATGEQLAEAFRAY >AMDW01038949.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038949.1:15:315:-1 gene:AMDW01038949.1_FG001 transcript:AMDW01038949.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDMEHILISNLVPGDDSERLCVRLSRLWNFCDVKDESKIFNTNLVLLDKKGNAVHGQIFHPLIQKFKPLLTEGK >KN541528.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541528.1:11378:13994:-1 gene:KN541528.1_FG001 transcript:KN541528.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHRGRTMLCLAAIAFVSDLLTSGGAKAECLDTKCGGVDIPYPFSIVGQDSCAAMSHFKLHCKDSRPFLGAFEVLNISLQLGQLRVLNMISSFCYNTTSRIMEQHKWNKTLSTSFRLSDTGNKFTVIGCRTLAYITDRDVPIKYMSGCVSACQREGVTGATNGSCSGIGCCQTTIPKGLDGYRVFFDEGLNTSDLIYHATPCSYAVLVDSSDFKFSTSYLTSLEFNTTYSGRAPMLLDWAIRTANNCGEAQKNHTLYACKSDNSECFNSSNGPGYICNCTNGYQGNPYRQDGCQAAGPSSSSSDAFPPWKQLQQHRSRPFAANCAHVLSSTKE >KN539826.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539826.1:75421:78478:-1 gene:KN539826.1_FG001 transcript:KN539826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDNTEEREERSTDVERDGKQGKEVESDYEPARDSVSSQGEANSNEDTRAKRVSRVPKKLVKKDSKENSPRSGRINSNRQVQTKLQYISSNNLQSKSPKPNKTSDGAKTIEITKPETVTVPSCPSSEVSEEMDDKPIENIVTDDKSIEDVADDKATEGTASYDKATEGKAADDTTVEDNTTDERSIESGTDDRTIAGIAADVKSSEEAKEIDILDEAPNCDQSTGTDEEIADTEESISYDGKSAAYEKSEELESKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYVHASKFWSSDKKASVTKNFVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGISRQPSLAMKAFSTNVNAKMLGKNSSPTRRKNNYSGKHARPAIWPLPDDWRETGTLVAALEKIESWIFSRIVESVWWQALTPHMQTLVEDISSPKAGSLLGPALGDQQQGNFSIHLWKTAFQDAFSRICPLRDGGHECGCLPVLAKLVMEHCVARLDVAMFNAVLRESANEIPSDPISDPIVDSRVLPIPAGDFSFGSGAQLKNSIGNWSRWLQDKFGMVAAAPEKHGQAGDESDDRSGAADFYSFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGIPLVTRILCNFTPDEFCPEPVPGMVLEELNAESLLERFTEKDVITTFPCVAAPVVYCPPSPEDVAEKVADAGGNAEPDLRASMVQRRGYTSDDDLDDLGNPLASLYDRSSPPSPCNGASRSITRQGGSMSNARYELLREVWSERPGLSNQ >KN539826.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539826.1:8308:10166:1 gene:KN539826.1_FG002 transcript:KN539826.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSRGLQLWVNLSSHNKMIEPGYQEIQSKDIASTTSDGVTVRVIAGQSMGARSPVRTRTPTMYLDFTVRPHAAARQPGDGVEVWNRSDKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFSINGFEKAKHWKSQALAALGLE >KN539826.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539826.1:50526:51760:-1 gene:KN539826.1_FG003 transcript:KN539826.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDA >KN539826.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539826.1:40156:41956:1 gene:KN539826.1_FG004 transcript:KN539826.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHSALDADDDDGAVSDADHHSSSSYAVSTTTVDVYEFAHRFRSLLVIVFFFFFVRRLILILDRFVSITSYSVFSLAFYVWTKATTKNVPSPGHAWKVALDNVLEMETNER >KN539826.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539826.1:17175:19749:1 gene:KN539826.1_FG005 transcript:KN539826.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSHWESSSEDVTRPLLPLHDDDGAAGRRSCAALRSLLANKYLAVASGPVACALICGLVDLGGHRAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPVFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPRVDGASSSASSAADAREVQRFSKAVVLGVVYASAIGGIATLTGTGVNIILVGMWSTYFPEQPPITFSSWMSFGLPMALVLFVALWATLCVLYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWGSLFHGEVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRRLQWNIILLLGAGFAIADGFRASGLTDILSEGLGFLRGAPALAIAPVACVFSGAITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYISIKDMVIAGTPLKIVGVAALTILLPTLGSVVFGMDQKL >KN539826.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539826.1:57521:67437:1 gene:KN539826.1_FG006 transcript:KN539826.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKDQEPTELRAPEITLCANSCGFPGNPATQNLCQNCFLAATASTSSPSSLSSPVLDKQPPRPAAPLVEPQAPLPPPVEEMASALAPAPAPAATTLACFMWKYGERAKWMSTWYSHRGLCLVALMAETMPESAFVGGKGPISAIQAHQLMAVILMLTMGANITKKDSSTFKRIKQNIIMLGEEERNNYTFFPRIQYLRSAKTQARGMVENATVKQDKNNKTGDLVAWAVPYCHGGDLNELRHAQPDRVFSPAAIRFYVAELVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPVSPSASTSTSSSSCSATSSPPPQLQGHGRSQLRRIFARSESSVAATTSTSSPGQYTHNLAWFLKRSDGGGGAADHLKKAKSARVSPVVRGEGHEFAVDWWALGVLVYEMAYGRTPFRGRSRKETFRNVLLREPEFSADSRRRWPELTDLIARLLDKEPTKRLGFAGGADEVRAHPFFAGVAWDLLGELSRPPYIPPPADDIAACEGFSVVEYFNKLHEPSPEPEEEELAEFLPEF >KN542403.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542403.1:3323:4027:-1 gene:KN542403.1_FG001 transcript:KN542403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVSRLMGIALRTRWIWLRRTEPERPWTRVAPLADRKSLHCFAASSKVLLGNGASTSFWCDSWLPDGGSVQYRFPILFSFVKLSHITVAAALCNNSWIADIRGGLSVQAMGEYLALWDVIAGISLDPGSTDSMIWKAASNGDFLVRSAYSILSAGRTSCPLGKIIWKSRALARCKFFMFLAVRNAYLTADNLQRRGWKLAPIYHLCSKDGESANTSSKPTPSRSKFGCRFRLV >KN538985.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538985.1:59492:60374:1 gene:KN538985.1_FG001 transcript:KN538985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWALGRVVLAVAFLVAGAAGDAAPPKVHRNHGKFTAGPWKQAHATFYGGRDGSGTLDGACGYKDTSKEGYGVQTVAVSTPLFGAGAGCGACYEVKCVDSPDGCKVGAAPLVVTATNLCPPNPGQSNDNGGWCNPPREHFDLSMPAFLQIAQEKAGIVPISYRRVPCVKVGGIRYTITGNPYFNLVMVSNVGGAGDVAGLSVKGNKRVKWTPLKRNWGQEWQTSEVLTGESLTFRVMTGDHRKATSWHVLPPDWQFGVTYQATKNFN >KN538985.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538985.1:153740:156759:-1 gene:KN538985.1_FG002 transcript:KN538985.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKASSSSSSSSSAADKAANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDQNQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLRSRAGLSD >KN538985.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538985.1:28246:28773:1 gene:KN538985.1_FG003 transcript:KN538985.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHALYKSENNDKTFTLEYMWRELKDQPKWRRILEEDSKNKRTKISESGAYTSSSNQETEEETSQKEKRPEGQQKAKAKLKGKGKKSAPSPLGDQPSQDFVLFNEVVKLRAEAVLKSEEATTKSVEAKKEQTRVEKYQTYLKLLDKDTANFSDAKLKRHEAVLEKLATELAEE >KN538985.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538985.1:11706:16983:1 gene:KN538985.1_FG004 transcript:KN538985.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMSPVALLIYCTTASLFFLSPSSAATAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVKNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRTNNTRLLQAGSPLYIVAESYGGKFAVTTALAALKAIHAGCLAANLAGVALGNSWISPEDSVLSWGPLLYQVSRLDENGLYLSDSLAQQIKAQVKAAQFLEAENTWQSLESIILEQANYIDFYNFLKDDSSSDANLEQQQQRQRLLASLGQSRRRYSSYLSSKVTTQGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLKLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDITQSPAQ >KN538985.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538985.1:124481:127171:-1 gene:KN538985.1_FG005 transcript:KN538985.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGAIRSSAYSSQIHDHENDQAMDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKASRRMATMVASFIAVFFLIYYLTK >KN538985.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538985.1:168995:170751:1 gene:KN538985.1_FG006 transcript:KN538985.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKGRVVVLGADAAADPELEAFHLPSADQPPHSHLLHHHHSPPSQPDAAAAPPPPAPLAPPPKSPQIQTTELPPPKPLPPAPLRQLFSFADGLDYVLMTLGTLGALVHGCSLPVFLRFFADLVDSFGSHAAHPDTMLRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLHAALHQDVSFFDTDVRTSDVIHAINADAVVVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSDASGIAEQALAQIRIVQSFVGEERGIGLGGTYFTVFCCYALLLWYGGHLVRRAHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRMMEHKPSMEREGGVELEAVTGRVELRDVEFSYPSRPDVGILRGLSLSVPAGKTIALVGSSGSGKSTVVSLIERFYEPSAGSILLDGHDLRELNLRWLRRQIGLVSQEPALFATTIRENLLLGRDGATQEELEEAARVANAHSFIVKLPDAYNTQATIFCCFP >KN538985.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538985.1:1818:3095:1 gene:KN538985.1_FG007 transcript:KN538985.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFCFLVSWFFALPGDVDDDDSRTERGALGNPLAPPPLRPRTAADNVLAAAAGGGIVIGRIAGLHGCPAAARLGVHQLHAAGGGAGKQIRRDPTEHTHTMTRTKRKRKTKSEGAEAEGVEEGACADLAVVGGGGGLAGGGSGAAWLGALVEEEDLGAVDDVGLDAGDVEDVLHLRHPNHVVQMEMQLQLEEERMADLDDSVGLVSVGAAVVAEGRGGGRAVEAEHVALVPRRRRVRPARQEEARRQQRLQPLRQPRRPPCCALRLRRGHPPRHHAHHHHHNDADANADEWQCRQTQPAARLVRSWERKQERKVETSVVWLVLSLLNRLLLSSTGVWSIIVIVAWRALELV >KN538985.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538985.1:128561:129596:-1 gene:KN538985.1_FG008 transcript:KN538985.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKILMHLPVSDRCEAAVTMSYEAQARLRDPIYGCVAHIFSLQQQVVSLQAQLESLKAQATQGYGDGCSISSPQNDSCGNMLTSILQDEQQFVGPTMASNSSVKNENHSYIANGHFAPMSAQSSQGFEAELCMADYSNTNPCCSVQGNWYHDMEDLKSVAFAYLNQA >KN538985.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538985.1:67401:71136:-1 gene:KN538985.1_FG009 transcript:KN538985.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSSSSSAPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVHGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRNGPTTVGMKKTLVFHAGRAPKGERTNWVMHEYRLDGQTSIPPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEEKDNSDDQEKEISGAMEKGYLQMSDLVQNLGDQNENGTIALPVSDNSNNSNHSEDVDGNSGDILSDQNLGSNFLHHVEPVERNGLVLNENMFSSANAGDLFNISSPNDGFLELKDFADIADLENPLANESTIWPSDGWPWKSTDSMEAVNGASNEFSPLAGEQIFQPEELEQLLQSLQEDSHMGSTISDPPHSSITNLAKPEEDCLMFYDAPFDSSMCDDGFRQLNGFLGSPSTNLSGIDMVDDGMPYYDAMDDNLFNDLLSSVQPSAGSSSHAFSGPVLTQEVNNTTYTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNYVVLPDSQTKSSLIGKRFVKILDSISAPPAFAAAEFPASLRKSLAPISGAHHNTFRVSAEVISIGSLTPASQDKWSLEKDEGMELLFSAGFEPDTRVHFGCNTITAVLRGGFCLFFFSAIMLLVSYEVGMCIYGK >KN538985.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538985.1:91589:103935:-1 gene:KN538985.1_FG010 transcript:KN538985.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSPPAPEEDAAAVESAGAAARMFWHETKRLWAIGTPIAIGTITNYAISSVTTMFIGHLGNLPLAAASIGLSVFATLALGFLLGMGSALETLCGQAFGAGQVSMLGVYLQRSWIILFGATLLMVPVFVLAEPLLLLVGQDPELARAAGRFTLYVLPGVFAFAVNFPTQKFLQAQSKVAVLAWIGVAGLAFHVAITYLAVSVLGWGLPGAAAAYDVSQWASSLAQAAYIMGRCREGWRGWSMAAFHDLAAFLRLSIESAVMLCLEIWYLGLLTVLTGDLDDAQMAVDSLGICMNINGYEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVVAESLLIGLLCMALVLAFSDKLALVYTSDADLLRAVSRIAGLLGVTMVLNSVQPVLSGVAVGGGWQGLVAYINLACYYLFGLPVGYLLGYYFNLGVGGVWGGMLCGIALQTLILLFVVWRTDWKAEVVKLSLKHHVVARFPRHYHRLPLSSSHRSSQLKNCYLPNLRKESARLASKRTTCSVATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYTVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSYIKVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNTQLPNFLQCQEVPPTPGQPVKEPMFIPIAVGLVDSAGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFDHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSSTNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >KN538985.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538985.1:171840:174200:1 gene:KN538985.1_FG011 transcript:KN538985.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGERGLQLSGGQKQRIAIARAMLRNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAISEVGTHDDLMARGDGTYARLIRMQEQAHEAALVAARRSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDADFITGLGAVDSKQQQHYFRVQASSFWRLAKMNSPEWGYALVASLGSMVCGSFSAIFAYVLSAVLSVYYAPDAAYMDRQIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVRERMLAAVLRNEIAWFDMEDNSSARIAARLALDAQNVRSAIGDRISIIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFLKGFSGDLERAHARATQIAGEAVANVRTVAAFGSEAKIAGLFEANLAGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSKTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMQAVFEAMDRRTEIEPDDVDAAAVAERPRGEVELKHVDFAYPSRPEVQVFRDLSLRARAGRTLALVGPSGCGKSSVLALVQRFYEPNSGRVLLDGRDLRKLNLRSLRRAMALVPQEPFLFAATIHDNIAYGREGATEAEVVEAATAANAHKFISALPEGYGTLVGERGAPILLLDEATSALDAESERSVQEALGSGSSSASGRTTIVVAHRLATVRNAHTIAVIDDGKVAEQGSHSHLLNHHPDGCYARMLQLQRLSHSHVPPQPGPSSSTTTHGT >KN538985.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538985.1:26177:26791:-1 gene:KN538985.1_FG012 transcript:KN538985.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAAKCHLLAAWAPAKPRSSTLSMPTSSSRAPTSLRAAAEEPAAAATEEKKPAPAGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNASPIEVKFTGKNVFDI >KN538985.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538985.1:17833:19627:-1 gene:KN538985.1_FG013 transcript:KN538985.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVADRKLPPELRGRANAVRSETDIINVVEQRIWHSMEEGHFENLPGKGKPLNLDSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIARWRLALRKAWAIRSEDDRSTWHDDCRLLQEQIRQINDKVFRYNLIVPFGRQMFGLNWDKELDKLKLK >KN538985.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538985.1:48513:50968:-1 gene:KN538985.1_FG014 transcript:KN538985.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKSFSRSYKNGFVWHDLSDDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENGTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSYNEDRVCRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNLDVRNSYSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEES >KN538985.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538985.1:60862:66550:1 gene:KN538985.1_FG015 transcript:KN538985.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALICRQRQPPLLLRGQPLLCPRLPHQRRQAQAQGPPAAVAAEEAPTARKECYGVFCTTYDLRADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNSVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQVPDFLNAKINGRPVKEVIKDTKWLEDEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >KN538985.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538985.1:81940:89845:-1 gene:KN538985.1_FG016 transcript:KN538985.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVSSARDLKNVNWRNGDLKPYAVLWVDDGAKCSTRVDLDNADNPNWDDKLTLPLPPSSRLEDAILYVDVVHANAAEGVHHDSYDEQDGSLSIDGHHGAEVIEASAEHVSVDELAGEECSEEAEKVMQLLVPYTGVMNLEGLHRPLLAVQLTRLRDGVAVGCAFNHAVLDGTSTWHFMTSWAELCRAGAAAAPSLLPVHNRGMARSVRVNLPLPPSAEAHEKTDPNGPKKAIHDHDAAAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGANNKFDGMVYLYPGRGGDGGIDVELSLQPEPMQKLDKDQDFLQMRAP >KN538985.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538985.1:148153:149653:1 gene:KN538985.1_FG017 transcript:KN538985.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTDAYDLFCISLVTKLLGRIYYRVDGSPSPGTLPPHVSASVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGITLMLMGFGIITGGLVAILVSASFRAAFPAPPYHEDPVASTPPQADFVWRIILMLGALPAALTYYWRTKMPETARYTALVANNAKQAAADMSKVLQVEEMAEEQGHGGSRRPFGLFSGEFCRRHGLHLVGTSATWLLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFRIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAVGFFMMTLFMLALAVPYHHWTAPGNHVAFVLLYALTFFFANFGPNSTTFIVPAEIFPARLRATCHGISAASGKLGAIVGSFGFLYLAQSPDRSKTEHGYPPGIGVRNSLFLLAACNLLGLLFTFLVPESKGKSLEEMSGDADADAHQEEAPPPLQAVL >KN538985.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538985.1:77941:78978:-1 gene:KN538985.1_FG018 transcript:KN538985.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEGGGFMGEQAQCHPLLYNLSVLKDRVQQLHPLVGLAVAHNAHAHGPLDVSAADAIIQEIVAAASSMMETAAGATSATDTIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALSNPTKLLAKGGDETMAAAARKYSCPQEGCRWNRRHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCGRKHFSVLSDLRTHEKHCGRDRWLCSCGTSFSRKDKLIGHVSLFAGHQPVMPLDAPRAGKRQRSSSASVAGNIDDTTGIGMGAA >KN538985.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538985.1:43831:46286:1 gene:KN538985.1_FG019 transcript:KN538985.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADARKVCGLPERVQLHGAMLALQFGYAGFHVVSRFALNMGISKLVFPVYRNIIALILLVPFAYFLEKYLLFEFQKDRPQLTLSFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIERVRLSSRDGLAKVAGTLLCVAGASVITLFKGPTIFGPKLQLQAVAEVPLRAAIAGEGKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWAFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGESFYLGGIIGAVFIIAGLYLVLWGKSQERARLAKDAAAAAIATDRDAAPCRIIAAGKQSSSVTQPLLLPTSSSSDNAV >KN538985.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538985.1:20218:20466:1 gene:KN538985.1_FG020 transcript:KN538985.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSADMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVAKVF >KN538985.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538985.1:56479:58766:1 gene:KN538985.1_FG021 transcript:KN538985.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28190) UniProtKB/Swiss-Prot;Acc:O78310] MAAQTLLFSATAPPAALFHSPSSARPFHSLRLAAGPGGAAAARALVVADATKKAVAVLKGTSQVEGVVTLTQDDQGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDKQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >KN538985.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538985.1:135530:136258:-1 gene:KN538985.1_FG022 transcript:KN538985.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSGSGSGSLLVLSVSVVGILFTSIILLAYYLFLACSWRRRHSHQTAPMPPLPSFFLATTSTAADQPRRGLGLEEAAIRRIPTLRYQQQQQNKQQQCGVCLGEFREGERLRRLPPCLHSFHIDCIDAWLATALTCPLCRAHVHIAAAATTSTRQPDDDDQLLSGVHLQPMRRSLSLDSCHLYLAIILHPHQLSHTRSRREPKPAVSESERPSRTLRRSFFSFSHTTTTTSPLPTPILPI >KN538985.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538985.1:24144:25385:1 gene:KN538985.1_FG023 transcript:KN538985.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRDLLAWYAIRGMYSEVLALAYQERVHGDVPVGNSVLVMYYAKMVCRDLGTWNSMIFGYCCRSAEWEEARHLLDAMRQEGIQPGVVSYVEYIDFELCQITRMILTSTLFDSSNHIGIELWPAEKTAFDEAEKRRLEEESANSKIDDSNDDASDDEDEADDDKADVVAEQTKDSGDEKPQDIKVSADEKPNSSKYDSSLCEEG >KN539981.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539981.1:11847:14049:1 gene:KN539981.1_FG001 transcript:KN539981.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTHIIRYSTPRKGHANAHQLLAKFEDLYGFMVEGNVDDVNVLNDVRERMREQGRVWWALEASKGANWYLQPRISSNGGSEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATLQIDHDLPRTFPCHSWLNSEEGQASLRRVLVGYSFRDSEVGYCQTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTAHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >KN539981.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539981.1:59833:60131:1 gene:KN539981.1_FG002 transcript:KN539981.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGENSIPKKLQSTEQNMEIAEISRTKDGSSEEITNYEHMEGDTNPRADWSTPVQKKIVPNNCKKQPLLA >KN539981.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539981.1:38393:52159:-1 gene:KN539981.1_FG003 transcript:KN539981.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDVEPPVNDVDIYYFEESEDKPVCFSVLPIKFDENEEVSCSDYKELNLRGFTDNNRHVFKKVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQERSLFDHLGEVFNKFGPGPNGDDIKKHHHLIKLFMERDPILVKSKGLLFHDQYRRLYDALLRMLPGRPLSCEGPCKRSFHARVKHGRKSKCRTLRFTSAELKLKESGTFLCENCEHNEHQCLKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTRSEFQFAVCRRCPRSYHTECLPSYHVLMASNGIFHSEISFETKDKGAPKLAWKIKKRSYFYCLHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTPSSFVMGEISKSASCAVEGETEKRVTSTAEKEISAGTSQDMATKGVLMQPRIEIDGLSECSVQIADKLHWYVQPGNTESCGKLPDGVLLERSIVVVREIVDLCFNMDNFSRLMKEKLEDVSKRCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDIQTVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLSVSLWSFPDETQENMRIAGKHGHLNVGHKAHSVMLKDSSVDKGAKGDNVIFTVGKEDTSKREQTSEHCSGEQLIIPNVDTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQETEISKRENRMTDSTHEENIRSGKKKIPRDDSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDSYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLDLDRKRRAVRMKNVRDGHHEDDRTTHPQCVDVKSPSHNDDQRAQEASECKSRERGPSNRILKSRDTVSRNSARRQLPVERRKAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGYQSGYGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWGPDYPDYRRRDSFDWEYRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSRSFVNMHVPY >KN539981.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539981.1:18894:33293:-1 gene:KN539981.1_FG004 transcript:KN539981.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDDNLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFERFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLHVFIKDKIMEKTNEVGSNNLDNKREPDIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAKVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKVFLCNNATCGHFYHPRCVAQLLHPNSRNEASEMEKKIMAGFSFTCPVHWCFHCKGLEDRTQEPLQFAVCRRCPRSYHRKCLPRDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSYVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESGKKKTRSLKKRTQPEEPLVECDAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGWQIDKIVVQGKLERSIQAVKAALQKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAKKLHWYVQPGDMKSNNVDPETRPRRVNVLRGFGALRSVDSLPKERDREKNAEKAHLLTLVLLRGSGEGKRKNVATFSPFYIVDFSCGTNDFSQFMKEKLDKVGKRCNFKNYDVIQPKNSFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPFDLVWEDDQRLFGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKTKVFSHNEEDLVYLFEDRATQNHDVDNKNFTSGKGNGNLTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQIGVHDERDAHSDLPMSRPNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGHLEPPISSRSGYTSERLRYHDNHFYHLVGEHSSSSLQMPTFEDSYFRSVNEYGVASVENNIAFSTDNVGAGSRMYSPDPELNGYAVDPTINAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNAVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGQAH >KN539981.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539981.1:60819:65512:-1 gene:KN539981.1_FG005 transcript:KN539981.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCYSVAGTGMNNMWKRKLPQEGTSTAFDDVDDAFVNLEVSDGSGENDNKDETESYDEDFMDKMILQLYQKTIVNNVKRKMMKNPNVVFDKQKYFCQVILELSGPQRFIVEKYGFANFLLFDGKFDPKKLATWVANGVDVVSSEIILRDRVIPITEQSVNIVLGLPIGSRDFGKNYEEGKEFILSKFGKSALPSVKFFGDLLMHNKDLPEDKVIICFLIVALACFLCPNQSLVPSVKYLTIFQDIKALDSYNWSKFVYDWLLIHIKKFQKSKNLAGCLFYWVVLYLDYVDFGQKSVPKGIPRITAWKHDLIAAFSELDKIDDNTYGLRPSRISATLVTFSEKGTTHNKAYVTNSVGTHSDPNVEFGPPTFGCGQAGVSTCAQASAAAVALDAVKDVAKKIKSRMAHLNKQEKRDKSFDGTKSFLELLDSEDSDSDDCLPEIVKTESYFNENESTIDASPLCSQNSPEVVCLGSNNCSDRAENLCIKSEHIYNKTNQFDCNFKVSGSGMNKSGSSSSGRKLPPHGPRRPLIPSRHASDPFVPVRRRFPVSEEENKHYIAICCLACSRWQRLQDVDIDNVHMTFSLFGNSLKSGGDVSNYVISAFCRLMFHNNHPSKSNKNYFFSSIGV >KN542354.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542354.1:6366:6833:-1 gene:KN542354.1_FG001 transcript:KN542354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGKLSSSAVVLVLLVVVATGEVVREAEQPFQGVVLAVAELRQRATWTASAST >KN542354.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542354.1:13551:14148:1 gene:KN542354.1_FG002 transcript:KN542354.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRIRVELQAILVMFLLLPLPFQPRVFAAGSSGGNSGELVAGGRETRRKPPAIRSPRFLSGGVPARGPRTLPSLLLGI >AMDW01030202.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030202.1:5:319:1 gene:AMDW01030202.1_FG001 transcript:AMDW01030202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding THPWHLHGHDFWVLGHGAGRFDPAVHPAAAYNLRDPVMKNTVAVHPFGWTALRFRADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGELPPEIMGCGKTRGGH >KN542582.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542582.1:1:780:1 gene:KN542582.1_FG001 transcript:KN542582.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YAQIPHIPDDLADPIRRSGSLPKGFDYLLAPARREEEQEEGSSFKVVCRPRLTEECDITVFVFSSGAGIWRAATLGSSPATAISVTSRPRLLILDTDEMELFMFDSFPQSTGVVLNHTIAAIAEAGDGRLGVFNLDVHNVNLLSRAIRGSADEQWRHDKTIPLLPGYSIWRFVNHADVDGYILLGGVLGSGLQSDPIADGLHYFSLDLKAFRLERLCPMTIYQARNSPTELYTSFPPPLSLSSI >KN542582.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542582.1:11126:11734:1 gene:KN542582.1_FG002 transcript:KN542582.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDVTVFVFSSSAVIWRAATLHACAATAQLVSPQYAHGYAYWRLTRSSTSRLLLLDTRDMDFFFVDFEPRSVPRQAIGEAGEVGRLAVFNIAHANHTVELLSRAIRGSADEHWRHDKTIPLLPGYKWRILKLAEGYLLLQGRILGDGASQFTPGDQLQYFTLDINTFKLERLCASTPQGISYHPQFELYRCFPPPLSFSSI >KN544311.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544311.1:70:2175:1 gene:KN544311.1_FG001 transcript:KN544311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPQLEELVDLEPFSPSLFLDLPPTPHGDDPNDDDLLLPFISRMLMEDDIDDKFFYQFPDHPALLHAQQPYAQILDAPSDDTTTNSSDDSASATTNNTTNSAANANASWPYDPIELSQLLQSPPHPVSDNHDADVGDTRSAPEDDKDLKLLFSAADNMEMLNMAFLKGREEANKFVPTNNTLFAAFDGAARLKSEAVVDEPTLMFGRSGGRGRKNRHGEEDDLEAETGRSSKLMVPPQEDTAAASEMFDEIMFNGYEGWKGRILYAMSTWVADPDHKSLF >KN539275.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539275.1:120360:120653:-1 gene:KN539275.1_FG001 transcript:KN539275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAIASASASVCSAYRHLSATPADDDGDDNGARPLPSSEELPKSSSSSRRRRRMTKPAELRRRCYAVLKQQRTRLYILRRCVSMLLCWHEHDLSD >KN540993.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540993.1:13094:16756:1 gene:KN540993.1_FG001 transcript:KN540993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLEHERVAAVAPLPAMSKCRLPVSMVKEDACCQLTDVGGRLGVSIAIHRRNSFRIEMWILEGRGDKQRWSQWRTIQGLQPNQMIGRPYFAYGKCVLTNIYRTMFRERLNIVYRHLPCSLKVGSILSRAIEGTPVAKFKTEELRMFSYIETSEPLNIYKEEEDKKDKKDKKEEVEESSSSSSEEEEEEEEEFDEDEDEDDEEEEEEVAVWILEGRCDKQRWRHWRTIQGLQPSQKIGQPYFAYGKWVLTNIYRQMFNERLSNIVYRHLPCSLKVGSILSRAIEGTPVAKFKTRELRMFSYIETNEPLNIYKEEDNKDKKEEAEESSSSSLKDAEEEEEENNEDEDNEEEVAVVNA >KN539275.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539275.1:80187:82864:-1 gene:KN539275.1_FG002 transcript:KN539275.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRGAGAAAEEARIGSSNVFAALDTLKRRKKPSSKKHAEKEKEKEKEVLWAPAPLTTRSWADVEDDDDDDYFATTAPPRPVWGTHHHAADAHDDDHDEQAALEQELESEDEEVDDDAEDEHEHETEDAAPAEPAMNKAAAPPAPPKDTERQLSKKELKKKELEELDAVLAELGVSSNSNKSAQNETNGKKSAEQVADGANKEDAPAPAESKSSKKKKAKKDKSVKEAKETQELNGGVEEAAGAEPDEEVASMDVKDRIKKVASMKKKKSSKEMDAAAKIAASEAAARNAKLAAAKKKEKSHYNQQPVR >KN539275.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539275.1:56290:56544:-1 gene:KN539275.1_FG003 transcript:KN539275.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTTSFFLIAKRSYHHQKKPKWDEESRTAGGNRNAGWDLGVLGRWRGEWSGEEDSYGTAQRWGTRSSGGRRRWEGFMAQGGE >KN539275.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539275.1:7295:18353:1 gene:KN539275.1_FG004 transcript:KN539275.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIDCTTLATNKKVPELVVECKLYIDGIQFGLPVSTRLESSGPPYFWNEVMTLTAKYRDLTSLSQLAFTVWDMSSGEDGNIVGGATIFLFNNKKQLKTGRQKLRLWPQKEADGRVPTTTPGKVVILYEELNLLLGQDVPKNERGEIERLERLVNKHERGQIQHVEWLDRLFFRAMDKAKEKCERTENLYPSLVVEFCSFEHRVVFQESGANFYAPAPVLLSNQLVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKCLQRIIKFPPTRSLQVDEKQLVWKFRFSLMSEKKALTKFVRAVDWSDIQEAKQAVELIRKWETIDVADALELLSPDFESEEVRAYAVNILERADDEELQCYLLQLVQALRFERSDESCLAHFLVKRAVSNIEIASFLRWYVVVELRDTAYARRYYSTYDLLENGMMKFARDDGDEDGFRLWQSLTRQTELMAQLGSIMKDVKQVRGSAQKKIDGLRQLLSGVFSELTNFDEPIRSPLAPTVLLTGVIPQESSIFKSALHPLRLAFKTANGGISKIIYKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATQPDEGMLEFIPSSSLAQILSEHRTITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLTDDGRLFHVDFAFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFNLMRRSNIPDITNEENAGLKYGRLISLTLQVDYNQPVLVSMGPKWPPHGSVPGDSTGYEGRGRVVEDDLRLQRWRRSLMDVAAELVNVEMWVGVVAVLTTSAIHGERGHNWWLRAADQRDSR >KN540993.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540993.1:28040:29878:1 gene:KN540993.1_FG002 transcript:KN540993.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDNGGCHLTEVCGRLGVAIATSQRGTTDVEVWVLHGRGDKQHWIRWCSMQGLQHNRKIGHPCFAFGKYVLSNVHHRMYSERSNLKYMCLPPPVEDDGIILVRFDDKADVQVTLLNTSFELRLFAHVETSEPLEIYKKKNVKS >KN540993.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540993.1:24561:25742:1 gene:KN540993.1_FG003 transcript:KN540993.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLEHERVAAVAPLLAMSKCRLPVSMAKEDACCQLTDVGGRLGVSIAIHQRKSICIEVWLLEGRGGKQKWSKWRTIQGLQPTQKIGRPYFAYGKCVLTNIYREMFDQGLNNIVYRHLPCSLKGGSIISRAIEGTPVAKFKTRKLRMFSYIETSESLNIYK >KN540993.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540993.1:21334:21942:-1 gene:KN540993.1_FG004 transcript:KN540993.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSTDKKDRRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPGESNEQQRLLRISLRICSAVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTVEQIREAFVPMQRFHIQNHIMIIGREGYA >KN539275.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539275.1:45920:47166:-1 gene:KN539275.1_FG005 transcript:KN539275.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVMMCALAVQNVPVRRARPSSSSHAASPAFPASTDTSIGFTTFCPDAMSDTSQLLRMDRGVGGFEEVSGQADVVPTNSGQPVE >KN539275.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539275.1:107420:107818:1 gene:KN539275.1_FG006 transcript:KN539275.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQY >KN540993.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540993.1:30819:32392:-1 gene:KN540993.1_FG005 transcript:KN540993.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHEHERVTPVPPLPPAIAGELDSWWITRLTEVAGRLGVTITSNHKTTASKYNNVKVWVLDGACARGKMIWSHRYTIQGLQYHQEIAWPHFAYGENVLTILDSGHLRNQLYTHWLWPHKNRTSVATGDVVKGTLVEEFVIDPENVKMFSVINPEINVKMFSYVETNEPLNIYKEGINYLSGFAVKFEGVAENLEA >KN539275.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539275.1:31004:38339:1 gene:KN539275.1_FG007 transcript:KN539275.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAVAPPDAGDAADGKPRGGAAALVKYIGSVSATEVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSGDELRRLCSSCKKLDGSDLCFYRFLAAMTMFMCCNFLQQLLDNGIELLRYISGTLDDTTILRFFSDSLKAAELKTTSFTSRWEYKHIGRGDRMDTVKTEETQPEELSAEESLAEVKVPPAVNPLAGLLNAIAVIASGVFAGLFGASQREKEALQSTVSTMEIKLAENEAAMSMLRENYEKQIWNEHAEQKKQARMFQEKEASLLDQLTLTKRTVTSLNEEVRREKELVEQLKQEIHRLKSSIAQAEDDKHVFEGKLREKLEALDSLQDKVNLLSQEVNAKEEAIRELSSSLSSKEEDYQKLQLIYNETEASLEYADSKIEQLEEGYSATKDDLNSKMCSIDSLNKEVQTLYTAQTGAEEKISELKKQYADLAAASELRASCDSELLIEKDNLLNQLEEKLSAALSDTSKNKIIIAELNNELDTNRTMLDNEAEAHKKLSEILQSTEGALTDYRDKVFNLSEELNRVKISNQQLITQITKLTDESNIAKQVLTNKIAEAEAVSKVLSDELASVRDVLQKTQEKLDVTSNQLVSTMEAREDLNKELLDAYKKLESATDELVRERKINATLNMELEALVEQSIVESEARQALQADLDEVTNSQKEVDESTQFLSERLDSANSRISSIEQEKEMLSEALEQQKRSTMEAQKDMEDAQNLMRMIGTERENFETMSKKLEEELATAKGEILRLRRQISASGYLRTELAETSVTSNTSQPEQDVNDPDQSSNNTGAGDTRSPTRIYRRRKTKRAT >KN539275.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539275.1:78065:78843:1 gene:KN539275.1_FG008 transcript:KN539275.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSYFGFADELVAMMDELALTEVVFVGHSMAGMIGCIASVARPELFRRLVLVGASPRFSIEHSHFIALIDLSSSSNIVHGVHVIMSAEFM >AMDW01030019.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030019.1:32:373:1 gene:AMDW01030019.1_FG001 transcript:AMDW01030019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACGRDGLLDQAVAFFEDLKGRGHVPCVVTYNALLQVFGKAGNYTEALRVLKEMEDSGCQPDAVTYNELAGTYARAGFFEEAA >AMDW01028681.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028681.1:46:297:1 gene:AMDW01028681.1_FG001 transcript:AMDW01028681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERFAAARTDPRFRPMRRKEAKVELDSRFTSMLTDPRFSSSSAPVDKHGRRRRKKGGRENPMLQYYLNQEEEEEEKKEKAKL >AMDW01035947.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035947.1:88:450:-1 gene:AMDW01035947.1_FG001 transcript:AMDW01035947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLYAIIVSRPEMLSKMYLE >KN542679.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542679.1:6928:11240:1 gene:KN542679.1_FG001 transcript:KN542679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFAGHSTSSIESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTGSHEISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISSIGMRAISKFSLTGRLPYLSADAILEMLDFANKFCCNGLKDACERKLASFICSRQDAIDFMECALELGCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMAGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYAEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDHRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQFVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNHRTGAYEEMTKLIEKARSNASAYEKRSEYCDRELTKSDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >KN540015.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540015.1:11491:28962:-1 gene:KN540015.1_FG001 transcript:KN540015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISQLLLLVLVTCYSYIALETNASPPSPSPSPPPLIFPVPASNCPDKCGNVSIPYPFGIGRGCYLDLPGSGSFSITCNHKTDPPQPYTVDALLVLNITLETAEMFVVSAGALAVVKYYPSGRARSAITSKFITTTQQEEEHSMQVKVTVGMPVTQQVNMTFLPSGYTLSAPYRLSPTGNMFTAVGCVTMAKLYGSVENSSNSSTGATTTAAATGGQMIGERAYAYDAGCITYCPSLSDAAADGAPCKGLGCCESSITPGLTQFAVGWGRWPGASDDDYGESLDPEQYYQYAFVAQKDWYTFKQDHLTHWDIDNISVPFVLHWDIKDGPACRPETNYDSPFGACHSNHSKCANVTSGLDGYFCKCSEGYIGNPYIPDGCKDVNECENKSICGAGSTCKNTEGSYRCDCNFGQRRDNSSDNMGNCEPIFSRAAIAVIATVFIIALLVVLLMFILLERKKRKLRAYFNRNGGQLLKSIKIDIYTKEKLDQITKNYSTIIGKGGFGKVYMGTINGNVRVAVKRCITVSEARQRDFANEITIQSQISHKNLVKLLGCCLETDVPMLVYEFIPRGSLCDVLHGKEYNMKHPLSLLARLDIAINSADALAYMHSYASQKILHGDVKSGNILLDDNFVPKVSDFGTSRLMTIGKDHTTFVVGDMSYIDPAYMTESRAYEMYDKEITTTEDDIKCTANVGTIAVNCLKNSVDERPAMTEVVKDLQIVRSEWLQILGHREHDSAEPIGI >KN540015.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540015.1:64499:64900:-1 gene:KN540015.1_FG002 transcript:KN540015.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METKHATETGGDSGQAARRRFDEVPMESGRRWDRRPLDAVRRRPAEMARGGETALGRVGAMGRGARWGGDECARASIGSAAVPICATARASVDWRLLGIGGDLAEVNRRRRDGRGEMRASCVGAMESVASRRP >KN540015.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540015.1:53314:57077:1 gene:KN540015.1_FG003 transcript:KN540015.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIILALSKINSTFGLDPTNIAKSAVSKLYQKGKSLAELPGKVEEIRMELTIMENVIEQLDTAHLTDKVVKGWIAEVRKLAYHVEDVMDKYSYHALQMEEEGFLKKYVVKGSHYAIVFDGIVAETVQIEQEIQRVIKLKDKWLQPSQLIRNKHSDFERKRSQGCLPELVKDEDLVGIEGNRMLLTGWLYSNELDSTVITVSGMGGLGKTTIVANVYERGKIRFHAHAWIVVSQTYDVEELLRKVLRKIGYADQPHLDGMDVHDLKEKFKENISDRRCLIVLDDVWDREAYNQIHDAFQNLQASRIIITTRSKHVAALALPTRHLKLQPLDKVDAFSLFCRRAFYSRKDYDCPSELLELANSIVDRCQGLPLAIVSIGSLLSSKQPIQHAWKQTYNQLQSELAKSDHVQAILNLSYYDLPGDLRNCFLYCSMFPEDYPMPRDNLVRLWVAEGFAARKENNTPEDVAEGNLNELINRNMLEVVETDELGRVSTCKMHDIMRDLALFVAKDERFGSANDSGTMMLMDNEVRRLSMCRWEDKGVYKAKFPRLRTLISVQTISSSSNMLSSIFSESTYLTVLELQDSEITEVPASIGNLFNLRYIGLRRTKVKSFPETIEKLYNLHTLDIKQTKIEKLPRGIVKVRKLRHLLADRCADEKHSDFRYFTGVQPPKELSNLEELQTLETVEASKDLAEQLKKLTQLRSVWIDNITDVDCAVLFSTLSNMPLLSTLLLSASSKTETLCFKTLEPISGRLHKLIVRGHWANGTLQCPIFQDCGRNLKYLALSWCHLGEDPLSLLASHVPYLTFLRLNMVYTAKTLVLSAGCFPELKTLVLKHMPDVNKVEIEDRALPRIEGLHIVSLYNLKKVPEGIEFLRSLKKLWLLHLHKDFNTYWESNGMHEKMAHANTAKTLILSAGCFPVLKTLVLMHMSDVNKVEVEADALPHIEGLHTVKKVPEGIEFLRSLKKLWLLNLHKDFNTYSKRNGMHEKMVCGQEVCRI >KN540015.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540015.1:38347:39462:-1 gene:KN540015.1_FG004 transcript:KN540015.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGQGYFAGKPAKPNDKEQQAGDEETQAVDAQTPGDYFMGPPANLQQQPAAKQSADQNRSSFLAKWYFK >KN540647.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540647.1:4148:5380:-1 gene:KN540647.1_FG001 transcript:KN540647.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLTGTLFAGIAIGLGVGFGILLLSLCGVFLLRKHRRDLQKQLRKEYFRKNKGLLLEQLMSSDENASDSTKIFSLDELKKATNNFDPVRILGTGGHGTVYKGILSDQRVVAIKNPKIIKEEEINQFINEVAILCQINHRNIVKLHGCCLETEVPLLVYDFVPNGSLFSIIHSNPSNDKFSLSWDDCLRIAAEAAGALYYLHSAATISVFHRDVKSSNILLDGNYTAKVSDFGASRLIPIDQTRVITNVQGTFGYLDPEYYYTGELNQKSDVYSFGVVLVELLLRKEPIFTSDSGSTTSLSNYFLWGLKEMPIIEMISCQVLEEASEDEINTVASLAEECLRLRGEERPSMKQVEMTLQLLQNKRLRSFNTILESNDEIHPLLPTGPVPIHHALAIDHPTKLMHATSSS >KN540647.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540647.1:33877:40044:-1 gene:KN540647.1_FG002 transcript:KN540647.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGVHGTLSTPFRYSDTGNKFTVMGCRTLAYIITDLSSNYMSGCLSACWQGDVMSATNGSCSGIGCCQTAIPKDLQDYYVMFDECLNTSGSMYSATPCSYAALMDSSNFTFSTSYLTSLEFNATYGGWAPVVLDWAIRTTNNCNEAQKNLTTYACKSDNSECINSSNGPGYICNCEKGYQGNPYRQNGCQDIDECMDSNKYPCYGECFNTPGGFDCFCPAGIAIGLGGGIGILLLMLSVIFLIRKQRSDRQKQLRKKYFRKNKGLLLEQLISSDEIATDSTKIFTLEELIVATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNSIKEEEITQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLNRIIHDDSSNREFSLSWDDSLKIATDVAGALYYLHSAASVSVLHRERSITEIVAPEVLEEATEDEMKTIASIAQACLRLRGEERPNMKQVEMSLQSIRNKGLRSGSVAPDINHEMHHQQPSGVDINDLANLASASCYRLEQEFVLTASLAR >KN540647.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540647.1:18372:19750:1 gene:KN540647.1_FG003 transcript:KN540647.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVLLAVGASPPPPRSNCSTACGDVKISYPFGFEAGCSWPGFELVCRDTIEGKKPFLPPVTESVGYLELESTSLLDGKARVWNNISSYCYDSVTKGMTNESSDTVYLPEAYRLSDTENKFIIVGCYTVAYIAVGDREDMRYASACSAFCGPKGNNLTTLMDGCCKATITEGHTSYNTMFDPDYNTTQIYNVSRCSYAVLMES >KN540647.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540647.1:13943:14872:-1 gene:KN540647.1_FG004 transcript:KN540647.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHILAVLPWLCTVVSAGDLPSNCKTSCGSVNVPYPFGLEPACTLPGFNLSCNTTGNGKIYYNGVEILSISLLEGQARMRMDISYYCYNNISSEMDGLAWFSDIGNKFTAIGCRALAYINDLNATGKLTTGCVATCRQDDLASITDGACSGIGCCQTAIPKGLQYYEISFDSEYFNTTEIYNINRCSYAALVESSSFNFSKNYSTSSAFYDHYGGQAPLLVDWAIGNETCMVAQEKSNYACISKNSKCMDSLNGPGYRCKCSIGFHGNPYLKPDDPGSCQGEVSYSRTYQTLFSKLF >AMDW01038418.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038418.1:61:802:1 gene:AMDW01038418.1_FG001 transcript:AMDW01038418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKPKPRKKIESPAVKMKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSKFSELSSASKTSNPLPTVDIFLAVYDDTLKWKKIAESISTNGTETASWENSATHWVEAALATDLEVLKLMNKTPESLSRKRGADKPKALSVVEPPRTTMSKRQTHGTSAK >AMDW01039851.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039851.1:14:1063:-1 gene:AMDW01039851.1_FG001 transcript:AMDW01039851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYEKLSKHSSKIQALQEQAWTSAMLVTATKALEKEVKARKAKISDESVEIMALDTKTIEWDGKVHEMEERVKAKENERDQIVADENQKLAALRSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKTFLEQVDE >AMDW01039622.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039622.1:338:1000:-1 gene:AMDW01039622.1_FG001 transcript:AMDW01039622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRLWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTRLSI >AMDW01015591.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015591.1:21:236:-1 gene:AMDW01015591.1_FG001 transcript:AMDW01015591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRQQSSRGNATATRGGGSSGKGGGGGVGKAAGKKPIKVVYISNPMRVKTSAAGFRALVQELTGRNADPS >KN541764.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541764.1:2644:5412:1 gene:KN541764.1_FG001 transcript:KN541764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCCWCVCACRTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELADKCALASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSALIMPVLDNQLKSPSPLLLPARDAVTPLSETEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >KN541764.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541764.1:23316:23489:-1 gene:KN541764.1_FG002 transcript:KN541764.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGFVKLTAFNYTSLLYEYRRSSDGEVHDSFTVHREYRDVLACVADSCPPTIPPAT >KN541764.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541764.1:19232:22732:1 gene:KN541764.1_FG003 transcript:KN541764.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGVLQAALLLAAAAAPLLLYKFANISPSFMSSGSGDTSFLLINQRYDYAFGLFSGGKDNPKLVAVSNKISFANPKAPVFPRLSQGKGWNEMAVTWTSGYNVDEAYPFVEWRMNGKENARARRSPADTLTFTRNHLCGKPANAEGYRDPGFIHTAFLKNLWPNREYSYQIGHELLDGTIVWGKSSTFRASPSPGQASLQRIVIFGDMGLGQSDGCNELAGFQPGAQVTTERLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQISPVASRVPYMVASGNHERTSRDTGGFYGGDDSHGECGVPAETYFHAPAANCGKFWYAADHGMFRFCVGDTEHDWRPGTEQHAFLDRCLAAADRKHQPWLWSAARSESYGYVKLTARDHSRLEFEFIRSDDGEVLDAFSITRGYKDVLACAVDACDPHTLAN >KN541764.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541764.1:8240:16880:1 gene:KN541764.1_FG004 transcript:KN541764.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKIAPSMLSSDFANLAAEADRMVRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPLAKAGASGFTFHIEVSRDNWQELIQSIKAKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMEKVRALRKKYPSLDIEVDGGLGPSTIDMAASAGANCIVAGSSIFGAAEPGEDFSWIFQGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKNHRRSSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPHVYVVQTTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSIYMGVEFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGIQCVCKRFPRLKIVTSEIDTGLSEEYRVIPGLGEYGDRYFGTDN >AMDW01007600.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007600.1:70:214:1 gene:AMDW01007600.1_FG001 transcript:AMDW01007600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQ >AMDW01016482.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016482.1:43:234:1 gene:AMDW01016482.1_FG001 transcript:AMDW01016482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLAVFLL >AMDW01036317.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036317.1:22:471:1 gene:AMDW01036317.1_FG001 transcript:AMDW01036317.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEHEKEHQLMMMMDRFHRWMATHNRSYASADEKLRRFEVYRSNMEFIEATNRNGNLTFKLGETPFTDLTHEEFLATYTGGVRLPPERQGMQDVSDEEDAVIITTSAGYVAGAGAGTRTAAVPESVDWRKEGAVTPAKHQGQCGACVH >AMDW01040696.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040696.1:1201:1874:1 gene:AMDW01040696.1_FG001 transcript:AMDW01040696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRDEIVELKRMFYASQGRETTPNVGSPLERGSNPTPSEDSVDVSEAEYDQEMNDHEVRNHDYRQHVNQQVSDQELSSHAYTQKVDQQVSDHEVSNHAYRQQ >KN538698.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538698.1:255540:257414:-1 gene:KN538698.1_FG029 transcript:KN538698.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MIAADETTAPPPRSTAAASEASRTLNMFAKVHMDGYKVGRKINLRAHRNYDSLRRVLTKMTHNFFCPADYSNTNKGEEDCAKSDEFIFLYEDFEGDRMLVGDVPWKLFLASAKRLYIAKNPAPRNKEHAEIAKRKETEDAIDN >KN538698.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538698.1:211235:213934:1 gene:KN538698.1_FG030 transcript:KN538698.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRHGHGGARLLVFLLPVLLLLRTAASNTLSTFAMAKAESTTIVCALLPSAASPLLVDLNCTEAGGDHERQETYPSSHPFSALAGGDHFLCAVGPSALRAGDVAMRWWDLSKNRTNTPETNGTKDGGGKSKRVYLGPPIQALSSGGYRVCGVLSSGELHCWRWRGLKIPDGLRFVSVAVGDWFVCAIQAARPASIRCFGNDTEADEATTGYAALALGTDGVCGLRTNGTIRCFGDGVAPPPDSLAGFQYVDVQAHGSVFCGVLMANYSLVCWGGHEFNATNRLVFDRVLPGPCVTMSSCRCGVLPGSANLCASGRCICVDCAFELNIATPNASSLGPGPGKNGSRRSRIIWVAVAAGAFLVLLVALQFALLMWCRRRRRRGRGGQADQAAAMSLMLPRHGSSKGPGSVVEHFALEALQAATDGFSDERRIGSVYRGTLTDGREVAIKRAEDQAKSSSSAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADAGERVLVYEYMANGTLHDQLHDRSPMAPPVSAWRGRLTIALDAARGIEYMHVYAVPNIIHRDIKSANILLDDSWTAKIADFGLSSILDPATAAGGGGGGEGSSSRPLYTGGTVGYMDPEYYRMQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPRILADELAGPRESNAMGNPWNGGEEEEETKSDIDISDASAAPAAAN >KN538698.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538698.1:178733:179140:-1 gene:KN538698.1_FG031 transcript:KN538698.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLLAAAAAALLCHVHVAVAADDPEPCDPSDITIATEKTGRVVGGLPEFQVTIGNECSCPEGDVVVSCLDGVPAGVDRSKIHTAGSDGLCLVNDGLQIVKGSPVVFTYAASAPISLAFDNATPRCQR >KN538698.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538698.1:164198:165672:1 gene:KN538698.1_FG033 transcript:KN538698.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding LPALSVLSLYVRQPTTERITFYRADFLALRCFKLWCGALYLVFQDGALPNLERLKLGFNAHKGELYGNMLEGIENMLNLQKVDARIGAAAGAEESDRSAAVSAITNAISKHSALTSCCIRRVDWVDEMCLYPIHFNDEYVTVIRVPQGSGLPVPADYSHHLKSDRVPNLIFESYISGVTNPQCLEPVASSSDPIKSDCVSTKTSEACVSEVSNPQESKLGTDQHCRHLGCSKLARGSSGLCVGHGGGKRCQKTGCSKEAERRTDLCKAHGGGKRCKYPLCAKAAGPTNFCKGHNGAKRCTHPGCVNSAKGHKGLCRAHGGGRRCEVLGCTRSAQGRTDFCLDHGGGRRCRQEGCKRAASGDSSLCLKHEGGKRKRRATASADGDAATSSKASASGEHSKEGTTKRDDTMAE >KN538698.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538698.1:298183:303753:-1 gene:KN538698.1_FG035 transcript:KN538698.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MVGAARRPGCLVSVSLISSNRCRSDGSPLDSLFEECNEFFDLDVDNDLMPNEAGRNFVIAKVFPSREVQKNGIKLSWDLACSLGNPSVGCSLFFSPLYTSQAPKETDSVDILRVIKCSNLYLSFVPAKVGSSSEIESESVHHPIRNGMVIESPKRNSSVLSGRNESYDIASHSGPSLCLDPATARSSLADEKINELLQTCASRWLSGRHLLKANYVPLLMCGKLSMFIVMGAEVDGSAPDVVHDKDKLPSNEEISGKFGEAPVSFLVDRTTKVHLSGSVCSEEIAFVKPGPSAHNSFRTDARNGDFNHGPRLGGLSKESKEIKEIISFSIKDQIGLQRVKDNLWYRGILLSGPPGTGKTSLATSCAYDEGVNLFTINGPEIISQYYGESEQALYDVFSSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVATLLKLIDAMSPRDRVLVIAATNRPDSIDLALKRPERLDRKIEIGVPSPVQRLDILQHLLVGVQHSLSCEQLESLASATHGFVGADLAALCNEAALSALRRYISLKKSSQQLGYYDNNAEKPDIREINDPLGYQVSLELPKIRWEDVGGQVRIKEQLIEAIELPQKNPKAFENMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARDNAPAILFFDEIDGLAVTRGRENDSVSVGDRVLSQLLVEMDGLEQRIGVTVIAATNRPDKIDCALLRPGRFDRLLDVQPPDEADRVDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCREAAIAALDENIDIPEVEIRHFKSAISRIKPSDVKFYQELAAQYSRFVDPMSQSKQ >KN538698.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538698.1:275391:277740:-1 gene:KN538698.1_FG036 transcript:KN538698.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFVSRGCVDSVCGLSFLVFVNVVRGFTKFTREEYVKLKAEGRIMSDGVNAKLLGSHGRLAKRAPGKAFLAETIQASA >KN538698.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538698.1:201252:204872:1 gene:KN538698.1_FG037 transcript:KN538698.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMEKAKDITMSRWGEPTLTWEQPEDAPDQINSWVKDSTKGTVTTLLPAGTIDQNTGLVLGSALYFRGRWLDRDDLRRTTEQKFYCLDGTSVEVPFVEYDRTRLFAIHDNFKKIFSEPMFLEQHLPTEKCHVGISVPNFKISFQIDVKDFLKDMGLELPFLREAEFSDMIKEDDSSGPLFLSDVLHKAVLEVDQKGIEETSVSMGLGKPLPAQHFKADHPFFFMIREEVSGTVIFMGHVLDPSSRT >AMDW01032147.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032147.1:82:213:1 gene:AMDW01032147.1_FG001 transcript:AMDW01032147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTLDEQTGARLQSKERFLFGRFDLEIKLVRGESAGTITSFY >KN538698.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538698.1:184460:186052:-1 gene:KN538698.1_FG038 transcript:KN538698.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSGYALFFVLFLTVVAAIVDIVHPAFPEQLKVQKNWRALMAPILHANLSKSSHESNQSSAKYLAVHGWIPPDDGATEYYGLEATMDVYGFNLEHGQQTGGFIWIYNSDETPAANVIHAGWNVDPESYNDSQTHFTTSWFVEESKKGCLDMRCPGFQRTGGSHPFVPGQVINPVSSTSRRKQYINVRVSKDQNSGDWEIYFGFDGKAKIIGYYPRSLFTSLSNKPVNIVFGGFAFWKEHKPSPPMGSGIAPPKNAASFSNLKFFDAAGNAHPIDHDLAHVSDCYPVTDVRDGMFSYGGPGNVC >KN538698.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538698.1:245033:245212:-1 gene:KN538698.1_FG039 transcript:KN538698.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTNAGSQSVDGVRWLVELPTRGRLWQQSGRSWSASHWMARRVLAWLEGWVLSNAD >KN538698.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538698.1:264750:265124:1 gene:KN538698.1_FG040 transcript:KN538698.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPMMRTRAAEEVVEAEVTKEGAEAKAEERASVAVVMAATAASASDTVEEEGMAGARAGAKGGPCAVEVPERARCAVVHARVSPMHAAETHEGGANPAVLLMDKAKAEPSDSKPCNISQVKQT >KN538698.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538698.1:288763:289296:1 gene:KN538698.1_FG042 transcript:KN538698.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTFAMQKDMLKYLMLVGILAATATYLTGLKPPGGMWKDNDDGHSAGNPVLYDIDKKRYNIFFYSNSTYFMASITVIVSLLQRMVLPERSSGKVFWSMHTVMLLDMLALMVAYAAGSVWDWETSKNVFLLLLPVHIFVGGLLFICKQIQPSPQDEASPNAGANTQEISNPTPSS >KN538698.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538698.1:291878:296425:1 gene:KN538698.1_FG043 transcript:KN538698.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVMVVALLGLMGAYAAGSSRDGFTTAAASALLLLVFAYVAGAFLASLNLITVRCQLPCQGDQDPAATKAMKSEHEILLLLAIFAATIAYVAGMNPPGGFWRDTAVDGEHVAGDPVLQGRAHPNRYRAFYVCNTVAFAASLLAVMFIVVEDKRLRHWRRAVPYGLVVAALLGLGGAYAAGSCRDGKHTTYVACLVAPVVAYIAILYIACPSNSPSSTSKSPSNTNTTTTTISISDSKQNKEVEDKEVDKICEYIQLLATLAATIAYQAGIDPPGGVWEESGKGHSVGDPILLTTHPRRFKVFFYCNSAAFVASLVIMALSQNKRLVRRYHAVLEATMILDLFGLIGAYAVGCCRDTSTSIYIIAMAGAVLVYVVIHIVFFTLETKNGGDDQLEEHREVLLLLTVLAATLTYQAGLTPPGGFWENDEKFGHHAGFPVLLNKSPHRYKAFFYCNAASFMASVALIVLLMNKNLYRPGIRSYALIICMVAGMFGVLGAYAAGSSMYLRTFIIVLVLVLVVFVGVICLAINHFRELKKNTQQQQQPPTGTNGSSSPKLLMQEEDVIKYLMLVGILAASVTYLTGLKPPGGLWRDEGNGHSAGNPVLYDIDMRRYNAFFYSNSTSFMASITVIVLLLQRMLSPKTGGEKVFWPMHMVIVLDMLALLVAYAAGSVRDWEMSKNVFLLLIPIHLFVGGLFFICKKKQTSPQDDGSAAGTNT >KN538698.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538698.1:236759:241618:-1 gene:KN538698.1_FG044 transcript:KN538698.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNASAEPVVKPGLSPSRFVKVFMHGEPFGRKINLALHNNYDSLSFTLKKLGNNYSMSPFELEGLVNKEEDGEIDSDFDLLYDDMDGVRYFLGDVPWEVFTATVKRIYIVPAEQQNVELHLACDEITSSIKFASRARRSRASGGGAEEVTVVGDSGRYRTVPKRKNEAGVADTEEKREVDGWEQGVVDAEVGEAEHGHTGDGCRGVEEGEVGVECEEEELEREDGRTCGGRDTAWLEADEDWGENEHWWHVGARLRGWREERPVEVHRSRASRRMPPIRTPLPKLRV >KN538698.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538698.1:192625:194217:-1 gene:KN538698.1_FG045 transcript:KN538698.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSGYALFFVLFLTVVAAIVDIVHPAFPEQLKVQKNWRALMAPILHANLSKSSHESNQSSAKYLAVHGWIPPDDGATEYYGLEATMDVYGFNLEHGQQTGGFIWIYNSDETPAANVIHAGWNVDPESYNDSQTHFTTSWFVEESKKGCLDMRCPGFQRTGGSHPFVPGQVINPVSSTSRRKQYINVRVSKDQNSGDWEIYFGFDGKAKIIGYYPRSLFTSLSNKPVNIVFGGFAFWKEHKPSPPMGSGIAPPKNAASFSNLKFFDAAGNAHPIDHDLAHVSDCYPVTDVRDGMFSYGGPGNVC >KN538698.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538698.1:247721:249024:-1 gene:KN538698.1_FG046 transcript:KN538698.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTNASAGPEVKPAGLSPSRFVKVFMHGEPFGRKINLALHNNYDSLSFTLKRLGNNYSMSPFELEGFVNNEEDGAIENDFDLLYDDMDGVRYLLGEVPWEVFTITVKRIYIVPAEQQNENEYQEEVEDNAAAAATADEDGDGDAAVADDGVAAAVDNGDDHGYTSNEDPSFD >KN538698.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538698.1:197559:198068:-1 gene:KN538698.1_FG048 transcript:KN538698.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIGTPPQKLSALADTGSDLIWAKCGASSSLSKLPFSDRLCKAVGSSGGVAKCAAGGAECDYKFSYGFHRHYTEGYLGSETVTLGGDAMDGVGFGCTTMSLDVGAFSYFLIPYTPPPSRALSCSAPSPP >AMDW01014712.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014712.1:110:238:1 gene:AMDW01014712.1_FG001 transcript:AMDW01014712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRDELEHLLDDDMDMAALHLTEKLAYQSSRFDVEKEASELEDH >AMDW01031031.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031031.1:78:239:1 gene:AMDW01031031.1_FG001 transcript:AMDW01031031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDADNMYRLGTQK >KN540127.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540127.1:20961:23276:1 gene:KN540127.1_FG001 transcript:KN540127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRATTEQLMESGEDAKTAKGAKWMAKKRKRAVESIAAFCYEAGIPFNILCIESFQLMLEEIGKFGPGLQGPSMDELRENLLQEHVSAITDKVRWLKDSLEFGGCSIILDTWLDENGRRLMMLGAHSIIDFWWMLHRALGELKKMAERILRLTCGSFGCERSWIEMIHKKKPSQFTWKQFYDSAFVMVNGRLKRKGEREHSDPILPYLPDEDEPYVWLAEADMHENDNALIDVHKDEIRVMLTAPSINGEEGPSHYSGKGSPCDASCPKQAKEPWHDGLSLEEEYEGRCEDEQTHCSKRKKPCNVSCSKLSKNPSRVAGYRSRKEEPRHSSKKSKPSSVPCSKQAKGPLHSKDLDDVMW >KN540127.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540127.1:35463:35810:1 gene:KN540127.1_FG002 transcript:KN540127.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVAPYIGGRQQFLERRGRTNVDEAEWWGEERALHGGWEVGSGGDSPARRRERNVGKRGEMRERRGVGAMRWGHSREREVVDAGARVEERCGGAGEARLDRTVRKRMMTWRH >AMDW01076784.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076784.1:170:3079:-1 gene:AMDW01076784.1_FG001 transcript:AMDW01076784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVITLLVFLVAVAAATAAAEMPRLEHPHKGDGSLSLLAVGDWGRRGAYNQSMVAAQLIINGLIMKYCNAMWVKVEEIKKTRRGDALAQLSPVLRKVDSRWICIKSFVVSAEIADFFFVDTTPFVLKYWTDPKNSKYDWRGVSPRETYIANVLKDLEDALEQSKAPWKIVVGHHAIRSVSQHGDTKELLEHLLPILK >AMDW01076784.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01076784.1:6039:7840:-1 gene:AMDW01076784.1_FG002 transcript:AMDW01076784.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGEELAADFILSTGDNFYNDGLTGDNDTASFQESFTNIYTADSLQKPWYIVLGNHDYTGDALAQQSPAIRAVDSRWTSINKSFIVDSDIAEFFLVDTVPFVQKYWNESKFDWRQVAPRDTYLSTLLTDLGDAMSQSNATWKIVVGHHTISSGCEHGNTTDLVAMLLPVLKTYGADMYINGHDHCLQRITSIDSPLEFITSGGGSRAWAGKFKQTSDKLEFIYDGQGFLSMQLTMAEASFAFYDVTGAVLYSWQLAKSTSTN >KN540495.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540495.1:2745:3161:1 gene:KN540495.1_FG001 transcript:KN540495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTHRGRAAGIRAAAVGMTTNLEQVRRSQPQEHPSMIDPMVAAAESGVDSPSQTLGVLLPCRFGGDSREQVHYCQPWEGLSLTDPAATARGGTDPPLLTSRAPLPRRFSDSDRARCGSTIAGLKNVTPLRIRRQGEA >KN540495.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540495.1:33850:38394:-1 gene:KN540495.1_FG002 transcript:KN540495.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLSPTAPPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIYPHLTRAARAAVDGSLLLLFFPRAGVRVASSIAPRRAARTPGGRAGLERGRDDLTPPSIGIESKPAQKNFATVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRSKRKNWA >KN540495.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540495.1:10001:11708:-1 gene:KN540495.1_FG003 transcript:KN540495.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAQELKHELASLNSPTTGRAGGESAAPPSIMIDKVAELTRNVDKQEYEPHFVSIGPYNRSCDCRSKLARDSDKVGRLQEVLSAAAAHTTAPLQLEDFITELARVEARARRCYKLSFDHVPSKDFLRWLLLDGCYILVRFGDVVRRRPEDEEVEEEEETTADGIMLRVRRCYTGLLRRRLLDACYVLVRLRDVVVGRRSKAPVAAEGNGGNRVVPSVEEREESAVDQQEAVAVVRDVFYLAENQIPFFVVDKIHQLTFLDGQTPAVHAIARYARELLRVNGYSVATPTKVAKHFADLCKGAVFDADDADMNYLRPVCQVLERRFQSRPRRWMAWLKKKHFANPWLIAGLVAATVGLVCTVIQAVYSVLGYTKPGS >KN540495.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540495.1:4799:5260:1 gene:KN540495.1_FG004 transcript:KN540495.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTHRGKAAGISAAAVETTTNLEQVRRSQPRERPSIIDPTVAAAQSGVDLPLQTLGVLLHCRFGGDSREQIHYCQPWEGLSLRDPAAAARGGTDQPLLTSRASLPCRFSDSDRVRCGSTIAGLKNATPLQIRGQGEAWIRQRSLGSALPSWI >AMDW01018018.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018018.1:2:238:1 gene:AMDW01018018.1_FG001 transcript:AMDW01018018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EDWDDEEDGEWTAPTIPNPEYKGPWNQKKLKNPNYKGKWKAPLIPNP >KN538866.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538866.1:25654:29201:1 gene:KN538866.1_FG023 transcript:KN538866.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MRRFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSRLIGNASLFGSCSLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHSSHLKDGPYQDQLLHLAENLGSRLLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEIIPTIHVPSNWTFVKDDSQPFRVSALSSQVCPETIFRQSVGSPWESACHVPDVLPTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >KN538866.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538866.1:101604:104727:-1 gene:KN538866.1_FG024 transcript:KN538866.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPGMIPVTTAQGEELRKQIGAAYYIECSSKTQQNVKGVFDAAIKVVIQPPTKQREKKKKKSRQGCSMMIYPVYIKEPSFCGGGTCSVEGKCHASNPD >KN538866.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538866.1:11857:13048:1 gene:KN538866.1_FG025 transcript:KN538866.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASQPSLRSLPSLDVHDLNTSPSLHQCIATIKGHSSASAYVSALAVDGDSLYIASSDGSIRLWALDAARRSQEEQQEDDGCSSSSTTVADTDSSVKSLLATGNGGLLLSSHQDGKIRAWRAGSRRRDGGTRPQLIRRHRRCTWVHHVDAVTALAVSPDGALLYSASWDRSIKVWRLPGFRCVESIAAAHDDAINALAVSPDGRVYTGSADKKIKAWTRGPGQRKHALVGTMERHRSAVNALALGANGKVLYSGACDRSVVVWESAGGGDGGMEATGTLRGHARAILCLAAAGELVCSGSADRTVRVWRRGGAENNGYTCLAVMESHGAAVKSLALVRGGRDDDGSCSSEGSSALVCSGALDGDVKIWSVFIPCL >KN538866.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538866.1:30177:59064:-1 gene:KN538866.1_FG026 transcript:KN538866.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear-pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) UniProtKB/Swiss-Prot;Acc:A4GSN8] MRANPGHYVALVTLRVAEERQDGDGGARRTVRLTRVKLLKPKETLLLGHAYRLITTHEVTKAVQARKEEKVRKAQQQLEESRQKLQSKARAAAAAAEAEADEAAEENDNDSDNFDDEAALDASLDQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKELLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEREATYLKQKLEKCESDLENTRKSSELSFTPLIAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIKLSIYLTFAPTQACILGVCTSKNYYDINGNHCGAVLKIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGVPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPNNLEDDGRKDLMVLFEGSQEVSRKAYEQVSERAKSLDEELTKLRTELLSLRSERDKAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKQMESALQDFKTESETIKKSLEDEITNLRTKISEMEKCYIMKCEEAASAIEAKEKNTTSLMKEISVLRNEVSEKVIQIEKLETELASSKSALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEQEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEVQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQKFRDEAQKAKMEAERLHNLLLEKQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATLRENSAELERTKNLLSEKDSVIRNLEEKLAGCQSELDAREKKLNEVEASLKSEIDRHRKININIKRKLDASAKEKEELTREKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRTEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPVHAGAPPEQQNPTPPNPVQAGASSEQQNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >KN538866.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538866.1:81048:87602:1 gene:KN538866.1_FG027 transcript:KN538866.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGTQLCAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTKLEMHAAVQSGGRSPKRLNGPSSAQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERPLKAEDGESGNFKVENLKSEITKITEKGGLPHAEAVEKLVHLMQLDRTERKIDLPGRVMLADIIAATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLVLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTSKPGAADAAAKSSPVISGSSKLQHMQPGNAVTNLKEQPSKSTGGTCGSELPAVKEEKSSSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGVAYKTSGSSSRVHRRTNNGLLGSGIQKEAAVARSSSLDHSSVQEKVSQSGTACEKGADIQSDQGNSHRLIVRFPNPGRSPARSASGGSFEDPSFTGSRASSPVADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPRAMLTDDSKTTEGAGRDVPVSRVACSSYANEKGICSSETGLTKLFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSPSPRNSSANEQGCEGDNIGKLKVECDVSLPQHAVPSNEVEKVISEKSEKSGVGLVVKELHQEDVNMLSVNNLLSQGDKGTTSAGLSPLPEIDSKAKTENREVEGTDKCSHPPSRGDDGVKSNAKQPVGIKIDTNSNIKSSTTSEYRSAFTVRGKVEDGCTTSSDVGSTLGDQCKLAVSNRKMLPAEEPLCGGDKQAHGLLKPTDQKHFLGLPDYSEHIDKSGDSTANKLKLKPSFSSSTVEVNKADGLLVGSNTVLKEDEKKEHPADVTKLAVATGVKHGPENGISSKESKDNSSESSSHTRPGGTVSQETEHSAQRSSKKSSDDAGGKDDLVSSDDGSSFSAKTRSNATAKLDFDLNEGIPGDEGHLSEPATSPAVCSSAIHLPRPSPFVSPISSGLPAPIAAPAKGPFVPPENLISAPGIPVSDAAGKNRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGFELDLNRADEVAENGQIVSNTNHRVEVPLLSRPLPGVFSSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKNTSSIPFLPQVASMRMNSAEMSNISPWFASANACAPVAIKSFLPSRGEQPHPVETAAGSGTQRIITSMADGVQHGSDPSRTPVISTSPTMMFHPPAYQYAGFPFTPSVHLQAPGFSIGSTSYANSAPGGIPYFPTIAPTLVGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDVEVKDDRVTLPVRQNFIAPPHAFVDEHTRMYQMPPGVGIKRKEPEGSWDAERSSYKQLSWQ >KN538866.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538866.1:4316:5482:-1 gene:KN538866.1_FG029 transcript:KN538866.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSPRLVLLVVVAAAAFVFVSPAMAFPMGLPATASPFPNPWSAFQNLSGCHAGEEREGLGRLKDYLSHFGYLPPPPSSSPYSDAFDDSLEAAIAAYQRNFGLNATGELDTDTVDQMVAPRCGVADVINGTSTMDRNSSASALRGRHLQPF >KN538866.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538866.1:66127:78244:-1 gene:KN538866.1_FG032 transcript:KN538866.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEFAIGVLISVKTTLGEEFEGQIVSFDRPTNLLVIHILSKSLPPVPIRSQEGVGRAERGERRNVRVLKANYIREFSVVGKADDPLDPAGCVLDLAAIHAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTYILLRLPVQWDKTDIVVMKEVRVCNPYLPENVSGGTSAANERVKKVATTTPAAKSPRRGQEYKNEGLFNTNTRISLFSDAEKARLILVAAEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNSFFGTRLPAVIGGSYTFVVPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIFGFSGLWRNVARYLSPLSAAPLVMLVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHAIHMMKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGIIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIFAALYCIFFAYVGSAGVGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIVNVIFSSKAFVAGFVAYLLDNTIHRHDSAVRKDRGHHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >KN538866.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538866.1:16037:19587:1 gene:KN538866.1_FG033 transcript:KN538866.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVGISQLELGQSVFRKVEKQSAHFYSVDITDKEAKMGLVCRVQSTAKSKFKLLYFEPEENGGLSLALQEDSVKTGKVTSAGMFFLGFPVYRFEQNNSEKLRCVEAKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNVIHASYTNNPTLQRSSSSNKGKTSSKESKSDDDQTVKKEKKSKSKSMEGSRSDDDGPRKEKKPKERLRRKKWFNIHLKVDKRRPC >KN538866.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538866.1:108:2231:1 gene:KN538866.1_FG034 transcript:KN538866.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWPIRPSCLVRDNLVGPVSPQFDLRKPASLGPRFHSVRRRRRPTHSIVRCQQAAVGGSDLRPSATAGAGGLADANARERDRSPLYKSSVPNIFYGTDTSRQQLQFLTTTPSPSSLPSSLPTEVDTVEEMKRLLVRGLFSSPSRGLLGKSPVRPALCNDALLSRFLSTEKDENTVTEIGEKARSTAEQFLKVAKKKTDEVSEKTKETMHETKEAVVGESDDEKEKFKQRVEEGSLQEFNWPSSNQKGIGKKRRIGWG >KN538866.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538866.1:94876:100051:1 gene:KN538866.1_FG035 transcript:KN538866.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGAADTTVKSSPFISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPHAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPANEEGCEGDYIGKLKVQSDMGLSRHAGPTNDAEKITSDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSSSADATKLAVPAGVPFGPENGISSKELKGNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGKEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPRLSPFISSMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSLGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRIDFSFQ >KN538866.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538866.1:21618:22472:1 gene:KN538866.1_FG038 transcript:KN538866.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTTAAGVLRLFFHDCFVSGCDASVLVAATAFEKSEQSAEINHSLPGDAFDAVVRAKLALELECPEVVSCADILALAARVLITMTGGPRYPISFGRKDSLTSSPTAPDKEMPQSNFTMDQVIKMFQDKGFTVQEMVALSGGHTLGFSHCKEFAQRIYDYQGKPGNVDPTMNPVLSKGLQTACKEYLKDPTIAAFNDVMTPGKFDNMYFVNLERGLGLLATDEEMWSDKRTQPFVKLYASNPTAFFDDFSRAIDKLSLFGVKTGAAGEIRRRCDTYNHGPMPK >KN544248.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544248.1:62:1965:1 gene:KN544248.1_FG001 transcript:KN544248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYKKCISGGTADLVRSPSNGKIQFNGDLVHPTRTRHGQPAFLCYIDLHITIQSQDILHSVTIPSKSLILVQNDQYVESEQVIAEIRAGTSALHFKEKDQDQMNTYSFSVDGRYIFGLSMVDNEKYYSCLFCDDPRYKKDKKGSGIVKFRYRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGSPGNKYRP >KN542841.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542841.1:1323:6496:1 gene:KN542841.1_FG001 transcript:KN542841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQYNFEGNYNIVRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPQMQFRMHNAPFENEMENFTTLIINKMKDANMFAGQGGPIILAQVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDNVTVTKYTLDSTSACFINNRNDNKDLNVTLDGNTHLLPAWSVSILPDCKAVAFNSAKIKAQTTIMVKKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSLDHKGEASYTLFVNTTGHELYAFVNGMLVGKNHSPNGHFVFQLESAVKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGTGIDLSNSSWSYKAGLAGEYRQIHLDKPGCTWDNNNGTVPINKPFTWYKTTFQAPAGEDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQADGDGQKCLTGCGEPSQRFYHVPRSFLKDDEPNTLILFEEAGGDPSQVSFHTVAAGSVCVSAEVGDAITLSCGQHSKTISTIDVTSFGVAHGQCGSYEGGCESKAAYKAFTEACLGKESCTVQITNALTGSGCLSGVLTVQALC >AMDW01039884.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039884.1:64:1049:1 gene:AMDW01039884.1_FG001 transcript:AMDW01039884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYPTLQHSLQTVCCLLHRHHRPAQAIWTTGTRGNMSTSSERSYGSDDGYYSDEEDSILDMLVAALKARLS >AMDW01056410.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056410.1:20:103:-1 gene:AMDW01056410.1_FG001 transcript:AMDW01056410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RVTPESVIFSFGTVLLDLLSGKRIPPSH >KN543539.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543539.1:12:281:1 gene:KN543539.1_FG001 transcript:KN543539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFHRLRNKFYSPAVNVERLWSMVPAEQAAEAAGAGKAPLLDVTQFGYFKVLGKGLLPEKPIVVKAKLISKVAEKKIKAAGGAVVLTA >KN542239.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542239.1:15317:17714:-1 gene:KN542239.1_FG001 transcript:KN542239.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLIALAKARDDDEVERGQAASFVKVLLRTENERNETALHDAVRAGDGDMVRRLMDEDPDLALFPVQGTSPLYLAISLRNGTIAEILHEKSNGNISYSGPHGQNALHAAVLLRHTAVLELLLKWNSNLTKQGDENGSTPVHFAASFPLWHRKFHWRHPWIIRVPHSLSMLLNTGEVDPFYQSDKNGMFPVHVAAAVGAKLTVAFLLDKFPNSAGLRDAKGRTFLHVAVEKQSLAVVRFACRTTSLQWILNMQDKDGNTALHLAIQANHLLLFCALLGNPEVNLDLTNHSGHTPLDLSRSMLPRGMIYMLNTEELIYLTFKQVGSEHYHDRQDHIEEIYSRRVVSKEDLAEELDKMKESTQTLGIGSVLIVTMTFGAMFALPGGYRADDHPYGGTPTFSRRIFYG >KN538817.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538817.1:59306:70549:-1 gene:KN538817.1_FG001 transcript:KN538817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDMASSIRLGGGSGRGDVPTCGEGEALRLTLSLRKRRNTEIDPSESGLSTRARCFQIVLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGMKSEDLASLKEKLEQEATENLGMAMVYTLVTSAQDWLSEKYGQNAGDGESEENEAVEEEVIVPHGEAVTVESFLAWRDRFEAELALQRAKLMPESALTAPKEKKLSGRQYFESGRHTMKGASTTVDEEEEEEEDIDFDEDFDDDEEDMLEHYLAEQSGKSAA >KN538817.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538817.1:94825:108513:1 gene:KN538817.1_FG002 transcript:KN538817.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVIKFAANFSTTSSCVIKIVEPHAHASLEDLKAVVLKVNTLEQDNAIPPWDEEQIPEEIVSQSPEPGSILDKVEHTGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFTTKVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGNIDPSISVPLILQYLGGIPKVGNAVQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTTMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDIRGDISVNFSCDPDMSSKLVDFVLEEISFLQNEGPSEEDVLTILEIEQRAHENGLQIQDEGRLKVRGALTPQSMQLALQRVVPFPCRKQFTVVILMPKSSCWNSFKALLTWSPGGFSRDAKVVALNESVAGSVKSVFKPWEQRLDTSGGFLESNEGDPELLVFIPTAVVFRPKLFDVQLVRTCSIWTFSFHPLFVLLFSALTPRFTSDVKIKSISVVGGADGTSPSRMRALVSELYLFCYLTHLFVPLNRMFINREGIDFNDAQNMQPVQEWELAENLQGVLEYQTRFFHVLFVLVQYAGLANIHELFSQIVIFKLKKYSRFQGVANLTLHFPENFGGDTTKIYYIGLRGEATQNKRDVVATIVYEIMPNPSDHNIYCFQYRAALISPYLPLCDAELNLRLEVVSRMLSRHVRAWKADRCWMLLSAVMTFSSTNKTIQLLETSSSLTSECRTRVPVDTDYIVIS >KN538817.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538817.1:18318:22532:-1 gene:KN538817.1_FG003 transcript:KN538817.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVAATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGADAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPAGHENSNGALLDVPTGSMSVQAA >KN538817.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538817.1:73764:77261:1 gene:KN538817.1_FG004 transcript:KN538817.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLIWYEVCESPPEFFFFPEACFGLKSEDSFIWGINVFRFDWYNLEKSKRVKPFRCGEYEECIEKAKAQARQHKRAYNEGKYVRREDAIMHALELERARFPNEDDTDEHDTSGLLFESQNSYCAKSKNINELNKKSSRTARDLYDIEEESAKGLSQALTLYKQPQNVSSSSTRYASSSRKKHKASNDFEDDTVQGSQRMRDLTEIGSKKHSSYVLNGHRDLPLLESASFGYSLSGTNGIKGDQQSHSATKRKRSNIGQAYENSRKKDRRRPLSKLCKDSAVAVPAYSHWDPSGHSSAQYSGGKMSNAFEPSRGKFGFPLDVNNYSYSSGTSSVETLLDASCANHDGVAKAIPVKEAEVSCMPGFLNNDCSDGDEYFDTPLVMEEDALEEDHLHKYESCASVKGQISKPRKQTAEYTELVIPSPHGHRSSKKKSMSSVSQRTQENHKDRTLLAQHGRTVKGQALDSDAAEVDARVGSAFCKPPALKNNMQLAIVPADGCASTLEQQYYGSGPEHDESSETISNRSQSEKGAPSSPYYEPLQVIPPEQKPGLEPSSPHVVKPIKNARTDYKVYDVELAAQGSYKGHRVPLVSLMSKWNGKPIVGYPITVEVLKDSSSVASRNDLRPATSSLNNLLKRSEPAEPRQARSSHSSRPASRQKPSVEASHSGRKISTLNILIASDRGNAACVLFAHICWGGSCFRFVAGNKL >KN538817.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538817.1:84513:84878:1 gene:KN538817.1_FG005 transcript:KN538817.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKKEMAPSKGGARSYARCISGLEFSVGPGSLRDADAGKLKSQIKKWAKAVVAYARQISFGSPRAAARSSSSRRAMSSTRGRDGHAEGGGGGTATARSATFPSKSGLGEANNDEIVPAT >KN538817.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538817.1:12326:15994:1 gene:KN538817.1_FG006 transcript:KN538817.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKPKIVQEEDKLRGEGSQQGSRFGTYSAPPVYIGKTQMTHSGKTLKKLASKKDSLLKKVEKAECCTTMVLWGKEENLVIEQESQEMVTPVEMQSQDEGGEMTNEMLLAHEGSPTDDDFERCQIPIDSDIERLREEEDNEEDNFQEKNHNNVLGGEKGPFRVVVVGTEEDNKAWAAVYSSESGEWSPPTSAHLARGPELCVEGKPATLIGDSLYFALVFGIGVVKFDMEHHRLSLIDPPAELDDGFVLMPLDNDGVLRGLAAVEDHSLLSVWSMDVSLDHGVARWEKCRVIELDSLLPNLDHSAPVLPIGFVEGANIIFLRTDAGVFTLELR >KN538817.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538817.1:111773:116059:1 gene:KN538817.1_FG007 transcript:KN538817.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALGVAADMELGCDGLGARRWRQTSPPLFSHTATASTAGQFELDKIRQELNKTSKEIGKLKANKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGEKRVEGNLKNHVDLCKMLGIVALEKGVDVAGGRGYYLKDEVIIVKQCGNDTSVISVLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKISEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVARLQIK >KN538817.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538817.1:127050:138286:1 gene:KN538817.1_FG008 transcript:KN538817.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTATRRWWKRRGGDGAEDGDDLVPMDIQDQEEMVRSLEQKQAQQSRRWRRVFAGFLLGYAAFLVYSGFHHAAAPWELRYHAYFMEDLSSPMVIVADWIAALACLFSIKGLLHSWKKWMWYSFYVSILVALFWTYYLLRLPRIRWDVAWLPCGPLIASALSLYVDHSMLESMQDINTLRSYMFEDVLQTQDCNIILRHYGETRRWNELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENSTRIHVSVCNSVLGCLVKNGRLDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSHGFQMDSVIYGTLLAICASHNCCEKAEEYFQKMKDEGHKPNLFHYSSLLNAYSENANYEKADLLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNILIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNAEFISRRSLGNFARSFMASGNINLINDVMKALHRSGWRISQGSVRSSGNKLFTLILMCPRIQSRFIRITESGISYACGVKHPYYVVMPELELVHFSQAIQGEIPQELQMGLVTGRQVLLRTANRHTINTMNLLEGTRT >KN538817.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538817.1:152233:159927:1 gene:KN538817.1_FG009 transcript:KN538817.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAIYVYGYGVTMSSVLPTIRGYCLIDLIGDNRNVALRLSASYIMEIVSRHMLVVRNAKADVCHAYQIMKRGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNLFAVLLGNKTAVKGGSGKVLDSGPNDHIFIFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNADESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYNLVKERTSVQHTYYSGSHVMEYGSLELNAHHVFMYMGSNPANDNATFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLPESSPEKNEARKQLLEMMAHRSHVDNSVELIGNLLFGSEEGPRVLKAVRATGEPLVDDWSCLKSMQLVCDNLFCTSTPNMGLHFLQGKAKTVPYQYNQSYAYLGSRKYKTIVMFDRNWIKFNAILIGYNYIRLIKNSHNPIRKNCYANLTEEESSYLA >KN538817.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538817.1:77960:80104:-1 gene:KN538817.1_FG010 transcript:KN538817.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIASLGDDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPATNASKPATVQMRGQPVAQQSSCCS >KN538817.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538817.1:41504:41698:1 gene:KN538817.1_FG011 transcript:KN538817.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDSPYADRGHSATAFASLTVREVEGEGWGGSGEGEGEAYATVGEGAVAGSSLRSGYLAVYRK >KN538817.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538817.1:1378:1755:-1 gene:KN538817.1_FG012 transcript:KN538817.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDCATDAEHAPPSVLFGPEFMRRKLYQLSPEEDITLSRSLVRVSSYYVDDMRRRPPFGEDRYGAVRKVYVVCGQDQAIVEAYQRRMIAGCPVEEVREIAGADHMAMFSAPVELAGHLADVANTYT >KN538817.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538817.1:86234:89483:-1 gene:KN538817.1_FG013 transcript:KN538817.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYFDDPNKAQSRMEERIKKKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYHAVLPKDVTLICDALRSWHIVGRLGGCNSMNMQLSQLPLDCQRPTYDALEGANTTPTSFYNIGDLEIQDNIARVWVDIGIHEPLLLDILLNALMTINSDHVGIKQVQFGGSEFQNWSEDLKTEEAGYSVHKI >KN538817.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538817.1:118598:121150:1 gene:KN538817.1_FG014 transcript:KN538817.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MADADDAVGEAQAQAQEDPVAITAPTAAATGGGGGGGGDGGVGQADVRGPAGKNKKKRKKKSARTKNKGKPDGPPKIADINRFVNETCKRLKEKKSYLVWNAVGCLGVSAVSDLVRELLSIIIKAIGFQVEAIQKCGGQIVADGSRFRTGGGILWNILKSREPKAYKEIMAKGRELEKQFRYKQGRPQTSRNEDASSQGSALIDEDIEPHGEKEVSDDPERLIDAEKSPPVPDNNKAERKPLADRIRVPVAYDDLFEEGEIHEGDAP >KN538817.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538817.1:53908:58497:1 gene:KN538817.1_FG015 transcript:KN538817.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSALEEHNNSLLHKEALRLQTASKENAQPLEIERPVYVKDMSKFWSVYWNLRDALSSECHGLMIEFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPSEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDTEGFDYMKYSVLRNIKAQQRSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCASQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATAAGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGIMKENPELVFFLCSEDVFLCFRLVSTQKLIDLSMQVVGAWCKHMCIS >AMDW01038652.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038652.1:275:664:-1 gene:AMDW01038652.1_FG001 transcript:AMDW01038652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLAPHISSGIFWEILKLWIKGCKVIVLDIPLLFETKMDQWTHPVIVVWVNEATQIERLMSRDGCSEEQARNRINAQLALDWKKSQADIVIDNSGTLDETKEKFQEVLRNVSEPLTWKERLRSRDGLFSVV >KN539893.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539893.1:30844:33027:-1 gene:KN539893.1_FG001 transcript:KN539893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MREGCSVAFLAGAVVAEAFLLRLCLKVQGAAGAPRAELQKELRIWAVSSISVFQNQQFFGFRCSDPIVMTVVLMDFAGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFINKGAGVDQADSSLLPLYVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFAKCATSQVGFNQLSKPAAITPQALLKWLVDLEDKGFKVFGENVSRIRERLMYDEVKNGYQSRMTHSDADLFFIDKQSGGEVMDTRAGEDEEAVEMETADNAFMAAAQSMKAMANGMRKRKDCGAEDANVVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEETD >KN539893.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539893.1:22121:23671:1 gene:KN539893.1_FG002 transcript:KN539893.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVRASSGDTDHHRLSLFSPPAYCTSYYPPLPPQPGSLATNQIVARLMAQMNYEEGAGLGKYGHGIIDPIKPTIKYGKGGVGKFKSPYDSDSNYDMGPSAEPELERGTSEAEPEAILDAEEVRAMDTLQRERQAYAAARARERHHEKVRAYNMRGQRPPKHDTTADDDWEGITSGYTAIKRALKVVREQSESGKLTLGGLIHEFAGVKAKFPKEYRTNRMPYKAISFAAPLLHSQLSRQYNASKYGGTEPVLNRTLVMVEALKDMLGADTSAAYPRLIHDLVMAPPLDAWRWRAEAPKPMLRFINRWKGLLPQATMDSILDEVILPELVAAADVFRLTTWSSKPSVCMGMWIPHLGHARLRIVYIIISRRLRDRLCGGISDYDYRLALPWKKVFDPMSWDEHIKRHVLPHMRKALHDLEISVRMTWLQNNNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERPRLDEAMAWYEVWKGLFTPELLAEKRVVVQLEAGLDMINRATQ >KN539893.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539893.1:34909:40763:-1 gene:KN539893.1_FG003 transcript:KN539893.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLSVPVLRSWVRVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDNACRKEAEKVDYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLSPSNASANGRPAGSNASGSSAYLPNGGISKPVGLNSLRLPVVVTSQETSLAASCRRVFTHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQLFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSNEATTLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGAESTGIEANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >KN542461.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542461.1:3148:5018:1 gene:KN542461.1_FG001 transcript:KN542461.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFRWCGCAMDNMVSQVCQPTNQLENSNDVTQQPADQAGNGRIRLINTDFDIIFELFYGINHRRPRSVTVNTALTKLRARGVPLDIHFPRQFGKEAPLKVKKWKNIEKAFHGTSSSIWTSLMGKFPEISLDDYECVMAQVERQTYRTTKVPPIHVAPEDWQWLIDNLWSDEQFQRNPETGAWPSAVDVWRAIYMKANGTWSIPNGAEILNNLEEAAETHKERIAAAPIPLAEHFALVLGRKPNHSRSVGIGAVNQGAKERYRIHARAEAADQRASDAQNQAAALLEEVERLT >KN539893.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539893.1:50363:52345:-1 gene:KN539893.1_FG004 transcript:KN539893.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLREVSLSVVFSVWCLLFLLRSQFLHSQTDPSDFYDDVEDGMRENYCKVMPLEAYIFPTEYNASAAAPTCQPSLHPPDQPQQETDHRSLEPEPFNTTTGGGKSSAEAAAALDELNEFRSRILQGKAENGRGAKVLAHNREAKGAANILGGDKDRYLRNPCSADDKFVDVELSEETLVRTIGLANLEHYSSNFRDFELYGSPSYPAEEWGLLGRFTAENAKHAQRFVLPDPRWTRYLRLRLATHYGSGFYCILSYLEKMRSLELGLSTLEDYTKALNHRYGAKLPDLHTGLSQTAMALDKMKADVRDLVEWKGNVKALRIFDENCCVGCRSNVEEMRSIQETMQNKELAVLSISLFFACLALFKLACDRVLFLFTRKGAAAAERMCGASKGWILVLASSSFTTFLVLLYN >KN539893.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539893.1:15009:15794:-1 gene:KN539893.1_FG005 transcript:KN539893.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPALAGKAAAKVFGEGRITMRKSAAKPKPAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KN539893.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539893.1:46817:47002:1 gene:KN539893.1_FG006 transcript:KN539893.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAQLRSKAAQASELVSKHGCAYYKEVMEKNKQHVVQPPTVEKCQELSKQLFYTRLAR >KN542461.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542461.1:11391:15486:-1 gene:KN542461.1_FG002 transcript:KN542461.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding YLIVLDDISMEQWNAIESIFENNGRGSRVIVTTAILSVANSCTAYKSGANGGCCIRRHGCVYKMQTLGEAHAKELALGGGDQLPPELEHGSATLMAKCDGLPLALVSVANHLRYAGLPDYAARTCLLYLAVFPNDGRRLKRSVLVRRWLAEGYARGGEDVLGNSTDVDVADGHFRSFIDQNIIVAHPADDDDDDDHRTRRCRTHGIVHEFVLHKSIAESFIFSSRAPPRRKRVRHLSIQGGGGNTTTAALSTTDLSCVRSLTVFGDGGDAVSNLRKCKLLRVLDLEQCTTALSDDHLADICKLWNLRYLSIGMSSNVTMLPDKIRRLKLLETIHLSKTKVTMLPLQVIGLPCLAHLVGKFKLLLPDQRGKKTVVISNELEKLAKKSNLQTLAGFVADESQQAFPQLMRHMRKLIKVKIWCEFGGEADDSVSTTATDHLADAIRSYIEAPKVEETDARSLSIDMEQCSKQLIRSCHGESKLLHSLKPPCRSYLTSLKLHGDLFRLHGLISMLKNLYELCLSSTTTTLTRDLVSAIGGLPLLLRLKLVANHIEHFAIGAGEFRSLQHLLLVVHRQNPILLPKIEEGALPQLVSLELLCKHLRGLSGIQIRHLQRLKEVALDSRVGEDTRREWEAEARRHPNRPSILLLKNRYSAVLSDDTDRLDDQMDGELARDAAATEKSAPDDAAGIQEQIAEVESESSAFQLANATMNNSVTGKESTAHDADEEGLGSTNAVPMEQINSTGFINETEVSNRGKFTMSYFEDCCPYHED >KN539893.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539893.1:8279:12413:1 gene:KN539893.1_FG007 transcript:KN539893.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEPLWHGVRHGGGDARHHHHQLSHGFGAKDASASSPWSSDGLWTDGLVCAFEFSVGDPARDEEDIPIVLRAWQAQNFLITALHVKGSAPNVNVIGVTEVQELLSACGSTGTAPKNIQEVIAHLASRLARWDDRLWRKYVFGAADEIELKFVNRRKQEDLKLLCMIFNQDIRRLATQVIRVKWSLHAREEIIFELLKYLGGSTTKSLLEAIKKDARQMIEEQEAVRGRLFTIQDVMQSTLRAWSQEKSLRITHNLTIFGGCGLVLSIIAGLFGINVDGIPGAENTPYAFALFSALLFLVGLLLIIVGIVYFGLQKPISDEQVQVRKLELQELVSMFQHEAETHARVKEGVLRSDLPPRAADLICDDNGDSRLLVCDC >KN539893.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539893.1:25630:26757:1 gene:KN539893.1_FG008 transcript:KN539893.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHAQGRSADDIRDCLKSAPLDAHVLSAITTASALGCDLRVVSDANAFFIETVLEHHGVLGCFSEISTNPARVDGDGRLRISPFHDPDDSSPHGCSLCPENMCKVWTVGHQQIDSAFIQMKRDMNNGKHAWSPAKVYLYHVQGKIIERIQATANGKRHFIYIGDGRGDYCPSLKLGEGDYVMPKENYPLWNLISSNKQLLKAEVHPWNNGEELEQTLLKLVNKLITPPAQPSQFEYKCDMSNPVSTEVGQALRVPH >KN539893.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539893.1:73715:75846:1 gene:KN539893.1_FG009 transcript:KN539893.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIDGPRQPSARAGSRLCTCCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVENNLFKPGDRVAIGASGGKVADTTDLLQYGLPLKIISYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPYCAISHIFSCIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQDLFLTDLLNETDAN >KN539893.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539893.1:27225:29393:-1 gene:KN539893.1_FG010 transcript:KN539893.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKCGRLADARSVFDGMPHRDVVAWTAMVSAITAAGDAGAALRLFAEMSEEGVVPNGFALAAALKACTVGSDLGFTPQVHAQAVKLEGLLDPYVSSSLVEAYVSCGEVDVAERALLDSPVRSDVSWNALLNEYARDGDYAKVMLVFDKLVESGDEISKYTLPTVLKCCTELGLAKSGQAVHGLVIKRGLETDRVLNNCLIEMYSKCLSAEDAYEVFARIDEPDVVHCSAMISCFDRHDMAPEAFDIFMQMSDMGVKPNQYTFVGLAIVASRTGDVNLCRSIHAHIVKSGFSRTKGVCDAIVGMYVKTGAVQDAILAFDLMQGPDIASWNTLLSGFYSGNNCEHGLRIFTELICEGVLANKYTYVGILRCCTSLMDLRFGCQVHACVLKSGFQGDYDVSKMLLDMYVQAGCFTNARLVFDRLKERDVFSWTVVMSTYAKTDEGEKAIECFRSMLRENKRPNDATLATSLSVCSDLACLGSGLQLHSYTIKSGWNSSVVSSALVDMYVKCGNLADAEMLFDESDTHDSVEWNTIICGYAHHGHGYKALEAFQEMIDEGNVPDEITFVGVLSACSHAGLLDEGRRYFKLLSSVYGITPTLEHYACMVDILAKAGKLAEAESLINEMPLTPDASLWKTILGACRMHGNIEIAERAAEKLFESQPDDISSCILLSNIYADLKRWNDVAKLRSMLVDRGVKKEPGCSWIEINGKLHVFLSQDGCAKY >AMDW01040534.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040534.1:288:1633:1 gene:AMDW01040534.1_FG001 transcript:AMDW01040534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CDERLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLANKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKVAAKDSKEQKKAQKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENSYWN >AMDW01033644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033644.1:3:325:1 gene:AMDW01033644.1_FG001 transcript:AMDW01033644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAAHAGGHAVVLGAVLVIGSNVAWAIWFIIQKNLSKSFACPYTSTALMALIASVQCAAIAGAAERRLSAWELGLDIRLVGALYA >AMDW01125086.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01125086.1:4220:5142:1 gene:AMDW01125086.1_FG001 transcript:AMDW01125086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVVSSRRVHVQLLILQLLAAMVAAADEPAVPPAKKIRAAPPAAVLDISIPNGTVRINSGRIHIENNGHGSANRTWGGGLPKGGPYFLSESESSLVLTGYNSQVVVRELGGNHTLLASCSVICPSLSLQRGFFQIFRISACSGIGCCQTNIIFRYSTDLIQNHKVDQSLDASYSEIYLVDQGFNPNSDEDPQALPALLEWVISKSTSNCPRNSSAPECCSAHSSCQDTDAEAHGGYRCECSDSYYQGNPYIIGGCKGIYMYAL >KN539748.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539748.1:63292:64478:-1 gene:KN539748.1_FG001 transcript:KN539748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEQVMSTEELLQAQIELYHHCLAFIKSMALRAATDLRIPDAIHCNGGAATLSDLAVHVGLHPTKLSHLRRLMRVLTLSGIFTVVHGRDGEATYTLTRVSRLLLSDGVERTHGLSQMVRVFVNPVAVASQFSIREWLTVEQAAAMSLFEVAHGCTRWEMIANDSKDGGVFNAGMVEDSSVAMDIILRKSSNVFRGINSLVDVGGGYGAVAAAVVRAFPDIKCTVLDLPHIVANAPSNNNIQFVGGDLFEFIPAADVVLLKFILHCWQHDDCVKIMRRCKEAISARDAGGKVILIEVVVGIGSNETVPKEMQLLFDVFMMYTDGIEREEHEWKKIFLEAGFSDYKIIPVLGVRSIIEVYP >KN539748.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539748.1:11103:11682:1 gene:KN539748.1_FG002 transcript:KN539748.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSNTKVIVLVAAVTFTVFLLLTVPAIASDEQNPVGSSTAALTVHGGTPLQQGGDSKAITPAPCTTAIGRCFSGPSTGVVGYYYVCEPCKTTGNCPHYISVGGKPEGVKRCRRTCF >KN539748.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539748.1:35323:48820:-1 gene:KN539748.1_FG003 transcript:KN539748.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRDEKQLMDFDMNRHGIQGEIRGGVEYKVNGTVEESQAAGPRRFRYSDLSRATRGFSNSEKLGADSNGSVYRGFLRDQGLHVAIRRVLNTSRYEMKSIGEVTAIHRLRHPKLVRLLGWCHEEKELLLVYEFMVNRSLHDHLHKVQNTTLPWPIRYKIVLDLGAALHHCHEGGEPQLVHGDINPRNVMLDSSFSAKLGDFCLTRLIEHCRSPSESRMCGGTSATGYAYMHRLITGQWNALRRCGKRKLGSITRILMAQTMGSGLSTDPATSMVSRSTENIHVVSFAGVRTTDPTFTVTTFAVLLRKYPSLYPDSPVGTKVFLKSWKNRNTNVALATIVSCDPTRRVGGVELGNEFLMVHVDLALAKSEDLIRPYKGYKIVGHVVGLEIAWPAIFWYHAAGVRFERRVLDCSKQVRCLLDVELRGLATVCIPTLTVDNNTWRFLRNLMALEQQSPRLGVHVTAYCLFMSQLAGTARDVDLLARKKIIVHFMGCDEDVAEGFADLCKGVSINLKDADRNYLQGTWEKMERRYNSQAINWMTLLRSKHLSNPLVAIALLAAIVAFVCEVVQAVFAIKGYRASN >KN539748.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539748.1:28772:29005:-1 gene:KN539748.1_FG004 transcript:KN539748.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEVTEGEAKHMASLRLRGCYSDGQSVWAPPYPKPGGPTIMVKVPASVPNETVAPLDEWEPKQPSGLKMEAKDKP >KN539748.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539748.1:83274:85086:1 gene:KN539748.1_FG005 transcript:KN539748.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADTKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKVIVLTQSCTQR >KN539748.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539748.1:27053:27256:1 gene:KN539748.1_FG006 transcript:KN539748.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKFYILNFFEIEKKYSTSANTSHVPGGTLEGELLCDGDSWYDELFMHGGHEHGLISRCNVVSSI >KN539748.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539748.1:57269:58129:1 gene:KN539748.1_FG007 transcript:KN539748.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKHQRCLGVFLQGYPTRSSSNRSSIMSSLRVICVVYIEYSGVSDGMGTVRACVFDPNGSNSWKPRPRSACWYMFKPIWNMAWRGLHLRGSEHARLLGHAAGAVFWAIGGDDALLVLDKRRTELEVLRLPGSVRASELRAIVDGGNGDNDGKLLAVCLDEENVVRVFATWRGEHSNGEWVLQKSLRLEESTMGLAGYKAGYFRGGAAMVVAAASAGSVVLLAPVEEMTWMFSVDLETMEIAECKEVSVAVYPCVLPWPTLRACVTRCERRGRGRCSHICICDDA >AMDW01019144.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019144.1:109:225:1 gene:AMDW01019144.1_FG001 transcript:AMDW01019144.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAVQPVAVKGLAGSSISGRKLAVRPSPRALCRTTR >KN542923.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542923.1:973:4205:-1 gene:KN542923.1_FG001 transcript:KN542923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGHGESPPAACLERVNIHEYFGMASRFPDGLGRHLLFGNGSNIWLDTIPKHGSTLDDGKLKAAGRRPFLDASDIARQHGADDGMQIKGIEVHPEFATNGRFFISYMIYSDQRSSKWWLVVAELSAQDSKKMDTIFTTELPQDQEVQLSGSNQGGQIFFKHTNNTSYIYIVIGHGVMIKSDAGYVDLSSDESSLLGKVIRVEIPETSPKTHQIVAKGIADPKGCNINPDDRRCIFCSLVVDGTAQVRLINIESVRETYTLIFNGSLPEITGGFKYDRASTDPSLERKYASICRALDH >AMDW01038916.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038916.1:59:834:1 gene:AMDW01038916.1_FG001 transcript:AMDW01038916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIRNNKPGVSAFRLANGYAGLRDALTSESARFQRKALNLTNYLLSESHSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLGSRSLLADHDRLRRLLQARIERIRMMAPVDLDAAREERQLVDSLWITCYHEPSTLHVEGLLVLPGEECFEQPPDVAGRFFEPLRRSSARRAPSNERSDPGDGTGGGMMLLLGPSPGSRSNSGSN >KN539261.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539261.1:43265:43864:1 gene:KN539261.1_FG001 transcript:KN539261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVQLPQYIFFDLSGSHLRCFCPPAPVMEHTGVPYDSQVVGLQALHRTRLSRAGGSSTIVSARPANQVDKAMVLCDRAAQIGDDDDDPDTVSDAGTSGVGVVDEEETVGDDEEDEVASLDELFCDERFVRKIDALAQLVCH >KN539261.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539261.1:95767:98389:1 gene:KN539261.1_FG002 transcript:KN539261.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDLVLMSSSLKSAWAAISSHKHARSLERSRSKGMSLKRAMLQLLVCFMVGIFIGFTPPFSVDLPGKIASENGRLPFDGDAIDRRQMVERQGTKLEPFVAEAESEASSEPQVEESPPVPAMLDDEADFVEASPFVHSVNDSGIVVRKHLIIITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVVLEGPLCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPIGWLLQRNLDAVVPIT >KN539261.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539261.1:14916:17618:-1 gene:KN539261.1_FG003 transcript:KN539261.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKNTISYAYPLCFVFILSTTTLSMAISTSYSSRCSSPSPASDYHTDYVDTLALLRSFQVSIGYFSGGVNSLFSADDDYVNPRSFSFVPHGVFRTKDPTIIHLTATLVLSGPRSSTYIGRRRHRYPITQTISFILDGYYSFTSNDLCMVGFGTNYAADGSIKLHEDSVLRLRVPRPSKLTNPLVTGHLEGTNFETISLVAYDESDNYVYSENALCPPFMLENSMLEQAQAVKENFNCDQLKTHLRRLYKLEYMVDDPLAPRGYNMWSHATRMYINHVHCTANGAVRAYVEFYNDTKMLPYKGRFMVVEEALVADGYWDPTTGQLCFNACPIVRSVSGLSHTDFVVQDCKIKMSFRFVDVWTIRDRSVIAGMLWNSSQGIVNNSRAIPGIISVLGIQEHWENISHVKYTYTVVDEAKKHYISSGLSNKKKKIKGSFPGNGTYSYHDLEFRFIANHVGSGDAYPMTIGSMMVYEDRLAANDSLSDPMVVGMKHELLNVSYDIHYYAPPENWIRPKNGSYSISLHERRISAEGIYDPKRGTLCMIGCREINSSTDCLVLITVQFSSLDAKTQGHGVGAISSLREKNDRLFFEKIDITLYGMYTEQLFEAISRMDMESIMLVLSTTLSCVFTILQILHTKKNPEVPPATSITMLITLALGYLTPLVLNFEALFLSRRKQYVPFFRNNRVELNEVMLRVPTLIAFVLHLRLLQLVWYGRKPDHQSKAETFSIAKRKALQICLSLYFLGGILAGIIHIINVHTRRESPVVVRISQEPATIWEDLVSYAGLILDGFLLPQIIFNRLSGSRVQAISPWFYIGVTLIRDMPHVYDLFRAQNYIPSLRSSYIYANSHDDLFSAAWDIIIPLGAALLAMVLFLQQRLGGASLISLQGSRLGSYEMVSTI >KN539261.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539261.1:45953:47644:-1 gene:KN539261.1_FG004 transcript:KN539261.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGKAIMFDTSTTGRSLMRLPPGRCRPDPRSKQPGATDCWAHAVEFDYNTGALRSLKIMTDTWCSSGAFDADGNMVQTGGFFEGDKSVRYGTQLVLPDGSFIVIGGRRAFSYEFVPAAGRANARATPLRLLRDTTDDVENNLYPFVNLLPDGTLFIFANDRSIVFNYRTGQVVRELPILPGGARNYPASAMSTLLPLDLRKGAGLSAEVIICGGATKNAFKLGETGTFPPALRDCARINPSKPGARWALDQMPSGRVMGDVLILPTGDLLMLNGAAKGCSGWGFGRQALLSPVLYSPYLRRGKRFRVLNPSNIPRMYHSTSALLPDATVLVAGSNTNSAYNFSGVDFPTEGVGQGDVKVTMYAPPFTTHGYSMNQRLLILPVTAFAAQGQRHTVTVDAPPKPELAPPGYYMVYVVAKGVPSKAAWVKIHK >KN539261.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539261.1:70917:71636:-1 gene:KN539261.1_FG005 transcript:KN539261.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSATPKPHIPAATPSAIGEPPNYEIGWKRTKKLPLEKPKGWAIADFMEKLEGLMARGRYGSGELLGTVAGVVTERAREEAEILVAEGGVEERVATELFRVLRLVEMDVAMVKAAVKEETVKERVETARARCRQAILVALSL >KN539261.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539261.1:101063:102785:1 gene:KN539261.1_FG006 transcript:KN539261.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVDEAKEANLVENDEEKGVPRPRPRPKKKRRTEKGTVVAAAADGELQKPQGTTPETNVEGEDQAPPRPKPMPKKKKRRTEKGSVDVADGEQQQQQQQQGREKEPRKKAQGKDHGGVPVTVLHLSGAMRELRLVLWDSSHGTIIKGTGYMDFIAGTGLREHDAVQIWAFKRRGFKLFGATVPESRFYLRVRF >KN539261.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539261.1:7460:10006:-1 gene:KN539261.1_FG007 transcript:KN539261.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAPRRRRHRYLVSQLVASFVLHGYYSSASGELCVVGGSGSYSVDGGSVEHLRDVNLHLRVPNAPSLADPFVTGLLDGADFETISLVAYVENDRYVYSEKRPSCPPPMPAHAARGALQALEANFSCSHLREIFVSSYRLKNTSSDASSPAASTFQFPLSHGGLRMLVNQMHCTANGSVRAYVVFSNYTDSERRWRRDMVINNRFLVKEEAVVADGYWDATTSRLCLRACRVAHSSAAETELKVGEQCGLGMSFWFPAVWTIRDRSIVAGLLWNANQEESSGNKHAGASLSGVMSVSSIDGDGYNRRRSNLTDVKYNYTMVEKAKKQYLSCKFSKRKTGRFPGNSSMYSYSDFRFNFFIETLGAGGQASPVTIGSVMVDGDQLAAEYMFFRHAMGEMNKSRTAVVRMDHSQLLNVSYDISYRVRSANSKARKNSSSLFSHPLSIEHREISAEGVYDPKTGILFMVGCREINGSSTDCQILVTVHFASLDAKGNGHGRGKISSTRDKADRLHFEAMDITLYGMYREQIGESIWRMDLEIVMAVVSATLSCVFAALQIRHARANPASAPSATSVAMLAVLALGHVTHLALNVDALFVSRRTHYIPISADGWLELNEVMLRVPTLIAFALHLCLLQLVWSSRRSAPRAIAEKWSAAERRSLWICLPLYLLGGLLAGAVHVINNGGRAAAENSLVVRVAGDSATLWDDLASYAGLVLDGFLLPQVILNALSRSRARAISAWFYVGVTVLRAAPHVYDALRARGYVPSVTPSSTYAYASPRDDLFGVAWDVAVPLGAASLALLLFLQQRLGGAFFVRGRRFGEYEMVPTTVSSHQEGDKKMDHERGDVIAN >KN539261.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539261.1:112439:118080:-1 gene:KN539261.1_FG008 transcript:KN539261.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMVDALLRCSALVALVLHFVVNGCSAVNTEGSALLKFQSRVEEDPHGAMAGWSERDGDPCSWNGVRCVDGRVVILDSDWKCSLSIRSRIIVPKCSRNLKDLSLRGTLGPELGSLSHLRALVLSNNLFDGPIPKEMSDLAMLEILDLSNNNLTGEVPQEIAEMQSIKHLLLSNNNFQWPLIQNSYRNFDQEIDFDVYDERGDVDQRSENGFESDSSSEENTKDNNNLSARLSSQFAARNLTVQLSRRRLLEDTNLAAASANAPVPAVASVPSTGTGSFSAFKEIKVPPPLSPPSSPPMSSGPPQRSKRWLYAIVISSIALLLIVIACMFLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVIATNKDWSKHSEGRFRKKIDLLSRINHKNFINLLGYCEEENPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGVAYCIQHMHELNPSITHPDLQSSAILLSEDGAAKVADMSVWQEVISKGKMPKNDDIVDHHEPVSADPAGNVCSFGLLMLEIISGRPPYSEQKGSLANLAMECIKDDRNISCLLDPTLKTHKENELEIICELIQECIQSDPKKRPGMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >KN539261.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539261.1:106946:109247:-1 gene:KN539261.1_FG009 transcript:KN539261.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHLLRGHSLDRFLPIRSLIMSSSSSFSSSSPSPPPSSSSSSRGSSSGRWCGVSVAEEDEDDAAVSATTTPPLPPLQKRVLSRSHGSKAKPLGGSVDHLPPVVPPSSKNVRDSGPPSEIDLVKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMSADRRARWNKEIDWLLSVTDHIVEFVPSQQASTDGTSMEVMGTQQRRDLLINIPALRKLDAMLLEYLDNFKDEQEFWYVKKDADEGEKGDAPRQGDKWWIPTVRVPPEGLSDASKKWILHQKDLVGQVLKAAMAINADVLTEMEIPGEYIETLPKNGRSSLGDSIYKIITDDHFDPNELLSSVDLSTEHKIVDLKDRIEASVVIWQRKISNKLSWGPGVSLEKREQFEERAQTVLLILKHQFPGVPQSTLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAVARDPKRTKSRRRPSLVDIPEIIDNALEEEETVNSMDVHWQEQEHEDKGARLRKVHRMVTKKLLHIEKVDNLGGGLKSFSHR >KN539261.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539261.1:53019:54866:-1 gene:KN539261.1_FG010 transcript:KN539261.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLMAYGERGDARYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >KN539261.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539261.1:20595:23265:-1 gene:KN539261.1_FG011 transcript:KN539261.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWRWSPDDAYACLSLLLLLLLPAAMATSYSSLCSLPAEAADLVVTAGEHQSIADRLNLPLPSDGYYSNDPDPAALCMVGSGSRARDDGLGVVIIPDVALRLRLPRPATLTRPFVTGRLEGPDFGAVTLVAYAEGDYKYGEAEAASCPTPPGAVRSASQVFDGNFTCDRLGALLRGSYTMEYAEGRAPSGFPLRQRHRSMHISEIYCGENGAVRAYMVFDFDDASSDAILLGIHEAPWRRGFQADGDEALVADGFWKPSQGRLCLRACRTVRSTVRESDCGIRIHFWFPAVWSIQQRSVVAGMIRNTRSDDDGDTNKMSGAISLSRTGFRGDLSDIKYHYTRVEDAKKYYHSKPELSKERNGRFPGNYSHRDFAFSLYMTTQGGYGYASPVTLGSAMVDGGTLTADDAFSRHAVAEMIKQRLLSVSYEFDIHLYLRVNSSSAWNVSRVPDRWRVSAEGVYDTKSGTLCMVGCRVINSSSDCEILVTVQLPALGGEDGTGSISSLRKKSDTLFFETLGFAAYGAQPAVEAAQAISRVDTERIMLVTSMTLSCVFLVLQLRHARKNPDALPATSITMLAVLALGYMIPLVVNYEAMFVDHGGRNRHFIELARGGRWLELNEFVLRLSTMVAFVLQLRLLLLAWSARSTAGAGGGGDDRVNAISPWFYVGGTAIRAAPHAYDGLRARGYVQRWIPSYIDVYAGPRDGLFSVAWDVVIPCGAAALAVLLFFQQRLGGDFMCCVKRRKPGGSYETVSTSTL >KN539261.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539261.1:40:4682:-1 gene:KN539261.1_FG012 transcript:KN539261.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEISSDGSIAHYADVTLQLRIPSPSSLTDPFVTGSLKGADFEPTLLVSYTEGSSYRYSENSTCLPVLEVAAAARRAIQTTPDGDFSCATLKARLTTWYGLEYGRGHAIPSLREPRMYINQVHCTASGAVRVYAVLSNDTTTMWGSRGLFLTEEGAVVADGHWDSDTNRLCLRACLLNSSDDNASVGSDALITASSFEKFKGNVSDVNYNYNFTMIDEAKRHYLKARLSTSKKKSKVSFPGNYSYSYRDFDIMFFLDGETGNGRAYPVTIGSAMVDGDKLAAENSFSWHAAAQLEQGTLVNVSYGVMYSVAPKNWSFIAPLVHRHIWAEGVYDPTTGFLCMVGCGELNGSMDCQILITVQFSSFGDSNGFGHGRGRISSLRDSTDRLYFPKRDLTLFGMYSHEVSESIWRMDTETVVVVISTTLTCVFTVLQILHTKRNPRAAASTSITMLAVQALGLVTPLVVNSELLVMNKRKQLGGLDGDGWLRLNELMLRVPTLIALALQLRLLQLACIAEEKDSAIWFKRALTFTLKLMAGLTKNRSTSACRLCFILLVVLSATTTTTTLSTALSGPYSSRCASPSPAADQHTGVDDASALLRSFRITSGIFSGEGAETLFSPRSYYSVVGQHSFTDSFARRSFSLLPHAVSRTTEPSVIHLTATLTLFGSRVQLFESDLTRESAKEGHSISFYLDGYYSSATAQLCMVGKGSDLSIDGSVTHYMDVALRLRIPSISSLTDPFVTGILEGADFEPFSLLTYAEGSSYSSDNHASVGSDVALITASSFEEWKGNLSDVNYNYSFTMLEEAKKHYLKTGPSDSKKNSKGSFPGNYSHSYRDFRFPFFLEGETGSGTAYPVAIGSAMVDGDRLAAEHSFSRHAAAQLEQGTLVNVSYAVTYYVAPKNWSSFGQLKDRYIRAEGVYDPNTGSLCMVGCGELNGSMDCQILITVQFSSFGN >KN539261.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539261.1:72793:73143:1 gene:KN539261.1_FG013 transcript:KN539261.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEHAVQALEESDGMAKVMLGECMGPIYHGQNVALTDAKSGQHALPAAEHGWLTERDHGKQSLRAENAARHQQHQATAKGVARQPQPHTLIVHHYVHHNPRHQLLIDALCSLDSS >KN539261.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539261.1:119964:126367:-1 gene:KN539261.1_FG014 transcript:KN539261.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MAAPPPSATVGLIPNLAGRGVLLPASAPDSSACHGFVVPTRRRRRVSVAPFGWGLARRGRVSDARADGFGAMCAVAGGEAGPGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKSLESTESDGRSSRRRFTGDGDAPVVRRGDERSGDGRSTSFDRQKGSQRNRGDVNERSMANNDIQNNSIRLVEKKKPGDVEKRRGRQGKVDEYVQRRIVRGEISEDEGNVDKNERKQFTSQLKMKDTRSNMVAHQSERNMHVQSNARKGLQRQLTSMVSHISSPPNSRIILENTKSLVKRGKENFSSPARSIYENNFKYPRERKFTNYDVNADDKFQRYQQTTENSGRGVVVGRFGEGDIDYNKATVSKRYGNRQATSGHDGHPTVSLKRGKPEAIRMQRGENVQTGKFIRRDAKAIDLDDRAAFKTFEVFTDVRNRPRVLQMELEDRIQKLASRLNATDVNTPEWKFSKMIHDAKIKFSDHSILRVVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDMAAYHCIAVTLGQSGLVKELFDVIDRMRSPPKKFKLSPIQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKVEKTSIPGALNYKVLINALWREGKIDEAVMAVKGMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFDEMCKYCSPNNVTCNIMLKSYTEHGMFEDAKDLLENILSGRIRSKVESSQKAIADKFTFNIFMEACAEAKRWNDFEYAFRKMLSSGYHFDERRHLRMVLDAYRNGKEQLLEDVWDYMCQYGRVPPAPMIMERFCLKLRQGDTVAAMSCINTFQESKIRNVSSMSWFNLLNRNGDRLKEDIIIKLLHELNNLVSSSGHSDSLYQNIISSCTEFLSVSTSVEKASSDQQMLPCSLWLFRQNFDTFSWYTKEHIEKSYRCENFWVNYTHRCPRIMNLRMQPIGNSRA >KN539261.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539261.1:104077:105882:1 gene:KN539261.1_FG015 transcript:KN539261.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVGDTLPDGQLGWFDGEDKLQQVSFHGLAAGKKVVLFGVPGAFTPTCSNQHVPGFINQAEQLKAKGVDDILLVSVNDPFVMKAWAKSYPENKHVKFLADGLGTYTKALGLELDLSEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >KN539261.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539261.1:26953:36328:-1 gene:KN539261.1_FG016 transcript:KN539261.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRLLLGLQLLEESAFPGIVPEFGCRYSCMHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAVVAFIMVYMRADDTQDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVVPLMKSTWEIAMPPIYSVYDNFPCCQCDDAQGMDAADFKGLVSDHWHVGVRTSHTNSSCPSQPLLVLVQNPSPKVSTAATASRIVSSSVLRLPLFARLNLSFNQFSGELPLEVADMKSLKYLMLLANNFSGGVPATYPPFNFVYTDPDPESLSCENPKCGGGCGKCGALHMPATSSSSLSSGCSSSCVTRQYIRDEKNEESFTRMEKNSPTKGSLSLGDLDAVEVLPASATAGWSSARQKRKWSQSGSTKDVAGPSSIALKNVDPSDGVGAFPKAMSLADYLELEGSAINLNINTDYYLVAPGEGGIVVEGQTYAEKPHQHKQMKHVDPKIDEKYVEFKQFDIVGDHSDHFYSNPRERKVQVVNEPGKDWVKRIQHEWKVLEKDLPDNIFVRVYEDRLELLRAVIIGPSGTPYHDGLFFFDVYFPPQYPRNPPLVIYRSGGLRLNPNLYACGKVCLSLLNTWPGDGCEKWNSSNSTMLQVLVSIQALVLNAKPYFNEPGFENYANTPRAEKKSIAYNQETFLLSCKTMLYSLHNPPKHFDDFIIGHFHKYGHSILIGCNAYMDGAQVGSIIGGVKAIDKGNKGCSTKFKGSLKKLFEELMMEFIGIGVDCHEFMIDTTLKL >KN543036.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543036.1:79:450:1 gene:KN543036.1_FG001 transcript:KN543036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVVNNTNNSDHHAGDNGIPIPNVADNVIAKRYCMKHAALSSGTGDMKAMHEDELKKSDRVFIKVDNDTLRRLISAANVMGVKGLIDLACQRVADMLKAKRLKKMRQTSGINNHVREGEDPQVG >KN543036.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543036.1:3950:4526:-1 gene:KN543036.1_FG002 transcript:KN543036.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTILALFIWAMAMVIFAAAMPAKARMEGIHPQGCRCCYFRLRPMIQCAKACCGSDDENCCLVNN >KN540192.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540192.1:26408:32019:1 gene:KN540192.1_FG001 transcript:KN540192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLLLVLASTSWSPAASGQDTSSATCTPDLTGEQCRSCLAGIIAQMPKLFGDASSRPVGGRILGVRCNLRYEKDVFFKETSTTIKLNMPKKLLLQRDLVILEREIVSESDERFSLFKFSKIKDATDNFSGENKLGEGGFGHVYKGRLTTNQDIAVKRLAPNSAQGFKEFKNEIKLIVCLQHRNLVRLLGCCIKSKERILVYEYMPNGSLDGLIFDFGIARICLSSVTESNTTTAIGTFGYIAPEYCSQNVYSTKSDVFSFGILVLEIISGKRAVGSYKLSGRSYELRRYAWQLWKEERCDELVDPSLGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNGNRRLLMPAQPGSFNIDIGDTEEL >KN540192.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540192.1:44533:56768:1 gene:KN540192.1_FG002 transcript:KN540192.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHKIASLRRESSLWRREDDGVYFSRSSTGASWSSSRLRDEAEEDDEEALRVGIDYPTIEVRFEKLEVEAEVHVGNRGLPTLLNSIINTVQAIGNALHISPTRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLEDNLKAIGNALHISPTRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLEDNLKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTASAIGGQESSVVTEYILKILGLDICADTVVGNDMLRGVSGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSIGQTIRILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGAREHVLEFFELMGFRCPQRKGVADFLQEVTSKKDQEQYWYRNDMPYSFVPVKQFADAFRSFHVGQSIQNELSEPFDRSRSHPASLATSKFGVSWMALLKANIDRELLLMKRNSFVYIFKAANLTLTAFLVMTTFLRTKMRHDTTYGTIYMGALYFALDTIMFNGFAELGMTVMKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPNVSRFFKQYLLLVALNQMSSSLFRFIAGIGRDMVVSQTFGPLSLLAFTALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGRSWNKSFPGQNDTVGISILKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALKEKRANQTGEILDSCEEKKSRKKEQSQSVNQKHWNNTAESSQIRQGILPFAQLSLSFNDIKYSVDMPEAMTAQGVTEERLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWMRLPSEVDSETRKMFIEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIDIFEAFDEVDNSLLSIWIKLFLMKRGGEEIYVGPLGQNSSKLIEYFEGIEGISKIKDGYNPATWMLEVTSTTQEEMLGIDFSEIYKRSELYQRNKELIQDLSTPTPGSTDLHFPTQYSRSFFTQCIACLWKHKLSYWRNPSYTAVRKKEQDLFNAVGSMYAAVLYIGIQNSGCVQPVVVVERTVFYRERAAGMYSGFPYAFGQVAIELPYILVQTLVYGVLVYSMIGFEWTVAKFIWYLFFMYFTLLYFTFFGMMAVGLTPNESIAAIISPAIYNAWNLFSGYLIPRPFCNHEVQLPEEMKKMPFLDVVVEDGVVSYIEFIFIRYIEKHCVFLNLL >KN540192.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540192.1:32474:32918:-1 gene:KN540192.1_FG003 transcript:KN540192.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADHHGGHHHQTTKKIISQGSDGIGGGGGGKSRVDLALQIADLHTCDAVGTNKLS >AMDW01035633.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035633.1:8:533:-1 gene:AMDW01035633.1_FG001 transcript:AMDW01035633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKTLFVPQYVDSNLILFVQLGEVKVGWMHKDELVEKNLKMGDVLHIDAGSTFYMVNSGKGQRLKIICSIDASDNIGFGPYQAFFLGGGGGGGSRHPQSVIAGFDPKTLVIAFN >KN539190.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539190.1:13766:18407:1 gene:KN539190.1_FG001 transcript:KN539190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLVSTTLARSSSSLAAAARRPDLLASSPRDGFADGLFGGMMKSDGFWVGGTVGPVNDQRCCGIWGWEHITSRGGNLMLFKITTFVQELLITRLGVLVDEVGLEEVPDVIQNYHLCVVKNRRLDSDTIAKASQMKIIMQYGVGLEVAQLAPWILSIQLFAGVDVNAATAHKIKVARINGSTTGNAVSCAEMAIYLTLGVLRKQMCFTLQKVMDTAVKCKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYEFAGEADIVITCLLLTNETVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >KN539190.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539190.1:104826:115711:-1 gene:KN539190.1_FG002 transcript:KN539190.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 6 [Source:Projected from Arabidopsis thaliana (AT2G32940) UniProtKB/Swiss-Prot;Acc:O48771] MAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQLCHMVSLQRYTKVLSSQQRATLVEKSRQKPQERMRVVTDVGLLNSVNTTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGAVLSCFNTSDLRAIYLPPIGFDEALSLRTGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >KN539190.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539190.1:42725:49676:1 gene:KN539190.1_FG003 transcript:KN539190.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEATTSPEASAEDKAHRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNHSDRMRSYLEAGCTHHNENIQNMNKLHSCQEKLNDHISKAKLLLEELHFLEEDVYGTTLTACLSSLRHTDDCPDDDNLTNIYSEDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCVKTTSSELEGYCQMWDLRPYIDDNSWRVVVLAGQFDITLGAKWSAVGSVKGSGGGPQRRRCGFYEVVCDGSSVEFVVNDDNDIVAVARRADAMETASASASDPPPLRRRRQRQRRLVFDRRYGWMFCVLPMARSLVDVAVSSVYTPISISLVTYAADSLSRALERCGTSSPIAYLPPLSLHRKQQTWFRELEHVGVIADTKLIPCRTMCSLGCISTDGH >KN539190.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539190.1:34891:39846:1 gene:KN539190.1_FG004 transcript:KN539190.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEEMRRVALVQAEAFHVPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEEADATSQISEAPFEKIVGVVDCTVQNEADIVKNLQGVDEYLYVSGIAVLPSFRRRKVGTALLKACEALALQWRHRFMALRAYEDDDGARGLYSKAGYRVVAKDPGWVTWVGRTRRVLMIKELPIHEHHLEQQ >KN538728.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538728.1:304794:309105:-1 gene:KN538728.1_FG001 transcript:KN538728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRCCIVAEGVSELVLLWGVKCAAEGFEGGAPDQGGGRQGHVDAAPHHRSAGARSFASFPDEPTRQQRRDARELMAIISRLYPCKECAEHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWVRFLCVLVSEVSEHRKTWKYDTPALLYMLHGWQLMRGEMKMKRLPLLVLLVFAFLGGGNMLLGIALVARRNTATSSDYVVAINNVLTMDNIFAAFIADHQTYGGIELNGRRLQERRLSSTNRKTRALKNVRIDDYRPVDPSPSSKATIGAGPIEHGTPLLPYVPRPKPPPDHPAQSPAT >KN538728.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538728.1:356726:358326:-1 gene:KN538728.1_FG002 transcript:KN538728.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQGSADRMESVYRIWVQDRSGGDSEEAAAAVGGGGLPAGELRRELHTALGTAKWQLDELERAIRSNDRVFSAGKDTKARHDDFVTAIGSRILEVENNLKESNVAEGRGALSWIDLDEDERNDLAAFLSASSFQQRDKVVTIPSVGDIDVGNNAAMVKKDMYADNSKDSGSAELSSARVKEETHRGHRRAASAHADIGSWTMLCPNESESSADLPYDDKHQEPLLKIVKTCALTSALQSKPRTKKKGGSVKWAAVDQQDVEETIPLSSQMGQGLSFCRDRIDALKEARVV >KN538728.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538728.1:262947:269281:1 gene:KN538728.1_FG003 transcript:KN538728.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKRRPEGTGERRRSGCGIGTSAIRDDADSWTGRFVVERSPAWGTVLVERNVDSLFMRERCCIGHKILRDSWLIKTKLGEVEEGNYTNRLSDQQGQAAINSVDQEKIKDQRVRLFFACFKMKFMKLGSNPDTFQDDGNEVSSFHQFLLFSECTTVERLNMSKECVIHPVCIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAVRCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEEHPSLGKNEKKKLCSLMDCKKLSPDACAHASSRSGATTNTEDEWDGVMAVEDLSLSTKTTTKLDGAANSHCSNGKATKGGASTPKKAAHRKTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >KN538728.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538728.1:330446:333920:-1 gene:KN538728.1_FG004 transcript:KN538728.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEARFVSPAMKRTSDWILSQELPSDITIKVDDAAFNLHKLPLASRCGYIKKQVSGVGGNKAAHLEIAGMPGGSKAFELVVKFCYGVNFEITVDNVAMLRCAGEHLEMTEECRPGNLVGRTEAYLEEVALASLDGAVAVLRKAEELLPASEEKARLVAREVDDWCADELTALRIDTFQRVMIAMKARGFKGIAMGTLIMLYAQKSLRRLDMHGRDRKKMGARQEHEKRVVLETIVSLLPRERNTMSVHQEMSKSARKRLCRVINCRKLSDKACAHAAQNELLPLRVVVQEDEICQPSPGNDANEISVLSCLSPDDNDDVFMARSASHLQLLTSDN >KN538728.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538728.1:343882:345609:-1 gene:KN538728.1_FG005 transcript:KN538728.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENAGMLRVLKVSYDYLPTTTMQECFLTCCLWPEDYSIEREKLVECWLGLGLIAGFGSIDDDVETGARIIAALKDVRLLESGGDVVGDARGVRMHDMIRDMAIWIASDCGATRNRWLVRAGVGIKTASKLNEQWRTSPAAAGGATTERVSLMRNLIEELPARLPARRGVRALMLQMNTSLRAIPGSFLQCVPALTYLDLSDTIVMALPGEIGALVGLRYLNVSGTFIGALPPELLHLTQLEHLLLSDTNMLDSIPRSVILGLQKLKILDVFASRYTRWRLNADDGDDDDDAATAAEASLDELEARNASIKFLGINVSSVAALRKLSGFTNVSTRRLCLKDMAGPASLTLLPSTLSGVLGGLDMLERLQHLAIRSCTGVKNIVIDAGSGSDSDDELRRSFRLPKLDRLRLLSVRHLETIRFRHTATAAHVLPALRRINILNCFQLKNANWVLHLPALEHLELHYCHDMEAIVDGDCGGDTAAEDRRTPTTFPCLKTLAVHGMRSLACLCRGVPAISFPALEILEVGQCYALRRLDGVRPLKLREIQGSDEWWQQLEWEEDGIKDALFPYFKNHS >KN538728.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538728.1:251582:256455:1 gene:KN538728.1_FG006 transcript:KN538728.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated progesterone-binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/Swiss-Prot;Acc:Q2HIW2] MALGARLLLGIALLAALLAVVLQLYRLRKPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGSSWKLAKFQFLPEIDVIGSASTSFPSSDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGCDYLSTSCKSNRFASELKIRN >KN538728.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538728.1:336400:337331:1 gene:KN538728.1_FG007 transcript:KN538728.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLSYIDNSNIGDSATRRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISIGSSGSRPSITLDEFSATDVFRIIDFGNRDAPYEANRSSSSLAHPSSESDEERSPIDTSSLKRSRGLSKAAFLRLQIEIFEASKDDYREASPECSICLDGFYDGDELIKLRCGHRFHSNCLEPWVRKCADCPYCRTNIQSRS >KN538728.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538728.1:274484:276145:-1 gene:KN538728.1_FG008 transcript:KN538728.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDEEEEEGVVIIIREYDPSRDRAGTEAVDRECDVGPTGGMSLHADLLGDPVARIRHSPDYLMLVAETTSGASNGGRIIVGIIRGTVKSVATGKSCPGAPAVASVGYILGLRVAPSHRRMGLALRMVRRMEAWFERMGAEYAYMATDKSNEASLRLFTVRCGYSKFRTPSLLVSLLHGSFWEAIEFL >KN538728.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538728.1:242710:250562:1 gene:KN538728.1_FG009 transcript:KN538728.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKQVESSKMGETEKINGEQKKNNDGENSGGIVAPIDQEKLDELLAASLAAEEEANLTGKGKQYTVSVPLQEAADISEDDDEDDGEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRGAAGRGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHIVDSIKSKREINPAVFKSNPTSSSSSTKPNNSEPLGSFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQVRNRGHDSVVEGLANNEEPPDFPEHLFEGNGLRSSLHLSEDYDETASDNHHTSSLVGSDKISEDDYHGSKETIEISFADDQTEVKDNDDHIFLHLASGTSSNLFTTEQTDGSDCITKEGVLEGETPPMQVDEKDHLDNFCTDDEIEWEEGGCDVPGGPSSNENDQSKLPKGDLEEDALVQEAIRRSLEDFEKQEHENVTPEDLQASFEDKPLQSYDDVPKPAGAAGKTADKIGKEINSEENDIVHGSLVVDGRENENQTQPENSDGQADMKRAYLLDPLPPCNMTASTSAAKSPEGSEVQHHNSMLHSIRTPEWPKNDSDKVMTQYSLNSDNSKCKIDDSCTGETSRSLQSDLLMDELVPDTAVQKENMIQRTTDLSTSEINYTKLNDNVGIDSVSASNLEKELSLLRQEQEYLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMAMLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGMESGSSSKKKKSGRNHSDGKGNSLEPEYTKGSDDSQSSNETQRIKEIFISKHRNVSKNWHIPSTFPSEAVINAYISPQVDDSTEPFSWGRPDSGLLRKFGWSKEKADELLIPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLETDELDHDSPSTSNTSKKKERTSTGRGGAKGQRTKDVGPGNTGNQDYDIADSLVDAGEHTTEKSTSSKKRTANSSGGSRGKGRRSMNAAHVIIGNGEDSDVSNLASDEDSHIRHTNDYESGGLTLRRSNRKRKQVTYAEDGQEADDNDVSIHQIDENQGQGSLEEDMGHVAGLDTQSNLLHQDTSELNIDQTHTDPSDMNEDPSGFELPEDCHTDTAPKDYLFTGGGFCMEEGDEQDTGVDQSGAEMEHETRDACEGIDEVCESQSGKSMSYSATGEGTENANTEARGASSSQGRNASRGSGAVPKLTKRRRKS >KN538728.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538728.1:353092:355394:1 gene:KN538728.1_FG010 transcript:KN538728.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSTVVGMEGYLEEALACLDDRDAGVVAICGMAGVGKSTLLRRINNVFVQDPDRRHEFDYVIWLDAPSDCAAVGKMQDAMAHRLGLCALPDGGAPDHRARPIFEVLRDSSFLLLLDGVTKPVDLVDIGVPHLVHDDRRRQKVAMTTRTRGVCGRMSSSRRIDMQCLDSDHSWRLFREIARDETINADPRIPDLAKEVAGRCGGLPLALTAIGGAMRCRRQPEEWVSTVTALRNLELAKIPGMDAGEKPGAMLRSLHESYGDLRHPVLRECFLATSLWPEGHAIDKGELVECWIGLGLVGESLPMDEAVRTGLAVVNELEEANLLLPGDAAGEVKLHGVVRGAALWIARDLGKAPNRWVVCTGGVSLRSRQKLAEFFERARDAERASAMRSSVERLRAMPPPSSHCRSLSVLMLQRNAALRDIPGGFLLGVPALTYLDASFTGVREELEVVAGEEDNAWWRLPELRKLEIDELHELAAVRWTRTDVGAFLPALRWVKISHCNRLRNVSWAVQLPCLEQLELSHCSEMVHVVDIDDDDEEQRREHPEARTFRCLRRLLLVELPSMGSIGGGASLSFPRLETLEIAGCDSLGELPVELQKKLEEI >KN538728.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538728.1:284022:287193:-1 gene:KN538728.1_FG011 transcript:KN538728.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARGRSGEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFNAEKTLHSAWKEISKLRDNVSSKRSKLQLLKQKLKLFAILRRQIYYLDEWSHIEKHHSSALSAAIEALKASTLRLPVVGGAKLSNLRDKTQFPCGQLPEWTTNNCKSFMIYLIQRAFKSIEVEGTSSVVSELAKLATQEQMLLDQSRDLLSMVAAIHVKQCSLQAHMLQRKQKQSQTRL >AMDW01026470.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026470.1:11:199:1 gene:AMDW01026470.1_FG001 transcript:AMDW01026470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRIGGGRERDEAKRRYDEFVKSRKGRKESKARREALIDLCCSAASAMAVLSFVAAVVLR >KN538859.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538859.1:80346:82766:1 gene:KN538859.1_FG001 transcript:KN538859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCHVLLGLLLLLSLHTPASSAAATDTVSPGHSLAGSDRLVSNNSKFALGFFKPGNESSYTNHNSYLGIWFNKVSKLTPLWTANGENPVVDPTSPELTISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNNGNLVLRSSSNSSNIFWQSFDYPTDTLFAGAKIGWDKVTGMNRRLVSRKSSVDQAPGIFSLELGLNGEGHLLWNSTVAYWSSGEWNGRYFGLAPEMIGDVMPNFTFVHNDKEAYFTYTLYDDTAIVHAGLDVFGIGFVGMWLEGNQEWFKNYRQPVVHCDVYAVCGPFTICDDNKDLFCDCMKGFSVRSPKDWELDDQTGGCIRNTPLSCGSSKDRTSLTDKFYPMQSIRLPHNAENVQAATSGDECSQVCLSNCSCTAYSYGKDGCSIWHDELYNVKQLSDASSDRNGGVLYIRLAAKELPGSEKKKNRNISGFAIGASTATLFLMILLLMLWRRKGKWFTRTLQNPEGGIGVVAFRYINLQRATKAFSEKLGGGSFGSVFKGYLGNSTIAVKRLDGAYQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNRSLDVCLFEANDIVLDWTTRYQVATGVARGLAYLHNSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYMAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHECFRDGDYSFFFPMQIARKLLNGDIGSLVDASLKGDMNLVEVERACKIACWCIQDNEFDRPTMAEVVQALEGLLELDMPPLPRLLSAITGDSHSVTPQYFDSV >KN538859.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538859.1:52456:54909:1 gene:KN538859.1_FG002 transcript:KN538859.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIYVVLLFSLCISANAAMTDTISVGNALGRKDKLVSKNGRYALGFFETERVEVSQKSSKWYLGIWFNQVPKITPAWVANKDNPINDPTSLELTIFHDGNLVILNRSAKTIIWSSQANIINNNTSAMLLSSGNLILTNPSNSSEVFWQSFDYPTDTLFPGAKLGWDKVTGLNRRIISRKNSKDLAAGVYCKELDPSGVDQSLLTPLNSFTPYWSSGPWNGDYFAAVPEMASHTVFNSTFVHNDQERYFTYTLVDERTVSRHIVDVGGQAKTFLWYEDLQDWVMNYAQPKSQCDVYAVCGPYTICIDNELPNCNCIKGFTITSHEDWKLEDRTGGCSRNTPIDCTNNKNTTHSSDKFYSMTCVKLPQNEQNIGSVKSSSECAQVCLNNCSCTAYSFSNGGCSIWHNELLNIRKSQCSDSSNTDGEALHIRLAAEELYSKKANKRVMVIGVVISASFALLGLLPLILLLLRRRSKTKFFGDTLKDSQFCNGIIAFGYIDLQRATKNFSEKLGGGSFGSVFKGSLSDSTTIAVKRLDHACQGEKQFRSEVSSIGIIQHINLVKLIGFCCEAGKRLLVYEHMANRSLDLQLFQSKTTITWNIRYQIAIGIARGLAYLHENCQDCIIHCDIKPENILLDASFIPKIADFGMAKLLGRDFSRVLTTVRGTVGYLAPEWISGVPITPKVDVYSYGMVLLEIISGKRNARTSCSCGGDHDVYFPVLVARKLLDGDMGGLVDYRLDGEIDIKEAEIACKVACWCIQDNEFNRPTMGGVVQILEGLVEINMPPMPRLLEAIAAGSSNPTCSSASFVSNISVQQSF >KN538859.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538859.1:18346:18970:1 gene:KN538859.1_FG003 transcript:KN538859.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPARVVLLAAQEPEDEGEVVGECEAAMAGVLARKYQQEHSLQMEMELVITGDAFLCRNGRIGSCLQPSVQSTGNLFHYR >KN538859.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538859.1:131802:134530:-1 gene:KN538859.1_FG004 transcript:KN538859.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEGMHATVLITLRQLIATLFLAPIAYFRERASLTQWLFFLGLQYTTATFACAFINMTPIFTFLSRIARKYPALYSGTALMFFLSFLQMAVVALAIDRVSLPPWILRTKLQIITVLFVGIVGSGIGFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLREQLHLGTVIGSALVIMGLYFVLWGKSKEASPSSSSHPAKEAVPVLQQQHGHDDQETTNVQMQTV >KN538859.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538859.1:6519:7415:1 gene:KN538859.1_FG005 transcript:KN538859.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAALLMALVAMSVVLEAGADAGGYGGGYTPTPTPVKPAPKPEKPPKEHKPPHHHEPKPEKPPKEHKPPAYTPPKPTPTPPTYTPTPKPTPPPYTPKPTPPAHTPTPPTYTPTPTPPKPTPPTYKPQPKPTPAPYTPTPTPPTYKPQPKPTPAPYTPTPNPTPPPTYKPQPKPTPTPYTPTPTPPTYKPTPKPNPPPTYKPQPKPTPTPYTPPTYKPQPKPTPAPYTPTPKPNPPPTYKPQPKPTPTPTPYKPQPKPTPSPYTPKPTPTPPTYTPTPTPPYHKPPPSYTPGPPPPY >KN538859.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538859.1:152860:158045:1 gene:KN538859.1_FG006 transcript:KN538859.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQLLFSALQVFIKLALNDGMDARVLVAYRFMFAATFLCPIAFLLERLALRLLQLDRSGLAEKPSELEETTASNHEGGAATILVRVVWVVMKMVIAGTLINLGASYYNLCSTNTRFQQRFSINQNLYVLAIKLTSATFITAISNLTPATTFLLAILTRLETLKLKKPAGQAKLLGTLVGMGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLVASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALLSSIILNEALHLGSVLGSVLIVGGLYMVLWGKAKEAADLSQDENQGKESIPVTTGGENEMK >KN538859.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538859.1:113277:126287:1 gene:KN538859.1_FG007 transcript:KN538859.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPAGAGKPVVNLLARRVREMREGGAAPTVDQKAAAAATRKALTNIQTLPRGVEVLDPLGLGVMDNKSLRLITDASVSSPVSREKAQGLDPSMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAEFYLPPDFLANCQLENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTLDHEARMEVLQNKIREKVLSDAKWRQLQQDSNKSLEVDSATGDSFQDDQLSTNIMADEADSLRAAYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLSDSDMNTKQSVNKTDDKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRPFMGDTIKEIAKACLTLEGKDSSPTAVKMLRALHYEITKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLEFRDIIVSAMDRIDFMVLNLRSETAKSYDISQQLHEIHESVRLAFLNSFLDFAGYLEKFGGELAQNRSNKENNHTQNGYVNGTNSETSAGMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSPVSECSSLRDNDERSADMRDLMTSFSALEEKVLEQYTFAKSNLIRNAARNYLLDYGIHWGAAPAVKVYSGARPLLEKAMTILVEGLIDIFLSIFHENKTKELRMLDANGFCQLMLELEYFETILRTYLSTEAEQALRSLQENLLEKACESVTEALENPGHHRRPTRGSEDAASDDRQSVSPDDLLALAQQCSSDLLQGELEKTRLNIACFMESTLQSTPAPAGSKPAAYQSYKAPATHQPVQVSSPSFRRQQTSTYAPVRSCSLVLRRWKVDGCWRLVYSTISILGKKRTKLGLRDFISLGDFFQMIDVKEEKAVNVIKFSARALKILSGQLTIEASYKITTKTKVDITLDSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYPFRLM >KN538859.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538859.1:93363:95789:1 gene:KN538859.1_FG008 transcript:KN538859.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRHVLLGIVLLFLHTLASSAATDTVSPSQALAGSNRLVSNNSKFALGFLKPGNESYNNHNSYLGIWFNKVPKLTLLWTANGDNPVVDPTSPELTISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNNGNLVLRSSSNSSKIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRIVSRKNSIDQAPGMYSLEVGLNGDGHLLWNSTVPYKSSGDWNGRYFGLAPEMIGVALPNFTFVYNDQEAYFTYTLRDDTAIVHTGIDVFGRGFAGTWLEGSQDWLIHYRQPIVHCDVFAICGPFTICDDKKDPNNNPFCDCMKGFSVKSPKDWELDDRTGGCMRNTPLSCGSSKDRSDLTDKFYPMQSIRLPNNAKNVQAATSGDQCSQVCLSNCSCTAYSYGADGCSIWHDELYNVKQLLDAASDGNGVVLYVCLAAKELQISERKKSGTLIGVAIGASTGTLFLITLLLILWRIKGKWIIAHPLEKSEDSIGIIAFRHIDLRRATKNFSEKLGGGSFGSVFKGNLSDSTIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLVGFCCEGDNRLLVYEYMPNCSLDVCLFKANDIVLDWTTRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHENFRDGDYSFFFPMQAARKLLDGDVGSLVDASLEGGVNLVEVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPVTPQYFDSL >KN538859.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538859.1:42079:44529:1 gene:KN538859.1_FG009 transcript:KN538859.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIFVVLLFTLSIPASSATIDTISIGTALAKNDTLVSENHRYALGFFETQRKASQKTSKWYLGIWFNQVPKLTPAWVANRDQPIDDPTSVELTIFHDGNLAILNQSTKSIVWSTQANITANNTVATLLNSGNLILTNPSNSSEVFWQSFDYPTDTFFPGAKLGWDKVTGLNRQIISWKNSIDPATGSYCKELDPSGVDQYLLLPLNSSTPYWSTGAWNGDYFSSIPEMKSHTIFNSSFVDNDQEKYFRYDLLDERTVSRQILDIGGQEKMFLWLQDSKDWTMIYAQPKAQCDVYAICGPFTVCIDNELPHCNCIKGFTVTSLEDWELEDRTDGCSRNTPIDCINNKTTTHSTDMFYSMPCVRLPPNAHNVESVKSSSECMQVCLTNCSCTAYSFSNGGCSIWHNELLNIRKDQCSENSNTDGEALYLRLAAKEFYSAGVDSRGMAIGLAIFASFALLCLLPLILLLVRRSKTKFSGDRLKDSQFCNGIISFEYIDLQCATTNFMERLGGENILLDDLFIPKIADFGMAKLLGRDFSRVLTTVRGTAGYLASEWISGVPITPKVDVYSYGMVLLEIISGRRNSYTSSPCVGDHDDYFPVLVVRKLLDGDICGLVDYRLHGDINIKEAETACKVACWCIKDNEFNRPTMGEVVHILEGPVEIDIPPMPRLLEAIVAGSSNPTCTSSSFFGSIRESL >KN538859.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538859.1:22514:23122:1 gene:KN538859.1_FG010 transcript:KN538859.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRILLHTPFSGQPSGPSQPVSGATIVEGGSPGSNFDANIVMILAVLLCALICALGLNSIVRCALRCSSRMVVDPEPSRVTRLAQSGLRRKALRSMPILLYSTGLKLNTVSPMCAICLSDFEGGEHVRVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFGASQKASGCSESEGSQAEPAPARPVLAPLRHEGLVTPYDF >KN538859.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538859.1:63424:66076:1 gene:KN538859.1_FG011 transcript:KN538859.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPITVLFLLFTLHIPASCKVTDTISAGETLAGNDMLVSSNGKFALGFFPTSSKSSHNASNWYLGIWFNQVPKLTPAWVANGDEPVTGPTSPEATISGDGNLVILDQATKSIIWSTQADITANTTMVKLLDNGNLVLQNTSNSSVVLWQSFDYPTNTHLAGAKLGRNKVTGLNRHLVSRKNSVDPASGMYSYELTDNNGSARFILAALNSSIPYWSSGEWNGHYFGSIPEMTGQRLIDFTFVNNDEEVYFTYTLLDNATIMRFMLDISGQTKIFLWVEHVQDWVPTYTNPKQCDVYGICGAFTVCEESKLPICKCMKGFSVRSPNDWELDDRTGGCVRNTPLDCGINRNTSMQDRFHPMPCVGLPSNGQIIEDVTSAGGCAQVCLSNCTCTAYYYGNTGCSVWNDELINVKQLQCGDIANTDGAILYLRLAAKEVQSIKSSGRSIFIGVAVTASVASFALALFLIAKIPRNKSWLLGHRRKNFHSGSGVIAFRYADLQHATKNFSDKLGAGGFGSVFKGLLNESTVIAVKRLDGARQGEKQFRAEVGSIGIIQHINLVKLIGFCCEGDRRLLVYEHMPNLSLDTHLFHSDAIVLKWSIRYQIALGVARGLAYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFLGREFTQVLTTMRGTIGYLAPEWISGTVITSKVVDGYLNQASEEAGQL >KN538859.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538859.1:87673:90080:1 gene:KN538859.1_FG012 transcript:KN538859.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALTLLGLLLLSLHISASCAAMDTMTPGQALFGNGKLISSNGKFALGFFQTGSKSSHNTLNWYLGIWYNKIPKLTPVWVANGDDPVTDPNNSELTISGDGGLVILDRSSRSIVWSTRINVTTNDTVAMLLNSGNLVLQNFLNSSDALWQSFDYPTHTFLPGAKLGWNKISGLNRRLVSRKNSIDLAPGKYSVELDPSGANQYIFKLLNSSTPYLSSGVWNGQYFPSIPEMAGPFIVNFTFVDNDQEKYFTYSLLDETVVFHHFLDVSDRTKTFVWLEGSQDWVMTYAQPKVQCDVFAVCGPFTICNDNELGFCKCMKGFSIKSPKDWELDDRTDGCMRNTPLDCASNKTASSLTDKFHSMPCVRLPQNGYSIEAATNADKCALVCLSNCSCTAYSYGNGGCLVWHAELFDVKQQQCDGITDTNGGTLYIRLASREEQSQKKNRRGLIIAIALGLSFAALFMLAITLVIWWNKRKRLWNGKVLGRDFSRVLTTMRGTIGYLAPEWISGVPITPKVDVYSYGMVLLEIVSGRRNSNGGCTTGGDKDVYFPVKVAHKLLEGDVESLIDPNLHGDANLTEVERVCKVACWCIQDNEFDRPTMGEVVQILEGLFELDTPPMPRLLQAITGSSCSIAE >KN538859.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538859.1:97941:100979:-1 gene:KN538859.1_FG013 transcript:KN538859.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEFESEHEAYEFYRYYGWKVGFNVRKEYANKSKKTGEITSRKFACSREGYRANVKRGNHMVPMPDSRTGCNAHLVIRRKKPGAKLEVYAFQPRHNHPLFATSCMPNPLQPNVVHWTTLPDAVTPPDLLMDRECEESYRVLDQCWVDLSNKVEEILQKQTCVDATLTQTDVQNLKVSLPSITNGTQAENIMDKSSGTTAKESKKKGQKNKIQSRNCIEKGLRKKQKVHSEQPAEYALLGGSQSGNMFQAFEGPPNMSPLGTQTPTYKTYRGIDLSSPMGPISYDEMPSGLDPTFTTHLGFATYHTSQVSSSSPHNQQPDENFCTKTSELNNLHSSL >KN538859.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538859.1:102690:108262:-1 gene:KN538859.1_FG014 transcript:KN538859.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVEVKADPGPSGVSMEEAKAVEAVEEEKGERLPPDVAEKLWLMVFGNKLEKEVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDELGNPEVSDPFYALGQRRFYQSSFAARDDFSSLTDDRKMRALVHAMLEILFLCGTGNRAVVATIGSVNEAKTAAILEGLSVDSAMDLQKVLRINTFTSRKDAFNSLIANISLFESRLGAMLFLISALLSRGLERIQADRDDPSLPLVTAPFGHASQEVVNLLLCGEAVSNVFDGKVDFGGGMFLNGIPNDVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYSVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSTIQRPRLCKLNVSVPPRWTQDEYLADVVSASTSGSKDDSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCSWVGDMPSIV >KN538859.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538859.1:58471:60897:1 gene:KN538859.1_FG015 transcript:KN538859.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIFIVLLFSLCIPASSATTDTISAGQTLAKDDKLVSKNGRYAFGFFNTDTKASGKTNKWYLGIWFNQVPKLTPAWVANRDKPIDDPTLLELTIFRDGNLAILNRSTNAILWSTRANITTNNTIVILLSSGNLILTNPSNSSEVFWESFDYPTDTFFPGAKLGWNKITGLNRRISSKKNLVDPATGMYCEELDPTSVNQVLLALVNSSTPYWSSGAWNGEYLSSIPEMASHNFFIPSFVNNDQEKYFTYNLAKENIISRQILDVGGQSKTFLWLEGSKDWVMVNAQPKAQCDVYAICGPFTVCTDNELPNCNCIKGFTITSLEDWVLEDRTGGCSRNTPIDCISNKTIIRSSDKFYSMPCVRLPPNAQNVGSVDSSSECAQACMNNCSCTAYSFSNGGCSVWHNELLNIRKNQCTGSSNTDGETFHIRLAAQELYSQDVNKRGMVIGVLSACFALFGLLLVILLLVKWRNKTKLSGGTRKDYQFCNGIIPFGYIDLQRATNNFTEKLGGGSFGSVIKGFLSDSTIVAVKRLDHACQGEKQFRAEVSSIGIIQHINLVKLIGFCCEGGRRLLVYEHMPNRSLDHQLFQTNTTLTWNIRYEIAIGIARGLAYLHENCQDCIIHCDIKPENILLDHSFSPKIADFGMAKLLGRDFSRVLTTTRGTAGYLAPEWISGVPITTKVDVYSYGMVLLEIISGKRNSYASCPCGGDHDVYFPVLVACKLLDGDMGGLVDYKLHGGIDKKEVEKAFKVACWCIQDDEFSRPTMGGVVQILEGLVEVDMPPMPRRLQAIAGSSNSTCSLYSLPANI >KN538859.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538859.1:27338:28473:1 gene:KN538859.1_FG016 transcript:KN538859.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKNYSLSSVANRWDCLRKPKPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KN538859.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538859.1:74748:77115:1 gene:KN538859.1_FG017 transcript:KN538859.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHHVILGLLLLHSQHTPASSTVTDTVSPGHALVGSARLVSNNSKFALGFFKPGNQSSSYTNHNSYLGIWFNKVPKLTPLWTANGENPVMDPTSPQLSISGDGNLAILDEATKFIIWSTHAKITTNDTTIAVLLNNGNLVLRSSSNSSIIFWQSFDYPTDTLFSGAKIGWDKATGLNRRLVSRKNLIDQAPGIYSLELGLNGDGHLLWNSTVAYWSSGDWKGHYFGQLPEMTGSFMPNFTFFHNDQEAYFIYTLSDETTMMHAGIDVYGRGLVGIWLEELQDWFIYYRQPVVNCDVYAICGPFTICNDNKDPFCDCMKGYSIRSPKDWELDDRTGGCMRNTPLRCGAGKDRTGLTDKFYPVLSIRLPHNAENLQAPTSREECSQVCLSNCSCTAYSYGNGGCSIWHDELYNVKQLSDASPNGDEGVLYIRLAAKELQNSQRKMSGKIIGVAIGASIGVLFLMILLLIVWKSKGKWFACTQEKPEDGIGITAFRYTDLQRATKNFSNKLGGGSFGSVFKGYLNDSTIAVKMLDGARQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNCSLDVCLFEANDIVLDWTTRYQIAIGVARGLTYLHDSCRDCIIHCDIKPENILLDVSYMPKIADFGMAKMLGREFSRAMTTMRGTIGYIAPEWISGTVVTSKEGGTKVMNISWMVIIPSTFPCKLHASFSKERLDVLWMPIWKVMSTSWRLKELAKLHVGAFKITNLIGQQWPRWYSPLKVYLSSTCLHCQDY >KN538859.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538859.1:31191:33614:1 gene:KN538859.1_FG018 transcript:KN538859.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLVILGLHLCSLHLPAISAAADTLSPGQSIAGDDRLVSSNGKFALGFFNTGSKSSGNDTLSYWYLGIWFNKVPNKTHVWIANRGSPVTDATSSHLTISPDGSLTIVSRADSSIVWSSQANITGNNTVAVLLDTGNLVLQSSSNSSHILWESFDHPTDVFLPGAKIGLNKITGLNRRIFSRRDLVDQAPSVYSMEFGPKGGYQLVWNSSVEYWSSGEWNGRYFSRIPEMVVKSPHYTPFIFQIEYVNNDQEVYFTYRIHDDTIPLYTVLEVSGQRKALAWLNDTQGWQAVFTHPNDQCEVAATCGPFTICNDNTFPSCSCMEGFSIESPDSWELGDRTGGCRRNIPLDCVSSRSDIFNAVPATRLPYNAHAVESVTTAGECESICLGKCSCTAYSFGNYSGCSIWHGKLVNVKQQTDDSTSANGETLHIRLAARELQARKSNKGLVVGVVVSASLSALGILTLVLLLIMIRRHRKKLHCQALNSIYAGTGVIPFRYSDLQRATKNFSEQIGAGGFGSVFKGLLNGSTAIAVKRLVSYCQVEKQFRAEVSSIGVIHHTNLVKLIGFSCKGDERLLVYEYMSNGSLDTHLFRSNNSVTLNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPQNILLDDSFVPKIADFGMAKLLGRDFSRVMTTARGTIGYLAPEWFSGVAVTPKVDVYAYGMVLLEIISGKMNSHRESNSYADHIVCFPLEVAHKLLEGDVLSLVDGKLNGDVNVEEAERACKLACWCIQENELDRPTMGKVVQILEGLLELDLPPMPRLLQSIVQSSWKTETQH >AMDW01037659.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037659.1:219:557:1 gene:AMDW01037659.1_FG001 transcript:AMDW01037659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLAMATVLSLGCAMVGAPEALRLLLDLAGQSSPIAGVVVIVGVICAVTAATVLGAMLLVRFIRVAGNAPDPTTERFARVTLTVAVAVAFLVAACLVAVPTVPSATFARA >AMDW01082697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082697.1:377:478:1 gene:AMDW01082697.1_FG001 transcript:AMDW01082697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKVGSAEQWNRKKREPKFSSARDNSCSQEEVVLL >AMDW01038898.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038898.1:63:444:-1 gene:AMDW01038898.1_FG001 transcript:AMDW01038898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VITNCGVMKVVSSGHPDFKDGDLVWGVTGWEEYTLVNNPKPYLHKINYPEFPLSYYTGVLGIAGLTAYGGFFEVSKPKKGDYVFVSAASGA >KN540820.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540820.1:8820:11558:1 gene:KN540820.1_FG001 transcript:KN540820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLSLLVPMAYHVWLWRAVRLTPLRTAAGINSATRRLWAISMTKDNEKKAVLVVQSLRNVIMGSTLVATTAILFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKC >KN540820.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540820.1:36556:41175:-1 gene:KN540820.1_FG002 transcript:KN540820.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GEEKVIVAVTDEEKAIIAVKVCDKANKIYNILSKIAPIVVDFIRIFGVICASVNSWNVICTAECNVICNTVANCHRRCEQYFGPGVDTMQIIGGRTVSTGFERRIRAAPPPLLLRLAAAAGTGKGRTATMPLYCKKEGAAFDSPASSPQNTVEPVEAVSDPSAPPLGFGGWLRLFLKKYLNSGVLSICIFVGFLAFKEDKKATVVVTVCDKAHKVYNILSKIAPIALDLIGKFW >KN540820.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540820.1:19363:28535:1 gene:KN540820.1_FG003 transcript:KN540820.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAGAPAPDDELWPWDEFPEDAVFVKDDFATVQAKFSRESGEAAAALKDAAADVFRPLLDNFGHLRSLNTVFDTEDYHVGMPFGMLIACIGCYNLFKMNPTTFIDAALGYTFYRLCIVSSQLRRRGFSNDLIIRVKFVVMVIMAINDINNRIYWLDAIRYYHALTICVMGTCLSVILKPTGNLRAESDLELRVRTRRYPRRPERWEAGGFRTLSCVRAAQPPAVRFVAAMAEIDHRPEYIFVTIKDNGSGRRLPSQGARKQMGIDVRAKLPFFAWPCADGEGMYCFNGSFPLEIVVSSIFSYAFFFTVLIWWNQSGMLIACIGCYNLFKMNPTTFIDAALGYTFYRLCIVSSQLRRRGFSNDLIIRVKFVVMVIMAINDINNRIYWLDAIRAPVYFLYGLTFAFELAGIKKCVKYLLASVALLVQSVRKRRMGEEWEALKAAIADMFRPLLRNLADICSLRSAYDFEDYQIGMLFGAFLGYVGCYQLWKAAPSVFVDAALAFVFYKLSVVSSELHRQRKTNSLITRLKFGIHLLRI >KN541838.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541838.1:9936:11921:1 gene:KN541838.1_FG001 transcript:KN541838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKTDRAHVLDKKKHLSRLNVKEAGKVLLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >KN541838.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541838.1:20670:22412:-1 gene:KN541838.1_FG002 transcript:KN541838.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAINEAWAAPGSFLDLFLESFRHGEGTEHLVRHLLVVAMDGRAFERCNAVHQFCYWFRVDGMDFAAEQSYMKGDYLEMMWRRNRFQQTILELGFSFLFTDVDILWFRSPFPHLSPDAQVVMSSDFFVGDPTSPGNYPNGGLLYVRSSASTVRFYEHWQSSRARFPGKHEQFVFDRIVKEGVPPHVGATVRFLDTGHFGGFCQHGKDLGRVVTMHANCCVGLHNKLFDLRNVLEDWKTYKGRVAAGNMDYFSWRVPGRCIH >KN541838.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541838.1:15972:19446:1 gene:KN541838.1_FG003 transcript:KN541838.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGDGRSFNFLQVLFEGVIAGGAAGVVVETALYPIDTIKTRLQAAKGGSKIQWKGLYAGLGGNIAGVLPASAIFIGVYEPTKRKLLEMFPENLSAVAHLTAGAIGGAASSLIRVPTEVVKQRMQMSQFKTAPDAVRLIIRKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDGENALIGAFAGAITGAITTPLDVLKTRLMVQGQANQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNSRKVRIL >KN539433.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539433.1:64863:65348:-1 gene:KN539433.1_FG001 transcript:KN539433.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKAIGVPVAPPELDLAAGSLFYPEHSMTHSLSSSEVAIVPDALSAGAAAPPMVVVASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRTAAADDEAPCSPAFSALAASDGVVPSF >KN539433.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539433.1:18104:22722:1 gene:KN539433.1_FG002 transcript:KN539433.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYFADYLFHMLMKTSRLIQPSGISLQLSANHHTLRLSDAKKRPDSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTSRFLNHSCDPNCKLEKWQVDGETRVGVFASRSIQVGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQRALAIAALENEWLESLKIQQDTSASRHKPMTHLLPWTNCIELFGENTRLSHVINVVLQLSIASALKLRRVHEGYYCLRESYTIILTHSFWLVTKYQKHGEGTDQINKAGVPVSVPVAEPLGSLIGAEQKSYLPIDVVFVHRIKSAYKALNSLPDSNKCSLSRLESDSHANPIRKDVLTVQ >KN539433.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539433.1:70214:74471:1 gene:KN539433.1_FG003 transcript:KN539433.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDREARLSLVWRLSPAQLRKVSPIGDWASYSVPEDSVWAFGRGPADAPRKVRPLSVRLPRRHAAHSIAIGVMAAATAAPSIDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQREDGLVSLALICSFARMKSHLGLDAAVKPETVPEETVLAVAEVLRRSQMLRISEDGKMVGRASELLKADEIIEQVDSRTVAASPLPYNVKLEDVQSFFAQYAKVNSVRLPRHIANKKHFCGTALVEFSEEDEVKSVLENNLFFAGANLEIKLKKEFDAETESKKEAYEKAHPKKDEQNEGADNDTATEEETPKSMKKTSTGESEERTTVNSDMEEQKSSDDMTEAKEVNAGEATESGDKCTVDALLESEKKGDNETSIKDDRGLSGKANSPISREDLKEAFKKFGTVRYVDFSIGDESGYLRFEDSKAAEKARMSAVLADEGGLIVKDHIVTLEPVTGEAEKDYWNTIRGIQGKYKDNRSYKGRTGKSYRGGKQFNGKRGRHSDSSEKGANKTQKVEAAA >KN539920.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539920.1:57553:60942:-1 gene:KN539920.1_FG001 transcript:KN539920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDANRALTHARVRTCLLVAVEIDEQIYSPAFGNIAVPDSRGCCSGFTSSVTKVVFILHLLAFIALTIFLGVQASSRQNPTYKPFANFVPLASSVLVSTIVGCFWVILAVISPPKAIKTSLWAAPVLALACDVVILLVGNGAALGIGVLIVVVAIAVALYSCWASGPRLQHATAVLSTSLNAAHLPPTASCLVVFVILAAFGYMSFWTVAISCIAAAEGYFMNFKMAYVVALLVSMAWTMQVLRYFVYVAVAKLAHTRLVYGVRMPGGTVEAFCGTMMGPSFGDICMGAVAVPVIAAVRSFARAINAVTKGNDEFCQGCCLAISDKLMGRVNRWGFVHVGVRGKAFCVASRDVWSLFVLRGISKLVDSDLTGSFCFLSAVTGGALASLVAGSWALAMDKEHKELALPVAIYSFLIGYYMCRMIIAWPQACVATYHVAYAENPQNPHLGTLIPDHLRELQALATD >KN539433.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539433.1:82168:84036:-1 gene:KN539433.1_FG004 transcript:KN539433.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALFAALVLLCYAAGNIHCVVAVHGNDSDMLALLEFKDAIGDDPAGVLSSWNKITPFCRWSGVKCGRREHRVTALELAGQNLTGRLAAASLGNLSYLHLLDLSGNRFSGQIPRLNSLRKLQVLNLSNNLLDGVIPDTLTNCSSLTQLDLSINSFQGQIPLGIGLLSELSDLVLSLNYLSGHIPSELGKLSKLSSLDLSGNNISGEIPRALYNLSSLRMLVLEMNSLGKSLPSNIGYALPNLQWLFLGDNMFQGNIPASLGNISQLHLIYLSENNFSGRIPSSLGKLSNLSVNLQYLLLDRNNLSGHIPSNMGNLQQLTQLDLSYNNLKGKMPPSLGNLQQLTQLDLSYNNLKGKMPPSLGNLQQLTQLDLSYNNLKGKMPPSLGNLQQLTQLDLSYNNLKGKMPPSLGNLQQLTQLDLSYNNLKGKMPPSMGNLQQLTQLDLSYSNLKGKMPPSMGNLQQLTQLDLSYSNLKGKMPPSMGNLQQLTQLDLSYSNLKGKMPPSLGNLQRLVSFNLSNNNLQGDIPSKFGDLQQLVWLNLGNNYLHGEVPSSVANLQQLVLLDLSHNNLSGKVPRSLGNLPKLRQLDLSHNNFGGKIPSSLGNLRQLNRLDLSYNSLKGFNT >KN539433.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539433.1:8890:11464:-1 gene:KN539433.1_FG005 transcript:KN539433.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NUCLEAR FUSION DEFECTIVE 5, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G19520) UniProtKB/Swiss-Prot;Acc:Q8LEZ4] MLRLVAEAVLCRLTGVEPEDGGVVELSDDLWAAVWEVSAAVRDGMQRDRVRAELRGYLHCEEVKEMTRFASDVGIRGEMLRELRFKWAREKLEEVEFYRGLDDMRAQAEAAANPVALPPPRLAALPQRKGEIKFKIHGLDLSDPTWGEVVERAAEAEAHFVPQEAKPIEGKAKKAEEKLMAVDPRKGDPAPAMEEWKEELLPKRADWMALLERVKARNVELYLKVIYPLLEGSTIVPYVAEILLADESFGATIRDYSKLIDLHSKANHVEDAERILGKMKENGIAPDVVTSITLVHMYCKVGNLEQANQAFQFLKGEGFPPDMKLFTSMIRAHLKSGEPKQAENLLREMERSIKPTKELFMDVILAFAQRGMIDGAERVKTSMLLAGFQLTPELYTSLIEAYGRGGHVGQAYTLFEQMRSSGHEPDDRCIAGMMVAYMMKNQLDHALSFLLKLEKDGLKPGVKTNLVLLDWLSRLQLVQEAEQVVQKIRKAGEEPLEMHVFLADMYAKSQQEERTRKSLKILEEKKKLLKAYHFERIIAGLLEGGFTEEANKYFKMMKSHGFVPSPTIEIGVKASFGVRGGVHPTGRHRG >KN539433.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539433.1:80635:81095:1 gene:KN539433.1_FG006 transcript:KN539433.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKLACATCFRNSVSRSLSHVVTFGSVCGIGRSSVIVDGAYEEHRGGEELKFRVYIRGGSGCRWFEGSNAVENVPVSSVLADEGGLDR >KN539920.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539920.1:16987:19922:1 gene:KN539920.1_FG002 transcript:KN539920.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRAALARRARHLARLRSTLREYRHTCLRLGIPLAEGDQADELALDDGFVLEGEDGDGAGYYPELRCYDGEYYYEDGQEEEGEEEDDPIVVDLERRIYLLEHDHKDHGVEPCLEEEEGPPLYADEPLPDSSEQELNSVYPDDVLPEGTVQERNQCSDDDDVELPEFPAARNGSEEEGSYSDGGRSGSGSDRVYTIDKVHQGATAPAARVLENYQDGEVEPDIKKLYMRLEALEADRESMRQALVAMHSEKAQLVLLREIAQQLAKEATPANTGGFGVVPTVHHFPGKQDGLRDQRFRENRKMAIAKRLSMVALCKWIVALFRSQKRNPSQSRYTFGLSGNNVGLLVLLDKYPRIQKTLTRRK >KN539433.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539433.1:2660:5894:-1 gene:KN539433.1_FG007 transcript:KN539433.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQAATASYQEVTSQPGVQPRIAGRAEGAIASTDLKSYFNRGSSNRNPRWWNGSLPHTNSFGPVSSDCGMDIHPPGGFLSMLQTGQQPLVPPHVLFPATWPPMPPMAPTTNSGTTHARSRSKAKPVINLDDGDDVRTAKRLTWASDEDLRLLRDFANQFYVDDYPNEGPFTVLHCWKVLRDEPKWHAVLEELEKPHKRSLDDGSDTLSQKDIGEKERPMGRNEAKKQRNGKGKGKGKDDDDSLREDMKKYMDVQAAASKRHEEFLGTQHRISDAKVEVARLRREAVLTESYQKMMSMDTSQMTDEMKAEHVMGLKMLREKLLGDII >KN539920.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539920.1:35216:37370:1 gene:KN539920.1_FG003 transcript:KN539920.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGTSSCVHDKYYGRFLLACCYILSLLDDQRGRFGQLQAVDLESVEPSLRAGTAAGNSLREDRPETFTNRDAIIESVPSYDDPYIKVGQILLRLKGKICVVTNIDRAVKSGKSAEIPLLYSISAVTDFKQPRLPPDASIFADVAEELLSRPILLIKHPEHENRVKSVPVAQPKTQPTLQPPLIHTQIRQAAQKPLPSSPIIAHQVPYTVEKIASTLNEKVLNLLQYQKIVNTLDKQSLGRSKPAHSMNNRDLGAYPI >KN539433.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539433.1:23112:24707:-1 gene:KN539433.1_FG008 transcript:KN539433.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSSLMYEAPLGYSIEDLRPAGGIKKFRSAAYSNLLPSDREGHQSCFLSSSSLASSFETMAVLSVADSPPVSAIGFEGYEKRLEITFSEAPVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAIPRILELAEELSLPLEAVKYSRGTFIFPEAQPSPHKNFSEEVAVLTRYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPVVTLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISDIIPEMEVCDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASLAYGDLIKRVLRCFGPSEFSVAVTIFGGRNHAGTWAKGLDVGAYSCSNMVEQELPSGGLLIYQSFTATAEIATGSPRSVLHCFADENTEKAGKMEALYWEDDAVEEIDGTEGKKMRSC >KN539920.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539920.1:29938:30923:-1 gene:KN539920.1_FG004 transcript:KN539920.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNMSSKGVKMPIDSADWNDYNTRVVCEIFVDQVAAGNRPNTHLSNPGYDEVIEQFAARTGLRYSRLQIKNKWDKLRVEYNCWKKLKSQAGLGWDSTKQTVTATVERWKQLKAECGAVEGTNEHFIATEIFIKKDQREMFVNSLRTPAGRFAWLKKKYEVKYGN >KN539433.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539433.1:90590:93352:1 gene:KN539433.1_FG009 transcript:KN539433.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAARGNLGGWMYPDLILSEFDVYAVVGEQVLDRQADQLRSVSQKYENANKLWAAAISNLENKIKCEDLKLKYYEEMAKRKKLHNIVEETKGAGLQGAVVPSNSTNMGCLHGPPVLARASAGTAGLAAGRGAGAVGRAQLFLLPRDDHDCCSHSGGHALQGSECELTTWSATKQQKSGKIWLKDIIFSAIVAKRSDVFNWTT >KN539920.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539920.1:21191:23814:-1 gene:KN539920.1_FG005 transcript:KN539920.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGAIYEEYLREQQDKYLAKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >KN539433.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539433.1:53367:55053:-1 gene:KN539433.1_FG010 transcript:KN539433.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAEVCYLDPEADAEGIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVATSLGVPLPDRARFFRSQMQTIISRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQSGTKPLLTLDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDENTLIPGVAVESSRAKPLAAWMNGLEICSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >KN539920.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539920.1:37792:41909:-1 gene:KN539920.1_FG006 transcript:KN539920.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMCTISDTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQVLFVALSLFLGLKVSTSLIASDGGSILGCRFWLVKFKNGPFWAIVFANMVILKMPLIVLL >KN540731.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540731.1:27206:29500:1 gene:KN540731.1_FG001 transcript:KN540731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSLMVITASSPLVALLLRAAWVTLSCYWLTPMRIRRAMAAQGGRVGRMVECTKQAIRELRDAAAGRRGEEVEIGAHMTRLTGDIISRTEFNTSYDTGKRIFLLLEHLQRLTSRSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLMESIRRSREIADEGRAAAATYGRGLLAMLLSEMEEKEKNGGGEFSYDAQLVIDECKTFFFAGHETSALLLTWAIMLLATNPAWQEKARAEAYALVEAKVVLAMLLSAFRFAISDNYRHAPENVLTLRPKHGVPVHLRPLRP >KN544520.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544520.1:110:519:1 gene:KN544520.1_FG001 transcript:KN544520.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMARLSLQ >KN544520.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544520.1:1697:2728:1 gene:KN544520.1_FG002 transcript:KN544520.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAIAAAGDQQLVFDHAAQFFTASDERFKRVVDECMGKGLVREWGGLIGELDAGGHFRPMPSSSPPRYIGVDGMRPLADAILPESDLIEVVRPCWISKLEPFNGLWRLFENEKPHGQYDAIVIAHNASIVGLVKSRKDEESNSISLLQLP >AMDW01118779.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01118779.1:98:1317:1 gene:AMDW01118779.1_FG001 transcript:AMDW01118779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPDARYDRFPSKNNCSPSCGNISVPYPFGLEKGQHFLLRCTYYKDNKSTNPDLLWWATRYTDEPSTPTKLVRIDISEGLVILAGEHYEEFLAMDGDGYIGYRCKCKRGFEGNPYIKDGCQDVDECSTAPGICPEICNNTVGNYTCIKCPAKSEYNDKTKRCTPVKKQKNLLFEKEN >AMDW01037420.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037420.1:1:609:1 gene:AMDW01037420.1_FG001 transcript:AMDW01037420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRLRGPTSQITALLAEDEDHLFAGAEDGAVFMWRMNQEQQSFDEVAALTGHDKAVVSLAQGKGALYSGSTDGSIRVWDLDTHRCIYSFAGHSSAVTALLCWERFLLSSSDDGTVKVWQWKPDRDDIDLEVHYTHREDERVVSMDGTYDADEKPVLLVSRGDGVVRVYDLPSLKKRGDILCDDEVRTISVRSRGVVFTGDASGE >AMDW01037606.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037606.1:203:625:-1 gene:AMDW01037606.1_FG001 transcript:AMDW01037606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSFLNFGLNLFLSWLMVVKFHLGLAGVMGSMVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTDLGAIIKLSISSGVML >AMDW01020100.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020100.1:22:216:-1 gene:AMDW01020100.1_FG001 transcript:AMDW01020100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAQPTPSWAREPLFEKAVTPSDVSKLNRLVVPKQHAEKHFPLRRTSSDASATAAAATCNSAR >KN541827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541827.1:14841:15293:-1 gene:KN541827.1_FG001 transcript:KN541827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDETTTEFSLVTFPDSIRENYHMTTFRIIAGGDGAMRVLRVIGNDLKVFTQLAGSGGEWVLEKLVRLPEATRGLPGHEERYFEQNEAMIVAADAAYVLLTPSVEKTWLFSVELETMVVERQHERNKYAGVAYPYELPLPRALHSGGR >KN545379.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545379.1:104:887:1 gene:KN545379.1_FG001 transcript:KN545379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLGSLHSSNLDILGLYIVD >AMDW01027681.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027681.1:230:368:1 gene:AMDW01027681.1_FG001 transcript:AMDW01027681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDAAAPLLTPSGDNDDEPRRRRNMYAFGCATLASMTTILMGY >AMDW01000961.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01000961.1:23:205:-1 gene:AMDW01000961.1_FG001 transcript:AMDW01000961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNIIRIGDQLQYFREYQRKLRALVGEEQAKRIVNGALVLITLGGNDFVNNYYLVPMSVRSR >AMDW01018238.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018238.1:31:252:-1 gene:AMDW01018238.1_FG001 transcript:AMDW01018238.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVALIELDHVINGSSQGLPLLAGVNVVVHLPGDE >KN541867.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541867.1:4:738:-1 gene:KN541867.1_FG001 transcript:KN541867.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTGAMGSLIPKLWELLKEKYELQKNVKDEVRLWARDVREASYEVEDIIDAYLLRVDDGGRGEATKVRGLKRLRKKVANLFKKIKARDDIAVAIKDIKLKIEEVATRRARNAVDGIVVKDDVTTIDPRLFNMHKMSSKLVGVDGQMEKLINKLSAGDDDKTMKIVYVVGIGGLGKTTLAKAVYDKLRPDFDCGAFVPVGQTPDTKKVLRQWRSQEIF >AMDW01037034.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037034.1:15:191:-1 gene:AMDW01037034.1_FG001 transcript:AMDW01037034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLHELVLVYALCWTVSLGFVAGQTGQLSVDASPQNARKIPDKMFGIFFE >AMDW01005395.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005395.1:38:166:-1 gene:AMDW01005395.1_FG001 transcript:AMDW01005395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATDNRRYLDYLANNGDALGGTGWATNEFGWDVKYPGVQVLAAK >AMDW01055565.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055565.1:126:544:1 gene:AMDW01055565.1_FG001 transcript:AMDW01055565.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GNSNASPVPVVPSSLLGMGGFVPSAQIAGMHSYMMHPQGVPPSLASPNSAVPQFGSFQSQSTIQPNMQWPNQQEAQNASQTPDETNYHTSPPDQKALQQAA >KN540536.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540536.1:5230:6795:1 gene:KN540536.1_FG001 transcript:KN540536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHAPAPIISRIVTGAFPARPRVSDLAGLDLSDPDFIRFVCNPITGELFRLPDIDGTKKTMFRGCDNAGLLTRSAAGAGHGLPDSYAVAVLGEDANGGTFNMRRFLSRTGKWEKLVGLPSPLPLPRRMDIYTEAVAFAGRLWWADLTWGVVSADPFSDWPELHFVELPRNSVWPVPSTDLVQEQAMHRRLGISEGRLRYVEVSQEDPFVVSSFTLDDDGSGWTLEHEVALGRICQVKGGGPRDTARIAVIDPLNASVMYLIVGKHVLGVDMDMGKVMGCSLADETEGPPYAITSVLKPCVLPPWLSSSKIPAAGTFSRDKDDAKSKTLSDILVRADSDKR >AMDW01039931.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039931.1:55:996:-1 gene:AMDW01039931.1_FG001 transcript:AMDW01039931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMIHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMILGDLVDLSHTVMVLLTIILIVLLIVPIVIPVILSFFSDNDESAYASLLQSPGKEEASASTPSEEQTEVIFSEVEDEKPKEVDLLPASERQKRIAELQTKLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDSHIFVSMISIWNFLGRIGGGYFSELIVK >KN540536.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540536.1:37364:38241:-1 gene:KN540536.1_FG002 transcript:KN540536.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLAALLSLAVLLSAGLAAVSATSQNTGDTVIIWGRNKDEGSLREACDAGRYTTVIISFLSAFGYIPGTYKLDISGHQVSAVGADIKYCQSKGKLILLAIGGQGGEYSLPSSQAAGAREHYNELAKMLYDHNKDYRGTVGVMVTATTRCGYPDHRLDEALATGLFHRIHVKMFSDGRCPASSRRQSFEKWAKTYPQSRVLIGVVASPDVDKDAYMPPEALNNLLQFINKQPNFGGVMVWDRFYDKKTGFTAHL >KN540536.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540536.1:21852:31503:1 gene:KN540536.1_FG003 transcript:KN540536.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MVTTHSILLWLALFILLPAAHSSLAASELRAGPTVPSSINCRLLVHQRDGVRFLYNLYRNNHGALIRRRFTSWSYSVLIIRFIYIVCSYSACWVSMWMIDRGLGKTIQTIAFLSAVIGKDNDHGDQLVEGRKIAPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVETQGLEIVITSFDTFRIHGKILCGISWDLVVVDEAHRLKNEKSKLYTACLEITTRKRFGLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRVYYDEPLKHGQRFSAPERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQILINKDLPCSCGSPLTQVECCKRTEPHGIIWSYLHRDNPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNPKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRALERLLSLWTLQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQLLIDEFNRCPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKGNTAETIGIREIVDTNIFGTQDQMKSSMTAIHNENKNLYHCGIVYAHRNEDVVNTRTNEASNCAEDKTVPRHLEELQSKKNETMHTIKAKSYSLVQKKKEFSRIASFMGMNDLEFSKWLLSVSPLQRHEVLDRYRNIK >KN540536.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540536.1:13459:14352:-1 gene:KN540536.1_FG004 transcript:KN540536.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHAALLSLAVVLLFAGLAAASPTSKNTGDTVIFWGRNKDEGSLREACDAGLYTTVIISFLSAFGYKPGYYKLDISGHPVSAVGPDIKHCQSKGILVLLAIGGQGGEYSLPTPQAAVELNDHLWYSYLGGHRNGVHRPFGDAIVNGIDFFIDQGGRENYNKLAKLLYAHNNNYRGTVGVMLTATTRCEYPDHRLDEALATGLFHRIHVKKFSDGRCPASSWIQSFQKWAKMYPQSRVLVGVVASPEVDREAYISPEALKKLLQNVFSKLPNFGGVMVWDRFYDKKTGFTGRLRA >KN540536.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540536.1:40982:43799:1 gene:KN540536.1_FG005 transcript:KN540536.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTGINVKSWQRLYSRFQHVLTALQWWHLGGWTNEDIAGHGKENTCINRSSAVEAIGKVTADGRSCPVVELRASGTKTKHGNELSRRASHEVQRQAASLSSTLESKTRHSWDLGARNHGDEGQIGLCRLPHVQCDWKVWWSAIMAWQGIEEELRHGAGSPGQSGGTWLGRNSRSRARASLAHTANAAGNGSRLAGPWVPN >KN538859.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538859.1:188790:189635:-1 gene:KN538859.1_FG037 transcript:KN538859.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYDQSSYRRSGADDQEGGYNKTNTGDYGRSGDDYGRDTGRFNKSSNDDNESGYKNTNTDEYGNTGNYKSNTDDLTGGFNKSGTDDYSGSGGYNKSGADDYSGSGGYNKSGNDDYSASGGGYNKSGGGDYGSEYKDSSTGDYARGDEYKKSSSDDYDGSYKKSSSNDDGYGGNGYNKPSTGDYDSGKSTSNTDEYGGSGYNKSSTDNSESGYKSGSGEYGGGGGYNKSSTDNYESGYNKSGAGDYASGGGYNKSDAGGYNKSSTDEYATGRGKTSSDDY >KN538859.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538859.1:193122:199676:-1 gene:KN538859.1_FG039 transcript:KN538859.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWNKGPSRSSLGKKAKRLSAAPLPDTGQWLLLALNEKLPQSVVDLLRAHVITLHHYLMLFIMLGFSVLFGCIKAPGLGIATRYMFTMAIGRLLRTITFVATILPSARPWCAAARYQIPGHPHPWAQKYYVPYASDSDAIRRVIRDDVAYAAVQSYPGEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLDEVQNRLIHAAKDSDVNEIRGLLKEVELAGQEKQGVSQRAILAFAAATIIFTLTCVVLALTLTSDGRADKMAMGIRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFRGIPAQSPRDMSCTTCGSVRYCCSDCLISGCEVHSSSGECRLFVNHLREGSPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSYNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSSRKLRMKNSVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPNASYRFLLAPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQYKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKDCYRIGLVKVQGLRCDARNLKSPHNAVTDPAIEDLDSNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNIPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKHLLSLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLASICYGPEHYLANRAKDLLECINHVQ >KN538859.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538859.1:207720:213490:1 gene:KN538859.1_FG040 transcript:KN538859.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVDKSGGGGGGVASSSSSGVATSTMDRFHKIVLSWDYVRLVADSKGGQQQAKGLGRVKNTYASVAEYLAVFEPLLFEEVKAQIVQGRSDEEEEAGQDWQKGIVASCTESEGFHKVSMAVLDDFREMVSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRIASIFSTTESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKNSGGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQSSCDRHAHWMKASPWLIGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRSSILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVEPATLIPLIHGCKQVFLVGDPVQLPATVISSTAQKLGYGTSLFKRFQSAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDVDGTESQPSGSGSWVNEDEVEFITLLYHQMAMRYPELKCSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLKEDKHWNNLVESAKERGRYFQVPKPFTAFFVDDKLKTMKVERAPPELRNVQALEAINEAVVGQELMDVDDAGDQGDEGYDDDPVEADDGGGDD >KN538859.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538859.1:202102:203180:-1 gene:KN538859.1_FG042 transcript:KN538859.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRWGGGGLAVAAFAAVVAVSGLLGVAANYGPGGGVGGGGGDSTALTGGPWWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTIVGKFRNQGLDVVDLVALSGGHTIGNSRCVSFRQRLYGQLNSDGKPDFTLNPAYAAELRGRCPSSGGDQNLFALDPASQFRFDNQYYRNILAMNGLLSSDEVLLTKSRETMELVHRYAASNELFFAQFAKSMVKMGSISPLTGHSGEIRMNCRRVNHF >KN538859.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538859.1:173281:174421:1 gene:KN538859.1_FG044 transcript:KN538859.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSIEEEYISTLHTRSNARFLSRSKQQLSGVEMEAAAAAAAEQQDVVVVPAELQRMMHRRTSSEIELAMAGYFDASDEASEICKQLLTNIKNTQSNYLSMDSFLATISDSD >KN538859.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538859.1:177602:177919:-1 gene:KN538859.1_FG045 transcript:KN538859.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MYERHQAKKDPENAQRHRIEEGVAAAAALGSGGFAFHEHHDKKEAKQAAKDAEEEAEEESGSGARGGEGKKKHHLFG >KN541724.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541724.1:13320:14546:-1 gene:KN541724.1_FG001 transcript:KN541724.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGVVAAEAFNPVLRAMLRAGDVKGAAKLFGFMQLKGCVPTTATYNVLVHGLLVCGRAGAAMGVMRRMEREGVVPGVMTYGAVVDGLVRCGRVKDAWKVAEEMERNGLARNEFVYSTVITGFCKSGEIDCALKVWEEMVASPVRPNVVLYSAMIGGLANFGKMTEAELLFRGMIHSKCAPNIITYGSMIQGYFKIGDMSRALSVWEEMIGAGCVPNAVSYSILINGLCNVGRLKDAMMVWKHMLDRGCAPDTIAYTSMIKGLCVSGMVDGGLRLFYDMLASGHADPDVISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDTVTCNIFLREFGAGERKGREFLEGLVVRLCDRRRNMAAGEVLMVMLAKYIVPEAPIWEMVVRDVCRRKRVWRVIDKCWDEIWGP >KN541724.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541724.1:20847:23519:1 gene:KN541724.1_FG002 transcript:KN541724.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVKLGNTLASEAVELAKSLLGLEGSALKRLFSEIRDVKGELESIRAFLQAAERFKDADETTSAFVKQIRSLAFGIEDAVDEFTYQLGEGGGRMPFKRMCKIGTWSRLAANLQDIKVSLKSAAERRIRYDLKGVVVRGVKSVVGSSSNSNWRSDSVHFKRDDDLVGVDKNRDLLMRWVQDQQQRHRIVSVWGMGGIGKTALVANVYNAVKDDFDTCAWITVSQSYDADDLLRTTVQEFRKNDRKKDFPDDEGASSYRRLVETIRSYLENKRYVLVLDDVWSTNVWFDSKDAFGGANIIGRIILTSRNYDVALLAPETNIINLQPLVKSHAWDLFCKEAFWKNGNRDCPPELLQLAQNFVDKCHGLPIAIVCIGRLLSFQGSTHSDWEKVHKNLEMQLTNNSVMDMMNIILKISLEDLPHNIKNCFLYCSMFPEAFVMKTKSLVRLWVTEGFIDETEQKSPEETTEDYLTELVNRCLLLVMKRNESGCVKEFQMHDVLRVLALSKAREQQFCIAFNHSSTHLIGEARRLSVQRGDIAQIAGHAPHLRSLLLLKNSPTFTSLTTISRSAKLLSVLDLTDSSIDRLPKEVFGLFNLRFLGLRRTKITKLPRSIGRLKNLLVLDAFKGKIVKLPLEITKLHKLTHLIVTSKPVVGSLQFVPSIGVPAPIGICSLTSLRTLLMMEASSELVHHLGALVQLRIFQISKVQSCHCEHLFLAITNMIHLTRLGIQADSSQEVLNLEALRPPPLLQKLYLKGTLSKESLPHFMSLSNLNNLGSLRLVGSRLDRDTFLNLERLPHLVKLQLYDAYDGKNIYFHENSFPRLRELSIRGAPHLNEIEMKRGAVASLTDLKLLVCPNLKQLPYGIEHVRTLEELTLDRAAEELVGR >AMDW01040359.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040359.1:308:844:-1 gene:AMDW01040359.1_FG001 transcript:AMDW01040359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TYAQNKYGGITPKKPLISKDPERAYFDSADWVLGKQAANGSARAAIESLKPKLK >AMDW01037680.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037680.1:21:326:-1 gene:AMDW01037680.1_FG001 transcript:AMDW01037680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKYRRNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSTLFRLIDPYSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWE >KN543205.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543205.1:509:4950:1 gene:KN543205.1_FG001 transcript:KN543205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYAHRSFNPVIVCWQLGTLWCICVPSSSFLLIQLRRLGLHILQREGLLKMEEIVGSAIVQETVNRIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQRVQEEDEVEQQVRNSSFPRRIAHATKSLVSSIFHGNIDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLIAGETLEYKSIQGNKQHWFWIRPNNSAERGIEARLFFACNDGSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSLKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKAKMLRGHDRGTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKVADAKEDAKKEQQGWANQQNIMMSEVVASAVVGEAVSRVSTFFVDKHKRKLSEEDGLERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKRASDECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHETRSFFYSFSGDKNVDSLITTSTIQRFERFADGAGEFLRFLQFGSIGSINYMLVDPLTGPLLAGKALQFENPPGISGYYLAARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRSFYCFPFVASTDPEYSRIHQSETHRARPNPACCEEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRHVSATRHKRTSSSSSYSCSCGHANMGCSGPTLLQVTAVFAPHASPEELPSGAKSVAVVAIDGREEQAVRTNVGWREMEELLPNAVDRLCHEAAAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >KN543205.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543205.1:6258:9273:1 gene:KN543205.1_FG002 transcript:KN543205.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQGGPGHGVAFLDPLLLLPACHCVGRPFVRARAARARRCGEEEASFFLAAATARRVEVETKRRLQVADNIVIEFPDPYQSTQYERKSSAEEQMERLEMAQIKLEIALETSNKWQITSGLLLRWRKKLKQGANDFLRSVEFGGTPHRYLFFDPLIGHLLAESTNIVGTTIRCLQLFSPYFNSCTTEAVRKELTQLPTQDFSWVPRSRSVHWDSIHRVATDWFRPNPLCCKHGHKVCSSGYMDKIEFCDVSLEPVIEVYLESQISQYSCNKQRADVQGKICSPRRPSYLKLGVFLLPHVSSTDLLPDTESFAVEVINGEEQLYCHKNVTLEKLNRIMLPKAIDSFNQNAEVTAHQLLWKSKHEAAFFHVWNTRMNMSSILSTARKSTLLQQHDHLELESRADVISEFLKLWVDRAPVMMQFPWYIR >KN542497.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542497.1:12540:14569:-1 gene:KN542497.1_FG001 transcript:KN542497.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILFIVLTTEILSESNSIYLQRKREIRQHTFVVGGGDDQKNRRFCPGVLPEGQEIAVKRLCQSSGQGIEELKNELVLVAKLYHKNLVRLIGVCLEQQEKILVYEYMPNKSLDIILFDTSKNTELDWDKRFKIINGIAQGLQYLHEDSRLKIVHRDLKASNILLDFDYNPKISDFGLAKIFDGDQSKDITHRIAGTYGYMAPEYAMRGHYSVKLDVFSFGVLVLEIVTGRRNSGSYDSGQDLDLLNHVWGHWTRGNVVELIDPSLGDHPPIEQMLKCIHIGLLCVQKRPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQDVSASDSSNPHSTAVSSNDMSITELVPR >KN539791.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539791.1:11172:13481:-1 gene:KN539791.1_FG001 transcript:KN539791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILEHPNGSRDGYVASMSGFLLDWAGASGDCPKCVASGGQCTYGDGLRFACNCTDGLHPEKCGARSNLKKIAIGVGVGVGTLSIICIFIFVWHKRKKRKQTRDLKDLMRSSSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRSSRDLLVVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTKNILLDNNFHVKVADFGLSRLFPFEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIEQISSKPAVDMSRSHSDINLANMALNRIQNHEVELVDPEIGCETDSETKRMLSGGRAGLSVLADGHR >KN539791.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539791.1:66009:66392:-1 gene:KN539791.1_FG002 transcript:KN539791.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYSIAVAVCIVFVVMSTIPSCYGDEETCTDEVPHCKIVACTNKCRTHHHPKYTARCIHNTNPEQCCCKKDDAGVTK >KN539791.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539791.1:13669:15132:1 gene:KN539791.1_FG003 transcript:KN539791.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLPEDVLTNIIHRLAPRYLAISRCVCKTWCTIIDAHNLLHVDLLPRSLCGIFINFNELSMSEFFSRPSKGPTVSGNFDYLPCSSCIIDHCNGLLLFHKYVVNPATRQSAPLPPCPYMVVEHIFHREYLVFDPTLSPHFEVFMIPEIRRSNVWYNMLNSDDKLDPAIEELEWPPSPCILHVLSSRTKVWEERSFVREGEAAGNVSDMRLDHPYVPDTSVYCRGVLYVYCQNKYVMRISLSNGKYQVIKPPSDCEGMAYTNLYLGKSMKGVYCAVRHLASRFLIYILDESSDRMEWVCKDSCSIQPCQIIDGPGPWTLQDINNQERGFEYEDGNNEAVVEDRFEWDSDNDNVIETNSRGSGGYINFLVDTTRRGRYNSGGYIDFLGFHPYKEVIFLSDSLRRGLAYHLNSSKIQDLGSLRPTNYGTEVGIQPFIQKSFPYSPWMGWFPEDN >KN543759.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543759.1:1984:3286:1 gene:KN543759.1_FG001 transcript:KN543759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSAPVVVRPSEPGTSTADKILLSPLDKPVATIPVTVLLAFDHPINDATADTIKMALAQALVHYYHIAGRLSCNDDDDGGDFYIDCTGEELGVTFVAASANCTMEELMCLVDDQPPDDETAVVQQLAFNCTPDDLHHRLLWVQVTTLNCGGFVVGVTWSHGVADGPGIAQFIQAVSELARGLPSPSVAPVRLDDKIATQPVRPFTMAVHRFISGLNPVSNLNCRTRAVMTDPEAPAVLLFAVNARKYLGAKDGYYGCCTAMHMAVSKTGTVANGDIMELVGIIRRAKEQIPEQLKADDGDLMLWTMVGEKQVNGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPPRMLSMMPRIAPICFMLKATEEGVRVMSDCVTPHHADAFYQEIAKLQATT >KN541344.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541344.1:11813:16513:1 gene:KN541344.1_FG001 transcript:KN541344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNIMLAFLSFVLLGLAEVEGTVALSPIILSNSHLITPYREVTARKFERRSLLQDHSSDDRRSSNASLPSAATLANCPKRCGNLSFDYPFGIGDGCFRHPDFSLTCNATTQPPKLLLHINESVEVIDNIEVVGKDMAEFLYFNFFMVAFNHLISIKAGVDVYNLSWKAPGISFTISETMMITVVSCDLDVFLIGEDNTRNKLLCKVACPNKEIAEMVYMQDCEGPGCCTVLSETPVQAVQLQFVRHETSNAGKISNLSMLWDRINITIGAPLVWSIVDQTRCSRNMEDNFACVSNHSGCITSVFRDIGYACQCNSGYKGNPFILDGCKHDSGSSIFLFNSRELTKKRIIQQPHECSCLPGYRGNPYILDGCEDIDECQETPGICKGVCKNTVGNYSCTKCPDHTEYDILRMQCTPIRKKSFYLGIIIGLSSGFGMLLLGLSGIVLIRRWKRHAQKRLQRKYFRKNQGLLLEQLISSDENASEKTKIFSLEELKKATNNFDTTRILSRGGHGTVYKGILSNQHVVAIKKAKVIRECEINDFINEVSILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGLLQPDSSSTIYLSWGDRLRIAVEAAGALYYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPIDQTHIITNVQGTFGYLDPEYYQTRQLNEKSDVYSFGVVLLELLLRKQPIFTTNSGMKQNLCSYFLSEIKTRPITDMVDAQVLEEANEEDIKEVASLAEMCLKLKGEERPTMKKVEMTLQLLRTKTMNSSQVDPTIDQEIQTVLTEGANDPEIQPLVTNLDVDRANAASQRFQISCYSLEQEFLSSASLPR >KN544742.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544742.1:1502:1993:1 gene:KN544742.1_FG001 transcript:KN544742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGYNNGFQELEPMSDWFNGGYNGFIQVPEPMDAWLDGETHTLCYREGGTLYSRYIGTTTTQDLSLTEVMSWDSEIYLPEIPNSLQTCNWGIYTGYRPNLLSPLTFASQQDDDEDEEDESSPYIRQLLCAIRHKKLLKRSLPITSTNHTSGKRICSGNSCIC >KN541784.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541784.1:19285:21575:1 gene:KN541784.1_FG001 transcript:KN541784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFVTLEAERDEERKSDSLRRRSVRVAYHGHAKEEVMSKKLPWDDPRLRKEQVTPVSTINKSHRTSALGCINKEEIDGLLVVERPRFGPPYVACNEFTLMWSLKNDFVHCDRKAKGKLEGFEIPQNLVCPLCGNVMVDPVMIATGKTMDRHCVRAWFDKHGHICPVTCQPVSSTVLRNERIRGYVEEWHEAELEVEEDARVSFTRP >AMDW01024188.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024188.1:121:222:1 gene:AMDW01024188.1_FG001 transcript:AMDW01024188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKVGSAEQWNRKKREPKFSSARDNSCSQEEVVLL >KN539874.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539874.1:75200:76126:1 gene:KN539874.1_FG001 transcript:KN539874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVCAGFFDAAEVDGDGGPGTVVILKFNPGVKQGLYKTRVVARDNASHFLKSEVLEVALGRAGKLKTHLTETKLEATGAGSCMAKLRVECEPEDGGSLSPEKQKIILEGYFGMLKMIENYLVAHPAEYA >KN539874.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539874.1:4288:12136:1 gene:KN539874.1_FG002 transcript:KN539874.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESHVKAPHHSNFLDAQRSSKESLQNSPPRKSRDGGKGKVKVGSSKKDAQKGKGKVGDSSRGGGRGNVISDPIVIESFPTLRDPTKVRPLHKHKAIVRGLDSGLGRKAIDYLHNMAKGREDRLVDLEKFHKAFKPRVPKALPSTKEVMRKAPKSYASTFKRRVSKAFSSTALGGSSSNQVPLKPDYIIKALKAIFKVCTSNVVRPKKIERRQKAIMRELCPSVEVSEDPFAEFEAAQAAASDIGTSHVQEDDEEIEMGRNIRGPEYGPHVSHTPPRTSSSSYDSEHEGKSRKHKKSRSSRKSRERERSKDRHSKRDKSKHKEFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >KN539874.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539874.1:62394:65416:1 gene:KN539874.1_FG003 transcript:KN539874.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVEVSEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRFNKLNYSRPHLVEFVDSEDIVISNLTLLNSPAWGIHPVFCSNVMVHDVTIRTSLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITIGRPTSDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSKGILFKTAPGRGGYIRDVVISDVQMEDVNVAIKFTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLLGINGDPFTNICLSNISFSLADSTQSSSWSCSNISGYSELVFPEPCPDLHHSSSNSSICFSLLTYHALAAA >KN539874.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539874.1:33528:37921:-1 gene:KN539874.1_FG004 transcript:KN539874.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTSLYFFQGNLQHEYAGSNSQLLEGPVVKEELISLLGYLKLCMYFSKKPYKVFMEFGGYDESDVLIKKSKARLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVTQDGHVSKLVLGHAHCGMVVAARWIANQAIPCLNEAVAQFPDYGVKIIGHSMGAGIAAILAYILRENEKLSSSTCLAFGPAACMTWDLAESGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVKKRHAIACWSCVAAHKHSIDSAKHGTHDITNQTDVNVKAEKTDIETAQLVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHEPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQGEEVVALYETPRHLYSKIRLARSMIREHYMPKYIRTMELLIDKLVAEEEDGIDDDHRLGSL >KN539874.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539874.1:21963:31872:-1 gene:KN539874.1_FG005 transcript:KN539874.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPARYFSPKSAQIRPNYRANSAQIEDVSDLWLNVKESFEQRLPVKKACLNNKARNPVFVENLPAEFIQTTDSRLRSRFPQDQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKRVYARLESDFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMTNLHEDSLREYDELELCYSESVNSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLHRPIEVAARGYAFVVSFSKTLALQENGLPFCFREVWVITACMDLIKATTSHYDGTAVAIDSEREFCRIQGDLYSLCRIKKYLFLRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAETMAKEKASSFQHYFLTFNLLVMLHMILQAKSREKIFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDSGDGSGLDANSKPSPNKSASNYMARTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTVSDPNFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHENYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLTSCVKLLSLESGLFSSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSVAVWSAFPDDITLESLSLRLSASSSADEGLKAIKSSDARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKDGILHIDAGAGLKIEESQMIEIETYGGDVEHVGGTDASKTSSSSTDTRKVEKVPIEDGKIKIPDWASDVTTLVWFPVRAIDDTIARGASPASPQKQSIVDGMRMIALKLEFGVFLNQVFERTIAVHFTNPFHVSTRVVDKCYDGTLLLQVILHSEVKATLHVKDIWLDLQSGFEHTGKGDGRPTSNLFPLVIAPSSRAGILFVIRLSALGDMDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEELVFKIAVKMKRPVLDPCVAVGFLPFSSDCLRVGQLVNMRWRVERLKNPEDASLLADEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRIEITVTCVPLVSGYVHPPQLGLPHVGEANISCNPAGPHLVCVLPPTLSTSYCIPA >KN539874.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539874.1:70546:71323:1 gene:KN539874.1_FG006 transcript:KN539874.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCISDERAVSVSAERVWKVFSDAPAMPKVCAGFIDAIEVEGDGGAGTVTTMKLNPAVDDGGSFKTRVVARDNAAHVIKSEVLDVPAGSKVGKLKSHVTETKIEAAGAGSCLAKINVEYELEDGGSLSPEKEKLILDGYFGMLKMIEDYLVAHPTEYA >KN541244.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541244.1:26479:30756:1 gene:KN541244.1_FG001 transcript:KN541244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGAAEANVVESPPSPTTAMANSGSCVVDMDRMVSHDNGSEPSSGEKSMVRRHSIYQVPKYIKDMTNPNAYRPLMVSLGPFHYGEDPLKPMEAHKQRAVAQIVSRSGKPRQEFTAAVEEIAEQLRAAYEDLDEERWSGEEFVKLMVTDGCFLLEAMRTFWNDGEVEGYGSDDPVFSKHGNLYLRGCIISDMLVVENQLPMPLLQKLAFVADLDTFKDHREINRWVIDLLSYTGTITPTTSVDELGLHPLDVLQKSVRGSPNVRRSTGGSPMPSAAELREAGIRFKVSPGSGFAGTVSFERGVLRVPKILLYGGASSMFLNLMAFEKLHPGVGNEVTAFVYFMDELINTAKDVQLLKAKGIIDHGMGSDEEVADLINNTLTNGVVIDDDSSLRDVMLEVHDYCKKPWNSWRATLIHTYFSNPWVFISLVAATVLLIATVIQTVYAILSFNKTT >KN540897.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540897.1:1472:1882:1 gene:KN540897.1_FG001 transcript:KN540897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRSGGSKWWRRKDEGQRRRGGQPLAVSFLDLVGEKGVRDTGGRQRRWLAKAMMAGKGGCFSWSRSKMAGEFGREVQVAVSPGQEADFGWSWLTRWPELAGGASVVMRVGWWCCCLERSSLVTWFVRLESHRSW >KN540897.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540897.1:27574:34527:-1 gene:KN540897.1_FG002 transcript:KN540897.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVLGRGSRRDQEIVLVDIVDDDDHDDVPAVRRQDSLYVDATRAGGANHRGGQEESWARTLRLAFQCVGILYGDIGTSPLFVYSSTFKDGVRHPDDLLGALSLIIYSFALFTIVKYVFIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELISKYSTGKPQATLRRARWMKELLETNRAVKIWLFLLTILATAMVISDAVLTPAISVLSAVGGLKEKAPNLTTDEIVWITVATLVVLFAIQRFGTDKIGYLFAPIILLWLLLIGCVGIYNTIKFDTGVLRAFNLKYIIDYFRRNKKDGWISLSGILLCFTGTEALFSDLGYFSIRSIQLSFSFGLVPSVLLAYIGQAAYLRQHPEHIANTFYRSTPNVMFWPTFILAVAASIIGSQAMISCAFATISHLQTLNCFPRVKILHTSRQYSGQLYIPEVNFLLCVGACLVTIGFKTTVIIGEAHAICVVFVMIITTLLLTIVMLLVWKVSIWYVALFFIVFMSSESIYLSAVLYQFVHGEYVPVAMSIFLMIVMTVWHYVHVKRYEFELEHTVPRDKVKELLERRDIQRVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHSVLIFVSIKHLPIPSVDRSERFIFRHVDEEEYKVFQCVARYGYRDPMEEAKDFVDALTENLQYYIRDVNFYTTGGDQQIFRSTSYASSIAESFASYEKHSGHAVYAEEMLTPAESFSEHTKQLSGRSKHFKHFQVENMNMQKMEKVQQEQQAILREMENGVVYILGESDIVASPHSSLLNKIIVNYIYSFLRKNCRNGEKMLSIPRSQVLKVGIAYEI >KN540897.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540897.1:15087:15350:-1 gene:KN540897.1_FG003 transcript:KN540897.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKDAPTWADQWGSSGGDGSFKKGGGGGSSGNSEKKTVAGNVKAAASEGLVKAKAAALVGAHKVKSGTSSGIKWVKDQYQKRAGK >KN540674.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540674.1:157:2642:1 gene:KN540674.1_FG001 transcript:KN540674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IIPSQLTVAVMDLQEAQMTLFMKQILQILELQHIILLVKQDGVMSPSSLRYYGLGLENGNYTVLLQFAEFAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFRVVNRSFMVTMSKNFLEIHLFWAGKGTDAIPIKGYYGPMISALRVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFKLIKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFNSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCVDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESSIRIVHRDIKASNVLLDTNLVPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVSKVVTKPSYITEWQLRGGGYNSNTTSSYAWSSNPELSRQKDITEVSLQVR >KN540674.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540674.1:37794:38737:1 gene:KN540674.1_FG002 transcript:KN540674.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCGIRGVIRHHLAWLVLILCSWQVAAAQAQQAPKTDPVEAAALNTILGRWGKKASPEWNISGELCSGFATDKTDWDYYPNINPFIKCDCTDSNNTLCHITRLYAHSLYLYRGFIIGAPRGA >KN540674.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540674.1:27741:29032:-1 gene:KN540674.1_FG003 transcript:KN540674.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVNPDPTPFVPPAMHNNEAWLHCLPRADVVIVDDPPRKHEGIAVATLEPPPPPEHYEKVVHLVVDYVQNTLGYHVLDTSRHASEFVYVNLASAVLRDALVLGGPYVINDHYVLRFSYHDNTFTCRNSPPVRESWVMFLDFPLDLQTDCITEKAVGSFGGPLQDSNQEIYQLRGVGRSWTVSVFVLNGDFADIQPADEDLLPVNHIPIPEPPQINQQGNVNGGNDKVDEDMGENSNDEVQEEHSHNISAISQQASGDWQIIPVANTSALTSRIVQEVSKVMPSFMVFPQFWKLLGNCKFVLGGPRMISDSGFTVGWSTNALVKYQLPAHQIIQFALLASALVWSKDNWSAQQIMDVQPIQAVSSQSDFVLEQLPTDPFQQNVSPPLPPRPTKGKKKSWESGDS >KN542250.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542250.1:7595:10736:-1 gene:KN542250.1_FG001 transcript:KN542250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAFGAVNLVLGLIQEEARLLRGVREDLRFIMQEMESMNNVLCHVVANKGSAAAGDYQLRPWMKQVLELAFDSRSCVELYTQSGSGRCDWLPWTMVARHRVVTTIRELKIRAREISERQARYGIAVSHPQLPNENGTDEAAVGKKVLDRYWSHWKGPLRWIRDRELHLQPLHVSVQRPPILSEITKAMVDQLKENKERRTDNEEEDRKRLREKLEGKKVLLVLSGLNYQELWHKILELLNSTGCSDGAVVLCTNDIKMAQYCCDSAKYGPPVIYSLVDIYLNRALALLPHSYEEEYLKGILRNILTQCCPDVFCMDMLLRALYYNADTTGHQLEVLDTSLGKESTDQGRQDRIMAFCYQALPNSYKNCLWYSTVFTRGISMPDGVRRASLLRRWVAQGLITQVDQSSAEVEAEHCFEAMYTQKLIVPSGYSGARKVKSCTVHPVVADLIDRESSAVEDLLLNNQLPLDLDLLYSIRNGMQLHPANSNIKRFVNSLSSTSRLLVTVLDLEGRKGLKADDLHIVCKIHKLKYLSLRNTDVSELPKHIGQLKLLETLDIRGTRVQVFHTALPMLKHLLAGCIIDCPGEDIIKSKESFSTVSMPRAVALMEKMEILSRVKVSNSAKELNNIGDKLEHLKKLGVVLSGKKASLIDLFLQVDKLHTCLRSLSIRMDPPGNWDSIDVILLRPPKLLESLHICSIRSGLPPRIKELHQLAKITLRDTFLNQGALDVLSMLKGLRYLRLCYHSFAEGALMFVKFVSLVDLVIEDDIVNSVTLGDNTFGGDPDNLEKMVWSFTHMEELSGVGTLQSLTHIELNGGTWHLQNLEKLKREVDECGITFTLNPPENGQGSRL >AMDW01141450.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141450.1:308:4669:1 gene:AMDW01141450.1_FG001 transcript:AMDW01141450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEDRRKSSLNPTTTIAAISLSNGVVQEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYIDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDK >KN539766.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539766.1:75373:77814:1 gene:KN539766.1_FG001 transcript:KN539766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >KN539766.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539766.1:16063:16284:-1 gene:KN539766.1_FG002 transcript:KN539766.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTENLERSFATLMTMATEPDYEQDGDGDIEIEYVEDEYDIVPLGV >KN539766.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539766.1:59854:60325:1 gene:KN539766.1_FG003 transcript:KN539766.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPVFNSLTTSTSQCIVRQSLIRCEVVKLAPRARDRAIDAESEPGGNFLYYSASQLEEHGQVSFFFLRVAGRAVAGDGELMRALDKLGGVSGHGGVVWWAGGGRRS >KN539766.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539766.1:50853:54055:1 gene:KN539766.1_FG004 transcript:KN539766.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVSTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGSAKFMMALQFLFPIFEWGRSYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWTSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVISLVDYEAVILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >KN539766.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539766.1:21700:24078:1 gene:KN539766.1_FG005 transcript:KN539766.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEQWSRGHKVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLFL >KN539766.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539766.1:66413:69155:1 gene:KN539766.1_FG006 transcript:KN539766.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVIEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVRGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >KN540983.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540983.1:10162:17345:-1 gene:KN540983.1_FG001 transcript:KN540983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTTKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKFTTKAVLEVKPFCLRSSEQLMETEKEKSNAITNTHREEQNGAVPSTSSPTPVTAPDPIPTTNNSQNQPRGSGESEAQPSPAQAGNSKLPPWMLRTSHT >KN540983.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540983.1:29420:32415:-1 gene:KN540983.1_FG002 transcript:KN540983.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase 3 [Source:Projected from Arabidopsis thaliana (AT3G08900) UniProtKB/Swiss-Prot;Acc:O22666] MASSAAAAAQAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPKKTIRVPEGFDYELYNRDDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVSFFPPSHPSINFASVRSVSVKVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNSRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSASLPKEADTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDQLNPPKGAVATANGTAKSK >KN542922.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542922.1:1611:3968:1 gene:KN542922.1_FG001 transcript:KN542922.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRGYVSDDPARWERIKACLVVSDTCKKLARQAGFLTADQFYQSRLSPLQSGCCKPPAVCGYNYVSPTLCYECESCRAGLLAALRAQWHRANVALVVATVALVFLYLVGCSAYKNAQAEALFRRYKW >AMDW01062647.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062647.1:50:295:1 gene:AMDW01062647.1_FG001 transcript:AMDW01062647.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVAGSTRFGVYDMDFGFGRPAKVDVVSVAKTDAMSVAEDRSGSGGIEVGIALSPARMERFRRWLADAIALLSSSSHCN >KN542922.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542922.1:4824:7126:-1 gene:KN542922.1_FG002 transcript:KN542922.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEGSTAAAAAEKVAAPFRMAELGLRVCAVPLAVASVWEMATNKQVDETYGEVRFSDLSGFRYLVWINAITAAYSLASILLSSCKFITRFDWLIFRLDQASAYLLLTSASAAAEVVYLAREGDREVSWGEVCSYFGRFCGAATVSVALNAAALLCFMALSLISAFRVFTKFNPPSQSNSKQQLSQEQGKPVVSG >AMDW01062647.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01062647.1:1313:1621:-1 gene:AMDW01062647.1_FG002 transcript:AMDW01062647.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPISMPPEPKRSSATDMASVFSTDTTSTFAALPNPKSTSYTPKPRRCRRRQRSAVQAVRRPSVMVGEGPTLTSWDGEAFNLWLQHREAFNKSSTKIMSKTTI >KN542165.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542165.1:7790:8791:1 gene:KN542165.1_FG001 transcript:KN542165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLEEQNSQLRAERGELEKRLEEAKASSRRVHTQKAEVEESFEEFKKNTEKHKQQMEEKLGEKMAELKLLTSSKTEMAAKIESLEAELSMAMARSSELESEIDSSKSELAAAKTEAEKLRSEVAEIDEKHRMAEAKVNELQIEIEETMKTKDAEASAFRADKITMEKTLETLSLEIKKIHAEKDAAAAMVLQKSDESENLKAELKNLHRSISELRIRCDDLTDHSSRLQADKNSAMRALSNEKSESTKLRLKLRELESYVSNRDNEIGVLNSEAEDREGMVDGMSRQFEQLRIAAAEAHRRGKNGVWTWMCHPATTTVLAAASVVYAASRR >KN542165.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542165.1:14120:16001:-1 gene:KN542165.1_FG002 transcript:KN542165.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGATAPIVVINPNNPCGAIAETARELGIPIIADEVYAHMVFGGSKFVPMATFAHITPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVRNATEMLLNVTSGPASIVQAAVPKILSNEHNEFHRNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPTSVILEACDRIEAFCQKRAVQVKLLKKKF >AMDW01076533.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076533.1:484:4172:1 gene:AMDW01076533.1_FG001 transcript:AMDW01076533.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RAGELPKLVEDLLQTSISTGPRGAFRFAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEATNIEAFQRYIDAMGFDRQAKAPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATDDYNAMASALSEMGATGNDINVNEFAKDLEKIFSSIQDLDTEVIVATARTPDATAVSANVVVDERQMNALFLDL >KN542762.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542762.1:9171:9657:-1 gene:KN542762.1_FG001 transcript:KN542762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQHTSSSAAAAAAAVSLRKVLLMILALICTLHTCPVDGAGRDRSRRSLDPNYRPSVPPGRPYTPTPPGCNAVYGCRNSPPSSP >KN542762.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542762.1:11259:11658:-1 gene:KN542762.1_FG002 transcript:KN542762.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRSSSSAVAAALSLCKVLLIVLALICTLHTASVDGGRAAAEIGRGPLDPTYTPPVAPGRPYTPGRGCIYGGRCPP >AMDW01019513.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019513.1:13:285:-1 gene:AMDW01019513.1_FG001 transcript:AMDW01019513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRQALRELLFTTPGAFQYLSGVILFEETLYQSTAAGTPFVDVLKAGGVVPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFA >AMDW01031818.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031818.1:9:452:1 gene:AMDW01031818.1_FG001 transcript:AMDW01031818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHERENSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSNRDPLKLPWAELGIDIVIE >AMDW01033795.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033795.1:89:455:1 gene:AMDW01033795.1_FG001 transcript:AMDW01033795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTARTCMNGLNALSGVGLLTVPYALSEGGWVSLALLAAVAAACWYTGILLCRCMDADDAIRTYPDIGERAFGRTGRLLVSAL >AMDW01035893.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035893.1:108:581:-1 gene:AMDW01035893.1_FG001 transcript:AMDW01035893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFYFFSGGIAALAGIAALFPTSWRMLYVVTSVPSLVFVVAVLPFVSESPRWYLVRRRADDAMRVVRAIASSNGRSIPDDVSLKLDDEGDDDNGAGAGKVVDSSASASGSIIDVFRSRTTRFRLVLSVVINLLASVVYYGLSLNVVNLKTNLYVSVL >AMDW01019736.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019736.1:33:274:-1 gene:AMDW01019736.1_FG001 transcript:AMDW01019736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMLIRMQASSEKNGNAKAMRVAAAMDGVESVTLAGE >AMDW01105024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01105024.1:1169:2641:-1 gene:AMDW01105024.1_FG001 transcript:AMDW01105024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSTLKRKGIIRFWQQRKDFKEISKDDYFAKNNEFATWLKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRWVLQIAGEEASTKDRFVSPHRQTANRSHAIKNTVGHHDREHAEIQPSTVHMI >AMDW01025763.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025763.1:110:349:-1 gene:AMDW01025763.1_FG001 transcript:AMDW01025763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDRMTAVSGNDDMFYVVGLLRSAVVPEDVERLERENEAVLAFCDNEGIGCKQYLPHYTSQDGWRSHFGAKWSRVTELK >KN539548.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539548.1:49242:49430:-1 gene:KN539548.1_FG001 transcript:KN539548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRIGGERERDEAKRRYDEFVKSRKGRKESKARREALIDLCCSAASAMAVLSFVAAVVLR >KN539548.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539548.1:84917:86749:1 gene:KN539548.1_FG002 transcript:KN539548.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEFLTKALTALFGYAMPALECFKAIEQRPGRTDHLRIILVILVIFDDIAGVLTSKIPMYSELRLAFLVYLWYPQTRGTDIVYDTFLRPLVMQYQPNIEERLRYLRANAGDILIFYLKNFTDRGYDLFLRGMEYIRSQTSRGSRTRDSGNVTVQSKNSGYVTVQRWFSFGGDRAERSSYVDDYVAGGGDRRSTARHRRPRDDY >KN539548.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539548.1:29535:32356:1 gene:KN539548.1_FG003 transcript:KN539548.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVVLTVGALHQVDSAALRTAASDRHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRISFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVREVLAERGWTVG >KN539548.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539548.1:56372:57378:1 gene:KN539548.1_FG004 transcript:KN539548.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit O [Source:Projected from Arabidopsis thaliana (AT1G08380) UniProtKB/Swiss-Prot;Acc:Q949Q5] MAASTVSGLAGATLARRPAFSTGFTTGARVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWIAPSSVPAINGDSLTGLFFSSIGQELSHFPSPPALDSPFWLWLVTWHLGLFLALTFGQIGFKGRTEGYFDK >KN539548.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539548.1:68470:68820:1 gene:KN539548.1_FG005 transcript:KN539548.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNKKSSRGIDLKLNLSLPARGDSSSRRAMAADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVILDFLQQDNGNNNANSNSSRKTRRG >KN539548.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539548.1:8243:11821:-1 gene:KN539548.1_FG006 transcript:KN539548.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGKRDTDARRWSWLDNGAESGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGNLIVPINFLAPLAPWWLSAFIISCI >KN539548.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539548.1:59553:60695:1 gene:KN539548.1_FG007 transcript:KN539548.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MTNPSEVSWTAIVTAYMNSGDILTARELFDQIPHRNVVHWNAMVDGYVKCGDLEGARKLFDEMPERTPAAYTSLIGGYLNAGNMGAARALFDKLEDRDLFAWSTMISGCAQNGYPGEALRIFNEFQKQEICPDELVIVGLMSACSQLGNITLARWIEGYIMIYPIDMNNVHVMAGLINMNAKCGNMERATLLFESMSVRDVFSYCSMMQGHCLHGSASKAVELFSQMLLEGITPDNAAFTVVLTACSHAGLVEEGKRYFDMMKNEYMIVPSGDHYACLVSLLGRFGMLRDAYELIKSMPGEPHPGAWGALLGGCKFHCDIELGKIAAKKLFEIEPENAGNYVSLSNIYANIDRWGNVSETRAEMTGRGITKIAGRTLVLQ >KN539548.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539548.1:36037:40228:1 gene:KN539548.1_FG008 transcript:KN539548.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSGRSGSQTLQQQQQLQATSAVAREEELPPQPHQHPSELSASDNITDHLVEDVDNSSNSNKPLGLDDPTSESSSSAEERAVMEKPPKDDSNVIDPAFLVEELTGLQFSDQFEQENPVQSGIGPSQIAGAASHPPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYASNYYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRGLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQVNFRESSTSGAEPSSSAAISGSSRSAGTADRVGEECFVLPDTVLTRSMQLLLAMGFNYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNHRKGKAAE >KN539548.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539548.1:2:3252:1 gene:KN539548.1_FG009 transcript:KN539548.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding KYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHLRYDYGNFYASKTFYDLAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKRPVSLKDRVVKPGEHVEVTGLQTAQVIAQPEHVPADVCRIDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >KN539548.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539548.1:78692:79126:1 gene:KN539548.1_FG010 transcript:KN539548.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNDDDEATAMDAARKNDDVDEATPTMTTPQTGKNEAAAGGAALKGGAVVLTVESEDPYGDFRSSMADMVAAHGLRDWEGLEELLAWYLKLNAKGVHGVIVGAFIDMLVSLASSSPIPSQSPSSSCITFEDYSSATMEEES >KN539548.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539548.1:47369:48039:1 gene:KN539548.1_FG011 transcript:KN539548.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAALREASRLLVSRRESPAAYARPFLLTHSRGITYRLFIGGLSQFATEDSLAEAFSQYGQVLEATIVTDKMTNRPKGFGFVKFASEEEANKAKEEMNGKASFLKQCTFSSA >AMDW01038822.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038822.1:55:802:1 gene:AMDW01038822.1_FG001 transcript:AMDW01038822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTRLERSRRYLLCLDPGNGPLDESQLNDFTALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANQLRPTIPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRME >AMDW01040787.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040787.1:127:1641:1 gene:AMDW01040787.1_FG001 transcript:AMDW01040787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQNSGIFIQWIAMEKLLIHVSVDHMRVWSMVMKYRIMVPKVIWLTVDMAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >KN542036.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542036.1:3867:6305:-1 gene:KN542036.1_FG001 transcript:KN542036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKELKISNFTPFEFDPSSYGECGKVVVNCPPEDPAPVSSSDNRRRILRALSSGSYATKIKNTRATIVAFTGATSRSCKVMSHDSSGSTKQHVESSAGKRKWQKESVEFHIYEQYRQKYSYERIVGSQCRHEKVFSHHMNSEEKKYSQHQKDLPIHNNANTGTLCLNLEYPESHPHRHFQIFSSFLLDRQRLAMQRY >AMDW01029813.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029813.1:66:413:-1 gene:AMDW01029813.1_FG001 transcript:AMDW01029813.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WAALQWVASLSDPWLADYGDRRRTFVAGDSAGGNIAYQTVARASRGNDDDDGSIQGLNLIMVQPFFWGAERLPSETVWDDGVSLFPPYKVDELWPFVTAGQAGNDDHRIDPADHEI >KN542036.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542036.1:16896:18637:1 gene:KN542036.1_FG002 transcript:KN542036.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACISSFPPPPMAATAAPAPAPETTIDVFVVANHTPVTAAADKSSCEGDVVAGGGRTVRRPRAVARTLECERRVVGEGFAVRRGIGRKELDSLDPFISLDEFEFSPPAGFHDHPHRGFENVTYMLEGGFSYHDFSGHKGTINTGDVQVRSPTVPLNLELDYSEQYPL >KN543307.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543307.1:4453:6090:-1 gene:KN543307.1_FG001 transcript:KN543307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLIRSALLTPPVTARPGDEDAAKKKKKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDELDKWMEAKIKELYRPSKSDVVGGEDGVEQGNTSWPEFVPTSGPDKTRKVDYIRFGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNAQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLDEQRSCIREEGLITPQDYISMLVWSYKKNAVLLPSFKENDHKGSTEDLGSDTDELGDEEIGNLDHVDSPKVAEMP >AMDW01008276.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008276.1:130:210:1 gene:AMDW01008276.1_FG001 transcript:AMDW01008276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NIRTGTLFFTTVAFVRMSYKYLRKENI >KN541092.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541092.1:2957:6175:-1 gene:KN541092.1_FG001 transcript:KN541092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKSLLRKLGNLLAQEYALLSGVRGDIQYINDELASMQAFLRDLSNGAEGHSHGHRMKDWMKQIRDIAYDAEDCIDDFAHRLPQDSISVAKWSFLLRKIYELWTWWPRREIASNIAQLKVRAQQIADRRSRYGVNNPEHGDSSSSARARADSYEIAEYQVTSPQIIGIKEPVGMKTVIKLLEVWLTNLQAENGQAVLSIVGFPGVGKSTIATALYRRVSGKFQCRASVSVSQNYDQAKVLWDILNQVSNQEQGSSTTISDKKNLASGTKSTLKTALSLLGGNCKRQTGNEGIPDKTPIRLQETMDYDQLGRELQHYILLIDDIWSAETWESIRSFLPKNNEGSRIIVTTRFQAVGATCSPLETDRLHTVDFLPDDESQDLFNTSICESKIRKDSKKVEEQVPEEIWKICGGLPLAIVTMAGLVTCNPRKAHRDWSKLCKSLFPEQAIPLTLDGVKRILDCCYNNLSADLKTCLLYLSIFPKGCKISRKRLARRWIAEGFANEKQGLTQERVAEAYFNQLARRNLVRPVEHGSNGKVKAFQVHDMVLEYIMSKSIEENFITVVGGQWQMTAPSNKVRRLSMQSSGSNHESSTKGLNLAQVRSLTVFGNLNHVPFHSFNYGIIQVLDLEGWKGLKERHMTEICQMLVLKYLSIRRTEIAKIPSKIEKLEYLETLDIRETDVKELPKSVGQLKRINSILGGNKKTREGLRLPQDKRNKAMKNPSHQGKTKEPAKKGSQEKSKGAMKSLRVLSGIEIVEESSAVASSLHQLTRLRKLAIYKLNISKGGDTFKGLRSSIEYLGSCGLQTLAINDENSEFINSLDEMSTPPRNLVALELSGKLEKLPKWITSITTLNKLTISVTVLRNETFEILHSLPSLFSLTFTFALSAAKQDQDTVKGILEGNKLQFDGEIVIQNGGFQSLKLLRFFAPFVPKLSFLNKNAMPALEIIEMRFKDFEGLFGIEILENLREVHLKVSDGAEAITKFLVSDLKDNTEKPKVFVDGIVTA >KN541092.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541092.1:19144:24067:1 gene:KN541092.1_FG002 transcript:KN541092.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVLVKLAALLDDGECNLLEGSRGDAEFIVTELKAVHSLLLPTMLGWMGDDDAVYKDDLIAEVRELSYDLDDAVDDFLELNFEQRRSASPFGELKARVEERVSNRFSDWKLPAASLPPSSVHRRAGLPPPDAGLVGMDKRKEELIELLEQGSSDASRWRKRKPHFPLRKTAPQQEIVIKVAMGCDKSRSKAMALAACTGGVSSVALAGDLRDRVVMVGDGVDIFGLISALREKVGHAELLQVSQANKDVKETAAMLAPVKSICEFHEVKTVCILGLPGGGKTTVARVLYHTLGTQFQCRVFTSVSPSSSSSPSPNLTETLADIFAQAQLGVTDTLSTPYGETGTALQQHLIDNISTFLLDKKYLIVIDDIWHWEEWEVIRKSIPKNDLGGRIIMTTRLNSIANKCHTDDNDVFVYEVGDLDNNDALSLCERIAITKSVARNRIETGEDNPCCDIVNMCYGMPLALVRLSSALAGEIDERLGGDEVQKWRALRRIEDGILGIPSLQPLVESLCLGYNHLPLYLRSLLLYCSAYHWSNRIETGRLVRRWVAEGFVSEEKEAEGCFGELIGRGWINPVGYNTGYYEIHPVMLAFLRCKSQEYNFVTCLDVGSDTASSPIRAIRRLSLQQGYPADCFSSMDVSHTRSLVVFGDVAGIPFNMFQHLRVLDLEDNKDIEDSHLQDMCRQLENLKLLRYLGLKGTRIRKLPQEIGKLKHLQTLCVACTLINELPQEMVELKQLRTLDVRGTRISELPQEMVELKHLRTLDLTSTQISVLPPQIGKLMHLQTLSVSNTRIRELPPQIGELKHLRNVDARWTWVTELPWQAGQISESLRVRVIAFGRDECVQLPEGICQDLVRGIPEASRARCREVLSITICRFGPQPVGIFKVPGRHMCIPELIKRHFLLLSCLDIRLCHKLHDDDQKFLAGMPNLHTLVLRFEALPREPIAINGTGFQKLQRFRVDSRVPRITFQDGAMPNLKLLEFKFYAGPPSDDPVGITNLKSLEIVVFQCSPWYKSDAPGISATIDVVKKEAKKHPIALLINGGYGYKKISIESHGSSTENIAGTSSSGTSGIDTEPAQAQHGNLPFARDDDYKGKGILDGRYPTCGDRD >KN541092.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541092.1:12294:13737:1 gene:KN541092.1_FG003 transcript:KN541092.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIQMRTATKVATKAWRMWKLEQSCSSWASSSSLRLARLAKCVMGFNQAQSCTNNMRPYLSNSTLSKVHCDAYMDVTMGAAYIQAQL >KN546827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546827.1:199:408:-1 gene:KN546827.1_FG001 transcript:KN546827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELLEEYTAAVARAMELLLSRAPPRIFPRRVRFLVLRSLPFASPPPSPLSPPPPFTVAAGTR >KN540252.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540252.1:2905:8025:-1 gene:KN540252.1_FG001 transcript:KN540252.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTAQLIYGRVDHCLDNTDCWLALLPPLWATGEGPRPALILAQELNYKIIKSYNGIRHMGAHRTNFSSKGVIMICLVVFVKLPMENPYVLSADPCGSSSGPAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAAHVLDAIVGFDELDAQATGVASKYIPSGGYGRFLRMDGLKGKRIGIPNGFFTEGAYGKTQLRDVLYSNENIALQAEFKLSLNAYLSDLLYSPVHSLADVVAFNNAHPVEERLQDFGQPDLIAAQKTNGIGPVEKAAIQRLNELSADGLENLMRMHQLDAIVTPNSDASNFFAIGGMPAITVPAGYDGHGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRRMPSFKP >KN540252.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540252.1:54172:56857:1 gene:KN540252.1_FG002 transcript:KN540252.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGATPFERAHGLPIFEYMGTNSRLSALFDKAMAKQSMIVVNKLLDHSEVFDGVRVLVDVGGGDGSTLGMITSRYKHIKGINFDLPHVISEAPPRPGVEHVAGNMFESIPKGDAVYLKWMIHMYSDEDCIKILKNCHRALPENGKKRFATSTVEKGE >AMDW01077819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077819.1:51:800:1 gene:AMDW01077819.1_FG001 transcript:AMDW01077819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCNSWRVALARLKAPAPPPPLPWVALPESDDGLPATVSCVLSGCRTHAFSVLQGARGARYFGSYDGGWLFLAVGGQAQRQALLNLKINGFQTLDLPNLARVNSVNPNRDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVADGHVTRSIAFWRMGDQVVLPVLWALEEDNPLMRLEEVEDLLCHNGAFHFLTRAEDVLACEEPPIFYRDSVSLVPVNTFFLPRVHDDNETVLARYLVGSGKNLLMV >AMDW01024026.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024026.1:9:278:-1 gene:AMDW01024026.1_FG001 transcript:AMDW01024026.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICK >KN540252.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540252.1:29564:50892:-1 gene:KN540252.1_FG003 transcript:KN540252.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHGHGSGGRSTAHPSPCGLAMAYGSGYGDHDDGGSYGDPDDSGGLKGGRFVITALGRGGSAARFRNVKYSKVGGRKAIPFWKKKSKGLKEKNLKTSNVLKMGDEKQFQSGKTSRVMIFALMFYNFNFGFIEMQPKKDRSWKQSRNYQILTIWCCLGHGQKYYSRKQLTIDTTIKSPLQTPNRNHRSRKYLQNKHNTNQNSKKREKIQPNKNQQEHQEHVGIKAGTVTGARKRHFTGETASTAKIPTSLPPTWRGEKDGFGGLPGLEIRMSASSLRQGTGVQHAGRLQQLVAGSSVMLEDTSKKPICRTVTDAVHVLDAIVGYDSRDAKATRAASKYIPPGGYRQFLKPDGLKGKRIGIPNGFFNFPSGTVQQIVYQQLLDTVRYIHVVADYEVNITIVHCTSYFAEDYESLYERLKNFGQLIFLVAENTTGIGALEGAVIRQLNKLSADGLEKLMQDEQLDAIITPNDLVSTVLAIGGMPAITVPAGYGKMGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKAPKFLHGTF >AMDW01008519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008519.1:2:199:1 gene:AMDW01008519.1_FG001 transcript:AMDW01008519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAVEEEDAGSYLRADQVDLMSLDFEIEERMADRFRKLNSGGVERGDEGPKAAWEIDLSKLEIGH >KN541799.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541799.1:22487:23206:-1 gene:KN541799.1_FG001 transcript:KN541799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TITAGLAMSGRSGKGSKYTPAKLPPEPIELWAYEGSPFCKIVRETLVELELPHLLHSCARGSPRRQEFLKKYGIFQAPYIEDPNTGVKMFESADIIDYLRATYAA >KN540252.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540252.1:11718:15264:1 gene:KN540252.1_FG004 transcript:KN540252.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADASRESSRPRASAPRQADGPWVIEAEACGSELVIISSIIGAFLAWAVKTIDSFLPCPHWPSAPQGDNRRFTCIATFMNNVVVPYEAVVCLYVTFLAVD >KN541799.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541799.1:875:2981:-1 gene:KN541799.1_FG002 transcript:KN541799.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKEAISQVVGESKEKGRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHVPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPVRVF >KN541799.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541799.1:13927:20306:1 gene:KN541799.1_FG003 transcript:KN541799.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5C [Source:Projected from Arabidopsis thaliana (AT2G36200) UniProtKB/Swiss-Prot;Acc:P82266] MSSRQDKEKAVNVQVLLRCRPFSDDEVRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKASLRCCCSFIMCLPLPKGCLLFVFLSSKCLQSGPKGQLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTASLEANQKQINDLQEKYNSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAQYNLKEKDYIISEQKKAENALTQQACLLRSDLEKSNRENAALYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLATSIDQQNKHLKSVENLCKSCVDSHDTLLACVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEVGGRLSSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGEIQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >KN541799.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541799.1:8115:10315:1 gene:KN541799.1_FG004 transcript:KN541799.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MNGNKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPPNTSKSTYSCDGHTFNFLVDRGFVFLVVADEAVGRSVPFVFLDRVREDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMLYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILERGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMLVS >KN541799.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541799.1:3298:6562:1 gene:KN541799.1_FG005 transcript:KN541799.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDRSCNKRNSVFRILLSNRVPLKGHEMETDCLYHINVVYDKLTRLSSLMGISALLVPYYLVLGIHQNSNGMMLRLTSTERITLVIALRLLLEDGRKCNKCVTVKTSRKDLFWYTKDKKSDSEDALKEEIRRVKEEEEQSMREALGLAPKRSSRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPRREEESSSFNLDPPEMVPAEQADNPPPATKPEREDSDDDRRSKRRREERGGEKERKRERHSEGKERRRDKHEKRSRHESDDRSKRHRKDKHKRRHDSDSD >KN541799.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541799.1:20717:20878:-1 gene:KN541799.1_FG006 transcript:KN541799.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAAVKIAGEAAVALWSRRFSVRIDSHIVVDPVFLDVIEQENHSEMQLYLT >KN540716.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540716.1:2122:9104:1 gene:KN540716.1_FG001 transcript:KN540716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLKEGLSRIDEEWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKADEIWKLFARKTVVSFYRYFSNFVYQIRVSKYEKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKSISREVPIFLSCATPDEFLESVTKADASLSVKYLRTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRQVSSYK >KN540716.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540716.1:19617:26752:1 gene:KN540716.1_FG002 transcript:KN540716.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWDKLCEHRQYIELIQRDRGLRCPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTCSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >AMDW01030816.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030816.1:39:359:-1 gene:AMDW01030816.1_FG001 transcript:AMDW01030816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DALLRGPWNDLPGDLLGLVLGHIPCAAGRARVRSVCSSWRNAAAIQRPPRPLPMLVFSRFGFVSFSSFSSVMVIADAEFTRIPLHEDESLRWVGSFDEWLVGTRPGS >AMDW01009832.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009832.1:68:196:-1 gene:AMDW01009832.1_FG001 transcript:AMDW01009832.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVPAGYDPCTEEYVKGYFNREDVQRALHANRTGLSYPYSPC >KN543579.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543579.1:2323:5811:1 gene:KN543579.1_FG001 transcript:KN543579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRWLIMAFLVCLLLLTPKDLEGLQLVGAIRNHLFWSTSSPLHHLPDLLEEESVQVNEMELWGDDDGRRRMMGEEVRRQAGAGRMSEVKMGGDRPLVAVMKKEKHGAKKKKKDDDSGGMVVVGLSAACLALVTLVGICFCACRDSESSSSPYDLRDEKPLLSLNLSDGPSRKSCATTIDVSRLGALTAECEQHLHGGAGAGDHNTTNYNLRKPAGVGSMSMNKVSMQSQAMRMSSHEITTIAGAGRVEKKVSTIAPSAAAAAAASAGGGQKSPGAAAAAAQADPNKAKLKPFFWDKVTANPNQAMVWDQIKAGSFQFNEEMIESLFGAQSTEKKSTDAKKETGKEATQFVRILDPKKAQNLAISLKALSVSAEQVRAAVMEGHDLPPDLIQTLVRWSPTSDEELRLRLYAGEPAQLGPAEQFMRAIIDNLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAARAASGGGGGSSISSISSSDDLILLQSQSSIGSNSGRSSVDASSLEQEQDETERYRQLGLGVVSSLGDDLQNVRKAASFDADALTITVASLGHRLVKANEFLSTGMRSLEEDSGFQRRLASFVQQSQEQVTRLLEDEKRLRSLVRATVDYFHGSTGKDEGLRLFVVVRDFLGILDKVCREVKEQAAANAKAKKQQQPTPKPRSRQSSQSSFRDPRQQIQDRRAAALSRNNSSSSSSDSDD >AMDW01132745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132745.1:126:626:-1 gene:AMDW01132745.1_FG001 transcript:AMDW01132745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WQWQSTVLGVCFLIFLVSTEQVRRRRPKLFWVSAMSPLLVVIVGCVFSFLIKGHKHGIPIVGTLKRGINPSSISQLKFQPEYVGVAMKAGFVSGMLALA >AMDW01034406.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034406.1:90:428:-1 gene:AMDW01034406.1_FG001 transcript:AMDW01034406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEKVDASAKKSKKKKSKAEADGEAMDLDKPSNVADEAEPGTEKKKKKKKHKLEEEPQEQEKSAAHANGDAEENGTPKKKKKKSREASEDAEPKTATEGKKKKKKKSKTEDSD >KN541921.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541921.1:10600:12228:1 gene:KN541921.1_FG001 transcript:KN541921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVPSLPAGGEAAGRGAALPFTGSSGRSGGGVPDPAEGEGRSKYSGNGYSTVCLKLHAADPGTAAAAGGIRTNVRFRMVSLRPCVPPTNEVRSYATSFNGTGKAEYRCFRFISHDVLAEQWFITDDEFAIHCDVAVVEEATAVEEAPAAELLDGLICKCRDNNDEPCKSSTQQSLKEAFRKHFLGCFGPK >KN541921.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541921.1:17686:19859:1 gene:KN541921.1_FG002 transcript:KN541921.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAFGLALVLWFLLCCLKQKRIRNTFENGTGGARRFGYYDLATATDNFSENRKLGEGAFGVVYSGFLKRLDREVAVKKIFREPSGENHKDFFAEVSTITEAKHKNLVKFFGWCCRGHSWNILRFMCSCFWRQKNKELLLVYEFMKNGNLNDYLYKSETKQVLSWHIRDNRDIKPGNVLLDDDFNAKLADFGLSRVANPNNATLQTTAMGSQGYIDPQCMKDGEVSFNRSSDVYSFGIALVEIVCARKHREQIWGLYRSGGDVVEAADSRLAIGVVGAVRREMERVIILGLWCSAFETKHRPTMLQAMDVLERDAQLPDLNLIVNSNLSSTDASSSSPVEKRYDCEEAPLVAGSLAS >KN539843.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539843.1:71429:72817:1 gene:KN539843.1_FG001 transcript:KN539843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFVTPHEDTPETIEEVKEMESCFVTPHEDTPRQGLWLSPLDIVMVSRGHTPTVYFYQRDTATAAADFFEVGRLNEAMAKALVAFYPLAGRLSVDGDGRPEIDCNAEGALFVVAQSKLTVDAFSDLKPSPELRSLFAPRIEPASIMLGVQTWSSFCRDGEAAMLELPCHERTLLRTRSPPIVHPDVHSMFSLKLNFCEPSDPISTKIFVISKNQLDALKQICGGLSTFCAMSALVWQCMCIARQLPLDAETRVIFPVNIRRRVKPPLPNRYFGNALVDLKVASTVRDIVLGTLDVTAAQIKNALGRLDDEMLQSAIDYNEMAGMPNKHTKGNLPDTELRMVSWLGMSVYDADFGWGKPEMMSRAESVRGGFVYMMDGTDNNGGGVRVLMCMEA >KN539843.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539843.1:6920:19591:1 gene:KN539843.1_FG002 transcript:KN539843.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIAEFDPEVAAERAAQANHDGETPLYVAAERGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIEVNAVNRSGHTALAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTKQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDITQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIRKASTSQSRSWSQTVDSDPDLLNSEYKKILKKRSSLSPNGRFGTRGENSQEQTEIKEKIIEENGNKTVLGFKFSPEVTAEHLSRRPEARFLCIGFVLSQIFVYWICVKVEFYFSDVNLATTEHLMKFMIRDPEGFVPMSVVASFRKIRELVNDGKRVRRRVLFTEADAEEVQSRIVVAENLREEHRYPNLMKIFSAFGSVKSIRTCYPQGGIDGAGTSTGKASKIEMLFANKVHAFVEYETVEDAEKAVSEFSSGRSWRDGIRVRSLLGCLKQAMGQGKRGGDEVDAADEDDPETTDHSQDYETEDASQISEAHLDHQADDGYHDKGGMRHGRGRGRGGRGRGRGQYYGHSRDANHPIGTPPSNHSALADHPSKPPPGPRMPDGTRGFTMGRGKPVNPTNAV >KN539843.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539843.1:53298:57097:-1 gene:KN539843.1_FG003 transcript:KN539843.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVVGKAAGALVQSVTRMCGVDDDRRKLERQLLAVQCKLEDAEVKSETNPAVKRWMKDLKAVAYEADDILDDFHYEALHREAQIGDSTTRKVLGYFTPHSPLLFRVAMSKKLNSVLKKINELVEEMNKFSLVERTETPQLPYRQTHSALDESADILGRGDDKEVVVRLLLDQRNEQKLQILPIVGMGGLGKTTLAKMVYNDTRVHEHFQLKMWHCVSDKFEVVSLLKSIIELATGTKCELFDTIEMLRRQLEEAIGRKRFLLVLDDVWNEEENKWGDGLKPLLNSVGGPGSVMVITTRSQQVASIMGTLGPHELACLNEHDSWELFSKRAFCRQAGDQAELATIGRRIVKKCRGLPLALKTIGGLMSSKQLVSEWEAISEESNVGVRVQGKNDVLDILKLSYRHLSSEMKQCFAFCAVFPKDYEVDKNILIQLWMANGLIEDEGAADLTHKGELIFQDLVWRSFLEDVKEKEMQYYGVNSIFCKMHDLMHDLAKYVTDECVSTTKDCCQEKGLAKDVRHLQIPKRETKETLTQLFNGTSSLRTLIMQPTSGNVIKEFRLVSMKALSCFTIHSQILHAKHLRYLDLSGTSIVKLPNSICMLYNLQSLRLMGCFNLQYLPEGMRTMSKLIHIYLCKCDSLQQMPPNISLLNNLRTLTTFVVDSKDGLGIEELKDLRHLTNRLELFNLRKVKSAEKAKQANLYQKKNLSEILLFWGRDRYYMPEHIIDNEKQVLESLAPHGKLKVLELHGYGGLEIPRWMRDPHMFQCLAKLCISNCPRLKDLPAVWFLNSLEHLSLCCMGNLTTLCKNDDVDQAEGFCTSLKIFPKLKDMVLYRLSNLERWVVNIPGEPNSLVTLPQLKTLSIIYCPKLADIPDCRALRDLKIEGCFNLDVSSLSHITSLLSLSYDAQGFCSMTMPLGSWPSLVQLTVKSLANMVTSLEDQRNQGQRALVNLWRLSLCGPKCFVTTSSLSKLHTGIWDCFAFVKYLVIRDCRDLVHWPTEELRSLIHLRSLVIINCTNLEMNDLLSDETLSLSQLEVLRIHTSPGVVEIPKLPASLEILSILSCDNLVALPSNLGNLSRLRNLSVFCCDALKALPDGMDGLTSLRELTLGYCARIEKFPEGLLQRLPTLESLDVNCCSEQLQRRCREGGEYFDLLSSVPEKKIISEPVF >KN539843.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539843.1:45443:48842:-1 gene:KN539843.1_FG004 transcript:KN539843.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAEAKSETNPAIKRWMKDLRAVAYKADDVLDDFHYEALRRDAMAGKVLSSYFNLPAGALLFRLRLSRDLHNVLHDINRLVLEMKAFGLVERTEAVAHRVPLRVSDTYSAMDDDSAEIFGRDKDRESVVQLLLEQQDQHKAQVLPIVGMGGLGKTTLAKMVYNDPRFQATALVRSIIELATKGRCDLPDTIELLRGRLQEVIGCKRLLLVLDDVWSEEQHYWEDNLKPLLLSLGGDGSAILVTTRSQRVASIMGTLQHIDLPHLSEEHSWELFSKKAFSGVVRKHPESISIGKRIFQKCRGLPLALRTMGGLMSSKQLVSEWEAIAESNIGDSVQGKNGILAILKLSYTNLSPEMKRCFAFCAVFPKNYKMDKGTLIQLWIANGFLHEEGTADSEKKGELIFSQLVWRSFLQVVNPKPFDNQTSRHGLVGCQMHDLMHDLAKDVTDECSTIEDLVQKITSAKDVRHLKISCDILEQTSGLFKATNSLRTLLSPSLSHKDLNKSRPTSLRALCCTSPHIINSHRINTKYIRYLDFFHSEIVRLPSSICMLYNLQSLRLNHCRRLQYLPEGMRTLRNLNHLYLFECDKLKRMPPNISLLNKLHTLTAFVVDTGHGYGIAQLRDLRHLRNRLELYNLIKIESGENAREASLYQKKNLSELSLCWGRRKYEWPQYDIGSYEEVLEAVELHSELKVLEVHGYGGTKFPQWMMNSRMCECLRKLIIFNCPRCKDMPIAGLLVSLEYLSLGWMDSLTTLCKNVVGAEVEGSSLQLFPKLKVMFLENLPNLERWVESSAGEHDSLVMFPQLQELSMYDCPEVASVPNSPLLKKISIMECRSLPISSLAHITMLTELDYDGQSYFPSIMPLGSWHSLVELRVSFLAKMMVPLEDQQMQTPMESLRSLRLSGPDCFLTTSTMPKFQPLVWECFAFVKELYISECNELVHWPMEELRNMICLRFLSVSCCSNLQGEIPSSSEAILPLPRLEMLSIHNCTTLLNIPKLPASLEELEISNCEGLMKLPSNLGDVAELKRLYISSCSGLKMLPDGMEGLTSLQQLTIKGCPEIQKFPQGLVQRLPSLKSLKVEGCPELQRHCIARKEGIGECFHLVHAIAHKHIP >KN539843.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539843.1:74400:77975:-1 gene:KN539843.1_FG005 transcript:KN539843.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVAGKAADALVQSVTRMCGIDGDRRKLERQLLAVQCKLADAEEKSETNPAIKRWMKDLRAVAYKADDVLDDFHYEALRREAQIGDSTTRKVLGYFTPQSPLLFRVTMSRKLGNVLKKINDLVEEMNKFGLMEHVEVPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPVVGMGGLGKTTLAKLIYNDPSVQEHFQLKMWHCVSENFEVGSLLKSIVELATTRRCQLINTIELLRRQLEEAIGRRRFLLVLDDVWNDEENKWADDLKPLLNSVGGAGSVIVVTTRSQRVAYIMGTLEPYELRCLNEDDSWEVFSKRAFGKQVQEQAKLVSIGTRIVKKCRGVPLALKTMGGLMSSKQSVSEWEVIAESNIGARVQGKNDVMDILKLSYRHLSPEMKQCFAFCAIFPQDYEMVKDELIQLWMANGFIQEEETMDLTHKGEMIFHDLVWRSFLQDVKEEFIIGYHCDSIVCKMHDLMHDLAKDVTDECASTTKELDQLKGSIKDVRHLRIPEEMEETMTELFKGTSSLHTLIDRSWRSTLWNVSVEFNLASVRALRCSVINSAITNAKHIRFLDLSETSIVRLPDSICMLYNLQSLRLNSCDELEYLPKGMRTMRKLIHIYLYWCHSLRRMPPNIGLLNNLRTLTTYVVDTEAGCGIEELKDLQHLTNRLELYNLHKVKSEEKAKQANMYQKKNLSEVLFFWGRQKRCMPNDNAYNEERVLESLAPYCSNLKVLELHGYGGVEIPEWMRDPHTFQRLSKLNISNCPRCKDLPPVWLLVSLEELSLSCMDNLTTLCTNDDVEAEGCGTSLQIFPKLKKMFLRNLPNLERWAVNISGDPSSFITLPQLEILRISDCPKLAGIPDCPVLRDLNIDRCSNIAVSSLAHVTSLSYLSYDAEGFDSMTMPLGSWSSLMRLKVRSLANMVISLEDQQNQGESNLVNLRRLNLHGPKCFTTVSGFSELHHGIWVHFAFVEHLVIGDCHDIVRWPTEELRCLIRLRSLHIFKFTNLGINFSLSEEILYLSCLEELNITSCSGIVEIPKLPASLEELFIQSCQNLVVPLPPNLGNLASLRNFIVIKCESLKLLPDGMDGLTSLRKLHLDGCPGIEKFPEGLLQRLPTLEYLHLYSCSVSNDQLVRRCKEGGEYFDLLSSIPDKSIIFSERYYRKRFLPFC >KN539843.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539843.1:20116:35006:-1 gene:KN539843.1_FG006 transcript:KN539843.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPPTAGKVLGYFTPHNPLLFRVTMSKKLSNVLEKMNKLVDKMNELGLSVDRTESPQELKPPYIQMHYAAMDESSDIVGRDDDKEVMVKLLLDQRDEKRLQVLPVVGIGGSGKTTLAKMVYNDTRVRDHFQLKMWHCVSEKFEAVPLLKSIVELATNTRYQLLNTIEQLRRELRSVIDQRRFLLVLDNVWNEDDKKWEDDLKPILSSVGGHGSVIVVTTRSQRVASIMGTMGSHELACLNDDDSWELFSKKAFSREVNEEAALVTIGKLIIKKCRGLPLALKTMGGLMSSKQLVSEWETITESNIGVRVQSKSDVLDILNLSYRHLPSEMKQCFAFCAVFPKGCDLEKDKLIQLWIANGFVQEDGNVDLIHKGEFIFHDLVWRSFLQDVETKKRHYYCDDSVVFCKMNDLMHDLARDVTDQCASTTKELSHEKESAIDVRNMVVQWYKSQEMMMEPFKGTTSLRSLIVQLGPYNHFEEFRYLSIRAFNCSIMYQQLINETHLRYLDLSETCIVRLPDSVSMSYNLQTLRLNNCRNLKYLPESMSAMRKLMHLYLFGCGNLEKMPPNINLLNNLRTLTTFIVDIEAGREIKEIKRLRHLANRLELYNLRKIYSRENGEEANLHEKDLSELVLYWGRDEKYMPENSVCNEEEVLDSLRPHAKLKVLELHGYSGLNIPQWMRDPQMFQSLRILHISNCPGCKDLPSVQLSVSLERLVLSSMDNLTTLCKIVGVEVEGHSIPLQIFPKLKYMKLCGLPNLEKWAENAAGEAHMLTTFPEIEILKIHDCPKLASVPNCPVLKKLKTYGHCSLEMISLAHLTALSKLYHVANDVCVSMQLRSWPSLVELSIRSSPDMVTTLEVETNQCPLENLRRLSLYHVRCFIAASGLSDKYLGLWKCFAFVEDLQIAWHNYLVCWPVEELTSLIHLRSLTIDYCDNLEGRGSSFMSLTYLEKLRVRYCNNLQEIPKLPASLEVLLIEHCSRLAELPNLGDLSRLKILHVQRSLHLKELPDGMDGLTSLEELKIWDCALIEKFPQGLLQRIPTLRHLALRRCPGLLRRCIQGGEYFDLLSSIPYKVILAAGIRSSTNSFVNRESSVIHIVHYHERMQRYLWFLKMDRIRRIAGAGKAKITSAVQKDKDESLVFFRELYKRDKERDINLLEPMYSVEFDAIQGGHTGKAPSGKRDFLIPVDEKHDYDWLKTPPATPLFPSIEMETNSSQMVFQKELPIHQQVKPSASRLSGKTEATKTSARSMFPAPNSSSKKSIFKGSAPSISNEKKQPCTIEKRSTSAAITSTRRKQKAVAVISPTAPTATCNATRKHSDRCYASQGSSTNGLKRVTNPELPYSAPKNLITTPSTVKAWRRDLAFGVHDTVEIGRIRRQSCLPVATSGTKEQIMDGKQKGLPDKVKAVTVSNNRGRAGDATLIKGMRTDGKKEQRPKHGNQANIQISKDMFILPAPLLYQYTCMYQLNIEVTKSRMNKPPTAGKVLGYFTPHNPLLFRVTMSKKLSNVLEKMNKLVDKMNELGLSVDRTESPQELKPPYLQMHSAALDESSDIVGRDDDKEVVVKLLLDQRYEQRLQVLPVIGIGGSGKTTLAKMVYNDTRVRDHFQLKMWHCVSENFEAVPLLKSIVELATNRRCQVPDKDTIELLRRQLEGAIGSRRFLLVLDDVWNEDENKWQDELRPLLCSAAGGHGSVVVVTTRSQQVASIMGTMRSHELACLNDDDSWELFSKKAFSEEVRETAELVTIGRLIVKKCRGLPLALNAMGGLMSSKQQLNEWKAIADSARDKDEILSMLKLSYRHLPSEMKQCFAFCSIFPRNHEMDKEVLIQLWMANGFIQEDGIMDLEQKGEYIFQYLVWRSFLQDVKAKKTLDHLAELQPSTILQKEIMDKALPYESIGCKMHDLMHDLAKDVADECVTSEHVLQHDASVRNVRHMNISSTFGMQETMEMLQVTSSLRTWIVPSPLCGDLKDLSLASLRALVIEKGIFHYHSVMSNHVITYSKHLRYLDLSMSQIVMLPSSICVMYNLQTLRLNGCSYLKYLPESMGKMRKLLHLYLLGCDSLVRMPPNFGLLNNLRTLTTFVLDTKDGCGIDELKNLRHIANRLELYNLRKINCRNNGIEANLHQKENLSELLLHWGRDKIYTPENNAYNEEEVLESLTPHGKLKILELHGYSGLKIPQWMRDPQMLQCLTTLRISNCLGCKDLSTLWLSVSLEHLQLSRMDNLTTLCKNVGVGAEGYTIPQQVFPKLKSLKLELLFSLEKWAENTAGEAKNLVTFPELEMLQIIHCSKLASVPDCPVLKERDRFGSYMLAMNELTHLTSLSKLNYVANSLCDCVSMPLGSWPSLVELVLRSSTHIPTTLQVEANQGQLENLRSLSLVNCFTAASSSSEMRLGLWKCFAFVEVLHIHMCLSLVCWPTEELTSLIHLRHLYIEHCHRLEGKGSSSEEKFMSLSHLERLHIQNCYNLLEIPMLPASLQDLRLESCRRLVALPSNLGNLAMLRHLYLMNCYVLKDLLDGMDGLVSLKILEIQACAEIEEFPQGLLQRLPTLKELSIQGCPGLERRCREGGEYFDLVSSVQRICIPAAAKTEMGEESSCNISEAFAENNSGSPALVLQPNILKTNVPSRKGGRLPLRLPTLKVLDLWGCPSLKRLCREGVNQSLWFLKMNSMRRISGMRKPNAPSAVHQDKDESVVFFREMYKREKDRDINLLEPMQSVEFDAIQGGRTSKAPSGKTDFLIAVDEKHDYDCCNISEAFAENNSGSPALVLQPNILKTNVPSRKGGRLPLRLPTLKVLDLWGCPSLKRLCREGVNQSLWFLKMNSMRRISGMRKPNAPSAVHQDKDESVVFFREMYKREKDRDINLLEPMQSVEFDAIQACFRLKTPPATPLFPSIEMETNSSQMVFQKELPIHQQVKPSASRLSGKTEATKTSARSMFPAPNSSSKKNIFRVSAPSVSNEKNQIERRSTSAAITSRKQKAVAAVAPTAPAATCNATKKHSDRCYASQGSSTNGLKRVTNPELPYSAPKNLITTPSTVKAWRRDLAFGVHDTVEIGRIRRQSCLPVATSGTKEKIMDGKQKGLPDKVKAVTVSNNHGRAGDATLIKGMRTDGKKEQRPKHGNQV >KN539843.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539843.1:67672:69073:1 gene:KN539843.1_FG007 transcript:KN539843.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALKSEIEGVEEIESCFIAPSEDTPRQGLWLSPLDIVLANRGHTPNVYFYRRDAVAASGNTDFFEVARIKEAMARALVAFYPLAGRLHVDGSSRPKIDCNAEGALFVVARSELTVDDFSDLKPSPELRRLFVPRIEPASIVLEIQVTFLSCGGVALGTVLHHAAIDALSACHFLQTWSSFCRDGEAAVVDLPCHDRTLLRARSPPIVHPDVHSMFSLKLNLCEPSGPISTKIFTISVHQLAALKRICGGMSTFCAVSALVWQCMCVARQLPLDAETCVTFPVNIRRRVTPPLPDRYFGNALVIMKVASTVRDIVLGTLAASAAQIRSTLGRLDGEMLQSVIDYNEIAGMSNKPAKGNLPDTELRMIGWLGMPVYDVDFGWGKPEVMSRAESVRSGFVYMMDGTDNNGGGVRVLMCMEARKMEEFERLFYAKFAQ >AMDW01033090.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033090.1:1:379:1 gene:AMDW01033090.1_FG001 transcript:AMDW01033090.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVGSPYYVAPEVLKKSYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPNVSDGAKDLLRKVLVRDPKKRLTAHEVL >KN542140.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542140.1:2892:7250:1 gene:KN542140.1_FG001 transcript:KN542140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIACQVAKKLREIGQGIASETSPPPQDCPPRESSHSSVTSSTAPERVTIADSDLSSSAPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYSLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGPASILAAVLSCENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLG >KN542140.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542140.1:14337:18963:1 gene:KN542140.1_FG002 transcript:KN542140.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSKMSPADLARMQQQLLSNPNLVKLASESMKNMRADDFRRAAQQMNQTRPDEMLDMAEKLANANPEEVAAMKVQAEQQMSYVISGAKMLKQQGNELHRCEQYSEAAAKYKLAKDNLKSIPSQSAHSLQLVCTLNLMACYLKTRNFEECINEGSEVLTYDSSNVKAYYRRGQAYKELGNLEAAVGDLSKAHELSPDDETIAAVLRDAEEKLAVEGKGAKHPKGVVIEEVVEDASEPSSSQRSSSPGYTVSQPPEEGNSRPSGSSSTDANGLSKLGMQGMSPELVKTASDMIGTMKPEELQKMFEAASSLHGTSSSPPNLGPDMPEMSPEMFKMASDMIGNMSPDELQNMLNFASNMGGPSASPLRPENKFQPSSRATTSSTSQRSVDNSQPSSSQNVMENPHEILSNQRMGESSSPGAPSTADMQETMRNAMKDPAMRKMYASMMKNISPEMMSSMSEQFGMKMTKEDAAKAQEALSSLSPEALDRM >KN542140.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542140.1:9710:11932:-1 gene:KN542140.1_FG003 transcript:KN542140.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAENRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQQNFIRRVDSILQQHSGVGVERMEIKFLLRNARRDIDRWVKFAFASKTKELILDLSDLTRFFMLPVMCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVQNLLCNPNVLEFLEISFCRMLTKIHAPHFLNRLKHLQVDCCPVLEKIEMNCDLATLDFTGSSMTPLIFATTSSLTNDSSVICRLFECSNFMLLNIRKTDILDYAYLLEIAPFMEKLELHMWIDAPHKPYSEEDGDLRSLPLHHHNHLKQVQITGFFGQKDQVELALHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >KN540838.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540838.1:3156:5684:1 gene:KN540838.1_FG001 transcript:KN540838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSASALLLQLLLLFSPSTAQPGFISLDCGGDDDYTDDIGIQWTSDANFVSGGQKAKLLLQNQLLQQQYTTVRSFPPDNKPYCYTLNVTIMRRYLVRATFLYGNFDDSNFYPKFDLFLGPTLWTTVINDDATTPVVQEAIILATAPTLSVCLSDESIGQRFISTLELRQFSDSMYYNTDEKHFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVRRANYLVDVAPGTERISTTKPIFVSTNEAPPERVMQTAVVGKNGYLTYRIDLENFPGNAWGVSYFAEIADLAPNQTRKFKLVIPGKPEFSKPTVDVEENAQGKYRLYEPGYTNVSLPFVFSFGFKKTNDSSEGPILNAMEIYIMQSLCYMCSSDGMYNSTRKWRHGIWGETLLSFIHSSKSTV >KN540838.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540838.1:24114:24980:1 gene:KN540838.1_FG002 transcript:KN540838.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFAHKLGRGGFGTVYKGNLPDGREIAVKMLKDTKGDGEEFINEVAGISKTSHINVVNLLGFSLQGSKRALIYEYMPNGSLNRYSFGDSSVQGDNTLSWDRLFNIIVGIARGLEYLHCHCNIRIVHFDIKPQNILLDQDFCPKISDFGLSKLCHLKESRISINGLRGTPGYIAPEVFSRQYGSASSKSDVYSYGMVVLEMAGAKKNINVSTGSSSKYFPQWLYDNLDQFCCPTGEISSQTTDLVRKMVVVGLWCIQLVPTDRPSMREVLEMLESNGRDLPLPPKGL >KN540838.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540838.1:14061:15198:-1 gene:KN540838.1_FG003 transcript:KN540838.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFDHLFRKRSDKGPAEEEDEPEEIPRRRQALPFADYESPLQALLFSKGKKENDKLKQEFDDMVDPTDAEAMYEAGLTLLQKMQKTADWSEAKSEGLKLVFDNAAKIDFIWEAIRREAEETIGRCWVAELEEQLQMSEAAVQELLSEFEDVKSAAVSATAEKKSLDDAFEKEAKTLWEYQSEVVEWEKNNREERAHFHRELETAGPCRVISLKP >KN542668.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542668.1:70:2373:-1 gene:KN542668.1_FG001 transcript:KN542668.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVFSYSVLINSDFITTICLLMSILMHDISSPLMVEDLDFLVEHVDSTNYKRTCLYLTSSSKYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQVSSTNNMLLLVLSEERMNLKTISSVQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKSYLSTVLGDSEKSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQ >KN542668.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542668.1:7274:9203:-1 gene:KN542668.1_FG002 transcript:KN542668.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLHQGDNEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >AMDW01045024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01045024.1:324:1110:1 gene:AMDW01045024.1_FG001 transcript:AMDW01045024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DADEDDDDLDLFGDETEEDKKAADERAASKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKFQIMLTIVDDLVSVDSLIEEHLTEEPINEYVQSCDIVAFNKI >AMDW01035145.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035145.1:2:528:1 gene:AMDW01035145.1_FG001 transcript:AMDW01035145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAGRFDDEEVQRDVKYLPYKVVDKGGKPYVEVRVKAGEVKVFSPEEISAMILAKMKETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDRKGAGEMTNVLVYDLGGGTFDVSVLSLDHGVFE >AMDW01004687.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004687.1:2:118:1 gene:AMDW01004687.1_FG001 transcript:AMDW01004687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPGLLTRAVEKVFRLVRLAEFEILFVLFFLIAFVLFKDL >AMDW01051935.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051935.1:159:994:-1 gene:AMDW01051935.1_FG001 transcript:AMDW01051935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFATDRKARFTQLKEETDKVRKRDVILKLGGGATMAGASIRVVQLENRFPFGSCINKTAIRNP >AMDW01016032.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016032.1:7:135:-1 gene:AMDW01016032.1_FG001 transcript:AMDW01016032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLAILAGVLLLPLLFLLRNAASSRRRRLPPGPPAVPLFGNL >KN540717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540717.1:2:5039:1 gene:KN540717.1_FG001 transcript:KN540717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSQSAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAANPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAMLVVDAAEGVMVNTERAIRHATQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSIGFTDMLVKHIPSVKDAAPRKIEHIYTGPQDSTIVDAMKKCDPHAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYVVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >KN546914.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546914.1:714:875:-1 gene:KN546914.1_FG001 transcript:KN546914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TDVLKTFQGQANSSKDGITVFVPKDAAFASLARSATANLTSDQLKSLALYHALP >AMDW01040612.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040612.1:335:524:-1 gene:AMDW01040612.1_FG001 transcript:AMDW01040612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLVRGRAFALVVAVALVAAPRRINGGAAGPAARTNDPNWHVFSVSSLLPSSACTASE >KN540717.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540717.1:25640:34302:-1 gene:KN540717.1_FG002 transcript:KN540717.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALFYLIAARDNLKNAKKDFGKTEDDLKSLQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFSYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEHLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSTSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSTLSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGSIFNPDLELNPYYCLMF >KN540717.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540717.1:7680:14107:1 gene:KN540717.1_FG003 transcript:KN540717.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGNHDITLDSETFSMHYRNIAPPDDFSVNSVGSLRTPNSASTGPLKEQTASGYGVKSCNSHDALTDMSLLADNPERYDYAKLSPTLSNLLQQVEDVHELISPKNGTGTVTPDHSSALTACKKKKREEKSSIVNGLSSSELDTIGSREEHVPVRNPVRTSTDPIHEDNAMTVDVNEKSQVTSEAILNTPKAVVQPLQIPQGSISSLRSKRRQLFSPITLSASNVVSQDASSLGSEFVKHSKRIVALADRLKFGLYESPATKIQEMPCNALMTDDQPSHECNSIQDLDLDRGGRKRSSSENGHAAPERSQKISKPPRSPATSLKQLPCVSLSSSMMEENQSDAHGNQQSINVDWNKVASMVSNATSQVFSTSISKVKPQQLDMIEDMLGGIQRARNFKRLSTAVRIQDCGNDKQKRLAEARSLVDKLLYEKAKLQINHVKLEKLQNRAQVCKDGIQECRYLKSKISDQKGVPLDSRTLITASDRQEGLALITEKMHALEVIKKKVERSRSSLESFCNTKGDISCDDFIKAAEQQLEMRNQCRIINQQARLWKLNDLVKRENKRDIVLNYCSLLFQRIVLNISDMSGIFVSNSLNGTKIGQTFPNLNASVAFNFVFKAEGTQRVSDLRSLQKMTTETSLLLGNLIDVLKEIKMAKLELLNLTAAAFDMASQTCQLALSLCFMSFKSGKRISFTIDMTDLNRAVYPSELLINVREAQTTVAQPSLDEFMSSLRDLQPGRLMILRLCRMGSQLIHELPS >AMDW01026124.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026124.1:5:328:1 gene:AMDW01026124.1_FG001 transcript:AMDW01026124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLA >AMDW01038671.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038671.1:43:835:-1 gene:AMDW01038671.1_FG001 transcript:AMDW01038671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEATSGDASPPNVKTMSLIARRAFEIDEYVRISDILHKRFARFDRRQWREAYKALLLLEHLLTHGPRSVALEFQKDREVIEQMASFQHIDEKGFNWGMTVKSKSERVLRLLERGPFLEDERERARKIAHEIKGFGSFNLSSAHASSVSGALRAAAMEHQCYGRSNSRYEDRWRREACVDDGDKENLL >KN546041.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546041.1:477:917:-1 gene:KN546041.1_FG001 transcript:KN546041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RQESSTNATGEADKLPILEIRENACNGIHVENLTDEYVSTVEDVNFKSLHYQATL >KN539180.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539180.1:67611:68219:1 gene:KN539180.1_FG001 transcript:KN539180.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDRYISRRF >KN539180.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539180.1:50263:50616:1 gene:KN539180.1_FG002 transcript:KN539180.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSSFRLAVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGINV >KN539180.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539180.1:14388:17361:-1 gene:KN539180.1_FG003 transcript:KN539180.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTMIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFTEIVVMLENAEIEVMRNVRRARFRCCIAEPMTTD >KN539180.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539180.1:108063:111261:1 gene:KN539180.1_FG004 transcript:KN539180.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLHFLSSSSSPLNPQFLLLPRQSARLRVLLSIPVSAMSSSSSSSSRGALAAAAVPSLSADETGAAADEAFLRYTSPSMRRSGGGGVAIVWFRNDLRVLDNEAVVRAWAASEAVLPVYCVDPRIFAGSTHYFGFPKTGALRAQFLIECLEDLKRNLMKKGLDLLIRHGKPEDILPSIAKAVTAHTVYAHKETCSEELLVEHLVRKGLEQVVIPQGGASNQKKPRNPKLQLIWGATLYHVDDLPFSVNNLPDVYTQFRKSEKGMHFVGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRIANDSTYGGPRNVESKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIAKERRNFPGASYIKQVVPLKFDGGHQKRDQQFNRQRRPGHMYRRQK >KN539180.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539180.1:3668:4344:1 gene:KN539180.1_FG005 transcript:KN539180.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSVMWKILVIAVAIAALLVPSGEGKFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >KN539180.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539180.1:116643:120588:1 gene:KN539180.1_FG006 transcript:KN539180.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPECPARERFSALRGARWRADLGVLPDFASVSTEEFRRAAADSRRRYANLRRRLLIDPHLSKDEENAPDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGNFFQTTICQSMLGRILLVWSLRYPELGYKQGMHELLAPLLYVLHADVHYFKQVRELHDELFSDDFDGQTFPDRIKLNRSDRTNTIEGSAAKIRSLDDLDSDTRDLFLINDAYGAEGELGIILSEKFMEHDAYCMFESLMHGSMNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDSPLHSHLVELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHSYCADIKNQSDYQFKILCSHRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPGDTDLKSLIDKAKLLQPFALEANLPSSPLRGKSPLNPPNYWEETWKILQMSEEKRSGGSINRMKVRGLFRRSSPNTESNVSRTKDANFEDSNSTSGTQSTADEHHRSGIVPVNLVNGTLHTPIRERTSHVEQCTAENMITTSNNVLEAGQPEVHRSSSVDVRDALGVACGNLSRDSSTSLSCGTEYDHETHHADEPCASHDDKVVSEPDPLPVHNDKIDEVTIAAIQTCALVDYQQSQQNKPCSVNGKSEVKYQQNFAVHEVGRKETFELGSSSDVADKELLGTLRLLGESMVENIEVIDLLFQPNLHSTSLDKSEEIVLGSIEQAKAKAALEGLKKISELLRRI >KN539180.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539180.1:103169:106774:1 gene:KN539180.1_FG007 transcript:KN539180.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPSLRHRAVAKKPKWIIILVSLVCFVLIGAYVFPPRRYSQCYLFGSGACATFKDWLPSVTRRERTDEEIISSVVLRDILAMPMPVSKNPKIALMFLTPGTLPFEKLWEKFLQGQEGRYSIYVHASREKPVHTSSLFVGRDIHSDAVVWGKISMVDAEKRLLANALADVDNQFFVLLSDSCVPLHTFDYVYNYLMGTNISFIDCFRDPGPHGNGRYSPEMLPEIEEKDFRKGAQWFAITRRHALLILADSLYYKKFKLYCKMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYDLLKNITAVDENFHVTSDDKKLMTQKPCLWNGSKRPCYLFARKFYPETLDNLLKLFTSYTSV >KN539180.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539180.1:75741:76097:1 gene:KN539180.1_FG008 transcript:KN539180.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLMLVVAVAALLVIGSSATEVTFKIGESSTTSTLELITNVAISEVEIKEKGGSDWRGLKESSANTWKIKSDAPLKGPLSVRFLVKNGGYRVVDDVIPKTFKAGSVYKSGIQVQ >KN539180.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539180.1:44350:47620:1 gene:KN539180.1_FG009 transcript:KN539180.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAALRGLRALSIANNNLTGPFPDVSMLPALKMLYMSRNKLAGGIPPAAFAHMRGLRKLFLSDNAFTGPIPTSITSPKLLVLQLSKNRFDGALPDFNQKELRLVDVSDNNLSGPIPPGLRRFDAKSFQGNKNLCGPPVGAPCPEVPILASPSPSPPSSSWWSPRSLKILMIIALVEGRERFELEDLLRASAEVLGSGNFGASYKATLVEGQSMVVKRFKEMNGVGRQDFNEHMRRLGRLVHPNLLPVVAYLYKKDEKLFVTEYMVNGSLAHLLHGGSSIAALDWPRRLKIIKGVTRGLAHLYDELPMLTVPHGHLKSSNVLLDAAFEPILSDYALVPVMTPRHAAQVMVAYKSPECGQTGRPSKKSD >KN539180.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539180.1:24534:25006:1 gene:KN539180.1_FG010 transcript:KN539180.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLSVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDRSRYV >KN539180.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539180.1:62516:62857:1 gene:KN539180.1_FG011 transcript:KN539180.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMEAKPSLRGAKLSFISYEVTFKVGEGSSGKSLELITNVAISEVEIKEKGSKDWVTLKESSTKTWTIKSEAPLKGPFSIRFLAKNGGYRVVDDVIPKSYTAGSEYKSGINI >KN539180.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539180.1:70112:70465:1 gene:KN539180.1_FG012 transcript:KN539180.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGINV >KN539180.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539180.1:130574:131360:1 gene:KN539180.1_FG013 transcript:KN539180.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAKRKVEDTPELWLDDGGAASGFPASSRATKIHRLVRTLSLNSNLPQITLPAYPINELASWCVQDAEVPPPVVPELCAPLPPPQPVAEVQMCGEEVPVIAVPAPNKERAIVLHKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSTGLREARDLHGRDLHRALFEELAMDETSNLAMVPWVPVPSNSQEASTSGAATTTAEMMDAEDTSMKVKQGGGSG >KN539180.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539180.1:79155:83804:1 gene:KN539180.1_FG014 transcript:KN539180.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFLLATAVATLLVIGSYATELTFKVGQGSSTTSLNLVTNVAISEVEVKEKGAGDWTGLKESSDGPKPTTSANHAPESDSDSDCDSLLEAERSPRLRGTKSTETKRIRRMVSNRESARRSRRRKQAQLSELESQQSIYDQVEQLKGENSSLFKQLTESSQQFNTAVTDNRILKSDVETLRVKVKMAEDMVARAAMSCGLGQLGLAPLLSSRKMCQALDMLSLPRNDGCGFKGLNLGRQVQNSPVQSAASLESLDNRISSEVTSCSADVWP >KN539180.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539180.1:93552:99724:1 gene:KN539180.1_FG015 transcript:KN539180.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWDFEPKKLGVGDAITGGDLYATVFENTLMKHHVALPPGSMGKISYIAPAGQYSLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDQDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR >KN539180.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539180.1:58951:59304:1 gene:KN539180.1_FG016 transcript:KN539180.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDVIPESFTAGTEYKSGINI >KN539180.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539180.1:31153:35680:1 gene:KN539180.1_FG017 transcript:KN539180.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLEEHRLQGKTEAGGPDGLRKCKSDSKATASVLAPPKDVEDLQIEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVAGLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNCMTGAVTLYEVAKDPTESVEPEKIKQEQPAAKTVTVAPAPVNGKPVPQSRRTRPGNGRSKSEPSLECKLYIPSPDSDGQQPGLEALSSPSRDGSIKDPPDEDLYKI >KN539180.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539180.1:65093:65493:1 gene:KN539180.1_FG018 transcript:KN539180.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQTYRSFPLPFKKQERQHQQTIYLANGLVVLLPAHAPLSSPSRSVEVKEKGGKYWVGLKESSTNTWTLKSEALLKGTFSVRFLVKNGSYHVIDNVIPESFTAGTEYKNGINL >KN539180.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539180.1:122907:128529:-1 gene:KN539180.1_FG019 transcript:KN539180.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAEPVEETEPVPLATTGDLLNFDEEINPLIANIEESNALALAIVAPGNENKASTSQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYTGSVAGNPFDPNDPFAMSNNFAPPGYVKITHRLYPCRVRDSYYLRWRRENPKLSRARGARVCVVGFGEEMSAYDEVEIEDMEWNAELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFADAKEPPHKPAPRPVAVA >KN539180.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539180.1:37912:41724:1 gene:KN539180.1_FG020 transcript:KN539180.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHLMVGRSSLASTLFKDTWRKDVKSSDIKDAINKVAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKPISGEEQSSKIVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQSGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYQDFNRQRCFIVD >AMDW01040729.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040729.1:1413:1562:-1 gene:AMDW01040729.1_FG001 transcript:AMDW01040729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >KN542898.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542898.1:42:3627:1 gene:KN542898.1_FG001 transcript:KN542898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WIGDIINGVSPLAFISNMASLSTLILRNCKISSDLGAVDFSMFKQLKLLDLSFNNITGEVPQSILNLANLNSLFLGNNSLTGNLPDGISSSLKVIDFSYNQLTGSIPSWASQNNLQLNLVANNFLLDTTSDSTLPWGINCLQQDTPCFRGSPEYYSFAVDCGSNASIRGSDDTIYEADPTNPGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFTVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDKYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLLKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKLVINLSDLALKCCVLATFVYAQNTHVKTFAGKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIAKGLTYLHEESSVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENKTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTNISLEESKICLLEWVCWSMFFPYKKTSIHCKLPFAYSNYVYQKAWALYEKDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGNSSNTTSSYAWSSNPELSRQKEITEVCLQAR >KN548619.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548619.1:3:490:1 gene:KN548619.1_FG001 transcript:KN548619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAWVESGASLGELYYTVAKSNPGLAFPAGVCPTIGVGGHFSGGGFGMLLRKFGLASDNVLDVKVVDANGRVPTTVTVFVIGRNVDQGAADVVTRWQDVAPSLPPELTIRVIVRGQRATFQSLYLGSCADL >KN539620.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539620.1:58592:61872:1 gene:KN539620.1_FG001 transcript:KN539620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVGFLPFHTQFSIEHVQEMAGQRSDSQSDESFEYMLLERDPDLYRTVLSGPSQISPWIDPSVLTLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLAAFSVRFDEIFSKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDVLSLLEMLSGIQPWRGKSPDEVYQLAVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPQMTDILDAFESAKDVDYENTDQGSSENLRMVSPALPSRTNWSFFKDKLQVGDKVRSRKLKNTCSPTTMEVPDGTIVGMEDNGERDGYILVRIHGLHDPLKVRSSTVERVTYGFAAGDWVRLREDEKKRSQVGILHSIDRSGTVYVGLIGVDTLWKGEYSDLQMAEAYCVGQFVRLKANISSPRFEWQRKRGGRLATGRISQILPNGCLVVKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGIARPRSRKVASVSDQSDHQQLQQQEVQNNANAAWLPPTVANMLFRDGPTLSG >KN539620.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539620.1:37918:40290:-1 gene:KN539620.1_FG002 transcript:KN539620.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFYGVGSIALAMHEDDEEEGSGRVFGFAAGDLVRPAVVTQQLFPMTAAAAAAGLWEAGGFDTAQAAARAYDQAAIKFRGVEADINFTLDDYKVDIKKMNNFSKEEFVQVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAKAYDKAAIKCCGKEAVTNFDTQAYEDELNLHSWDSELDLELSLGCSGGERSAGDPAAAVEVLHSAPSNQRTSLTWWSYIDLPGITGPE >KN539620.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539620.1:63499:66358:-1 gene:KN539620.1_FG003 transcript:KN539620.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAAAAGGQAVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTSSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRALKKETLLVSSRTVVPHSCPIFREKMVEMRMLDGLLYSHYVHHNADTLRRKDWDMVSFIRSLKAMVRASNAVAVITFPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKELAKLLTGYQDMVGFLHVHKVAQTNSQVHSLISSSDAEPGLREDGKAFTPSQYHLMTSSFHVSAERMLRKSIDTEGSGVV >KN539620.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539620.1:82918:83484:1 gene:KN539620.1_FG004 transcript:KN539620.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKATAEVRQAFAAAGAVSEAALGELRYLHLVIKETLRLHPPGPLLLPRECREQCKVLGYDVPRGTQVLVNVWAIGRDPRYWPGGSPEEFRPERFGDGKPATALDFKGTDYELLPFGAGRRMCPGMAFGLANVELRLASLLFHFDWEVPGMADPTKLDMTEAFGIGVRQKADLIIRPILRVPVPGV >KN539620.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539620.1:12702:31893:-1 gene:KN539620.1_FG005 transcript:KN539620.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHRTKSNQIDRSNTALDSRNARKTRTQVRWQEEEMPFATCGGRGLAAAAVAARVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVLQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPAADGNVDELAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVAVAAAVALWVAAVANVQSTVDEATAVMPLRIGEGSTCMLLLSEELNAIGAEHSTTTVPASTSPSSTCLLGCGPTDQPSLASRAATPLPTLTVEGRGEVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLARMMPFTETAISLANDTLKSALNAVKNLSADSHNEGVIGHVIEVVTQSLKINATGLTVMQASGKSSLIKGTAIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPRHEENGGQENWNGRAGRDRVHGGREMVAPQLEQRMIQHVADNLNGRGNANDSNEVAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAIPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAIDYIRSRRLAFLVQQICKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVVEVMLDQMAPLVDESWRTKFERVREDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPHSSEPGTTTASDDDEHEQALIPRDQEGELGLRKLRDTEFFTRQDPYVCIDYATNKYRTRTCTDGGRNPTFDEKFHIPLIEGLRELTVTVWNSNTLTHDDFIGNGRVQLHKVLTRGYDDASWPLQTRHMSNGERLVNLCCLILVDIAFPHGFSYVHQKNKPGKISAASTTYSVPPVPVPAVPYAAPSPSYALPPAGYPAVPPYQAYPASHVPAPYPTSAYPHPPPPLLARDVEHAAYPPTSPALLVDVPRHSNITAQFGILLLYFFKKLKRLMWQKESDLSFVGFTEDGAQWLVGYTDIKLVGVDYLSVASYEHMIPAHVVFLKSKEIVIVEALKLDDVEPGMYMLHCLPLRLVGAEGSPVREKEPQTVQILLQNTGLKLLTGHSIITAARVLTTSQEDEDDAELHIEQADYAMVVKMPSLVPSVDELVLKMEIVKSRSCEPLLSAPADGSLLHWFTAGINPLPDETSYMHVWLGVRGRTVSDQYSVGASL >KN539620.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539620.1:72963:79629:1 gene:KN539620.1_FG006 transcript:KN539620.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLARRHGPVMMLRLGEVPTLVVSSPEAAQEGLKTHDTVFATRALSATVRAGTMGGRDIAFAPYGDYWRQLRKIAATELLSAPRVASFRAIREEEAAADGRAVELRAALCALVTDSTSRAVVGDRCKESDALIRAFDRSMELASGFNPADLWPSSRLAGLLSGGVREIEANLHTVFGILDRLIEKRQQQKKTAPSSAAGEDILDALLRLHKEGGGLQFPLDMDSIKLIIADLFSGGGETVATLLVWAMAELIRNPMAMQKATMEEFRPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGMAFALANIELTLASLLFHFDWEVPDMADPAKLDMTETLGITARRKGDLLLRPVLRMPVPGV >KN539620.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539620.1:246:673:-1 gene:KN539620.1_FG007 transcript:KN539620.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRYWCGNTNGNVDHGYGCSKKFINKSRETYIPDEVNTAIFFEVQ >KN539620.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539620.1:47282:49910:1 gene:KN539620.1_FG008 transcript:KN539620.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGRGGVEEEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGKQPGLAHSVEHLACTAFLGATRCGGWMEEPWFSSIRCLQGNLRAATAENVARTERWCLLELILGFVGKVVRLTLLPWMRTGISFSTGEEETEAVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITDRGSDILDNCLANVQLNSSMLKFDEAKACVRELDWKMSWPPPVFKCDSSDPSSKYLWSTSEIEAAEKATTLFAADVIYSDDLTDLFFSTAKKLMSRGAEKVLYLTLEKRYNFSMDELVVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >KN539620.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539620.1:50491:53165:-1 gene:KN539620.1_FG009 transcript:KN539620.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRAVSSMIRTAPPSTGKKATARQPRRQKALQRRSNNLELVVIVVRTPAASSEAEAPSSPPPPPATNGDDDAACKQRIVAKILKETKPPSSSSSSPSVAVTVDAAAAAAPLLEPKPDTGNLDDLDSAVGTCACFAVMVIIILLVFYALLK >AMDW01039044.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039044.1:104:889:1 gene:AMDW01039044.1_FG001 transcript:AMDW01039044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVCVQRHLNNNQILHNCFPLQKDFFHGLEKIVVCLLCSDPDDRFAPMPVLARMQANLIDQLLSRRTPDEVIAAKGVKPTLSPNLNTLALVE >AMDW01018893.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018893.1:50:232:1 gene:AMDW01018893.1_FG001 transcript:AMDW01018893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVGRAYGIIDELLARRKGGREAGEPRKDDMLDVALDNEDEWKNNNPVIDRNNIKGLIA >KN541170.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541170.1:202:5803:-1 gene:KN541170.1_FG001 transcript:KN541170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTSGAAGPSMEKMEKAPGEASGRRNPTGSLNQSDNYAQRPSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAVASSSRPGSSVEPMEQQYSRTSRLFSSSGSRPSSTQRVNPSVGETRATSLSRAAVARGSRDEPLHRSLELLSLGGVNQEIFLAWAPRTHLRGPIVALANLIPVDDAVDELDSYMEQGLKYNVTTGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIRRGIVAIIVKVAAMGLKPSAHLGKELAELKCHLLELNESYGINVCGEGGEYETLTLDCPLFR >KN541170.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541170.1:24802:27995:1 gene:KN541170.1_FG002 transcript:KN541170.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTEAEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGHWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMEGEEKNGRGTELDAELDEVLTAEERKQLDSALRMGNQEEEFEERCEEGDGGADHLDANGVAKDKKGWFGWGGKKGTKNDEKPSKANQGSKDESGDLGKGKEKNSSKKKKGASSGDSTKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPPGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKGKESEGSGSWYSWVRGGRGAQSSDSGDSRNWKDEVDPFQIPSDYTWVDANEKKRRMKAKKAKNRRGSTRKQSSKSTSSEGGHHPMMDGFEE >KN541170.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541170.1:14206:18272:-1 gene:KN541170.1_FG003 transcript:KN541170.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKHCRDKQMARLEVMGKKAKNATYISGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYNLQKENKLREKERNEAQSVLRKKEEELAQLRAKLKLIEGQGAAAKEEEINSKVMEKTQSLRTELMKMEEKMLRQQQELLALQQQLKEVEREKPVQQDIIGGRLLARLSEMSARADQSMSMDMSIDFDMGDQPAAQDVKVIKEDTRQQGQIWSQANTAGSCTSAAEQEDVVRLSGYPEKVVLSTVFEEGDEEEDKDSGVEEEVCKEVVEESYVMQQPLAEPEDPATRNNRIQNIFRLCGNHRELANKVQSPAKKAFGDENSEPAKQTFGDENKQQPAKRVFGDEIMQPAKQVFGDENKGPSAWGAIEPPMCDVRVTDSPVSSQLSPIVCQVVNDAKLPASEQLKSCNALGAADENKENNASGQDGLLEVYIKWESGHLIKGLKLLSNSCLSDLRKLLEAHFEDAGSKQQQFTFLLLGDPSGAPVSKEKEAGLPITKLPSCNNQPNSYLACLRAVKKQPATEQMPFSPLESKLNSALTDVHLAALSPKVNPMSPNYIRELRA >KN541170.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541170.1:10433:13240:-1 gene:KN541170.1_FG004 transcript:KN541170.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDEGWRRSGIEVSALQFGYDGQPPLFARFNLRIAPGSRCLLIGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLESWATDIAYIQEGELRKSAKYSDVEELKSAKNLLSVVESWLRSETKLPKKEHPRPETQPRRSSPFDASPFRSSRHMAYYR >KN541170.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541170.1:29468:32889:1 gene:KN541170.1_FG005 transcript:KN541170.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIKDRGKAAPAAAGSRPLTPKTFSASSSARRTPAAAAGKENSASKPSKPTAAVRWSTSSIPRASRIPSSVESSKLVSTLRASSVFPGRASVGKEMEAEAGLRRSVSGGIRAAAPERARRSVSGVGGRVPDARRASSVPRADETGRRREGFDARAKASDVISGRKMHAVDRKRESFDAKAKQINGKRESVVVNVTKQCDEIKGKREGFGTDAKKQSDGIGGENEGFDVKARLGDEINKKKDGFDTRLVKEIHPKKTLPSVTGSAEASSKAAPFPATEKDGDGGNNAVIPVFTVHVVDVDDVPCRVREEQKNTDDPKKQEEKSKLADKIRVFEKASASGGSVKSVSSLNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADNSECEISHAKKAAECAVTKPSDPKHAAKGMNTDELEARFFPHHKLLRDRKSSSATQQESSMAVKKDCNTDMELSSLEPQEDENSIAMEFLASLDGEESGFFKNRRAKNLEKTIICEAADVSSKTSGQGSSNNPVGPNHDKEIELLATEKLEEFDEQENKSSLILQEETEEFSSDQLLGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANREFKSEYKPPSAISNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALDPGFCSWDYYSREAKAFHVEEISHASSVPSSRTVLGPLPNVGSSRSSSAISTVERQQWWYRPCGPLLLSAASKQKMVTAYDIRDGDVVMKWEVSNPIQGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVASAGKKLYCLHVNNTDAELGGGVRQRVSSCEVEGNDGVFSTQESVNVFDFRVPAGIGLKMARHGGTASSIFSRGDSVFIGSTEGRLQIKGGLKSRVQQYSLRKGKLVATYELPDFNAHFHHSSITQVWGNSNLVLAACGMGLFAFDAFKEDGQQTYSFDRGATLGVREAIGSDDLYCPTFDYSSSRVLLVSRDRPAQWRYLS >AMDW01085331.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085331.1:71:1654:-1 gene:AMDW01085331.1_FG001 transcript:AMDW01085331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVERLKLQVKKLTILRFGKKSERLTVDETIQLALALDATEEQAKAKDPEVPHAPAPSESVEDAANREPPAAQPDPPEQARPKKKRPNHPGRTKLAPHIERVVMPEVKVPAEQRACCACGGEMTCVTHVVHERVEFVPAKIVVYEEPREKLACRNSACRKDIVTAPRPGGEGPRRRAGASLFAHLIESKCDDGMPIDRQRDQLHRLGFDIPINTLYTYWTHATKLLLPVAEVLRARVLADPIVRVDDTALSVLDKTHKSGIYKGHLWVFAGVGPLVAYTFTKGWSADQISPYLSMIDGFIQCDDYKGYATHITLPDGTKRMLVDPARRLGCMMHVRRRFHEALKLGDKRAARGIELIGALYEIERILKDGGANAEQRLELRTDFSLPLLDAFEAWVDQLTPRTLPRSPLGEALGYARHQRTYVRRCFTDGRFEIDNGLAERILREPCSGRKAYLFTGSEKAAHRLAGAYSLVQSCRQLGISTREYLIDVLNKLDAGWPLRRIHELVPDQWARLHGPLAQAAHAQQ >KN540955.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540955.1:5484:14826:-1 gene:KN540955.1_FG001 transcript:KN540955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNIMSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRVPLPVIRQVTSNLEKMKLPTKLSGITGESNRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDDYRKSSSPSRRSGSREIPDRDRSSRDRSSRDYDRSSHDRDRDRDRSSRDYDRSSRDRDDDRDIRDYHRRDRDSRDRDYRSRHSSERQDDRRDRDREGSRHRRSSSRHRSRSRSRSRSRSRSRSRNEERSSPFGNAGKEKTAAISSNLAKLKDLYGDVTEKKDDGEAPRRDSCAEEVISSWSLWILDLSGDLGLAAASRGWCFSGRCNQLNSYATFWLMAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILESSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCIATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVISAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHSDNRVGNDIESQL >KN540955.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540955.1:27595:28620:-1 gene:KN540955.1_FG002 transcript:KN540955.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIMKCTGRIIILNWPLPWKDIVEDDGAADTGGDVEGDPLAFEPNIPVLDLALVPRPLPVTGALDYCGTNIN >KN540955.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540955.1:22327:23328:-1 gene:KN540955.1_FG003 transcript:KN540955.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVKSIKPFEEDYEQLLKDARDAHQRTGCIVNVLAIHPITGERHKVIVDSTNDGDVSTLAEDHFTARVVRRYLRLKGRLGEVALRMLSEELGGAMRLADVKKLMFRTRAVRLAVLRRSKAARMATAAELLPELARLGSFFRAPAALATPREHGVKFASHLVALGSSIMNRREEKTATLTMFDLNLELTIFALEGLSHSPHRRHDVSDDDGAAVAGGDAGGEALVFEPYVAVPDHRGTHHWLASIANVINM >AMDW01004066.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004066.1:8:127:-1 gene:AMDW01004066.1_FG001 transcript:AMDW01004066.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQ >KN545980.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545980.1:361:740:-1 gene:KN545980.1_FG001 transcript:KN545980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNRPPLSLRRLAKFMEGKEENNIAVIVGTVTDDKRIQEIPKMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENT >AMDW01077968.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077968.1:176:764:1 gene:AMDW01077968.1_FG001 transcript:AMDW01077968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPKNAHLKQLDGASEMLSLFKADVLDSGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKK >KN540725.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540725.1:40182:40391:-1 gene:KN540725.1_FG001 transcript:KN540725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVVVGVVLFVLLSPGLLVELPGTHRHVDFGSFRTNGKAIFVHTLIFFAAFAILTLALHLHIYTG >KN540725.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540725.1:2977:5942:-1 gene:KN540725.1_FG002 transcript:KN540725.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVWSLFPFLVMCGGACARLPVAEEGGGATPTFDALPVSCVQEEKGYSKLLLQHPAAIEETYITHLKLPSSVLALSDSDHKAHTYFAEIKIVLILGVEDVVADSKAHKVIVKGKKAAADPMKVVHRVQKKTGRKVELLSPMPPPVEEKKEEEKKEEPEPPKPEEKKEPTVIAVVLKVHMHCEACAQVIRKKILKMKGVQSAEPDMKASQVTVKGVFEESKLTDYVHKRIGKNAAVVKSEPAPPPENASDANAKEDKKAAEGGEEKDESKEEKKEGDDAGGDEKEKEKEKDDSNAAEVEEKDKEKDPSALAAANLYMHYPRFSNPGGYGVPGYAYPYAPQLFSDENPNACVVM >KN540725.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540725.1:26559:28762:-1 gene:KN540725.1_FG003 transcript:KN540725.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELNSRALMDEALKARDAAERKFHARDVKGARRSAIKAQNLCPSLDGISQMVSTLEVLLASESKYEYLRIYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSGVPGTGHGVYQQENTYETYNNQSFQWNQYSKTNNSAGTNAYSSTASEKPKRKHEESYIYNYSSSGNEFGQERPTSGRGRFSKRRQNINNGYASVDCNGDNKETVAATAGTTVLADVGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRGLLIEKAKAAIREKLQDLNISATRHIAAKGKAERKNHVDHDVKGNGILPHNPSHKFKICNSKGADVENPATDENNLEQKRVPVSIDVPDPDFYDFDKDRTERTFDNDQVWATYDSEDGMPRLYAMVQKVISRKPFRIRMSFLNSKSNIELSPINWVASGFSKTCGDFRVGRYQIFETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVTVIPLLKVAGFKAVFHRRTDSDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEVATTEISE >KN540725.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540725.1:18526:20169:-1 gene:KN540725.1_FG004 transcript:KN540725.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHQALTRSPVITNHLFRHEQGEAFAASGYARASGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTSMNLPGYIARLPKPPATELLEQVLRLVGESRRPILYVGGGCSASGDELRWFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNALLQQSTTKTSSDFSAWHNELDQQKREFPLGYKTFGEEIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGASVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPECESEIYPDFVTIAKGFNIPAVRVTKKSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >KN540725.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540725.1:15973:17079:1 gene:KN540725.1_FG005 transcript:KN540725.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKNENWSVRISHLIPNFACLSGSGNYGSGYPPPHQHHMAPPPIHTPSRLSHDSPGGSPWRSPMQFQAPMSGYRGPPPGAPPPWSPHSGVPPPWNPHSAPPSQGLYPHPPSYGPRNYNPGQGGGRMNYGPRGRPDSPYGRGRGQNNYNNPGSRGRGGRDGSGTQNYSGWQDGRVRYHKSMTDDPWRDLQPIVGNIMIPRDGSKSWLPESLRAKKDTSDRGQVKPPSGLSLSEYLDLSFNEASNDT >KN540725.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540725.1:34360:35413:-1 gene:KN540725.1_FG006 transcript:KN540725.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETAAFVARDIDAATNGGEVAEERRRKVELVQEAIRELLEEKRMRGERQRRQRQGGDGGSEEARRDHEEEEDDLLSSLLSKVDALQNDAALDQVKPNCSHPNSEISKEVKLGDVAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVKRKLSNPFKSLGDLIKSSLEGRGKPMIEAPPLPPVGVPDVTRNDLPLLLISNGNGNNDD >KN541287.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541287.1:4308:10372:-1 gene:KN541287.1_FG001 transcript:KN541287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDHWCDRLGPRLARGPSPTNPASRTSSSALMSDSHAATLHHIVTRFYRKVYIMKIADKVAYQLGCYVVVPDLLFGDPYTDDPARPFEEWIKTHSPVEAAENTKPLIAALKKDGTSTVGVGGYCWGGKVAVELSKTEETKAVVISHPALVVVDDMKANTPVGGCLRSEQKGKFQVHHLVKIFPDAPHGFACRYNATDPFAVKTAEEARADMVKWFDKYLKIMKR >KN541287.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541287.1:29580:30359:1 gene:KN541287.1_FG002 transcript:KN541287.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVLQLLLLIVALTFPPSVQPQSTTTDTAREDDVRCLQGVKSSLGDPERRLASWTFSNTSAGAICSYPGVSCWNPEESRVFVLSLSGFGLTGSVPSEFRFCSAATILDLSSNMLEGQIPPQLCDWHPSLVALDLSGNRLSGRIPTELANCKFLNSLNLSSNFLSGHIPASLTLLDCLMFLNLSSNDLDGEIPPPLAATFSAYAFADNPDLVERPRSGFDLGVLFGRPEAAAAIAFVFGFVGTLFFGPSIIRRVAGRSC >AMDW01031770.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031770.1:237:425:1 gene:AMDW01031770.1_FG001 transcript:AMDW01031770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTGEIMKHLRIICNSQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAF >KN541287.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541287.1:18814:20673:1 gene:KN541287.1_FG003 transcript:KN541287.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVQLLLLTVAFTLPTSVQPQSVTPREDDVRCLHGIQSSLGDPEGRLAYWNFTDLSPGVLCLYTGVSCWNPLENRVIAISLSGFGLTGALFSELQYCSAIITMDLSGNRLRGQIPSALCDWIPYVVNLDLSGNQLSGSLPTELANCRFLNSLNLSGNQFSGEIPDSLDRLDRLKSLDLSHNNLYGEIPPRLSVFFSRDTFAGNPGLLKHPATLSLAILFGRPEAAAAFGFVFSFIVTLQLAFFYHWAGQNNKRANRRRRHEQICAVGSFVREETLDRVYSHSTSVSLFLKPIAELKLANLIAASRNFSNSHVLVAGRSSIGTVYRGELPDGSMLMVKWLQYSCSLSDNEFQEEMSRIGKLRHQNIVPLLGFCIFEKERLLVYKHMARGALSLALLGAEPALDWVARRRIAVSAARALAWLHHGLRVPQVHGSLSSSAVLVDDDYEARIMDVGLARLVAAATAESVGSDFVGFGGYVAPEQYESGDNPVATMQSDVYAFGVVLFELATGQEASGMAGTGAREGGINGKKLVDWVSQLRVSGKVSDAIDHSLRGKGHDAEIDGFLKVAFACTMACPLERLSISQVYNTLAWTRIRCSHHAGLVSGTHNRRLTRTQAYTM >AMDW01035062.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035062.1:492:557:1 gene:AMDW01035062.1_FG001 transcript:AMDW01035062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVG >AMDW01027556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027556.1:153:257:1 gene:AMDW01027556.1_FG001 transcript:AMDW01027556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITGKALMLDEIINYVQSLQRQVEVPMQAFALIVC >AMDW01067519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067519.1:113:704:-1 gene:AMDW01067519.1_FG001 transcript:AMDW01067519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RSENSHVGSSGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLNGSTNIYKLGENGSVEPNKEVREKDAPKDLENGASATKYVAKAEAGTAEYLIELEERRSIK >AMDW01033429.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033429.1:78:497:-1 gene:AMDW01033429.1_FG001 transcript:AMDW01033429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TKNGNVLPGTVVDTGVVDPAAYDFYLCSHKGEVGTSRPTHYYSLLDENGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSGAGASDFRSFPALHEDLVDNMFFI >KN542032.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542032.1:6221:6643:1 gene:KN542032.1_FG001 transcript:KN542032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRAQVQLAGFELLLLMLALSSSAAQQQAARTDPSEAAALNAIFRQWGLYDSAMAWNISGEPCSGVAIDGTDIDDSPTINPGIKCDCSFNNGTVCHITKL >KN542032.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542032.1:19363:19641:1 gene:KN542032.1_FG002 transcript:KN542032.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPDIMYEADDEDSMASDSMDEASEEELVVSDMHVVADDVVAGEEVHVVADSMDDEVGEEVLLMVADSMDDAAGEEVVVVADSMDDEAGEE >KN539605.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539605.1:93562:94435:1 gene:KN539605.1_FG001 transcript:KN539605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLIVSASLLALFFFLHSADADVGSNVFSIQSYGAHGDGRHDDTKALGDTWAAACSSAKPAVLLIPKGKKYLIKHTTLSGPCKSSISLMVKGSLVASPERSDWSKETIRHWILISGVTGLTVTGGGTIDGNGKIWWQNSCKTNSKLPCTEAPTALTFYSCKNLKVEYLKVVNSQQIQISVEDCTDVM >KN539605.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539605.1:82201:84055:-1 gene:KN539605.1_FG002 transcript:KN539605.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARVESTAAAVTEETAAAMAMRAAPSFFHGADSSLCSLLLYSPDERQLPLTGNRSSSDCLLLFLDLKKKKGRHGTNDQQRVAKNIGKHFILKVENLKVVNSQQIQISVEDCTDVKMSRLSITAPETAPNTDGIHITRSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGQGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLENVNLTVKGGSSNAKSTCQNAEWKKSGVSVHCPVVSKIDLELVGTSD >KN539605.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539605.1:49995:55122:1 gene:KN539605.1_FG003 transcript:KN539605.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADAVKSGRHGSGQACQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGEDTDADDVSDYNYPASGSADQKQKIADRMRSWRMNAGGGGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKLKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKGSFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSAVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >KN539605.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539605.1:15485:19548:1 gene:KN539605.1_FG004 transcript:KN539605.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITGKFRAALQGNDDDELQICVESGDKAVQTEQGVNLVYIHAGTNPFDTITQAIKAVEKRMQTFHHRDKKKEGAQFASRLTGIKENVKFQSKNGGAGEDTPGLRMLVEEVKGEHGVRQVYVWHAMAGYWGGVAPAPAMERYEAALAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIDLINKGWCSLKIYLLTRLVEMTIDITNFTRFDEFRLHKVGTKEPHKDGKISDSVHPGIGATEKFGRTNLDNPCVNWHSR >KN539605.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539605.1:30486:33550:-1 gene:KN539605.1_FG005 transcript:KN539605.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MREKSALASVVAFEIMEADDDINIFVKDMNANDGERHPRGEKRRRVGNDQKVKVDDREQHWSEERGEKRRRERSVERDQERSHQRDQERSVERDQERSHQRDQERSHQRDRHRSVKRDQHRSVERDQQRSRQRDQQQGQEKVVGSDHPSKEQRGREEFITISDIETSFEFDGRLVGKRLVDAKYDKRSTPKGHRRRHKGRRRSVATEIQTIVQDAKGKFEKERQNYLKVLSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHMQTLKGLSRWRPEGHCRRLGWTRADGGRGGCRRSYRPWWMGATIYSADTSDADCGGGRGGRLAYIGDATTGLGEGDDRDGRKRRSSEHWQKKRPWRKPEERGAPPSMDDGPIVSDSASSPATN >KN539605.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539605.1:86919:87574:1 gene:KN539605.1_FG006 transcript:KN539605.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEVVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KN539605.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539605.1:20162:28725:-1 gene:KN539605.1_FG007 transcript:KN539605.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGASFSQCCCQRWAPASAAGRFMSRDIRAKNIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPADLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITVSWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQELGRGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNSILEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAVNPSNSLVLKVLSASQSAYSANPKSKLASPPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRFLKSGVQKAGSKIRHEKAGNEQFVTETSKWVFHERGHLKAVNVKHNKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKTLSTDKKGVFSTSFKVPDVYGVFQFKVEYQRLGYTGLSLSKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >KN539605.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539605.1:76252:77779:-1 gene:KN539605.1_FG008 transcript:KN539605.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFSLHMFNGVIGLTVAGGGTVDGNGKIWWQNSCKTNAKLACTESPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTNVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIGTVRLYGTTNGARIKTWQGGRGYAKYIVFQNMIMENVWNPVIIDQNYCDSATPCKKQDVKLTVKGGGGDAKSTCRNAKWKKSGTVVPQPCAFSN >KN543711.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543711.1:401:3515:1 gene:KN543711.1_FG001 transcript:KN543711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LENGGLVRLGEHAAAGGIGEEPGEVFLGNLGAFPALAEVSLASNRLTGVVPEKLVSQSIAKLDLSSNGLSGSINFINNLASSITDLRLDHNHFSGPFPADLSGLYLLSVFSVAHNRLTGVVPPSLARVWRLTRVSVSDNLLQGPVPELPGSVKTDFAEAAVMRSSSRATTSPGRSRRPSCAQMPSLRVLDVSNNALEGTVQSVRDDVLILADGNR >AMDW01015490.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015490.1:48:257:-1 gene:AMDW01015490.1_FG001 transcript:AMDW01015490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FAAPNTRLQDHVLDYSVAYFTMDLLHYLAFLPGDTLFIAHHVATLFVFVTCRYLVRHGAYALLVLLVLAE >KN542130.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542130.1:5940:8444:1 gene:KN542130.1_FG001 transcript:KN542130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRLLTFKVEHLLEELRWEAHHNKALVDGHRNRMMRNMYIPLVLPRSMKRKLKMITGQLNALGAEINGFINHVPLVMQNNIVGRVHEKQEIEQKLLCLDRYKHEGLKVLCVVGIEGVGKTALVQLIFDEVNVKEYFSLCIWVNVSRQFDAMRITKRIIEVATCEPLETQMDHKEEELQSYLQNILHERRFLPVLDDVCDENTNGWEELRTSLASGASGSTVIVTTRELCVARTLEAPASGIIELGPMSDDEIWSIMRQRMLCGLDDKPELIQVGQSLVQKCHGIPLAAVTLGDLLSKKGTSNEWSSVIEAANEWLALAESDMLTTTAGVASVALQMSYEHLQPDTKRCFAFCALFPEAFEVDGDMLIQLWMANDMVWYDTEGMGAWMLDRLQSRSFLQDVSQPYNGVTIYKMHPLVHGIATSAAGKEIRILHQGHQLTEVMPELHHLSLVGSGLDVDMILPNARGIHTLLSPGEGCRISVSNPDFWKSNSLRALDLHGLLSASVPFSCQDMKHLRYLDLSRSWITSLPEDFFMIYNLQTLRLSDCFYLKQLPENMRFMENLRHIYIDGCFRLENMPSNMGQLQNLQTLTTYIVGNGDGYGIEEIKSMDLGGRLEIYNLKNVRDKSKAEAANLSLKTRMSNMLLCWGMFRDDEVNAYNAEEVMEALRTPTCVQTLKVWRYPGSILPIWWPGQTLANLVKLTIKDCARCKRLPPVQYFPSLEVLHLEGMDSLTLFCDNVSMDTIEVSYYRFFWRLKSLILCDMPSLEKWQEDEVIEVFTIPVLEEMKLINCPKLVTIPNVPMLRCFIVEGQNKQQLYSLAPSSSKSKGPSCRLD >KN542130.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542130.1:12745:15208:1 gene:KN542130.1_FG002 transcript:KN542130.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLLILKGPHIMFLPHMPTVYENFIEMVFHIPSSFNFLTATLVGFMASNAGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQNKDKFDFEVRWHPFFLNPNAPKEGIKKSDYYRMKFGPIQFEHATARMTEIFRGLGMEYDMSGLTGNTMDSHRLITLAGHQGYDKQSALVEELFQSYFCQGKFIGDRQVLLDAARKVGIEGAEELLQDSNKGVDEVKEELNKYSSGISGVPHFVINGKFQLSGGQPPNAFTRAFDVAAKDGAQ >AMDW01036210.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036210.1:56:568:1 gene:AMDW01036210.1_FG001 transcript:AMDW01036210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVALLVVAPGVTGVRLRREISGNKEAVTTMDSIPKCSKCKPLLQIMAIKILSQLAIDKSLSLSMSVASREELAKYMLCIFTDDNKDMSVRKSAAQALAMLCVESQSIAVVILQADGNVVGVLKDMLLHSKENESRISAAEILAHLYNHCTYDDEYLGELNKVIKDVMPK >KN538916.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538916.1:114785:116470:-1 gene:KN538916.1_FG001 transcript:KN538916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGGSSNAGTSYLDIDEMVKSMTYKLNYYLSIECSHVRVSKSCKISRVPEHIRKVDHGAYEPIMLSIGPYHHGLSYLLAFEKEKWNCLDVFLKLNREIKLEDYLNLVKDLEEEARACYEDIDMQQDKFLQMLLLDSCLFVHHFRGLEGILPSTSGANICSADSSDIIMERTIRESAASQGNFGEQCSRDNSICTQRELVLAGSSREVTSQGTDFDHTEELESSQCNNWQIGTWFDSCIEQDLMLLENQIPFFIVRRIYELFAGNERPISFLTDKVAQSLENFIQYHPRAIQEAHRPKDFHHMLHLFQMYLKPSKKLVEGSQYLERGRYFHSFANICYRYLKIGRKLADSNHNMSPDPLLNCFQDHHPRIRGRRAVQYHQAGVQFKKKSFDRNNPHSLLDISFDNGTLMVPYLFVDQSTVSHFRNLIAFEQTCPQFGNDVTAYSAFMSFLLCTADDIAFLGRKGIIVHHLRSDGEVSAIFAKLGKNVDFDLNGRHFLKHVCHAMEEHYQSRINRWLAWLWQHHFSNPWLSLAVVAAAIVLLCTIIQTLLALLAYLKSTNGM >KN538916.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538916.1:66258:67751:-1 gene:KN538916.1_FG002 transcript:KN538916.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLKHACLALVLLLALLGFTQRTVHLLKYILVE >KN538916.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538916.1:9929:16124:-1 gene:KN538916.1_FG003 transcript:KN538916.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGADQPDLERRRLNTSFSGGSTRLSRKQCSGGEAAEAATVLGGAAARGTSEANEQLAEFPPRGWNSYDSFSWIVDENTYMQNAKILAEKLLPHGYEYAVIDYLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDKGFSQLANKVHGMGLKFGIHLMKGISLQAVNGNTPILDIKTGKPYVEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDIGAGKAFLRSLYQQYADWGVDFVKVDCIFGTDYSPKEIITISELLAELDRPIILSISPGTEVTPVLAKNISQHVNMYRITGDDWDNWKDVSSHFDVSSSFAAANKIGAIGLRGRSWPDLDMLPFGWLTNAGVNKGPHRQCELTSDEQRTQIALWSMAKSPLMYGGDLRHLDNDTLSIITNPTLLKINHYSINNMEFHHVYSERTSKEDKHASRFISEDLVHLPKIDGVSLGLTACSDDKANGWNYGMQDDKNISFCLGKTIPLLTSDDIIVHNEEYQTKFHLANMDSDDACLDASGSQRRTSSDSKFPMFSRCRWHAMQMWELNEKGNLISSYSKLCATVESNNKGVVTTGAVARAWIATGSKGS >KN538916.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538916.1:186916:187940:1 gene:KN538916.1_FG004 transcript:KN538916.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGARTPEQQEEAAVIENGGMDQLPEDTRAFEPDPNDERLPEDKLNTLAVRMAFFYRVMNGCGALAFAWATLVLLGGYATLIKQKDFWFVTIIVFMEATCNRAIVSL >KN538916.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538916.1:6050:8104:1 gene:KN538916.1_FG005 transcript:KN538916.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMIPKPLISNDNEMMHGHGYTTMVHGNDEILNDAAKYVKLVDDAILDLYKEYVAQPELLPLSPIYVDQVPVDGLPFIETGGAPATASPSMAAAGAGLVDFDMYLSEVTTMGQPFKHELELYLEEALTQRTPDFDVLKWWQENTLKYPTLSRMARDVLAIPMSTVGVGSSVFLPENGSRSLDDYRSSLRPELVEALLCAKDWLQYSP >KN538916.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538916.1:157154:170115:1 gene:KN538916.1_FG006 transcript:KN538916.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLLQMIDNGEGIDISGISDKPLVKRLKKLFRSLKLRESSNGAYLLPPKSVPTLDVVGSALVASGELADNENKNPASPTRQEQPSSNFDVQNKNDSTPEEPAKNDADEQPPKRRDAEVEADDGFLIGPPPPAMVAEAASANEAERFEEVTRILAADENSPYDVVGINWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPEKRGVIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGVSLEGDDELLAVPKQAQAPKRDEWMTTLPPERKPGVPMHSTTSFSMNGKEGRGDTSVWTDTPLERAQKAQQNCTLFMGGEMGDRAFLSYLEAYNKAKAIADADEEKIKTSDASLVDTYNSSKRSVSLVQKHRESKKEKKKQKQRDKEEWEGNHPWKPWDREKDLTAGRQNVNLDPENMAQGLSSRFSSGAVQRNFL >KN538916.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538916.1:140938:141408:1 gene:KN538916.1_FG007 transcript:KN538916.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAADRAAAMATGGSACRRRRRRESEARRLRGASATSVERGGNHACVSFLSSTSVRLPRISPLRLPRWTARCFVFTFLARALLDLRKACDGGGAELGEGMRGVGGSAICGEGEGAPIWALWGDARRKACEFSAGEAVTPRAYAVVGCDALDDFA >KN538916.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538916.1:62750:65022:1 gene:KN538916.1_FG008 transcript:KN538916.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPLALVDAVVFAAAHCFTKHMRKLQFCPRILIDVSKIDMSAIVLGFEISMPVMIAPSAMQKMAHPDGEYATAMAASAGGTIMMQPYRYRNVVEQFVRRAERAGFKAIALTVDTPWLGRREADIKNSGRESFDWEPHSCEALSTPFSQEIFRLFISVFADLAETSSLYLPRMILILENSAALKCSVIMLAIGCQDLVLDIVRIILSAVRFIY >KN538916.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538916.1:21261:25305:-1 gene:KN538916.1_FG009 transcript:KN538916.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIITFDGVTHTADQILENYLSNSDRPYYQGGQSIYFDGWKGFGTSAILAAIAELARRKKSMDYEIVLHVDCSVWESRRTLQRRIAEELKLNSSAMALFDKQDEDDDFSGIEKSSRAEIDEVAKLIFQAVKDRSCLLIVHNGNDDEIDFLRFGVPVLEQRNTVLWTFRGRFRLEPAIKDKVKNADLFLSIDHVGWPDINELLHCEAAQVSRKISPAQIAECWLYLSLMYYDHSNFISHDIDAHACNYWVCNGIIQGDSAWEIADRLYHRMRLEYLPTRHNNDFWFQNYFFFGSQKQAKNYRWVSVMPKNSDENLKFILIDGCLNKDADLTGERYHEKNGKQWEFLQSLWVLDIRDTNWDWILSPSKVVLMVELRELYLKATGRSWHDQIFLDMSCLSKLQMLRVIDSSTYMKAGGASKLKVLILDGCVGLEVVEPNTLPRSLESFSFDGFGPASRVKWSDPSNPPLKLLCIDTRGKATRAMDGGCQRSHLCNRQEHEAHQSAHVVATDARFLRGFIAYGYPPRIAFGRNVPSQHFHLHISATVNDKPVLPRAKEKDVSSRDGLIPGFPYLDVIDKVFNNDGEDGCSVPYCKHPVPLDCHIEIAEGGSNLEIEQDLYGMCSLIYNTQSLHIHDNSSISIGNLGDKENQQFKNLRWCHVTRCLKMHTVFFCDDDWKYGIFFASLETLWVSHLVQARRCIWSRGLRFGKPIARTTPTAFSKLRCIHLHSCPRLRHVLPWPFPTMESLETIHITYCGELTQIFPKPDICWTERTEFPSLRRIHLQDLPMLQDICETAMSAPMLETIKLRGCWGIKRLPAIHAGCPRDKPPAVVDCEKDVWDKLEWNGDGMEASRSLFSPRHSRYYKKNLPRGSILRQNKDIGHK >KN538916.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538916.1:89827:90411:1 gene:KN538916.1_FG010 transcript:KN538916.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKAALAALLLVVVVAADGEVGHGGHAAVPLRRSLGLGWMTGFKGGPPTGMQPSSIRPAATGEGGRRLSSEGEEKFIHTLPAFKRPPIPPSSN >KN538916.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538916.1:118862:119198:1 gene:KN538916.1_FG011 transcript:KN538916.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKAFFIILVVFVSSLASPAVARDGPTINPTMGTAVLQSADVQASALPTPAPVGREELIYGAIRAFPRPPRLPPN >KN538916.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538916.1:144026:144613:-1 gene:KN538916.1_FG012 transcript:KN538916.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MENAKGIHNPRLDTDYKKMVEQTKHSTKGLLVVFLVLLAMGCFAIPGHEGNSIDYTENETRKGGNYEVMDNGEIACIKQIAGHYAGYFASTHDQDIMANVTLQYQTACPTVFKRD >KN538916.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538916.1:148701:156132:1 gene:KN538916.1_FG013 transcript:KN538916.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKEFNVIKMLNEEMPRGGRGGSVKTFTYESLNNIARLINGISALLLTLLPGKANMLEGISGWELRPSFRGPRLPRWMESGVSSFNEFIHELSVDSDGESVTDSIPGDDENEDFICPSSPLSQSSRLSHTSSFSRRDRRLRRPIRYAISWILWPVRFLVSLLVILFNAIKFRIMQTSNIPPESPHISRNFRSRRGLHIKDQVLQRTTDRRRGVVEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWKKLFCWIHGSSSPVVDVPTANVGSDNPAPTERKTIYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPSWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKNISSYKYWKYSVNEHGTKDMPAIVEEIHKIKTSELGKSRPHISEETEDQNDKIKNLEVQASQDDERDNQPYKFCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPFIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKRFQMYDYGSPVANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKGRIKQTSVRLRKLKKAQSEIENDSDSKEHNGIDNVVTDEQNGHVHAA >AMDW01039629.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039629.1:22:570:1 gene:AMDW01039629.1_FG001 transcript:AMDW01039629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLLFHGSLYMLGREHVLGLNLASMSLFLIKLPDGVEQLEHMGNLELLRDGDSGLYLAHLKGFQIHVWHRATDGGGDGGDWEMVDTMSLHQSFGQVARPDWESGDPSLGDALVSLRRVEDNAELFLTIDRVIFHIHIASRTANKVFEMAPKEDIGFEIFPIMMIWPPTFPALNYDDDDDQ >KN538916.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538916.1:82993:83880:1 gene:KN538916.1_FG014 transcript:KN538916.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFPGTIDDMIFFEGRFHALTTCELMTVFAISPSPESDLLDGRVEICHRSFFMPMRIETVLPLLRESADMSRYLVVSRNKLLMVVRYYVTAAAAAASVGVSHARTMLFKVFQMEKFCSHGGFWEEIEDLDGRVLFLARCCSRAFEASEIHGFEGGSIYFLDDINFHLSLVIKDKADYPCADVGMYAISPTDGVARPGMQLAAGMHPSIYSTKHHLLRIMDRKGIGRIYRYVSTALEGSGLMPPPSGNSDTASASDGASAAATTKRKNERSILGTIWGIRSAPFSRFSPPLWLEP >AMDW01029160.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029160.1:77:328:-1 gene:AMDW01029160.1_FG001 transcript:AMDW01029160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHARKVEGMLCSDDMIRKQHSELEALLAGAGMEWARLMLEENLRLRALVEQQREVVDAEGKGRKSTRASARHLETVLGRVE >KN538916.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538916.1:39327:39767:1 gene:KN538916.1_FG015 transcript:KN538916.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSHKLIEHLLRHRSHLRPLRSRLGILQPPHQHTFHHPKPLHPAYQEQPPTETEQVCRREAEWHRNGQHRPLPRREIKDENRVGAAAENEELEEAVASHRGVAEEREREREIPSTVPPRGGIGGVEGVGADTGARGDRDEGKLAA >KN538916.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538916.1:91403:96612:-1 gene:KN538916.1_FG016 transcript:KN538916.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVSSLLSSASSLLAILRSPLSDLYPRSGHPTASADLQRLKRLLSRIQATLDDAEEQGLQDNYVKLWLKELKDLARDAKDVLDDYRYELLQSQVQERQGDYPCKRKHLDKDEEDDDSINERISEITNRFEEISRDRAALQLRAEDGQKIAGRGDWLKSQPTSHLLDESLVFGRIDEKENIVESVLSQSMKAGIVVLPIVGMGGIGKTTVAQMVYNDVRVRKHFEHSGWIHVSPTFDVLRLTTAIAESLTKRNCSFKQLSLVHEVLLNKLVGKKLFFVLDDVWNECESSWHDLIHPLNYAQTVTILVTTRSKEVARLAGTVKPFYLTAIPNDDCWLLFQHYAFGERCVDKKSSLVHIGKKILHKCGGLPLAVKSVGCLLRSKTDENTWMEILESELWELGEKDDIFPALRLSYYRLPTRLKPCFLLCSLYPTYLGFTKDEMVDLWIAQGYVDSTGGKTRQDVGNEYFDELHARSLIETSSGQLLREAHYFDELIGRSVIESLYENVQRPRNIRPSYYTRSLNLFPMDSMKSFSSERIDNFQLWKYNYMKQSLIETYLERSSKSIQRFKLHDVIFDLAKSFTSRERCTAMLGTLCNLPNELHQLHASQASGMLSFHEPRSLQTLVLNCCFSASFPELSSFVYLRALVLNSNQDVTNMICSIGNLKHLHYLSLNCYLQELPESVSRLYSLETLVISNLRTLRATNFQNLVSLRSLHVYFEFLDGSLDQFCKLDMLDTLCLKRCSNLKYLPLHLGSLIYLQRLKLVGISNIRNLDHASFKYRRNNSIRKLVISNCALISLQFSVGNVPSKLQTIDIRDCLCLSTLVGLQNISDLMSLYIARCPRLLILPSESMMCKPHHVFIADCPKLKLWCEKYEFNYFQEYIYKLFIQLVKILEEFRNIIGEHHVKGMVTKKMQISDVQLITEYGVENFMTVEHLTIDKCSEIGQNLLSSTKSWLPSKLQFLQFSSGTFSGVLNFHKGLSMLLRLEIRSCTKLESLIGLHKLDGLRGLVLVDCPLLDISTETKFPYRLSSLIIRGCHQILSVNLHYPDVLRELEISDCRGFMYIGGLSGFTDLESLKLLHCPLLQLRDLMPVFPETAMICCCPRLKKWCEWHDIEYKENPEDSYGKL >KN538916.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538916.1:47678:48080:1 gene:KN538916.1_FG017 transcript:KN538916.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVFIIFLAVLGSLAILSQSESTSDMDGDKSTVDLCSESTGGQHCCFPGNGCYPDRAQCIRECCKDWKNTNCTKDIIAPPSPSLSP >KN538775.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538775.1:173990:177085:-1 gene:KN538775.1_FG001 transcript:KN538775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRWLKKLLTGRKEAHKGLKENHAVREGAEKEKSRWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQVMVVPICVKLLHSISQCSQCRMNLIVSFAVAIIVTFISYFGHERVQDSWNSHELVGLGLIGGSKPRDGDFVLSR >AMDW01038868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038868.1:147:652:1 gene:AMDW01038868.1_FG001 transcript:AMDW01038868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRILTIAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAK >KN538775.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538775.1:228550:229587:1 gene:KN538775.1_FG002 transcript:KN538775.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRGSRGERFRGERFDKPEVRQWSRQENWGRKTWKEAGESTVPKMVGQGVYGVGPVLAALMAERREFYALYIQEGMDLSVSNKKKKDKKGIEKVLQLAERIGLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDDGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVVPGEPTILVLGSEGTGLRPLVERSCTHLVRIPGNVDGSFVGADTDTDGGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGTNASPVSDKPSIPLM >KN538775.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538775.1:148366:154093:1 gene:KN538775.1_FG003 transcript:KN538775.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/Swiss-Prot;Acc:Q8RXE9] MSRALLSHILHRPPLLLASRGGGRGGALPSRLRTLRLNCSLAEATASGDEAPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRDCENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNVYQAMGFLRR >KN538775.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538775.1:195046:198532:1 gene:KN538775.1_FG004 transcript:KN538775.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVSQQYEEVAIPPHLMVGTSMELFDFIAAELESFVKTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINMEWGNFKSERLPRSDYDNALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPTKLEQRFILRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGRDKVPSDGSQKQRTVIALDGGLYEHYKKFRTCLEATLADLLGEEAASSVVVKLANDGSGIGAALLAASHSQYASVE >KN538775.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538775.1:215423:216178:1 gene:KN538775.1_FG005 transcript:KN538775.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRMLERDMAAGFYSACWNDRSTDPDSGAYFSKLKNVDGAVISLRKVCCDLLSYLTPLHK >KN538775.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538775.1:143494:147833:-1 gene:KN538775.1_FG006 transcript:KN538775.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLTEKMFELLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLDEFMAAIKQNYGQKVLVQFEDFANHNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAAQKFVSGTLADHTFLFFGAGEAGTGIAELVALEISNQSKVPVEDARKKIWLLDSKGLIVSSRKDSLQPFKKRYAHEHEPVKDLLDAVKVIKPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMILAAAEGLADQVTPEHVDKGLIYPPFSCIRKISANIAARVAAKAYDLGLASHLPRPKDLVKYAESCMYSPIYRSYR >KN538775.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538775.1:236856:238924:-1 gene:KN538775.1_FG007 transcript:KN538775.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSNKRGLTPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEEAETSRDYRLQQESPSLSLGFFPKQSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLVFVSTAKRLRVLRSSELSHTLIGATARV >KN538775.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538775.1:270483:273139:1 gene:KN538775.1_FG008 transcript:KN538775.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVRDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSTEWVEENREMLSSRAVAYLNVDVSVVGPVFHPSATPQLDELFQETMKLVQDPDNSSQTVYDSWVKSNISPL >KN538775.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538775.1:199181:202495:-1 gene:KN538775.1_FG009 transcript:KN538775.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPGPVYLLFLLLALPCYAAAQPAANEARLLLQIKRAWGDPAVLAGWNDTAAPAAHCSWPYVTCDTAGRVTNLSLANTNVSGPVSDAVGGLSSLVHLDLYNNSINGTFPTSVYRCASLQYLDLSQNYLGGELPADIGVGLGENLTTLVLSGNYFNGTIPKSLSRLRKLELLTLDNNHLTGTIPAELGDLTSLTKLTISTNKLEPGQLPASFKKLTKLTYLAVNQCQLVGDMPAYVADMPDLVTLDLAVNNLTGSIPPGIWSLKKLQYLFLFANKLTGDIVVADGAFAAVNLVFIDLSANPKLGGPIPQDFGLLQKLEVIHLYFNNFSGEIPASIGRLPSLKEIKLFNNSLTGVLPPELGQKSPDLWDLEVDFNEFTGPIPEGLCDSGKFQTFTAANNLLNGSIPERLAGCTTLEILYLHNNNLSGEVPEAVWTATKLQYVELQNNRLTGTLPSTMYSNLSSLTVENNQFRGSIPAAAATLQKFIAGNNNFSGEIPESLGNGMPVLLTLNLSGNQLSGGIPKSVSKLKTDLGFSEAAILRGLTEDNLVGRGGSGSVYRVAYTNRYTGGDGAVAVKKIRTGAAKVDEKLEREFESEARILGNVRHNNIVRLLCCVSGDEAKLLVYDYMDNGSLDGWLHGRRAINDGRPVVAAVARARSARGGAPALDWPARLRVAVGAAQGLYYMHHECTPPIVHRDVKTSNILLDSEFRAKVADFGLARMLAQAGTPDTVSAVAGSFGYMAPECGYTRKVDEKVDVYSFGVVLLELTTGKAANDGGEHGSLADWARHHYQSGESIPDATDQCIRYAGYSDEIEVVFRLGVMCTGATPASRPTMKDVLQILVKCSEQTHQKCKAESGQEEYEVAPLLLPQRGSRRKQPAKAKGADDDADERSDFDSIV >KN538775.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538775.1:154812:158986:-1 gene:KN538775.1_FG010 transcript:KN538775.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPEDDGSSSARTPLIPPTPPPPGRAVARLHPLPLLVAAAFAASYHLLVAPAPSYYRSLFLSLGSNDTAAAHLHALTLRPHLAGTEANARAAEHVVSALSSLSFPTRVVPYSVLLAYPVHRSLSLSAPGHATTPFALDFAYLAARGVDVTGKVVLARYGKVYRGDIVINARKAGAAAAVIYTDAKDYAAGEAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCERVSIPEAMATDDMPGIPALPVSGRDGEAILQLIGGDVAPKDWQGGDGSPVYRLGPGPAVLNLTYILAQRFSELQKKGWRPRRTIILCNWDAEEYGLVGSTEWVEENRAMLTSRTVAYLNVDSAVYGAGFYASATPQLDELLKEASKQVQNPDNETQSLYDLWMASDSSSMIKIGRLGGGGSDYSAFVQHIGIPSIDISMGSEYAVYHSLYDDFVWMEKFGDPLFRRHVAVASMWGLVALRLSDEEILPFNYSTYAVELEIYAPSLHDDYGAQVYPGVDDAIQMAERTNTSESWRSVQHEIYRIARVINQASLVLNGGLT >KN538775.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538775.1:138285:142393:1 gene:KN538775.1_FG011 transcript:KN538775.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDWILERERRQVEQILELDMEELQVEEVDDAGSSSSSDVDTFLRNTHGDGGSRTSEALIFNTSVVSLPTCDGGVVLFPEAILPIRVVQPRSLTAVDKAVNHVDAPCMIGVVHVYQHTNDGHHAIASVGTTAEIHHIKQLDDGSSNVVTRGLNRFRLRRRWIDADDVQWGEVQIIEEDTPQRTPRDAFGQLATNYIFNQCGTSLLSLGTSCFRQDDHVNSDQDWDSLSSTSTSSEHSLTDARTYCSSNEDEDLMLEQSWQKYDSVKRNAELENPVKHSNTRGKGESCFQSPKSLPTKNKGAEQRRRFCAAYSSKLALQAPLSFWPRWAYEMYDSYSLARRVADLWRQIVVNPSMDYYVRKPDILSYHIGSKLPMSCSVRQELLEIDGISYRLQKEIQLLKAFNIIRCRNCLALISRRSDGPVGAYVKQFSCGQEMMTVYNATGLALRGAPSKAHSLFPGYDSNIFY >KN538775.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538775.1:216556:219608:-1 gene:KN538775.1_FG012 transcript:KN538775.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTVEEMRRIMDKKHNIRNMSVVAHVDHEKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDESLKSYKGDRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPTTKKWTIKHTGSDTCKRGFVQFCYEPIRQIINTCMNDQKDKLLPMLQKLGVTMKSDEKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYASANRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVCIMGPNYAPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNIVVDMCKGVQYLNEIKDSVVAGFQWASKEGSLAEENMRGICFEVCDVILHSDAIHRGGGQIIPAARRVIYAAQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRAATSGQAFPLCVFDHWEMLSADPLEPGTQTANLVLDIRKRKGLKEQITPLSEYEDKL >KN538775.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538775.1:256417:268382:1 gene:KN538775.1_FG013 transcript:KN538775.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIEAANGMAGPDPITTGKVYYIPLNSGEQMFSDHSLRQFDQSNLPIYTRSWTTISRDVSFLSYSCASTRTKYILRYGDVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKLSQYNPQAPNNQGKSPDQSVSHKFQLLKSGSFCYGSGRYLCCQFGNVKQSRTDGGDHHMNIPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELYLIYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKTSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRTDGLNEGSNSERRSLASVMLSALKNCRNSMYMGSLKDCIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVIMLSQILLSSIEWEATLAGNSQVFSGLVAGRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAADVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQGNDTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDQLIKEASKMVQDPDDPSQTLCDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTISAAVTPLASTNIIALAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPFDYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMFKVPDTSSTRSYDDMI >KN538775.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538775.1:166425:168909:1 gene:KN538775.1_FG014 transcript:KN538775.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRYMGGLEHSTVHHALARAFPSYSLVVDKNPAFGDFTTIQAAVDSLPIINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADKTIVQWGDTADSPSGRAGRPLGTYSSASFAVNAQYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCRFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLFEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDDIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASFSGRVSWSRELTDEEAKPFISLTFIDGTEWVRL >KN538772.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538772.1:51831:52997:1 gene:KN538772.1_FG011 transcript:KN538772.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCVDAAAAGGVLPLLPSPEEEEKVDRISALPDDVLHTIISLLPTIGGAKTQLAEGLFVPDIHNGLVDDAAAIECLDLHLKEIVFY >KN538772.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538772.1:106230:118019:1 gene:KN538772.1_FG012 transcript:KN538772.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGLPAPGCLQKLGYIVDAERFVLNMSNRGIHKALSFQCFASDGRGFGAGSTNRRKIKSKKRQKDVAQEPSKVISGGSKNRDQWAPELGTRTESKSAKTVRDKQFLEKVEAVRRSALEKKKADENKNYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFAFGDFLPYGSVSPSKESTVVSKQLSEEEIQNFKTALEGFEATLRTSPNDPTALEGAAVSLVELGEYQKASEFLEKLVKVIPDKVEAYRLLGEVKFELKDYDGSSSSYRKSLSASDNIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLNEKSQTQVANLAAANDDGAPKSQDIDLIQAVTEVEEEHGNWWVDLLLGKAYSDWGHISDAVAVYENLITEHPEDFRGYLAKGIILKENGKSGEAERMFIQAKFFAPDAAKALVDRYAQR >KN538772.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538772.1:33517:34884:-1 gene:KN538772.1_FG013 transcript:KN538772.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASAVVQETVSGVFSYLSSNRTEKASKRHNMERLEMAHSELDLALERSSKLPITDASLLRQRKIYKRAYDECGDVLHRCKLLILEGEDRHMVKGGFTKRIFRAVKSSISSLIGMDKDEASYSDDAVRRFEWFADKAGKFVRDVETGCSLAHYRFFSPLIEHLLEGKRLCYELVRRSQTLRLEIDPVRSEERGVEAEIRLCNDDVTMLTRSFNLRLILRLSESTDIVGIIISCLQSFGPHFKSLVENAKNTVAELPTQDVLNSSARIFFALPSDALYEGSATTYRPDPLCCRTHGHGVGSLELSYRFPEQVSNVHFNGYVVASDCNYRSANSTSKFIDRDIMRDWPPLQLTIAFAPHQPHHEDVQGSYEIIGGNNERIDTSMHQMEEMVVSKAIGCFNSQPEVATYSIFGWSVHGCAYFAVQKSIVPVAFPLSPRPTNSTPRPEEFSTGEPY >KN538772.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538772.1:72596:73519:-1 gene:KN538772.1_FG014 transcript:KN538772.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQANYMIYLLVISAFHFSSTMEVVSFSSCCLHDDMISQPLHFPKLRKLNLHSVNSSEDALHALSARPLRASKSTTPLVASSSHQVSITEEHMHRRHTRSESRGHVLRGNAPW >KN538772.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538772.1:89114:89272:1 gene:KN538772.1_FG015 transcript:KN538772.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEITSATYDHRARWHVGQKGYRVKAMWVEMGSWYHGDGKGRESCVVRDGM >KN538772.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538772.1:25496:26801:-1 gene:KN538772.1_FG016 transcript:KN538772.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSAALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNGMAPALHFPRLARLTLYRVTISEDTLHGLLSRCSALESLLLVGNFGIRRLRINSPSLRSLGFSASSWEGYRDANFQEVVIEDAPCLERLMPLYPNHGPATIRVIAAPKLEVLGVLSDGISQLHLGTTFFQKMIAVNLTTSIRTVKVLVLDSNGPNLDVVVDFLKCFPCLEKLYVVIDYNFVKYYIAKCQPSHPHKVIKNIRSYDPLHPIECMELHLRTVVIRYYEGKRPDVDFAKFFVLNAKVLREMDFCSPSNRNLKWQDNRHRRLSLENKASQVAQFTFKTTSRTRNELTRKAYT >KN538772.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538772.1:138362:138731:1 gene:KN538772.1_FG017 transcript:KN538772.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVALAVLLVAVAAALLAVAPAPARAALQQRT >KN538772.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538772.1:79897:81802:-1 gene:KN538772.1_FG018 transcript:KN538772.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTKSPRKRRSQELKVGHGILHAPGAKKEKYSSLDLLPVGLGEPKEEEASRANNISRLPEEILGTIVSLLYTRDAARTQVISRQWLPLWGSASLNLDMNALSVHERKRINIAGSILAAHRGPVHRLVLISDRLERCNTTFEDWLKLPGMKNNLSHLDFRFATGNTTPADQANDMTYSLVISALRFSSTLEVVSFSSCCFRDDMINQPLHFPKLRKLNLHSVATSEDALHAVISACPTLESLHVNYTIGLRRLHVRSASLRSICVGTTHGLNQEVVFQEVVVEDAPLLERLMPTLLDDGPPSIWVISAPRLHILGILPSFISRLEIGTVVIQEMPPVSVAVSVPTVKILVLQSVGPNLAAVVNILKYFPCLEKLYIKITLQSTAKNELRNYLPGPVHCLEHHLKSIVLKRYQAKTPVVNFAKFFILNAKVLKVMKFGVQDITRQNEKWMTNQRRRLQLDNKASQDARFDFDSKYWSDYLESTRIDDFSVSDPFDLSLD >KN538772.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538772.1:40541:42456:-1 gene:KN538772.1_FG019 transcript:KN538772.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDALHRVLAGCAVLETLGLEASSGFGAVRINSPTLRSVGFAVSAETELVIEDAPCLERLMLLDPHSGPKNVRVVRAPQLKVLGYLSDKITKLDLGTVIIQETMVVSSTASLRTVKVLVLESAGPNLDTIVGFLKCFPCLEKLYIMSFLQKTMKNTRRYNPLEPIECLDHHLRYIILNNYLGMRPDVNFAKFFVLNARILKAMKFGVLVGCTEKWMANQHRRLQLDHKASPDAQFDFRRDYCWRNILYNKRTHDLPRDDPFDSSIC >KN538772.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538772.1:13096:19161:-1 gene:KN538772.1_FG020 transcript:KN538772.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPHFPRLKQITLSDVSISEDAIHGVLSRCPALESLLLEEKSFGVRRLRIASQTLRSLGLCYSWNARDDGKLQEVVIEDAPCLQRLLTPYLNNGPATIRVIAAPKMEALGWIYDGISELHLGTTYFPKTTAVNMPSSMPTVKVLALVSDGPNLDAVVDFLKYVPCLETLYITLVNLGVNLAEPEPVSTVVAEGTTPRSLAEPGCSKGIQLIALLRELDSPHHRGQSLGRPALSTRRHRSRGRRTTLAGRARLPQRSPILNIAMWAGLLPSARIIVAARFTPSLDDIAGVERGHAKLDGWLRSRALADLRELSFSYEVEREAQAQAYPLPPSALRFAPTLVVLYLSSCGFPDEMPPTLHFPRLKQLTLCSVAISEDAIHGVLSRCPALESLLLRGNFGVRRLRINSASLRSFGFYSKSWGFSSASWNGFAGAELQEVVIEDAPCLERLLPLCPNDGVAAIRVIAAPKLEIMGPLSDGISQLHLGTTIFQEMTAVSLTTSMRSVKVLVLDSDGPNLDAVVDFLSCFPCLERLYIASQPFKVIKNTRRYDPLNPIECIEFHLKKVVIRNYGGRRPDVDFAKFFVLNAKALREMELAGLNNCNQKWLANQHRRLQLEKKASQNAQFTFKTTPRSDFIMNKHTHDLWMSDPFDRSL >KN538772.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538772.1:101416:102832:1 gene:KN538772.1_FG021 transcript:KN538772.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPYAAAATGEDPDQIGRLPDCLLTTILSLLPLDAAARTTALSRRWRSLWPSAPLRLHDSDLPSRSQYHSAAISDILASHRGDAIGAALFHSMRAVRLAAEFRCLKTLALEMVDPQVKPVVDFLRCFPCLEALYITSHMFVPRSMQTLKCDNMDYPIECLNRHLKKVVLAGYEGRRRELQLARFLVSNARVLQVMKFLCANDCNPTWLASQKRRLCWESRLSLGPQVIFEVYRKSHTRFRKHASNITLVDPFDVKT >KN538772.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538772.1:48970:50650:1 gene:KN538772.1_FG023 transcript:KN538772.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCAAAAAPGGGGLPLLPSPEEEEKKIIADHRGPTWRLSITKLARVNEFRGDLVATLDDLLRAGTLDGLEELRFHYRPNMTAPDPLPPAATRFSRLRVASFGFCSFPGAGVLGGVAFPNLQELTLLAITNSEDTLHAMISACPALRSLLLRDNDAFRRVRISSPTLVSLGLCSRTSDMEELIIDNTPSLERLLMFRSSDKLPRVVRVFSAPKLEVLGCLSDGISDEHYGIVVWPQQLRVNSMAMLRTVKILAFRIEENSLDATVHILRCFPCLQKLHITLAEGLFVPDIQNGLVDDDAAIECLDLHLKEIVVRNYRGQKSHAAFAKFFVLNASVLKVMTFRARVGLSKKWLSNQRRLLRLREKASPNARFEFSCDGYFMDYYYNHSQRTHELSVGDPFDD >KN540501.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540501.1:10221:12422:-1 gene:KN540501.1_FG001 transcript:KN540501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRIICNMFASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSSAVAAAAPPRMQRHQQSHHRSSLLLPNSPSLNPGGGYPGFFSGAGGFHPQEPAMPLTLPTTTQEFMLGTYSGLPMVSASALLNHLHGASHHHQLLVKEEISSNMIVFGSDQQSCSSSDGLAAPHSHNHHGNREFILDGYSYGVGYGSSCIDQVDNDKLFQHHHQQDQAQEQQQQLVYNYDEIKQLLMNTSTTSNNINAGQDHGGSMEAGLIGSQGKVTMM >KN540501.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540501.1:25572:26429:-1 gene:KN540501.1_FG002 transcript:KN540501.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEQYWRSILPDSTPMPISISQLLGDGYPYSPAVGLPKRGDRVQIRYGPNIYGLAASQQFFKDPTMGLFFLETNLQSSKSIKLHFANMMAGTKFLPRGEADAVPFSSKDLQKILAPFGVRPGSVDASVVKNTLLECELPANKGEKKACATSLESMVDFVASSLGTRDIKAASTFLVGKDGDTPAQEYTVTGARRMAETGQLIACHPESYPYAVFMCHLTEATRAYKASLVRKDGAAVEAVAVCHTDTAEWNPKHAAFQVLGVKPGTVPVCHFVQPDVVVWTRRG >AMDW01083833.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083833.1:1203:1640:-1 gene:AMDW01083833.1_FG001 transcript:AMDW01083833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTDISWLTRLHKLEYIDMSFINLSTITDWPLVVNMIPSLKVLSHYNCSLSSANQTLTHINLTELEHLGLSRNYFGHPIASSWFWKVRTMKELGLSETYLHGPFPDALGGMTSLQQLGFTNNGNAATMTIDLKNLCELAALRMA >AMDW01021972.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021972.1:23:286:-1 gene:AMDW01021972.1_FG001 transcript:AMDW01021972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLAL >KN545628.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545628.1:247:1055:-1 gene:KN545628.1_FG001 transcript:KN545628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPFTPRHGDGETWVDRKRRNKKKRKRGADEEWEAAFQEFMAADDDDDGGGLVLSSKSLPLCFLLNDNGLITIGEAPTDDAASTSTSTTEASGDARIQLECCSDDVMDSLLAGYDVASGDDIWTWTSGASSTSVNQEIKTPSIHQNI >AMDW01040786.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040786.1:959:2278:-1 gene:AMDW01040786.1_FG001 transcript:AMDW01040786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRSGPALSSPVWIGILVPKLSSRTIRQAVGLVGCVITPHNVFLHSALVQSRKIDPDNEHRVREALRYYTIESTAALVVPFMINLFVTTVFAKGFYGTKEAGSIGLENAGHFLQEKFGGQFFPILYIWGVGLLAAGTSSTITGTYAGQFIMGGFLNWKLKRWIRALITRSFAIVPTIAVALWFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEEVMGVFKIGPRTQVCTPGALFALKVQDYLHSVSCH >KN546512.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546512.1:8:985:1 gene:KN546512.1_FG001 transcript:KN546512.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAEASHRKGNELIESAIRQHQQVRDAMAAQGGGGAMEEDLLDTLLRIQKEDALDMPLTMDNIKAVIQDIFGAGSDTSSNIIQWAMSELMRNPKVMQKAQVELRNTLQGKHPVKEDDLVNIKYLELIIKETLRLHPVVPLLLPRECLHACKVMGYDVPKGTTVFVNIWAINRDPKHWDEPE >KN538888.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538888.1:150629:151201:1 gene:KN538888.1_FG007 transcript:KN538888.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAITAFVHNVLGPVVNMLGNRLCMVMSVCQDSASMGHDIIMLASAIDDQLVPGACGEELRELTRDMEDCIERFLHRVACADGRSGAPRARRVARCLRTAHIRYRFATQMKALKKRLSETRERWLTHAILISQSSRSPDDDHTTPTPTCLPAQAQPEHVELNPVGIEPAQRELLTMLEGEPAELRGAAI >KN538888.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538888.1:29540:30049:1 gene:KN538888.1_FG008 transcript:KN538888.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQRWQRERDKQSMPSQSSGAQKNTSGTSSAHAMCAHRASVVRFTAPPLAVQRQQRGRGESERAAERARGDAVAAVGVAEEHLQHQQRTPDVRRTDWGGERVSQRTTDAGPLLADATASLSTAAARDDGWRRARMRRRRRAQRKKGEAAARDDGVGAQKGEAAAWLR >KN538888.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538888.1:67176:67682:1 gene:KN538888.1_FG010 transcript:KN538888.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAAYICIYEHMIDQSVDADAGELPLELAGAALVGRHRRVVPPDVEDASEVVHLGAEADAAVGMERADLVQILTNKLAEAADVCGVEELDGDAQLRLLLVLDQVEQQMAEAAGHRPHSRRPRRHRRPHLRPRRRLCLRRRQVKAAAAGGAASAAAAAAAPVKNLTHG >KN538888.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538888.1:59116:60637:1 gene:KN538888.1_FG011 transcript:KN538888.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVVFFSNPNPNNYVSCDCK >KN538792.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538792.1:99551:99895:-1 gene:KN538792.1_FG001 transcript:KN538792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQACAGDGEYYSHTNIREESGEGKVIVKESASSSLVQLQINHSPSVEPRFINIISLSSYLSSTTQQRRSVVQILCIARHYLLEGANIHRRVVQLHSLDGKTVKWSTDEYVVDAL >KN538792.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538792.1:42814:51359:-1 gene:KN538792.1_FG002 transcript:KN538792.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSCFPPYMPQEQRMEVCKNGIFYRRIVGEKTLEEFSYFSTGLSNVGGASVRNGILLPAPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKYEISPGLSFWFLVCFYYNNQIRYCHDTSVRSPLNRLCPQACRFSDGVLT >KN538792.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538792.1:104698:105341:1 gene:KN538792.1_FG003 transcript:KN538792.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNSAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >KN538792.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538792.1:144793:146149:1 gene:KN538792.1_FG004 transcript:KN538792.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSANLPDAEFRPELFLPQGTNIEVPWEARTPRADFTFQGTIHKTHENFAAVVVEPQPPAHLLGQLIQEVANIITDQHQMQVVRIQRYPLALCIVELASTLARDVLVNSEPVLLGNWFHANFVKHDQLANWRNSPFTREGWLMILGIPLHLKTRAIIEQATNLCGEFIDWHYRDRVLGRGENHMAVDIPAQQPQDSLSVHDYSAYDSETTWHLQQ >KN538792.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538792.1:12168:20145:-1 gene:KN538792.1_FG005 transcript:KN538792.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGREGTQATTADAEVASDAGGRQAHSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYNKVGVYRMSSDQIGCSLADAASSSAYAHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHGKGEPTS >KN538792.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538792.1:137041:137671:1 gene:KN538792.1_FG006 transcript:KN538792.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMASSATSVAPFQGLKFTAGLPVNRRSSSSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >KN538792.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538792.1:569:8875:1 gene:KN538792.1_FG007 transcript:KN538792.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKGATAVAASSLSSSPSLLDVSSSPPSSCPVIHLATDGHLGTQHSEDNLREEPQVELPDVPSSHSGADGLKSGRAISSTTATKVAAAKQFIENHYKDQMRSLEERKERRRMLESKLADPDVSEEEQNNILKDFENREREIMRSRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTDDESRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSSSFPNLSEFDYAVGKNINPSMDGDKLLSSSAASRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGTKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNDELDTQNFEKFEEIAPMQTSSKAGPWRKMLSSKDTNFLNFTYKNLELSDDPEHPGIAQVKKKNNKPTRRTFKSILHEFADTEEEPQSSSLNSTPSQLDQLPESLEPSPHSSISSEDSQSRHR >KN538792.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538792.1:61057:84203:1 gene:KN538792.1_FG008 transcript:KN538792.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGVIPSVMGGASNGEAGKQQKRSATQSFLAPCSNASAKKQRVAHSGDLEALKVVDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKKVGFSTAPGAGETPKSVSTNSLISPGEEFWNAAIEFADGISARAEKGPRRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHFVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYCEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEVSGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMVSVFCQRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQENWLLVTADYSQIELRLMAHFSKDPALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSELRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGITSNLKF >KN538792.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538792.1:170121:176560:1 gene:KN538792.1_FG009 transcript:KN538792.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGEAWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKVDSLSSHDSDNGDMVIPMPPLWLAPLQPQDARPCDLSVVLEDLVGAAQPLAFRQQRTLDVTGISHPLQVAVEESALRQALSNLIEGALLRTQLGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHCLAPFGSDLADGLTVAREILENYGCVLRVISPRRPDAIIGTGGSRIEIWLPTSQTELSEITEGA >KN538792.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538792.1:87108:87730:1 gene:KN538792.1_FG010 transcript:KN538792.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSVAPFQGLKSTAGLPVSRRSTNSGFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >AMDW01035642.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035642.1:31:333:-1 gene:AMDW01035642.1_FG001 transcript:AMDW01035642.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDSHLVEVHGVCALVWLIEAGDMDEGPMMNVVFDTGDGDLVVLAFNARARKCAGMQQSDPFLPIVKWDGKPVGD >AMDW01040700.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040700.1:22:1583:1 gene:AMDW01040700.1_FG001 transcript:AMDW01040700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASGDQPAGAPSDKLRHVESMSELPSGAGRISGINAVVLGESLAAEEHDLVYPSADFSADALVPSPKQYQKMYERSINDPAGFWSEIADAFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKLTYSELLDRVCQLANYLKSVGVGMGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAGLAESEKQGVAVGNSKLLSFLDLHKMTYYLLGE >KN542685.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542685.1:7881:8210:1 gene:KN542685.1_FG001 transcript:KN542685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQIAITNGKQPKPAFSSLHNGMLPNSKAALREFNNANLHVASIEHEQFAPQSGKPVEAAVRVLAPAQCESGTVAVDCDGTPAPGAGREDEDGDHGHITRPSSAHHSE >KN540718.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540718.1:2194:17743:-1 gene:KN540718.1_FG001 transcript:KN540718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQGQEYVFDSVQPQKIENIFHHLNGQEMIPQSIRPQPTNLVRPITVPEDFDRFAALTRYREKKRNIKFIKKADYSARKEVALRMKRSKGKFAPTVQSSENSLAHRKGITFCTNCGESSDATPMMRHAPNGTKSFCNACGLMWANSVLGRASSVGAFVKRGEESGHVKISLRGNTPEHIIRITRKIDTKNKSEWQLDGTTVPRKEVVDLIKKFNIQVNNLTQFLPQDRVVEFAKLTPIQLLEETEKAVGFPDLPVQHRQLIDRSKQLKNLEVMSLVLRSKAVKQKEQTLNNLKALNAELKEDVERVQQRDKLMKKVDLMRKRLQWLKYEMKKKEWIEAHEQEKTMKKKMEEAAKIWEDSKRPIEELKKEKMSHTSNTKKTSNQLAENMKKRQDVTDKELQLAWTLLYLNQVSSTISLLLELMENKNNKLLHKLKYFGAEKINEAYNWVQDNRYKFRTEVYGPVLLEVNIQDKAHASYLEGHVPNYIWKSFITQDASDRDLLVRQFKKYDIPVLNYMGDKGIRTEPFNITMEMQQVGIYSRLDQVFEAPPAVKDVLISQAKLDHSYVGTDETQNRADDVPKLGMSDFWTPNNHYRWSRSRYGGHLSALVDDVNPSRYFMGNLDVGDIERLRSQKDKHTENIEGMVEELKILLKKQSQLEDEAAKFHRKKEEMLCEKAKQDGIKRRVVSKRIMLESIYKEEDMESSKIKLVDQVAKLNDQQFQVVLQRKDLLIRAVVLKRTCTQENMASIELDTKIWEMEKNVQKFERDAVEAARGYANCKRKTQVHEQQLHIAKQHAESIAKITKELEKEFHKMPATIEELDCAIQDTESEANSMFFLNQNVLLEYQNRKHEIDSISEKLEHDKEEYQRCYSDIETTKGKWLPTLRTLVSKINNTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSDACSILNIMTGTWIEKPAKAWSTGDCWRTVMNVH >KN540718.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540718.1:41731:43828:1 gene:KN540718.1_FG002 transcript:KN540718.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPELSWILFILTLELSWISQVAGTQEAQTGTAESVLTEDGPDVPLPDNIVLRKLLRIPRYFDPGETLLETCFNCGEEGHVAVNCPMEKRKRPCFVCGLFGHNSKQCTQVPDFQFVTHIYLPLPMLLACVAWPANFRNQTFVNHFCRVKTVSSAKKEVILRKTALKSTIRILNSLLFVYDVENQVMICLDVPMIIHAMMLRKVIYVAPTSLIFVQKKLVVIIVPNLVILVWDVPSNVGKLVPRQLQLCVTNVARKATSHVGAQRTRRLLEASY >KN540718.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540718.1:20293:36604:-1 gene:KN540718.1_FG003 transcript:KN540718.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCECLSKLDGYASLAILLIPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKVTNLRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPKKEELCFVGRLFVKALGKPSEILTKLNEMAGFVPNEEIELYEARAKFMMLYLWDNLVYVTPLRRVLGEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVQGVYFLSVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >AMDW01039298.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039298.1:61:780:1 gene:AMDW01039298.1_FG001 transcript:AMDW01039298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PWKERLRIALEIARSLAYLHSAASVSIIHRDIKTTNILLDDRLIAKVSDFGASRGIPIDQNTVTTTIQGTFGYLDPEYYRKSRLTEKSDVYSFGVILAELITRRRPTSYISPEGFNLTEQFILLVSEDRLCEIVDSQITEEQGEEEAREVAEIAVMCLNLRGEDRPIMRQVEVKLEGLQGAVNTIRSDKIAQWHAVQLNCPSTEESDSNIVAVGDAGYHNSSRRLSMEEEFWSSMSFPR >AMDW01040012.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040012.1:232:1176:-1 gene:AMDW01040012.1_FG001 transcript:AMDW01040012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYNDETYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPAEWIRNVALPTGGDAMIASIAAAPEEAKVKAEQDVVATEEVKEKKKKKKHKDEEDAADEGRKRKIEDDDASASVPVKKIKVEESAEEVEGEKSEKKKKKKKDKGEQGSGDAEVKEEKADISDGEKVGSEKKKKKKKSKEGGDVVDPESAANGDGVEAEKSEKKKEKKKKKSRDTEEA >AMDW01032798.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032798.1:64:312:1 gene:AMDW01032798.1_FG001 transcript:AMDW01032798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADPGVADAVAELRELSNSWVAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPNKRRARILEEMDTAEKALLRG >KN539262.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539262.1:60922:67698:1 gene:KN539262.1_FG001 transcript:KN539262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSDGGAAHGASGRLESILSDSSMPLARRAWAATTIELRLLTRIAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNNGIQMFAYGLMLGMGSAVETLCGQAFGAHKYDMLGVYLQRSAVLLTITGVPLAVIYGFSEPILVFMGQSPEIARAAAIFVYGLIPQIFAYAINFPIQKYMQAQSIVLPSAYISAATLALHVLLSWVVVYKVGLGLLGASLVLSISWWVIVAAQFAYIVTSPTCRHTWTGFTWQAFAGLWDFLKLSAASAVMLCLESWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTISCAIISAILAVVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVFKLGVKGIWGGMLGGTCMQTAILVWVTLRTDWNNEVEEAQKRLNKWEDKKKEPLLTGIRDNN >KN539262.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539262.1:25445:25744:1 gene:KN539262.1_FG002 transcript:KN539262.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALGFKGVTTVAIKEQLRRLCRFPDFARTKARVTKREQIGQARNRRGGRGIGRGRGGEGGEAWRGSAMQEEERMRRSKHNAVRAAEHDAGQGEDAAR >KN539262.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539262.1:80717:84401:1 gene:KN539262.1_FG003 transcript:KN539262.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQEVLNYWKTLLMGMMCDIFADHVAAGSRANLSSQGFDEVISRNLPQEPGFGYRTNLIANGINLDCSSTMSWRFPLFGSQQQQPDTNFQDNPTQPWYPPSVLGSSSHPSLPSSSSVSPHQRASDNPQSLRGQPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETMQLARDNLEKEQRISEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKSMAKLDEESEELHQKFLEKDIDLPTFVQKHKKLRTAYHKQALLHLAGKTSLR >KN539262.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539262.1:117977:118510:1 gene:KN539262.1_FG004 transcript:KN539262.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLEVVVGEGGEGGGEREGKEKPAEGMGRPALACSSAAPRLLNPRPPMRSASIRAVGSSPAALGLIRARRARPLHGHRRRRCGEWGLAMGRRGAAYGCRPGKERRGVGRESGEERHRLGAPVEEGEEKRWPGKRRTPGEEKERRQREGKRGSGREVMERGGRGDFIFFSGFKGAEL >KN539262.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539262.1:20776:21249:1 gene:KN539262.1_FG005 transcript:KN539262.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKRQKSLMKKASELSTLYGVDACVVMYAEGEAQPMMVWPSVPEARRVIERFRALPQKDQYENTTDLEGFLKQRIANLQEKVDKAKHENDELETKLLLLNSLDGCLPSLVGLTVKQITSLNSMVEERLKKLRGNGLLATPVPTSNQDVASATNIQD >KN539262.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539262.1:85379:87006:1 gene:KN539262.1_FG006 transcript:KN539262.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRGMAATASASASAGGREWAAEAMSPLVAASAAEGYEREREARIQENMERMQKLGIRGLANRFDQSATGFAGGGSGSGSDRWRRKVSVTAGPASPSPARRSLRLKSLDPVNYCEIRTRKGKYVEGGSSVPIEVGSEEEVNAEDAAPVAKEDQGDSEAIQDEDADHHQVNDPADDDGDEDDRESVVTSSSQDCEVTLEDITGCATSSKPAGPKKRKLIERKP >KN539262.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539262.1:5819:9112:1 gene:KN539262.1_FG007 transcript:KN539262.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAYRCTSAYEHVVAGKNYATMVSAQAEAATAAEAADYDQFQNDIFGRETEVEQILEKVRFSDDPHYRLGIGVLPIVGVEGVGKTALAQFIFHHEVVKAEFPVRMWVHVSVEVQLKDELVVQMIHGVAGDAHEVEDIREILHEELTGKRFLLVLDDVSDVGDIQWKDLMRLLQPAARRSMIMVTTQSEIAANAIGTMPPLILNPLEYEDYQKMFRHFAFGSSDESEDYTPLGDEWDDVEDEDEEEKQSPMEKVALELAKKMGGLPLPATAIARALFFRREDKEHWKDVLEDKLWEQRDVAGISPALWLSYQHLDPRLKQCFAYSAVFPCSHAFTKDELVQMWVAQGLIYSEDAVARPEDIGSKFFDDLVERCFFQPIGSSRYMVHNSMRKLAQAVSTDQFFMVTESSGDVPLEVRHLTIMTNNLSKLINDLALKISHSSGSDQHFLQRVRTIIFFADFSNSDEFIEFLAEIFKVAKDVRVLGVTYANIAFLPAEIGFLRHLRYLNLLGNRIADLPESVCDLHLLQVLDVRCSSPYLRAPNGITNLIYLRHLHASEPFLSIIPKIQNLSHLQELEVYKVSSISRIDALQGMTQLRGTLCLKDLHQVDVSELRKGILKGMQHLNILELSWSSSDSQSREASTDEDTLECLQPHENLKDLGITGYRSTKCPSWMLKTPCSLSNATSVFLTDCVNLKNLPPFHIMPCLEILEMRKIHSVNKVNTVPQRSDQIMFPKLKRLVFEDVLNCTEWSTGSSKSRNTVFPCLCEIQIRNCPKLRNFSDFPLTLTTMIIENVGLETLPRIQDRHSLPPPSSSDAIATSKEGRWTSRLTTLQIHQCRGLKSLGSSLLQQQHLLRSLEVLSIKNCDNVTCDLSDGFQDLTALTDLSLYDCPKLLVDKFHTSLRKLEISECFITHGAWVDDYPFLFSVWTLKVTSCPHVSTDQESSCSIEPLDWLNCLFNVCSLHLENTLLLKLSMFNRLRSLEILEIDGSRSFFDDLVEEFEWLEKLQALSIRNCKELRMLPANICTLPVLEELCIENCPALEALHASGLPTSLKRLSISKCSPRLTQRCLDDEPDNLNIAKIAVVYIDGQCISIQQK >KN539262.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539262.1:47277:52969:1 gene:KN539262.1_FG008 transcript:KN539262.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEENNLRSEKIVSAREEKTMENCESSNRKEALSSHKQITVSIQMAGSTNPIANWISPVFFRCCFQVCKYRSKNEGTTPPAIPYGEQMMHDEYTLLSSQPEGEQMSYTALMQQVIQSQSPKITLQANERGIPGMNYLLQSPTGFDNSMILTTNRFHDDYEQIATTTEEEQMNCEHQWTENDIENIYNNNNDHQQVQIGGIENSMVQQVEEQLQDGIATTEDNASMVHHQEIENAVEESITDEIDSRHVPHEGMQFKTDEEAYTFFNFYAYLVGFSIVIAHSYKTSDKKRNNEIIKFTYKCNRHGKNNDKSKENDEESKGKRKTNVLIRTDCKCVMVVRENEGVWSIMRLELNHNHSLSPPEERKFLYSHKDMTQEEKSLILTLKECNIPTRSMVVILASLRGGLSSLPYTKKDMIEKYNLQNVKYLQIMFKARATCIPVYFKNDWFPFIHSTTLSEGTNARFKREVGPRHSVTSFLTEHDRINDRIFETIHNNDHNSSTKRPKNFWSDYCIEEQACQLYNSEIFKKFQAEMEKTLMLQTAVIQHGKVYLVFPAMNKMKQEWRPRKYVVVVNLPKEEYSCICGKFSKDGILCCHVLKLMLELNVKKIPDKYIIDRWRKTEKKIATNVERSANNGDNTALRYNILSKRASVISSKACKKLKAYHYLLEELEKLDKQVDSILAEDEQNETLLNYNVAVTNQNLDQALQEGSTQEEEEIHDPDKANTKGRKSIRRKRIVEKIMEKNKNKKAKKGEQNCAENQQNSNRSRKQTNRRSKELESSNKKKKIS >KN539262.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539262.1:53547:58496:-1 gene:KN539262.1_FG009 transcript:KN539262.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRKLPQQETAAVFHDSDEALDNAVYCDVSGDDRTQDETESDDDDFVDNAFLALYRKTIVASMKKKMKNSGVVFKKRKITKQKSPSKGDSSFSRFSTKYFFGVISALSGPQRTMIENHGFGSLLLFDGISVPKKLALWVANRVNLSSSEIILRDKIIPVTEQTVNIVLGLPVGGRDFGKNFDDGRDFILSKFGKTSLPSVKFFGDLLKQNKDLPEEKVVMCFLIVALACFLCPNSSLIPSVKYLTIFEDIKALKSYNWSKFIYQWLLIHIKKFQKSKNLGGCLQFWALLYLDFVDFGQKIVPKGIPRISAWKNNLIASFAELDKIDDNTYGLRPLRDISCTCYFEDQMNSFRRKLDSVVGGFLSESVKDSICDQLMSHCSSNHVTDCSSYQDVIINILVLLHANSARDSNEESIPFEIEKLPVACTSSCLHSNEKGTPYSRAYVTRSIAKEPSLNEDIGPSTFHCGEAYDRSSSQGHLFHTPEIGSIKNKKVCLDEMFHASAAAAAIDAVKNVTKKIKSRMADLNIDDKKDRTFLELLDSEDDSSDDSFTNIVNTGPVMNENESPMDIAPNSYSADPNGRKSLYMKTQTNQYDNLRNKMPFQDLTNSPDVVCLGSKKFSDTSKNLCIKTEHIYNKTNHYDNNFRSSSSGGKLPPYGPRRPIMPSKHASDPFVPVRRRFPVSVQENKYFNAFCCLADSRWQSFEAVNIDNVRISFNIFGNSLRIGGEIEIFVMSAFCRLMFHNNHPSKSKKNFFFPSIGDHLLTGLTSLKMEKVKKCFDGASSARKLHLCDMLFFPINHIDHWFLMVVDLKDRMLVFIDSLHHRRDPYFENIIPVLTSNFQTVWDKFECSPIDFKSFRVHFPPVPRQVPSCDSGIFVMKCIELWSPRIVLPNEFSKDDINNIRAQYANKIFFHPKNIMLRTESEEMVVNWFDNDEFPYDGEPVMD >KN544149.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544149.1:2156:4050:1 gene:KN544149.1_FG001 transcript:KN544149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIFARACGVFSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGLKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAQRSAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIVQLARLLWEAEKSGKYQYFLCVTGDHSTPVEYGDHSFEPVPFALCRLRDFVGAMGEDNVINFPLDDFPLPS >KN539773.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539773.1:66:8515:1 gene:KN539773.1_FG001 transcript:KN539773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLTLKNLASVFLQQGSAFYDNALRCYLQAVELDANDSVVWNHLGTLSCSMGNCMEKLLEVLIAICDEVACLSVAKLILRSWPSHHRALHVKKTIEDAEPVPFAPRGIDVLEPKHAKLKFCNKRKSGDDETHHETVTKKSRQNAKLQLTEAKWMALLDGILSFLSSNNTKTNEDHGANTESQCDTKRSINGFAYNMMDVSLSTETLKTMESAGGNEHDLYHDGESVLSHDCRTAVKEKDTNSDREHPHERRSTRLERLRSRKSGKDEHESNGKDISHAITQFLDSFILKHTSIPEKIDCSGNGDASTPEVLTYTPDREASDVKQFLSKISKNCGPLHIGYMLLEEIAQTNIPFQDYFVKFIELDKITRGWAQDRSAQCSLFLAELYYDQALCSGSPLASSELSNSSYHLCKVIQSVALELPFRTSDGAAKSTNLDLNMESHMEEVCSSDKTEKNASNMSRNSVNSVDSVSSNILCDETSECDSSSNTNCVFWIRFFWLSGCLSLSSDCKEKAYKEFNIALSLMRSSNEAKINREFVLLPHNKLVKLLTADRILREINLIKLESLLWHNDENINKITHTEFMELLPPLLLSTKDVYVGSAYGPPRESEKVISLELGALDVLISACENAKPMNIQVYLDSHRRKMQVLTVAAGMVGSVTTNQGKKSSDIEFMETMNRNRLESVVEAVKDVSRNASKAKAFVDQCDNPDGQDGFSSLVSIVGDFQSLLLTIMCAAVKMILSRKHSCTGTSYQADQLESSCLVDAAIAFCKLQHLDPMISIKIQARSAHHITKKLSVHDLLAEYGLCCAGHDGEGEEGTFLKFAIKHLMALDVKLKSQLNPNGMEEDAAENDRAEDVTTDEASVCDNKHNSEDEEESELDEIQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSFGKKHQLQRIVPWSFFFHFLQKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPLDNFLDGPDSCEKILSEIYETNGSKEAVLNVLFPGENGYEAFKKLSNASSEPYSEVYGNLYHYIAQVEDISASDKYTGFVLKKEGGEFVQQSANLFKYDLLYNPLRFESWQKLANLYDEEVDLLLNDGSKHISILDWRTNTTLIQRVEMGRRHSRRCLLMSLALAKTASDKAQMHEMLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCRNSMKHFQKAFELKAEWLYAFYLGKLCEKLGHSPAEAFSYYNKAVVLNPTAVDPVYRMHASRMKLLYTQGKQNLDAIQVVADYTYKQSTKEDVLSMLQSINNVKNSPSDHNDKCVLDSTAENKFVDPDLLDKVWHILYDDCLCALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGSVRKGRRKNPNIGGSKKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTSAIRNPEIRRVSGDASVENLLEKMFGVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSSFAKICKHASLAWCRCILIKLASITPLPESMETTDQPAPLSSGLVLYIDLQPDELLISSPDGPAQFKGLDMNWFETFNRIKNIPIRQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQPPVEGLQQAPDAVENLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKSRSKRGAPTSTATASQQTIVSPQVGSKEKSTHIDPSEAQEAAAPTPAPAAAIAPSQQEAGVAVASTPQAASEAQKTAAAAAASQLTRSSSSRAMESTGQDGGRGNDGTA >KN539773.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539773.1:45345:46757:-1 gene:KN539773.1_FG002 transcript:KN539773.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMLLACVLFFMGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRPGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGKSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHVALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >KN539773.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539773.1:35116:44350:1 gene:KN539773.1_FG003 transcript:KN539773.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESANPVVEQAVQFSVAAAEVTVADKEGKDALDKLCFCKVATIFYSYREQPLTFIRPTAGNHLDMMARDDVLAEDDVNIFNGSEWSTQSLCNWWQVHSKAAEIHSTNSRKSFRHDGLDILAQDDVHGPSNGVAVHDYDINDVDSERDDIHARLQNLELDLTAALHTLRSRFDKVISDMSEGDGAKAPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGTFSLNLRLYPGRYEIKFIVDGVWRNDPLRPLVINNGHENNLLTVT >KN539773.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539773.1:15935:28577:-1 gene:KN539773.1_FG004 transcript:KN539773.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKFREVQALEAKSADETPCVAVGKLHDKMGTPVNIIVGSHVWVEDPNLAWIDGEVVSIKDNEVHVQTSNGKKVKTNKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQMYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCDNLEKALITRVIVTPEEIITRTLDPASALVSRDALSKTIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDKVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >KN539773.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539773.1:49618:50480:-1 gene:KN539773.1_FG005 transcript:KN539773.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGGEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIKSSILNYLGGKLKRH >KN539317.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539317.1:23374:24861:1 gene:KN539317.1_FG001 transcript:KN539317.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVMTVVVVFEFTVGGCVYKGFNRATATVSAGAVALGVHWIASKSGDKLEPVVRSGSVFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVAMAQQRVSTIAIGIFICLAVCVLICPVWAGQELHRLTARNMDKLAGAVEACVEGYFVRGEEEAAGPEYKRRPAAAAAAEGYKCVLNSKASEDAQANLARCVRAEVQAPEHVKRLLGDVCTRLASQCARVLREASASVAAMTSPKTLDFAVADMNTAVQELQSEVRELPSKLAAAAGEPAAAQQLMDAVQLLTVTSLLIEVSARIEGVVDAVDTLATLAAFKSADDDDDDDEKPATEADAKLQTVSDHVTVEPEAAAAAARTTKNIEQL >KN539317.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539317.1:87958:98420:1 gene:KN539317.1_FG002 transcript:KN539317.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAFAFVKAGAILVGGGKARGSPATDLALRCAKKGPHTHTPASWAPRAAGLGPRAQQSDSWLRSRNSVYSITLTIGSCSTKTILMELIAFSIYIETLPNFVCAGVNFCVPFFLVPIMVKKKRTGSGSTGESSGEAPGAPGHGSSQRAERGPQQHGGGRGWVPQQGGRGGGQYQGRGGHYQGRGGQGSHHPGGGPPEYQGRGGPGSHHPGGGSPDYQGRGGSGSHHPGGGPPEYQPRDYQGRGGPRPRGGMPQPYYGGPRGSGGRSVPSGSSRTVPELHQAPHVQYQAPMVSPTPSGAGSSSQPAAEVSSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDHGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVSAITMKIGQKFYQVTMWLLLKTGLKSLSMLDWELLISFKRATGQKPQRIIFYRFLSLYRNLRGQHFAGFYPCMDIFLTIIVCDFNTCHFRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENVKRVMFYC >KN539317.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539317.1:47528:50663:-1 gene:KN539317.1_FG003 transcript:KN539317.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGGGGCGRNGAVRQYIRSKVPRLRWTGELHCSFVQAIEFLGGQDKATPKLILQLMGVKGLTISHVKSHLQMYRCSRLGSHGTGRRSEMQPQLQRKHSCGADEQVPREFLCPPLKSKENDFQQGQVLIIKPEVHHLGPVLKLQCSKVESSGFISSSTGTAARDQPDPPPPEKCSLSLSLGPDPKCMPAIASSPSESSCILSSSSRSFSDCSGNSGCLVAPGVNLELSMSICGS >KN539317.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539317.1:28298:38111:1 gene:KN539317.1_FG004 transcript:KN539317.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVDGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFATAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMIPDGASYNSNSEVTLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPRSTDLLSYLYVHLRDADSVHYGLLKYLFVRSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHDTNALPACNMVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASLINENKKKDDLSYGHVPMHSQSIEHDVVCDALELDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPILKNAVSCHMEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQGLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVQVRLAVFSLTEVWRFLKELTQLISRSSHNRPDVLKELSSVMKLRHQVYHFLSTLQQYLHCHLSDISWRRFQHSLQHQVRDMLDLEYVHLCYVTDALHICFLSAETKPIAAIINSILQQALELRSCFKSLNDISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHSKSSKYGEIGLYRFWGYLNYNEYHSLMINKDMGCFYF >KN539317.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539317.1:107506:112294:-1 gene:KN539317.1_FG005 transcript:KN539317.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPYISQDKTKSVHFSRFHASDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRLSHGLSDRFIRSPACWMCSAKPFHGLMQLPDLQGNGAGGPGAPGPNLFNLGFFANNGNSSGSSHEHASQGLMSNDQFSGGAGGGGGSDASAAGIFGGNFVGGDHVSPAGLYNDQAAMLPQMSATALLQKAAQMGATSSANGPGSMFRGFVGSSPHMRPAAQHMDQSDAHLNDLMNSLAGGGVNAAAMRHRPRHVDAERRPPPEQQRHELLGGRDEVRIVLQREKDAMNPP >KN538709.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538709.1:225270:226568:1 gene:KN538709.1_FG037 transcript:KN538709.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNLPSGSLMRSNSGQMHAPNPGKQGFDHTQMPGNLSMHVNQSTDSDHLSEFQFGDFGKVDHHHHHHHRQHAKNGMSDDEDHGVNEDATDSQSGKGKKGAAWQRMKWTDSMVKLLITAVSYTWEDPGADSGAGKRNSAIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMSNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKDEHDLRRGTSGDADEDDQSVDSDSEEENDEEHYTLQGDKSALPMHKRLRHTTDQEDVGFGNSSSSHGCSRRSDSHGISLDINKAFPDGTNLALVQKDLATQSADLEEQRLQIEVQAVYLAKQRLKWERFSKNKDRELEQMRLENEKMRLENKRLELEVRHKELELELKQKGSGNHA >KN538709.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538709.1:194253:195920:1 gene:KN538709.1_FG039 transcript:KN538709.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSPLIPFSTQFEEISSSSFLLWSPQAEDNPHENAIMYGFDGDHSHDQIRQDHEFLDMMVIQESANEFDGDHSHDQIRQDHEFLDMMVIQESANGFDGDHSHDQIHQDHEFLEMMAIQESANDLLQLQDDFSVPNADPLAASFEFDERLAMAGRENGNFEESAGDLLLAGAMAVDAGDAVHASAIMSRLDDLLADIAGRRSCEATSPVVDHLAYYFARGLKLRISGAATPASSLPPSPAYRMLQELTPFVKFAHFTANQAILEATADDLDVHVVDFNVGEGVQWSSLMSDLAAAGRHRSSSSKPPLFHLMDVVITSGAGTPRTADARRWLSEFAESLHLPFRYTSLHVHDGDELHHELAMICNGSSSSSPVILTCDDTTTTTTNTPLRSRLKLLLLGTITILQPKLVILIEDELSRISKSPPPPSLAPPFPEFFSDAVAHFTAVMESTASCFTSYDEEAWLRRVEEEVVGPRVEDAVRRYGSLAGGAQKMMEGLRAREVSGFSVAQGKMLAGLFGGGFGVVHQEKGRLALCWKSRPLISVSLWCPKNKV >KN538709.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538709.1:151233:155146:-1 gene:KN538709.1_FG041 transcript:KN538709.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MALCPDCGAITVCEVCGVLGYKNLLLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHGKGTNGRSLEVTLDDKTVVGKQPENHSAESSLDTNHDKPGTNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHENSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENQRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFSKDNPMKRRKLMLLDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEYDEHGLLDSLDRRSLENTCPTKKRRRYICPSDDEEEEVIKGSTTTGCAPNDVANTTSQHVDAKEHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVSEFARSLQPVVKVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTAVLVEEQCGLVSASEEGSSDKESYVMKHVKDRLPADCNPEAREGDIKTALGEGCFSSDSCLSSNKASPVKGGSPCFMQPGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINRSTISKSNGVGAAQAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTSQVDVKEVELDLSLGAFRRAPSELP >KN538709.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538709.1:276357:277775:1 gene:KN538709.1_FG042 transcript:KN538709.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHHHVDSKGKAGAEEDCLTSMPPYKHQQRTIYASEQEEGEKRVVRSAPLKNHTAQWIRAEKNARHRPPTASATK >KN538709.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538709.1:148935:149592:-1 gene:KN538709.1_FG044 transcript:KN538709.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPARVDSLPVAALEETARLVRRAAPKRRVAELNIEAQQASGGRRGGCRRHGSRGGRVLGADGVQVLTEERHGGGVLGADGVQLLTEDQHACGYLSDAEWHPGGCFVPESEDQACGGIDDSELPPDSGFVHVSQDEASNDVPDSDLPPDGGFVPDSEDEASGGVDDSELPPDGCVVPDSEDEASSGGDDSELPPNGCVVPDSEDEASGCVHDFELAPD >KN538709.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538709.1:279909:281131:1 gene:KN538709.1_FG045 transcript:KN538709.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEFRVFVAQARRRGALPAAGEKLVVEFHERAVKALDVCNAARDGVDQAHHLPAAPARAAFPWAPPLLTLQERLAEEGKRKDRRNSCGLLKEIHVLEKSTQKLTDAIDAAPIPLFGDREADVREAAAELAAVCAAMRDGLEPLERQVREVFHRIVRSRVEGLDSSMHNAD >KN538709.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538709.1:236181:237938:1 gene:KN538709.1_FG046 transcript:KN538709.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLCDLLLDTTQPIAERFRALFSLRNLQGDGPRDSDPLMKTLLDWSLRKANIFDCLMQCSAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEDSLAADPAVEVQETCELALRRIEQQKNAGGSESTTISPFLSVDPALPAKQGLSVHELREILLNEQESMYERYAALFALRNDSGDAAVSAIVAALGAKSALLKHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPGVQQES >KN538709.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538709.1:275061:275721:1 gene:KN538709.1_FG047 transcript:KN538709.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPLYKHQQRTIYIMPMSKTKERKEGGTECTVETPHCTMDSCNAKCKAEATSRKCNRLTVSCHKYATLEKCCCTFHAN >KN538709.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538709.1:185630:188745:1 gene:KN538709.1_FG051 transcript:KN538709.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLDTAFFEGFSLQGGGGGGGGGGSGGSGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQNCYATIRVGIEAPNGLRVSNLIFCNSLETQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQMPYLGGHHAQQRHPSHQQSSSNGGQSLSGQSLNDSMDFM >KN538709.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538709.1:158596:159078:1 gene:KN538709.1_FG053 transcript:KN538709.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCWRAALLRCGLRPSGFSAALTLPPPQDRDACMRLRSPTAARPPGLRGSGSTCLLCAACRLNPLGSEEGCSSRGVAAMPQLYAWEPGLRGSACLAASRVLPTTSAHQPPRDRRPLQPPSRRLSAPMVLGNGWRIGGGGWRLWNFRLGFSLAKRAQWA >KN538709.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538709.1:215679:218310:1 gene:KN538709.1_FG054 transcript:KN538709.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPALLTEAPLNPKANREKMTQIMFESFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYDQELDAAAAKNSSSSAVEKNYELPDGQVITIGAERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKVEYDESGPAIVHRKCF >KN538709.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538709.1:230308:233810:1 gene:KN538709.1_FG055 transcript:KN538709.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVREVRDGFGSLSRRGFEVRLVGHRRGRSHSAVHELRDGHAAAADDADDVVQSSCWANLPPELLRDVIERLEASEAAWPSRKNVVACAAVCRTWRDMCREIVKNPEFCGKITFPVSLKQPGPRDGAIQCFIKRDKSTQTYNLYLCLSSAVLVESGKFLLSAKRYSRATCTEYTIFMSADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNTANVSQSGKTSRRFYSRKVSPKNPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSLDESFGSISFSKSSIMDRSIRFSSSRYSDISVGGPMVGGQALGDSDESKERPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAGAPTPSQPAPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KN538709.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538709.1:248125:257912:1 gene:KN538709.1_FG056 transcript:KN538709.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQIGVGVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQVIIWFVIPAVNNSSANHTNNTLSMIVLIQYIPRVFLIVSLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWMDVCTRENGTNPAIPKCYMSYLDCKTLEDPIRMDWHSRSEIDHQCLLPEATYVYGLFADALNLDVAKVHFWDKYLYCLWWGFRNLSSYGQNLENSTYRGETIFCILICIMGLVFFSHLIGNMQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRKNRNFVTAKRVVNLSHFYHEDMKKKKSLKDMTFLVLTRCNHELGNGGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLASPGTATTSVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLAGSWYGHYKGALDDPFVDTEPEELVVVPSDLKHGSIPKYVVNGIQQVLKSYPNGVNLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPNFVEFISPPVGANQPCVVAANRKLIDPDKQSFESSTESNVGDNNLNRAIHNDKPPPLPVSTSFSEKNAKTENSSQSIAKKLQTASENPPTFAVSSSHSDVLPEDQKEHPAANLTAQIESPEDHKEVDPTTASGIPSSSGVEDNVNKEGLFKRIWLLWKGPESAKSEVSHLESTSTVVVGDAQSPQQEHNANEHRRLLKRVYKKSCRNGSTDGSDSVTTGSASTSSHDDRSKKLKHVDDMRSLKRDTSNAEPCDRSVSVSVDKAEKGDGISKMDKGLFSWVTGWLKFGKSDADNVTANRNVIDEASTDSLKGPESLKVSACGSGEEEVHEIFTKSFFWDVLQQQLSKPLGSELVSKAKTREELVHQLHKLDCWPLKGLAEKDLHQLVNILVSEKKWVEETPSRYFPFRLTLPHKRTCIPSNSRKFDGLSSLFSNGKPLPGKYAGDQSTNSSLPREETLSDCHKLLKDLLLEYEYGFNMSIFKLRFAQKHGYELDPQKLGYPDLKSLLQIMPGVRVKFPRVLPAENGNGQAGSKGNGNQSNGDDFVWEELGPVSATTETVETVDKEMCYRPPTLSDDDFSEDENHADQQPRKEAEASSLLQIIDSWHNSKDVSSKKTQDIDGLVDCSRSDHSYLDNLTGGNAPKPTRPQHKQYSFVSDSEEDTEKDKLVESVLGSLQKARTSKLHN >KN538709.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538709.1:239614:245223:-1 gene:KN538709.1_FG057 transcript:KN538709.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFARKHLQSAAKRNRKQRSQFARRPRRGGSGRGNERDGDGDDEMPQRAIDNVMNNGDAAALVNGLEFPEDECELNSDLSDSDGYLSEDSECLYYSDSEDGNAVNDCFVQDGLDGENDKMNMEIKKQKKKLNKLMDKDPEFANYLEKWQSELKSDGSKEDSDEDEMDSVDNDADSSDENPSNDKILTRKTISEWCQLVAKEPKAPSLRSLLNAYRDACRFGVSKSPSVQRIQSTEVFYQIITFVLSEADNIFRALLEISDDVNKGRIMNLRSAKKWKTIEPLVKSYLQNSLDLLSQLTDNQILAFVLTRLRASAVLFSAYPSTSRRLLKHLFDCYAVEMRTNDGSIRWCLVIGTLCLYDSCMLLLNSFKPSMSVNAFASFKTILIRLWASGDHNLSLSSFLMIREVASLLPECLDLCLNKSYNTYLASSKLVNEGNIKHIDFLMDCLVELYSLDVQKSCERATTSIGQLNAILRQACKTKEKEDLRKVDSWQYINCVNLWVRFLCCNYKDYNMHPLLSSVVQIIRGVAHLFPGTRYLPLRLRLVQMLNELSSCSQMFFPIPSLLFDCLEFRDTSQKEQGQKAKVNFSSLLKVPKNLLKSRDFHEECILSAIDVLSAHFAQWCYHVSFPEVATIPLILLKRLHEQTTIESLRRPIKRLIDQVDENKNIVERKRDGVSFSPNDTTSVESFVQDLQAEKSSGKISQFYKNVPKKLR >KN538709.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538709.1:292498:302682:-1 gene:KN538709.1_FG058 transcript:KN538709.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVASFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKSNIVASGGLGGEVFIWDLDSSLAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPITAKGHKDSVYALAMSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVNGWKAEAHEPLKLFQKGSSFLAGNLSFSRARASLEGSAPVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAPEDLKINLAQETLRGLLVHWSKKKQRSGSHGLSNGDTSTGKDVSLKNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVEILCNSQASSYTSVLNITNMKQVLAPEMSLATVRAYVWKKPEDLILNYKVVQSR >KN538709.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538709.1:205631:213314:1 gene:KN538709.1_FG059 transcript:KN538709.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAITKPAGNMRRVLLAAPRARKTLSTSLQTLPTRTASTGPPSPTRGPHTDHTTWTRRTRPSRQVYERLVAEGNEEAAAPDFRAQLEAHFMRLPHSYPEYVLWCVITEKGSCYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRLVVFGEKCRSTGGMMLTPTILSIEEVDPTYDSDASEDGADDGDDLSVRQDTSYAHIHEVVFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTFDNYSLDVFVVDGWPIEDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKILRSEHLNKNVWNEFTQEVYILRKVQHTHVVRFIGACTKPPQFCIITESGFLLNLYLGDWKIPTNAHHLLLYLSEYMSGGSLYDFVHKQHNVLDLPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMRRCWEGIPSNRPAFSDILAELEGLLARVEGTSGEASQRQDDSGAKD >KN538709.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538709.1:162097:164747:-1 gene:KN538709.1_FG060 transcript:KN538709.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAGEEPPDEDGDEEGYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLIEDTSLSVAVEMVEQFGLTQDSRLIITQLIDAFLVILIPGWTPCVAIRQVVSEGANGLTIEMR >KN538709.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538709.1:221575:223137:1 gene:KN538709.1_FG062 transcript:KN538709.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MSGYASAGRPRAAVALLRAMARSGVPPNAFTFSTAASSCARLADAGLGRQVHARAEVAGYACDSVVATALVDMYGKAGRVGDARAVFDAMPVTARNAVSWASMLSVYAQNALGHDAIQLFAELRTNGEDMAPNHYMLSSVVNACAGVGRLGIGKCIHGMVLRHGHEDNDVVAVALVDMYSKCGIYEYSKKVFDRINQPSVIPYTSIIVATAKYGLGRCALALFSDMVGRGVQPNSVTLLGVLHACSHSGLVDTGLQLLRSMRSEYGIDPCASHYTCAVDMLGRAGQLEAAFKLADEAQINGNDALMLWSSLLSACRNHKRLDLATMAGQKVSEFTQDVAGALVVMSNAYASAGQADDAAAVWSNMRRRGIRKDPGCSWIEIKDIPYVFYAGVVSPAGSRAGEVMLLLNELEGKMREKGYMGRIGSARVLDADEEEDGDHHHQEGRGVMVGVHSELLALGLGLLVIPKGMAIRVMKNLRMCRDCHEAFKVISAIVEREFVVRDLNRFHHFRMGSCSCNDYW >KN538709.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538709.1:281787:288832:-1 gene:KN538709.1_FG063 transcript:KN538709.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding METVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGSKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGSDIQASSATSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKALLTSREMLLEELKKISDAIGKTVEDLDVADLSLGKYEAVQPAKSGLPNSNKVFPATTKGVGHLAGILHDFLEKPNSAVDGANDAMLYTLPKEELLELFLTVSSQLSLLWNAFLKFHRINKSKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTDDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTLSVQDMQIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAVRVKLIMVYKKQGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNVIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQCPLWARHAAVLAARLNPVLMTNVWNNSVGPLNHQLSSAAISISITTTTTTGSRPSKVTPWMSRVAVPTSGDVTPPQQLEGGAYHHIGDRQVLSMTVFAGSLNTAHSNEDIPPSLVMPSKQEQFCRCDFHGIDYLEDYYRYCKTCFETGQDHMAVETIKLMLATYTLVTELDDNLQYSTDFHAPQHKRLN >KN538709.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538709.1:178560:181930:-1 gene:KN538709.1_FG064 transcript:KN538709.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 7 [Source:Projected from Arabidopsis thaliana (AT4G26560) UniProtKB/Swiss-Prot;Acc:Q9SUA6] MVIGDFVWAVDSSGVLVRFPSCVRLVDWGAAGCSSQLQMVDSSEGLRRLAALLFKCCSLDSSNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >KN541964.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541964.1:5442:8780:1 gene:KN541964.1_FG001 transcript:KN541964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWDSTVAAYAADYAEKRKSDCSNVHSYGPYGENLFQGVAHISWTASDALFSWLGEAKNYNCTGNTCKDGQECGEYTQLMWTNSTRVGCASVTCDDSAGDGTFIACNYDPPGNVAGQRPYSCSQAGISLPWLVPDKGNGTNQQANGNSSTGNSSSSQSSKGSKSNPAILPIVLPVSIGLGIISAISICLWRNRSSLKRRQSSCSEEVEDIKSVLLDPSVIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQDLHIDDEKREQLAWDARYKIICGIARGLVYLHDESRVKVIHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTNHITRRVAGTYGYMAPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITETVDPSLRCRSAESEILKCIHIGLLCVQENPGDRPRMSNVILMIVGKSTTLPAPSRPAFLFRLNDENQIHHGINNLNPSLNKVTITELEPR >KN541964.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541964.1:18844:19617:1 gene:KN541964.1_FG002 transcript:KN541964.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRELDENEEEVVRTPEEEEAAAEWEREEKMALRRGYDRMIARRTRPVQQDDNK >KN542141.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542141.1:16834:18561:-1 gene:KN542141.1_FG001 transcript:KN542141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLKHANLVRLLAFCKHDAGQPFRALVYEYMANKSLKVYILGDKAKRVMLDWTLRLDIIIGIAEGIKYLHEEHVIHRDLEPQNILLDSNWTPKISDFGLAKLLCPHEATQYMQYNATKGYTAPECFERGYKPSTSSDVYSFGVTLLEIISGKRNNITQQPLPHVWNYWDNHHGPDCTVQLLDPDVPQPDEQTLRRLQICVTVGLLCVQYSPEDRPDMSAVVDMLKSQDLPQINPKRPTLHAMEMVNRRAHLK >AMDW01035377.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035377.1:104:510:-1 gene:AMDW01035377.1_FG001 transcript:AMDW01035377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDPYELFNAFFGGPDKLFRDSMDAGRFHYGTKVTDNRGFDIRYDLLLSFEESIIGGKREVSIFRYETCGTCLGTGAKSSDDITECTQCRGQGRLMKTQRTPFGIVSQ >AMDW01018970.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018970.1:78:281:-1 gene:AMDW01018970.1_FG001 transcript:AMDW01018970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FHEYRKRLVGVVGEEETARIIDGALFVVCAGTDDIANTYFTTPFRSVEYDIPSYVELLVSGAAKLLDQ >AMDW01040128.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040128.1:219:1077:1 gene:AMDW01040128.1_FG001 transcript:AMDW01040128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ETYTSTDSELLKAETSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNE >AMDW01084105.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084105.1:2:283:1 gene:AMDW01084105.1_FG001 transcript:AMDW01084105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RCHDIAPRVAPFLHNAVGEHGSACFTWFGPTPKVTITDPDLAKDVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLK >KN539366.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539366.1:68580:72662:-1 gene:KN539366.1_FG001 transcript:KN539366.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEVVEEEEDGVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIDSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWVCKVFTLKYVKFISMLIGSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREISDTVMHRKHLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGISGASMKEASNATCSSHNSGRWSSLAQGYSA >KN539366.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539366.1:18259:20164:-1 gene:KN539366.1_FG002 transcript:KN539366.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAASASLSSITGRSSSSCASNAPAMQMPPAKPVQQEPELPKNAPASANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDYLRTPYWPIHL >KN539366.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539366.1:73987:78005:1 gene:KN539366.1_FG003 transcript:KN539366.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGPELWTKIASDPTTRAYLEQPDFMQMLRDVQRNPSSLNMYLSDPRMMQVLGLMLNIKIQRPEASESSQPSSSPPSQPQEQPEAKAREVEPEPEPEPMEVTDEEKERKERKSSAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALAKLAKTSKDYDIAIETFQKALTEHRNPDTLKKLNEAERVKKELEQQEYYDPKLADEEREKGNQLFKEQKYPDAVKHYTEAIRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNPELLDGVKRCIEQINKANRGDLTQEEIQERQNKAMQDPEIQNILTDPIMRQVLVDLQENPRASQEHLKNPGVMQKIQKLVSFVIAEHDLRFESLATTILDFLKGS >KN539366.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539366.1:100530:105306:-1 gene:KN539366.1_FG004 transcript:KN539366.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPAVSTKRCSPLHHQTKQEWSGRLVNSAKTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRHSRPPGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQNANGKSIGLDPIEGVSQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNAIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRRSSNGWRYGIPSFA >KN539366.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539366.1:7516:9988:-1 gene:KN539366.1_FG005 transcript:KN539366.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRELLGIDPPDLIFPFELKKQISCSLHLTNKTDEYVAFKVKTTSPKKYCVRPNNGIVAPQSTSDVLVTMQAQREAPPDMQCKDKFLVQSAIVTQELTPKDITGDMFTKESGNVVDEVKLKVIYTQPQPTSLNGGSEEGLGSLSYQEATKGSRESETVTSEPLALISKLKEEKSSAIQQNMKLQEELDLLRRQMGSQHGGFSLVFVLVIAILGILLGFLIKR >KN539366.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539366.1:86891:89784:-1 gene:KN539366.1_FG006 transcript:KN539366.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRVGSFAAPFTRAEEAAMSTRRFAPVETQLGRLVVSVQYLPSLAAFNLEICSLAPAMLITDYVGSPAADPMRAFPASLTEAASSAPAFPPRRPNSWAPSPAPWPYTPGQQAKFSPPPALYASPTPSPPTFAGGYLQSRLSGETAPMIIPGGGRGPVHNRNMSDPVRGFMLPPPSPKNIRGDSGGHETPMETGRTGIRMADLYTNLPSVPKIKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDTPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPLTSRVESVEGGNVSSFMSRRTSDALEELESFKEIKENLLARSRSRMQESLDKSLRHS >KN539366.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539366.1:148:4789:1 gene:KN539366.1_FG007 transcript:KN539366.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding AEGQFNQPTREADLAEEAAANNARGSDRVDDREGRGGGGRPEINIKPWDALQKDLDIGNKRVKWVDREPYAYWKGNPDVATKRKELDWIKESKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACNSMTLVVTPKYYDFFSRVLMPTQHYWPVRDDNKCSSIKHAVDWGNSNKKKAQKIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAIEICPELLACQAIGRERKFMEDSMVKSANDAGPCDLPPPFSPEEFKELQQRKEKSMKQVETWQQKASQT >KN539366.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539366.1:33460:36622:1 gene:KN539366.1_FG008 transcript:KN539366.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTTSPLVAAAARPCAKAVHVNENFRRANFTAADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLTQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK >KN539366.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539366.1:37393:38857:-1 gene:KN539366.1_FG009 transcript:KN539366.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYARSCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPEEGWVVCRVFKKRVATLLSKESMSSYGSHPAQVFQAADGGKNEEALDYASTSAGSGGGEADLWK >KN542632.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542632.1:2604:6192:1 gene:KN542632.1_FG001 transcript:KN542632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGGGFGGDFMVLRPDKGGIGDLFHLLWSCKVAENAAVDCPIGTEIAERRRRGGAMLLLWTKKPVALLGGATEYWMNLLNENGGSVFMLITNALQGKVKMPDKSSINYRSCIGLLDTRIELDEKIKPEDRNYHAALSIMAAKLAYENELVVRTVVQNHWQMNFVGFYNCWNEFQEDYTTQAFMVSDKAEDASLAVVAFCGTKPFDTEQWCADVDFSCLGGALAILFPTVLALHGEEDMLARLHGVYTFGQPRVGDEGLCRFMDGHLAKPASRYFRFVYCNDIVPRVPYDDTALLFKHFGMCLYFDSFYKGQVTEEEPNKNYFSLLTVVPKYANAAWELARSFVIGYVDGPEYGEGWLMRIARAAGLLLPGLPPHAPQDYNS >KN542632.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542632.1:6874:11401:-1 gene:KN542632.1_FG002 transcript:KN542632.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAAAEAMDVEAPARPTTTTTVPTSKGKSPHELLAETRASVEEVAARILAIKKDDAPRTELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPTEEFHRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLLDTIANQKKFLSSLPTHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIVYTQLLGQKEAFGENIEAEITGSTKDAQMFAQQQAKQENAGTLSNGDNRVDDDAIDDEEDAQRRRSRSRKSVVKEASNSVVAYQLHPLKVILHVYDAEESGAKRRKLMVLRFDYLAKLNVVCVGIEDSKGLDHDILCNLFPDDTGLELPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIEHENAPWASRTPLCSLHSWSFTGIFPEPAPRSPLMVVGAAGSVDSDMERRSVTHWEETESTREDGELLAVVPAENTPSVSKISHSEVSPEVRNHSRGLSLISKSATPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFVDQEVEKVNLATDKSWEDYASREFTLVLSKTLKNGPKVMLEAKIAISMEYPVRPPFFTLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPSSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRSADCSSCL >KN538705.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538705.1:281413:282594:-1 gene:KN538705.1_FG027 transcript:KN538705.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIWCWLVVLFSLAPLSCSLSADGLALLDLSKTLILPSSISSNWSADDATPCTWKGVDCDELSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSSNGISGPIPSSIGNCTKLEVLHLLRNRLSGILPETLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSFNYLRGEIPAWIGNCSSLAQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQLLVWLHLDANQLEGTVPKELANLKNLELLYLFDNYLSGEFPDDIWGIQSLKSVDIYNNNFNGQLPPLLAELKHLEEITLYSNSFTGLIPPGLGVNSGLIVIDFANNSFVGPFPPNICSGKRLRYLNLGSNLLNGSIPSSIADCPTLERLILRNNSFSGLIL >KN538705.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538705.1:247762:251530:-1 gene:KN538705.1_FG028 transcript:KN538705.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVWHWFFFFFTSVSSSWSLTSDGLALLSLSRDLILPHSISSTWKASDTTPCNWDGVSCDKKNSVVSLDLSSSGVSGSLGPPIGLMKSLQVLSLSNNSISGSIPQELGNCSMLNQLDLSSNSFSGEIPASLGDIKKLSSLSLYSNSLTGEIPEGLFKNQFLEQVYLHYNKLSGSIPLTVGEMTSLRYLWLHGNKLSGVLPDSIGNCTKLEELYLLDNQLSGSLPKTLSYIEGLKIFDITANSFTGEITFSFEDCKLEVFIFSFNQISNEIPSWLGNCSSLTQLALVNNNISGQIPSSLGLLRNLSQLLLSENSLSGPIPPEIGNCRLLVWLELDANQLNGTVPKELANLRKLEKLFLFENRLVGEFPEDIWSIKSLQSVLIYENSFTGRLPPVLAELKFLKNITLFNNFFTGVIPPDLGVNSRITQIDFTNNSFVGGIPPNICSGKRLRILDLGLNLLNGSIPSNVMDCPSLERVILQNNNLSGPIPQFRNCANLSYIDLSHNSLSGNIPASLERCVNITMIKWSENKLVGPIPSEIGDLVNLRVLNLSQNSLQGVLPVQISSCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNVLGGSIPSSLGRLVKLGIALNISSNGLVGGIPPLLSNLVELQSLDLSLNGLTGDLDMLGNLQLLHVLNVSYNRFSGPVPENLLNFLVSSPSSFNGNPDLCISCHTNGSYCKGSNVLKPCGETKKLHKHVKIAVIVIGSLFVGAVSILILSCILLKFYHPKTKNLESVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQKDFGIAKLTDQSSSAPQTTGVIGTFGYMAPELAFSTRSSIESDVYSYGVVLLELLTRKQAVDPLFPDNMDIVGWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >KN538705.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538705.1:308335:308601:1 gene:KN538705.1_FG029 transcript:KN538705.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVKPTYLATPMSSRASSFGDRSSSNGDQADDEKKKVQEVAMGSLVKDGENGEHSESQRDRDEHHIPEFLGLF >KN538705.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538705.1:218599:223208:1 gene:KN538705.1_FG031 transcript:KN538705.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSHFRLRVKHKFKKLMLDGMRVQICEYTRGNSELSHGVPRPVVFVGVLPSVVAEAVQASDELAADLLARFSCYPVFLPAKLHADFYDGFCKHYMWPHLHYLLPLAPSYGSGGGLPFNGDLYRAFLTVNTHFAERVFELLNPDEDLVFVHDYHLWAFPTFLRHKSPRARIGFFLHSPFPSSEFFRAIPVREDLLRALLNADLVGFHTFDYARHFLSACSRVLGLSNRSRRGYIGIEYFGRTVVVKILSVGIDMGQLRAVLPLPETVAKANEIADKYRGRQLMLGVDDMDLFKGIGLKLLAMERLLESRADLRGQVVLVQINNPARSLGRDVDEVRAEVLAIRDRINARFGWAGYEPVVVIDGAMPMHDKVAFYTSADICIVNAVRDGLNRIPYFYTVCRQEGPVPTAPAGKPRQSAIIVSEFVGGCSPSLSGAIRVNPWNVDDVADAMNTALRMSDGEKQLRQEKHYRYVSTHDVVYWAQSFDQDLQKACKDNSSMVILNFGLGMGFRVVALGPSFKKLSPELINQAYRQTGNRLILLDYDGTVMPQGLINKAPSEEVIRTLNELCSDPMNTVFVVSGRGKDELAEWFAPCDEKLGISAEHGYFTRWSRDSPWESCKLVTHFNWKNIAGPVMKHYSDATDGSYIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVFVKSGHQIVEVNPQGVGKGVAVRNLISTMGDRGSLPDFILCVGDDRSDEDMFEAMISPSPAFPETAVIFPCTVGNKPSLAKYYLDDPADVVKMLQGLTDSLTQQQPRPPVSFENSLDD >KN538705.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538705.1:197832:199929:1 gene:KN538705.1_FG033 transcript:KN538705.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHAHINYTALPPTSPLQLPLPYLPPPPPPPPQPPLLQLQPPPPSSPVSFDTAFQSRISPSILLIILILAVIFFVSGLLHLLVRFILRPAPRDAGDADSGDANVTAFQGQLQQLFHLHDAGVDQSFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTLVMEQEEEAEPEQKHAEAAEKADEVVVSVKLGKFRSQATEVLLHGDVRNAAIIAAMNA >KN538705.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538705.1:257633:261394:-1 gene:KN538705.1_FG034 transcript:KN538705.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIWCWLVVLFSLAPLSCSLSADGLALLDLSKTLILPSSISSNWSADDATPCTWKGVDCDELSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSSNGISGPIPSSIGNCTKLEVLHLLRNRLSGILPETLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSYNYLRGEIPAWIGNCSSLAQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQLLVWLHLDANQLEGTVPKELANLRNLQLLYLFENRLTGEFPEDIWGIPSLKSVDIYNNSLTGQLPIVLAEMKQLQQITLFNNSFTGVIPQGLGVNSSLSVIDFINNSFVGTIPPKICSGGRLEVLNLGSNLLNGSIPFGIADCPTLRRVILNQNNLIGSIPQFVNCSSLNYIDLSYNLLSGDIPASLSKCINVTFVNWSRNKLAGLIPSEIGNLANLRSLNFSGNRLYGELPMEISGCSKLYKLDLSYNSLNGSALTTVSNLKFLSQLQLQENKFSGGIPDSLSQLDMLIELQLGGNILGGSIPSSLGKLIKLGIALNLSRNGLVGDIPPLGNLVELQSLDLSFNNLTGGLASLGNLQFLYFLNVSYNMFSGPVPKDLLRFLNSTPSSFSGNADLCISCHDNDSSCTGSNVLRPCGSMSKKSALTPLKVAMIVLGSVFAGAFLILCVLLKYNFKPKINSDLGILFQGSSSKLNEAVEVTENFNNKYIIGSGAHGIVYKAVLRSGEVYAVKKLVHAAHKGSNASMIRELRTLGQIRHRNLIRLNEFLFKHEYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYSIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLTDQYPAALQTTGIVGTIGYMAPEMAFSTKATTEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSKLNETNQIETICDPALITEVYGTHEMEEVHKLLSLALRCTAKEASQRPSMAVVVKELTDARHVAGSYSKQNSGPSNS >KN538705.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538705.1:279108:280271:-1 gene:KN538705.1_FG035 transcript:KN538705.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLCAAMQHANGKPDTRRLISVFAIEIGTLVPRGGGGNGEGYETYREPLSSMPCRLIVAAAAAVKLDEVVIVGTLECFYRFSRKVYC >AMDW01039824.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039824.1:477:1087:1 gene:AMDW01039824.1_FG001 transcript:AMDW01039824.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCGMGRCGFNSSGHTEADEKMITEDDIVAIPKKQESIRRDSVDSPKIPREKIEHPQMSPLHEMSTSESKAPPGQEGSSSRYDDLFPMPDKNMDFNWNGE >AMDW01032767.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032767.1:1:444:1 gene:AMDW01032767.1_FG001 transcript:AMDW01032767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVQLFAALYGELYERGVLPNAHTLSSLLKACVALQSLAMATQLHALALKLLEEGNTDNTFVWNGLIDVHAKVGALCDAEKVFDGMRFKDASSWTIMMDGYSRHKLIDKALYLFRSMKNKDAFTWNVIISCLWQNRRGEDALRLFIDLL >AMDW01077902.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077902.1:143:280:-1 gene:AMDW01077902.1_FG001 transcript:AMDW01077902.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMMEDDVFFAELSKRISLLITDDDEAADFGAARFPASAAAPIP >KN539630.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539630.1:87127:88863:1 gene:KN539630.1_FG001 transcript:KN539630.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPTGHITVVANLVTARAAAELGWREGAVAVFAVAVAHYAVLFVTLYQRLLGANALPAMLRPVFFLFFAAPSMASLAWGAISSSFDTACKMLFFLSLFLFASLVSRPTLFRRAMRRFSVAWWAFPFPLTVLAVASVEYAREVEDHAAVVLVLVLSALSVVVTVAVVVCTVIRTSDLLPHGDDDPLACASSVMVPLDALTGSIVSSCV >KN541025.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541025.1:110:14896:1 gene:KN541025.1_FG001 transcript:KN541025.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVKDRLLLLLLLLFSFFFLLQDLFFALRGLGGDLLKFNSATWAAPVKKGSLASGRNVGMNRVSAVKSASTKPAPAISRHESAQQKESVLPPKVLSIVPTAAPAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPDFEYIDNGDSSSVLGSLQRRANENLHISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGIASMLIAARFVRVAQVSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPTKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYTQHKILHAADVIDLNMVIQREA >KN539630.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539630.1:52243:52464:1 gene:KN539630.1_FG002 transcript:KN539630.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVGMHVGQEEDQLEGDVLGGGNHVLPFDLNLDAPGHQGEMHPALTSINNSKSLPVL >KN539630.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539630.1:24780:29581:1 gene:KN539630.1_FG003 transcript:KN539630.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIDVFFMEGYMHTCILASCVLVPPVLFLAVLAFLALFLWFTLLYFIRSLWNKDINSETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIEEVTIFEIKRRESGAAIVCSDGLSENRQLQDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSLSHRGIMDNHCKCGEEISSDKEAPAYHLFENYDFVDKHETREVILGDTVTVLTFIDDSANDDSEYKEDISEQKDPINLSGLLDSVADVFYQQQEIHMVVVTDDNKPPEVLFLSGGQIVSTSGEFSSPNENGTSGFPFDSVCEDKNGIGDDKCEDQTDNNIEEASSIAYTDCGSADKHQFIQVVPDCLSNGDNIDEVASLGSPICENVEDKDHKSNDNCISEYLDMTHFLLYADVERSWVEL >KN541025.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541025.1:33673:36013:-1 gene:KN541025.1_FG002 transcript:KN541025.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKVHFVGCWNAAISQKLEVLYCAKKAGVTHILKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVVAGDGVDLGAIEAEVSKQCSALPRGEFASKALSHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWEDLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRSLGPHVAKMAEVEGLEAHRRAVTLRLQDIEATVTV >KN539630.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539630.1:2332:3511:1 gene:KN539630.1_FG004 transcript:KN539630.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVPESPNFKVRVTLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDAATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRADAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPSAKGQGWIGDPRAWELDVGAMSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSNHAEAEWTLSGFDILVPKE >KN539630.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539630.1:16481:19221:-1 gene:KN539630.1_FG005 transcript:KN539630.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEAALVLVLLFRTPFRRLALLAIDRAKRGRGPVMVKTVAATMLVVLGSSGYSIAKIRRRVGDLGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEAVTKQSRTLEEAKHGSVEEIQQYQKEISRLNEQVQEFKRLSETKTEELKTAEANTLALQKQSEGLLTEYERLIAENEEFRNQLQSMDLRLSHSDNKKNT >AMDW01064912.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064912.1:131:230:1 gene:AMDW01064912.1_FG001 transcript:AMDW01064912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCDFLPGKKAGVEVSDPVVACIADLAYKTV >KN539630.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539630.1:61626:63235:1 gene:KN539630.1_FG006 transcript:KN539630.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVGMHVGQEEDQLEGDVLGGGNHVLPFDLNLDAPGHQGEMHPDNSDAMDQVVQLYGGHIHNAFPFDLNSDVYEEHLQMQAVSMY >KN541025.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541025.1:27985:32846:1 gene:KN541025.1_FG003 transcript:KN541025.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPLGSSSSSSSGGGGSYFAVTSSQSSSAAADCAEILGRSAASSSRGGISLYRGWGFDSDAGLTPKILEICITGSTSAGLHQILPWLYYHKVIGVSHFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGVLTRIYAPMASHNLKLILGAIIRGLKESGVFTNAVTSAKAHAKFKSSNKDLKNEESIHPNITQGDHLQATVRKILEMVDAQEEAMPPMSPPGFLHETVETALS >KN539630.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539630.1:11686:14662:1 gene:KN539630.1_FG007 transcript:KN539630.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGQTTRKVVHRVTDADNNLRAGDPFPGTPANVTDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDLGSGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEDCVGSSQGGPVSR >KN540754.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540754.1:20784:24727:1 gene:KN540754.1_FG001 transcript:KN540754.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLAGPISPCIANLTSLTRLQLSDNGFHGAIPSDLGLLINLRYINLSMNSLSGEIPSELSSCAQLGVLGLWNNSLQGEIPQTLSQCINIREINLSNNKLQGSIPVGFGMLPKLEALILAGNSLSGNIPPSLGGSSSLIHVDLGGNSLTGEIPDSLGNSSSLQVLRLMSNRLTGKLPEVMFNSLSLTTICLQKNIFIGSIPQITTLSSPVKYLSLIENGLSGQIPSSLGNLSSLIFLGLSSNNLVGSIPESLGHIPTLESLKLSINRLSGPVPPSLFNMSSIKFLALANNSLIGTLPSNMGYSIPNIQKLILSSNRFYGTIPMSLTNASQLEMINIFDNRLTGIIPSFGLLQYLTILDVAGNMLEAGNWGFLSSLSNCSRLTVLMVDSNNLQGSLPTYIGNLPLSLEKLWLKVNKISGPIPMEIGNLKNLTELVMGHNLINGSIPPTLVNLRNLVILGLAHNNLSGHLPDFMGSFIKLVGISLEVNNLNGSIPASIGHCRQLSKVNLSHNSLVGSIPSELFKISSLTGFLDLSHNHLSGEIPEEAGNLINLNKLVISNNRLSGKIPSTLSQCVLLDSLQIQSNFLEGSIPQSFTKLVGMKEMDLSHNNLSGEVPSFLASMSNLLVLNLSFNNFEGMVPQGGIFSNGSIVLLEGNNRLCSSVPALGLPICSKPVDHKRRHYSLAGKLVTLVVVIACVCLSCLLAVMLKRKKGQSVPSLEQSDQDMKRITFQDIAIATNQFSFANLVGAGSFGTVYKGSLELEENTVAIKVFNLEIFGANKSFNSECETLKNIRHRNLVKVITSCSSIDLSGNEFKALIYKYMPNGNLEMWLHHNVHEQGQRKTISLSQRINIALDVALALDYLHNQCGYQIVHSDLKPSNILLDLEMTAYVADFGLARFLCSRSDAQQIDSASLARLKGSIGYIAPEYGMNVAISTKGDVYSFGVLLLEMITGDRPTDRKFNDGITLHDYISTAWPNNISDIVDPHLMLQNAINTNDMMHNCIVPLFQIGLSCSMASPKERPEMEKVCSDILAIKHAFSNINVV >KN539630.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539630.1:44824:51483:1 gene:KN539630.1_FG008 transcript:KN539630.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRTPLPAVLLLLAFVGGACGTEILSKSRLESCSHDSDAGGRLKCDRKLVVDLAVPSGASGGEASLVARVAGLEEENDTPPATKSIRDPPVITVSKSATYALYALTYLDRDVAYRPDEKYVKTHKCEPYAGAKVVGECERFHVFGIGAWSLRFSIRVQVKKGSSVWDVVVGPENKTVISGDNFLRVKVVGDYTGYTSIPSFEDNYLVTPRKGTGSSQPQDLGNEHSKWMILDRVRFTLDGLECDKIGVGYEAYRNQPNFCSAPYGSCLGNQLWNFWENAGAHTFSVGVTEDLNTNLLIELMADDIEYVYQRSPAKIIDIRVPTFEALSQVGIANVTTKNIGKLESSYSLTFKCSSGISPVEEQLYTMKPDEVIARSFELRSTTDQEAMHQCEGMPLSSISAILKASDFSELDREGYRFSTAATVYNNGAQIGPTNDHKKGGFWDSIKALWRNLIDFLTGRLCCISIFVPFSGSYHISSRFRTKCPRLFDFGCHIQYVCIGWILLLLLIPAAVVFLWLLHQEGLFDPLYDWASRPGQTEVDAVVEYRERRSRHERHGGHGHRDSH >KN541025.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541025.1:18951:23638:1 gene:KN541025.1_FG004 transcript:KN541025.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAWKTKVLTGLNKLFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEVVESSPPEIKALLKDKKTASKIKKNGPAVTKFLEELAKIDFPGAKPPSQEVQGATGLGDQDHHKYGSRIEIDGSQS >KN540754.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540754.1:39456:43046:-1 gene:KN540754.1_FG002 transcript:KN540754.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GYGVYGMLEEVRAVAKQEKYKDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREALTSPSVEDGQQAKTSIEAVSKVLPKSNTFLRNVGIQQPAAKTTNVMKEIQAELDAKKLESAVLQEELERLKAQA >KN540754.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540754.1:32416:33289:-1 gene:KN540754.1_FG003 transcript:KN540754.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIREIRTRLLITRCMRCPWTRYQCHITHVIVNRIQAIIEIHPKRNAPRASSAASCGTCSGHIRRGRGRPTGRPGPRHEFSHGGGWNNFGGGHGWSGGFGLNAGPTGGGFNGVGFLAPFQSVARPDGGGVSAGKFGVAPGPSAFPAASGGVFPVGPLPTGGVLGGGLDGDGLPSGGLSAVMLTAAGAHAGGASGGAYAGGVSGAVSSSGVLGASSAATAGQVSSLKFAESGKGIAQHNWQKVDGGGS >KN539401.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539401.1:56866:58279:1 gene:KN539401.1_FG001 transcript:KN539401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSSKGAMEGGHRCRRPNVDDDDQIEEGEICYDCHVSGSETDDDEHHRRAVLPPRDNGDGCAEHKRFRLDNAATAPAPSAGPVLTTSNGSAIVAIASAAAAAAAAAATKAREVFACRICRKEFDTRKAVDGHMRVHRQQSIATPKYNAADNSRVTVGSSGAGADGCHGGADSSKHRCRECGMEWKTGFALGGHMRKHQTKEKVTVNEKEPNVAGKHISLGPPPSPDLTPAAAELSSQPLGYLI >KN539401.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539401.1:98548:99085:-1 gene:KN539401.1_FG002 transcript:KN539401.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRERGHDAGLCKARWNKTSSMVAGSYEYIDVVVAAAADAAEATRYIVDVGFAGEFEVARPTEDYEAVRSALPEVLVARPDDEDGERRADVCRHGDRRRQLVGCLPDSPWFRGAASGHDDADRALGLTTWHAYGRD >KN539401.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539401.1:76555:82262:-1 gene:KN539401.1_FG003 transcript:KN539401.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASCSLPDAWPEPVVPVQSLSEAGVAAVPPQYIKPPQDRPVLPAPSLDVPTVDVAAFLDLDGTAAAAAAAEQLKNLAEACSKHGFFQVVNHGVQASTVERMRGAWRRFFALEMEEKKACSNSPSAPEGYGSRAGVEKGALLDWGDYYFLNILPREIKRRNKWPKSPHDLREITEDYGRDLMNLCEVLLKAMSLSLGLGENQLHAAFGSDDGISACMRVNYYPKCPQPELTLGISSHSDAGGIAVLLADDRVKGTQVLKGDTWYTVQPIPNAFLVNVGDQIQIISNDKYKSVEHRAVASSDDARFTVAFFCNPSGNLPIGPAAQLVSSQSPALYTPIVFDEYRRFSRRRGLKGKSQLEAMKNSKIAQVELIAYRDAQINLRNLFTSDKN >KN539194.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539194.1:88661:94018:-1 gene:KN539194.1_FG001 transcript:KN539194.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALPGLEAYDVSYATAVREFPDLLDAARAAQSADCRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPSGFTEGSPGRMSAIFSAAVMARTKGSETEVLVHDYQREVEIACAREFLCPENRGEATATPDGGGKARQTMQRLSRFVPSSSRRVTDLKDALWSGSLTFQHALSTFAADENTSGKKFASYTVFKGKAALSMHPILPSFSKLESGGSRVNKNGSVMLTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPISKAEFTVMRTALSFALPHILGWDQALTNHQPSPSPASKPRVERPHPDSEWER >KN539194.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539194.1:50854:52282:1 gene:KN539194.1_FG002 transcript:KN539194.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSSNKKELEALPTVDGGEVRELMSSGHHYLDVRLGKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVAALFGKDEHLIVACNTGVRSRLATKDLLDAVIKACPLSI >KN539194.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539194.1:10593:12776:-1 gene:KN539194.1_FG003 transcript:KN539194.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPHSWCSSKTSSFSINFFGHALVLLLLIFISPVDSCTKKERHSLLRFLTGLSQDGGLAASWQNGTDCCTWEGIICGEGDAVTELLLASRGLEGQISPSLGELTSLLRLNLSYNSLSGGLPVELMSSGSITVLDVSFNRLYGDLQELNSSVSDRPLQVLNISSNRFTGEFPSITWEKMRSLVTINASNNSFTGQIPSSFCISSPSFAVLDLGYNQFFGNIPPGIGKCSALRMLKADVNDISGPLPDDLFNATSLESLSFANNRLQGAINDALVIKLRNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMNNNNLSGQLPSSLGDCTNLVTINLMSNSFTGELAKVNFSNLPNLKTLDLSLNYFTGTIPESIYSCINLTWLRLSSNKLHGQVTQKIEKLRFLSFVSLAHNNLTNITKTLSILKNLRNLKALLIGGNFIHETMPQDETIDGFKNIQGLGIDNCALTGKIPSWLSKLGNLAVLDLSNNQLSGPISTWINSLNLLKYVDISNNSLTGEIPAAVMEMPMLKSDKVPDNSDPRAFPFPVYAGACLCFQYRTATAFPKTLNLGNNKFTGVIPMEIGELKALVSLNLSFNNLNSEIPQSISNLKNLMVLDMSYNHLTGAIPPALMNLHFLSKFNVSYNDLEGRVPIGGQFSTFLSSSFAGNPKLCGPMLLHDCNSTEADFSSPNSTKEYINKVVFVIAFSVFFGVGVLYDQIVLPRYFWLNRLR >KN539194.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539194.1:136291:136884:1 gene:KN539194.1_FG004 transcript:KN539194.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLNFAGKRKPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLLSKWMGESEKLVTNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRVKTEFLVQMQ >KN539194.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539194.1:2977:5110:-1 gene:KN539194.1_FG005 transcript:KN539194.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSGLATAALLLLLLIVAISAMACVEQEKSSLLRFLAELSHDGGIAMSWQNGTSCCVWEGITCNEDGAVIEVRLTSKGLEGQIAPSLGELTSLSRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNRLKGDLQELNSSVSDRPLQGTYLPPSAAIRHLLLFLILGTTSSVATSPPGIGKCSALRLLKADDNNIRGPLPGDLFNATSLEYLSFANNGLQGTIDDALIVKLINLVFVDLGWNRFSGKIPNSIGQLKRLEELHICSNNLTGELPSSLGDCTNLVTINLRRNKLTGELAKVNYSNLLNLKTLDFALNNFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIRNLNSITFLSLSYNNFTNITNTLHILKSLRNLNVLLIGGNFMHEAMPQDETIDGFENILGISINDCALSGKIPNWFSKLRNLQVLVLYNNQLSGPIPTWINSLNFLKYVDISNNSLSGEIPQALMEIPMLKSDKIADNSDPRAFPFPVYAGACLCFQYRTVTAFPKMLNLGKNNFTGAIPMEIGELKALVSLNLSFNNLNREIPQSISKLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSHNDLKGSVPIGGQFSTFPSSSFAGNPELCSPILLHHCNVAEVDLSSPNSTKEYINKVIFVIAFCVFFGVGVLYDQIVLSRYFRLN >KN539194.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539194.1:130932:132403:-1 gene:KN539194.1_FG006 transcript:KN539194.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIDKIKEKAKIEVVPVFITVDPERDTVEQVRDYVNEFHPDLIGLTGTTDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDADSLADGIVKEIKGHQ >KN539194.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539194.1:114617:118340:1 gene:KN539194.1_FG007 transcript:KN539194.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLVRRKKQAMVKFMKKDVADLIGNRLESHAFGRMEALIVEMNQASCYDMIEQYCEYIVKQLNNLQKQNECPQEALEAVSTLIFATARFPELPELCDLRHMFTERYGSFVEPFVSSEFVQKLQNKSFTNEEKLQVMQSIAEEFSVPFNAKALERKISGVPQNKHDLQNKSSFKRVEVEASARNELKVDRHAVHERKSKVTPEVHERKQEMPVKPKDIHVIPDAIGQLGEKSRKNRSDIPYDVPPSDLKQINDQELKKDHKKHSHHQRELRNADKSAPPYAEPKEDAAEKSDGKGYHVHRSRMAGGLDHNWGHADLGLKTLGLEKHGIEPASSLDGKTLNKAPPYSKPYKASDEYGQSVQDRQKMPEKAVNMRPPYVKPNSTNQAVDDYKHAGTGEIGHQRDGLADDNTLQPVSVRRKSAKPPTYGDRYDDEAKMTSQTPGGRRRHSSRRNGSDDDYDQRAGYMLPPDDDAVNNARHFKRMSERRKHGSRQGGSASGNDYESDGDETNSAIDFGNLLPRAPSSHRKHRSRSAHPRSGGRDDEERVMDKLLMHYSKKGIDREEHKTRTKSRTPRPRADQPADGVGERSNREGAPQHPPERTVSLPSDSGNLGVKPKAPARSISMQPDKSRGIVHPSMPDFDELAARISALRKE >KN539194.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539194.1:37608:45558:-1 gene:KN539194.1_FG008 transcript:KN539194.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSILYLVLVLMFSSAFSTSCCTEHESNCLLQFLAGLSQDGGLAASWRLGTDCCSWEGITCSSMVSKDAMVTDVLLASKKLEGSISPALGRLPGLLRLNLSHNSLSGGLPSEVMSSGSIIILDVSFNSLGRILPPSPPLTTGLKLPLQVLNISSNKFFTELPSLDAMAHLVALNASNNSFNGQIPTDFCTNSPSLAVLELSYNQFSGRIPPGLGNCSRLRVLNAGHNSLRGILPDELFNATSLECLSFPNNNLQGTLEGENVIKLGKLATLDLGENNFSGNIPESIGQLNRLEELLLNNNKMYGGIPSTLSNCTSLITINLGSNNFSGELVNVNFSNLPTLKTLDLRLNNFTASSTGSCTDQEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCSIDSTITEVLLASKGLEGNISPYLGSLTGLLRLNLSHNSLSGELPLMELMSSSSIAILDVSFNHLSGALQEFSAHTTIQPLQVLNLSSNLFTGHFPSTTWKVMNNLVALNASNNSFIGQMPSSLCINAPSFAELDLSFNQFGGSIPLDISNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNVLKGVLDDANIIKLSKLSILDLEQNMFSGKIPKSIGQLKRLEELQLGENKLYGELPSTLGNCTNLKILDLKFNNLSGDLGKIDFSSLSNVTTIDLLMNNFSGTVPESIYACTNLIVLRLARNNFHGEFSLTMNRLRSLAFLSITDNAFTNIRNALHIFKSFRNLRMLLIGGNFKNEVLPEDETIDGFENLQHLSISGSSLIGKMPVWLSKLTNLEKLRLYDNQLTGSVPAWIKNLNFLFHLDITNNNFTGEILTTLIQLPVLKSKKMVSILNERVSKVIVCSGSRHQLLMEPATLNIGRNGFTGVIPPEIGQLKALDMLDLSYNSFSGEIPQAICNLTDLEMLDLSNNNLTGTIPLELSKLHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFIGNPKLCGPMISRRCNSAKAIPTSTFYTEDFSVNIIFGITVGLFIALGVLLDQMLLSRLGFLQF >KN539194.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539194.1:16344:19900:-1 gene:KN539194.1_FG009 transcript:KN539194.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPPSLCSNKTTRFCLPFVGHSLLLLLLFFASPASSCTEQEKHSLLRFLAGLSQDGGIGASWRNDTDCCAWEGITCDANKAVAEVSLASRGLEGSVSPSLGRLTSLRSLNLSRNSLSGGLPLELVSSSSIVVLDVSFNHLIGGLSELPSSTPDRPLQVLNISSNFFTGNSPSTTWEVMKSLVALNASDNSFSGQIPTSFCVSAPSFALLELSYNQFSGGIPPGLGNCSALTFLSTGKNNLSGTLPYELFNITSLKHLSFPNNQLEGSIDGIIKLINLITLDLGGNKLIGSIPRSIGQIKRLEDFHLNNNMMSGELPSTLSDCTNLVTINLRSNSFSGELNMVNFSTLPNLKTLDVVWNNFSGTVPESIYSCRNLTALRLSYNRFHGQLSERIGSLKHLTFLSLVDLSLTNITRTFQKLQSCRNLTALFIGRNFKHEAMPEGDIIDGFENLQLFELPVFTAPLLQYRITSALPKVLNLGINNFTGMIPDEIGQLKALLLLNLSFNRLSGDIPESIYNLTNLQVLDLSSNNLTGTIPDGLNKLHFLSAFNISNNDLEGPVPNAGQLSTFPIPHASAFPKMLNLGNNKLSGVISVEIVQLKAPLSLNLCFNNLSREIPQWISNLKNLKVLDLSTNHLTGTILLEILVKLSSFINFNISYNDLEGIVPIGGHFNTFPSSSFAKNPKLCNPMRLHHCNSEEAATAYSISRKQNINKLSRVAFT >KN539194.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539194.1:74385:78367:-1 gene:KN539194.1_FG010 transcript:KN539194.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MELARSACSKEHHKIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREDLGSLDPPVMEGLEELLARSKAGVKRVHPEENGTPQVQVPSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >KN539194.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539194.1:100227:107421:1 gene:KN539194.1_FG011 transcript:KN539194.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIAADPLSRRRRRHLPANRDMENNLNRHWSKSSDPTYVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMKATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAIILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMAPFRKHVTSLAALDFLVCLRSDAFVMTHGGNFAKLIMGARRYGGRHRLKSIKPDKGLMSKSLGDPHMGWAAFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPTA >KN539194.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539194.1:121227:121564:1 gene:KN539194.1_FG012 transcript:KN539194.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGFKNGGKQKPLKAPKAAKKEYDETDLENMKKKKEEEKALKELRAKAAQKGALGGAGLKKSGKK >KN539194.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539194.1:122540:127165:-1 gene:KN539194.1_FG013 transcript:KN539194.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTPYPPRPVDRSTCSSLQAALLRVSDPKSRNPTEERIGGNKIPEAMKLSLISDGDIPDSQDDQGSGGRADGRQVLERGGGGGKRRRRVFLEEEDEEENAMKVDEEAVILSCARGGPAGVSTAKIDGCIDKQDGQGSSKQRKKSCSTVMKLQFREIGLHCSLRTCGISRFVPTPSTFSHEVFSKIKNNKIVMLWFELFTQQRCIRSNKQNNGQQIFESENIKWADSVDFDAPNARALIQQRSTYRVFDLKDYSLLYQIPDVNVHQVVFRPSLFLIKLEQTHNVYPFRIFCAHNFEETHSFVLVASSKRPDIQPLHDKMIIKQNFANENGNLQILDLRSSKITEVPIGIYEFHALHGRNLFLSFQNNSTELRDLQGDIVRNFEDHVLDELNCVDDKLFITKDEDVIISGCKSEGIGAVHISSIESGKCITDINTKVIVSALSYNPELNEIYIGTAKGKALADLDILPHQIPQKLKSPNKDS >KN539194.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539194.1:53220:61033:-1 gene:KN539194.1_FG014 transcript:KN539194.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQFLDNMDLERERGITIKLQAARMRYIMNDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKEGIGITEILDAIVTKIPPPQNTAKSPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDKICFMASGKMKLEYYLPIRCKLVNSTLARFEELREALEKLQLNDAALKAVTRFSMQFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETVECSNPSLLPEPGKRRSIEEPYVKIDMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAEAFRMVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >KN539194.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539194.1:29439:31601:-1 gene:KN539194.1_FG015 transcript:KN539194.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPMPRLLSSSSNRDNSRFCMPLFGQALLLLLLCFVYHGGSCTEQERGSLLQFLSRLSNDGGLGVSWQNGTGCCTWEGITCSGNGAVVEVISLASRGLEGSISPSLGDLTGLLRLNLSRNSLSGGLPLELVSSSSIVVLDVSFNHLTGGLSELPSSTPDQPLQVLNISSNLFTGNFPSTTWERMNNLVVLNASNNRFTGQMPTSFCASAPSFAVLELSYNQFSGRIPVGLSNCSKLTLLSASYNNLTGTLPDELFDLTSLKHLCFLRNHLEGSIKGITKLKNLVTIDLGQNRLSGSIPNSIGQLKRLEKLHLAYNSMSGELPSTVGNCRNLKNMNLGGNNFSGDLGNVNFSTLRNLQSLDLMSNNFTGTVPESIYSCRNLSALQLSNNSFHGQLSEKIRNLKCLSFVSLVDISLTNITGSLQILQSCRNLTTLLIGYNFMHETMPEDDEIYGFENLRIFSLNDCSLSGKIPKWLSKLTNLEMLSLYNNQLNGAIPDWISSLNFLFHIDISNNSLSGEIPSALVEMPMLKSDNVPPKVFELPICTGYALQYRINSAFPKVLNLGINNFTGIIPHEIGQLKALQLLNLSSNRLSGEIPESIYKLTNLQVIDLSSNNLTGTIPDGLNKLHFLSAFNISNNDLEGPVPNAGQLSTFPSTSFDGNPRLCGPMLARHCGLAETPFVSTKQNTDKVVSSFVFMISFGAFFAVGVLYDHIVLSRFF >KN539194.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539194.1:79893:80362:1 gene:KN539194.1_FG016 transcript:KN539194.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPILRNRGFTVLKLFALPCYFIEEAERSLHDAIIIVSRAVKNPTVVPGGGAIDVCQLVKINGSICQDAVIK >KN539194.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539194.1:33815:35958:-1 gene:KN539194.1_FG017 transcript:KN539194.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLCLVLVLILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWRHGTDCCSWEGVTCSSSTASKAVTITDILLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLYGDLQDSPASSSSAASGRRIQVINVSSNSFSGRFLSSSWEKMENLVVLNASNNSFTGPMPTFFCIRSSSFAMLDLSYNHFNGNVPPEIGNCSSLRMLKADHNNLSGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGIIKLRNLVVLDLGFNMFSGNIPDSIGRLKRLEEIHLNHNSMAGELTPAIGSCTNLKALNLGSNNFSGELGKNSHNLKSLTYLSLAENSFTNISNTLQILKSSRDLTTLLIGGNFRDEEISDDKTIDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLYLFNNHLSGSIPIWISTLNSLFYLDLSNNSLSGEIPAELTEMPMLRSERVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNNLTGVIPTEIGQLKALLSLILGYNNLHGEIPETILDLTNLEILDLSNNHLTGTIPADLNNLNFLSELNISNNDLQGHVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVLVDAPQGSSRQGGYKVIFVIAFGAFFGVGVLLDQLCLSRFLG >AMDW01034419.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034419.1:167:523:-1 gene:AMDW01034419.1_FG001 transcript:AMDW01034419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQHLEEVLREGYGHPNVDGMVMWAAWHATGCYVMCLTDDEFRNLAVGDVVDKLIAEWRTHPVAAATTDADGVVELDLAHGEYNVTVTHPSLVSSAARTLTVDASSSSSENAIDIRV >KN542501.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542501.1:8810:9100:-1 gene:KN542501.1_FG001 transcript:KN542501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCDACRASGAEDWGEGGDMLHEMLFLLEESKVVNGGGGDAYVVFLPLVEGAIRAGLKGGGTGNNELQLCVESDDAVSPAKRVGVCLDATLRRISLA >KN542501.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542501.1:11471:14911:-1 gene:KN542501.1_FG002 transcript:KN542501.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DIILFHRGQTVPGHVGTSAFPIHLVSKKVTDIKVEEGVVQIKHNGSGDGVQEFLAIGGEPHLYDGLWEYPLSVRWAVANLTCPEAKQNASGYACVSTNSNCVPVNSTSGYVGYRCNCTKGFQGNPYIQNGCTGICSSIFVVLIALLGMQVIIHRRSMKRQFLIRQRDEYFQQHGGQLLSDMMKIDRNLEFTLYRQEDIEVATNNFDKNQIIGEGGQGTVYKGFIENIPVAIKRCKGMDESRRMEFGQELLILCRVNHDHVVKLLGCCLLFEVPILVYEFVPNKTLHDLLHGQDRRYYISLATRLRIAAESSQALGHLHSLARPILHGDVKSANILLDAMKEGTVDELIDEEIINEDDLEVIHQVAELTSRCLAMPGDKRPVMSQVAQELRRFTELVRQRPDAAGDLNALREVDRSFTGTTDSTGYTRSRTTGTIDSTGYTRSRTTEYFTLGITS >AMDW01035283.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035283.1:82:560:1 gene:AMDW01035283.1_FG001 transcript:AMDW01035283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EECDEEIMNTDASSDSSLEPYDLSDDDTDLQKKFTQLTDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCTFESLDVLTKLLYSSSVD >KN543804.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543804.1:3:467:1 gene:KN543804.1_FG001 transcript:KN543804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVLTARPPMGLTHHVEKAIDAGTFAQMLDVTVKDWPVDDAIGFAKLALKCTEMRRRDRPDLATVILPELNHNRRNNRKNTRVKLDYFVNLKN >KN543804.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543804.1:4466:4834:1 gene:KN543804.1_FG002 transcript:KN543804.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAATATAGIISPPTPSLLWANTRATFVSFPRRSPPTTSLLAVSSSPAPPPANPKYHNAKMDAGDEEVDGEELLQRFRREVARAGVMEEIRWRRRHEDARDKRKRKARSAARRFRRR >KN543804.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543804.1:1198:1755:-1 gene:KN543804.1_FG003 transcript:KN543804.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRVIPSKAEWFTDMNLKTKKFALPLFGEKFALPLFGELSLTPLFLNDFSACWLVNMTALEACTATLGGHPISDGFIISSYLSLLAMLMDKEEDVHELRAKGLIHSFFSNKEMLAFFKGLARHLRLGSRYFTIIQQIEDYKHNGRPFIVVYKFFYHNWRIIMKLVSIASVLVGIFKAILSLKRP >AMDW01037162.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037162.1:53:482:-1 gene:AMDW01037162.1_FG001 transcript:AMDW01037162.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PIFAEIVPERSRTSIYALDRSFELVLASFAPPIVGFLAEHAYGYNPVSSRARPSSVGSVSDRANAAALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGRRSKDGTVIDVEYGEEES >KN541321.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541321.1:18084:19631:-1 gene:KN541321.1_FG001 transcript:KN541321.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVIRYDKLVTVEDIWQHIPAPPISSTNIDGRDICLSFALFKLLRRRFRWCNLHESGLGKTKDFALQGFLPDPPKATSLDESPNRTSFSESPPRAFNIIEAELSFLFDFFYGNAMHNLRDHLSTFGFIVFTLSLSSVYYNEFRDEHKAQGIHVIASAATIFFMFPLLGFCQLNGVNFDSLMVDLLVRICRRRKRSSSSQASTYDRVQDRVLRMLFLFRTIRPPWSHGSGASARQYCLLLHCDSPHSTTTRRKIPIKAKEALVNALRNVESHTKGILSDGQSSLLRNGQHALLSWACRQEYVAEKIIVWHIATTLCHVGYTVQSSGSFAAMLLRPWEVLVPRGRTRREPAEQRIDDDREVATVLSGYCAYLVGFAPELIADDIYATRLVFENARAAASERIEGEGSLAKMRESAKNMTFGSGGEDFAAATELDVVFQGKMLAAQLLSLQDDERWKVLAEVWAELMLFISPTDNAAAHLEKLKTGGEFITHIWALLKHSGIPERPSGQLNDSPAY >KN541502.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541502.1:5290:5787:1 gene:KN541502.1_FG001 transcript:KN541502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFEHAVAELFQILVVQLGLFLLGTGITPLQQQSIKPQQSQCIAQISVFQKVAILQHFMVVAMFISAIFICLVRYRLKAAGELSTLNLRLRLKLRILMFAAVAMSLVSIVLSAFTYYYLIEVKVSVFACLNVATKIFVYAAYVISGVCCAFVYYDLFTTVVYSD >KN540875.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540875.1:29593:31782:1 gene:KN540875.1_FG001 transcript:KN540875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLHLGNNNLSGEIPPDLLHGMRRLSRIALHMNRLTGDLPPLLFNGTPSLTFVNLGNNSLTGGVPHGVASSLPMLEYLNLRGNRLAGAVPPAVYNMSRLRGLVLSHNNLTGWIPTTSNGSFHLPMLRTFSISSNGFAGRIPAGLAACRYLQTLSISSNSFVDVVPAWLAQLPYLTELFLGGNQLTGSIPPGLGNLTGVTSLDLSFCNLTGEIPSELGLMRSLSTLRLTYNQLTGPIPTSLGNLSQLSFLDLQMNQLTGAVPATPGNIPALNWLTLSLNNLEGSLDFLSSLSNCRQIWIITLDSNSFTGDLPDHIGNLSAQLSIFSASENKLTGGLPSSLSNLSSLEQLQLPGNQLTGPIPESITMMPNLVRLDVSSNDISGPIPTQIGMLSSLQRLDLQRNRLFGSIPDSIGNLSELEHIMLSHNQLNSTIPASFFNLGKLVRLNLSHNSFTGALPNDLSRLKQGFSPCLQKSHSNSRHFLRFLLPVVTVAFGCMVICIFLMIRRKSKNKKEDSSHTPGDDMNHLIVTYHELARATDKFSDDNLLGSGNFGKVFKGQLSSGLVVAIKVLDMHLEEVAIRSFDAECRVLRMARHRNLIKVLNTCSNMEFRALVLQ >KN541502.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541502.1:14872:19935:-1 gene:KN541502.1_FG002 transcript:KN541502.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALRRSARRVVRPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPDFNLLYPVKEHGAQVISTIPTVPYIFEYGDGSQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKNSAMLAESAVASAYLLIPQLAQPFG >KN540875.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540875.1:2222:2800:1 gene:KN540875.1_FG002 transcript:KN540875.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAELITHPDAMRNAQDEIKAVVGITSHITEDHLDRLPYLKAVLKETLRLHPPLPLLVPHEPSSDTNILGYSIPARTRIVINAWTIGRDQVTWGEHAEEFIPKRFLESGLDYIGQDFVLVPFGAGRRGCPGVGFTVQAMVMALASLLYNFDWETRVVDRRSEFGTSSLDMSEMNGLSVRLKYGLPLIAISRFP >KN540875.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540875.1:19612:21687:1 gene:KN540875.1_FG003 transcript:KN540875.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLLVLLVVLAIVVPLLYLVLLPAGNTTRNGAARGRELRKVFDDFARLLGTEPMGELLPWFWWVDALRGIDGKVQRTFEALDGILERVIDDHRRRREGGRRMDDDGGGYHRDFVDVLLDVNETDKDAGIQLGTVEIKAIIMDMFVGGSDTTTTMMAWTMAELINHPRAMRKAQNEIRAVVGNTSHVTKDHVDKLPYLKAVFKETLRLHPPLPLLIPREPPADAQILGYTIPAHTRVVINAWAIGRDPAAWGQQPDEFSPEKFLNGAIDYKGQDFELLPFGAGRRGCPGIVFGVSAMEIALASLLYHFDWEAAAIDHRRRGSQAWALPVDMSEVNGIAVHLKYGLHVVAKPRMP >KN540875.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540875.1:14932:16893:1 gene:KN540875.1_FG004 transcript:KN540875.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVVVVAIAIVVPLLYLVLLPPARRGGGDGARRRLPPEEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDVDRGRALRKLFDDFVELLGQEPMGEIQPWLGWVDAVRGLDGKVQRTFEALDAILEKVIDDHRRRRREVGRQMDSDDDGGGGGDHRDFVDVLLDVNETDKDAGVHLGTIEIKAIILDMFAAGTDTTTTAMEWAMAELITHRDAMRKAQDEIRAVVGVTGHVTEDHMDRLPYLKAMLKETLRLHPPNPLLVPHVPLADAEILGCTVPAHTRVFINAWTIGRDPATWGEHAEKFMPERFLHNNVDYKGQDFELIPFGAGRRGCPGIGFAVPTIEMALASLLYYFDWEARPVDRRSKPGTSSLDMSEVNGISVRLKYGLPLMAKSYFS >KN540875.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540875.1:33327:35586:-1 gene:KN540875.1_FG005 transcript:KN540875.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIKIKSSKLIGRGWASQAHLDLLSLDQLDITGRSVEQVKDDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIDQQGDAPWKQWFTSFQKIEGQKGKV >KN540875.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540875.1:7201:7589:1 gene:KN540875.1_FG006 transcript:KN540875.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGLHPHANCIYLASCPILSCVFAVSFSHDDGSMQLMLANKRSITFSLNLFFPVSFYAGCADPSEPRVPRGHRGREKSSPDAGDGGGERVISDCVGTGTG >KN540875.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540875.1:26881:28758:1 gene:KN540875.1_FG007 transcript:KN540875.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYLHHEHYEIVQHCDQKPSNVLFDEETTVHVADFGIAKLLLGDDTSKITNHGKHAWNIWVHGTRRRARAMCSASESCSLKSSLESDLRIDRLFVGEVTIRQWVNQAFPAKLVHVLDDKLQLDESSIEDLNHLLLPIFEVGLLCSSDSPDQRMSMADVVVTPKKIRKDYEKNWHYIRGCQIVNGRCCGDTEED >AMDW01021906.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021906.1:3:287:1 gene:AMDW01021906.1_FG001 transcript:AMDW01021906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLARAMQNPDFFHQLIHQQDKMKGLEDTFSKKRTRSIDIVPFLNPGEVSQGDQLESTLLFDPRPFSELNDEPAKSELENLALNIQGLGKGKQD >KN541336.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541336.1:6670:16525:-1 gene:KN541336.1_FG001 transcript:KN541336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:G patch domain-containing protein TGH [Source:Projected from Arabidopsis thaliana (AT5G23080) UniProtKB/Swiss-Prot;Acc:Q8GXN9] MGFDDDDEDLVVYGTPIEREEDTSARKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWTPQTFTSSRKNRAEVKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTATEHARKQASKEQKERPSAIPGPIPDELVVPATTSIESRREARKAFLALSSTKTDGQKIQVDSHKSDKDDGATESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKGQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKTASDSNYKLEDRKRGVFLAFKIASSSEYKLERFDPPEIPSDFDGRHKFLTPRQDVNNLSDLAPPEVPAPEDTSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYIDQQKPDIVKSKSSDKLTAENRGKILGERPLDRSTKSSSSSFPAKEAIQLQSNLGDNFVKPISLDGLPEYEKPFRNEPAKQARFEQFLKDKYQGGLRPANLIPTSTMSDADRARERLDFEAAAETIEKGKEKKAMDPLSLLGLSGINEQRFVSSTESERSIPARDEKSIYPRREEFEWRPSPILCKRFDIVDPFMGKPFHVQRPRSKMDSLIFMSESTTRTNEVESSSIAPQHTSVAGAIETEAKGAATDPEIESSSVQRPVDLYKAIFSDDSDDDMAEPLANQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPTPPNVLFRSETPSTANAIGMSRNGKAITCQEIKENESALDKEEIAIVSADVPSDNVEELGLKYEKQEHRAEKGRSRSSHRQTQSGSLDSDSTSDQHRSRERRSRHKIRSGTPGSDSSIEHHRSKKRKSHSRHRTHRSRSPYADSSDSQHTKRKHREKRHHRTRNPDTDSSDHEYEERHKSSSRRSSDKDRSRRRSRHHKR >AMDW01038984.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038984.1:407:743:1 gene:AMDW01038984.1_FG001 transcript:AMDW01038984.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLNLRYSQISFLLARSFFVDLCTAILALLARVRALVQQMLLDVVSVYNKASDLIDRKQSVKISIGGAQ >KN542618.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542618.1:9:272:-1 gene:KN542618.1_FG001 transcript:KN542618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSSVFAAFDKDGDGKISASELRGCMAAALGEEVSEEEAAAILATADTDGDGLLDHHEFMRLSAAHQMQEPAEESLRCLREAFD >AMDW01071525.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071525.1:49:291:1 gene:AMDW01071525.1_FG001 transcript:AMDW01071525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFSSATTSRRATFCCLLSTPAFSTACLLFGLAGFLAAALSISWSLGRVLRIRCPDSSRLLSVSVTWGQREVGDDR >KN542618.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542618.1:10955:12931:-1 gene:KN542618.1_FG002 transcript:KN542618.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGLERKGKVVADIKDAVDNYTNAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERIFREFEEHDFARTGSTATETNPMICQVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGAVELVADHIVCEEGKPISPEAAQILRLLGMQMATFRLYLVCRWSSDDFEMYKEGLAQLRAGEADDSP >KN542618.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542618.1:8358:9719:-1 gene:KN542618.1_FG003 transcript:KN542618.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVPEWLNKGDNAWQMLSATLVALQGFPGLALFYAGAVPRKWALTSAFMALYAMAATMPCWALWAHNMAFGHRLLPFVGRPAPALAQDYMLTQALLPSTLHLRSNGEVETAAVAPLYPSASMVFFQWAFAGVTVGLVAGAVLGRMSVKAWMAFVPLWTTLSYTVGAYSIWGGGFLFHWGVIDYSGGYVVHLAAGVSGYTAAYWVGPRRKEEAGGGNLVVMVAGAGILWMGWTGFNGGDPFSANTDSSVAVLNTHICATTSILAWICCDVAVRGRPSVVGAVQGMITGLVCITPAAGLVQGWAALLMGVASGTLPCYTMNAAMSFKVDDTLGILHTHAVSGVLGGVLTGVFAHPTLCDMFLPVTGSRGLVYGVRAGGVQVLKQVAAALFVAAWNVAATSIILVVVRAFVPLRMTEDELLAGDIAVHGEQAYYFSSGTNCSLSHETIEVGNL >KN542618.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542618.1:2574:7957:1 gene:KN542618.1_FG004 transcript:KN542618.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIPNRQRRSSSSSLGRFTCYHGGHDDDNRCNHTADSSIIINTWYEEAARRLPLDDIPELADCLADAGCCLGLAHPVTNIILNTIHHHLIYGRSDSDSHNKSYGFSSMSHSTSFRGLLAFLKLYFPYLTDDQARRYLHIASYNLLLAIHLLRHHRRHLPQTQTSLLPDGGNVKAALRIAAVQADHPAPDDLARIMTAQYPSHLLTPIIRNLQRSNHLLTTHDVQAIKDLLGTCQWPPPNTDFLCCPCPNTCAANNTLQLATCIGGGAFARISIQIVTPKSNHGEVQSQQQLRRPGISQKCLMVDVREDIIYGMDGSITVGQENSPGGRLPYPILVGPPAFLFYSRSICHPTEHKHQRGRKKGRKSRLAMKRRKIPNPQRRSSSSSLCYHDDDNHTADSSIIINTWYDEAARRLPLDDIPELADCLADAGCCLGLAHPVTNIILNTIHHHLIYGRSDSDSHNKSYGFSSMSHSTSFRGLLAFLKLYFPYLTDDQARRYLHIASYNLLLAIHLLRHHRRHLPQTQTSLLPDGGNVKAALRIAAVQADHPAPDDLARIMTAQYPSHLLTPIIRNLQRSNHLLTTHDVRAIKDLLHTCQWPPPNTDFLCCPCPNTCAANNTLQLATCIGGGAFARISIQIVTPKSNHGEVQSQQQLRPSYPSASRFMQPNIFLLKTPCDHILSLYMCLLHAIHAFYIRALAALPLPLPAADSTLRRGRFLRALVVSGHCYGPLDPVSNIILNAIWYHAAYPLPPLPPHHGDGDDEIDDELPQDISDTHAMARMASRSLDGLVTLLRAITTTTTGAPLSKHEAVEYLWSRQCDLTDKLQQQHTVTMTKKKNPYAAAAEASKHPQHTALGSFLLSLSGDGEKLDRLRHLLRYITDGSGRVISDADWEQLNAMMIQERQMITKKIGRKRALPPPSAAPQDLADLSKRRSAYVKRQRFARSNLEELLLKYCRQHPWEPRYKLDVICGVEEPKSYHWRSYHANFLASANGTVLNGGEANPPVCKLFFAEFWDSQSGRFNKSNSKPICCPVQDYNARFGRLCSFCDEPRTILHPPCATGSHSNDDDDADADVIPDYNVDDAIRMYGSVAPELSEGRDLVESDIIYFDHERDAANLTQVLSDPSFKEEDNSLGRRRKQVPKQSMLSC >AMDW01035516.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035516.1:416:523:1 gene:AMDW01035516.1_FG001 transcript:AMDW01035516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGQEAAPGSGGNAAAAPARQGGGGGGRGRQYKG >KN538828.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538828.1:98264:99370:1 gene:KN538828.1_FG023 transcript:KN538828.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASSSFSRRSKLRRWTSRSFSSSKIIKRTVKPSKLTVCKFVKSKPTRPGESTKCEMFFQGEGALVKASAKVSGLQVQALHAGGGEQAEELSKPDKVHRRQRESPKHHSPEGFATNALQMLMLLWTI >KN538828.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538828.1:65915:68797:1 gene:KN538828.1_FG025 transcript:KN538828.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSDEDSEISDSEIDEYADKFYARLVAGEFKVKDGQSYSCPFCSGKKKKDFNINNLIQHASGVGAASNRQAKDKATHRALAKHLKNGLTKSSGQQSQTAVVEPQPLPNRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKIIPLWNFRGHSGNAIVEFGKDWHGFRNALAFEDYFGKEGYGKRDWKEKQNQGSNLFGWVARAEDHTSPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYNERTTSLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVKKILKLEQQVDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLLDGQSHIGIKRMGELDLEAFSKACRKMSSEADAEITAAILCSKWQAEIKNPDWHPFRFVLVDGQEKEIIEDDAKLQELKEEHGEDIYRLVRDALCEINEYNPSGRFPVGELWNFKDKRKATLKETVQFVLRQWRANRRKR >KN538828.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538828.1:14254:21796:1 gene:KN538828.1_FG026 transcript:KN538828.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTASRICTLLSILFVSCMLASAANDGLIRIALKKRPIMESIYGDLVPKSGTVDHEVALGYSGVRMDSADEGFYDPVTEAINHVRVHQQRMLKDIEAAAMEGRLKHFWSYRGFRERGSLKNGTQNHPLALKNFLNAQYFGEIGVGCPPQNFTVVFDTGSSNLWVPSAKCVFSLACYFHRKYESRTSSTYMENGTPASIHYGTGSIHGYYSQDQVTIGDLVVNNQEFIEATHEPGLTFLAAKFDGILGLGFKEISVEGADPVWMDSADEGFYDPVTEAINHVRVHQQRMLKDIEAAAMEGRLKHFWSYRGFRERGSLKNGTQNHPLALKNFLNAQYFGEIGVGCPPQNFTVVFDTGSSNLWVPSAKCVFSLACYFHRKYESRTSSTYMENGTPASIHYGTGSIHGYYSQDQVTIGDLVVNNQLLMSLTFLAAKFDGILGLGFKEISVEGADPVWYNMIQQSLVTDKVFSFWLNRNANDINGGEIVFGGADESHYKGDHTYTRVTRKAYWQFEMGDFLIGGRSTGICVDGCAVIADSGTSLIAGPIAAIAQIHAHIGATGVANEECKQVVARHGHEMLELLQDKMAVTWMQSEFVQNHTKEGKLEYANQLCGNMPSPVGSYVDCRHIGHLPNVAFSIGGRAFELTPEQQYILKFGEGFLAHCLSGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGKMRVGFADSA >KN538828.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538828.1:6124:9762:1 gene:KN538828.1_FG028 transcript:KN538828.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQPSFLPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEEGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREVMIEKPNLLYTDRRNRRSRTGSMDIESIAEGSNSTEFANKVYVGSLDDHSRDPRSSLGV >KN538828.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538828.1:106940:108504:-1 gene:KN538828.1_FG031 transcript:KN538828.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase 11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/Swiss-Prot;Acc:Q9SIJ9] MPMPLYGVVNSPCRSHCRAAATTLLVSVSLLCSCFAFAIAMPSVVVTVDQSGKGDHRRIQDAIDAAPANDSSRTVIRIKPGVYRRVGNQEKVVVDKPYVTLTGTSATSTVIAWNESWVSDESPTVSVLASDFVAKRLTFQNTFGDSAPAVAVRVAGDRAAFYGCRFVSFQDTLLDETGRHYYRGCYVQGATDFIFGNGRALFDKCHLHSTSPDGAGGAFTAQQRSSESEETGYSFVGCKLTGLGAGTSILGRPWGPYSRVVFALTYMSSTVRPQGWDDWGDPSNQRTAFYGQYQCYGDGSKEDGRVAWSHELTQAEAAPFITKAWVDGQQWLR >KN538828.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538828.1:59049:63142:1 gene:KN538828.1_FG032 transcript:KN538828.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYVHLQDFGSEILPKAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVVIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >KN538828.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538828.1:27222:27959:-1 gene:KN538828.1_FG033 transcript:KN538828.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSLPRRAWCTPANPLGTKIGRAFFVRNRQIKTREEPRYGGRCPAPFPQQQCDTPLPPIQISNRSQSWRSKRWRWGNKKAVEGRTRAAGKKWSLQSSWEHHVEIATAPRCNQACCLIHHDEEDGGSSLLPSPWLLHRILGVLLNLRRPHCLVRVTVAMSGEGGRRERRRGEGRESGAGRRLGAEAEVKWQRLGANEVVRSCPLALSSPSSRRFLLPYCRPHRVVLHAAELIGAPAKGVGLLVG >KN538828.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538828.1:78054:80398:1 gene:KN538828.1_FG034 transcript:KN538828.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVKGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPSNVGKTKPLLELAGSKERLTLWKADLGEEGSFDAAIRGCTGVFHVATPMDFESEDPEKEVIKPTVEGMLSIMRACRDAGTVKRIVFTSSAGTVNIEERQRPSYDHDDWSDIDFCRRVKMTGWMYFVSKSLAEKAAMEYAREHGLDLISVIPTLVVGPFISNGMPPSHVTALALLTGNEAHYSILKQVQFVHLDDLCDAEIFLFESPEARGRYVCSSHDATIHGLATMLADMFPEYDVPRSFPGIDADHLQPVHFSSWKLLAHGFRFRM >KN538828.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538828.1:103420:105406:-1 gene:KN538828.1_FG035 transcript:KN538828.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-11 [Source:Projected from Arabidopsis thaliana (AT5G03260) UniProtKB/Swiss-Prot;Acc:Q8VZA1] MASVVSSLPLVVSSLLLSLFALGAQADVKRYQFDIVMSNVSRLCHEKAMVTVNGSYPGPTIYAREGDRVIVNVTNHVKHNMTIHWHGLKQRRNGWADGPAYVTQCPIGSGGSYVYDFNVTGQRGTLWWHAHIAWMRATVHGAIVILPAAGVPYPFPKPDDEAEIVLGEWWHADVETVETQGSMLGMAPNTSDAHTINGKPGPLFPFCSQKHTYALQVQSGKTYLLRIINAAVNDELFFSIAGHNMTVVEIDATYTKPFAASTVQLSPGQTMNVLVSADQSPGRYFMVAKPFNDVPIPADNKTATAILQYAGVPTSVVPALPQTMPATNSTGSVAAFHDKLRSLNSPRYPADVPLAVDRHLLYTIGLNIDPCETCLNRSRLAASLNNITFVMPRTALLQAHYYGQKGVFAADFPDRPPARFNYTGVPLTAGLGTSLGTRLSRIAYNATVELVLQDTNLLSVESHPFHLHGYNFFVVGRGVGNFDPAKDPAKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVEDGSGPDESVLPPPKDLPKC >KN538828.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538828.1:110430:112295:1 gene:KN538828.1_FG037 transcript:KN538828.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGIRGKVALNPVLKLPQGELVVFTDQFEPGIGRRTSAGLQHLLQELLRLKMQGITKALRFHGKQLKLTVLQHMNKGIFSWATLISRIQTESPTVIIPHIGLENIRVREILNAKGEAKAGAVYWCCTSHLVHEAIKHMTAHNVGALVVLESGDEKQLAGIVTERDFARKILLPGRPSEETRVGDIMTEEDKLITVSSNTNILQAMELMTERHIRHVPVFDEKVVGMITIGDVVKTIVDQQHQEVKQLKKYIRGDYY >KN538828.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538828.1:69735:73081:1 gene:KN538828.1_FG039 transcript:KN538828.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGDPPRVHDGARLRRGVRHLPGEVRHGRRRRWWRVLRSREPAMQARLPRELRARVVLQGEHVSALEIRLDGSVPDAIDSMYRSDVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEEFDKLGSGAGDKNSDFLTSLKQHPQIAETKIAWLAKSFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQNAVMELLLSSSGLEWLDKIADKQLSEFRFANTTKPVSVYSDQTLADALHILSKEKIGVAVVDRKTSCLIGSIQCNDLYLLLDDSSLFRNRKTLSAVEFVKLNSKDEDINTEDSSASDGQSVLGLRTGQRKTAGLPVTNRKSDTLKQAMEKLTASRSSCSFVVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSSALAQTGCRVEHGQMIQNS >KN538828.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538828.1:39123:42196:-1 gene:KN538828.1_FG040 transcript:KN538828.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPLLRIQLFDSDSHAHQLPPERPQESSDDEDMVASPAAWDDEVELQSSEELVPHGTPTKKAPLVAPPPPPSPPNNQESESDSDSDSDDDQKSDSSDDEALPVPNPALQANKNVPPSDDDEDDDQESDSGDARGRKASALDAKIKQLTLAQVRVSLQGRGLEKLIAAGYILVWRGRVEMLLLEYTFTIELVNFRHGESFKEVQYANAPGHFAFDSGSYLYVMDIPISLGQKYENCIAPQLIEKYRSFAYLECHICPR >KN538828.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538828.1:83538:88858:-1 gene:KN538828.1_FG041 transcript:KN538828.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVVVVDCDTFANGEKGSIGLTFPSSSSLKSASSDSVADQNLHTPICHPISKNDCEDEDYHKRLSTHSASSEEVPSPDYPFLRVSNNSLHTQPIKVQPPLLAPSKLLNKKESKANGEKGSTGLTFPSSSSVKSASSDPMADQNLHTPTCHPISKTDCEDEDYHKRLSTHSASSEDVPSPDYPFLRVPNNSLHTQPIKVQPPLLAPSKLLNKKESKANGEKGSTGLTFPSSSSVKSASSDHMADQNLHTPTCHPISKTDCEDEDYHKRLSTHSASSEDVPSPDYPFLRVPNNSLHTQPIKVQPPSMPPSKLLNKKESKANGDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELMERKGDSFKLRKKPGHHRGTKSTELKESMAPEEVRVYDEKLTMRRIVKEEKTYEETALVNKNGDSSAVNLTHCDHNEKGVLPPRKPQHTVQSGSKLEQLGKWTSGAEFYELISPDQKCKTNSVTCEGDNVQTTNPSSKLGQFEKGKGETTSGDFVECGKSWDGGDIAELRMEHVNLREYAIGSTEDGCKAPTAPEISFSNEKPTYQESTETHFKECVGAQNYQEGYGDDGAFEISCVDSSKLHAPEIPGASLESCISGGHCNGNKSPSDASTKETTSLGESNKENNNIEALEVPCADEMQSQILQEYHEFRNENIDEKKASQVKVSKLEESVEYYETPNFQKSSSTAHGETETVEKEKMFSFSDELRLQNKNIGITEAPPESLIHKEIKKFGTEEKAYITLEGDVVQKSGSLEREANITLESASANENEEVEVANAFVEGINVMETHVSTYGTSVEDSDQIQDSENRMDGMGDLVSHGNEEAAKDPWLDNSEKSQVEEIFSHEEGQPSVEGGIDGGPNDAYAGVNAINDGNGNDSETKVIIDDGTDFNTKMSTCSKELNASFLESSASMQHLSQIDKSISAQTSDESTPLENLGEDCREREFPEENSTALEQGQAIGSKMEGDDKDKQSKLNLKDQKYFHLDSYIVPKFTENTTLNFVQKLIDETPDGQRIEGRENVKKTLRETEKEVLHRLDEDKEIYKMEREKEQAKERSRRELEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAERIALERITLARQRASAEAREKEEKATAEAATEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSFKSTNQDNQLDKQFQKTASNNYERSTDSSNQVVEFESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEYLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITATAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKILPGLEIPENKTRVL >KN538828.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538828.1:51875:52390:-1 gene:KN538828.1_FG043 transcript:KN538828.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSTSFLPTQAAAAATRTTLRSLVPSQRMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSAMVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFDPEEEGSAGAS >KN540379.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540379.1:1544:3040:1 gene:KN540379.1_FG001 transcript:KN540379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKGFEEAKPVIAALKEKGVSSIGAAGYCWGAKVVVELAKAHEIHAAVMCHPSLVTVDDVKEVKCPIAILGAEIDHVSPPEVVKQFEQVLSSKSEACFQGINQTHYSQGDMPDQIGHFVKIFPGVEHGWTVRYKDDDAAAVKSAEEALSDMIDWFNKNLK >KN540379.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540379.1:37952:38507:1 gene:KN540379.1_FG002 transcript:KN540379.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSFLPAGSSESESSEESVMDLILITALTGFICRSSGSFCHPVCGCKPEAQMGSEEWVMAVCRTIWLPQQFIEGMIHVSQTPLP >KN540379.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540379.1:14256:28706:1 gene:KN540379.1_FG003 transcript:KN540379.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVAGAAESKAAVVLISDVFDFLHGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPVIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGDRRCSMASSQCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAVVLISDAFGFEAPNLRKAFEEAKPVIAALKEKGASFIGAAGYCWGAKVVVELAKVHEIQAAVFLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSANPEIAHFVKIFPGVEHGWAVRYNHDDAAAVKSAEEALEDMMDWFKKYLK >AMDW01126071.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126071.1:224:3541:1 gene:AMDW01126071.1_FG001 transcript:AMDW01126071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAGDGDEHLLSLFASALSHRRFGDQELRLLDAALSAGADVPSLLHTRSSARCLLRKAAAQAFSSVPDLGTTLSIADFFARAFALAGDVESCLAMRYEALLLRQAEYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALVHIDPNHPGYLDSTNSILKKDKINDISGLQNLAKSLSARRSGENFRAMGILILMLWMGIWDPEAMRVSLASSAAVSLGK >AMDW01126071.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01126071.1:21249:21632:1 gene:AMDW01126071.1_FG002 transcript:AMDW01126071.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTTTTEQQQGRRRGAAGDGGRAWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPL >AMDW01126071.1_FGP003 pep scaffold:O_longistaminata_v1.0:AMDW01126071.1:13651:16934:1 gene:AMDW01126071.1_FG003 transcript:AMDW01126071.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRGQDAQAQLAVAQHQHQEVLLKQKPASEAVGEQKSVVVDQSSLRSQEAQVQWTSELQDVATDSGDGGFDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNAIKLLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYIMHK >AMDW01126071.1_FGP004 pep scaffold:O_longistaminata_v1.0:AMDW01126071.1:6166:6636:1 gene:AMDW01126071.1_FG004 transcript:AMDW01126071.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSGTPCASCKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQQQVSQLQMQLALANAETAALKLQLQQQHQDQDEHHQQQCILENAAAHHQLMLQEAFLKKESMWT >AMDW01011451.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011451.1:29:229:1 gene:AMDW01011451.1_FG001 transcript:AMDW01011451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGHIKTDWSQAPFVAYFRNYTADGCVPSSY >AMDW01040844.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040844.1:40:1701:-1 gene:AMDW01040844.1_FG001 transcript:AMDW01040844.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LISARSMSNDQHRSCRCVKSNCVKLYCPCFSAYGYCSQNCHCTNCKNREYYEDFVEERVDMIKMKNPRAFDPKIVRVQDENEQWMYANGCRCQKSKCLVHSCECLKHEVRCTSKCQCIDCGNGPRTKYDSNIGKDQSDVSGLTYEEPTMDTMQSEHTLYPNKRPKYF >AMDW01032868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032868.1:27:350:-1 gene:AMDW01032868.1_FG001 transcript:AMDW01032868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAK >KN543010.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543010.1:2190:5457:1 gene:KN543010.1_FG001 transcript:KN543010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMSLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLSPGNYNENTFKGLDFVLSEARKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTMVKKKKLSRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQWLSGKDDQAQLGFMGRWLDAHIADAQAVLRKPLLIAEFGKSWKDPGYSSGQRDALYGTVYAKIYESARRGGATVGGLFWQLLVSGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >KN543010.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543010.1:6140:9610:-1 gene:KN543010.1_FG002 transcript:KN543010.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQVDLILKLVGILCSWVCSNSRFWNFTAYWSHVSISTGKVVWTASDYWSHGMAIITQINEKIGATGVVSQECKAVVSQYGQQILDQLRAETKPAKVCSSVGLCTFDGTHGVSAGIRSVVDDEAGKSSGPFSSAMCNACETAVLCDRLPSPMGESSVDCSSLASMPDIAFTIGGNKFVLKPEQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLKVGFAEAA >KN541571.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541571.1:6064:7408:-1 gene:KN541571.1_FG001 transcript:KN541571.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIHPLLVPHSSNQPIGRPRGFALSRAHPRRARCSHRTPDWTSWWCIDHVVVWTLYSWSWKVYMDRGGTIGGPELERDVQEAEKKAAKKFE >KN539452.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539452.1:66984:68151:-1 gene:KN539452.1_FG001 transcript:KN539452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATSPAAPCLSVCLLPLLFLFLHGCWSCVAIEREMTLAMIKPDGLSGNYTERIKEVILESGFDIVKEAVVQLDAERASLFYAEHSGRSFFDSLVKYMTRNSKLLDKQKGAGHIYVADSENASADVEIYSSRNQESH >KN539452.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539452.1:31973:45337:1 gene:KN539452.1_FG002 transcript:KN539452.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEVSTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPDEAEADGGGLRASEALLRAADAGEAAAAEGGGRAAWRSPYHVVVVPAAELKKITSNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFSAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPA >KN539452.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539452.1:73184:73879:1 gene:KN539452.1_FG003 transcript:KN539452.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVNFPQRTRTQFLPSSGVKRRMPSPPQVSASSESSDGFPPEPPLAAANGKRRREVEEEVNGADSGHRTQGLRELAQAIRRFGEVYERVELAKREQELRMERDRLEAARELEDQRVQFFLKMQMELSKANNAGASAAAAAVGAVATAIAAADGNGTRRTAMASDVGTSSNHHVQYRFKDSRHRHAAPQQPQQQYNENNAAEAARGAGNGSDTDNKEDEDEAEDEEDESQ >KN539452.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539452.1:88786:93402:-1 gene:KN539452.1_FG004 transcript:KN539452.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFSNKVIELAVLIVMMLWGFAFTKTIRSGADLGGFDGEADDDDGVELEKSNVLLMGPTGSGKHLPPNSKLNVDVMGTVNYFFYNTRSTITERALSTVFLDTLFVSQAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >KN539452.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539452.1:11319:13387:-1 gene:KN539452.1_FG005 transcript:KN539452.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVLFRTICYLQILRMKGFAREFCRFADIAAVLESHRRIRKQLHRISHRYRRFILCCLVLVTASQFAALLATTRPHAQINLATAGELALCSLSLVAGLLVCLQSAAKITHKTQAITSVAAGWHADATINAFDNDQEDPNPDLPRIVGYLVPVNAYWMASGESSSDSSSSSDDDDSGHPKSKYIPFQNNHCFQQRQALVTYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTPCRDF >KN539452.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539452.1:81245:88169:1 gene:KN539452.1_FG006 transcript:KN539452.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTDGAAPVICSSSSNDSSSGSEHSAAMPAGGGFLVGGVLPSMAAGEETPQSFGFGSLFNGDLLQEANGSKYHHQQQQQQLGVVPSSQPHHLNEFSSGLHHLNLSSLISGPLASFNATQSHRQPAEACSGKNDGAAPFVNLSEVLPKGNGSGSAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKDLQELVPNSNKTNKASMLDEIIDYVKFLQLQVKVLSMSRLGAAEAVVPLLTETQTESPGFLLSPRSSSGERQAGAGVVTGGLPGDQPELLDGGAMFEQEVVKLMEDNMTTAMQYLQSKGLCLMPVALASAISAQKGTSSAAVRPEKKKNGDGDGGGDEEDVKGEFDAPRRPPVGRPKEMRKLLYGGLVPSWIEKLLELRSEIDDCLVLPRQQPRPELGGVDVAEAILGDPAAVTLLVESSSSSRREKFQRDFLFIYFWILGSAADAVEYRSGGARRSKLFSGCAGVAEGGAARRGGMDTMRGALERARMLVGMEVDEESAPEEQSFFDDVTRNCALTTTQASSIPTPPTQPGSIRVPRSDLRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGRWGFNLLNYVYINVNLYFNFAMVHSKLLTLLAIILEFGALVWLLLDFPASQVLPECNFGIYLVWLSSTRQTLSVGGN >KN539452.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539452.1:6661:10114:1 gene:KN539452.1_FG007 transcript:KN539452.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDWRTTANRATVIREGAMKKEERKNRGSQGLMAEEKMVDAHRRSKLYVKGHLSINYEVPYLYYFEEMGRSPRGRNLPARRRGSSSSSSDLPSCCWKMKGTCEQNDIALVSEKKEWKGASCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNHQHSNCLEHFKEAYAKEKLAHSVLIESSPGLSLSSNSQPASKQQCAMELACPLCRGDVKGWTVVEPARQYLNRKKRACMHDGCSFIGSYKELCKHVNSKHPSAKPREVDPAHADEWKKFECERERQDAISTIRSMTPGAVIMGDYVVEFNGGSNNNLLSDGDDLEERLNFFTSLDRTLNERLDFYESSDGSLDDSIDFLASLFGHGRRIASGDSYTRAYRRYRERPRRNVTASSVAAPDIQHDSANTRRGRVGGIRAIGRTSRRHHPVVTHVRSTHGI >KN539452.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539452.1:46039:48212:-1 gene:KN539452.1_FG008 transcript:KN539452.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCTPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMGEMGQKGCPPNVVTFNMLISFLCRKGLVEPALEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDVAVELLHQLKDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIAAGLCREDRIEDAIRAFGKVQDMGIRPNTVLYNAIILGLCKRRETHSAIDLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARDLLDELCSRGEEILLDVHLIDVAFLTTFLPCSNANGGPFSDCHQKVLEQTVILSLTVHQD >KN539452.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539452.1:98009:99541:1 gene:KN539452.1_FG009 transcript:KN539452.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKALADRFHRPGGVDLWNDRDGPRVFAAPDTGRASARFFPKGSVHSIQPYGLVNGGPESTLAARGNPADATDRSHRHRLQGVRENAAKKEMRGVGGDREPAVEYIERGGVWEPVSNLDGGDDDDSSDGGWNDGIVTSDLEDMGDVDLRPEQRAMVGRDRRKDNAARWEATKSVAIGSDGVRDQRGNGFSLEPEGTSEYHLGQSWQERNSGSRGKRPAGRRKALNTDGGSAIGKDRVVGGSSFSDSEVTRNGFEPKWRSTTRGRTTNDVRRWNPPNEGGRNVPRKGWTDDEFGSNSDSGMDHKLMPKWKTQNRLNRSENGRDRPELKYMANTNNGERTRRYMRGSDGEGRRDRFVNRFASDLEEPKWKPRRKSGAGNDGDGRRDRFVNRFASDLEEPKWKPRRKSGARMNIGNREYIDDMNGRFRRGSDGDGMNGRFRRGSDGDGMNGRFRRGSNEAARLLDAMDSNREVGSEEGSYRMSRNGGQRRGDEYSLRPTSELHNSRRPRESNEM >KN539452.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539452.1:56908:58875:1 gene:KN539452.1_FG010 transcript:KN539452.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGGATPSPAAKKANLLDAYSIKHLLDETVSEVAKSKGYPEDARLSNRRLAVGAAVIAVALLAQFYPRKFPENRDVLLACIALYAALNVALQIVTYTKEKNAILFTYPPAGSFNRTGLVISSKLPRLSDMYTLTIASADPHSKSSSEPVHFTKSVTKWFTKDGVLVEGLFWKDVEKLIDDYNSDHRSK >AMDW01117721.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01117721.1:596:718:-1 gene:AMDW01117721.1_FG001 transcript:AMDW01117721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKEEYKVRYNTTVTADVRGDTSGYYMNTLLTLVGPEK >KN544427.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544427.1:1885:2022:-1 gene:KN544427.1_FG001 transcript:KN544427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSACADPANYLIWDGIHLTEAAYRSIADGWLKGPYCNPPILH >AMDW01036074.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036074.1:9:411:-1 gene:AMDW01036074.1_FG001 transcript:AMDW01036074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQCETVDAVGMVPMEEEKKSKEEIHLKIKSKDKSSGDEDEKKEIEIEVKAKIVDKEEVKLDSDDGAKSAVKSKDSKKDKEKKKSDKKDDEHDDEDEEGKKKDKELKEKKKDKSDKKEEGKKKKDGDEEEE >KN544563.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544563.1:1141:2805:1 gene:KN544563.1_FG001 transcript:KN544563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLFLGLAVLTLLLATAAAALPPPGCQRTCGGVAVEYPFGIGPNCSLSDGFSLDCVRDTPQLRLGTKQQQQTVRVLGVDLLHGKIRATNAIASQCLDASTGKLISMNAVLENMDVRMG >KN540272.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540272.1:27009:28166:1 gene:KN540272.1_FG001 transcript:KN540272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHCNNMQFRCYRGFWISEMWAPGVVAVHRSFAPRADDVIVASLQKSGTTWLKALTFATMARGAWPPSSHDHPLRRLNPHLCVPSLEVLYTQGRDALLDMLPSPRLLSTHMPLSLLPPSTCKIVYICRDQKDTAVSLWHFMKRRHPDLTFSEVHEAFCNGICMGGPAWDNILEFWYASNAEPTRVLFLTYEKVLQDPCDAVKKLAQFLGQPFSGAEEEAGVVTEITDLCSIDNLRNQKANKYGFIGGKISHESFFRKGMAGDWTNHMTLEMAERLDSILREKLDGSGLIV >KN540272.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540272.1:45915:47657:1 gene:KN540272.1_FG002 transcript:KN540272.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDHREKDKGKSIAEVDKFGYIEALNTDGTEDDSEEEDVDIDKVLKEMRRERDDPFLHCEGDTDVKDLFVRSKSNRFVLEESSYSQEVTFAGTLLHGNQSTGMVSTDHSMGRGRAREEAMQGEEDVQEEEDVQCIGLVLIIQVILLQAKYRTLDFRYFGVGLRVITLNHGREMWLICDAHLNHIFYARHVIL >KN542321.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542321.1:555:2718:-1 gene:KN542321.1_FG001 transcript:KN542321.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPEKKMKSKGMEAREVTVVKKHGASHKNSESEKLPCVSSDHKQSSEAAANTEPHNGSPVTARTGKKFTFRELATATNNFRSDHLLGEGGFGRVYKGQLENGQLVAVKRLDLSGFQGNKEFLVEVMMLSLLNHPNLVSLVGYCSDGDQRLLVYEYMAHGSLADHLLENTPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDSEYNPKLSDFGLAKLGPVGGKAHISTRVMGTYGYCAPEYIKTRQLTTKTDVYSFGVFLLELITGRRAVDSSRPECDQVLVKWAKPMLKDPSRHHELVDPLLRGDYPRGDLNQAVAVAAMCLQEEASVRPYMSDTVVALGFLAEVPSGYKEKINTVPQNKQDKDPSFTGSTKQDQRSFDRQRAVTEAIEWGATRQKQKAQIQEKTSHLQGIVAPTETNRL >KN542321.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542321.1:11565:13873:-1 gene:KN542321.1_FG002 transcript:KN542321.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPPPILLLLLLLAAAAGADYAATALRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPNSGAGRALYATPVALRGGFSTQFAFTFGDVNGNHVGLDLGSMVSAPVADLDGVGVELTSGRTVNAWIEYSPKSGMEVFVSYSPKRPAEPVLSAPLDLGEYVKGDAFVGFSASTQGSTEMHAVEWWTFSTPTSSSSPPSKPSPRMAAPSSPPPEAPDSVSDYNALGLNDLSDDSSSDSLSSSSLTSTLRKGGHDITGFSSAAAGDAAR >KN542321.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542321.1:5528:7946:-1 gene:KN542321.1_FG003 transcript:KN542321.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPDKKMLSKRMEEMPFTVVKKASSQHGSSLKNSESDKSPRGHSNNKKSSVAAKNTEPPKRIPITAKAERSFTFRELATATNNFHPDCIVGEGGFGRVYKGQLEDGQVVAVKQMDRNGFQGNREFLIEVMILGHLNHPNLVNLVGYCSDGDQRLLAYEYMALGSLADHLLDITPDQEPLSWRTRMKIAHGTAKGLEHLHEKMSPPVIYRDLKSPNILLDKDYNPKLSDFGLAKLGPFEGDKHVSTRVMGTFGYCAPEYVRTGVLSTKTDVYSFGVFLLELITGRRAVDTCRPVCEQILAYWAKPMLHDRRRYHELADPLLRGDYPDRDFNQAAAVAAICIEDEASVRPYMSDIVVALGFLAEVPAGCEERINAEPQNRKDEDPSVTGNTKKDQSTFDRQRAVAEAIEWSSVRQKQMAQIKEKKAQPQGIVAPKTNKL >KN540933.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540933.1:3207:11817:-1 gene:KN540933.1_FG001 transcript:KN540933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPREVALNVAEPPRLSTLTVPAKFHALPSNPDELPYVAAADPFGLLVHTAASPSCGLNLDDDPPGSFAVLREFLPVGASNPHEATGIAERVPERVGGSVPHISNLKNVGFLTSPGTGGKDYAIAELQIEAGAELAKLIVFRSGTPAWAFHQLPEHIPIEDQNKSQRDIEADRCVRVSKERLRYVEITRVHNAPVESTTVVVWVLICGPRSMSYWKTNCMAFLGDIWENETYKATGLPNQVPILAGIHPSNPDLVYFFLEHHLFGVNLYKKMVIHFVDEHYQLLQPIVRSRSLQPLSWRQVQLWKLPPSLHAGSIQLSAQHASDLGNLRLKAAQLRRQEHALKRREKMIEMREESVQGLHKSLLAPEEKKDDSRWDKVQIVILAVLISGLTLLFPFLPWLPYEYLSTIVIAFSIVVGCCCIALPCALFGSNKWQTCCGESVARVGFMLFSLFVLYCLYRMALDPTLEMTGHSAPPAPTDPGFAWQLVRTYEIIAVVVTSGQVISWVLHVMENRVVAVERHRYQEVFRGTYFGGWFLDHKVSISVVKSSVLVARLRALRTGNLNGGELVCGGGAGVACVDDEEDPREVALNVAEPPRLSTLTVPAKFHALPSNPDELPYVAAADPFGLLVHTAASPSCGLNLDDDPPGSFAVLREFLPVGASNPHEATGIAERVPERVGGSVPHISNLKNVGFLTSPGTGGKDYAIAELQIEAGAELAKLIVFRSGTPAWAFHQLPEHIPIEDQNKSQRDIEADRCVRVSKERLRYVEITRVHNAPVESTTVVVWVLICGPRSMSYWKTNCMAFLGDIWENETYKATGLPNQVPILAGIHPSNPDLVYFFLEHHLFGVNLYKKMVIHFVDEHYQLLQPIVRSRSLQPLSWRQVQLWKLPPSLHAGSIELSAQHASDLGNLRLKAAQLRRQEHALKRREKMIEMREESVQGLHKSLLAPEEKKDDSRWDKVQIVILAVLISGLTLLFPFLPWLPYEYLSTIVIAFSIVVGCCCIALPCALFGSNKWQTCCGESVARVGFMLFSLFVLYCLYRMALDPTLEMTGHSAPPAPTDPGFAWQLVRTYEIIAVVVTSGQVISWVLKAILTNSMSWKTELLLWKDIDTRKYSEVDFWITKYPYP >KN542374.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542374.1:9399:12539:1 gene:KN542374.1_FG001 transcript:KN542374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPHATASADEMPSIWKEQHAQDAPPGFVPPMGPGEVAAVESLLGYEFRDKALVEEALTHGSFYYPYRPGVTYERLEYLGDAVLTCVVSREVFLTYGQLQPGPLTRLRAANVDKEKLARVAVVHGLHHFLRHKAPNLDGQVFQKLADPLISLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLTVEVLIDGEMVGRATYAQKKEIAQNRAAKAALDKLKETLGQSQTEPMSAEVSEQFNKIDLTGS >KN540933.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540933.1:35555:37511:1 gene:KN540933.1_FG002 transcript:KN540933.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATHRRLRPTCSVARFITHRLLSSTSSPPPPNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPDLPPPYDPFSKKPAVTEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWRDVVRTYDRMLASGVTPNAYTLMVLVKGLAASDRFTEAGKYMVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPRLCGFTVSKSAWWQEEASAQGYRLQQAQHQGITQLKFQRNKRTPPNFEIILVDVAHSAIHDDLRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSFPRATWKRNQTVSLRRYR >KN540933.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540933.1:24314:24848:-1 gene:KN540933.1_FG003 transcript:KN540933.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWYTKLALPFLISFLVNGMRQLIEYEQKLFNSTVGIPAQAFVPTDAFPSLGFGFPKPSGDIQVPIFNQQAPASIFERVSPHNIPSNFTFGAERTTEVKLPDNNSFGVVNSSGGDSMMDSS >KN540933.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540933.1:14693:20165:1 gene:KN540933.1_FG004 transcript:KN540933.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MGSRKLVMYLCATKAIGQVKFSVEVPPSICQQCYETTLQEYAKRFKIPGFRPGKVVPENVLINYVGPKHVQDATVEAILRHTLPQALSSVEDRALEDSVRILTKFEDMANSFSLDNVFRYDVSVDVAPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEIELKRRHKALGLLRIVADRGLQVGDLVVLDIFAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPLQFPESFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTMDQVRERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQKSVQEYLESERENINRIIKQMLAVGEIFKAENLQFSTEQLVKEVESSIEEFKHYNQDYDEGSIKQQVQDVLEAAKVLEWLKENCIIEYIRP >KN542169.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542169.1:3414:9277:1 gene:KN542169.1_FG001 transcript:KN542169.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSTIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTIALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLTSLIATKLFYISGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVNYSSSGVLLCSTSHSVTNVKVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMFHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEM >KN538737.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538737.1:258942:261698:1 gene:KN538737.1_FG039 transcript:KN538737.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEEQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPPIYMAPLLPPNNCMSKLITRADDTEEVVRNRLQIYNDMVFNVVLGKYKSCTMLICSVGSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >KN538737.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538737.1:215776:217388:-1 gene:KN538737.1_FG040 transcript:KN538737.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQATTPQSLERSHCFTSN >KN538737.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538737.1:308434:310030:1 gene:KN538737.1_FG042 transcript:KN538737.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEGGFGTVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCKEERELLLVYEFMPKGSLENHLFRRGAFDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHVTTRIIGTYGYAAPEYVATAKIPEISVVYIGAKD >KN538737.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538737.1:312014:314738:-1 gene:KN538737.1_FG044 transcript:KN538737.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQKVNYSAEIENICNALKEVDLRSSDVSSDPRSKVVDASYRAIIPEIMDVLRAVCDTHNLPLAQTWIPCICQAKRGSRHSDESYKHCVSTVDEACYVRDRSVLGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITTYSKTQYPLSHHAKLFGLRAAVAIRLRSVKTGSLDFVLEFFLPMKCINTEEQRAMLNSLSNTIQQVCYTLRVVKPKELVNDGPFEISQPTRPEFYAKSVHENMDELCSGINVPGRTTSLEASEEVSSWIASLVDAQNKGGKGEIDVDLPFGFSKQDDEGFSVTAGWHTSPVMAPDGSMFSGFKRHEDYDVNENTCSSDPSNSNSDKAVEKRRTKTEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGPEGTVQLSSLYENFTKTTWSERELQGDVHFPASEQNFQLEPSVPDRPCEGRFTSHTSGSNSISPSCSQSSNSSLGCSSVPKTQQQHGSAPQLAVKEEISMDENQCSTLIKSASHAEAELQMFVEERPTMLFRSQSQVLLSEHKPIENMSNVQKARSDSLKIKAIYGEERCIFRLQPSWGFQRLKEEIVKRFGISQDTHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSNQTVRILVNPSIQPLLNASFGQTGLS >KN538737.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538737.1:302000:306643:1 gene:KN538737.1_FG045 transcript:KN538737.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFSPEVDDDLKPSKPNYHSSDESSGADARRKVAPLATDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTRDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWFLNSVASVHSIIGCALLGQQPSDMHQSGLEAWEQCT >KN538737.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538737.1:273281:273649:1 gene:KN538737.1_FG046 transcript:KN538737.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVPAFSSPPPTALCKSSPPPTSACMCSSLLESTSASAAGVGGEQSSLNAAKKGSSTAERHLMTSSSGSSGQHSPSPSTRSSKGTSPSTKSKATTSWRETYGVGFRIDQVQKLIRGFRID >KN538737.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538737.1:249086:251340:1 gene:KN538737.1_FG049 transcript:KN538737.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPKRPANYVPLSPVGFLPRANAVYGDRTSVIYGRVRFTWSQTYARCRRLASSLLSLGVRNHDVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDARAVAGILRHSEAKVFFVDYEYVRLASDALQLVADEGRHVPLVAVIDDIDVPTGVRLGELEYEGLVARGDPAAELPSLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTMSLLMSWVVGDEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARAAAIYRAIARHGGVSVLSLADADVKDAKTMASVPRDGKTVGEIVLRGSSIMKGYLNNPEANSDAFKGEWFLTGDDVIISGGENISSVEVEAALYQHPAVREAAVVAMPHPHWGETPCAFVVARDKAAGVCEDDVVAFCRKHMARFMVPKKVVVYDAIPRNGNGKVEKNLLREAAKKLAPAAVPAQKTKVALCSLRSK >KN538737.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538737.1:262060:269935:-1 gene:KN538737.1_FG050 transcript:KN538737.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIAGGGQAPPQMAAAGAPRPQVNAAVSPAPGQAGAPQPQMNAAGAPRPQGSGMMPASAQIGGAQQVNAGAVPRPQGVNSMMPAASQGGVLQPTQFATQRGMQSQPPSMGFNQQPPPSSTGFMRPPQPGAPAASLQGQAPGINQVPLGGGSMGGPAGWRGGNVGSVGGLPQATPGATAPQQATPGGFGLTLSSTMGMAPGQQAQGMPPSSMPPQSNSAVSAQDSKALVLSGNGSASGLGASNDIFSALTQPKSNVSTPSFPTSMAPNSSSFMSTPSGSQNLSNLAQFGSQQGIPTVSSGGSQPQQTHPITKPSVPAPTVSGVSAGVSNSASQWPKVTQSDIQKYTKVFGDVDRDRDGKITGAEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLKYDEVLLRATGLPSTAYNGPSWQQNQGLPHKGPGAAGMPATGVRQPLPPHLQAQMDGANRPGQPRPHMPGMDNHAAPQANKDDRSGANSAVQEDAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEHAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKQEGAIEWDEDWDKFEDEGFGFVKDNGTIVENPVASENVKVPSLWNDMDESPVASSNGHIKAERHYRAGDHAAESDLGYDFGEESVRSPSSAGRSASGSPFVSSNFAMHDSSPSKKETYSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNAMNNESDHHGSAHNSFFGSDDFGVNPVRVGSPSGASTYGKKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNFGRFDSFRSQESGFPQESRFSRFDSINSSKGENATGFDSPKSSTNFGRFDSFDDADPFGSSGPFKASDLAFLLCQKSVFPCCIFGACALEWFLSIVLSFRGLEQFCLWSVARWHYILSPQIQPQSMPFKNSIRQVPDKNKRTTSFRIFSTLYEFCRLLAQISNILST >KN542169.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542169.1:10796:17474:1 gene:KN542169.1_FG002 transcript:KN542169.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWQAIVVVVVFLVLLFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGVNTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKKGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPWFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLQDAIDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYVALGNEDCAPFKLIYQENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFERTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSETNKVVPVTSELPNAAEQMQVLHLPYSICSFDLALV >KN538737.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538737.1:326231:330428:-1 gene:KN538737.1_FG051 transcript:KN538737.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding LVVRADLGLSVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRAAAPPPASTAPPAANNVTPAINATTATNSPAVGFGGLLHGLGGSGSANSGGLGSFGSGLPELSQMQQQLSENPTLMREIMNMPLMQNILNSPDLIRNIIMNNPQMREIVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRSSNPFSALLGNHGSNQARDPAANSPTTTSESTTGSPAPNTNPLPNPWSTTAGAAQGATRPSPVTNARSATAGGLGGLSSTDLGGMLGGGSDTSFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLISFQQSLSQLGQQQAGPERTQSGAGAEYFNGTTYESTLMPGNTNLNNLMSMFSGLGAGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNIG >KN538737.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538737.1:274628:285020:-1 gene:KN538737.1_FG052 transcript:KN538737.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGRSPPPSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKSIKPPPLDLISISIRYPDIAIAAYCWISLATSATMLQYLDFSHASTSRKWSHKRQGEGFEAPRNSMEFTLEAPQSYGIFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHSEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAISSSEWSKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKRIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDKAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPPLSHRRRTASASFDEEPRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSSEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREMKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQASTTLMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >KN538737.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538737.1:288189:292993:1 gene:KN538737.1_FG053 transcript:KN538737.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSRDLDPVSALILSIEGNTIRSGSRKRLTFLRFHGEFDPDGCCSMLQDDEAPAAAVVVKVEVEEKKKKVASKATGKGDAASDGGPTTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDHEELPDYHEIIEHPMDFSTIREKLLNDSYTTLEQFENDVFLLTSNAMSYNSDDTVYYRQARSIEALAKKDFENLRQASEPEEEQQPKTVPRRGRPPKYAKKIEKTENDVSPDLSNAKTKSADHTETIRKRLTGDRTRNANITTRDSPFLQHNTPGSFAGKRTDRFGDYSGPSKYGKKTTPTISDDERRSTYDRQYFHSSPLFSALDGERKVLVPVGLQQQHAYARSLARFAAKFGPVGWDIAAKRIRRLLPSGTNFGPGWVVDGEPPENSQWPRVPMLSDPSIQSTGVPASNVISKNDESNQKSGLTSNEDSGEEHLARTEPVASTSACVNTNSVSATKLATKCENGANVSCDGVGSTGQTPPLQQHSHSREIHSNMNGFTAVPNTISQYAGQGFLGQMQLTHAQVLGMFSGVNGRTNGFIHGHPLVANSIKAPQNGDVGKVATNPSPDAGHDSEAALSQTMTSSAPSLSAGVQPSGSMPSGKLANPKKHPDLALQL >KN538737.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538737.1:229649:229984:-1 gene:KN538737.1_FG054 transcript:KN538737.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAGVQLGTSKPQIATQAEMSEARLPLPYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMIQMQKIREAQEAKSKGAATIGVPLIPSTAKLS >KN538737.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538737.1:257158:257512:1 gene:KN538737.1_FG056 transcript:KN538737.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKVFSAMLLMVLLLLAATGNGKSCREFDDVAVVVSIAGEMGGPVMVAEARTCESQSHRFKGPCAHKENCASVCNTEGFPDGYCHGIRRRCMCTKPCP >KN538737.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538737.1:322186:324842:-1 gene:KN538737.1_FG057 transcript:KN538737.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHVQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLFIQTIDPDHEDRFDFDPLDVTKTWPEDIVPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIEVDYFPSRYDPAKHAPRYPIPSATLTGRREKMVIAKENNFKQPGERYRSWDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPSM >KN546241.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546241.1:310:597:-1 gene:KN546241.1_FG001 transcript:KN546241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSCVVAVPRALPLQPPRRPPRRRTLSSSRSLGGSSAGRHAIVVECDAVLKALSLLSPKGGDAPRPGGLREKPRHSEKPT >KN538877.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538877.1:184305:184850:1 gene:KN538877.1_FG033 transcript:KN538877.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTSITEIAAGLDKVTGLVLALRAGFEAKRAAVETTPTTPSPMPTLPKVEVLQPLATAPPSLPSSLFAATVEETVMTMSSPLPSPHPAEVLQPLVAAPSSQMSFASKAAAVLPCLSTVGPALAGALSSPSQPRETIVSRHHRSLLRPRQQRGIFKQRLRLRYAVLDGGENHVRRHRRGI >KN538877.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538877.1:105098:107966:-1 gene:KN538877.1_FG034 transcript:KN538877.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLVILVLLLSCSLQLLRAFPFPIPFFGPFTSPQDVDAINELYASLGSPDLQGWASSGGDPCMEAWQGVQCLGPNITAMDLSSNRIGGVIPESLPPAVKQLDLSSNSLSGKLPDSMAKLNSLSTLHVQNNQLTGTLDVLGDLPLKDLRNGNHLTIPTMPGSSPTPATIPGSPPTPAAAAAAPPSGASHPPIYVIPATPQGAAQGDPPRHGKKVSPAKAAGFSILAAGSLTIAVLLIVFAVSKRRRETSLHGGFLRGVEMSTPDWSGKPSGQSAVVKVDKEQSAVAEEKDTKGSISSYQKNVQESLQSHPLQFKFTIFTVASLQQYTNSFSEQNLMRQTLFGKIYLAEHQDIKFAVLKLDEAMARMPVDEFLRMVQRISELQHPNIEELAGCCVEHGQRLLVYKHFSDETLDDMIHLKKLASSDDPAANITLPWDARVAVALEAAKALEYLHEGGQRQVVHQHFRPEHVLVDGEMRVRVSGCGLAAAVKSGLDLQSECWLDALSYEPPEAAAAPWTDKGDVYSFGV >KN538877.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538877.1:186442:187270:1 gene:KN538877.1_FG037 transcript:KN538877.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MASREATREVTRGSSRRWPARYTFTDVLTAAAQAPARGQRRVADETEYEFKEREGKAPMEEFESNPEATVSNPWRTRGEAGLHPWRTRVDRTHGEAGPNPWWTRVDCTHNYGERVEDLFPQVKPSLSPSSWIWVWKGTAHSPHLGFPVRGEEIRRFGAEARRIWKVPEKSQTRGRRPIWGVVNGGNNGVVNRASLEENGGNPGMGIQATSRRKNGVKRIFGGNYLRKTKRPLQLLLQEMVANQSVLLSMEEER >KN538877.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538877.1:153537:154125:1 gene:KN538877.1_FG039 transcript:KN538877.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTDSITRVFPVGGAAAADEQGLLARAFDAGAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVLELVTTAPLLRVSGEVANLCNALHVVSLRGAGICNRAAEVRVEIT >AMDW01036309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036309.1:24:587:1 gene:AMDW01036309.1_FG001 transcript:AMDW01036309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHTSLLSWNNAGAAEGQHIIFDLVCRNNKFNDDLAEMTVCNSFHEAEPAVSKLFPDLLPIGPLVADRELRRPVGHFLPEDAGCLDWLDAQPDGSVVYVAFGSMAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRRRVAGRGVIVGWCSQQRVLAHAAVACFVSHCGWNSTLEG >AMDW01024214.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024214.1:115:210:-1 gene:AMDW01024214.1_FG001 transcript:AMDW01024214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPDSHIHKDECCVSFDTP >AMDW01040919.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040919.1:267:3246:1 gene:AMDW01040919.1_FG001 transcript:AMDW01040919.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAPATTSWRWRLLLLLLLAVAALCWIPPAIAMAAAAAAATTSSGGRRSLLGFVEAQGNSSYRCSPSGPCVPCQYSEKSDEKYCCSETGYRLPLKCVEVQNVTKEGNNTKQRKVLDDASTSGGSKHYTTYRSCVPLEDEEKLSVLGFEVMMAGMLLISGPFVYYRKRRTAIMQGASRIPTSPPRF >AMDW01040247.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040247.1:201:1086:-1 gene:AMDW01040247.1_FG001 transcript:AMDW01040247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQARKGKDKTRYSEHGEKEEEKEEVKTISGIINSALSDDDDMLSEIESLLSGEIDIPLPSDRFDVKERSRYNSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELHRQLKIKMVEIDMLKMTINSLQEERKKLQDDVARGTGAKRELEAARNKIKELQRQIQMEANQTKGQLMLLKNQVIALKSKEEEAAIKDAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITE >AMDW01024770.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024770.1:58:337:-1 gene:AMDW01024770.1_FG001 transcript:AMDW01024770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGNIILGAMWKVVSATIKAVKTARRNKARCRELALRAKEVKGVLREYRKAASGGDAATTTRGILCRLKAAIDDALKLAESCGRRSRSNNG >KN540826.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540826.1:11085:14327:1 gene:KN540826.1_FG001 transcript:KN540826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEVAKLKEQKAELQKKQVEMIQKQKDEVMERITQQLGPKAKRFCLRRTLTGPCCCICSVCCCCVDMSSRLQFSDSVLYPSLRGFSFSDLHVI >KN540826.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540826.1:36420:38624:-1 gene:KN540826.1_FG002 transcript:KN540826.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLVAVLMTMPPLVVIFSGRIGDQAMWIKMAVDGIRGGTDDDVSFMKHPTTSHDKLLGGLLVDGFDQESCHSRYQSAAYRRNAGRRPSEHLVSKLRRHEDLQRRCGPGTAAYSAAVEQLKSGKSPAAAEASPEACRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFAGTTWLLPPEFPLVGYQSFYLHTAERYGKMREDRVLRPDGGEAAAAAPPAFAYIHLDYNQTDYDKLFFCDEDQAADEGLLPRVLDDTDQEPPATAAASAPRRGLRPSAVVITSLKSWYYEKMKGMYWERATESGEVVVFDQPSHEEQQMYGGLGGLTPWVLPRREVNGTAPPCRRDMSMEPCFHVAPLYDCKRWEDAGKIVPHVRHCHDMPAGLKLVDRTEW >KN540826.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540826.1:26728:34040:-1 gene:KN540826.1_FG003 transcript:KN540826.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLFALLVLGGWASASTVWQSAARLTAVTAGKLFVICSMRLYLEVVRGKNADVLKLRDYEARHRRCGPGTPGYAKSVEQLRSGHSSEVMECNYLVGLPCNGLGNRMLSLVASFLYALLTDRVFLVHFPDDFADHFCEPFPGGEGETATTWVLPPDFPVADLWRLGVHSNQSYGNLLAAKKITGDPARETPVSVPPCVYLHLAHDLRGDDERFYCNDDQLVLAKVNWLLLQSDLYFVPSLYAIPEFQDELRWMFPAKESVTHLLARYLLHPSNSVWGMVMRYHHAYLAPAAEMIGVQIRMFSWASIPVDDMYKQAMACSSQERILPDTDGGDAPAPARTNASGGGATTAILVTSLQAEYYERLKGSSTKNMKNPRFLSNVGRTTGFLCNKTQITKNHLLAIPRQLEE >KN540826.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540826.1:17387:18273:1 gene:KN540826.1_FG004 transcript:KN540826.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAGKDIAKKVGLVYQFNIAPKKIGVDEEIFVVDLKKGEVTKGPYEGKPDATFSFTDSDFLSIATGKMNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPSKL >KN538771.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538771.1:89779:97875:1 gene:KN538771.1_FG001 transcript:KN538771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITLDLRPGNGVGPFTLGMPISDAFAQIEGQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEESQLSSLIVMAVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEGHEKWIYCNCDPLPIMRSRDRGPVCAGITRAIPQVPDHMVGEIEMEAIQEGRNLSDFRYGCIGYSMYLDDKKSSDGKGDKHPQLPICVGIELLADRKTSTNQASTNQASSHHKKEAPQPRRYKPAQRGDDFLTKFQRNAGLVANGVARNLNKVGAYIKDTMDDIMYPYRKRPKPVSQVLSMPTKPTAPGAFANPEYICVVTFV >KN538771.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538771.1:29761:30429:1 gene:KN538771.1_FG002 transcript:KN538771.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDYVFKVVVIGDSAVGKTQLLGRFTKDEFFIDSKSTIGIEFQTRTVEIGGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRSFHHAARWLHDLRAHADNSIVVMLIGNKADLSHARAVAADEAAAFAEDQGLFFSEASALSGDNVEEAFLGLLREIHAIVSRRSLLEMDGINADAANAALMLRGTKLSLSDELSIMETSAIKRVSRCSCS >KN538771.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538771.1:2618:2935:-1 gene:KN538771.1_FG003 transcript:KN538771.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSSSSSRPAASKSSASASASAAARREKQTRRKEWGEMSMAEKAGELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSIGLYQLDAPPLAPTDVFAGSP >KN538771.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538771.1:147670:150514:-1 gene:KN538771.1_FG004 transcript:KN538771.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAQRREEDGSVSSGEANAEEEEAAATTGARRARVGEDEEEAAREESQELHLQMPLGEPRATAAVEEKSLDGGVEEESNAGQRQREEEQIDHGVDGGASLRREAQEEGQIGEGYVMADHGEGEEMLLEQQQQQPEEERGSEAEAARTSESDAGGEVDPEDKPTVSERTEEMVDTLPGEEDRAEAIKKLRPENYRRYEHRERHCPDEGPTCLVPLPAGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSARGIAWGKRTRVVLDVGCGVASFGGYLFDRDVVAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMTALTKSMCWELVAIKKDRLNGIGAAFYRKPTSNECYETRRRQHPPMCSDDDDADVAWSYLNGLGIDWSRVRNVMDMRATYGGFAAAMRDHKIWVMNVVNVDAADTLPIIFERGLIGMYHDWCESFSTYPRTYDLLHADRLFSKIKERCAVLPVVVEVDRIVRPGGSIVVRDDSGAVGEVERLLRSLHWDVRLTFSKNGEALLYAEKSDWRPELLADPL >KN538771.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538771.1:100:1410:1 gene:KN538771.1_FG005 transcript:KN538771.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VGDPKEDHKCWERPEKMKEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKPINKTNPMKISYLVGYGDRYPEKVHHRGASIPEDVDTGCDGHKWLKTSKPNPNVATGALVGGPYKNDSFVDERDNVMQNEATTYNSALVAGLLSALVSTSSLARSLS >KN538771.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538771.1:155820:160911:1 gene:KN538771.1_FG006 transcript:KN538771.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGNIKGIFDRYQQAIGTSLWIEQQRMGEDLDGLEFDELRGLEQNVDAALKEYHVITTQTETYKKKVKHSYEAYKTLQQELGLREEPAFGFVDNTGGGLVHYTAKEIIAVINNVILD >KN538771.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538771.1:143680:145227:1 gene:KN538771.1_FG007 transcript:KN538771.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPMVDNSELPFRMLCRRYGATGAYTPMLHSRIFSENEKHRAMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGYYGAFLMDNLPLVKSLVQNLSANLHVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLDDVKDCLEHTGADGVLSAETLLENPALFAGFRTKEWKENGDEDEASGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPQVREELNAQSKLTFEWLHDMVKRLKDLGGGIPLYRNNNALQTTSNGLAASNA >KN538771.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538771.1:111753:117189:1 gene:KN538771.1_FG008 transcript:KN538771.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRSWMEHHRVLSDDSSFHMEDLPEQQPQLMENVAVAKRSRLEQHRTLSDNSFRVEDLPEEIQSLLLSLLSLKEAASTSIVSRNWRKLWTRYPNLCFDGSKDGPADMDSVKIERMKFIDTVNSIIQQHSGIGLNKFSIRCNLLKDDSDILDRWIRFATASKAKIIDMNLCTNRNNKGPTKHLYDFPLEAFGDQDIPFIQCLFLNNVSIKPHSDIGFTKLRSLHLHCVQIIGDLSGLLFNCSSLEDLEVFACLGVTALNIPHQLNKLRHLLICNMRIQMLEFHVPGLSHFEYKGTMIPIMLHGCSKLQKATLNFHQTWLEEDNNKVLGHVFHGIPSVSAVEVLNILVDICTKQSVWSSQVHTLTARPTIMFMNLKHLTYEILIFTKDPNSHSGVLQLAQYLAFAPQLETLELHVELLFAILEMGDELEHVTIDPMTRVPYSPDLMNLGIPEDEICQWANRTSQRFGKAISVVKPP >KN538771.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538771.1:24995:26063:-1 gene:KN538771.1_FG009 transcript:KN538771.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGGSPPATEEEERYVEVALRFYRVKPGAGGGRLHFLESCFLCKSSIAGDRDIFMYRGDAAFCSDDCRQEQMDMDEALQAIIETVSSMHVTRSNS >KN538771.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538771.1:5425:8333:1 gene:KN538771.1_FG010 transcript:KN538771.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Novel plant SNARE 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) UniProtKB/Swiss-Prot;Acc:Q944A9] MDLESVNPELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDMAGSTDPETARMLHDRKQSMIKELNSYVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKTIRDIPGLAPPVSRRLLNIVEDI >KN538771.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538771.1:62847:69111:-1 gene:KN538771.1_FG011 transcript:KN538771.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGASGRTADDDGGVNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIIAKAKSISDGSFKKHIIGKRGLGCHHLPVTIHNQWEMTTAISIEDVRREVKILRALSGHNNLVKFYDACEDGLNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLIKQYLRATPLKRLALKALSKALREDELLYLKLQFKLLEPRDGFVSLDNFRTALTRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQQFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >KN538771.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538771.1:99346:102365:-1 gene:KN538771.1_FG012 transcript:KN538771.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLSMILLLLLLGLASGDKILFQGFNWESWRQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASRYGTATELKSLISVLHGKGIQAIADVVINHRCADYKDSRGIYCIFEGGTPDGRLDWGPHMICRDDTQFSDGTGNLDTGADFAAAPDIDHLNGVVQRELTDWLLWLKSDEVGFDAWRLDFARGYSSEVAKVYIDGTAPVGLAVAELWDPMAYGGDEKPEYNQDAHRQALVDWVDRVGGTTSAGMVFDFTTKGIMNTAVEGELWRLIDPQGKAPGLIGWWPAKAVTFVDNHDTGSTQQMWPFPSDKFYDHFFDWGLKEQIAALVAVRQRNGVTATSSLKIMLHDADAYVAEIDGKVVMKIGSRYDVSSLIPPGFHLAAHGNGYAVWEKTAAAATVAGDADDHRTSIFQRIALID >KN538771.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538771.1:119384:121181:-1 gene:KN538771.1_FG013 transcript:KN538771.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQRIFGAASSPPIGIPPTSIAAPVDPPHPIFGAASSSPIGHRSLLPTPSIATAVAVQVDPPPHHSESELVQALSDKYRLPVRFLLSSIPPTYLSAWELSDGKAQGLVWSHSDALDITHHYQSLGLREIRFLYSEFISLRCVQDMEYMIARTPLSMRVLNPDVAKEKSRMLSKLNGYCCSLREALRQVQAGGNLVEMFNLRSKFAQEHELCTCRTELLRQMKVDALNRVLVLGLGEGASSAHSADMIWILKHHRPDEYAVAVNDDGTVSWPIIHGLVQNIRNMMGSKLTSNDTLANSRTFLLGVTGRYQFEHKVQEVLEKTRSAIQQFMTDPTSRSQVVMDIRSQLEVLTRLMNKKSLIGSYPINMPGDTTGEGVLDITVIHEKKEAAGPSSAPAAVPCTAPSAAPAAASTAAPAAASTASPAAASTAVPDDATPAEASDASSDDAGEEVAIMKFMEMEGEPEEQRAKRFQRYCASLDFCL >KN538771.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538771.1:124094:127659:1 gene:KN538771.1_FG014 transcript:KN538771.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRGLLQQQQLWLAHRWVGPARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKAEMLQESNISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKSWAFNMDCLSALIKRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >KN538771.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538771.1:31032:34047:-1 gene:KN538771.1_FG015 transcript:KN538771.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSTGLQSPVWIRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >KN538771.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538771.1:9520:13151:-1 gene:KN538771.1_FG016 transcript:KN538771.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPSSPSPSSACADHPTPAPEEDEEGGRGWVLVPASEVPGADAPKVIDWEDLQQELARVWSLSAALATARERKALLAARLQSALEARKASVQQDNELAEIRERVQARADFMWDLKMHTKKMTEDVDDRREELRIKIRTLSTTSNTLSTARNKLKEADKLLSGENGLHVRLKTVERMLRTRQQYMTAQVAHLYPVRPLIERSPANKPSFLNSSILKTIVRTRIKNFAGDAESMAPNGSQNGQAPLAILGLQLSKLTMKKTGYFSDKTEIQNSATALGYVAHAVSLIASYLDVPLRYPLRLGGSRSYVLDRAPSVESSSLASAISSAPLSTTMRTMEFPLFFESQETTRLAYAIFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISN >KN538771.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538771.1:78002:80016:1 gene:KN538771.1_FG017 transcript:KN538771.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MATSAGFLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLREKFEANRDVDNPDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPKGIEIIYNYGKED >KN538771.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538771.1:16583:20587:1 gene:KN538771.1_FG018 transcript:KN538771.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYGVLRVQEQQQEQGQEPAGGGGGVGGQVAGADVGRAEEQGVVGVDADEEHPGAVGREGRAAAAETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTIKSDVWSFGVVLHEILAGRRSIDKSRPKDEQKLLEWVRRHPAGSPRFGRIMDGRLQGRYGFMFGRESARRELGGIIEDLRSGGGSGCSTNSAASPDSDAHSKP >KN542953.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542953.1:7549:8318:-1 gene:KN542953.1_FG001 transcript:KN542953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLPRADLKADPHIKSKVKILMKQLSYILKIQQNDSGFGWDDEDKMSRQGAGPLYMKPMLHFDNLYEICASDLDKGGNANGPEEQQGVEEFSVLDEGDPVSHHVDKVSLQPHVPRSRKGEWMQMAMMKLLDNITKLPNFSIDEGVMAVHIIGGDSKNIDLFLAMSPDYKVVFVR >AMDW01019525.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019525.1:29:226:1 gene:AMDW01019525.1_FG001 transcript:AMDW01019525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKLKPDDASYNILIRCLCDAGRADEAHRALLEMQLRGTVPGAATYRVLVDGCCRARDFELGLR >AMDW01028487.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028487.1:53:106:-1 gene:AMDW01028487.1_FG001 transcript:AMDW01028487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLEVTLVSAKDLKK >KN541698.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541698.1:12483:13661:1 gene:KN541698.1_FG001 transcript:KN541698.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHAQPWRVSGLNLAGESLGGQISSSLGNLTFLSKLDLSQNSFFGPLPLLNRLQQLQNLTLRNNLLDGSIPDELTNCSSLSYLDLSGNFLVGAIPLNISSLSNLGRLILPKNNLTGTIPETIGDMTSLKGLYLAINNLSGSIPVKIWRLPNLVDLLLGQNNLSGEIPQSLHNLSSLERLGLELNMLGKALPPNIGVALHNLQLLTLDGNMFEGQIPASLGNASGLQEIDLSGNKFDGKVPASLGRLSSLDYLNLGENMLGASNSYSWEFLHALGNCSSLRVFSISGNQLGGNVPNSIGNLPNSLQILLFSGNKISGIVPSSIGNLHNLTWLGLDENSLTGPVDEWIGKLTNLERLNLRENNFNGPIPPSIGNLTQLTKLLLGGNEFTGTSL >KN541698.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541698.1:18661:22032:1 gene:KN541698.1_FG002 transcript:KN541698.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMCSKQPVLDRVLLALLLLCYGVGYAYCSTVHENSGDLQSLLDFKQRITSDPNGVLSSWNISTHYCRWRGVMCTQKRPWRVSGLNLTGQNIGGKITPSLANLTFLSILDLSSNLLVGQLPHLNGHQQLDTIYLNDNSLEGTIPESLTNCSKLSNLDLHSNQLTGAIPPNIGSLSNLGFMDLSQNNLTGIIPLTLPNLTQLYFVDLVSNQLEGTIPEGLWRLPNMEILVLGQNNLTGEIPKSINMSRLQVLGVDFNKLGKALPSNIGFVLPSLQILSMAGNTFDGQIPASLGNASGLQLIDLSNNSFTGQVPANWGNLSRLNKLNLEHNKLEAGDSQSWEFLNALTNCTSLQILSLYNNRLQGVIPNSVGSLSTTLEQLSFGENNISGVVPPSIGNLHSLIFLALGGNNLGGEIGEWIGKLNNLQKLVLGRNNFTGPIPSSIGNLTRLTLLSLERNKFKGLVSSSIGNLSQLLILDLSYNNLQGNIPQGVGNLKQLIELHLSSNKLTGQIPDTLEQCQNLVTIQLDQNFLIGDIPVSFGNLKCLNILNLSYNNLSGTIPTALDDLLLLSELDLSYNHLQGEIPRNGIFRNATAVSLGGNWGLCGGVMDLHMPLCPAISLKIKKMHNLVRLLIPVFGFMPILMLIYIIVYGKKTPRRTYLLLFSFGKQFPKVSYDDLARATGNFSEEHLIGRGSYGSVYRGKLAPAKIQVAIKVFDLEMRCADKSFLLECEVLRTIRHRNLLSVLTACSTIDNRGNEFKALVYDLMHNGNLDIWLHHGCAGVGRNILSLAQRVSIAVNIADALVYLHHDCGRPIVHCDVKPTNILLDEDMSAHLGDFGIANLILDYPSTSDRNSGRNNSISVKGTIGYIAPEYAQSVHASTNGDVYSFGVVLLEMLIGKRPTDSMFQNELTITNFVQRNFPDHILHIIDFHLQEECNGFIHATRKTENATHDLNIIDLGLIYYQGSHKSITFSQIGGVNGVAIIVGDLDMDGTPPLFYRSFPAPAQFQPN >KN542637.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542637.1:9610:13819:-1 gene:KN542637.1_FG001 transcript:KN542637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRRKLNIELEETEEHIKKREVIAEREQKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKDYILISSLWLQQRFKEMKEMQSSSSSSSRDDRQKREQQREEKELAKFAQLYPSHVLVDNNDNSVMADAHRKQQQKQEPSESSSERITMKNLPNPSNQDQRKTLKFGFSKMAPSKAPVGNREIIARKRKGHEDMDEVRGQLTYLRQVPIDHIVLIMEHTISVCDPKRRGLYPFWY >AMDW01033664.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033664.1:61:378:1 gene:AMDW01033664.1_FG001 transcript:AMDW01033664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAEMYLLSLTDKIVTSGWSTFGYVGAGLGGLTPYIMIKPENHTVPNPPCVRAMSMEPCDHGPPYFECTKKEIDKIIDTGNLVPHVRSCEDVPWGRKLADPIS >KN541114.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541114.1:22971:23566:1 gene:KN541114.1_FG001 transcript:KN541114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLAIALAMVAAMALPSQAQNSPQDYVRLHNAARAAVGVGPVTWDTSVQAFAENYASQRSGDCSLIHSSNRNNLGENLFWGSAGGDWTAASAVQSWVGEKSDYDYASNSCAQGKVSTV >KN541114.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541114.1:33270:33692:1 gene:KN541114.1_FG002 transcript:KN541114.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECIYNNPPVLVTPSKPTPKLALCLSNLDDQRLLHFPIQYIYVFTGTLDMDTLKVALSRVLVDYYPLAGRLRASNEHDGKLIIDCNSEGALFAEGFLPGLTARDFILGHAKPHKSWKKLLYEDEEQSFVCTPPLVVQ >KN539380.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539380.1:108948:110090:1 gene:KN539380.1_FG001 transcript:KN539380.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSLLPFFFLLFLILHATKLDADSDQFICNGFKDTDLSLNGEASVTRGLLNLGNIPQKSSHASRSFPSSAGKIPSFSTSFVFVISSDYANRSANGFALVISTNIGSQNNLQGEPIQAWVEYDSKAKTVNVTLAPYLMDKPRRPLISLSDSSLISMISQDNQLASIGFSSATGPTHSGHYILGWSFTTDGEAQPLDHSALPLKVAHDFAKQDNLKPPNNQRQEQDRRQLPNHNILAIVILSVLVAMSVLVAVIVVLLCRKKKAGKCEDWEAKCGPRSFRYKDLVTATSGFEDKMLFRQRRIWEGLQRGARCY >AMDW01039842.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039842.1:107:157:1 gene:AMDW01039842.1_FG001 transcript:AMDW01039842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QIEDEIEQFAEKRRYD >KN539380.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539380.1:68104:78568:-1 gene:KN539380.1_FG002 transcript:KN539380.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLQFLLLVHPMLLLHVYVHAEPDNLGFVSIDCGLSGPSYVDDRTNISYVSDDGYIATGEKHEISSEYKSRVLYTSGLSLRSFPSGGRNCYAVAAAARGRKYLVRARFMHGDYDGGERSLASTPVRFDLYIGLDLWYEVAVSDAATSYAVEVIAVAVASSMSVCLLDTGHGTPFISSLELRPIGIDMYPGAVANRSLGLFGRWNMGANNFLRYPNDVFDRFWWTPVYSTEWLNISTNGTFMGYYSDDHIRVPRDVLRTAITTSATSVHLNITVHAASVGQLPPPTERAYFHFLHFASFEQQQRQFEIYSGKVKWKKQNNISVYELYSMQPSYSSSGLYMLSNVSLVATNDSVLPPLLNAIEIYYSIPHDDTITSPDDVDAIMAIKTQYQLKKNWMGDPCLPKESIWTGLQCRQDGVESKIISLDLSGNHFDGTIPQALCTKESLNLRYDTNDGDLCNGKSPKKKNISVLTVAIVTPIAAVLLVSAILIFCFCHKKRKQQMTLGLVHQYSVQPTGISNSVSHVDIKGHVLMSDDHEFTYEELVKITNNFSECIGEGGFGPVYLGQLQRSIQVAVKMCSRKSVHGQGIKEFLAEVDSLKTVHYKYLVMLIGYCTNKNHLALIYEYMPNGSLFDHIRGKKANVQTMSWLQRARIVHEAAQGLNYLHSGCVLPIIHRDVKSHNILLGEDMHAKISDFGLSKSYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMRSDVFSFGVVLLETVTGEPPIVPGVGHVVQRVKQKVSDGDISAIVDPRLEDAYDIGSVWKVVDIALLCTREVSDDRPTMTEVVEQLKHALALEEARHIDGHRDNGQGSIKPDLSANWGPLAR >AMDW01037358.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037358.1:81:653:-1 gene:AMDW01037358.1_FG001 transcript:AMDW01037358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAPLAPMPRVKLGTQGLEVNMPACSIVNSYFPVSKLGFGCMGLTGAYNSPLDDDAGAAVIAHAFLRGVTFFDTSDVYGPLTNEILLGKALKQLPREQVQVATKFGIRRGADGVRAVCGRP >KN539380.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539380.1:48667:50363:1 gene:KN539380.1_FG003 transcript:KN539380.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADHHLHIPDRFVRADEVPAAGELVGGGNDESSELPVVDMARLLDPVHREEEIAWLGSACRSWGFFQSLIYHGSFIRDSIDKYTTEMSNLAMRLLRFMASDLGVEQEPLLAAFRGKRQSTALHHYPPCRHPEKVIGIAPHSDGFGLTLLLQVDGTPGLQVSNGGRWHPVRPLPAGAFVINIGETLEVLTNGLYRSVFHRVVVDAERDRVTVAVFQDACIDGVLKPLPELGEPRYHAIGKSEYFKGHTTEVVGQGERFIDTLKK >KN539380.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539380.1:1855:7956:-1 gene:KN539380.1_FG004 transcript:KN539380.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHFADFQKSQPRQFNVTLNDIPIGSNGRSLMFSPPPLDDSSVYSSDGYRADDGNYSLVLRRTAASALPPMLNAMEIYTVITHDSPRTFHKDFDAIMDIKYEYGIKKNWMGDPCFPSEFIWDGIKCSTAGDDNTSRIISLDLSQSNLQGVVSINFTFLTALNYLNLSGNQLNGPVPDSLCKNIAGLYIFSYTSDGDICNSRISSSRSTNRSSTTILAISIVTPVLAVAILLAFFLWRAKGKHNVSTFDPPRVPDPKKAPGSTTDHWSHLPINGSRQFTYEELKNFTLNFQRFIGQGGFGHVYYGCLEDGSEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEEHYLALVYEYMPSGSLCDHLRGKRDVGETLNWAKRVRIMLEAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKLADFGLSKMYISDSQTHISVTAAGTVGYIDPDSMWKVVDTAMLCTTDVAIQRPTMSTVVLQLKECLALEEAREDRNRAGPTNDAVDVVSTFGPSAR >KN539380.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539380.1:24331:27090:1 gene:KN539380.1_FG005 transcript:KN539380.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADPNLQIPDRYDRSGEVPAGAVVGGGDDESYELPVVDMARLLDPEHREAEVAWLGSACRSWGFFQLINHGVDEAVIQKMKDNTVHFFELPLEDKNAVAVCPDGGIEGFGHHFRTSADKLDWAENLIVQTQPIEGRKLEFWPSNPPTFRDSIDKYAMEMWNLATRLLGFMASDLGAEQEALLAAFRGKRQSMTLHRYPPCHHPEKVIGIPPHSDGFGLTLLLQANDTPGLQVSKDGRWHPVRPQTGAFVINVGEILEVLTNGRYKSVFHRVVVDAERGRDTIVVFQDACIDGVVKPLPELGEARYHAIDRLEYSKGHATEIFSRGERFVDTLKK >KN539380.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539380.1:103999:108027:1 gene:KN539380.1_FG006 transcript:KN539380.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWVQECYEVAAEYETKHDVQKLDELGNMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIEETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNEELRSRADDLHRSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSRGYCDIPEEATLTNVEQLLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPRTDEVADVLDTFHVIAELPADSFGAYVISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAALTRLFSISWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEQLVKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPSAPKPEWRALLDEMAVVATKEYRSVVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVTLRPHLSKEVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGIAAGLQNTG >KN539380.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539380.1:10724:11745:-1 gene:KN539380.1_FG007 transcript:KN539380.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLFAALMLASLSEAAADDGIGGQSGFVSIDCGREANYSDYKDPKTGIVYVSDEPYIDDGAGENHRVSTTATATATDSYLLQTLRSFPSGPRNCYALPTVAGTKYLVRLGFLYGNYDGENSSSSAASSLRFDLHLGGQRWGTDCHDLPTFQRV >KN539380.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539380.1:57579:57797:-1 gene:KN539380.1_FG008 transcript:KN539380.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADHHLHIPDRFVRADEVPAAGELVGGGNDESSELPVVDMARLLDPEHREEEIAWLGSACRSWGFFQVIS >KN541160.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541160.1:24427:28195:-1 gene:KN541160.1_FG001 transcript:KN541160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSAASAAASAIVVVMAKGKGRGGRGGPSGANKDYERRQTSGPQPATRTNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEEERRKR >KN541160.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541160.1:184:3266:-1 gene:KN541160.1_FG002 transcript:KN541160.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAAAECVELDGTDAMAYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGDGKEDACYQARPTAKTNCQATVVARLWSDGLLHLMDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSTSGDIEVPIFDDWGRLKIGEDDNKYKMEEDDDLESLSRSRVLVSKFPMEEQLSRLYTFKMFTKLQNELNATMNCEVQLDDSTSSIVVIDLAESSGEMVNKKYEVVHYMETDRMECNCGLFQFSGIVCRHTLSVLKCQHVFDIPPCYVLNRWRNDFKQLHALDNPWKDLVTSNHIERYDYVSLQCLRLVEIGASSDEKHQHALKLIRDIRRTLLDDNLCRELEQKLTPSERAINGDSHMQAGSSEGGPAKKRRGRPPKKSKDTNVESVSNQYAHKDSLLVSSDVSQKDAFHSSSTASNLGTHVRTNGVVDLMEEVNPNELSFDSRYGVQSSHPHHYGNQLHPSNNMQLDTPKLNGNDTSQFTFTLLGTQPLMYKIEML >KN541160.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541160.1:19577:20839:-1 gene:KN541160.1_FG003 transcript:KN541160.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLDALQMRLNADDDVIRSTSFKVRKRKAF >KN541160.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541160.1:13315:19280:1 gene:KN541160.1_FG004 transcript:KN541160.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKEYPLTCGTLHLSPFKIFHTLLYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYDFEGKTDDKIKTMEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPTPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRIKFMLIMQAIVALKGTDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEERSKRRKAPEDDRRSREYSKDRNGQASRDRDSERKDRVEPRESRRDHDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRERRSSIITVKPINEMPWRTGNEP >AMDW01021861.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021861.1:44:256:-1 gene:AMDW01021861.1_FG001 transcript:AMDW01021861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVM >KN542930.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542930.1:4827:7845:-1 gene:KN542930.1_FG001 transcript:KN542930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSTGVMNCLLAKLATLIDEEHVKFSNIPKEVGFLRDELRTMKAFLEILADKDNLDPLTKEWMNQVREMAYEIEDWIDEAMHHLTKDDNNSGFISKIIFRLNRMRTQNRMANQINEVKTRVVEMSHRHKRYKLYASISTSDYTAIDPRLCALYADAEALVGMDGPRDEITKWLMGADQQLMVVSVLGIGGLGKTTLANEVYKKIGRQFDCHAFISISQKPDIVRILSNILSQLGKETFTPSCEIHGVLNNLRENLQDKRYLIIIDDLWDKSAWDIFRCALPKNNHASRVITTTRIEKVAMECCSYHCEFIYKMKPLNEHDSRRLFFNRIFGSENACPERFKGVSTGILQRCGGLPLAIVSVSSLLANPATSVDRQWEYVSNSLSDKFGIMPALDGMRNILHLSYKNLPYHLKTCFLYLGIYPEDYIIRKSDVVRQWITEGFVHKAQVQDAEDVAGSYFNELVNRSMILPTDIDYQNNVVSCKLHDMMLDLILYEAAEEKFITVTDNFSTLLGLHNSVHRLSLQYDNGNHDTAAATTSLTHLRSLAIFGNSKYMHMHPLSDFKFLRVLIIVFSDAVHQMSLDLTGIRQLFQLRYVKIEANIHVQIQLPAQIQELKLLESIDIEWGSVCIPPDIVHLPHLIHLVIPEGTGLPDGIGNLKSLITLRSFDLGENSLHNIRSIRELTNLRDLNLCYSGKNVVSNMETWIDVLRSSLEKLSNLKYLHLYWPGTCENGLSSLNPPSRHLQRLEMAYWWFSKVPKWIGGLHELHVLKLAVKEVSDDDITLLAQLPSLTNLGLRMRGTPKQKIIIYKKAFPVLRYFKFWCRTPCLVFEAGAMPELKRLKLRFSARGWGKSGATAPSGIEHLLGLQEIFLEIGGFRDERRAAELVLQNAIDMHPGRPSVKVVSCPHTQFHSDISD >KN541160.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541160.1:30454:32302:1 gene:KN541160.1_FG005 transcript:KN541160.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAPAEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTRNSFSLDSKSTIGVEFATRTIQVEGKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADSNIVVMLIGNKIDLKHLRSVSLEQALQRGRAYPQEPYLANSSKL >KN541160.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541160.1:5303:11781:-1 gene:KN541160.1_FG006 transcript:KN541160.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAAIVLCFSSLVRSNSSLHDQVHHLKGQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSTLYAPLLILKYMDLFLKLRNSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLEDAERAIINPPDKVSRRRWSAKDVFVVITEKE >KN541160.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541160.1:21776:23931:1 gene:KN541160.1_FG007 transcript:KN541160.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENPTVTERGGGKDRRDDDGGEKKEGGGGFMEKVKDFIHDIGEKIEGAVGFGKPTADVSGVHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPISLIYDDIKSTYNDIKPGSIIPYLVRVVLLIDVPIIGRIKLPLEKSGEIPIPYKPDVDVEKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSVASAELTESATIEKQGITTMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDEVLEVATLHSSINISVNVMNGLRLATPLWMIWIDFD >AMDW01033423.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033423.1:1:489:1 gene:AMDW01033423.1_FG001 transcript:AMDW01033423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PATGPQHEPTFKILCRADEGYCLTVRHDAVVLAPTNPLDHCQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAIKHSLGQSHPVKLVPYNPEYQDES >KN541707.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541707.1:13311:17151:1 gene:KN541707.1_FG001 transcript:KN541707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSVTSSLLERLHLIDALERLCVNHLFEEEINILLMQISSSNNVNDCDDVHTVAMWFYLLRKHGYKVSQDVFVKFKDEEGNFIAKNPMDLLALYNAAHYRVHGEKILDDAILFTKRCLHSMLPSLEGSLAREVKCALEIPLPRRVGIYEANYYISTYEKEGKVHDMIVQLAKLNFNLMQLQYQEELDIITRWWKDLQIQSKLPFARDRIVECYLWMLGVYYEPNCSQGRIILTKVTSIATIFDDTFDSYGTIEECELFTKCLESWELVADELPDCMKHVLEKVFQSYQTIEQELSEDEKYRMPYLRSFTEDLVRNYNREVKMREESYVPKSVEEHLQISARTGACYLLACASLVGMDVTATKESFDWVSTMPKMVLALCTILRLVDDLKTYEREQLTPHVASSIDSYMKQHDVSIEMARFKIEELKEEQWKDFNDEWLDPDSAQPRKLLEAIFNLTRTMEFIYNQADNFTYCHNLKDTISSLLVEAFPVN >KN544591.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544591.1:547:2463:-1 gene:KN544591.1_FG001 transcript:KN544591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTDPTKLKAKPIIKPKVEPCDDDDELPPPASGSGEDWEATTPLAAGNPFFTVLIAKSHLHPKFQMVIKQQRVTDLSLWIPPRFQHRLAEPEARTAAVLHSGGKSWATSYCGHLKMKKLDAGWSEFAVDNRLLVGDACVFELIAMGAAGGLEFQVQILRGGLPPEVVTSKGLTSDQPILIVD >AMDW01037649.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037649.1:468:686:-1 gene:AMDW01037649.1_FG001 transcript:AMDW01037649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGVVMDGYDEELVKAEEVEKKVRLVMDSDEGKKLRGRLEMAKEMAAEALADGGPSCTAFSDFVDDLQRSK >KN545390.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545390.1:128:625:1 gene:KN545390.1_FG001 transcript:KN545390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEDDDDEDEEEKCRRFAEKCIDPYFIVLGSASRYDPETNLHPAAAATAAAGDSSSDHRDSSTTCGCHHRPEYADDSDDDDEEDAIKKAEEESLEHNELWREFTDKYIIASGYDDRFKEMDAIGEVYFDTTLDEETRTDMIDKLWRHIEKVLSDRARAVSTGKFKF >KN542308.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542308.1:6606:7664:1 gene:KN542308.1_FG001 transcript:KN542308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALTIVVFLLLLPSHALAAAVAKDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHERVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTESPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEVIERGGGKLYLGSVELGHVAVVKASAT >KN540039.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540039.1:2441:4212:-1 gene:KN540039.1_FG001 transcript:KN540039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASLSLPFIQPDPGLIKLRAYCIWCSFMPCSVGDGIQFSIQGEERKVKMKTTTKSIQDRVTDNGTHVSVVSDFAVFMKDMGLNQWHKKVYTADTSKCISSITDFRVKNLASY >AMDW01040606.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040606.1:344:1715:-1 gene:AMDW01040606.1_FG001 transcript:AMDW01040606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FEEEWLIKHWMAHGFIQSQPGDVARATGSCYFRTLVEQSFFQRELVHHGGERHRYSMSRMMHELALHVSTDECYILGSPDKVPKKVQSVRHLTVLIDKFANPNMFETISQYKHLHTLLVTGGTSYELSIPKNILNSTLKKLRLLELDNIEITKLPKSIGNLIHLRCLMLQGSKIRKLPESICSLYNLQTLCLRNCYDLEKLPRRIKCLRKLRHIDLHLDDPSPDIHGLKDMPVDIGLLTDLQTLSRFVTSKRNILDNHSSIKELDKLDNLCGELLISNLHVVKDAQEAAQAHLVSKQFLQKMELSWKGNNKQAEQILEQLKPPSGIKELTISGYTGISCPIWLGSESYTNLVTLSLYDFKSCTVVPSLWLLPLLENLHIKGWDALVKFCGSSSASFQALKKLHFERMDRLKQWDGDERSAFPALTELVVDNCPMLEQPKFPGLQNFPSLTSANIIAS >KN541389.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541389.1:1001:7905:-1 gene:KN541389.1_FG001 transcript:KN541389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVEHLEPFYPQAEVQWLSAPRSPGVGIVEEATLLWRFKDDVDDMKEKMRDLVAVMQDADDKVRQVGKDGAVAWRWLAKVKSVAYDVEDVLDEFDAAQLIRNHQSKLKLYFSWNNPLLQKMTIARNMKNLRDKIVAIEKDGKMLNLVRHEPHAKGSRSNGTFTVSDDMEIGMLGRDAETEKIISLLLKTEAKEDISIIPIVGLGGLGKTTLAQAVFANKKVSVFDMKIWVYVSEDFDLLKIGKAIIRGANRSISLDNCNLQFIQDNLIKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIVTTRNGSVVQVLHTGSLANQRKICPVHEADHINMDVLSPDDCWKVMKQRIFGPDDDQSGLEEIGRQIAGRCGGLPLVANALGQVMSEQRTIEAWRDIRDRKIVLDFIVDNRRDTLERLLLSYYYMKPNYKMCFTCLASFSKGFVVDSDRLILQWSALGYIQARHTGQSCIDYLLGMSFLQISKSSSVSPVHAKAPRKLTMHDLVYDLAKIIAADEVLVMDANKPTTWDKANEHYCRHAQLVNYHKRTEIFKHIPCKIRTLCFRECPEMQLPRKAFSQTSYIRILDLSGLSNEEQSTPSNPVLPSSIRRLMLLGYLDVSGFPIISLPKSFHTLQNMQSLILSNCSLEILPANIGSLQKLCYLDLSRNSNLNKLPSSVTDLVELYFLNLSGCAKLEELPESINNLKCLQHLDISGCCALQKLPGKFGSLAKLSFVNLSSCSKLTKLPDSLNLESLEHLILSDCHELEQLPEDLGNLYRLEVLDMSDCYRVQVLPKTFCQLKHLKYLNLSDCHGLIQLPECFGALSELKSLNLTSWSKLQSLPWIRKYVHQTQTIKKRLNLPGTVEHDVHEIENADVSSIVELERLRCRELEVRHLENVERLEDARKANLRDMVELRWLKFSWELGGTKSVDKDKLVLENLIPPRTLEEFLLDGYMCKDFPYWVTGISSYIPYLMCIRISNLATCDSLPAFGQLPNLRHFRMNNMPNIRKIGKEFYGEEGNCKKLRIIWLERMANLEEWWTTRSSKEDEEFLIPNLHSLYVVNCPKLSFLPYTPRSMNWFLDGSDEVLPERGFRSLVSSTLPFCVLIENCNFSRERWDRLQHLATLEIFHVDGCSGLQTLPDIIQCFVSLRELVLRSWEDLETLPEWLGQLVSLEDIAIINCPKLTSLPKSIQNLTALKELWLEGCEVLEILPEWPVHLISMKKLVIRDCPKLSLLPESMENLTALIELQLVGCKVLESLPEGLGQLVSLEDITIINCPKLTSLPKCIQNLTSLKELYIRNCPRLIERCQGEDASNIFHIPRVILDGEIFIPGQAIEGSKDGEYIQERQDEEKLYMSIPVIFAYAAILSSS >KN540039.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540039.1:60259:68320:-1 gene:KN540039.1_FG002 transcript:KN540039.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNVDNANDMAEQEESSGSAPSPLFLGTRPKRLRSKAWDDFTPIYIDGKVAKAECMHCHQVFVSNSTSGTSSLLKHQSKCNPHAQKRAMQQKLPFLPSSQKNLTALNSDPRQKKLPFLPISQKKCSDTADVMPHKKDPALPNSMNDTNRKSQEVDKSGSREELATPEQKNLTLRHVPTNNNDQSHDEHPVPEQKNNPTGTNTKNPETDQNGSNGLIQTMAMCGYLPLMMYNDRFRKCLPCFDSMVNMPANINISLDFIQLFDKEKAKLKERFAALSSRVCLSAHVWHYDQQLAFLRLSVHYIDEEWERQQKIIRFCHVDPSCDAGELSSVILGAIEKWGLRDKVFSIVLDDEFVDDSVASNVKTHLQKWNLHRAKQSFQESNSHCAKQSLFVIRYGTHLLDQVIQVGLDELDKIMEKSVMCSNFTEGTSSAVKYSNNNYAASGKDWTCARRICDTLEDFHRCMDIMPNFPCPVDLFDMVWKVKRDLQREVDNNRDDSFSTVVKKMQEKFKNCWKLCCLHFYLAMVVDPSHHLEHIKFRVRLHTDTDYIHYMHDIFLNLFDEYSGKVEDTNCTSETRTEVGVDGGISILKSLFAFRIGSHLTVLLGRSRLVVLLSEINIQVSKGKGFHDLSMTKCTNDNFVQAILGMDQDCDDVAKQVGTEEEKALNGMGDNVDNADNMDEQEESSGSAPSPLFLGTRPKRLRSKVWDDFKPIYIDGKKKLPFLPISQKKCSGPADAMPQKKDPALPNSMNDTNWKSQEVGKSGSPEELATPEQKNLALPDVPTNNNRKDQPHDENLVPKQKCNPTVVNLKNPEVDQSGSNGLIQTMAMCGYLPMMMYNNRFRKCVPCLNSMLPMPSNDSMFGDFMQLFHNEKAKLKEKFATLSSRVCLSAHVWHHDPLSAFLCLSVHYIDNEWERQQKIIRFRQVDPSCNARELSDVILRAIKDWGLGDKVFSVTLDDEFVDDSVASNVKDHLQKWNSHYSNQSLFVVRYGTYLLDQVIQVGLDELDKSMEKSMKCSKFTEGFTSSAVRKANHNYAESAKDWSSARPICDTLESFHQYMDTMHDFPHPRHLFDKVWAVKCDLQRKVDIYKDGAFSTVLKKMQQKFEKCWKLCCFHFYMAMAVDPQYRLEHIKFHVSLYRDIDYIRYMHDIFLNLFDEYSGKVEDTNSTSETRNEVGVNRDDDRLKYYHQHQSEISICERPMTELDQYLLEPRLSKGEPDVLRWWKGHNLTYPTVARMARDILAMPCRTNCNVAIRTAKFAKCESRSSHDIEELVCLQDWLTPDGPASQTSSSDLTE >KN540039.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540039.1:57906:58360:1 gene:KN540039.1_FG003 transcript:KN540039.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRANPGHYVALVILRISADKAAAGDAAAATRVKLLKPKDTLLLGQVYRLITSQEVTKALRARKNEKMRRCEAIRQQHEQLRRGDPLAGVAEEEEEESACDDQVTVPAFFFLMSKTEFSALQES >KN540039.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540039.1:39097:42458:1 gene:KN540039.1_FG004 transcript:KN540039.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLASVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILAGRYNNEADSREKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVRLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYAYILTISGAYKNAPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGISILIDSFFGTANGTSVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGKFGALFASIPLPIFAGMYCIFFAYVGACGLSFLQFCNLNSFRTKFILGFAFFMGISVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIETHNNTVRKDRGYHWWDKFRSFKKDARSEEFYSLPFNLNKFFPAV >KN541389.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541389.1:11411:19335:-1 gene:KN541389.1_FG002 transcript:KN541389.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEIIARSVVNRVVSKLGDLAVEEATLLWSFKDDVNDMKEKMRDLEAVMQDADDKARQGGTGGAARRRWLTKLKSVAYDVEDMLDELHAAQLIKNHQPKLKLYFSWNNPLLQTMTIAHNMKNLRDKISKIEEDGGKLNLARHVPHAKGSTSNETFTVNDDMDIGMLGRDAETEKIISLLLKTEAKEDISIIPIVGLGGLGKTTLAQAVFTDKRVHVFDMRIWVYVSKEFDLLKIGKAIIREANKSINLDSCNLQFVNDNLEKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGEKGSKIIATTRSGSVVQVLYTGYLANERKVCPVPEPDHINLGVLSPDDCWSVMKRRVFGPDDGQNGLEEIGRQIAKKCGGLPLVANALGQVMSEHRTIEAWTDIRDRKIVLDFKADHQQDTLERLMLSYYYMKREFKMCFTYLAAFSKGFVMDTDRLIQQWIALGYIEARDNGQRCVNYLLRMSFLQISKSSMFAHFVSTTLFVLCAFLPLCPVFHCAIMVDHKLVNYQKQSKFFKDLPSKVRTIHFRECIESQLPRKAFSGSKYIRILDLSGCSSEGQSIPNMQTLILSNCSLETLPANIGNLHKLCYLDLSGNSDLSKLPTSFGNLLELSLLSLSGCTKLEELPESIHNLKCLEQLDMSGCCALQKLPDEFGSLSKLSFVNLTSCSKLTKLPGNFNLESLEHLILSDCHELENLPEDFGIVYRLEVLDLSDCYKIPVLPESFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQFLNLTSCSKLQSLPQSLCNIFKLKHLNLSYCIRIEHLPSLFGELQLQVLDLTCCYSLRDMPDSISNMTSLRLLDVISGTKGVIDKARSIKEYLNLPGRAEHDVHVIENGECCSIVELGKLRCRALGIQHLENVERLDNAREAKLRDMTDLRELTLSWGLFGTRNVDKDEEVLENLLPPRTLESFTLDGYMCKDFPNWVSGISSYLPCLIYLRLSNLSSCDSLPAFGRLPNLRFFCMENMPTIKKIGREFYEGEGNYRWDRLQHLATIEIFQVEGCSKLRTLPDIIQCFISLRELNLFSWGNLEILPEWLGQLVCLEVIKFMNCPVLTTLPRSLQNLTSLRELLLLGCKGLEILPEGMGRLISLEKFIIIDCPKLTFLPESMKNLTALIELLLDGCKGLEILPEGLGLLISLKKFVISNCPKLTFLPESMKKLTSLIELRLGGCKRLETLPKWLGLLISLKKIVINNYPKLTFLPESMKNLTAMKDLCLYGCKELEMLPEGLGMLISLEKFVLSDCPRLTFLPESMKNLTALIELWLDGCKGLEILPEGLGLLISLEKFIINNCPKLTFLPESMKNLTALIDLRLDGCKGLEILPEGLGLLICLKTFIINDCPKLNFLPESMKNLTALAALRLDGCKGLEILPEWLGLLVSLEEFVINDCPKLTFLPSSMKNLTALTKLRLDGCQGLEILPEGLGLHIPLKRFVINDCPMLTFLPELLGHLTALKCLDIQSSPNLTYLPENMKNLTALEELWLEGFNSLPEWIGQFINLKEISIFDSPNLTSLPENIWNITTLELLYIYFCPRLAEWCQREDTNKISRIPKIMLDGEIFIPGQAIDG >KN540004.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540004.1:40640:51059:1 gene:KN540004.1_FG001 transcript:KN540004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRTQAIASTIRVVPNFPKPGIMFQDITTLLLNPPVFKDTIDLFVERYTGKGISVVAGDLSNIHGPVMFIGLDPPPHKKASTRTKRYALFPYHFTHDDTCTCMCIGQLRCTFLSPWVEARGFIFGPPIALAIGAKFIPLRKPNKLPGEVMSVEYELEYGADCLEMHVGAVQPGERALVVDDLVATGGTLCAAIVLLERAGAEVVECACVIELPELKVLLNMELSTH >AMDW01035466.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035466.1:37:387:1 gene:AMDW01035466.1_FG001 transcript:AMDW01035466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GNFTMQHFDQNMNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVSEALSHPFITGK >KN540004.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540004.1:3673:8489:-1 gene:KN540004.1_FG002 transcript:KN540004.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G17300) UniProtKB/Swiss-Prot;Acc:O48593] MVNDGSCLSNMQCVLTPDTEGYDQIDSITTGASVLVEGVIASSQGGCRQYYPWFHFFVQIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWVKYVLENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDELNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >KN542151.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542151.1:3916:5382:1 gene:KN542151.1_FG001 transcript:KN542151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGGGEGRPYDYVMKVDDDTYLRLDALVETLRRAPREDMYYGAGLPFLDKESPPFMLGMGYVLSWDLVEWIAGSDMAKALAIGAEDVTTGTWLNMGNKAKNRVNIFPRMYDFKGVKPEDFLEDTIGVHQLKQDLRWAQTLEHFNVTWLDPSSKMTNSLLS >KN540004.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540004.1:22919:25034:1 gene:KN540004.1_FG003 transcript:KN540004.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MKFNYDMVSLEDAKEASRLLLGLNCGRALEAISLPEATSALAKAHNFDVQAFRFDADKEYLRQPRVIRVGLIQNSIAIPTTSHFADQKKAIMEKVKPMIDAAGDAGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQFAKKYNMVIVSPILERDVNHGEIVWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWGFRMTARYDTYASLLSEYLKPDFKPQVIVDPLINKSA >KN540004.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540004.1:20663:21076:1 gene:KN540004.1_FG004 transcript:KN540004.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSKEVSCPLMFRSSSSELGSLDLAMVCSTAKFSSPRLFLPALTSMIVIDVEKEGKGRARSYDTSERSSTSAFCLPFLSFLSSDGALPTPLPPYAELMEDNRLAVIDLETEPLPSNNWSPPKLSMPLRDGREPNA >KN540004.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540004.1:57550:60641:-1 gene:KN540004.1_FG005 transcript:KN540004.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQMFPESLNFSSAVPEVRDHDLKIKEEPTAPPKKKGRGPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADGNAHLVACPKKKLSEDTWEKALELRDIAARESVKGKHFFLETDIKGPGLKLDTTGKAILTVNASNSSKTRLK >KN540004.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540004.1:10249:10769:1 gene:KN540004.1_FG006 transcript:KN540004.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRTLSWSSRCSEERSRATACGISELKCACGWLVAPRTSSVVRNLGRRWLQCGGEGVRCYLWIWEDLLEYVAEMVASEYDSLRETCNQLQWQLVDAQGHNMNTELSNILEAKEAQLQLCRDKLNQSKRELAEMKDKMESEDMLQ >KN540004.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540004.1:25593:27537:-1 gene:KN540004.1_FG007 transcript:KN540004.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVLPLKLMPLHTNGWLYDMRLSSPTATCVINSSSCSFDPIYRAWTKKYPSALNAFDHIVAYGKGKKIALFLDYDGTLSPIVDEPDNAIISDQMREVVRNAALHLPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVGEHDSVTDHRRSINSNRKQGKGVKIFQAGTEFLPMINEVFRLLIDKTKAIDGVKVENNKFCVSVHYRNVEEKVLEIRPVIDWNKGKAVEFLLDSLDLASCKNVLPIYIGDDRTDEDAFKVLRDDKRGFGILVSSVPKDSHALYSLIDPSEVGYGILEKTSDVEEGRSIT >KN542151.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542151.1:11205:16233:1 gene:KN542151.1_FG002 transcript:KN542151.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYHDGKDLDTSSYPLVAVCIDKDKNSQNALKWAIDTLVQKGQIIVLVHVNTKGTSGKGRGGGGGGGGEAAAAVAVEGDEAGKGRRWWRVKVKLSTVAVVAWVLASAALWAGLHWRFRHTALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKDPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDERTGRTS >KN541386.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541386.1:21040:24046:1 gene:KN541386.1_FG001 transcript:KN541386.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQYVTGYLCLSLALLLLTLVLHKVARKATECALRQHEERRAAGAVDDDEDLVDVLLRVQKEGSLQVPLTMGNIKAVILELFGAGSETSASTLHWAMTELIMNPKVMLKAQAELSNVIKGKQTICEDDLVELRYLKLVIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTMLVNVWAIGRDPKYWEDAETFRPERFEDGHIDFKGTDFEFIPFGAGRRMCPGMAFAEAIMELVLASLLYHFDWELPDGISPTKVDMMEELGATIRKKNDLYLVPTVRVLMSIAL >AMDW01040744.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040744.1:93:1460:1 gene:AMDW01040744.1_FG001 transcript:AMDW01040744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRISVHSQAVIATRPAIGASLSNKRFYINDLFLRVRIGDLAQRKQALVTIGELLSEDIEYVKIVALDIDGSITLLISFLESGDACIQEQAARIVSLIAGYDSYRGVLVKSGVVAPLVQLLDSPSCTSTTVSSRERAAHALRELTSNSDNVWAVCAQGGVTVLLIVCANVDSRGKLVSSAFAVLKNLSRVEEVKMFMVEQGAIMELVKLSRQKEEERKVGSVELLHYMALADANVREAAISMGMIQSLTQLMNPDLPYSSKAREVALSAIAFFCLPSKALTDDLISSNFLSWLLTYLNNGDYAVLECTLNILVRLTRISEEYSKMVGRAGFMTALVSLLGAKSCQVREMAAQVLCNLLLLHSNRVVFIQDGDNLNRLLQSLELGDGKTMAKDLAISCLVSLAETSAGRKKIISSQHFVSLKGLADSGDLPAKKIVKKLCANKLQSILTRIRIT >AMDW01025344.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025344.1:38:151:1 gene:AMDW01025344.1_FG001 transcript:AMDW01025344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTLKEMEEATNMFSERNLIGKGGFGRVYRGVLKDGQ >AMDW01032837.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032837.1:42:484:-1 gene:AMDW01032837.1_FG001 transcript:AMDW01032837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALADDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVCV >KN538697.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538697.1:386095:405777:-1 gene:KN538697.1_FG073 transcript:KN538697.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIRAADEVEQDSPRVAFLCRRYACNKVQRMDPSSVQRGVRQFKTYMSIKLDQILDKSSIKNNYDVDNLASHLQPYKWEQDDTQVMGNDAKEIQRFYKSYCAELSRISEKRNFEEVARRYQVASALYEVLRDVTNNKVDSEVMKIARVIEEKSVHFKNYKYNIIPLNFPGSSEAIVELHEIKGAIDALNSIDGLPMPHMSSMHTDGNKSIRDLLDWLSLAFGFQKSNVENQRENLVLLLANIGTRTAGQDHPLVDTVNKLWKKILQNYQSWCSYLHVSSSIMNVETVTQNKQQLMILHIGLYLLIWGEASNVRFMPECLCYIFHHMARQLHKMIEENNFQSPPGFEEEGSFLKTAIEPIYKVLQKEKLLCLQTVILILTKKRKHIRVKVSVSFRFLPRRSEKCFARLNWPWDLTADFFYEGRTTSTKPKTNFVEVRTFLHIFRSFNRMWMFFILAFQAMLIVSWSSSGSLSALADATVFRSVLSVFITAALLNFIKVTLDIVLTFQAWGNMDWIQIVRYLLKFFVAIAWIIILPLAYSSSIRYPSGAGKLLNSWNIMERSNWRVIGLIMWWIQPRLYVARGMHEDILSIIKYVFFWVVLLTCKLAFSFYVEISPIIGPTKFLLNQGVGNYEWHEIFPFLPHNLGVVITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALSHVGEIRTLGMLRARFKSMPEAFNKSHATAHREYMFHLKCSSLHGRMEKAHCFESLNQGSDPIDTPFTGFLTKECCGLTLNFYFDRERDILMAPSFSSSFSVTPWPPFLVAKILMFSGIYQVPTALHMAMTSKEGDYHELIEKIRLDQARFNAVIECYESLVLILKNLLLDNNDRKIVDAIDKTVLDSVENNTLLEDFHMAEIGKVSNTLAKLLHLLSNESTDGTAERKIINALQDFMEITTRDFMKDGQGILKDENERKQRFTHLDMDMIKESFWKEKFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPKAPQVHDMISFSVLTPYYNEEVLYSSHELNKKNEDGISILFYLQKIYPDEWKNFLERIGVDPENEEVVKGYMDDVRIWASYRGQTLARTVRGMMYYRRALELQCYEDMTNAQADLDGEESARSKAIADIKFTYVVSCQLYGMHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKMEKQYYSVLVKGNDEEIYRIRLPGKPTDIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGKSEPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANTLKVRFHYGHPDVFDRIFHLTRGGISKASKVINLSEDIFAGFNSTLRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPQIKNIKPFENALATQSIFQLGMLLVLPMMIEVGLEKGFGRALGEFVIMQLQLASVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGISYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWHWMSNRGGIGLAPEQSWEAWWISEHDHLRNGTIRSLLLEFVLSLRFLIYQYGIVYHLHIVHGNRSFMVYALSWLVIAIVLVSLKVVSMGREKFITNFQLVFRILKGIVFIVLISLVVILFVVFNLTVSDVGASILAFIPTGWFILQIAQLCGPLFRRLVTEPLCALFCSCCTGGTACKGRCCARFRLRSRDVLRKIGPWDSIQEMARMYEYTMGILIFFPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGSLLSQTHSTLGPSDACNIFDIKHKLSEDVHYPPNLSSPFPYAGLSFPSYPGVPVGKGPKQQTQYTYEISPANNDAQDSLTIGIADEHFGAVVRRAGRNITEIIQASGARIKISDRDNWNIRRYSGSRVYDNAQGVSQFREVIKSREDEGIII >KN538697.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538697.1:468288:468710:-1 gene:KN538697.1_FG074 transcript:KN538697.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGEFVRENAKEKLARPTEHKSWKPPAPNVLKINTDGAYRCSTKQGGWGYVIRDRFGDVVQAGAGAADHLIDAFHAELLASAVAIKTAKEKGMARVELETDSLMLCNALQSNSFNLSVMGGVILEIKHGIASCFHSLC >KN538697.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538697.1:426609:434672:1 gene:KN538697.1_FG076 transcript:KN538697.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGQGAAYRMFKTIKRQPDIDVCDTKGIILEDIKGDVELKDVYFSYPTRPEYLVFNGFSLQIPSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGIDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQDALNRIMLERTTIIVAHRLSTVKNADVISVLQQGKMVEQGSHVELMKKPEGAYAQLIQLQGAQQDAEVHNDDPDMIIRSDSGSRSINVKPRSQSTSFRRSITKGSSFGHSGRHPIPAPLDFPDPMEFKDDLGMEETTDKVPRGQKKASISRLFYLNKPEAFVLVLGSVTAAMHGLMFPIFGILISSAIKMFYEPPSELLKDSRFWASMFVVVGASAFVLIPTEYFLFGLAGGKLVERIRSLTFRSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTVSTVISGFTIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVLEAYEKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVHQGVATFPEVFRVFFVLVLATSGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSEEGVVIASVRGDIEFHNTVALVGESGSGKSTAIALLERFYDPDSGKILLDGVDLKTLKVSWLRLQIGLVAQEPVLFNDTIHANIAYGKQGQAPSLEEIMAAAEAANAHQFISALPDGYSTVVGERGIQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTIKGADIIGVLKNGAIVEKGGHDELMRIKDGTYASLVELSSSSR >KN538697.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538697.1:441996:442649:-1 gene:KN538697.1_FG077 transcript:KN538697.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPAVRYYVPREEADDGIHDLEEEEQFCPLPLSPPYSPARPSPPPAPPTLLPTSPPSDDHADHTTDSLITFASAVDVAADDDFYFDFDFDDDDDDAMDEEHAEYLAECALKHYNGDAANEAKYELVAASATASSSMDCRGAFHIHVSFFARAAGDGAAEAAPRFFLAELRHRIAMLHTCVVSLGNDEEMQMDPQPYCSFDDEPFDVIIKHPKGLN >KN538697.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538697.1:471374:473915:1 gene:KN538697.1_FG079 transcript:KN538697.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRKAREKLEREQRERAQQKMEEVMQLGKGISFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDSVPGAPQDHDADEATCCGVLEFTAREGSAELTPHVWNNLFRGDSPDVPLIEVRYVSLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHASLSENDFVVVNYGQLQYKLKVLELKPASSVSVLETDVEVDIEGPDSVLDNVENQHVLVPLETGKVESGVVEEGKFRYYKFLVDEGMGEKVVSRHANIEVKIETDTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDASLASGTYSIGVYGFKGTTKYQLSVAIKDVLNGQRIGEQASASSSVDVDSVVCKNCKRYISSRTSLLHEAYCVRHNVVCMHDGCGVVLRKEEATDHVHCNKCGQAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSTCPLRLIVCRFCGDTVQAGGEPLDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKDMDIHVIAVHQKS >KN538697.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538697.1:435069:435431:-1 gene:KN538697.1_FG080 transcript:KN538697.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGPPENRPDPLVALQQAESLAECALQHYNGDAANEVKYELVAATAMASDFMDCWDAFYYHVNFFARAAAAGADDQAAPRFFFAELRHRTAMLPTCLVSLDNDDEIQMDPQPLCCFDDV >KN538697.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538697.1:460312:467659:1 gene:KN538697.1_FG083 transcript:KN538697.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARSSQVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGFIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKDVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIDLANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDTESERIVQEALNKHGKLVEQGSHEELMKKPEGSYSKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKVSILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVINAYEKKCVSPIRQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNTAALVGESGSGKSTVISLLERFYEPDAGKILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDRVVIGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >KN538697.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538697.1:477826:486074:-1 gene:KN538697.1_FG084 transcript:KN538697.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTSQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEIIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEIVNDQRL >KN538697.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538697.1:380082:385673:-1 gene:KN538697.1_FG085 transcript:KN538697.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSGWCRWWVALLVLAVAADAVGCTSVSYDDRSLVIDGQRRIILSGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFENEMETFTTLIVNKMKDSKMFAEQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKILVHGEYFDTNYGDNITVTKYTLDSSSACFINNRFDDKDVNVTLDGATHLLPAWSVSILADCKTVAFNSAKIKAQTSVMVKKPNTAEQEQESMKWSWMPENLSPFMTDEKGNFRKNELLEQIITSTDQSDYLWYRTSLNHKGEGSYTLYVNTTGHELYAFVNGKLIGKNHSADGDFVFQLESPVKLHDGKNYISLLSATVGLKNYGPSFEKMPTGIVGGPVKLIDGNGTAIDLSNSSWSYKAGLASEYRQMHLDKPGYKWNGGNGTIPINRPFTWYKVQ >KN540181.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540181.1:38476:40400:1 gene:KN540181.1_FG001 transcript:KN540181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRIVLVFLFFFCVCVLATTTDAVKGTSAAAAGGGGGRWTELTAGSPATARYSAGADEFRGSKRRIPKGPDPIHNRGVNFLK >KN540181.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540181.1:201:2153:1 gene:KN540181.1_FG002 transcript:KN540181.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LGWFEELKPSICNSTDETNGLNCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKKITTAVERLITQGAAYVVVPGNPPTGCAPALLTSRMSPSKTDYDGLGCLRFINDVVERHNTMLRAALGVLRGKILLGILADFYSPIIRVLQNPSHFGVAADGVLKACCGTGGAYNWNASAICAMPGVVACQDPSAAVSWDGVHYTEAINSYIAKGWLHGPYADPPILAAIPH >KN541171.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541171.1:8068:9414:-1 gene:KN541171.1_FG001 transcript:KN541171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDIESLVKDVTICWQRAEGAKSRSGCKIPSLDQRDRPAAMCIGPNHHNPFYRQMEEEKKAMLYSILTQVDEQHKAAVLTRLMDAIKALENEARDHYLDRAESMSSSEFVQMLVIDGCYILGKFVLPHYCCPSTSDDGAAPSGSAMQNMELVRDVFYRLDNQIPFCVLDEIHKVLHGKIIRSCTAVADVLVTHVGDLLENLSYSRVHALDVHASPWHLLHLLHTHLQPTAEWGSEKPTKGAAAHVVVSCASTPGFYRWRPATQYDAAGVRFRKFDGACCILDVKLDGATLRVPSLVVDTNTHTLLRNLMMLEQHNPDQLGSHVTAYCVFLSQLAGTPGDVALLARKGIVVHLLPSDSDVAAMFAGLCVGITIGVDEPKHNYLHKERNDLERIYNSRLMVQHTRNCLTLPHRNPMLVVALLAATLGLVCLLLQAIYTMKSYYCKGNTS >KN540181.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540181.1:13778:24445:-1 gene:KN540181.1_FG003 transcript:KN540181.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLWCLGVSVTSVGELGGHSLQVSSKWLGLKNQGIDNVHNCFHFFAILVHGFFTEGKAENVNLKRTERSHPLPFLGIVKEEELSGDELEEFIKDRYSSRVKHTPFDGSTNVQDDEFTEDGLLKEPVIWRIKCMVGRERQIAFCLMQKYVDLQKFGTKVPIISAFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINSVPVAEVPSLLSSRAKPFAISPGTWVRMKTGIYKGDLAQGGAIPLKEAAIPAPRLISSQELEFFGSHIERKHDRQTNDFYEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSTPTNTSSTDDLDWVSSMYGPKKRNVPKEPDMEPSSSKASSSKGKYSKASSKASTSTEDYEDKGFNLHDLVLFGRRDFGVVIAFEKDGLRILKGGPEGSAVTVRKQDIKDVCADKMLTAVDHKKKIICINDTVNVLEGPFQRDGAMGKQPGMALENLHRSKKDVRRGLRERWGDGMEIEVSRVPKSSTVTVLRTGHDGAGKQGVVKHLYMGILFIHNESESENSGFFCAQCSSCENVKKRKELASSTFGNSDDNPIPMFSYEQNEQRDNERPYRSTREQLFSIGEMLRIRKGPMKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAEFLSVPAKRGDNSSGAPSGPFGSEADKPSWDNGLPSFGSDSWQPFSSAALPVQNADGESEVDPWCKKTSSSANDSDPWGTKTKSASVDVWNNSTTQVENSSDNAWDKQPGGSGPNIGGSSWDRPATDKESEKSDNWGEACKETEKTGSDTDPWGSKVKEIDLKETDSWGKASMQPEKKLEDDSQGWGQPVGKSNQDQEKGADKCGAWDTVIAGSSLSVPGRGDDDSWVKTDTLPVAQDDAWGKSKDSSDGAAGWNETRTSNQSHSTGGWDAAAANLNESSDVDAHKDAWGKAKDTTANAEEKNNESGNWNKAGPLDKVCGSDWGSPKFSSGDGPSSWNKGDKVGGDSQNGSWSRPGGNFEGGRGFGRGQGRGRGRESGDLGGRNDQGSWKNSGTTDSSGRPSWRSDSQVDKEVGDSGGYWGRGRGRGQYGGQGRGDNGWRNGGQSNSEFGRSDADAPNWGNKDVSNKGSWDSGDNWNAPKSSDENQTSAWNSSEDKRPSGGQEQQSGAWDSKMTSTAGAEDKSDAWGTKADGNSGNTGGKRENASSGKEQETDPWTSKVTSAADADDNNNVWNTSARDTASGSESKWGNAGAEEKADAWKSKGGNENSGGWNSAGSSWGNQKSSWGKPSFSGGEQEPAWSNPKNGDDNSGYGRGGFGRGNRGRGRGRSFGDSGSSWSGGSYRNDESHGERSEDRWNTRDSDGGRGRGRGRFGRGDRNQGNNYGSGDNNDRTWGSGRGNRDQDGCKNWNRNDDRRPFGQDRGGGWSQSSDWNANKGQSSWGSDKNDSWGAPKPSGGDDQAGKNNGGWNSSKGEVTQGGGGGSSWDKADGGNSNKGQDAQGGGGGSSWDKADGGWNSSSKGGSSGNGGW >KN540181.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540181.1:7764:10566:1 gene:KN540181.1_FG004 transcript:KN540181.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEEACHGAGAGAGFDLAEHLQQQPLLTTSLGNNLYTNLEDRDYPITMIQIFSMRFTGDGVQLDQSMRVYGFVAIRDELDCRRNYVFNRSRDDPCEITPVCPTLPLISPARGTSIIDGVLLEYSLKAKRGGGGDGDGANDVELIDGCIEFTSTSTMPVDEKLKTRIYGRVAPPARRRRGGGRGHGVRLHRARRGGDGGGRGSQRAAAITTGSRAPSSQRRRADERKHGSSVAELVMAKDLELVVRVTWSTMGL >KN540181.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540181.1:3115:4962:1 gene:KN540181.1_FG005 transcript:KN540181.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVLSITFLYCLSGVSSTSHYFTSMFSFGDSYIDTGNFVIMATPVMPMWIDKPPYGMTLFGHPTGRVCNGRVIVDFIAEEFGLPFLPAFLENSSSFSHGVNFAVGTAPAIDAAFFKRNSIADKLLNNSLDVQLGWFEHLKPSICSSTDEANGFKNCFSKSLFIVGEFGVNDYNLMWTAKKTEKDVKSLVPQVVEKITMAVERLINQGAVYVVVPGNPPRGCSPIVLTLFMSPNWSDYDSLGCLRSINRVSRHHNAMLRAALNGLRGKYPHAKIIFADFYQPIIQVLQDPVRFGFAAGGILRACCGGGGPYNWNGSAICGMAGAVACEDPSASVHWDGGHYTEAMYRYIAKGWLSKALTLIRQF >KN540181.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540181.1:28438:30552:-1 gene:KN540181.1_FG006 transcript:KN540181.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKRRGGAEKKDLFHVVHKVPAGDSPYVRAKHLQLVDKDPETAIVWFWKAINSRDKVDSALKDMAVVMKQQDRAEEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVDEQIDLLKQKLKMIYLGEAFNGKATKTARSHGKKFQVSIQQETSRILVQITAPPVTPTDCYTQVAETFLRTLQGNLGWAYMQQSNYSAAELVYRKAQSIEPDANRACNLGLCLIKQSRHDEARQVLHDVVLRRISGSEDDKVVARAKQLLHELEPVTYVSSPNNAGLSVSEEIMERLDLVLNEWTPFRSRRLPTIRNPSVHFTWLVGIKN >AMDW01037812.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037812.1:102:527:-1 gene:AMDW01037812.1_FG001 transcript:AMDW01037812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHE >AMDW01136065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01136065.1:7:310:-1 gene:AMDW01136065.1_FG001 transcript:AMDW01136065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGDSFVRMMSEDTDVEVLMPNEDFHTSTNGAKGSAKRSSNYTRKEDIQLCISWQSISSDPIIGNEQPGKAYWQRIAKHYHANRDFEYDRNADSLEHRW >KN542705.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542705.1:629:1571:-1 gene:KN542705.1_FG001 transcript:KN542705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVAVAALVLAAGVASADEFDFFYLVQQRRRQKCWPEYCDDGNKLRPWEIKDLVAELDANWPTLSCKGGKSFEFWSYEWKKHGTCSGMDQHGYFAAALELKKRHDLAAVLAGAGIVPSDDESYSLGSIRDAIAAATGA >KN542705.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542705.1:10443:12109:-1 gene:KN542705.1_FG002 transcript:KN542705.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTMKVVFSLALLLLPLASAVYFDFMYLVQQRWLVTLNSSWSGANLLLSSV >KN542705.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542705.1:6293:10194:-1 gene:KN542705.1_FG003 transcript:KN542705.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTMKVVFSLALLLLPLASAVYFDFMYLVQQWPDSYCSTHKYCIVKPPSHFTIHGLWPSYNKRPGPHYCKNYEAYLDPKHIENLETLLNKKWPSLTLNQNNLEFWSHEWRRHGTCSKLGQHRYFKAALKLAKLHSLSKILAGAGIVPSNQNTYTFREISDALAWGTGLTTYFKCSKNKAGDTLLSEVFQCVDRYGEKLINCTAPFKKKAHSCVNVNKIKLPTWFHH >AMDW01038920.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038920.1:82:789:-1 gene:AMDW01038920.1_FG001 transcript:AMDW01038920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSYGLAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAG >KN543102.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543102.1:590:2060:-1 gene:KN543102.1_FG001 transcript:KN543102.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAINLTQAARRVQLLRINGYSATANRQSLVITSCTIAVGGYDWLIEVLPAAYYHGTSSRNSGPYIKLRFTLSSDGERTVSATFRCRLVVVDDQQINQTAASASSSFKEESKVNVLQGANLVIFEPKKSLTICQQFLAEKCIYNK >KN542319.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542319.1:667:3527:1 gene:KN542319.1_FG001 transcript:KN542319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVFIKENKGKLEIRNSTVPISSSCIMADITNKLLLPNYRRTIDDKLFQRKVPGGIMRGFFLMVLSLRRHFSYAGFEQQPKKFTSTNNGIDECPIVVKGDNTLAWMMVQWKD >KN542650.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542650.1:910:2631:-1 gene:KN542650.1_FG001 transcript:KN542650.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNPTQREIDWHSRAIMLEILGSIIFTDTSGDGVPAMYLQFMDNLAVQTEYNWGAAALAMLYRQLSIGAEKARSEIFGPLVLLQLWSWSRLPLGRPKNIIAKTDEVGEQEEEQPDDCPIFGAKWCSLHEFPTPHNCGIEYYRNQIDLIREDRVMRQFGRKQLIPPPLPQGEAELRRLRKVKHVGGKMCDWNKFHAKYVQQYNEGKETMVEEGCPFEAASLKEYRWWFQGNGMFTVFFDSQCLGGLENSIPYPRDNMEWTGYMPSGPPLARIALREIKNAAWGIKCCSTKGCKKLGKSILMTCQGNLKDLNLEPKLQNMLYEAGLPTKVDEIPSDDDVSTTVCTPSPPKDSSIDVFDDWMISQRGFSRYIDLDVENRNEFCPTTQDASQVTEHTQYDDMAPAREATAPSDPGETVSFQSTSFGQVVDWTSTGRTPMFRQNTLT >KN542015.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542015.1:48:1736:1 gene:KN542015.1_FG001 transcript:KN542015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVRRLLGPSWSVTAVKATCGHLLWLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEVNRSFAIPKLLLLLYLRS >KN542015.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542015.1:14025:19195:-1 gene:KN542015.1_FG002 transcript:KN542015.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYVDKLFVNMHELNWSSWAYEGNEVHYPDRRWWCQLEHGRSWHPAGDPDLQCVWLLNVVFPSLQLGIRKFIINNQHYRPSLLRYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGHDNVSDSTNSAGTITTVSVQAHFFSCRCSSRETIGLENHGCLKYPSI >KN542015.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542015.1:10748:12596:1 gene:KN542015.1_FG003 transcript:KN542015.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVVGERFSLSQQVLNLESNLDTIRTQMEGSVQCWAEEVSPGWGGPTGLPPQPEGLRIGPTRVQGDGQWVLCTG >KN542015.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542015.1:2383:6811:-1 gene:KN542015.1_FG004 transcript:KN542015.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKARLQSVAESAIRSIGLGYDIANDIRLKNCKQRGSPDPLLIELDHDKVQDIVLPGNLTVAGVPKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGFFNAMFEFTGCWQKDASITKSLAFDGWCITLYTVALSKAHIILQDHVKQAVPSTWEPAALARFIKKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDQRFLDANGHSDISLADSYAKDNKVEAREQRLRFVESNPLNSYSSNEVEAREQRLRFVESNPLNSYSSNEELVMMPKRRGGRDKDIISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPRVEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLEDDTYNPQTPEAEIRKYYEPIGSWKRFSHVCTAPVDSDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVKNPEWDGSPNLGQKSGLISTLISTHFSTAALKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMMRGPQDLPGYWVVSGAKLHLERGKISLRVKYSLLTVNSPDDEFSPDEEF >AMDW01027109.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027109.1:165:305:-1 gene:AMDW01027109.1_FG001 transcript:AMDW01027109.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSRFTKSVEGPYSGDPIEGPKVLADIVEAIVGAVYLDSKLDLEVLQK >AMDW01034480.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034480.1:34:414:-1 gene:AMDW01034480.1_FG001 transcript:AMDW01034480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGWPEKGGEDHSGQPMDVVPLERIVVEGEEDSDLLPISLSDPAILLPKIGQTFNEDSDGYAFYNLYARFTGFGIRRSKNRYKDGGVKSMQEFCCIRE >KN548117.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548117.1:434:529:-1 gene:KN548117.1_FG001 transcript:KN548117.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVDRSGGRLEVFKGEDFVSDELLEYIGD >KN542042.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542042.1:15640:16659:1 gene:KN542042.1_FG001 transcript:KN542042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGSVVKRIRHAATHLVLPTTNLDLLCVTEVYTCRATLLNPVTGAAVHLPEPLSTPHSLRGRSLSDFDGSFKYGRDSNGDYKVLRVLTDCHNKQCPEQLFEILSLDESSRYHMRWRAKKALPMCVRRDAIGGVVINGVVYFLLDGRPNGVKNAHRESYEMDHMALFDLCSERWISYLEGPMATHPEMNNIDEILPEPLEMSVYQNLSLSELSGALVVAQYTDYRSVEVKSYVDLWYLMDSEKQIWEKKYRIVLEMDTWDTEHIFPHAHPSLILDDGRILIYITVHLANFEGQYTRRVMRLYDPETDTLGSDLVDVKNIHSIGFFSGSLLSVQNGQGL >KN539995.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539995.1:2441:5399:-1 gene:KN539995.1_FG001 transcript:KN539995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARATGDLVGGVLPILRLVVMGLRRRGPCRGAPDALRLLGRRHLHCYLGFLRPALAYQRLDLRGSHGDRGPLGLHPPLLFPHWLPAMLEQQDGMDLTGRKESFLRSVCVLHGWITPFVLFQFVISAHQGKKQASKVSHVESVVSDNEEKRRLGYQFQVPVVETVTPHLAEEAAGDGIWFPATRSSFCGHVTALERTVLLRKGDVLFPVTVAASQGGRDDEDMRRRCSKWRAYPGSGPPGQFTVSPGSVGLWVGERRAALEFLARGDGVPLRHQCGSHVVSEMSSSPQHDHLLQESTMAARKEVTHGFTTAAAWPDAKIAGHCCRRQASTPPARLLHRCVIVCLALLCSYFQLVGFVNLLAQKDKHRWRRFTATPIGHRPPTTRPARSPLGFGSIIGKLASTAMASVSRQALASCDGLALADRFMVILRRWR >KN539995.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539995.1:58261:61992:1 gene:KN539995.1_FG002 transcript:KN539995.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILVSASTGAMNSLLKKLAAMLRNEYKLHKDVYEDIEFMKDELEAMRVFLLKMSEVEDPDVQAKLRIRAVRELSYDIEDYIDKSMVLTDHEPASRTHGFKEFIDKSKNLLTKLKTQHQIAKDIKGIKKQVIEVSERFARYKVDEETSKLQNSIVDPRVCAIFKDASDLVGIDEPVEDIIKCFTDEDELAKDLKVVSIVGFGGLGKTTLANQVYRKLAAKFECRAFVSISRNPDMRSILISLLSQICNQEYAQTDAWNENQIIFTIRKLLEKTRYLIIVDDIWSIPTWEIIECAFPKEDCKSRIITTTRKIDVAQRCASQGDLVYRMKPLSSIDSKRLFLKRIFDSEENCPSHLVEASNAILKKCGGLPLAIITTSSLLANKNTTDQWDRIQRSIGYALAENSDFKGMHKILSLSYFDLPHHLKTCLLFLTIFPEDFRIPRMHLIDRWIAEGFIQGDSRQNLYKLGNSYFYELTNRSLVQPLEIRIDGQARSCRVHDTIHDFLLSKSIEENFAATMNYPHLTCLSPPDMKVRRLSLIQGHKQSDIIISPSWNLSQLRSLTIFWGAKQLPTFSNFSTLRVLDLWFCAECGLENHHLETVTRLSQLRYLTIQGKKITELPRKFGDLKCLEVLDVTATSVKDMPKSTTQLQRLAVLYINDGTRLPEQFKNMQMLEEVVGIDVFRHSMEFLEELCELKNLWRLSINWDIDRLEGDKVRYKEIIASSLCKLEACNLHDLSIHVHLRNNDDFSSLFLPLNSIKSFVLRGESISKVNIWLSSLVSIRRLALTIKDIDQDDLQVLGSIPSLTNLYLCLDPDQNERSIAISDIHGFQQLELFTFQSTHTGLMFEAGSMPRLRQLSFGINVANFKSIYGGFCLGIQHLSYLTMVSVSTNRLGAKLGDVEAVEDAFRCMVEAHPNQPTLEIETGNLRE >KN539995.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539995.1:43083:43571:1 gene:KN539995.1_FG003 transcript:KN539995.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVSQEPKEFVYLDITVPSSSVDRIRDEYHAGNTDGEPPCMVFEAVTAVLWRCRTRATIMSDAGDAPAPLIIAANVRDHVGAMDGYYSNCITTQVAAPTSREVADGGINDVVKMIKRAKERIPGQFAGGGEEGDRYDGGVSLEQMADGVLLGYGAFYVLS >KN539995.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539995.1:12501:16714:1 gene:KN539995.1_FG004 transcript:KN539995.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPFFRILDDNDCDEVKSSDESAKNLQSDDDDVDDRPGWLPDGWIMEVYLGDDGTIYRYYICPVSRRTFTMKSDVLHYLFSEMDQCFTESKNRAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPNRVRLFSKDDVLLYIKEMKISGFDIDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTHTGRIRRDRHYTDPIKNYVFRTKKSAALYVKTGKHESLQKRLNLGRTNQLRTRSSKVQKLSLKEGILSDDQGSSSTCVKHSQVILSSNSTAMFRLEIHVVGLVRQKFTNHHPTAFRIKVGWRSKMSLDSVDCSSICVRSSIQVEHSLPMQPLSLGSQLVLLRFIGEL >KN544239.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544239.1:1139:1429:-1 gene:KN544239.1_FG001 transcript:KN544239.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCNLNLNLNLVSFASGKSIGNQVYNVEWTNSTSYYFNSRPPAPPRSALIPISILPLEHMTAWPMALYTHRSMASTTVTSPLKSHESDILLKGN >KN539995.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539995.1:64767:65186:-1 gene:KN539995.1_FG005 transcript:KN539995.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRQADKMNGNDLFEIDGTLGIYFCNDDATIVDIWVLQDYKTEFRSLKHRVELPVPDMKGKLDDGDDWSAMVLSEGRDVLVLVYCRQWLLYIGTDDKLLASFQHDVGCHYNTPLKLKQSLVPHAFFPLLKGYVVNARPFI >KN542847.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542847.1:4154:5173:-1 gene:KN542847.1_FG001 transcript:KN542847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYGLAADNVLDAVLVDANGRLLNRTTMGEGLFWAIRGGGGESFGVVLSWKLRLVRVPETVTVFTIRRPRNQSATDLITKWQEISPSLPRDVILRVVVQSQHAQFESLFLGRCRRLARLMRARFPELGMTQSDCEEITWIQSTVYFAFYSSSKPLELLLDRGTEPDRYFKAKSDYVQEPIPRHAWESTWPWLEEHDAGLLILDPYGGEMARVSPAATPFPHRKGNLYNLQYYSFWFEHGAETLERHLSWVRGLYGEMEPYVSKNPRTGYVNYRDMDLGRNEIEGNVTSYTKGKVWGEKYFRGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWSSI >AMDW01039965.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039965.1:17:946:1 gene:AMDW01039965.1_FG001 transcript:AMDW01039965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMASDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQEGFASRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWDKKNNAGALQLLQLQEEACRQSGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEM >KN540587.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540587.1:37420:41987:1 gene:KN540587.1_FG001 transcript:KN540587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MDSGTCQSRKQKRTFGGPPDLKKEKAYFEEVDAFELMEESPSPKNFGTWARGMEQNYIVHDLSAILERWKISKLAKFAASRPLFDIMETPVVPSVRSDCSLHDSYRTPEKDRGSRTNPMRRTIPSGLSDKTSIFTSFSELKIKEEPDDSSIPSLSAEAMTAFAQLLLVCNQSAPITLAEAFSTYCLYSSFNEDVHGNSTPGSIVKLGEGTFGEAFRAGSTVCKVVPFDGTSLVNGETQKKAEEVLEEVLLCLTLNNLRADRGANVKENSCHGFIETKDFWVCQGPYDPSLICAWEDWDARCCSENDHPNDFSNEQCYIVFVQADGGRDLEKFALLDYNEACSLLVQVTAALAVAESACEFEHRDLHWGNILLDRDETQDKNHTMGFTLQGKNMCTRTFGLNVSIIDFTLSRINTGDAILFLDLSTDPALFEGPKRDKQAETYRKMKQITNDYWEGSFPKTNVVWLIYLVDIVLQKRYSTFTSKDDRELRAFKKRLAKYDSAKDCLTDSFFSDLLLSDEDAQPSTA >KN540587.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540587.1:1482:2578:-1 gene:KN540587.1_FG002 transcript:KN540587.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDVVPDLELDPTHGKIRLHDFVGDAYAIIFSHPADFTPVCTTELSEMAGYAGEFDKRGVKLLGFSCDDVESHKDWIKDIEAYKPGRRVGFPIVADPDREAIRQLNMIDADEKDTAGGELPNRALHIVGPDKKVKLSFLFPACTGRNMAEVLRATDALLTAARHRVATPVNWTPGERVVIPPGVSDEEATARFPAGFETAQLPSNKCYLRFTHVD >KN540587.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540587.1:30875:31039:-1 gene:KN540587.1_FG003 transcript:KN540587.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPSTATRDISVVGGTGDFFMSRGVATLRADAVEGFTYFRLLMDIKLYECYV >KN540587.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540587.1:7511:27506:1 gene:KN540587.1_FG004 transcript:KN540587.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12C [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/Swiss-Prot;Acc:Q27IK7] MGSAITPPKLAVNSSHRESGLKHTWLIRSLSAAALPAGPATWTRRSPEPSGRTSSWMMECTWSGNVGINVRVRMRVNALKLMRLIRDLAAAEVPAEVPHFELDEDPAFWKDRNVQVLIRIRPINAAESTAHGQRRCLVQDSSKTLSWTGHPDTMFTFDHVACETISQEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKLDNELSKDSGLTPRIFEYLFARIKEEEERRREDKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMMLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWERDSMTHLRFGRLNLVDLAGSERMYIYLSARNECFCIMKILQCKKHELLYHFRLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDVMSLQRQIEDLKDQLTCLKKQQNMPGSPSFKLLKSGYGNEFNSLHGVDDQSACDLELLKQKVIHLEDVLVGSLRREKSAETEIRKLECEIKRLNRLVNLMESDTRHLRTTVNLRDEKIRRLELLADNQISSDGYLMDENAAMFQEIQLLQEQINDNSQLTQFALENKRLIEQVRMLEKFSKQGEREMLLTEISLLRNHFLHILEQKYARPPKNMEAQGDVTIKELETCRKELDACLENNVLLAREVNKLRCELKQYQKCGTGQVAPEVVESSVIPGINQKQHDQAGWCGSYLASIDVERQFMDVGITTDITESLELTPPSEIYSENQDSPSRLHFSDPEICDLKNSTKVPEYNSSHNLLDKGIILSGQLENECGLNSVQNDEISLVKENAEKMYGHDEISVYRQNEILHSSEQLLQDELTHIKSLNEGLKEKLIIMAEESTKLSEIIVAKDVEIATLSEEWESAIVDLTSFLTDGCSSLDDAYQNIDNMISSFPYNNHSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQLLDNDESQEALKLLDLMRQKDCTVQELNDNVKQKSCLFAEATEGYSRHECHLPDNVGTVAEISHNRDGSEVNQANTHYQAKLEDVLHLVEDKSNKVLALFSNFEEAQETMEEAETMLSSLLKANEELKLEKDSCRQAVELLFAERTSLINDLQELEASNSFTAQRYDKLHEQVISFGQDLRKWIYESRSYLVNMGALLEEQGNSYAEQIRRTNSSTYAGVSQQVESCSHQLGGMNGDIFPGTYMVVDGKEKASVHVVPFGSNAELEDTNVERTFDMDYASLRREFDRKSDVAEGLSFDLKLLQESTSQAKDMKDKADEISDALVSVQRELEKKTSAMESILKQQKVLEEELAENGAALLILRSELEHSESLSSELFKENNNLKVMLEEEAMMISETKAMLEDKSKVIEGLEHEILLLNSSEEGRLMSQIKELNDNLKIISIDKGNLEEEILKLTDKLEMAVALAEENEAASIEARQAAEISKVYAEEKEEEVRILERSVEELESTITVLEEEVCNLKEEVRSYQIYKKSEAEQAQEMFIVDSTSKCDATEQLCPGRCQLEKRLKAEIIAHQDARRKIECLTMEASCKDEEVRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMISKQKFGLHESNSDTGHTKFEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLICMLTSRLAAVDSMTHDIIRELLGVKLDMTNYANMLDQEELQKLLMASQQQIEQSKAKDVELDMLKEQFGHLIQERDSLFDDMDQRKADLLESQLLIEQLEQREQMLEAQNGILQMEKDNLQQRIMEMDEEIQLLVGSNQAIAETTFQMSFFQSSKELLIFEVAPCHDSPAIKPEGRNASFLILITTKACATDIQIDEPEAAAAIIPLDECDSPVLLWTSKIKSSSSSGSLNRHTSDVRIRAKHVAEKDAWPEIDPAHGAAFASERNHGARENGSIADERSWGSRSGTLAADPGRTPGWMPTSRYPSEKRGAWTPARRRSSGHLYREAGAGGRDDVVVEGERRRVGDPVERRTVDIVEVVAAAEGHF >AMDW01055297.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055297.1:129:7312:1 gene:AMDW01055297.1_FG001 transcript:AMDW01055297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLEIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNNGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSKRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELSIATEGKKKDFPDGIAECVHLLMDFSLGSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENDIDIALDTVNKLRSLKPPSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >KN540587.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540587.1:42937:45022:-1 gene:KN540587.1_FG005 transcript:KN540587.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding NGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEDEKKNSADVNKQLNDKKQLMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDNTVQMASEMSNQQLMDAGRKQMDQTDQVIERSKKVVAQTVEVGSQTAAALSQQDIPWTYLVRKTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNRKLLSIESFGSL >KN540587.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540587.1:4025:4874:-1 gene:KN540587.1_FG006 transcript:KN540587.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVPNLELDSTHGKIRIHDFVGDAYVILFSHPGDFTPVCTTELAAMAGYAKEFDKRGVKLLGISCDDVQSHKDWIKDIEAYKPGNRVTYPIMADPSREAIKQLNMVDPDEKDSNGGHLPSRALHIVGPDKKVKLSFLYPACVGRNMDEVVRAVDALQTAAKHAVATPVNWKPGERVVIPPGVSEDEAKKKFPQGFDTANLPSGKGYLRFTKVG >KN540587.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540587.1:32400:32996:-1 gene:KN540587.1_FG007 transcript:KN540587.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSMASLIVAAIFLLSLTSASVVHGRRRRFVSSYDEPCMEMRLYLHDILYDYSNNSTANSTSAAATKPTALSTAVSSPGYFFGRVVVFNDPMTEGRALPPSLEETAVRAQGLYLLDKKDDFSAWFAFSVVFNSTARRGTLNLMGADPNAATRDISVVGGTGDFFMSRGVATLRTDAIEGFKYFRVQMDIKLYECYV >AMDW01031471.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031471.1:60:446:-1 gene:AMDW01031471.1_FG001 transcript:AMDW01031471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTLSHIDATAAYLGMPLLVTEYGKFLWKGGGANKTQRNYFLDVVLDAIYASASRGGPLVGGAFWQLLLDDDIVAGMDSLRD >KN542985.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542985.1:2861:6404:-1 gene:KN542985.1_FG001 transcript:KN542985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVISRSGASPMLNHVKHITSGKISKLKKILKKLENIIEEGSQFLPPLTSTISNVTNGSDITNPANKTTGIITTSSALTQVIIGRDKERDEIVRMLHETTGDCEPSSLKSKCYSVIGIYGIGGSGKTTLAQHVCSYERTQMNNYFCPIMWVHVSQSFDVGKIYGEMLEAASGKSSHDRVFSNLDTLQMKLEAELAGKRFLLVLDDIWPEKDVSVRYKLDQLLSPLKVGERGSKVLVTTRSADAAISLGAQNPMQITDLNDNDFFKVFMNYALDGVSLDSQELEELQTIGGEIAKKLKGSPLAARLVGARLHKELVAAWPHRASYTMFWRIVEEQDLLRDTMGALWWSYQQLDGHVKRCFAYCSMFPPGHEFERDNLVKLWMAEDFIETTRSVEQMENARTNIDGSKNKKFIMHDLLHDLAVRTSGNDCFCVEHGAQMKGFPRDVRHLYVSFYDPRKLTEICKLKRLRTLIIYYGLTIEALECMMKKLKMLRVVQVRVDGQMLMIPHCICDLIHLRSLTCTGFNLRKILDLPREGVLEFSRVVNMSNLERALEAKLTEKKHLTTLPLVWSNPGEEVCSPDLQLEIIDSLCPPPSLRKLEICGYSGLKYPSWLTQSFRCLQRLEIKECFNLKALPDIGELFIHLRTLALLHLPKLEILPRLPDSLKKLDIKQCHSLVLTCVEDVEMIRLTISDCAITDTVLQNCLRGSTSLTFLFLSGLPFIRSIPSEVMDSLAMLHDLHIIRCAQLTYLQGLNHLSCLQSLEIYQCPNLRALQEDEKVQVVDTIYIDDIPLLPQLLSREGFSSLDMLCFMGQKELRKEEEEEILRQQFASLTSLRCIVFCKWNRLPDTLVNLTGLQDLGLKYCNIRSLPTLPASLRVLTFNTCDKSFVRTCQMVGHPNYQKIAHVPVKEFFSYE >KN539850.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539850.1:78361:78633:1 gene:KN539850.1_FG001 transcript:KN539850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEGRKAMITGSTATAAAPPPAASQVIAGAAKCVVVGDEQKKPCAAASAAKKKMRMPDNYVASILTLKRNPRRSPEYMESLSPEEREGE >KN539850.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539850.1:38536:49068:-1 gene:KN539850.1_FG002 transcript:KN539850.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGGSAREGHAGTLLQQHLIHGVGLAAGGKLVSSSASGAGAAFYFKEALRLALSPTGDAPAPSASTPYDVVVKLSAYKAFSEVSPVLQFAHLTCVQAVLDELGGAGCIHVLDFDIGMGEQWASLMQELAQRRPAAALKMQSAMSQWCCTALLSKKERKRWNVHLVIVLVLLPLPVLEMQRKGGNHGVKRPCFTEMAAKHLRVNLLTTLTGPRAPPTPAQHRTGTSRTAAATLDGYTTLTWDSAPKWPWHRMHKPDRLRWAHWGVTGEPQCAAGAVAIGQASGHTGVRDTAGANWQETCIVVTEMDVKLADKFRKKWYHSSKLQKRRCTVGLIKQDLKVQNQGGESEASRHKGLQISFRQVQISLRKPNRKCKHSGQTVKLINENCYYLSTKRNNLKMKEVNYRCYIYAEELHPLKVMFRNTQAKICQIDVQKS >KN539850.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539850.1:17889:28708:1 gene:KN539850.1_FG003 transcript:KN539850.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEPLAEHKSWRLGKRNGNGKERMQPTIDDDNGSEHRVTGYRVEVSAATLEVVQPNVSSRSITVAVIKVIESSKAERERGKGGEEILEWVERRYDFFRLEADEQHSEFQIVQVASNHQDGDYFFSCLELKIFSTPHWSITPSRQQQAQLRKRRGETKKKSVLATAPNASCILTPLAQEGERFFSSWEMLFLAGCVLEVATNMEFIVVAFYGSKIRDDATAPTFSFTDEGIISTFLLLSISFCIPASSLLPQFLLVLSMGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKSELHLERIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIEASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDGFLEISLDEDTSKSVHTRQIEGTCSKDTRSNCQHLVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKGRVLRKSLLSGSSRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPYLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKSGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESMCDKREIYLVDLFIRMEVSVSLAMPEPDCLKNIIGSGQKRFRELTAAEYSGWSRHPTDELKESTAKFNSDMHATVTLAS >KN539850.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539850.1:762:2721:1 gene:KN539850.1_FG004 transcript:KN539850.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPQFSDSVVADVPVPCSMANVNMSGWDFGQPGPHFDMNLDAEDMYSSDEEEGPYFNSLLDNFISTAKNNGLAHVVERADYQWQSTYFAESALKHYNSNEKNKIKYELVRTITSCGNLDEGFHGHVNFIAKGDCKNSELFFTEVRWENNGYVPTCMVSLEGKDKIGGYYGARVHYPRGVPGLPIDKQHCYFCGDGLKHPVDGTLYESGHVASSDYYE >KN539850.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539850.1:12766:16135:-1 gene:KN539850.1_FG005 transcript:KN539850.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSWSYKNNFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQKIWDAIISDLLPKGLAKAQKVHWFSLKQSVPFQYFTISVMINLSWNPISLMPMDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPRGQWSHCKSDPGACSTSQIVTLQGLRSAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWFAPNSPRLHNKTIAELVGDWYFERGPGIEIGCAYPCDSTCHNIIPSNQNKKHLNWIGSSDRRMETQASTMEVPA >KN539850.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539850.1:65674:66091:-1 gene:KN539850.1_FG006 transcript:KN539850.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKVAPVLALSLLLLAVAAHGCEPHCSGGGGAPAVVIPTPTVVLRVCANVLNGALGVNVGHGPYDCCPLLAGLADADAAVCLCTAVKANVLGVSLNVPVELKLILNKCGKTCPSDFTC >KN539850.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539850.1:3547:5846:-1 gene:KN539850.1_FG007 transcript:KN539850.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRADVLDRRSTDDELELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYANAATVFGSCHKLEPLPAGKKTMWRREMDCLLSVCDYIVEFYPSSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILESFQKAEFWYADAGTRSFGSATSSSTMSSSSFRRSTHRNEDRWWLPVPCVPDGGISGKARKELQQKRDCATQIHKAAVAINTGVLGDMEVPDSFMAILPKSGKASVGDGVYRAMLGAEKFSPEYLLDCLDMSSEHEALAMADRVEAAMYVWRRKAGASHGRSRWGAVKELVADDEEQDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDIGQAILESYSRVLESLAFNIVSWIDDVLFADKTVRKQ >KN539850.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539850.1:33038:36263:1 gene:KN539850.1_FG008 transcript:KN539850.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLSLGLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSSSSFDRQLLRKELVRRFKAAMLGHGWIGAGGDVGCAAGLRAREIDRRRAPGRQPRPRLRRRAPRRGESREFARIYDAPMSAPVWMVAWKGRKMLRDFSKVRVQKTEEKQGLGIGYWVDALQQKLHFSTQPILMPT >KN538768.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538768.1:130493:131752:-1 gene:KN538768.1_FG001 transcript:KN538768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAATTRARKPIVLYPSPGMGHLVSMIELGKVFAARGLAVTVVVVDPPYGNTGATGAFLAGVTAANPAMTFHRLPKVEVPPVASRHHESLTFEVTRLSNPGLRDFLAGASPVVLIIDFFCNAALDVADELGVPAYMFYTSGAEILAFFLYLPVLHAQTTANFGEMGEELVHAPGIPSFPATHSVLPLMERDDLAYAEFLKASADLCRTRGFLVNTFRSLEPRAVETIAAGSCAPPGVSPPPVYCIGPLIKSAEVGENRSAECLAWLDTQPNGSVVFLCFGSIGLFSAEQIKEVAAGLEASGQRFLWVVRSPPSDDPAKKFDKPPEPDLDALLPKGFLERTKGRGLVVKSWAPQRDVLAHAAVGGFVTHCGWNSVLESIVAGVPMLAWPLYAEQRMNRVFLEKEMRLATTTTSGRGP >KN538768.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538768.1:94587:96275:1 gene:KN538768.1_FG002 transcript:KN538768.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPLVAPPPPSPAAAWGRRRARATPPRFALAASTRNGDPAPPTFERLREQLLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSTKINEAISMKQNMLIEHALHPGMSNGEESDDNIRVFSSKVGDETDEATSSPLKPSEKAFDLKHVVHSGMTGQLEQSELQTSDNFTFSSNHESPNSISNHSSYDAFLEHIGFQLDSLECEIEQYISSQLAEQVDIQKPINGKWQQLSDILKLVTETRERIAKVVDNTIKETGSEDLRS >KN538768.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538768.1:32263:43115:1 gene:KN538768.1_FG003 transcript:KN538768.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAANAVNGVLGVEERKAQKSYWEEHSKDLTVEAMMLDSRAADLDKEERPEIWGACMHFLVLFPTKYSSYVVILSLLPPYEGKSVLELGAGIGRFTGELVKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTSPDLMIEDNSIDLIFSNWLLMYLSDEEVEKLVKRMVRWVKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECQALDQDGNSFELSLLTCKCVGAYVKSKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKASGILRYERIFGEGFVSTGGIETTKEFVDRLDLKPGQNVLDVGCGIGGGDFYMADKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQNKPSLFKSFFKWLKPGGKVLISDYCKCPGKPSEEFAAYIKQRGYDLHDVKAYGQMLENAGFHDVIAEDRTDQFLDVLERELAEVEKNKNEFVSDFSQEDYDAIVNGWKAKLQRSSAGEQRAGKFSFLKQKRYPWAITEQRAGITSGVKSSHFMHLTSQARSFPRRFLQGAGGKPKPQKRANDATSVSHFLGLTSQVVNQEGLTKVQRSYWLLLAWGCTVITLTANGEEGKFSVYVHSAPGFQLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERMLFAAALEDPANQRFVLLSDSCVPLYNFSYIYTYLMASPKSFVDSFVDKTEKRYNPSMSPVILKDKWRKGSQWVALTRRHAEVVVGDKHVLQVFRRHCKMVVTKALLGRKPNYRRLGFGLRRKQIPKGSTQMEHDCIPDEHYVQTLFSINGLENELERRTLTYTSWNQSSDPKDKMTWHPMTFEYEAASPEQINSIKGIDHVNYQMEHRTEWCQCNTTSVPCFLFARKFSYSAAMHLLEAGTVGPLKSALLA >KN538768.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538768.1:159791:163659:1 gene:KN538768.1_FG004 transcript:KN538768.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHICTYTSSSTFNTIFYVACGNGTDTGRADYNSVPFSYGSTAGSDDPNNLSTYYCPSFPVPDRLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYLVDHPQLLKDGLDADSNKGCKTANSESGHDASSGGALSLLGAVYESGDEDEGMLPPSPKSTVPGKDVVVHEQGHESSVSAIHCNEEARDGQKATAAATVAAKDKSILTKKNPMITGSSLLAARLEKAKDAMMASSTSYVSDTKVVILEPPSFLKRTMEKIVEFIIRNGKEFEGKLVEQDRTTGRFPFLLPSNPYHPYYLKLLEETQEESLVLPFPYLIYFPSKSRGGSSEPKDRKSSSEHKDRRSSSEQKDRRSPSERKGSNHGKGESKSKTRSSTSKDASSPDRSSAEPSEKQLYDKHKQGKGKFHMIISGAKKEPPRNVTADEAAAIVMAATRGFGPANIRTNTLKDTSGIGQTRGDNGHTSSFGSFSSLQDPDVPSKPVSNSEACTSLTSSGQPKNEGTGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFASIIKSGGSKMADLSTSADQTNEAGRASPGDLNLSESDPQPSAKEREGSSVPFEREGSNLAKQEKDSDDERNRARKYRKKHHPESDEENYDSEESYKHSRKKHRSEDSRAHTSDVHKHKHKRHSKDLEPRHHRHRDSSSEDEHEHRSSKSRHRHRDDYHEDDEHRSSHRHQRDHRSSSKRKKDDDRDKSKQTIVRPEVSQNQEKPPGDTAQSSQATTEVPSELRAKIRAMLLETL >KN538768.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538768.1:21580:24400:1 gene:KN538768.1_FG005 transcript:KN538768.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLKAKSWVPEEKAAATASDEQNDKIKKVRELLGSQMAAEMPSFLSDATIRRFLRARNWSTEQATKALKETVKWRRQYRPDTIRWEDIPGREHEARRTYIADYFDKNGRIVFISNPTIKSKSSNKDHIKQLVYNLEIFAMHSENMEDECTVWLTDFQGWVLTNTPLPLLRECTHIIQNHYPGLISVAILSNPPRIFESFWKIVCYFIEPKLKEKVKFVYTNNPESHKIVADMFDLDKLESAFGGRNTLPFDMDKYAERMKRSDQMRGAPMHANGYSCSTQT >KN538768.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538768.1:164117:165715:-1 gene:KN538768.1_FG006 transcript:KN538768.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWTAAMPIWLGRGRLGAWVARDRDVAFVEGEVGIGNLERSRSPRGGAESLAFDGKDGLYTGVSDGRVLRWGGSAAGWTTFAYNTNYRKIPLCSSSEGPPEERESICGRPLGIRFFRKTGELYIADAYKGLMKVGADGGEAQVVATEADGVPFHFLNGLDVDQATGDAYFTDSSSTYTRRFNGEITMNADATGRLLKTHLVVAHTVPCQAFRYWLRGTKAGEYELFADLPGYPDNVRRDTKGGYWVALNQERMRLGAAPAAKHLVGVRLNPDGVEVEELTAAKGVTLSEVAEQKGRLWLGSVELDYIGMFA >KN538768.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538768.1:154336:155271:-1 gene:KN538768.1_FG007 transcript:KN538768.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDETLAYPAAAAGQKTAPIGCFAGNDVAATTDGHPTKSYAAVAAEKTLPNGSVEEDEVTVTAAENRAKSYADVAAEKTYPNGNVEEDEVTVTAAVNPAKSYATVAAEKTVPDGSVAEDEVTVTAPVNPAKSYAAVAANAEIEDLRTTNRDLEEKLAAADREKKGRATEIDGLKDTSDKAKQNSVVFQYIASSSDAKVLALREELEDLQKLLQAEKDEFKADKRDSNQLAGKVGSERAVKMRLEEEVIAMKERARARAAAAAAEDVRVAAPTPRTLQGARVAWPVMAGAAAVGAAAAAVAVVIFLRLKR >KN538768.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538768.1:145184:145678:-1 gene:KN538768.1_FG008 transcript:KN538768.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLFDEVVAIYDHLIDNPDAARIVLDAARSDFAHNDDEIAEVEIQLGYVLQRAGELMTEPMDEVEREAQRVFLVEAYHDLRARVDFLLERRRQLDQVVNLLLFIRTYAIIKRALRRLLPAAALVFVAGTAAVVVYVEWRRGTVPTFETLGMIFTRLMCFFL >KN538768.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538768.1:91935:93118:1 gene:KN538768.1_FG009 transcript:KN538768.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRILPVSRKTPQAARRGEQTTPTDHFLSGRWRFGARREKAPHTVSSGCELSHRRKQLSKSRRLEEAKAKDGQARAQPIDRYPSMKRKRPAALRGGEEAAAAALKRGPWTPEEDEVLARDGGGDFAAMVSAADADGFEEFGGQLICAEDTARGDFDMGSASARVGDDDFSSFLDSLINDEQLGDLFVVEGNDHEHGNGEIGHGDVMESKQ >KN538768.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538768.1:43867:51577:-1 gene:KN538768.1_FG010 transcript:KN538768.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPALAGGGRTVANLLSATEWMLPSPATQVHAISVLPSHSPPSPPHHFAFSNLTTAPKRNGGKGEEEGSPRFEVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVVRAHRRIPPSPSLDHILFFKSDGIFTVLDFGLQVTCGGCQSAHAAATAVHCAEWGMRPHILLRGEQPDIPTGYNLISLMFGNVAYASRSVYAHRDEMLYNHARKVADEDNGCEIGSRRVVIIKEGAGDVQALLGVIRLVEYLYNLSSFHKHENVHVVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLERYKEREKSLISDFKKFCHNNCHEMVGENDIGDSLVEWVERFSPRRFSKVLNGEIALCRQIAQQTGILLDPMYTLAGWEQAVDLCVGDSRTKVVMIHTGGTLGFCGLAQRCKKKSLNLISEQMLDYNVLGGKLNRGLAVVESYKILKAASATEPSEEELFLACILGWGIEWLQAYFLVLDDIMDNSQTRRGKPCWFRLPKVGLIAINDGLVLRSQISRIFRRYFRGKSYYVDLLDLFNEVEFQTTSGQLLDQITTNEGRKDLNKYRRIVEYKTAYYSFYLPVACALLLFGESLDNYVQVKHILVEMGVYFQSQDDYLDCFGEPEIIGKIGSDIEDFKCSWLFVQALERADEKQKGVLFQNYGKSDPACVAKVKDLYNELDLQRVFSEYERESYEKLISAIEAQPNEAVRAVLKSFLHKIYKRSK >KN538768.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538768.1:111873:118558:1 gene:KN538768.1_FG011 transcript:KN538768.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAVVSFLQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDSYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVEEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >KN538768.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538768.1:140520:143194:-1 gene:KN538768.1_FG012 transcript:KN538768.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDLVDEVLDSVGLHLFNNVDDIKILLDAARADVDENAARIAEAEARLSHVRRLVGEVATAPMAVEQQQAVRTALEEVLDDFGASSLLLQERGRQLRLLILMLQLLRASVFVVRAARHLPAVLASVTAGSAAALVYSESRRGVPAFRSLARIFAVVMCGFFECYRVGLSKKHPPEQKRPEDEKNTEPRQKRKNPRTAMEVNNLADELLDSLGVYLINNVDDVKILLDAARADLDDNAAHLAKARARLRNVRRLVREVTASPPMIVDGVEQRRAARVTLERIHDDIRASSLLLRERRHQLDQVVCTLLMIRAYVFVTRAARLIPAVLLSVTPGSAAVVAYAESRRGVPASRSLARILALAMCGFFECYRIIPCCHLPELRLGEWHLGAK >KN538768.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538768.1:191582:191936:1 gene:KN538768.1_FG013 transcript:KN538768.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAPHHQGNTGRHVPSEVLHHVLLLLGSSTESEPTRHVQGNFVPRGNRRALGWAVDADKVAGAVVGGGEMARGEDERAEPETRKVRRESNELGELKLALGVGMDVGGRHPYWGPR >KN538768.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538768.1:149435:149632:-1 gene:KN538768.1_FG014 transcript:KN538768.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTLALLAEVAAICEQLVEDDPDAAKIMLDSALSGVAALDGHITTLENLLLNSRTLVVEGQRT >KN538768.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538768.1:150679:151197:-1 gene:KN538768.1_FG015 transcript:KN538768.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLLDEVVAMYFHLVDNPDDARALLDDAHFNAAENDHEIAMVGIQLRHIQRQANELMTQPMTDAEREAQRVQLEEDYRGIKVDADFFLENRRRLRQVVKMLVFIWTYAIIRRALRRFLPAVALTFVAGTAALAVYVELRRGGTVPASEALGRIFTWLTSFFLLGYRVRL >KN538768.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538768.1:96682:98278:-1 gene:KN538768.1_FG016 transcript:KN538768.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEEHLLPLVHRDQIYSSRQDRRKSSDVPNRFVTSFHPSTNSKGNPNTPNHLLATSRNGNIVTTQTFQRVHSSPSMFTSIKETPYADEFNEQSHAAQHVPSFARQAIVSVILYISIGVLVYITNVEGFKGRSTLKLVDGLYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIVLNELLTNVLDKQRTVLLSTMDDNKLNRVFDTYMIDSEKKRSRGRMKVLLALGVVAGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYGFSTPAGRLSATVCLLVSTLAVAKAFLFLTDLRMDRRNRKTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIAMISEQFDQLGLAKCGKITLPDIIGKL >KN538768.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538768.1:62609:73581:1 gene:KN538768.1_FG017 transcript:KN538768.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRGEDDERKKKEEEEGSGNDGKMPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGSGDASTVLHRVSKVGLRRCSSSSEQRWHRNQAPAVSCRHWPIGVEGEQSEAMNIDGDGDKKHDEEKAGLGGGGGCRISYECSTFTILEVSCWTIAGERQSTRIRSLYLEAIIRQDIAFFDVEMTTGEAASRMSADTVLIQDALGEKVGKYIQVLTSFVGGFVIGFIRGWMLALVVMACIPPSIFSFAIVSRLRAQISGRTQASYSKAGNVVEQVIGSIRTVASFNGEKRAIAMYNTLIKNAYKATIMEGIIGGFGIGSVFFVVYCSYALAFWYGAKLIISKGYTGGQIINVVFAILTGSMAIGNASPSISAIAEGQSATHRLFEIIGRKPKIDITGTSGIILEDIKGDVELKGVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQVGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKEDATDEEIKRAAELANAANFIDKLPNAYDTKVGQHGGQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERVVQEALNRVMIGRTTLIVAHRLSTIRNTDCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIRLQQTHTEERHDVQHNKVSSSRLKSRSLSSEQSVIKDSPRNRRKNSFTKYIGSFGSDGLHKHGLTDEQEGKELGDNKDLNKAPIRRLFNLNKPEAPILLLAIIAAFVHGLLFPVFSIMMSGGIRTFFYPPHQLLKDSRFWALMCILMAIISLVSIQLEFFLFGMAGGKLIERVRCLSFQSIVHQEVAWFDDPSNSSGSLGAKLYIDALNIRRLVGDNLAILVQCTVTLIAGFAIAFASDWKLTLIIMCPIPLVGLQNYAQVKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCRASMKQSIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALIFTAFGISQTSAMASDSTKANESAASILAVIDRRSKIDSSVDEGIILEKVSGTIDLKHVNFKYPSRPDVQVFCDFTLDIPSGKTVALVGESGSGKSTVIALLERFYDPDSGTISLDGVELKNLKLSWLRDQMGLVSQEPVLFNDTIHANIEYGRKGQVTEEEIIAVAKAANAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDVESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVISVIKDGSIAEKGQHDSLMRIKGGVYASLVDLHTKTT >KN538768.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538768.1:125224:126580:1 gene:KN538768.1_FG018 transcript:KN538768.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLGAFALMLPLLLLLSATRVAYGGIQPTPPPASFQAALVRIEPAGINYTRAVQRSRSRLSMLAARAVSNAEAAPGESAQTPLKKGSGDYAMSFGIGTPATGRSGEADTGSDLIWTKCGACARDTHHYTEGILMTETFTFGDDAAAFPGIAFGCTLRSEGGFGTGSGLVGLGRGKLSLVTQLNVEAFGYRLSSDLSAPSPISFGSLADVTGGNGDSFMSTPLLTNPVVQDLPFYYVGLTGISVGGKLVQIPSGTFSFDRSTGAGGVIFDSGTTLTMLPDPAYTLVRDELLSQMGFQKPPPAANDDDLICFTGGSSTTTFPSMVLHFDGGADMDLSTENYLPQMQGQNGETARCWSVVKSSQALTIIGNIMQMDFHVVFDLSGNARMLFQPPTA >KN538768.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538768.1:166636:168810:1 gene:KN538768.1_FG019 transcript:KN538768.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPITSSVNTAVVPVPVVHNPRARKLRSAVWQDFTKERRADGSCVAVCNHCKKQLTATSRSGTTHLRNHLAICTTTSTRRAGKRRKLIVRRILHNKTSTDGQSGDGHASGEDHDNDGTHFDQELSRRDLAHMIVQHGYRFSIVDDVGFQKFVKNLQPQFRMVSYETVRADSMTIYESEKLKLQDALLKIPCRLSISVDMWRSNTQMDFLCLTCHYIDHANDEWKVRKKILNFVHVEAPFTDDQIASLILEKLREWGIDRKLAAIVLDNCASGEIVARELLVVLQPRRLLLLNGNLFQVRSCAHILNLTVQESLEQTSDIITRVREMIQNVKFSQERFEKFQDTAKLLQMDQKLLVLDSPNNWPSTYLMFDSACYYHDVLMRLAEQEAHYGAFLTAKEWADVKALTEILDALYHKMEKFPVENPTANLYFNDMCEVHVLLNTWRNSPSPVVAQVADRMLTKFEGYWDLTRPVMAFASILDPRYKMKSVEYFCRLIYAADQFRAKTTIDDIRQSFTNLCSEYEQSGNSFKNPSALFYSATSNSCMSSVYSNGDDFKTFSRITLSDARRGLDQYIQETSSGQSFKSDLDMYLEEPCRDWYVRKIGCGKIQKVPLGRTFYIASSDGHADDKAARGDELIVLPK >KN538768.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538768.1:75434:81766:-1 gene:KN538768.1_FG020 transcript:KN538768.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTVGALGNGISQPLMTVLFGNVVNSFGANTGGSVLRSVTKVVLNFIYLGIGTSVASFLQVSCWTMAGERQSARIRSLYLKAVLRQDITFFDTEMTTGEAISRMSSDTLLIQGALGEKAAGKLVELLSSFFGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQASYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKILTILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNSGMVLEDMNGNIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVVAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETRRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDSKAIKKTPFGRLFNLNKPEVPVLLLGSIAASVHGVILPLYGIIMPAEYFLFGIAGGKLIQRVRTLSFQRIMHQEVAWFDKPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAVATLITGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLGFSNLMLYLTYGLCFYVGAKFISQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDQKSKIDSSSDEGAIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIHANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPLILLLDEATSALDAESERVVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >AMDW01039980.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039980.1:376:1173:-1 gene:AMDW01039980.1_FG001 transcript:AMDW01039980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKGHQRWVCNSLLAAGADFGTFRADHLSSKIPEQAKADREAFSDTLSKSAAVMATCAALLFNAALNIFLNVQAIYHNNNTSSSNNNNATQGSDQLKQIQKVKKLSGDSLSISACAILLFAIAGFPILPGVIGRTFALILGLGVLIGSSMVSLQALAARLDLAKVYGTGIGAFCIIFSLLCVTLCTNLLRKIVQHARPLWARCGARGFFRSILNVRRAQNYSAIPLLQVCALIEVLLLTCLVMSSSIEIVTKNFPISLQLVRR >AMDW01040330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040330.1:496:1168:1 gene:AMDW01040330.1_FG001 transcript:AMDW01040330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGASDNLTPPPFTMVVMVGIHHPQRVHNEVRRRTGDNNVSDPMPGKVAIAGVYRLQ >KN542948.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542948.1:181:8318:-1 gene:KN542948.1_FG001 transcript:KN542948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGLPSSSLFILVAVLLPLLMHSQPASVDEGFVSIDCGLSSGSSYLDEKTGLNYTSDDGYICTGENHNISAEYNGQELFKTGLNLRSFPTGGRNCYTLSPATTGQKYLVRATFMHGNYDGKGNDQVSWPPVFDVYIGLYFWDRISVSNSAKTYISEVIMVAMVNWISVCLMDIGRGTPFISSLEMRLMKSSLYPAATTNRSIALQERHSMGTNSLVRYPDDIYDRLWWPQQASSGLLNISTNKTIKHYPNDIFEVPTRVLQTAVTSTNTSIPINFSWTAPTNWPMTAAVPAYFFNRHYSDFQNQWVREFNTYCNGKLWWANTGPARPAYLIASYKYSTSQFTFDTGFYNVGLVSTNASVLPPVLSAFEIYYLVQHDGTMTSPEDVDAMMTIKTEYEVKKNWMGDPCLPENHRWTGLKCQSDGVTSGIISLDLSHSDLQGAISDKFSLLKSLQYLNLSYNDFSGSVPDSLVNLPSLLTLDLSGNHLINTIPDALCTKHSLTLRYDTTDGDPCNGKSPKKKNTVVLFVAIVVPILMVALLVLTLLVRCFWRKKAPNMLLRFTDVPDTTSKEDYDDHIHISDGREFTYKELLEMTNNFSVCIGEGGFGPVFHGQLKEGTQVAVKMQSPTSTIGKGTTEFLAEVESLTTVHHRHLVFLVGYCTNKNHLALIYEYMPNGSLYDHIRGKNAIVQTLRWRDRARIALEAAQGLDYLHTGCVLPIVHSDLKSHNILLGHDMVAKISDFGLSKSYLNAAQSHISVTAAGTLGYIDPEFVLIPILLNLSRSENFN >KN540607.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540607.1:14261:15409:1 gene:KN540607.1_FG001 transcript:KN540607.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKSKCTCTLTDDLVVDILSRLPLKSVCRFKCVCKSWSSLFSDQYFCTKLPRRPAGLLYQDSNNGSIQIAKLPSGNSEIGTTLSFMPHHENLKLVDCSNGLILFTHGSKSDSPDSSHFIVCNPATQEWIALPDTCPRVNGSDYIAMLAFNPSSSCHFFVFNFQKRRSPHTGGFVITEVEIFSSEDFTWIADDAFETEIMMISMPHVLLHGILYLRTVEHSVFAIETTHMYKPWIHRWTFELPGDSCPMNNYIWGCLGESSGILHYMQPNYDGCWLNVWRLESRHQQWSMTHSLSMIDAFGRGTLVHGDPFSDDWSADYGMLSFDLEREIVFLHDRVSSKVLSYSIRTGKLCEMGDLPRNSLYYVPYWRKFPVVEEDQYWL >KN540607.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540607.1:42842:43513:1 gene:KN540607.1_FG002 transcript:KN540607.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGSKLELIGGGCTIGTGDGNRAKLVISCSHEGLSAAPEGGDGGVDVQAFCPCPVLHLLLLVPLYFSLPSLRCLFLPCLSHFIAEARQCCWMDSSIWLN >KN540607.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540607.1:35484:36278:1 gene:KN540607.1_FG003 transcript:KN540607.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSFPKNDGSSRKEAYLSPLHEALRGTTAVQGLGEYVGHNSSTTPPIISGPQETTILPSHNYSSYNPNMALAPPPFQLPPQQNFLTTPMGTYFDSSEQAHIMSTESPPVTSLLQGDPFAVVHAHLNTTGVLDNGPIFENSATSLLVPEVNSMPSVYPFPLQNIQPFISGTISQQQRLQSGSSSHVESFGPPYVPREQPEPIGGTKSVQVPAGRSGVVNERVYTCRHCPNATFSTPQAYGGHMSAHSKKDKKNMSSSPSSRG >KN539170.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539170.1:63253:64267:1 gene:KN539170.1_FG001 transcript:KN539170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAGVVDAAEDVVKPAAARQPIRRQGSLRLRALAPGSIDVRAGGAGDGSAVSEYCHDAAAEAIPLLTPLHAVPAAPAASDQVSGGRNFVKTRATE >AMDW01142932.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01142932.1:7:354:-1 gene:AMDW01142932.1_FG001 transcript:AMDW01142932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVPVGPAGADAYRSLADLLLCNVCLDDGDGARLCKLLSSTSCPHLRRLELSVITGLTILRLDAAATLEELRLIGLRDMEQMEVDAPGLRDLTVKGITVHLMAAAAARIAAPRLQAL >KN539170.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539170.1:32045:35367:-1 gene:KN539170.1_FG002 transcript:KN539170.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLENMLKVEKGRVLFSYFARLFLWIICSMPLKAFYELAAACSNPKLLEELRKIHDYFLRSPFRADLQVNNKMLEMYAKCAAMNHARRTFDHMPDRNMDSWHIMIDGYAVNGLGDVALQLFEEMKTKYGIAPTAHTFTLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPTATVWESLLNLARMNGDIDLEDRAEELLVSLDPTKVNPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >KN539170.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539170.1:93358:94749:1 gene:KN539170.1_FG003 transcript:KN539170.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFEYMGTNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGATLEMITSRCETYSWKYV >KN539170.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539170.1:132377:136943:-1 gene:KN539170.1_FG004 transcript:KN539170.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIDANLRRHQLAAAVGGGGAVAFERAHGAPMFEYMGTNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGGTLEMIMSRHKHITGVNFDLPHVISQAPSLPGVKHVAGNMFESIPNGDAIFLKSILHLQNDEDCIKILKNCHQSLSDNGKVIAVEIVLPAIPEPVPTAQYPFQMDMIMLNNFRGGKERTELEFTKLALDSGFSGTLRATYMFANYWALEFNE >KN539170.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539170.1:4794:6077:-1 gene:KN539170.1_FG005 transcript:KN539170.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSILVVVFALFAVAASLPPSAAARDAQVFKPTVAVDAVRQEQQQQQQPAVNAIADVSQPSTSLIPGLPPLPPLPSIPITPGSPGAQIPINSQSALATTSTTPQVITECLSSLMQLMPCMEYLTKADEPAPPSICCDGFKSLVEKAPICLCHGINGDISKFMPAPIDFARMMSLPATCGVAPPVEALTKCFTGPVPPLMPAPTPAAAPSPGPSA >KN539170.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539170.1:117218:130015:1 gene:KN539170.1_FG006 transcript:KN539170.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSPLASDGGGGQSGGPSAFERAHGMPLFEYMGTNHRFNMLFNQAMSQQSMMVMNKLLDRFHGFDGISVLVDVGGGTGVTLKMIISRYKHITGVNFDLPHAISQAPSLPGVNHVAGNMFESVPKGDAIFLKSMLLRNDEECIKILKNCHYALSDSGKVIVVDIVLPATPKPIPEAQNPLRMDVMMLNNLRGGKIRTEQEYAKLAMDSGFSGSFRTTYIFANFMAIELCK >KN539170.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539170.1:186:1109:-1 gene:KN539170.1_FG007 transcript:KN539170.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLVALFYRIKKQAAAMAAKRKQQPKLPPGLATMPVVGNMHHMLMNKPVFRWIHRLLDEMDTEILCLRLGGVHVIAVASPEMAREVLRKNDAVLASRPSSFASRAFSFGYKNTIMSPAGDQWRKMRRVLASEILSPAMERRMLGRRVEEADHLVNYVYRNCNNGTVDVRHVTRYFCGNIIRKLVFGRRHFNSGDGNIGPGHEEEAHIDALFTALDYPSAFSVSDYFPALVGLDLDGHEEVVNGLMDTFNRLHDPIIMERMEEWKSLRTKGDKRREVADFLDVLISLEDAQGEPLLSLHEIKAETL >KN539170.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539170.1:44473:47508:1 gene:KN539170.1_FG008 transcript:KN539170.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGWGSRRVEYGRTYIVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNDPEEQDGELTHGCKKSFLLAYLTKSWKRLGHYTIPEEMDDVGKWLSSRLGLDRSR >KN539170.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539170.1:57935:59699:-1 gene:KN539170.1_FG009 transcript:KN539170.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDQHRSCRCVKSNCVKLYCPCFSAYGYCSQNCHCTNCKNREYYEDFVEERVDMIKMKNPRAFDPKIVRVQDASEIEPHSSNAVPENEQWMYANGCRCQKSKCLVHSCECLKHEVRCTSKCQCIDCGNGPRTKYDSNIGKDQSDVSGLTYEEPTMDTMQSEHTLYPNKRPKYF >AMDW01039661.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039661.1:129:613:1 gene:AMDW01039661.1_FG001 transcript:AMDW01039661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDE >KN539686.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539686.1:7176:13233:1 gene:KN539686.1_FG001 transcript:KN539686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAPAFVPLFVLRGMDRGRARVVEVHDEPENSNVARENPPTDGTNPENNGAWRQLAKHSNSVAKVICIVFFLVILAGLLIKQLAPVKRSGLWAGGSILVEIGFFVCSALSSMIVLPKLFIQANLKFFRYVAVFCGCLTGDLIWLCRLFVRILARARFEAREEKKEKEKRENNEKTSSTAIRVESSKEQRPESRGARRWGPKGFMPHAIYLAPPLIQLSCLGIKMKAHHEEGSLEWRVGYVLDDFARFTSAILISFVGVPSMLLTTMIPKVKDDDTLSQ >AMDW01032410.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032410.1:270:446:1 gene:AMDW01032410.1_FG001 transcript:AMDW01032410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLEMVAAWLQQQNREGQGSTGNEEGQANIGSTGMHMEDSSSEVSPSLLEQPQGSQ >KN539686.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539686.1:43529:44386:1 gene:KN539686.1_FG002 transcript:KN539686.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHVEVRVQGEGSRANAVALRVSLAASLVVLPLVPVALSLHVIRIAVSGAGEDTEPEKLAPESFAASVRASFSHPILAASAAITPFAVLVPVGNLVKGISAASGSREERIGAAIEVVGLVGFYPVFLFFFLPTAAWMVWRVKLPAGHGQRVAPV >KN539686.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539686.1:37224:37475:1 gene:KN539686.1_FG003 transcript:KN539686.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDTICIGSIALMAFVLLVSPGALVKGDSVAKGSCREMIGSVIGDVGLVGFHVINLFVLTPNLALRVWRVKLPGHGHRVVPV >KN539686.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539686.1:17858:20316:1 gene:KN539686.1_FG004 transcript:KN539686.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGVHVVDAGQHVFVLLVLPISVLLMVMQLRLLAGPLMGGRGMLVRTIAAMELSILEHHRERSGKWNQLAQEIVFPLSISFVLLGVVMIGLMITGFSPEKEFSRKSIGWILADVGFLGWHALVGFFLLPKVILTLWATSLFFSGSLNYQLSVRL >KN539686.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539686.1:76364:81033:1 gene:KN539686.1_FG005 transcript:KN539686.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQWGRRWGTGYRVTPMRSPDGVALEKWWRMAGGGAAPPPKQEELQPHQVCLCWLVVVCGHFTLVVLTPGPDDVDGFETLNLSFPAAEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTIVAPTISIILAGRYSNEADPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARLKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIVSKLSMPLFAGACGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFASKPFVAGLIAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >AMDW01035029.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035029.1:364:559:-1 gene:AMDW01035029.1_FG001 transcript:AMDW01035029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LYVWLGRFRGALARFSDTDVADDDDVFDASDSVDDGDECAGRSGDECAPGDVAAFTMSRSSLRPV >AMDW01049274.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01049274.1:29:352:-1 gene:AMDW01049274.1_FG001 transcript:AMDW01049274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDGSFPSFSHDGKKIAFVGLPGMYVVNSDGSGGRRKIFSGNAFPTSWDWKRKGVIYTSIGPDFVTESMEMDMVAISLGDDDDETISMKKLTVRGENNAFPSPPPDGK >KN542887.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542887.1:3622:6069:-1 gene:KN542887.1_FG001 transcript:KN542887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKYFTVSVCSSFSQEMAALSVFASSILSKVTTFAVEYALDDIKLACTVRSEMEKLRNSLKAICAVLKDAERKQSTSSSLKHWLENLKDVVYDIDDVLDDVGTRALQQKVGKGEIRTYFAQLCIFPFELGRKIRRVRERLNEISALKRNFDLKEEPIDTPSDRVVQRETYSIVDERKIVGRDKAKNDIVKVISEAAESNSDTLSVLPLIGMGGVGKTALAKLVFNDKRTKEKFDKMLWACVANVSDLKHIVDIIIQSDSGESNKQLTLEALQKKLHELLGDKRYLLVLDDISHDNINDWEELMNLLPSGRSGSMILITTRLSKIASVLKTLEPYEVPKLSHEECMKVFARYAFKGEKAKDTELLKIGESIVQKCDGLPLAVRTLGSLLSMEDISKWQEVKETNIPNTDILSVLKLSYDALPSDLRACFVSLSTFPKDYEIFRELLIMYWMAMGLLNTASGSKEAIRTGERYFSELAGRSLFQDYVFSHDGTISHCKMHSFVHDLAISVSPNEHATISCENFSASKRVKHLVWDQKEFSKDLKFPKQLRRAGKARTFASRHNYGTVSKSFLEDLLATFTRLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKYMPNSLCKLVNLQTLQLAWCKELEELPRDVKKLVSLRYLILTSKQHYLPNDALMGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPNLPSLPSSMNRLVTLQKLVIHNCDELNLMEPKEAMGGMKSLKSIELVGLPKFETFPDSFASAASTLEYLKVSDCKEFKKLPDFIQRFSSLKKIEIPERRQYSNITWA >KN542757.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542757.1:10901:11380:-1 gene:KN542757.1_FG001 transcript:KN542757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCDEYGTGSEEWTTKVEIKIKNVPEHANHPEIMERLVSSFCDAQTYRFDAVNKDYYICGFAQSIESIPKSKHLKLKYGTSNGVRIKSFLLNLEASLYAGPESNAIIEGNIKMGVKMHCISKLTVCISIYAVKSNLSQLQLKILKSMKTLKSMKIQML >KN540390.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540390.1:2603:4584:1 gene:KN540390.1_FG001 transcript:KN540390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRRQEEKMDKLLEMFELREKREREYTLEMSAIIRATTAVFKSASSPSTPVPTRCSTKCLNNNITWAAVNSSQIGEVPAPTINLELGEGKDKDLPPYIVPKVLPKVTPTRCSMICSGSDVQPDLTVDVVLTCATTVVGSMELVVAKDATGTINIGNPGCSKEMHGMCSTLGPDIKRGADQTEVAFQTMADVSKVVPSSVQSTNNFSSRMNNDTKQDTHMSTRSLVMALDVNTSAYHDVVEFPLMASPREIIRALMEPLPVIGLKLDAIISMNNTVPISCSLKCPESDKKSLKEHPKKNPGPTPTHNDAMASGQVLQLALQIHSYSRIRLQWMPPWLQFIGLDQVSSFTVELFDARVLCTKLMVLINYWAKLEPWPPPNETNFRNIVVQSKQCKYWKIKVAMILYAWKELWNLVNYGSCTINETSSLQKHISGVGQIMYGPLNPGDYVSLGLTTCVSWYCYHLKNVVDAIHPDASSILIIDMAKFGWSNIVYSEQDSQTIARKARTYLMLELGIGRGSHMLNVSEAGAGYGFIGDLFEMTREAKQCCISMSESWSDTIESMSLFLDAWMSAKHATNYSWPFGWTFQTIIKVKSLMQEASMTNSSYISIHEKNTNVLKHSVYTQEHTPDEQNLER >KN540390.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540390.1:42125:44599:-1 gene:KN540390.1_FG002 transcript:KN540390.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVKVDGSIIVKVDVGVDGGIIVEVDVDGSIILEVEVGINGGIIIEVEVDDNIIIKGEVGVDGDIIIEVVDIIGRHRKVPVAAGASVEVKRKPEVLVPTEDVSGTDATFVRLPAIQPTPMERVVEEQRRRRGGGGTLAARWRSVAAMYWSGTRSADFSAIFGD >AMDW01034524.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034524.1:123:281:-1 gene:AMDW01034524.1_FG001 transcript:AMDW01034524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYAVRHCRERSELLALAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQ >KN540390.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540390.1:14136:18019:1 gene:KN540390.1_FG003 transcript:KN540390.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTARRSKPELVAPAWATPNERKYLSDIDNQPSLRFYATFVEFFQPSSTFDGTRPSDPAKAIKSALADALVYYYPIAGRLAELPEGRLVVDCTAEGVVFVEADADVGLEELGKPLLPPYPCVEEFLCDPGDTKMVLGKPLFFLQVTRLKCGGFVVGFHMCHNISDGFGMLNFIRAIADIARGEALPTIFPLWNRELFTMFFPPRISHVHLAYEALRDGNLGNDIMQSTPPGAMVGQYFLFGPTEISAMRSHLSAHLRQSSTIFELISGAIWKCRTAALDYSPGQLVRFMFTLNSRGKWKRNPPVPQGYYGCGLVLPVAETLVADLCGNPLEYAVQLVRKAKFNVTDEYIKSTVDMIASRKWPSLVVDRTYIVSDITTIGEDKIDFGWGKRVGGGIPMAGDIMSKLLSYFMKCKNADGEDCVVVPMYLPSIIMDRFAMEISVWSRKQGNKFIVNAFN >AMDW01040197.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040197.1:778:1105:-1 gene:AMDW01040197.1_FG001 transcript:AMDW01040197.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLIHGSSNVTRSHYLNTEEFIDAVAAELRSRLAAN >AMDW01039900.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039900.1:486:1136:-1 gene:AMDW01039900.1_FG001 transcript:AMDW01039900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFVVALMCASAMAAQARLPPGSSPLVAACTAGPFSKLCVKDLGHRLLDIQTVLTSVSNHGAAIAGAPGQVDFRSLVAVAMEAATESGAVAATVFEGKLPGFNKSVPDFKACLDNCSVTVSSAMKEIHGAAAALKAGDDDVAKTLVLRAINDVTMCTYSCRELNGDMAVILEHSLVQFQKMMRIAVNFISKMKKSPLPPPPRSTPPAPPTPHRLH >AMDW01010258.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010258.1:31:192:1 gene:AMDW01010258.1_FG001 transcript:AMDW01010258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHARAVAVDVLGEDGVKVGTPFMGAEDFAFYAQRFPAAFFMIGVGNETTMRK >AMDW01039849.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039849.1:21:1055:-1 gene:AMDW01039849.1_FG001 transcript:AMDW01039849.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALLTTAGLLPRHPDLSLVALNSLLRVLSRRASSPAHPLLALRLLLLMLSPASPLPPPDHLSFPFALSAAAAVSPSPGTQLHALLVKNGHFPSDHYVTTALLQLHAARPDDARRVFDELPRREAIHYDLVIGAYTRTGMAGEGLGVFRAMFMDGVAPDAVVLTTAITACAQAGALECGEWVHRYVERSAPGLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGRAEEAVSCLDRMAREDGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYACTVDMLCRVGRLEDAVALIESMPMAPLASVWGSVLTGCRTYAN >KN541893.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541893.1:11572:12603:1 gene:KN541893.1_FG001 transcript:KN541893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERISIKERRSQAKIAGTLITVGGAMLMILFKGPVINFPWTKNANHNISDSSDHNNGHWLMGIFMILLSCFCWSAFFILQSYTLRSYPAELSLTTLICAMGVAQSGAVALVMERDIKAWLISFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILCEVVTLGRVIGAIIIVVGLYALIWGKNKDHGSQVDQDDNFEKQKTFELPLSTTNVNEARSPNHI >AMDW01040498.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040498.1:868:1241:1 gene:AMDW01040498.1_FG001 transcript:AMDW01040498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLKVFPYPINITNVQFAVGTVIALFMWITGILKRPKISGAQLAAILPLAMVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGE >AMDW01032546.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032546.1:197:475:-1 gene:AMDW01032546.1_FG001 transcript:AMDW01032546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAHPGEDDGEARMLTGGSEGGDKLNAVGDRRADGDVAPAQRRAAALPFQLSVVENKVQFCNTPGETGVLGYWYLVASSTFIFISVRCWE >KN540946.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540946.1:10871:11143:-1 gene:KN540946.1_FG001 transcript:KN540946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRRERDQRGGHPGNDTDAVLGEVDLTGYTLNLRKIGTLMDQISKTIVGNFSRINFMSATVHAYANNNAEFHSRLQGLQAHGNRTIGI >KN540946.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540946.1:28617:31908:-1 gene:KN540946.1_FG002 transcript:KN540946.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTYLLDLRIMGKTCRLEFPGGFSFKWPIDADVTNFKDFMGDVCEKYPWGSNETVSLHYMHASSKELIPICKDQDLTAMFGCFNHSKRGKVEGNTSQPSKSDAYLDNPFPHYELVSIDDEKQYSVGSDDSSSESDDSSSGNDDSIVKTDNVPEVEGGDDLSITDSDDEEWIARDAQADPVHDYVDQCYSVAKFKATYAARVPALTDISQWPKNTRDFFLYPPKLKRSVGRPKTLRLKGGGHNNFTNLQYMILSAVGGTISDAGLESNNQPLPSLPSSMAIVPLVQVVAPVAKGKGNGKGKGKVKEKEKGKEKGKGKKDEKEDKDKKLEKTIPNSTSYYSTCQKEE >KN540946.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540946.1:19014:20297:-1 gene:KN540946.1_FG003 transcript:KN540946.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFKLPLILLLLLFCLAITSNAARPGVLPHGIIQRPASHTLRPQDFPNERLYRAYLVIQRFKGTITADPKNITLTWTGQDICGQKTYVGFYCAKPLGQDKDLTVTAVILNGYGLRAPKLQGFVDQLPDLALFHAASNDFGSDIPLLDNLPYEYQISIGNALLPQKYNLLKSHGAATVSSGCIAATINYHFNIGIDTKKGGIIPGITDAKALLLNYNNLSGNLPANIGSSKLSYLALANNKLSGPIPPSIAHAQDTLLEVLLLNNQLTGCLPHELGLLTKAAVIDAGFNQLTGPIPSSFSCLRSVEQLNLGANSLYGEIPDELCKLSAPAGRLANLTLSSNYFTSVGPSCMALIKDGVLDVKRNCIPGFADQRRSAECASSLSQPKTCPAASNHVTCPAVHNSMVASEERKGRDYSSYVTYATLHK >KN540946.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540946.1:12603:13509:1 gene:KN540946.1_FG004 transcript:KN540946.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEEISKIDTVSPDTVMQSLTEIELLSKQKKELLARKQREWHAKRKVESQSVEDSANSEILQVSTFCHAQLTESQEDQYSEMLSIQSKREQRNKYMREYRARKKAKLSNTNGGGTAPSTPTNGASSVITEGSMHGMMHSTILENQSDGVTQYQSIQKPIVREVIPDTDYVEFDSSLFEPTNEDIVGNGEFSYIIQQLMHPI >AMDW01040772.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040772.1:5:1593:1 gene:AMDW01040772.1_FG001 transcript:AMDW01040772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYARNGRPREALELFALMRASGARPNQFTYGSAASACAGAGCARSGGQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMIPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRSMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNFKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEVGFSVI >KN545722.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545722.1:380:751:1 gene:KN545722.1_FG001 transcript:KN545722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDVFSFGVVLLETVTGEPPIMPGVGHVVQRVKQKVSDGDISAIVDPRLKGAYDMGSVWKVVDIALLCTREVSDDRPTMTEVVEHLKDAFALEEARHIDPINDNSQGNINTDLSANWGPSAR >KN543638.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543638.1:1754:2876:-1 gene:KN543638.1_FG001 transcript:KN543638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVATSSSSRLLFRALKLALPALRDGGGDGGGGQSVSRALVVAASLADLQMDAEVISAGMVRGALDTGALAMADVEAQLGASAAGLVEESLKVKRAPSEVDVADEEAASALRKRCLSSYDIRAVILELAVKLDAMKHLDVLPKHQQRTTSLEVLKVFAPLAHAVGAGELSLELEDLSFQRLYPQAYAHIDQWLSSQEDDCKRVIAASKEELLRALTADDELRRTVTGVGVMGRACLRTHEVIKAMWKDVPARTKDYITRPKGNGYRSLHVAVDMSEPAPEGKKRPLMEIQVRTREMDMAAVGGQASHALYKGGLTDPEEAKRLKAIMLAAAE >KN543095.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543095.1:3112:4947:-1 gene:KN543095.1_FG001 transcript:KN543095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPAKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAVDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYPPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVIRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >KN548275.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548275.1:53:272:-1 gene:KN548275.1_FG001 transcript:KN548275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFKLYAWTSDPASIPKEKWLRIVEDGGPVVSPQGTCERYLDYVVLIHLTAVEDHISPPEPYLPFAPSSGDS >KN543500.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543500.1:1921:6829:-1 gene:KN543500.1_FG001 transcript:KN543500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPHSILFLLLVVNFCAADQDTTRGRAEEFHVGVILDLGSLVGKVARTSISLAVEDFYMVHRNYSTRLVLHFRDSMASDVRAASAAVDLLENYKVQAIIGPQKSSEAVFVSNIGNETQVPIVSFTATSPSLTSNSMPYFVRATSNDSVQVNSIASLIKAYGWREVVLVYEDTDYGRGILPYLIDALQEIDARVPYRSVIPFSATSENIQEELYKLMTMQTRVFVVHMSSTTTSHLFTKAKEVGMMNKGFVWIITNGVANIIDSLSPPVIEAMNGVIGVRFHAPKTKNLDRFSIRWNRMYQRDNPDESPFDKLSIVGLWGYDTIWALAQAAEKVGISTAKKRKQIPSKNSTCLESMVISTNGPDLLTTIVKNKFRGLSGDFDLTDRQLQVSMFQIINVVGRGWREIGFWTAKSGLSQQLNQTGLQITGTASKLNLNPVIWPGESTEIPRGWEFPTNGKKLRVGLHTSGYPEFMKTIKDPVTNATRASGLSIDIFEGVVKRLPFALTYDYLAFDTEDTASTWSYNDFVYQVYLQNYDIAVGDITVRYNRTSYVDFTMPYTESGVAMIVPVKENKNNDMWIFLKPLSRGMWCGSTIFFIYTGFVVWLLERLNGNGHLHEDKLERFLSRLVLLVWMFVLLGLLEDIGFDRSKIRPLDTPDDFHSALSNGSKNGGVAALVLEVPYIKLFLAKYCQGYTMVGPIYKTAGFAFALPKRSPLLTDISRAILNITEGDAIIQIEKKWIGQNSCQNDDKVGGSGSITLGSFGGLFLLTGVVTTCSLIIALLTNWHNTNQKSGTEGDDQNQHRHGEKRENGHAQGDQKNEDNRDYSDTENQTKLSVPQSLNTNDDEMRDDRPKNSNLTF >KN544598.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544598.1:31:1759:1 gene:KN544598.1_FG001 transcript:KN544598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGARSFRNAPLKFIAEHHAVFRGRCVLGNCSSVPGAEPEQQLQPANAEILDVEDLVDVPDAPPSPDPPSRSRPTRDAPPSPDPPSRSRPTRGSCKRVATPASGSRGSKKTRSDSTGEALHRLADLRVKSSESKAQKQREREAMSARACIELLKSDGHLFSSDVYHMGVLLFSDPYFCEFFLGDAITPEMREYYIRFHYAMKFPNGGRFFPPPSCSGGLFPPGGTGNDGGDGGDPGVDGAGGADGAALCVMAMLCVPLMSTTSGNTSGSEDGYTSEEDETIMELLADAFRRNVVIP >KN541166.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541166.1:307:2785:1 gene:KN541166.1_FG001 transcript:KN541166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding INESKEDTSAVDVKKGKDIQGIPWDNLSFSRDGYRKTRMACTPVDKGALLYEFQYNTRSVKPTILHFQLRNLVWATTRHDVYLLSQRSVLHWSPFASEKHKVIDLQGHITPSEKHQGNVSEGFYQAQVSTLAVRGISYCCKSTHDDNGITNSLEIFEKPSGSVHFLASNNDCGVRDFDMEKFQICNNFRFPWAANHTSLSPDGKLVAIVGDNPEGLIVDTNSGKTVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRIWDIRNLSESVAVLGGNMGAIRSIRYTSDGRFLAMAEPADFVHIFDVGSGYRRKQVVDFFGEISGISFSPDTEALFIGVHDRTYSSLLQYNRLRFYSYLDSAI >KN541166.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541166.1:18143:19355:-1 gene:KN541166.1_FG002 transcript:KN541166.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGDASHGANGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALADDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >KN541166.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541166.1:11912:12876:-1 gene:KN541166.1_FG003 transcript:KN541166.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGNGDAPVIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRDLRLITDKHQWGFMQSSADEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPEDGKVVAIDPDRESYEIGRPFLEKAGVAHKVDFREGKGLEKLDELLAEEAAAGREAAFDFAFVDADKPNYVKYHEQLLQLVRVGGHIVYDNTLWAGTVALPPDTPLSDLDRRFSVAIRDLNSRLAADPRIDVCQLAIADGITICRRLV >KN541166.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541166.1:5264:6638:-1 gene:KN541166.1_FG004 transcript:KN541166.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARDYCNVENGSVVVGRLAQGSVDSDADAAQRSVGNNGDLGSGMKSSADPYAESISLLQRTQEALENEIKMFAVISKESNDNFDGNDDDWSGLVDLVEPLEGTSQNVKDPESRPEEASYLGRLFLEKTEAEIKSIILTRAAQTWAPLVDDQIALYNAQKSLSGDYKQLELKLQHTKNRAAMLEEMAEKLRAECRVLSGSSEVLRLQSRASRVSLFCFIQFVLLFTAVGTFLARLLPSPTEYVPT >KN541166.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541166.1:22400:23332:-1 gene:KN541166.1_FG005 transcript:KN541166.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQLFTVLLKMIGARNAVEVGVFTGYSLLATALALPDDGKVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDELLADDGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTTGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPLADGVTLCRRLK >AMDW01036796.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036796.1:182:400:-1 gene:AMDW01036796.1_FG001 transcript:AMDW01036796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYE >AMDW01040938.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040938.1:513:4017:1 gene:AMDW01040938.1_FG001 transcript:AMDW01040938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHQHQPVIKICTVIQMIHIRMIGLQQAHKPHHHSLQHQLRPLHITPEIYPFLEEIIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTLSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELIDALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGSGFQKLLAFCGSSNPKIRNTTLVGEENLPYA >AMDW01039820.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039820.1:170:1005:1 gene:AMDW01039820.1_FG001 transcript:AMDW01039820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYIDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAPAQDFAAITDYTAPEQWSADQWTSDVAAPPAATVGDWGAAP >KN541295.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541295.1:19657:28217:1 gene:KN541295.1_FG001 transcript:KN541295.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADSDQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMKKASPTSMKISLRSVSSQTFPKHTSLCYSSHSILVPSDANYCSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >AMDW01019604.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019604.1:107:248:-1 gene:AMDW01019604.1_FG001 transcript:AMDW01019604.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEEEGGGDVLPPGGEACRRVLDPPDENYNPESCKAICSLRYNGV >KN540690.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540690.1:7205:7504:-1 gene:KN540690.1_FG001 transcript:KN540690.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSLLSRRSTAELELPVPPEFRCPISLELMRDPVVGPTGITYDRAGIEAWLLAAGAGKTAAASSTCPVTKGDLRADDLVPNHALRRVIQASCVFD >KN540690.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540690.1:32194:36028:-1 gene:KN540690.1_FG002 transcript:KN540690.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVTKSPPEIVRPSEPVTTTAATGKIIFSPFDKPLATVPVVVLQVFEHPIHEPVETIRRGLSQALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAANADCTVKELTRDIDRRSPDAAKAVLRELIVDYPANGFGRDDPLVLMQVTAFTCGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTTSVIPVRSDKSLQAMSSSTVMAAKQFMFGVKPTTLALHSITIPARVINGVRAPTPTCTVFEAVAAVMWRCRTRVVMSDPDAPTVLAITVNSRKYVGVKDGYYGNCATMQMAMARSGVVADGDMMEVVRAIRRAKEEIPERLKKGDVIGDLTTEQVTGYEGVLLVTCWRNIGVHSPPSSSGHRLIQAKFWPPPQCHCQPPSFLTCQIRPPGEWGSPDLKSLSYLPLSQGRCRRTKLIVQLHEDYSDHDHRPHYQLIDDLACDATPMADILPRYLLAD >KN540690.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540690.1:28218:29478:-1 gene:KN540690.1_FG003 transcript:KN540690.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVTKSPPEIVRPSEPVTTAAATSKVIFSPLDRPLAIVPIVVLQVFEHPIHEPVETIRRGLSRALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAANADCTVKELTRDIDRRSPDAAKAVLRELIVDYPANGFGRADPLVLMQVTAFTCGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTTSVIPMAMARSGAVADGDMMEVVRAIRRAKEEIPERLKKGDVIGDLTTEQVTGYEGVLLVTCWRNIGFEAVDFGGGRTARVMTTYEQSGVRPMCVVCLPWQGEEDEGARVLSSCVTAHHADAFLCEIATL >KN540690.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540690.1:23043:26814:1 gene:KN540690.1_FG004 transcript:KN540690.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSATLDGHYEEKRKSNVEYSEDEKKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPKEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKATQMWADMLRWRKEFGADTILEDFEFEEAGKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKTARDLIGQLQKIDGDNYPEVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEVMKMVQSGAGWCGNLNLNHLEAEEKMMICEDDTMYTKKQESFKDEGHTLSRKISRARIEHPTLSPVREELPPMMLPTPGSPYSCDVPMVEKAIDAICQSKGSRDENVAITKAIVNASNGSNPPLFGGVMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSIAEYASSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAVTKKALQETLERQEQIMAYIEKKKKKKSKRLFRW >KN542636.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542636.1:6176:9099:1 gene:KN542636.1_FG001 transcript:KN542636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLLVLLAPLFLQSSSTTTTAPPPSWGSHRCITGERDALLSFKAGITDPGHYLSSWQGEDCCQWKGVRCSNRTSHVVELRLNSLHEVRTSIGFGGGELNSTLLTLPHLMHLDLRVNDFNGARIPEFIGGLNNLLYLYLYGLWCKLQWAGATQSCMSNYGSVYSTDLAWLSRLTKLQYVDISGVNLSTAVNWVHVVNKLSSLVTLNLRFCELQNVIPSPLNANLTLLEQLDLYGNKFSSSLRAKNLFWDLPNLRYFDMGVSGLQGSIPDEVGNMTSIIMLHLHDNKLTGTIPATFRNLCKLEELWLSTNNINGPVAVLFERLPAGKNLQELLLYENNLTGSLPDQLGHLSNLTTLDISNNMLSGEIPTGISALTMLTELLLSFNSLEGSIPESFKKLRGMTLSPADNDSLSYYGSNSEGIDEIDLDVFPNTLPVITKGQQLEYLTGIMYMVNFDLSCNSLTGQVPAEISKLVALKSLNLSYNLLSGIIPNSIGGLHALESLDLSDNEFSGEIPASLSFLTSLSHLNLSYNNLTGKVPSGYQLQTLDDQPSIYIGNPGLCGPPLSKTCSETNASPADTMEHDNGSDGGFFLLAVSSGYVTGLWTIFCAILFKKEWRVVCFSFSDFLFDWIYVRVVMCWASLARKRRAVTLSGSI >KN544464.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544464.1:334:1664:1 gene:KN544464.1_FG001 transcript:KN544464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEDPGPSDVRSHVPTLGADRSHLMQGSGVHPHDDVQRGGLQQLGAGDGGGDTGSESTRTDRPPCVDDAHMRTPTRNVMHIHGAHVPDEMVPKFGMEFKSYEMTYAFYNKYAEHAGFDCLGRKTANVREENADDISKLLKFFNECKKDNPKFYWDIKTDEEGVVKNVFWSHASMQAEYADFGDARHPPK >KN538850.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538850.1:169665:170621:1 gene:KN538850.1_FG001 transcript:KN538850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGHVAPGAGFILIGMWQLFNHIRLFALRPSSYEAPVWFPVRGVRHLELILVIVGAAISILMELVIGPARHQPFDDDGTIPSNHLHNFEHASISLALLVYAAVTIHMDRARAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTVLGIPCPRSFAVSLVRSASLVFQGVWFVVMGVMLWTPALIPKGCFLNLEDGHHVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLHLTKLYPEEPRYLPLVKGGGGGGDGDSDGGRFSIGDDEDDLEGAKGGFGHVAGGGNAVEIER >KN538850.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538850.1:219154:219441:-1 gene:KN538850.1_FG002 transcript:KN538850.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTTSNTKASERRSVRFCPGAETSVVRRRVEELVRSLADVEEDEDGSDASSDLFELESLRDADGDELPVYGTTSLATNRAIILRREQLASS >KN538850.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538850.1:125244:130187:-1 gene:KN538850.1_FG003 transcript:KN538850.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 3 [Source:Projected from Arabidopsis thaliana (AT5G06680) UniProtKB/Swiss-Prot;Acc:Q9FG37] MPDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRFDKGSDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPTFQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFSEFFIVGQPVKAESLWQEGYLLQSDMLPAFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAVCVGTTTSRGGLGYGQIDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKRYLKIFNFLWKLKRVDHSLTGIWKTMKPNCIVSSPFYKEGTNIRSQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDSAKDLDDLLLAHDKYLTSIVEKSLLGERSHGILRNLFALFDIILQFRSHADRWFERIYELQLRGKGKPKSKAKAKSKEVDSWVDGGKKAMIQLAGELFRKMGEDLDSIAKDYTSSLDAFIAQLPMQQHVDLKFLLFRLDFTEYYSRVSSNK >KN538850.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538850.1:177085:180328:1 gene:KN538850.1_FG004 transcript:KN538850.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVPSLMPRGCALVREDGRDTVRCRSKESLHRAKALANLQFGWYLSFMTVFVVALYLYVSNRYPAAEAAAYAPLRKAAGDGDDDGDGDDDEGMDDAHKGGGGVHGFGALEIEIKRKSRFENRGNPCPASRYRGGLDAFRKIVAADGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKTIWSGIGCYLCEYGVGVQEIDAGEGDSSLQPGYKTVMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGEGGEPITVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESSLP >KN538850.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538850.1:193628:199640:1 gene:KN538850.1_FG005 transcript:KN538850.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13640) UniProtKB/Swiss-Prot;Acc:Q9FNA9] MPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKSNFRCFDVFVDGYKTTMIYGPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKSVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGFECKAKNQKINDSEVSKDANGKNEVHPEPVKYGRIVSFGKDVAEAPSSEIEQIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTAGSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFQIDASAEGGDENSCLKGGVYLSNGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSDKIKLKL >KN538850.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538850.1:122210:122410:1 gene:KN538850.1_FG006 transcript:KN538850.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVAAFGGGRGGGGSSDGDDEGGGGAIVTEEDDDEVLRLLSRLALYLCVAAMEAPLAGFHLYL >KN538850.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538850.1:183341:185349:-1 gene:KN538850.1_FG007 transcript:KN538850.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVDQPPLAIQSSFLVNYSLHKFLVKLMQEVMVIIVLLIREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >KN538850.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538850.1:137891:138106:-1 gene:KN538850.1_FG008 transcript:KN538850.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGERLHGHGGGAAGIGRMNPNEYMVAVDCPLGVRFALAVDGRIFVHSLKKKGWGAGASDGVGMRKSG >KN538850.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538850.1:109833:113113:-1 gene:KN538850.1_FG009 transcript:KN538850.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRPNPTIRRLDVASPVPADIDIANAVEALPIADIAAELGLRPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKIKFVIGVEVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDIAVASEIMAVLALTTSLADMRERLGRMVIGNSKAGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGYVVTEAGFGSDIGTEKFMDIKCRYSGLMPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNASLAAGAFDAVVCTHHAHGGKGAVDLGLAVQQACESQADPLKFLYPLESGIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVDTMSTMP >KN538850.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538850.1:207612:213731:-1 gene:KN538850.1_FG010 transcript:KN538850.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGSIECLRKRCRQLRVLIHVNDHRKAVVVLHAGEDGKPDHVLVQNVSPEGEASVQSTYRIDVSRETPESQAEMLNDWYTSFRMDTTGVLYDSDQNEKYPKVIYGVPRGHPGGDVPRSLAILPPAPKKNQHGKAPATESTSSLVEEPLLLVQTDQTAAIGKRKKFTFPDQRKRVKTMTKKDLESYFHITQKSAAHIGLSIGTTALKNLCRANDLPRWPYRQIASLDNKFNNNLKKQITGWNLGKAVQGVTKAFKLRKEKEEFYQKIMSSMPEQLQDRWQPAHRCSTSSNIPSNGATAGGDTGSGKLPMVPMGSIECLRKRCRQLRVLIHVNGETLESQAEMLNGWYTSFRMDTTGVLYDSDKNGIN >KN538850.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538850.1:140858:142530:-1 gene:KN538850.1_FG011 transcript:KN538850.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDSPRLPPAAAASDDDSSDSDSDGGGDNHRSKVTLSGLLNFTDGLWSCCGEERIVVFTTNHVDGIDPALLRPGRMDVHVRLGACGAHAMRELVGRYVGVEDHEMLDAAVCCVRGGAEMTPAEVGEVLLRSRDDPDAAVRSPSKFDLMFKSQDCVHLVTS >KN539584.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539584.1:80535:80819:-1 gene:KN539584.1_FG001 transcript:KN539584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLATCVLVLLLVLSCDAHTAAAPAGVAGNLTAALVHLHEELQAQDPLGCDGTCQGCLVRGAQLCFGEYFLHPLGLAECFIEHIVVDRCFGN >AMDW01062181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062181.1:2129:4716:-1 gene:AMDW01062181.1_FG001 transcript:AMDW01062181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAAAASPSFSPPAARRRLPGQLRNVSEVTNVISSRSSVSFNSQRMSFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >KN539584.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539584.1:72342:72650:1 gene:KN539584.1_FG002 transcript:KN539584.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRTGTGHGARGTERGGEPGVGDSGYGVGRGGHAGEREDVAGVDGVGVDGVGSGGAVGGVDAWVLVGEDGDGRARAVDHDAAGLERGVGVGGGGDERAQ >AMDW01034459.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034459.1:77:409:1 gene:AMDW01034459.1_FG001 transcript:AMDW01034459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELVVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLHKCDPVVVEDDDEAGRASFPMVLVQIPMYNEKE >KN539584.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539584.1:49922:58298:-1 gene:KN539584.1_FG003 transcript:KN539584.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH6 [Source:Projected from Arabidopsis thaliana (AT4G02070) UniProtKB/Swiss-Prot;Acc:O04716] MSDAATAKSPGAVDGGGGGDETADSAEEEDEDWKNDAAAENDSEEVELDDEEDDEEEVVAVKTRKGKKNNSLSMSASTPKLASGLGSASISGSTLSKKRRKVDAGALDCAKKFSFEPANTTGKVELKVPISCSQREQPLENALTALTGEVAERFAQRQAEKFKFLGEQWWEFKSQHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGDKPHCGFPEKNFELNLEKLAKKGYRVLVIEQTETPEQLDLRRKETGVKDKVVRREICAMVTKGTLTEGESLLANPDPSYLFSVAESYQCGSEKDQDGHTIGVCIVDVSTSKFIVGQFQDDAERHGLCSILSEIRPAEIIKPAKMLSPGTEKALNSNTRDPLINNLLPSMEFWDAEKTIHEIKQYYCSLDTPGAGAQISSAYLPELLSELIEAGDKTYALSALGGSLFYLRQSLLDEKLLPCAEFERLTCSGLTNPIRKHMILDAAALENLEILENARNGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYERQAILQRQSAIATFKGSGHECAIQFRKDLSRLPDMERLLARLFSSCDKNGRSSKSVVLYEDASKRLLHQFTAALRGCQQMFQACSSISMLTSTDGSSLLNDLLSPGKGLPHVSSILDHFRDAFDWSEADRTGRIIPHEGCDPQYDAACIAIEEIESSLKKYLKEQRKLLSDSSVKYVDVGKDTYLLEVSEDLRGSVPQHYELQSTKKGFYRYWTPEVKELISELSKAEAEKEAKLKCILQNLIQLFVEHHSKWRQLVSVVAELDVLISLAIASDFFEGPTCCPIIKESYGPDDTPTLHARNLGHPTLRSDSLGSGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAESFELSLVDRMFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHRVQCLGLFSTHYHRLAAENKDSKVSLCHMACEISKGEGGLEEVTFLYKLTPGSCPKSYGVNVARLAGLPASVLQRANEKSSDFEASYGKRPGITKNKPSCAQEDKFAAIKDLFRVVKAMHHREDHASSLGMLHEVQKRAKVQVIGE >KN539584.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539584.1:170:1947:-1 gene:KN539584.1_FG004 transcript:KN539584.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTTVPMGWDNRRRKQASTIMNARGRGARNGNGPNRGRGGGRAHSDVVVGANTTVLATRRWVGLEIDSVPGNEGQCDIVNYYLRCATGVGNGERELAVVGTHHSNRRVTYVVHEPFLQSLKELQVAAVVGVERLMWKSRKDVVHWLNMLIS >KN539584.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539584.1:37431:48989:1 gene:KN539584.1_FG005 transcript:KN539584.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDLSSPARKRGRDEEEEEEEEEVVDGEAAQKRARGEDPEGGALLGLANYEEDEEDEEAAAVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRCFSIVAHVNSSNELRAMQFFDWCALAGLQQSRFVILIGTSSGLELLMAPIIYLEWYVYVKLIQWFLNVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYRHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTMHSKLKSRKRNRSIIHDCFQGELEVVKEFHKKHIVEKKEDGDEQNGDAGSDIVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKDSEKLRSKYDLIANVVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMSSPWRVLLQSLALVVTSGLSASASHSHRGRALSSRLLNSLLPHAPRRLLPALLRLLPGDHLTLLLLVSSKHHSHSLPAASALHALAVSSGHLPSDLRIANSLLSLYLSLGSPASARRLLADIPRPDAVTWNTLLRACLRLGLLPAARRLFDEMPERDVVSYNSMVAGYVAEGDLAGARNLFDGMARRDVVTWNSMISGYARHGDMENARKMFDAMPERDVVSWNSMLDGYAQAGDVEMARLVFDGMPKRSIVSWNVILALYAKLRDWRECLGLFDVMIAEGNTVPNEKTFVSVLTACANLGDLEKGRWVHDLVQERWDRLVPDVLLLTTLLTMYAKCGVMETAREIFNSMGEKSVPSWNSMIIGYGLHGQSEKALELFLEMERDGPRPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYSIEPKSEHFGCMMDLLGRAGLLEQSENLIENLQGKVSEALWGILMSASQTQNNIKLGEFVGKKLIEMRPTEVGPYILLSNIYAAEGRWDDVEKVRKVMEEKGVEKDAGLSLVGSREGGHFINESGASAPRNDVMLCMLGEMSVHMKQPSEGREVKQASKVKEGAPEPWCYITKYNAVVKVEKRTGWCPMTLSVAICKRPLASSVPIEAVLFDIDGTLCDSDPLHHVAFQEMLLEIGYNNGVPIDEEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKEAKYRSLAKERLEPVKGLAKVVQWVKDHGYKRAAVTNAPRINSELMISLLGLTDFFQAVIVGGECTRAGVAAGIPVVAVATRNPEKSLLDAGATLIIKDYEDPKLWSALEEIDREEAKLKKADA >KN540903.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540903.1:7569:7970:-1 gene:KN540903.1_FG001 transcript:KN540903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGAVTGYPLKLQVVKWSTKEAEPNPEFLRGMLPKIDWPALVAATQALGLPELLPEAPPTAAELSAEGAAADEGSALRRLHRALLEIHIEEGALVCPDTDRCFPISRGVPNMLLHEDEVRN >KN539584.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539584.1:73368:76941:-1 gene:KN539584.1_FG006 transcript:KN539584.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVKTLKGSTFQIEVDSAQKVADVKRIIETTQGQHIYPSEQQMLIHQGKVLKDDTTLDENKVLENSFLVIMLRQGKGSSSSAPATSKAPSNQAPPTQTVPAAPASQAPVAPATTVPVTVSAPTPTATASPAPAVAVSSEADNYGQATSNLVAGSNLEATIQSILEMGGGIWDRDMVLHALSAAFNNPERAVEYLYSGVPEQMDIPVPPPSIQPANPTQASQATQPAAPSILSSGPNASPLDLFPQALPNASTDAAGPGNLDALRNNAQFRTLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLHLINEPAEGDDEENLLDQFPEAMPQTIAVTPEENEAILRLEAMGFDRALVLDVFFACNKDEQLAANYLLDHMNEFDDEGPP >KN540903.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540903.1:33849:36729:-1 gene:KN540903.1_FG002 transcript:KN540903.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLTWPEGAAQELAAGATPPPNRRPHQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAEGEVEEDESANASATPIRTVSKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLLSEDESKMKRQISNAKSKELSGHDIFAPPEDPRPRNSANGSTSQTPGKNAQVSTITFGEADTDSVVKTAKKIPEKKLTDLTGNDIFKGDAAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >KN539584.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539584.1:20323:31975:-1 gene:KN539584.1_FG007 transcript:KN539584.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATYELIDSFHPFMYFLLEKSPTCCFHYLTKLLILVKEFDALTGSKIASIDLGARVVRMAYSPTASHIVIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIQTYVVHYTLQLAVDSTIKLVGAGAFGFHPTLEWIFIGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQVWKTRVIINPNRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIRNLAVHPKFNLAADMSGTEAAKNKAAYTREGRRQLFAVLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDIARKAFLHSHFMEGHAKSGPISRLPLITISDSGNLLRDVPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNIVYSPKQHMFLVVFELSGPNGVAHEVVLYWEQTDLQTVNSKGSSIKGRDAAFLGPDDNQYAILEEDRTSLNLFNLKAVATKEALENNAAVLEENTFADNVTNPTERQGPMQFTFESEVDRIFSAPLGSLANNSKRSCCRNIDNPEALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLIGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRVTPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLSQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNMKSIPQWELAGEVMPYMKTTDAGIPSVTADHIGVYLGVMKGRGTVVEVSEKSLVKAIAAASGDNARPASSESTQKNVANAGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPITRTRSLSGTPQELNQAPMQPPGLAPPAGPAIPNAAVDLFGTNALVEPQASSGATGPVIGGMGVTAGPIPEDFFQNTIPSQQLAARLPPPGIILSRIAQPAPGMSAVRPVHNQNMMANVGLPDGGVPPQAPMQQAQFPQQPGMPMDPISLPDGGVPPQSQPLPSQPQALPPQPHGFQPAIPAMSQPIDLSALEGPGQGKQAPRPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPVPSPFG >KN540903.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540903.1:19637:27097:1 gene:KN540903.1_FG003 transcript:KN540903.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSRQGMMCDGCAASVKRILESQELECKNCPEVTSATVDFKEAKAVVLTTAEVKAAEDWQKQCGEKLANHLGTCGFESRLQGTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSRSRSYSPDDYRKRGKHRYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSRSRSYSPDDYRKRGKHRMNGVELLMSRGCSVPDDGRLR >KN540903.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540903.1:8934:15857:-1 gene:KN540903.1_FG004 transcript:KN540903.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGKNNFSRVASKVSAVLKKLKRVQDYLMLIVLHAQTLSLVRASVSKEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPSAGYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPEYSAEFMEPALYSSNATMGSNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQSIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGAHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRKIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDEDAPMPTIL >KN539584.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539584.1:64651:70485:1 gene:KN539584.1_FG008 transcript:KN539584.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEDPDLEDPNPDVGELFSHYDGLYFRGALAGSGFSVQWSSPPSRMAGSFGSCTFRKPDNTITLSETVLKYRSSIDTKNALLHQMIHAILFVKHHRKDCRGHGPIFRAWMTAVNTCSIDDHQCEFCGNTLVRATNIGAPSDACCIENVDNCSTCGNMLCHWHKQAPLPQSLATSNRTPLLDEPEHRGSELPKIGTHVLDVRSMEKETGHKMDCGGTYTKMGTSTSAEVQNNVQGTKRCPTDMKMAKSQRTIRKPESPDSDGLQEKATVTKRKAEGELLALVAGSNVKSTGSNSSKKGVKRHRPEDTQDTNAMLSTPVKNLKLGLDLVSSGKHRVSSTVGSNNTKSSRGSASRKQRKRHSPENVQKSSVLPALSQKKLKLKEDLVVSGKNEPLSLPASPPRKPRQDLVASVKTEISCLASRSDADIQKSIALPSASESKLKRQNEISSSTKAGMQDKPRGTQKTIDLPASPQTKLKQSVLQKQKRQCGTRKSANEQFAVISAWLNYYESEGSSGSTEPLVNKRTERRRIARNSITCTRSRKQNARGNASIKSQPSEDDSSQAKAAAPCLEIVVSTPSEQVVNQSPGCQSQSPAPYLAIVPFDAAHDMVPLQSADPPGLTDDPTITSGIIDISDDD >AMDW01132513.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132513.1:1861:2653:-1 gene:AMDW01132513.1_FG001 transcript:AMDW01132513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METAARSAKLAGAKKKEIAEPERTSHEYIHHICYYEVEVPAVGFAPRIDLKLCFTSWLFLKSALRIGSTVTLKLMNKITQPRHLNS >KN542384.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542384.1:516:3798:-1 gene:KN542384.1_FG001 transcript:KN542384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPILHRIIPLLLFLLLTLWPVSSSSLPDEYSDREALLQFRAALSVSDQLGSLSSWNGSTGSDFCRWGGVTCSRRHPGRLKVLYLGENNLTGIVPPSLGNLTMLLQIAFYQNQLEGTIPEGLSSLRYLRYIQASRNSLSGTLPPLFFNISSLQYLGFSSNKLHGRLPPDAGTHLPNLQVLRLGGIGNNFSGTIPASLSNATRIQVLGLARNSFEGRIPPEIGKLCPVSVQMGSNKLQANDAGDWEFLRYFTNCTRLQVIDLSDNTLGGILPSFIANLSSSIQWLSMAKNQISGIIPPGIGSLNGLEDLEFQGNNLFGDIPGDIGRLGNLKVLWLNMNSLSGGIPFSIGNLTQLLTLDLSNNQLNDPIPESLGSMERLTNLDLSSNRLVESIPDVIFSLPSLTDSLLLSDNYLSGVLPPKVGNLRRATTLSLSRNNLSGKIPTTLGDCASLVYLALDSNHFTGSIPPSLGNLRGLSILNLTRNALSGSIPQQLGNIHGLQQLYLAHNNLSGTIPQFLEKSSALIELDLSYNHLSGEVPSHGVFANMSGFSVLGNYGLCGGIAELNLPPCEVKPHKLRKQMLLRILLLVSGIVICSSLLCVALFLFKGRKQSDRKNATSDLMLNEKYPRVSYHELFEATDGFAPANLIGAGKYGSVYRGNLSLPSAMNVVVAVKVFTLQHASSSRSFMAECEALRNVKHRNLIKIITCCSSMDSRGNDFRALVFEFMPKYSLDRWLHPRIHEQTHKLSIAQLLNIVVDVADAIDHLHNNSCPTVIHCDLKPSNILLSADWTAYVADFGLAKLVGESIEQSGLSAGDSSTVGIRGTIGYVAPEYGAGGQASVVGDAYSFGITLLEMFTGKAPTDNMFREGLTLHLHAEMTLPEKISEIIDPALLHVEQYDTDAEILTCLSSVIEVGVSCSKEIPSERMDMKHAAAKLNRIREVMESSL >AMDW01078476.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078476.1:95:331:-1 gene:AMDW01078476.1_FG001 transcript:AMDW01078476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSTLLGLNKRTYELAGNSPGNVPGSFKEPGIGWMVGFLLAISFAGNLSLIPLRKNC >KN542384.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542384.1:6456:9000:-1 gene:KN542384.1_FG002 transcript:KN542384.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSLRLKKQDYVDPIYQQSDDHKNIRWSLNIFYGLALSQCIVYFLVAIFAFSSRRVQRVGLTYKLGFWGVLCLARYVNECFQKYVSGDLRGAVSMDLVTYGKELLSSDSMDDQLVGFRILDHLLRSDMYKQRVLKKIRVSIGTIQMAVHMLSLKIDMDTDTRGHAARVLLELAPDLQVESFPGILPAISSLLSTNKGVTNSESSSNPITVMKEFKLLGVQILEKLVDDQDNCTQVKDAKDLIPKIIELTRDGRLDCKLDFEIVHSSLKALLKLVSTTGEAGEELRRQVSGNFHIMEVIKKILTDRTESQQADLLVQVTGILAFLAADDTARKEIRSSRLIVRMLISFLAGEMNVVQDPIPRKMMESLATEALVLLTTHFEEKIVLSTVSESNVQAILAETMVEDMENIVHVLSDESADHRIRVGKLLQNLRAYQGAEYTELFKIIDKALPKVLETIDQTIDLAESKIESDSSDDHSSHVQELIDSAEGKGKLLESFIGLTVQICTNGDEMVFTDALRSANITVDEFVLKLKMILTVYKSPTADFPGVRRVVIQQMNWMMKKNPAYVVVFKKHQMDMILKETAETTTRLENFLLFHSGIGAFEHEESISSIVSKSLGLITGSSA >AMDW01040664.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040664.1:236:1468:1 gene:AMDW01040664.1_FG001 transcript:AMDW01040664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLADMASRGGRGGRKRNRWATRSDRGGSRSELDSRYGGRDGLSGSSGRLDSSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSRSPGASRRHERSATGSGSARPDSGHGERKRTPEADPSRNHTNHSDPKDDRHPEDGKVGKVDLDRSPTPQDKSGPYSPAYNGKTSRSVSPGNQVEGNNKAAEVSKNPDPSSPPQHGKTREDEEEGMIDEDGEIADDPRANATVQNGGDN >KN540311.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540311.1:52719:53375:-1 gene:KN540311.1_FG001 transcript:KN540311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPCRLAISVILLCCFSLLPLAHARLLLSDKNTLNDSKAFSIKGGDGAGGGRGFGVSISHGGHDTSIGIGGGFGGGAGTTRGGGASVGGGAGGGVGIDVGHGGVDVGIGGGGGAAVNGGGVHAGGGGGGGVGVHIGHGGVSISTGGGGGAGGGESAGSSGGGFGGGNGVGRAGNVVGGGGGSGSAIGSSRSGGGSGVGSTSSAIGGGSGSGGGQG >KN540311.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540311.1:1491:3290:1 gene:KN540311.1_FG002 transcript:KN540311.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRKKKIIDSVIDYRAISVDPVTFVQGALPDILTKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPQLLEDGLERTKCFCKRHGKIKEAS >KN540311.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540311.1:30693:33920:1 gene:KN540311.1_FG003 transcript:KN540311.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHQISYEKYLKDQQFKPLTQYIEDKKIMHNVMTDEVRLVIRAIIIELYFLHKMGKCPRRFDESNVFIREDGVAQLRDCCLDDKSDFKVFENYLDAKKIIVKTVFQQQKYIPEDVRHLLKLIDTRDKVIGMELEYLICTHASLVPLRNRGSFFLWMYEHISFVLPESIMHKDILKDLPYKSNWYDKLIRNDLLKKLFRLEKYSEGIYAFLVSYRNANAHSMEGKIYNPDDIQQALWVTFPILLPRMQEELWKNEQLKALQLDSLFGSNIDKEFGVIMDPSAVLEGKESNNISFQVGLMSISVNQNTKNSIDADSLPMKKGVLFPFCNKHHVSFMEYIRNKEFKPLTEYIKHTLLKFDDETGEREYCLMTEEAKFVIGALFKELYFLHKRGKCPQNFNESNVFIREDGIVQLRECNLDDKSDTKVFENYQDAQNIIVRIVFQQQKQYISEDVRHLLNLMNTPDKVISKELEYLICTHASLIPLRNRGPFFLRMYEHISFVLPQQPRDEQTKKEYTHCASPEKLDWGDRLQGNDILEKLLSLRTDGCKEEEINYFLRSYRHAVYHCLEGNMHTPEETQLILWATYPMLLPTMQQELWNKNQLRALQLGGLFGSAIQKLDLTMGPPAVRSRSQ >KN540311.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540311.1:25307:27783:1 gene:KN540311.1_FG004 transcript:KN540311.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLASTDAIRGADAVEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSIFFFSCSFSREITFSPISALCHMGVMVLGLAFFKDSIPQSRQQVERERSFRLTEDDVLRASRAVLPVANSMISTAQVVFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATAFFTSFTLPKLYSCYSSQIHKRVENLTDRALEAWKSCPRKKLVAGTAVTMCWNLFSVKTRIIAALISVVILRYNQKYRKAVVDAGVEQEQKMEIED >KN540311.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540311.1:8441:19982:1 gene:KN540311.1_FG005 transcript:KN540311.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQTVASLAEESRSSYRAVERSVDSLLLRQLAGSAGRLSEGPAIASGFGERAPCVRCLDVVASAGEGDDAGLDCEGGLESERDRGMVAIRLSLFTLPTSHLPRDDASSPLGWGRRDGGVRAIAEYLSRDLPYELSANDIYLTSGCVQAIEVMISVLAQPGSNILLPKPGFPLYESRTTFSNLEVRYFDLIPERGWEVDLEDVQAIADENTVAIVVINPSNPCGSVYSYDHLAKIAKTARKLGLLIIADEVYDHLAFGNNPFIPIGIFGKTVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYINISTDPATFVQGAIPQIIANTKEDYFNKILDQLRNAADLCYDKIKDIKGITCPHKPEGSMFVMVKLDLSYLDGFHDDMDFCCRLAKEESVIVLPGSALGLKNWVRITFAIDIPSLVDAFERIKSFCQRHGKLEN >KN540311.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540311.1:43641:44291:1 gene:KN540311.1_FG006 transcript:KN540311.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDYQIRYFFVKNGRAELNAVDLCKKEDAMILQNYKEVHEIIMETVFQQNSKDIPEDVMHLLRLMTSRATAIDMKYVIYTHACLVPLENREAFFMKMYKQITKVLPEDKPTAHKKILQALPYALDWYNKLQGNSLLEELFMWKETKSMKGITCFLKSYRNATTHDMDNYCTGNGYTSDDFQLILCVTFPMLLPRMQEELEKAGHLKILKLHSMA >AMDW01034360.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034360.1:163:459:1 gene:AMDW01034360.1_FG001 transcript:AMDW01034360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRVAPSSPAAAAAAAPTYLAAAASTPASVWLPVPRGAGPGAVCRAAGKGKEVLSGVIFQPFEELKGELSLVPQGKDQSLARQKFVDECEAAINEQI >AMDW01038315.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038315.1:245:452:1 gene:AMDW01038315.1_FG001 transcript:AMDW01038315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRKLKSLLETTFGWDLDNNTVNLIDEDDEFAPVVVEMDGS >KN539360.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539360.1:40139:42108:1 gene:KN539360.1_FG001 transcript:KN539360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVQEQGEDEVMAAAKMAPPSTVQVKEEPVEAAACASMDATRLSSNPAGKRAGVGQDEFVRPGGMAAPAAAKMAPPSKVQVKEEHVEVSPSPPAGVTGLTVAACASMDAARLTDVCKRAGGGGQGFSARNGSGTAQSPPIPSCPVLQQQHTAGAPNGSHPVLRQHAANAMNAGHSAAFRPQQHMGKPRDTGDLRKAAAPNTGDRLPLQWRQQRVHANLTCPLPPPEQHHRFMADGGADARNPPPPTPPSCGMAKSPNSDDPPTDENNHQLMANTHSAPTPVSTPLVASNQSESSAMTTTTTTNSNQNSGGGRTGPQPVAAGAAPNPAGNQQQGQRKGGANRRGGRGQGNKNNNVANTNISNMSKVIGKGNKGQGNGNPQLSKNGNPQFNKNVPGDHHQKNKNAGQLCYRCGCRGHWSRICRTPEHLVKLYQQDRKAKLQAGANTNTVYVGAMTD >KN539360.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539360.1:74389:80661:1 gene:KN539360.1_FG002 transcript:KN539360.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAVAPVEEEREIKAVAVGEVAADDKDSGEVAVKKERKEAMDLFPHQGDDDASVESKVDALHLLATATAANADADADADDFNHVGMESKGKGKSPDLDIKVEAMDPSPEQGADMQAVVTMAETEDAAKKAFDAIDKVGIPLMDRTEEEEEEGEAYLEVPLDQAMEMEVHPDQANEEAVMEEVSVQEDDAQEADMEICNDEEKMQVKKMEEEADDGAKRASPERSSGEIVAAGKKKAAMYVPRNQDGGAITDALVGEIKAEAKGKTKRASREEEEDGKVVRDRGGAANAGAERRRRREREPAPRRQLVAACERMDSFDMAELVLRAKEATAMAKKWIAMVAGEAEREHQRVAWARSATWALLQFVAAYAIAGNLEVKEMMVFKTVGDRDGGAELIKSLGLPDRATELINRLMKRREHIDAVKVARAFNLIDKFPPVSVIKAYVEKVKEAAQDMDRAMQEDVAALRSAKEAIEAHDSGSDYRYTIMQEVHKLMRSYEKKKRSLSFGSTSSSHEHKNKRHRSNQAIPRWENQTIPGPPVYFPVPPPYFGHYNPYHPFGPQPRRN >KN539360.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539360.1:17751:20435:1 gene:KN539360.1_FG003 transcript:KN539360.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MAEEQFLAVAVDAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILREAGGFVFDPSGGEFDLMARRMAGSNSYLKDQFIKELGDTS >KN539360.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539360.1:45708:47573:1 gene:KN539360.1_FG004 transcript:KN539360.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLPPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTLLADHTRGDEAFFLAEARAKNGKGKRQKRTVEGGGSGWVMHEYAVTSPADLASSPIRLYRVRFSGYGKKRKREPQFLNSHDDEDGGDREHAAPRRAVAETALFEGYGPLPAADATEQGSYGVIDGESSLLFHGPPGQSQIVLPADHDINLYSSAESLFHAIHGAETQTAPLNEERCPPPLIVPLLQDKNSTSDVMGDPSLLLPERIDDDELHCPLRESDMPDMSVSQTEGTEQKKLMPLLVSHCLPDLIVPRAEEADATAGAENPLLDEERWSPPQPQPAPPTAALVNQNSYDLMAISSLLFSDLPERIDDDDLSVSQTEGTELSEQGSSGVIGDDYWRVFHGLSDLIALPEEEADATGGAEREEIALLDEERRPQPQPAPPTDALVPPLQGQNSYDVMADSSLLFADLPGRIDDDELQRSLRESDMPDLFLSQTEEAGAGDGDGDGAAPALNKQSNSSPLGITDSEAPMALSDLEFPESMPLSDLEFPESIDEVLSYIDFATDDCLDFDMDELFSDMPAD >KN539360.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539360.1:63497:64552:1 gene:KN539360.1_FG005 transcript:KN539360.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIMKKHSAWLKLLLGPKKPARSAAIVETWAADRSKLLLGPKIASGSNSRIHRGMYGEQPVAVKIMHAPVGDDDDDVQVRREMEAQFDAEVSLLSRLRHPNVVRLVAVCREPEVYWIITELMRGGTLSAYLHGREPYSLPPETIVRLALDVARGMEYLHARGVVHRDLKPENLLLDGGGRVKVADLGTSCLEATCRRDKCSSKAGTFRWMAPEMIHDKRCNRKVDVYSFGLVLWELTTCLVPFQNLSPVQVAYSVCDRDARPPLSPSCPPAINSLIKRCWSTEPARRPEFKQIVSVLESYDRCLRQGLPMVALPEPSPSPLTSLLGAFKIRSCTSTTRSSITDHRRVHP >KN539360.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539360.1:10166:15711:1 gene:KN539360.1_FG006 transcript:KN539360.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALVQLSKGIQTGPSANLIPVLFGENPPMSSKDVAKFSPFNKNLDESQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVADHMLYDIEEVKRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVRASDIGIITPYAAQVTCLKMMRNKDTKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDKFLKRLVEYFEENGEYLSASEYQS >KN539360.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539360.1:101984:102736:-1 gene:KN539360.1_FG007 transcript:KN539360.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAEDREHEEISATALSEATEYLVDPDPPSPELVGWAEGAVISAQSAADNMASYVLDLRRALAVFAGTGRPEEAVLKKHVAWADARRAEAAEIASAARRLLEKELRCMAARDHPVIPELAALITAMRDSTKSLVLQDSSGGDAVHRAKLLASAVKFEDAVVEKMTALKEKLTRGAAAFAVAGEEEIVQALQKHAATAEAEIAESQAFSATLSEPSLVVVQKRPAPETEEEPPRQKRRTGDAGDSAAQD >KN539360.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539360.1:114068:114925:-1 gene:KN539360.1_FG008 transcript:KN539360.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVNDDLLELIDELMNAGPEDEADDREVEEISATALSEATEYLEDPDPPSPEQVGWAEGAVISAQSAADNMASYALDLRRALAVFARTGRPEEAVLRKNVAWADARRVEAVEISSAARHLLEKELRCMAARDHPVIPELAALITVMCDSTKSLVLQDSGGDAVRSRKARLLDSAIKFEDTVVGKMTALKEKLTHGATAFAGEEEIVQALQKHAATAKAEIAESQAFSAVLLAEQQLPSSSCRRGRRRRRRRRIRSRHDRGSHKRQCRTRLAIAHVDRHLICHY >KN539360.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539360.1:52574:57143:1 gene:KN539360.1_FG009 transcript:KN539360.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWGDLDSYISSLQSSIDRRFGQLRVLEAACPALAGPAAASTSDGEKGGKQEEDSDEDEEEEEEEEEEVEEEEVEEEEIEEEVEEEIEEEEEIEEEEEEVREEVQEAGEEVDEEQQGADEEMHKSKEDADEASKSAIPVQNQEEDEAEKETNEAKDEEQHGGKLASQEHDIGENGDEEAQGVQQVADGETMEVKLEEQNEAKVTSMEHDIEEGDEKASREQGNRALPSCSDHLRGVCAGMDVRGLLKLVCKNQSICLWHEYPVVMRHAPDAAALVLQVVQGFLLSKKMKTTKVWGNCVGLIRCLPAVNASLSSDTMKQAKQLAKDWKEMIDSTGSSRDVLNLSSWGLLYFLISYNIVSEFSVDEIFCIFGTLSRKQQKKNCIELCKGLGLVNRITDLIDYLIGNGQQLEALLLTQAFNLIDKYTPLSLLKGYVERAKQNALDIINMNSPRKSLKRKREQLEQQQHRGQEIQQQKQQMKPQGKKGQQQTKPEQKKQQQLNTNKPQEQQQQQQQKQQIKPQEKMGKEQTKPEEQQQQQKTNRPQEQQHKKPQKKQQQQQQQQQSKPQEKRPRPCTTKLPTPSIPASISPMVPHIVQVDSVGHSPYAAMPGSHTYAAQLGWPGNQSAAFAQNVGVSQFMGMFNPQQPNYPFYHHPPFYPR >KN539360.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539360.1:22958:24563:1 gene:KN539360.1_FG010 transcript:KN539360.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSVVAGLVSGEGGDSEIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAVIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLEFLNDCEEWGTVAPGEVASLWKVCNGNDEALEYLAQFYNVPLAERNYCISPQLKQQATSYATS >KN539360.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539360.1:1586:9716:-1 gene:KN539360.1_FG011 transcript:KN539360.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSLVSATAAKKREEKRKKQEEERRLKEEEKKKKAAATAAASGEPPKESAADDEEMDPTQYYENRLKALDSLKATGVNPYPHKFLANITVADYIEKYKSMNVGDKLVDVTECLAGRIMTKRAQSSKLLFYDLYGGGEKVQVFADARTSELEDNEFIKFHSTLKRGDIVGVCGYPGKSKRGELSIFPKKIVVLSPCLHMMPRQKSEGSAVPTPWAPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDGLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSGMVKELTGGYKIKYHANGVEKPPIEIDFTPPFRKIDMIDELEAMAKLNIPKDLSSDEANKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKLRYLKCSFDANSGSSSIPGYEASRLDMSTKQEPVRRIKAD >KN539360.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539360.1:66669:69419:1 gene:KN539360.1_FG012 transcript:KN539360.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGELEAAIEALAAKKLRLREAFDCLVACSPIPIPFRWDDIDAHGHEPHVERHEDGGNGGGEGEGEGGLDKEVAMDLESEEENGMVVEVASEAPRGEEDGEVKEDEKTWEPINVSPPSEEIHAEGADAKNPMEMSADKDDAKTKTTAAMAISPIPGFTGRGGAEASLRRSLAAACASMDSSSLARILCSSGSSSSSHATLAARHFRPALLAAAEPAALVVRAVRDLLAGTAPIRDSAWESCVELLSCVPKLAVALSPGTMEQANRLAEDWKEMIGRTESCSMNLGRLAVWGLLNFLVSYNIVLEFDAEEIIHFFGTLPDDKKQCCISLCKYLGLIDKMADSVGHLIEHGQQLVAIRLACTLNLTVKYTPLSIMEDYIQNAKETAQEILSMESDSESLKLSMSKQVNALILSWRVVGECNIDSVHCDRIKAEITQLLHKYANKRHSLEELPSDTSSPHQKHHQMSQEQHHWQQKHREEQQQQFQNQSKEQEQERRMQKLRELRKKKNKRTQRRKRKQNAQVMKQHQFEKQRKLYHAGSFTNSQSYVRSEIHHHLSQHFSGTIGTPVAPYTPVAPYTGPFW >KN539360.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539360.1:104712:107488:-1 gene:KN539360.1_FG013 transcript:KN539360.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRDAALLLLCCVLYGKAKNAQKRRKDAKQEFRSKIPGVTLVSDDSLFGQAANYIEDLEGTSLDLEGLSGTAMIRKVLPGSNKIRGVTALFEAASRHITEVKKKKKIAPEEVEKEFRPGVDAHDGRAGKKLTNMIPIPHIPKINGDIPSAVEAFADHQRLLDRLVLYDLAEVKVKGDRNCQHVSFTQFRALSDQMYHTTEHHWFVRQQVVKQLESYPEIYAGYVPMDYREYLKKMTE >KN539360.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539360.1:25157:30592:-1 gene:KN539360.1_FG014 transcript:KN539360.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12F [Source:Projected from Arabidopsis thaliana (AT3G20150) UniProtKB/Swiss-Prot;Acc:F4JDI6] MEMLRRNLKRQASRSLSAFAVVVRVRPTVSRPVDGKDLFFVRKTSPCSVAVGDRSFAVDGFLDDRASQADAFDLIGVPMIESALAGFNSSLVCYGQSGTGKTYTMFGALAAMVDSSSDHADRGVVPRVFQNLFAQIQGRQESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGIHVENLTDEYVSTVEDVNQILMKGLSNRRVGTTSMNLKSSRSHVIFSCVIEAWSKGFSNGFSSSRTSRITFVDLAGPDNDELDGGKKHCTREERYVKKSLSKLGCRTTTLSTLRFGERAKLMPNKAVVNEISEDDVNGLSHQIRQLKDELIRTKSGDTEPCKNGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQIRKLHSSSEDTFDDFMDAESGDDTPCSKGNPKTSEEDDQPVIDDCENPIQEEHEKVDQDLVSDRKSFLSVSASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLSVSDCPGDEVSRKSAVRSSLQSSKLSPTDSLAASLQRGLHIMEYHEQNQGPRKSFVGLSFDHFALNPRQSVAKVSSGVLASPERKGATSSALCSSCKKVIDTDGNQKDNMNTEKQIVIATSVVPEVKDDITASTIASKRETELEALCEEQAAKIKELSNLVDQYKKGSEDAQNSDGTEPTKELVDEAKVGEQHGELNVNDREELLSEIQRLKDQLKQQAGESTNVSLLEHLRNGSTDQEYELDREREKWMESESKWICLTEELRVDLESNRMLAEKTEMELSNEKKCTAELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGMAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAAMQQENDKLKKQLEKMKKKHEMEMETMKHFLADSRLPESALGGFYRQESEDVPEYNNHATSTCDDDQSWRAAFTSAYE >KN538834.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538834.1:76047:76413:-1 gene:KN538834.1_FG037 transcript:KN538834.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KN538834.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538834.1:48934:50670:1 gene:KN538834.1_FG038 transcript:KN538834.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member 11 [Source:Projected from Arabidopsis thaliana (AT5G35160) UniProtKB/Swiss-Prot;Acc:Q9FYQ8] MGDRIENSPYRFRMHANDSDLFLCRSPPLAADAFNLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEEANVARVMGTGDATDGFPSTGKDGGGAGSSGWMVVGFEVVPCSIKHNPDDVKSLKMYGKYPRSIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHTGWMAVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVILILLWFCISVPLTLVGGLLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >KN538834.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538834.1:12671:20966:-1 gene:KN538834.1_FG039 transcript:KN538834.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSVCQFHANGFLIKPQFLLYAINPQKLRKMRLSERLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFESLHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >KN538834.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538834.1:8645:10087:1 gene:KN538834.1_FG041 transcript:KN538834.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSEPLLAEIIKRIAKTSDRNSLSLVSKQLYTIDAEQRDAIHVGRGLHPATEALASLCSRFPNLWKVVIDYSGWISIHGKQLDNQGLLVLSSHCSSLTDLTLNFCSYIDDSGIGYLAYCKKLRSFRLNFAPAISSRGLLSVAVGCKSLCTFHLVDCMKVGSVEWLEYLGRAGSLEELVVKDCKGISQYDLLKFGRGFMKLQRFEYEINGNYWSSAARDPAYVAHYPYRYDICCENMKELRLAHIVTKPEIGLRFLLGKCRALEKLWLEYVIGLTDNDVIALFQNCGNLRSLSLRLIPLLCHAIYFRTALTDDSLKALALYCPMLQVLELTFTFCSEDYPSEVGFSQEGIVNLVQSCPIRVLMLNGASNFDDKGMKGLSSSRSLETLELVDCMFIGDLGMRSIARTLNLRNLTLRKCVCVTDNGVSELVHAQNLESLTIIGCHRISLKAVQGAARSVYYSAESEKHESLKGMKMTRSSK >KN538834.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538834.1:96091:103686:1 gene:KN538834.1_FG044 transcript:KN538834.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIAKTTQASATEYYLHDLPSTYNLVLVEVVSRGRFLKSVRCKHDEGLLLVKVYFKRAGEPLDLKEHERRLERIRNAFEGLEGSHVWPFQVWLQTDKAAYLLRQYFYSNLHDRLSTRPFLSKIEKKWLAFQLIHAVEQSHSKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYEHGAETQVAADAPLQRSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPIHTLEKIQDTGIRDMVLHMIQLDPKERLSCKNYLQKYESVVFPVYFSKFLHKFFSDIVPLHSDARVEKTQEYFEKMHDIMISGSTLEKIQGSATVPVHNELSGKMGIGGQTVNSPKEYAKSVPVVKTNSPAQHKHIVGDLNFLLKELEKDDRTSTKITQDRDRSVTPNVSHANGIQSLQQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLIQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIEDARPLDESMVAPRSQSVESPVKRQQDSINGQLARLRKSIYEIVQELVMGQKQTPNVRRALLQDIGYLCYFFGHRQTNDFLLPILPAFLNDRDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSDEMEVVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKRSAVRFVAACSESIGPVDTFVYLFPHLKHFLHREPQSLSSETALLSCIKPPVSKLVLYQALEDVHDAGDILLKGDGKKELTVHGGRYPAMVQSGSSIMIEDDSRLRGPKMSNDVSLNIKDFSSASSAYISSAVHGSSSFYDGVPKGIPLYSMQGTALHANLGSDRHGSLSSSVPGIRTRENHFSSMHRDGSISDSMNSSSSIPGDIISNSDNSGSFTRPALSLETSWKPRGVLVAHLQEHRLSVNDIAVSTDNAFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMLHGTPQVIVGASDGTLHLFSVDCARGVGSVIERYSGIVDVKRKDLKEGCNEVTLWDAENGSRHQIFRTASTENEAVVPKAPSIHPNTKDETRPANYKYRIEELCDPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSVKGAGNDETYDIRSSSCVQVVQEICRQPTTASRLTHKTQLAMAAADSAGCHRDAILALASVNLSSHRLISGSRDGAVKVWK >KN538834.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538834.1:42533:44421:-1 gene:KN538834.1_FG045 transcript:KN538834.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MERNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRVHGIGEALLIVSHDGYFPEMDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHFIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSP >KN538834.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538834.1:23317:25667:1 gene:KN538834.1_FG046 transcript:KN538834.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRASVAAKDIRISSESDPRVHPSSSTISHHLRFNHLNSHENKEDALGTEIAETSVRQSSSGKEVMPRGNFSNEVAYAEITSREGISHIGRDILEPAANNAETDTVCIPEVGGPVSESGFSSSQRASERIMADLEAGEIAYGTSSTTIMSSERSDTSQSSLTSVLPATSTAPSTIGESLPDTVPSREDVPIFSGTQGEIGGNTLHDDMMSIFSNDGPARVRDSSSNETRRSHRRVLWDTFSRRSSRGYLDSDTDDLGFYSRWLDLGDELFADEIEEARFFHRRRHGSIRVSQYSRSRIREHRRAVFDSGTDQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPCKSYKKQTAQCSDDMEQ >KN538834.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538834.1:61401:67484:1 gene:KN538834.1_FG047 transcript:KN538834.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MEGSSGGGGERVDGDGLGVSVTTAGAEDGVESDGFRVTPCGRSDSWENSESEFGKIGLILPLRGGSGESLVHNRDHATVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLASLRSKVAGETGEMEEPATGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVIGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYVKICSMIGPSIYGHSDVKKAIACLLFGGSKKFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYVEIRQARAKDFSLSLTKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELSRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >KN538834.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538834.1:91133:91487:-1 gene:KN538834.1_FG048 transcript:KN538834.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KN538834.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538834.1:111293:114318:1 gene:KN538834.1_FG050 transcript:KN538834.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYCDIEAVYDCLTKVYGIEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLSKDKTAKVPQLAPSSSNNNMTEVKHNKCLRFGKR >KN538834.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538834.1:57359:60402:-1 gene:KN538834.1_FG052 transcript:KN538834.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31990) UniProtKB/Swiss-Prot;Acc:P46248] MALAVDVSRFEGVPMAPPDPILGVSEAFKADQNDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIQAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVQRGLEVFVAQSYSKNLGLYAERIGAINVVCSTPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDDSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNVS >KN538834.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538834.1:31707:32096:1 gene:KN538834.1_FG053 transcript:KN538834.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTIAVALLLATLVAVMGKEPETLEEAVRAGCKEECSEQKKKAPIDEKQCEDFCFIETKSIFEAHKGVKDLKADRFIVFCNNECNAVYKEDPATSKKCAESCEADAKEAEVFFDKIVAYIQTTKQA >KN538834.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538834.1:69536:73200:-1 gene:KN538834.1_FG054 transcript:KN538834.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLEDVRNETVDLETIPVEEVFQHLKCSKQGLSAAEGQNRLNIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWQEQDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMPVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLIIELCKMSKDAEKKVHTLIDQYADRGLRSLGVSYQKVPEKSKESEGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSSEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALITALFFYLAHDTDFFTETFGVRSIKTNEKEMMAALYLQRPGALLVIAFLAAQLVATCIAVYAEWEFCKMQGIGWGWGGAIWAFSVVTYFPLDVLKFIIRYALSGRAWNNINNKTAFVNKNDYGKGEREAQWATAQRTLHGLNQSSTSSDLFNDKTGYRELSEIAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >KN538834.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538834.1:35409:38319:-1 gene:KN538834.1_FG056 transcript:KN538834.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHRSDIEFEIAVLGIGIMLQQQRSACEEQQPGWWPLDSNVREAKERLDQKLRSQRESAVVVVKRHNKAQVAGTSSDGGEQSTATTTAPQWEVYTRKEGRRRRRMWFRRLGRRPTPEEEEEEEGGESESYGIIAQNVPQSFIVDLNRRKFVKEFLHHYRY >AMDW01030042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030042.1:72:383:-1 gene:AMDW01030042.1_FG001 transcript:AMDW01030042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGVRQGWEIERRHRLARLARLDHELAIVLEAIVPMLMHTPFHIVAGRELQRYGWSIQHLAASIFGPVHALHAAVGPVGRFLRRHARHQHHGTRDAAWLAGNILE >AMDW01037766.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037766.1:147:632:-1 gene:AMDW01037766.1_FG001 transcript:AMDW01037766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLNMTGISVAGDLLPIDPSVFAINETDGTGGVIIDSGTTVTFLAQPAYDMVQGAFVAWVGLPRANATPSDTFDTCFKWPPPPRRMVTLPEMVLHFDGADMELPLENYMVMDGGTGNLCLAMLPSDDGSIIGSFQHQNFHMLYDLENSLLSFVPAPCNLS >AMDW01011388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011388.1:1:228:1 gene:AMDW01011388.1_FG001 transcript:AMDW01011388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HYTLEGQGAKKDISPPVEWYGVPDGTRSLALVVQDVDAPDPDGPIVPWTHWVVANIPPSVKGLPEGFSGKEGAAAR >AMDW01036092.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036092.1:6:525:-1 gene:AMDW01036092.1_FG001 transcript:AMDW01036092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KVNSCRVHNLVRDFIAWVIRDDNFVYTKLPVDLAHRLPIHNGERLQQVSRIKLRASHFDDCWSMTRCCFTTKSVDPLAGISMLLRSIQESAQLGLRLNVLDLEGCKGLEKYHLNSVCKIFQLKYLSLRNTDVSHLPKKIDKLQYLETLDIRQTQIKAFPGKHFILPGLKHLLA >KN541433.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541433.1:3092:3337:1 gene:KN541433.1_FG001 transcript:KN541433.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNG >KN541433.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541433.1:4117:4893:1 gene:KN541433.1_FG002 transcript:KN541433.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLSPVYGKVKILVCTNKHSLCCFCCHFLLKSRAVAEYLSCDLPNKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGLLVIADEVYGHLVYGSTPFVPMGVFGETVPVLTLGAISKRWVVPGWRFGWIAICDPKGILKETKVICTFF >KN540050.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540050.1:252:518:-1 gene:KN540050.1_FG001 transcript:KN540050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAMEPSLCHPPALTYSRHFSLDFLPDGGAKSWQAGRWQLVDRLDSIVLLTKRRIGWKHLYYLDLSRCGSHSGGATGRSRRCRR >AMDW01014767.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014767.1:1:204:1 gene:AMDW01014767.1_FG001 transcript:AMDW01014767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEAAKNQAAANPERTIYDAKRLIGRQFSDAEVQRDMKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEE >KN540050.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540050.1:28027:38637:-1 gene:KN540050.1_FG002 transcript:KN540050.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVFIVLLMLEAAARAVGQQDGLKMFDAIYVIDHMLKSIFIGFLSIDCGLEESNSNYTDAAAKINYVVDGPYVDSGENKKVAAEYQDIWGVNYRSLNTLRSFPSDEQNCYALPTVVGTKYLVRLEFLYGNYDNKNSSFLEFNLTLGVNHWDTVSLNTTNNRNGYTASEAVFVAWAGWAPVCLVNTGGGTPFVSTLELRPLGFLPYPAEMGYQSLFLYERRNMGPTADDTLRSMGTVGEIRDGDYFVRGMGKGRRVMYLWTANAIAHDEAETRGGHRHYRRGEVSAKHHDDQIKRPSRPQTREDEHRYPDDVYDRFWYPWRPRDDPTYSKISTTFSIEQSALFAVPSTILQTAVVPVGKSTVLTITTRQDKTLDDVMVFLHLADFQNGEFRQFDAYIDDELFGTYNPQYNIGDSNFSNFWYSSTEDSKYNLTLAATAKSKLPPMLNAFEVYGRIAHDNPTTFSQDCKLISLEHTKPIKIHAMALHQTISKKQPCQQHSKKITQIFLIIKRIWPLLKKIQPLII >KN540050.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540050.1:16687:19110:-1 gene:KN540050.1_FG003 transcript:KN540050.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLVVAILALAYFIWRPKRKPNNDPQRVPELTNAQVSTENHWDHLQKNENRRFTYEEVEKFTDNFKQLIGHGGFGHVYYGCLENSTEVAIKIRSESSSHGLHQFLAEVQSLTKVHHRNLVYLVGYCWEKDHLALVYEYMSRGNLCDHIRGKIGMGEKLNWATRVQVVLDAAQDFGLSKTYHSDTQSHISATAAGSMGYIDPEYYTTGRLTESSDVYSFGIVLLEVVTGEPPIIPGHGHIVQHVKQKIVTGNIHSIADACLQGAYNVSSMWKVVDTALKCVADVAAQRPTMAAVVVQLKESLALEKAHGNKVDVENLASETTSFMSTIGPTTR >AMDW01060210.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060210.1:28:252:1 gene:AMDW01060210.1_FG001 transcript:AMDW01060210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVLGVGNYVSGVLVSVIDTATRSGGESWFSDDLNRAHLDYFYWILAAFAALEVVVFVYIAKRYVYKNKGEP >KN542819.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542819.1:3943:8015:1 gene:KN542819.1_FG001 transcript:KN542819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 7 [Source:Projected from Arabidopsis thaliana (AT1G69440) UniProtKB/Swiss-Prot;Acc:Q9C793] MEGEREGVVAKNEDNAGGGGGGLGTGGNGGGGGGGGGSANGRRRWRGGGSSGYRQHPIIQAYPALLPLPINGATGHAHINGAVSLPLPLPPPVLLYLQPPPPPPLLPLLPKVAAATFYGKPPKAADAAPRGSMWKHRPLKKPPPHAITAALLPLPRGIDLLTDGKALQEKIFFANERKTSEKEDNAIARRPDMGGVEGAEIPLSANHFLVQFDPGQNIFHYNVDISPRPSKETARMIKKKLVEENPSVLSGSQPAFDGRKNLYSPVRFQEDRVEFFVSLPVALARCSVVKEDTGHMLDKQKLKTFKVNVRLVSKLCGEDLNKYLNEDKDGIPLPQDYLHALDVVLREGAMESSILVGRSLYARSMGEARDIGGGAVGLRGFFQRLRPTKQGLALNVDLSLSAFHESTGIISYLQKRCDFLKDLPQKRTRALAEEEHREVEKALKNIRVFVCHRETNQRYHVHSLTKETTENLKFRDRSGKDLMVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCERPSERKGIIKGVVKGAFHARSDTYADQFSLQVSKHMTKLSGRVLLPPKLRLGSSGRIKDITPDRFDRQWSFLDSHVAEGSKIKSWALISFGGTSEQHFCITKFVNQLSNRCEQLGILLNKKTIISPIFERIQLLNNVGILEGKLKKIQEAASGNLQLLICVMERRHQGYADLKRIAETSIGVVTQCCLYSNLSKLTSQFLTNLALKINAKLGGCNIALYSSLPCQIPRIFLSEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEQLDVMAGELLEEFLKEVGKLPSRIIFFRDGVSETQFYKVLKEEMHAVRTTCSRYPGYKPLITFIVVQKRHHTRLFHRERNGSSSHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLWDENNFRSDEVQQLIHNLCYTFARCTRPVSLVPPAYYAHLAAYRGRLYLERSDTTMYRVSPLQTVPLPKLRDNVKRLMFYC >AMDW01010646.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010646.1:2:149:1 gene:AMDW01010646.1_FG001 transcript:AMDW01010646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADKPRRSPQETTAAPAKPA >AMDW01040693.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040693.1:241:1857:1 gene:AMDW01040693.1_FG001 transcript:AMDW01040693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDENVQREIINHRSLRHPNIIRFKEARYFFQQLISGVSYCHSLEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPGDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSK >AMDW01061943.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061943.1:420:512:-1 gene:AMDW01061943.1_FG001 transcript:AMDW01061943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVAVAALVLAAGVASADEFDFFYLVQQ >AMDW01038032.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038032.1:145:721:-1 gene:AMDW01038032.1_FG001 transcript:AMDW01038032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YHQGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRTDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTK >KN538715.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538715.1:70005:72755:1 gene:KN538715.1_FG103 transcript:KN538715.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELVKLAFARVQRVEPEHVGKIFGVMLLREPDEDELVQLAYGPEATLLAKIEDTKAALTVIYARCSAAAAHGPPGGGGVGVGGGGGICKNGQNCHYSHHQVYQDALAGAAINGDVYNHQQGGVTPGSLETLEMEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGGGGGGGDTGSVPASSHQIYLTFPAESTFAEDDVANYFGQYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCIDRTCVDNIKSMVPYCPPRFFEFDQELYTAEYDASRLMRKQLAEKREMLLEMERRRATVRRLESMPPQFAYFDCSIEDAGPLHSLQEDSKQLDLMNPSLASPDPLEIVSNSQAPPTQAGNIYDDHESNQIELLPESPFAASAPAGNSISTII >KN538715.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538715.1:48613:50855:-1 gene:KN538715.1_FG105 transcript:KN538715.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGRCDGCHGDFGLWDPPLTAECSHRFHLHCVVSGADVCPACNARWTNAPSNPLPQPAGGSTTPFGQTTGFPMRVRPWSSCDKCRGVIDHSQPTVTSECSHTFHLRCFSGSVICPACNARWRDTVAVPNPSPPPSTFFPGGVPAPPPTFGPICVSHVYGDDEPVEPPVAAQGGGVAPANNGALVVTTHCEHTAVARSVIRDNFAVLVHAKVPSIFAAEATAAARAPVDLVTVLDVSGSMEGYKLTLLKRAMGFVIDKLGPGDRLAIVSFSYNARRVIRLTRMSEGGKASAKSAVESLAAGGGTNILKGLVEAAKVFDCRRYRNAVASVILLSDARHVGATVWKGGADVVNADAWIGGARIFLSHGHGAWRVRDAGDAEHGGVVAEEKRGRRRKLKLSGSGPN >KN538715.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538715.1:8553:14819:-1 gene:KN538715.1_FG107 transcript:KN538715.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLITSAITCAVGIIFFSVCYLHPAPVAALYFNYSTFSQDDGNTIRLEGDASFGDGWIDISANKYGHRGHSKGRASYSARPMLLWSRDTGEVASFTTRFSFNITPPKENGGIDNKGTGMAFFLAAYPSMLPSGVDELGYNIGLTDQGPDAVATGDSRFVAVEFDTFNNTMVHDPDATYDHLGIDVNSVVSSKTLTLPSFTLVGNMTAVVEYDNVSSILAMRLHLGYGLSGPRHRPDYNLSYKVDLKSVLPELVSVGFSASTTTSFELHQLHSWYFSSSLEPKAAAVRGRVVAGATVGTVMFVILLFAMVAVLVRQRQSKKKETEEAKNGGMDGSDDDDDGETIVEIGMGTGPRRFPYYELVEATKSFAAEEKLGQGGFGTVYRGFLREQGLAVAIKRFTKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGHDELLLVYELVPNRSLDIHLHGNGTFLTWPMRVKIILGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHIVGMQTMTAVSGTPGYVDPECVITGRASAESDVYSFGIVLLEVACGRRPMSLLDSQKNGIFRLVEWAWDLYGKGDILMAADERLNGDYDVAEMERVIVIGLWCAHPDLNARPSIRNAMAMLQSGGQLPVLPAKMPVPMYIPPVVSVDELFTSPAGMSSSSATQSSTTTRGEGIRWRSMAGVLPATTTTYNIVGLIFFFFSVFCDDLYSPAPVAVALTFNHTNFGPDEQTNIRLEGDAAFSADVSFSGDGGGFTLVGNMTAVVEYDNVSSILAMRLHLGYGLSGPRHRPDYNLSYKVDLKSVLPEQVAVGFSAATSTSVELHQLRSWYFSSSLEPKAAPPPVAPPSPSPPPTSGSRSGGVVAGATVGAALFVVLLFAMVAVVVLVRRRHQRKKMREAEEANDDDDDTEGDPIMEIENGTGPRRFPYHVLVNATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFIKDSSNQGRREYKSEIKVISRLRHRNLVQLIGWCHGRNELLLVYELVPNRSLDVHLYSNGTFLTWPMRINIVIGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNTKLGDFGLARLIDHADGVQTMTHPSGTPGYIDPECVITGKASAESDVYSFGVVLLEVVCARRPMSLMDDQNNGLFRLVEWVWDLYGQGAIHNAADKRLNNDYDVVEMERVIAVGLWCAHPDRCQRPSIRAAMMVLQSSGPMPMLPAKMPVATYAPPVTSSEGQLTSSTGMSSSSLTQTAITPR >KN538715.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538715.1:114553:118216:1 gene:KN538715.1_FG108 transcript:KN538715.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNADKPSLKHQRNWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRNVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDGEEGDENVASEEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFKSFKIKKEKLKSENKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQMTKILRFVLSTQAVDVALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVRHEEKRLATWGTDVPNDLVLDKKLLDEALKKEGARRKEEMDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLH >KN538715.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538715.1:2988:5081:-1 gene:KN538715.1_FG110 transcript:KN538715.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGGCAHVHEKEMGDEPMLDDVALPTAASTRRRRGCLLAIFDDAATFLTLIVKALEEKAGRLTETPPAGDAFTLVAVSSVTIDVYSIIFDGATTFLTLIMKPLEEIAIRSAAARCLHAVLPERTTDRRSTRGSTLPSCPDPGGLDGAVGDARRAALALKLVTIIMQLRHCHVVFVLADQTLSHCLPEHVGLRQSAIARGPYAVIKTGRDSFSSSSRGRCAVCPRRFHFIMLELFVMSRDHHVGAPLLGAYKPSSSRSRRAVRP >KN538715.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538715.1:124695:128438:1 gene:KN538715.1_FG111 transcript:KN538715.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTGMCQECCDRSARRIRALEPTRRVDSEAGHTELYDDRDGQLPCAGVAAARITIQRNGLLLPSYSNSPRLAYVVQGHGSVGVVIPGCPETYQETSSSSPREQEDDERRRHGRRGDEERRRSSEGEEEESEEEGEESSRRSFEQSIRDEHQRITTVRQGDVVAIPAGAPFWVHNDGDSPLVAISVHDVSNSANQLDQTSRRFRLAGGQARSEGRQRYGEGESSESETERGGEESYNILSGFDTELLAESMRVSPDIARKLQGRSDKRGNIVRVRRGGLRMLRPATERVTDEEMMRGANAAAAAGNGIDEAVCLMKLRENVADPMKADLYTPNGGRITVLNSQKLPVLKLIKMSVNRGVMRRTSDDAMNAPVVGKSSALRGMPADVLANAFGVSREEARMVKFGRGQELAIFSPKSGAAAHRRRSPGHRDDGVLAAAA >KN538715.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538715.1:95083:96818:1 gene:KN538715.1_FG112 transcript:KN538715.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQWWQDGRSAQEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVLCEGHGCKNIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKVADVDYHLRATTSTGKVFGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIIVALCYFPVALVGYWAFGNHVDDNILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLIARTLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVMLMILSPIGGLRQIIIDAKTYKFYS >KN538715.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538715.1:110565:113207:-1 gene:KN538715.1_FG113 transcript:KN538715.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSNKATVVIISRHESPTTVSKDIKYADDQPIVPWGPRSSKSTVKDMRINLGISAAIVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFAASLGYTAAVNVIEFSWQYTPRIVYYYQELIVTAATAALLYITASYYR >KN538715.1_FGP118 pep scaffold:O_longistaminata_v1.0:KN538715.1:43471:46908:1 gene:KN538715.1_FG118 transcript:KN538715.1_FGT118 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCRFRQNAGDGEEAMGGSSSRRRRSRRGGGEAIMAIKRKMLRCSKAKEQHGGRRRVTEEGHAYDDDEPVESPPAQGGEPGGGEAAANDGGLVVIKTHCEFPAIARSTPRDNFAVLLHVKAPSIAGEAAARAPVDLVTVLDVSGSMEGYKLTLLKRAMGFVIDKLGPGDRLAIVSFSYNARRVIRLTRMSEGGKASAKSAVESLHADGCTNILKGLVEAAKVFDGRRYRNAVASVILLSDGQDNYNVNGGWGASNSKNYSVLVPPSFKRSGDRRLPVHTFGFGTDHDAAAMHAIAEETGGTFSFIENQAVVQDAFAQCIGGLLSVTVQEARIAIACSHPGVRVRSVKSGRYESHVHADGRAASLFSSAAELSSGAVPFGYSTPAMQSMVESSRKSRESGSGGN >KN538715.1_FGP120 pep scaffold:O_longistaminata_v1.0:KN538715.1:27403:28785:-1 gene:KN538715.1_FG120 transcript:KN538715.1_FGT120 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLMTKSFMAAASALAYLLILLRCLTSVATTVSFNYSTFSNATKNITLQGSAAFAGAAGLIDLTAVKNFSMGRVVYAPPVQLWDAATGEVASFTTRFSFDIINVTDVKKGDGVTFFLVSYPSKMPSMGNGGALGLTTQGFDAAPSGDRFVAVEFDTHINYFDPNATTDHIGIDVNALRSLNTESLPNFTLNGNMVAAVDYNSYSSILSVKLWTNGSTTPYNLSSKVDLKSALPEKVAVGFSAATGSAIERHQLRSWYFSLSLEQKQLAGVKSSPKQHSRGGVVAGATVGAILFVVLLFTMVAVLVRRRLRRRKKMREEEEDDSEGDPIVEIEMGTGPRRFPYHVLVNATKSFAEEEKLGKGGFGAVYRGYLRELGLAVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRTELLLVYELVPNRSLDVHLHGNGTFLTWPMRFLINSR >KN538715.1_FGP121 pep scaffold:O_longistaminata_v1.0:KN538715.1:64399:64794:1 gene:KN538715.1_FG121 transcript:KN538715.1_FGT121 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLASSLQWSIQYTQLPLSPGAPPPSSTRSATALLFFPTNTEYPPRSFAGSQHGRRRGWYRRTSMWTPSHLHVWYRWPSPQTTRFLELVEEARIMSCSGANATAGWNTLRLALSNSGSKDAGDDRVMMM >KN538715.1_FGP122 pep scaffold:O_longistaminata_v1.0:KN538715.1:78128:78571:1 gene:KN538715.1_FG122 transcript:KN538715.1_FGT122 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADAALRPPRRDQVGGQASDGDPGGQEPCGEAGAFAVVVVEDEERRRGDRGKGGAPGGAGGAGAGVGLEREAAGDEHEEIRREVVPPPTRARHARARARAIQLSWKAFETNYIFITEIEISYHPDIDRIITKLPVMRNGKLLLNQ >KN538715.1_FGP123 pep scaffold:O_longistaminata_v1.0:KN538715.1:107753:109503:1 gene:KN538715.1_FG123 transcript:KN538715.1_FGT123 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNASASASVLAPPVGAGEGAASSFSYLAVLGNCPLVAAVLAGAIAQFIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVVALAVAVGLQEGFGSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPAQVFAGGVLGFAVATFTGMIAGLGNSGSLP >KN538715.1_FGP124 pep scaffold:O_longistaminata_v1.0:KN538715.1:98232:103858:-1 gene:KN538715.1_FG124 transcript:KN538715.1_FGT124 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQKFSKGHPLGFVPDYRHGVETVGESKGCIGSPERIDSGSSCAVPKRKCGILKTEDGGELPGFNVPRDVFMLPRMSSSDKKDLEMRLRKELEQVKALQSRLFSRPAAVNMNGGAASASGDVIAKRNDAKLKRSNSVQSGRGVPPSAATPVVRSANYAEAFKQCGNLLKNLFKHQWAGPFLAPVDVVQLNIPDYFDIIKKPMDLGTIEKKLNAGMYSTPWDFAADVRLTFDNAVTYNPVGNDVNLMGKTLKCIFETRWKFIEKKLPSLDDKFSVRREPSQKGAVKKDTIEKDYPSEKKHSTKGVHKKDMLKKEDASTKPALQPKKRKASPLVQGSLEIPVVEADKVIDDAQVVQPSKVIDDAQVVQASKVIDDAQVVQASKEIMTDRQKYELSVRLQSYGGLIPNHVVDFIRSHLPDDNEGDEDELELDMNVLSDSTLFELQKLLDDYDRVNQSGNPTKDEHREVEFESEYGLSNSLMHHEEGNELVEEDVDIGGNDLPPLTYPPAVFESETAERSSKHSTSSSSSSDSESSSSDSDSSSSSGSDLDVNVPPSTSGAKDNTQSAVRLDQENDPLNSTNLPQQSSDPVPISAEDEGENVSEKQVPPAKQYRAAVLLNRFADTIFKAREKTLDQVAKKDPEKLQHDMEELERLRREGEQFPSSVGETSPTHTPEGLGFQLGSNPLEQLGLYMKNDDEEDEEGESADEPTIDVEEGEID >KN538715.1_FGP126 pep scaffold:O_longistaminata_v1.0:KN538715.1:58985:62266:1 gene:KN538715.1_FG126 transcript:KN538715.1_FGT126 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHSDIKIKDSKTLLLGEKPVTVFGIRNPDEIPWAEAGAEYVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEDKYTSDIDIVSNASCTTNCLAPLAKVIHDNFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYDAIKSAIKSASEGKLKGIIGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSNRVIDLIRHMAKTQ >KN538715.1_FGP127 pep scaffold:O_longistaminata_v1.0:KN538715.1:29838:33394:-1 gene:KN538715.1_FG127 transcript:KN538715.1_FGT127 gene_biotype:protein_coding transcript_biotype:protein_coding MASALACLLVLLRCLPSATTVSFNYSTFSNATKNITLQGSAAAAIAGVDGWIELTTGKNLPSGGTMGRVEYTPPVQLWDKDTGGEVASFTTRFSFSIAPKSSSDRGDGMAFFLASYPSTMPYMGDGGALGLTTRSYDTAPSSVNRFVAVEFDTYVNSFDPNATDDHVGIDVNSIRSVKTNSLPNFTLIGDMTAVIENCSVHILSLKLWANGSTTPYNLSSKVDLKSALPEKVAVGFSAATGASVEQHQLHSWDFNLTLEQKPSTGVISSPQQHSRGGDVAGAIVGAALFAVLLFVMVAVLVRRCRRKKRREAEEDDDSDGDPIVEIEMGTGPRRFPYHVLVNATKSFTEEEKLGKGGFGAVYRGYLRELGLAVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLISWCHGRDELLLVYELVPNRSLDVHLHGNGTFLTWPMSGMWEETNEPTGQPEQ >KN538715.1_FGP129 pep scaffold:O_longistaminata_v1.0:KN538715.1:65861:67006:-1 gene:KN538715.1_FG129 transcript:KN538715.1_FGT129 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSRGWGDLPPDLLALIADGLPIKAYTRVRAVCTAWRAAIPAASPSLLVRLDWNRHDAWFLSPRISTALHERLATLLPGRSICLGSGHGWVAVHDPIFYELQFGLVDPLTGAEIPFSSFPHFAEHKLRVSKVVFAPNPTPTDFTAAAIIGNGGRVITYTAQGNSGWADAECPRLGDRDGIADVVYREDPGGERAVYCLATSGDVHVLRLHDAGGAFEPLFDRGNAAFDAAAAFAPPYDTIRHCTNAKNLVVCDDGDMYQIWRNNTCTRMGPLPGGGEYRVEYNQMFVLRYHPRRRPCWVPVKDLGGRSVFVGKNNAVALRMDGGGGGGGVPGLRANCVYWTDICPARAMVFDMVSGKSTLCFHGVEDHRAICWYFLA >KN538715.1_FGP130 pep scaffold:O_longistaminata_v1.0:KN538715.1:130237:132178:1 gene:KN538715.1_FG130 transcript:KN538715.1_FGT130 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIVTDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIPIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPMPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFNLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGCTWRTKLHL >KN538715.1_FGP131 pep scaffold:O_longistaminata_v1.0:KN538715.1:38281:40562:-1 gene:KN538715.1_FG131 transcript:KN538715.1_FGT131 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELVTRSSMAAASASASAIACLLFLGFLPSLATAVSFSYSTFSNGTQNITLQGSAAIAGDGWIEITTGGNLPSGGTMGRVAYSPPVQLWDAATGEVASFTTRFSFNITPTNLDNKGDGMAFFLVGYPSRMPDTADGGALGLTSRTFDAVMSGDNRFVAVEFDTFNNSFDPNATYDHIGVDVNSIVSVQTESLPSFSLTGNMTAIVDYNGSSSILSAQLVKTWTNGSTTLYNLSTTVDLKTALPEKVSVGFSAATGSSLELHQLHSWYFNSSFQQNPPPVAQPSPTTSGPGLAGVITGATAGAALFVVLLFAMVVVLVRRRRSKKRREAEEAEEARHVGLAGDDDDDDDDGEPIVEIEMGMGPRQIPYHELVEATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFAKDSSKQGKKEYRSEIKVISRLRHRNLVQLIGWCHGRDELLLVYELVPNRSLDIHLHGNGTFLTWPMRASSESDVYSFGIVLLEVACGRRPMSLQDNQKNGIFRLVEWAWDLYGQGDVVSAADERLNGDYDVSEMERVIVVGLWCAHPDPSARPSIRAAMAMLQSSGQLPVLPAKMPVPTYAPPVASVEGLFTSSTGMSSSSATQSSSTTSGYITHTSSFSNTSTSAGSKDSSSLLKHQYL >KN541911.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541911.1:13345:17259:1 gene:KN541911.1_FG001 transcript:KN541911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMLAGQLAATLAISLLDKAFSYLDPGKEHPEKEKMQKDLQTIEVRLGVDARRLSRMQIEGVERSSMHIFPSLAWVLRGTVEEMEAAIDEIDYLLVEKQVRNPTLSMFFKTNIGNKVTRYVQTERLRMAVHSLREHTRKLLEKLHHLKDVKAYEARDLLYDHHMTHDPEQVFGRDREKEQIVQWLINCQSDGPEMVHSDHHIIVLALIGIAGMGKTTLAKLALRDPRVRPLFDFVVYVSVPVNFTAEEIVQFMLEQITGATPASSSTKSLKNALTDRLRYKKLLLVLDGIWEDTSMEKWEELVLPLRGCLRGSRILLTTRMQSVVDMAAAAVGAPAECLKLSELDETDNFMLFKSQLTYDIHSADYAHLLLIGEQISKSIGGCPLVTRSVSSWLGSHMEAHDWSAFLQNGWQKMGLTNIFASLRLSYDYLPTELQICFKYCSIFPKGYKFNKLELANMWIGSGLIPFNSSQQDDTGLQQKKGVHLFNAQDVGEQYFTELARKSFFFRMMENDPSNGNLKEYYVLHSLMHDLAIFISRYECARVDSDNFPHFRRVDNGNFPHFRYTIRHLSIANSKNWNVVGYLGNLRTLIIESEFSLDKRSEFMLGVLLMNCKYLRLLYLSVPSLSHALGRISNMIHLRYLFLFSCDESHVQRIFKLYHLQVFKLNYMTGKEVGFDGISNLRYLRYLRLPGSMLSKICQIGKLTALQELHGFEVVENGGNRLCALSKLTNLHQLSLRNLQNVRNSKEAMEVKLKDKQYMRFFSLSWNKDSNDPENIDQQIIDSLEPNKEIQQLHIYGYNRVRLPIWIENSLLIHLVSLELECCMKWKSLPSFQELSSLKHLKLENLLQLEYIGTMHEEQFGTKESEDAFLPPFLNTLIIRWCPNLKKLPDIPCNLEQLIIKHVGLSVLPTIDQRYPGRWEFWRTSSVKSRLALLHIESCIHLTSLDEGLLKQQQYLQSLKTLFLGHCAKLCYLPEKGFTELHHLNFLEIVSCPVLRNVKSEGNLLPMSLKNLDINPCGYIEDSVLMSLQNLTSLRRLTLFSCSNIEKLPSEEMFRTLENLNDVSIARCKNLLSLGGLGAAVSLRVLSILCCNKIHHSYYPQVSCSFKLYKLKVDRQALLMVEPIKSLNYTMELHIGDDYQMESLLEEWLLQNASSLCLIEIGVAENLQTLPSQMKRLESLKSLHIERAPLIQFLPELPASLSKLTIRGCDPRFLKIYETGVGSDWKKIKNIAHVDMKAYSEGAVSFCSQFSFFVILFSDDQFYVIVALIFFAGSVGRPISLRFKEEKIFVSYNDMKMSRRIG >KN545375.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545375.1:244:1043:-1 gene:KN545375.1_FG001 transcript:KN545375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELTHLEHPVVDGAPLRLLVVGDWGRKGGYNQTRVAEQMGKVAEETEIDFVVSTGDNFLENGLAGVDDMAFHDSFMDVYTAQSLHKPWGNVLAQIDPALRKIDSRFICMRSFIVSA >AMDW01038622.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038622.1:3:829:1 gene:AMDW01038622.1_FG001 transcript:AMDW01038622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GETFGYLRAHPATSVGRFMEQHIARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRAWPPSSTFSAQHQAKKFTFNLMAKNIMSMDPGEEETERLRREYITFMKGVVSAPLNLPGTPYWKALK >KN539904.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539904.1:2745:4338:-1 gene:KN539904.1_FG001 transcript:KN539904.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGVRPNRHTFPSLLKSSASFDAATPALHAQCLRRGLDEDRFVACSLLSAYGRDGHLVRDARKVFDDMATPDLATCNAMLDVLCLSGDMYGARCLFDRMVVRDVVSWTTIISGLTRIGCHWDAVEMFRAFLLQNKGRLSEATLVSVLSACANLDAAEGLAAGMAVHGYVVRHEVQFTAFLGTALIDMYGKYGKLSCCCHIFHLVRDKEVCTWNALLSALANHGKETEALVKFEMMRSEGFLPNHITFVAVMTACARAGLVETGLDFFEELFTEYKVIPMMVHYGCVVDLLGRAGRFLEAIQIIERMPFMADDSVWGALLGACKIHGNIELSAQIREKLIALGGQQPGRYVTVRNMYLEEGNWFAATRMGEVMQEAGIKKIVVENGKVAQSTEAYPGPRATASFAHAPVPSVD >KN539904.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539904.1:39783:41613:-1 gene:KN539904.1_FG002 transcript:KN539904.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQFIETVKQEPEDDLGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKRLTWLHGLQEIRLTVNTNNIKDWINNFIYGKRLVTRWLFEPIITAETIDEQPVTMLHELCQKHGKMAQFKTWQKGGMTVVNVFVAGELVGIGSSEQKVIAKLNAARDATRKLAGAKKQVLTTGVGNGLGDEIGELRECKQKLNEQCSRQNWPKPIFK >KN539904.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539904.1:28810:29546:-1 gene:KN539904.1_FG003 transcript:KN539904.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITVFLFLLVALVVVPAAAEASPEPLPARRSSAERVRASGCEGDVCREIRGQNEIEENIVHL >KN539904.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539904.1:6014:8276:-1 gene:KN539904.1_FG004 transcript:KN539904.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATVLLLVLAAAAATAPTTRLTDLFHECARCGSGLYEQLTWVDDPSLLNALATLPLSSTWAGITLLVHGNEQLKFGLRDGQEEHGGGGLSRQFTTLKVSSAELDEVTLANVLYVDVKLKLAQEAYLDGAPPNS >KN539904.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539904.1:63926:75074:-1 gene:KN539904.1_FG005 transcript:KN539904.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTARAPRPPQSTTRPAPGLHQRAADFSRWVPRRPGKEVRAATRLLSQIPRALMLRVGASSATSRNAGEADNSKKSGMIESIVTELIENKRILDVDFLESWLFEKERQYTLSYFETSASHAERSWYSKWASCLADYISNPSVLPHDNVFSNSCCDEIPEEDLLEISRKTQVQKYMCKLKDLVKGNYRSIPNVQIQALKLLSKLCGLHQNTKKILEFGGFSCAIHVLEFSALGAEPAAQLVSTLLESECSYPSIFKDRLPYHLIKAVGLGRVKDESVIEDILLLASQAELAQSHFFNALVILKSGCRSRNFLFGLLSKIASAQIDDEWVTVSPWIHFTFVCMCRILFDEMYRYQYHQM >AMDW01039303.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039303.1:147:542:-1 gene:AMDW01039303.1_FG001 transcript:AMDW01039303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFE >KN541762.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541762.1:8255:11415:1 gene:KN541762.1_FG001 transcript:KN541762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGSGMERWREMFRGDDIYDVIRNAILIAGADSPRELLRRRQGIIEWLFAVAPVPAPLACGSVVDGAGNRLPAAAIHDGCGHHHDDNDGNFAADEAQTSLIDQQILEALYDEIEEDTQVINEVLRIKEILVNYKEQLVDTLFDGLRRLQLMQLSISVLKSTQIAEAVAPLNKHRSPVICKIARDLAKGWKGVAADWVGASSANADTSPDISNPSTVEDDFGLPTPPMDVGAFFLPQSAAEQYVSEFLHKDDDDDDESLIPNAKNDCGFGGYKMEIAKPVANMDENILRKGQDLSRQHGPPMRQANLQMKLVDPNVNTIPKIHDLPIKQTPPLRQTNLQLGKTQGSRLHIKPASRFSVVTTKPNKPTHSQYTSRSQFSEETQNKHGRGTKPKQSQNHAIAITEQRPIAVVRKPLPDHVSKLERQATILEGCKVGIGKRNNTDIADLRLEATKRKLNDAYQEAENS >KN540491.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540491.1:3703:6956:-1 gene:KN540491.1_FG001 transcript:KN540491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPALLSFKSMLLSDGFLASWNASSHYCSWPGVVCGGRHPERVVALQMSSFNLSGRISPSLGNLSLLRELELGDNQFTGDIPPEIGQLTRLRMLNLSSNYLQGSIPASIGECAELMSIDLGNNQLQGEIPAELGALKNLVRLGLHERSLGLWQICNRWAPYLCSRTGCTHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFGGIIPPEVGRLRNLTSLEAEHTFLEAKDQKGWGFISALTNCSKLQALFLGNNRFEGVLPVSISNLSVYLEYLYLDFNAISGSLPEEIGNLVRLEALLLHNNSFTGILPSSLGRLKNLHALYIDNNKISGSIPLAIGNLTELTYFRLDVNAFTGRIPSALGNLTNLVELGLSSNNFTGSIPVEIFKIHTLSLTSDISNNNLEGSIPQEIGGLKNLVQFYADSNKLSDEIPSTLGECQLLQNISLQNNFLSGSVPSLLSQLKGLQILDLSNNNLSGQIPTFLSNLTMLSYLNLSFNDFSGEVPTFGVFSNPSAISIHGNGKLCGGIPDLHLPRCSSQSPHRRQKLLVIPIVVSLAVTLLLLLLLYKLLSWRKNIKTNIPSTSSMEGHPLISYSQLVRATDNFSATNLLGSGSFGSVYKGELNNQAGESKDIAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFEFMPNGSLDGWLHPDNNDHTEQRYLNILERVSILLDVAYALDYLHCHGPAPVIHCDIKSSNVLLDANMVAHVGDFGLARILDEQNSVFQPSTNSIVFRGTIGYAAPEYGAGNTVSTQGDIYSYGILVLETVTGKRPSDSKFTQGLSLCESVRLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLRLGLSCSQEMPSSRLSTGDIIKELHAIKESLLLEIEDTEK >KN541762.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541762.1:19658:23405:-1 gene:KN541762.1_FG002 transcript:KN541762.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMKKIRGKEYASSSGSRSIGTRMKSLTTISTPITQKTIEHLMVKMLISFSARKRKNELFLC >KN540491.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540491.1:47444:47785:1 gene:KN540491.1_FG002 transcript:KN540491.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLKVYADRRSQPSRAIIIFCRVNRIDFEEVTVDLFKREHLSPEFKSNLTLFLDLFFFPLFVFAGKKVCKSFPTALVIL >KN540491.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540491.1:20420:29220:-1 gene:KN540491.1_FG003 transcript:KN540491.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQNVSGIIKPSRMTLLLGPPSSGKSTLMRALTGKLDKNLKVSGDITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWCHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRTKMRSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVLFNILYILALTYLSRGSRSTNQQTRSQIVLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYKKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHNFLGYIVLAHFGYVIVFFFIFGYAIKYFNFQKR >AMDW01022614.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022614.1:89:235:-1 gene:AMDW01022614.1_FG001 transcript:AMDW01022614.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPDAHPSKYRLWQPDKFKVSRDCVHWCLPGAMDACNDMLFHMLIG >AMDW01039288.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039288.1:47:953:-1 gene:AMDW01039288.1_FG001 transcript:AMDW01039288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGDWGFISSLSNCTRLTKLMLDGNNLQGNLPSSVGNLSSGLQWLWLRNNKISGPIPQEIGNLKSLTELYMDYNQLTGNIPLTIGNLRKLGKLSFARNRLSGQIPDDIGKLVQLNNLNLDWNNLSGNIPVSIGYCTQLEILNLAHNSLDGTIPETIFKISSLSIVLDLSYNYLSGSISDEVGNLVSLNKLFISYNRLSGDIPSTLSQCVVLEYLEMQSNFFVGSIPQTFVNMVGIKVMDISHNNLSGEIPQFLTFLRSLQVLNLSFNNFHGVVPSSGIFANASVVSIEGNDHLCTETPTT >AMDW01022877.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022877.1:72:257:-1 gene:AMDW01022877.1_FG001 transcript:AMDW01022877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQVRRIENEVSRQVTFSKRRPGLLKKAHEIAVLCDVDVAAIVFSAKGNLFHYASSHT >AMDW01025032.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025032.1:168:326:1 gene:AMDW01025032.1_FG001 transcript:AMDW01025032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAGELGVCYGRDADNLMDPQSVVSLLRANGITMVRIFDADPAVLRALANTGIK >KN542588.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542588.1:10035:14096:-1 gene:KN542588.1_FG001 transcript:KN542588.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTWPWRALPLAAVLLLFLSPAASVDTVTMEAPLAGNRTIVSAGGTFTLGFFTPDAAPAGRRYLGIWYSNILARTVVWVANRRSPVVGGSPTLKINGNGSLAIVDGQGRVVWASPVMSASVLRAGSATAQLLDNGNFVLRFASAGVAWQSFDYPTDTLLPGMKLGIDFRTGLDRYMNSWRAADDPSPGEYSFRIDPSGSPEFFLYRWSTRTYGSGPWNGYQFSGVPNLRTNTLLSYQYVSTADEAYYRYEVDDSTTILTRFVMNSSGQIQRLMWIDTTRSWSVFSSYPMDECEAYRACGAYGVCNVEQSPMCGCAEGFEPRYPKAWALRDGSGGCIRRTVLNCTGGDGFAVTRNMKLPESANATVDMALGLEECRLSCLSNCACRAYASANVTSADAKGCFMWTADLLDMRQFDNGGQDLFVRLAASDLHEEKQSILNWSKRFNIINGIARGILYLHQDSALRIIHRDLKASNILLDRDMNPKISDFGVARIFGTDQTSAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLAWRLWKEGRSLEFLDQSIAGTSSNVTEVLRCIQIGLLCVQEQPRHRPTMSAVTMMLSSESPALLEPCEPAFCTGRSLSDDTEASRSNSARSWTVTVVEGR >KN546810.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546810.1:116:544:-1 gene:KN546810.1_FG001 transcript:KN546810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQ >AMDW01031928.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031928.1:82:162:1 gene:AMDW01031928.1_FG001 transcript:AMDW01031928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGELVVVEEDDEYAKLVRRMNPP >AMDW01035640.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035640.1:219:290:1 gene:AMDW01035640.1_FG001 transcript:AMDW01035640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAISPTSGYKGGQFDISLLVWK >AMDW01025304.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025304.1:6:257:1 gene:AMDW01025304.1_FG001 transcript:AMDW01025304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNETGWKVDTGHKSGYLLQIEKELAKRLPNSKIKADPHIQSKIKALKKMLSAIIEIQQFGSGFGWDDENKMVVGDREQFMGWAK >AMDW01024750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024750.1:191:310:1 gene:AMDW01024750.1_FG001 transcript:AMDW01024750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NKSGIEKRFMFVNDDVMEAHPEFADRHLTSLDARVEIVSK >AMDW01033184.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033184.1:283:352:1 gene:AMDW01033184.1_FG001 transcript:AMDW01033184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCDHA >KN538795.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538795.1:157949:161910:-1 gene:KN538795.1_FG001 transcript:KN538795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSSDPKGKKDFSTAILERKKSPNRLVVDEATNDDNSVIGMHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEHIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMEKRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADQPASGAGAAADPFPSAAAAADDDDLYS >KN538795.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538795.1:206217:225292:1 gene:KN538795.1_FG002 transcript:KN538795.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPPPDVLQAIALAEAEAKKAKKDPRRSHKGAAALATPVANAKVRERKAAPATAPPKFSKAARRFYNENIKEHEPQRLAKVLAAAGVASRRTCEELIFQGKVTVNGSVCTAPQVHEGRNHEVRELVQNAGLEVYALKRVRIGRFRLPPDLGWNIIVIDKSMFLFILEHFANGARRVEMNFLYRTSQPVAPELPQIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGAVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIESMLQRFKMSNFFVRIAESDEPLWSNKKLAVSKVPEEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNGLSSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSNISPQHVKDIVVFVDAITIVFEEASKGGASLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPECKKLKLKKNNWSCESYRFDEVFSENASQKRVYESVLEGYNGTVMAYGQTGTGKTYTVGRLGKDDPSEGGIMVRALEHILSVMSLETDSVAISFLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAKVEIRDLEHVFQLLQIGEMNRHAANTKMNTESSRSHAILIIHIQRSSRIEDGSNTSLPNGTDNLFPDNLPLVLKSKLLIVDLAGSECIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRVLRDSFGGTARTSLIVTIGPSSRHFSETSSTIMFGQRAMKIVNTIRIKEEVDYESLYKKVEHEVDHLTSEMERQQKLKNSEKMQLEKKLKESEASLNDLKVTSNMQIENMAMEKRQLESTIKRLMLDLEKEKGKNNILSEQIIHLETSLDENKQKQLENISNTNILADTTKSHEKKIRELLKQLEDERSRSASMNDHLNVLQQQLSDAQNYFQENIACELEKQLSRTTEEFASQISSLEERIADLISEKELVYEELKSTQEKMQQEMRHRQGLEDEILRLKQSLADNCSEESKALCGMVRSGSGLGSVPFMSKSGKSRELLSSQRSSISKIFEEVGLPNVLALLKSDELEVQIHAVKVVANLAAEDVNQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNAWK >KN538795.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538795.1:147672:147959:-1 gene:KN538795.1_FG003 transcript:KN538795.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKAPEKEAAAAMACYRRTVGEEATFKERSKDLFRQFKDAPAGDHWVCLKNKVRAAGEYATLRTRQGITMFGEPNVGDLLGRTKDDDSKKTPSA >KN538795.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538795.1:163488:172933:-1 gene:KN538795.1_FG004 transcript:KN538795.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAAPKAGATADPVVLRMELHCAGCAQKVKKSIKHLAGVESVAADVATNTVVVAGTADAAALKARIEAKTKKPVEIVSAGGGAKKPAAEPKAIKDDGGEKKGDKDAQAKEEKGKKQPPEEKKPKEETVLLRIRLHCDGCADRIRRRIYKIKGVKEVVMDGNAKDEVKVSGTMDVPAMLTYLTEKLNRAVEAVAPGSKKDEKKKDKGGDADGGEKKKDAAGGDKKDKGKSIEVASVCATYLHMLDEWLPTMILDHFFHSFTHPGSFRSGETVRVARSQTFGSRPTEPECGWPAARSTGGLNNISNDNSATDSKDLRAKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERGAAAAVVVYDITSPESFSKAQYWVKELQKHGSPDIIMVLVGNKADLHENRHVSSQEAQEYAEKNNMVFIETSAKTADNINQVFEVTVFALLIKNRKIPELVRRGLGYGRTVLTSY >KN538795.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538795.1:184847:186667:1 gene:KN538795.1_FG005 transcript:KN538795.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRLLLLVGVVAAAAPLLATAQLSADFYSSTCPNVEKVVSTVIERKFKEDPTTSALLLRLLFHDCFANGCDASILIDPLSNQSAEKEAGPNISVKGYDIIDEIKTELEKECPQVVSCADIVALSTRDSVRLAGGPNYDVPTGRRDSLVSNREEGDSLPGPDIAVPKLMAQFSEKGFSADEMVVLLAGGHSIGKAKCFFIEVDAAPIDPTYRSNITAFCDGKDGDKGAVPLDPITPDVVDPNYFELVMDKKMPLTIDRLMGMDARTKPIVESMGKKTDQFDATFGKAMTKLSGMKVITGKDGEIRKSCSEFNNPVNTDDGPSVIRISSLNPEEMMGSFAPATGKSPSAASESRKVAPGGAMEERMAAGEAKTDASGGAMAERLAAGEAKTAAAASEDENQAAAGGAAGDEAAAEEEGTKKSNKKKAERVTAEKGRAYYYR >KN538795.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538795.1:139146:146952:1 gene:KN538795.1_FG006 transcript:KN538795.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lysine-specific demethylase ELF6 [Source:Projected from Arabidopsis thaliana (AT5G04240) UniProtKB/Swiss-Prot;Acc:Q6BDA0] MPPQPPPAASASASAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPAPAPAAASDSSIPPSSSSSPPPASAAVFTTRHQELGNPRRGRPTPQVLKQVWQSGERYTLDQFEAKSRAFSKTHLAGLHEPTALAVESLFWKASVDRPIYIEYANDVPGSGFAAPVQLQRKKKRKRETAPMDEWEKSSGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNTDRIASLAVLGEKTTLMSPEVLIDNGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRNPRELLSGIRTSRLRDRKKEDRELLVKKEFLQDMISENELICSFLGKKSVDNVVLWEPDLLPSLTALHPCSSCSKAPEKKGEDGPRIGSTQSSSKDNSSSDGTACMTGTQSKGLSMDSKQAPEGEKLDTDDGDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSRKALEEISLVDKERYKLSCEKEICSNVLPCSPNDGSSGCPLIANRSSSPVENANFSHQDVKPNRSDISLMGKEFNGTLGKHIGTSCSCSSENTIHPYGDTETPEKKIPSDCPGSELSKQSGRGDVNVPAVEGSDETISWNTGCAFARPRIFCLQHALEIEELLASKGGVHALIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSDLHLINISIDDEGYEEEGTDWTSRMGLNLKHSSKIRKETSESQEQPPLSFWGLFSKPSPISVVSNLKWLCRKARTPYKVVGYASSPDVVATPDKVKPTVTKTQIDTSGNAHENIESEQTLEQDCVLQESNDVADMCKRPKENDQDGHSLINIPIAVAEYPMMHQVCERPDSPTTVAVSAGKPTREQCGVESTELSTVQQFLDNGLIAEGGSMNFVSNHEYLESDNATSVCKDEQLQVQQDQLAMVLCNNPNTELVAGELHGGAASSTLENEDSCGNTSYCSDTVLKNSKPDTDDQPETCDRSVVLVTPKSSCDQMISSSDRSCSLTLDCPVSTDAAFSSEKLSMAHDLMGSELQAVHNSKAEAAASLTDVKGAKLNGIHTAQLPHESPSSDFIISEGAQSASATAIPRQNGTSMHTESNSIDILLGVLADESKVSSGKDEVGKASLTLMTLAGNDQSADDGTQDEVAEITDPSHGFCASDIVSRSIGSSNRTNIICYARRKHKRKSGSEFNINSPQSLGTFVRSPCESLRPRTRHAIVEDMANETKTAEASTANKRKKAKVEAFQCDIEFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >KN538795.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538795.1:228056:235511:-1 gene:KN538795.1_FG007 transcript:KN538795.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTENRDRDKDKDRDRHRDRDKDRDRHRSSRERRDRDRDEKDREKERDKDKERRSRDREKEKEKEKEREREREKDRERRSRSRSERRRDDEERDRERYRERDYRDRDVRRRKEEAGEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQFARLEDAKAAQSLNGQLDIAGRVIKASGFFRFTRIHVVFKLYISNIRVLPDTMLNCGNQVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTAGIAGTGLNTSVGLPPASVLGAPPVAASVLPTVPGLGSVPGVSLPITTQAIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKNTSGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMSPQQYSTKFPS >KN538795.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538795.1:247036:250086:1 gene:KN538795.1_FG008 transcript:KN538795.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSWEPESPDSPWLSGCTGGILGMKGVKCTVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELHVFSSSTGEMAHATSLNEQGKRCRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKKKQFVLTKLRSAEIPAEVLEVVERSDAKHVLTAPLRFRPPLSLLLASISRGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALVRKLLKMADYDCGKL >KN538795.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538795.1:175554:178256:1 gene:KN538795.1_FG009 transcript:KN538795.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAAASTPISENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINDIEDLQTELVGLKSRIEKKNTYLQELQDQFVGMQKLIQRNEQLYGSGNIPSGGVALPFILVQKVLTGRASSYLI >KN538795.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538795.1:149771:150430:-1 gene:KN538795.1_FG010 transcript:KN538795.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATPANATTFSGEPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAELGMGRVEWCVLDWNKNAIDFYEGMGAEVLPQWRICRLTGAALDKYKGNQEEGGGSKAAE >KN538795.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538795.1:130196:134503:-1 gene:KN538795.1_FG011 transcript:KN538795.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIRQMRTWTRRIVVQGCMQRLGQGSPRSAPPWKVIHTVGPKYAVKYHTAAENALSHFYRSCLELLIENGLERLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSAAPAPVDIPLSDSGLTRSRNSFKLDSYLDPTFMSLIKDPDLQRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKLILTGLNLPSLQEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >KN538795.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538795.1:189322:203010:-1 gene:KN538795.1_FG012 transcript:KN538795.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGSHHWPRFCLFHFVRGFILAFMAWLYLGFNLCFLTDIQWVPEDRVTLFTSDGLVQIGGSLVPRRITPSEGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASKDGLQEKLTMSVAVPLLWGVPPASETLHVAPTVLDSRGVQAFLGYGMTETCGIISLEYPEKGQAREFGSTGTLVSGVEAKIVDIKTLKHLPPNQVGEICVRGTNVMQGYFNNVQATEFTIKQGWLHTGDLGYFDGGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKRLKRVTFVGSVPKSASGKILRRQLIAQPFSKLVREGLCSIVKTT >KN538795.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538795.1:237901:244154:1 gene:KN538795.1_FG013 transcript:KN538795.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTVLQQKEAGEEDVVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEELPNGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRMATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNETDAYWFFTWSPSEHDDDALAKKKQFVLTKLRSAEIPAEVLEVVERSDAKHVLTAPLRFRPPLSLLLASISRGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGYCAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALACEEVIAAVSDDELSGRQSTLAIAASSNSIFASLSSSVQADGNYSLGRLYRTSRNLGMKTTAYTGKNVAVTRRCGRARSLGQQGAWLPQCLYEDFARGGAGVPLSTDGGGVLIEGRQRQEGGPQ >KN538795.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538795.1:151526:157006:1 gene:KN538795.1_FG014 transcript:KN538795.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPAISFGVVASPESNVAYDPSGRLLLAAALDRFAAWDLKRGLPSLSFATAAPSPSLAVSCLATFPSAASASASSIASGHADGSIRLWDTETGSCEATLHGHRSAASALVFGPSGAIIASGSKDCDIILWDVVAQAGLYRLRGHRDQVTGLVFLDSGKKLVSCSKDKLIRVWDLDTQHCLQIVGGHRSEIWSIDVDPSERFLVSGSADQELRVFTVRKSAEEGEDWSKWDMLKLFGEIPRQSKERVATVKFDKNGNLVACQVAGKTVDIYRVLDETEAARKAKRRMHRKKEKALAKSMAAEGNGTVIDPLSAQDLQNPTPVVTDIFKLLHVLRVNKKICSVAFSPNNPPKGCLATLSLSLNNNMLETYSVDNENVSKMYSIEMHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYALVGTKSGTLEIIDINSGSSIEVIEAHAGSIRSIVLIPNEDGTAGARGFVTGSADHDVKFWEYQLMQKSDTDSKQLSVTNVKTLKMNDDVLAVTISPTGNHIAVALLDGAVKFSNLEQDKHKQICIFCQSVYMGIAIIVNIFLASCKPGNTVFIMNSLKFALTLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLAISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEQEKRLEETFEADLDSAMDHRYGQKDGAPDEGSVGVPGRKTKETVTAADAIIDALDTAEEEVKRLDQHQEGQNNGNGATFQPNVIMQGQSPSDYVLNVVSNVRPNDLEQALLSLPFSDALKIMSYLKEWSMVPLKVELVCRVCLVLLQTHHSQLTTTPSARSMLTELKDILYSRVKECKDAIGFNLAAMDHIKELLAMRSDAPFRDARAKLMEIRQEQSRRSDRSDGAEKRKKKKRRTSGES >KN538784.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538784.1:111227:112302:-1 gene:KN538784.1_FG001 transcript:KN538784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERIRASWNSTLEKGLVDILHEHNQPRFRAQNAWIPDGWRSIVNKFNEMFPYAYFSKQQIQEKEKELKANYKIIKNARKESGLGWNDSLGMIVAEKPVWDRLLLAHPKVRKFRTKPFPLFNSLASLYEGSIATGDLCFTSTEEATPSSNQNMEKAQEASSLDGQPNPLSTLDGPEASSTSMEKAQESSTPNKSGEEGAPGKKRKKNQVALVLENYLEFKKDQTQMVVEKLVQASKEENDCSIPKCIAAVEMIQELTDEEKAKALGLFRCPLNREIFMNTTSPIVRLIWLRSQIAT >KN538784.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538784.1:162836:164588:1 gene:KN538784.1_FG002 transcript:KN538784.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSAVVSAKLGCPPAAALGSWKVFIYKIVSVDLLLFLIRVVVGSRISSRNLVSMKTMTARRGLVSLRSPRFRVCCAAKAETVGKVMQIVKQQLALGEEAKLAPESQFTELGADSLDTVEIVMALEEEFGITVEEDNAQSITTIQDAADLIDKLVADGGGKPPAAA >KN538784.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538784.1:45043:47825:1 gene:KN538784.1_FG003 transcript:KN538784.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKLQIISAFLLLSSLCSRGEALCSLSDLVVTQTTVPGQQIAGQPEYHVTWWVVVFSKEDTSTVPQLHSLAPSLTEARIVSRAKNVDAVASLYHEDIRCATAS >KN538784.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538784.1:122622:123038:-1 gene:KN538784.1_FG004 transcript:KN538784.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSAHGSGMPAAESSRPTPSPSRYRHSLGGGASSRMWRPVGGQAQVGGPACMVAPWRCSPSATREGDERGTRREVVEWGEEREHRYLSWHSEKQPRALEPLVPLLGATPPSKGMARRRKMDGVCEGTGWRYPLYMA >KN538784.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538784.1:156213:158334:-1 gene:KN538784.1_FG005 transcript:KN538784.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLSLVSPYLEKGARFESGVNFAVAGATALDRSYLLQSGVVMPPASVPLSSQLDWFRSHLNSTCSSHQDCAKKLSGALFLVGEIGGNDYNYAFFQGRSIESMKTYVPQVEVIELGATKIVIPGNFPIGCSPSYLSLFSTAISGDYDDRGCLKSYNSFAMYHNDQLRAAIDDLQKVNSDVSIVYADYYGAFMHLLQKADLLGFEEGSLFKACCGAGGKYNFDMNLMCGAVGTNVCEDPARHISWDGIHLTQQAYKAMALSLIMEGFAQPADIVQKIWSC >KN538784.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538784.1:25355:27738:-1 gene:KN538784.1_FG006 transcript:KN538784.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDDGDDDGLSIDASGGLNYDSEDARGGEDSGAKKESNANSTVTGDGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPATSSLPPTPTSFHPLTPTLPTLPSRIKEEICPSALPSPTGQQPRVEVRLREGRAVNIHMFCARRPGLLLSAMRAVEGLGLDVQQAVISCFNGFTLDIFKAEQCKDGPGLLPEEIKAVLMQSAGFHTMI >KN538784.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538784.1:169288:175126:1 gene:KN538784.1_FG007 transcript:KN538784.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MGYNIPRSYAPAFHSFAWAQAVQNKPLVPRAADAADEDEVEHVVDTSDEEKEEGEIEEGEAVQTTITSSSSPPCAQPPETIDLDSDAPEKSESMVAMDGGGAAPAGAEEEEVDFDQRVGSILEELEMVSIEEAEKYGLMILLYGKVHVLDVFWCMIQLLRDPILMFCRSFEGACTRLRTCFENLKPLFPESGSPMPMLDALVQQAFVGIDTITTVANSYDMPKREQTKNMLLKLLFHIKNRYSDMLTPDQRDELDSRVRQLVFEDGKDNANGPNATSTNAAVPSGQVLSERLPFESGAGNSFSKVEIPGKNRMVSPLLDLHADYDENSLPSPTRDSAPPFDVPKPIGYGALPMAPDRPSVLERVEPAKNSSYQSFNDALKAVCYYQQKHGQKSNFASDDLPSPTPSGDGDKSGDKGGDVFGEVSSFSASNKIALPIVNQMPSRPSAVSSNSDSFAGGPPGYAKQIENSVSGSNHLLKATAKSRDPRLKFLNRDTGGVADANRRVNFAEPNPSKDRTMGGGVSINSRKNKAVDEPMVDENALKRSRGGIGNLRDMQPTGRGGWAKDGGNIISYSSDGFQPNQNTRLGNNTTGNHNIRTDSTLASNLNNMTNSSGTSPGIVQAPQTNSAPQTSSAPAVSLPAMLKDIAVNPTMLMQWIQMEQQKMSASEPQQKVTASVGMTSNVTPGMVLPLGNAPKTTEVAAVPSVRPQVPMQSAPMHSQNDTGVIRMKPRDPRRILHSNIVQKNDTVPPVGVEQAKSNGTAPPDSQSSKDHLLNQDQKAEQLQAIALPSLPVTSSARPVTMNANPVSNSQLAATALMPPHGNTKQTSSSVNKADPRLAAGQNESNDDAATSTGPVTAPDAVPPASPYGDVDHLLDGYDDQQKALIQKERARRIKEQHTMFAARKLCLVLDLDHTLLNSAKFIEVDHIHGEILRKKEEQDRERAERHLFCFNHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKVYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKHNLIVVESRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHKNFFSHPNLNDADVRSILASEQQRILGGCRIVFSRIFPVGEANPHMHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKSCQAPTTSHRLLRGGLLVAVTHRDQGW >KN538784.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538784.1:138429:144104:-1 gene:KN538784.1_FG008 transcript:KN538784.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTLVQQIAHHQLEGQLLHHLLGLISDLYKRWKCQMGPLGKEGKVLLFWFSKCSSLWYQAVIQELQLLIVDFRTLYKLEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAATVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAQHHRPNVAELVDPDLSKEEEEDVLFKQEGITNYNIVQNAWLIYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKEEMDTTNEESSDVEDLT >KN538784.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538784.1:53611:56382:1 gene:KN538784.1_FG009 transcript:KN538784.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTAKMEQIIENQEKYGKSDGMMYALASFLSKNPRIIKEMTYRITNPDGTEKAELAVTMKDDVKVQSPRTNDILEEAPKRSEDVVAAPPQDETTMKKPHDEETTRAAHLQPLTKFLIPDDHVFVVDCAGDGDELTIHIKPRSDTDADADAAMVVPVPWASPGISDEEINATLKTTAAASSVRLSPDTVMLYWPAGEGNTAEVLVASMDYVGYVDVAGKPECRRAVSPLAQHAVLSTTPASFDTDDDGCVPRELGDDPQLMKRMHTSSS >KN538784.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538784.1:6332:15049:1 gene:KN538784.1_FG010 transcript:KN538784.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MMVADWKVKKYLGYNLCCSILRSFRVGARSYAGGGGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVGEQRMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQQEDNGSTEEEVPSAPAAGHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGDHSSNIWSCSSFLGQVVYASICPCDVRVVLIDYVVLPEFRLHLGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPVNPLPVPRTKRLRPNATALPADSSAIAKEAATKVVVESEPNGTQRTFQTQENATPKSGFGNSSELESAQKSIMRPSGFDREKNNTPIQWKLGSDGRMQMSKPESYSEMLSGFQPPKDVQTPQGFCSLPEQITAGHSNFWHTVNAQYQDQQSNHNMFPSSWSFMPPNTRLGLNKQNYSMIQEAGVLSQRPGNTKFGNGVYAALPGRGTEQYSGGWFGHMMPNSHMDDTQPRLIKPKPLVVTHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGTPQTPGATEWRRPDVTEVEKSSDPSKAMKPLDTPQPDSVPEKPSSQQASRNMSCKSQGVSTRSCKKVHKQGIALGRSVDLTKFNGYEELIAELDDMFDFNGELKGPKKEWMVVYTDNEGDMMLVGDDPWIFAPVQPDGTGYLSCYLAT >KN538784.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538784.1:159789:160067:-1 gene:KN538784.1_FG011 transcript:KN538784.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGAAPAFQSVRFSSPPLPPLIAIASASTEHLRKRRRIGEECGGGPRSIALRQGLVAAFTSTSHIRSGMCRSRIYFQDKDNGKKMVPNF >KN538784.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538784.1:84019:87267:-1 gene:KN538784.1_FG012 transcript:KN538784.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVSSNRRPLAMVVAAPVLQMLLIAALLHLGSCNGADRIERLPGQPEVSFGQYAGYVGVDDKGQRALFYYFVEAELDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTGHYIPQLAEVMVEFNKKNKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVTEYYGGSLTPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILTPHQQVGQRIDVCVEDETVNYLNRKDVQEALHAKLIGVKNWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARDLGLKTSVPYRVWFEGQQVGGWTQVYGDMLSFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPETFS >KN541045.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541045.1:11392:15191:1 gene:KN541045.1_FG001 transcript:KN541045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLVALLLISSPKLLASQQQLPFCSSSSSNDANTLAYMAEGTYKTNLLNLAKDLIANVTKTGSRLQKVLDTASISNNGSTSSESDSQSQSHKSTVTLYDHEFQALLSFSDTDFISSFSNAPACIVSNYLNPAVPQRDADRTRFSELFSELMERISDAMVSSRASYLTGKGKGWFDGQESQPVVYGLAQCMDGMPPERCRSCLGGITDQGKEMVSNGLTEGMVLGVRCSLWYHYQTDGKFFAGEPGVLAFLNMPSSRDESKFGLWATIGSFFLMVSFSCFFVYIWIKQERKREARFKLRLISMAIQNVINLWRIEEGNSGFSLYNFSQIKEATQDFSTENKIGQGGFGSVYKGLLPGGLEVAVKRLSACSVQDFVKGAQLTWSKRLHIIDGIAQGILYLHNYSRLCVVHRDLKASNILLDSDMTPKISDFGMARIFYSNTIESNTTRIVGTLGYISPEYIFDGVCSIKSDVFSFGVLVLEIISGKRTSGFYPYDGKLYNLISYAWLLWRSGQGHELVCCCIENNHESIQRCIQVALLCVQERADDRPCIDQVVTMLNSEGMTLPEPNQPAYFYVRSSGSSDVLSCDSNISITLER >AMDW01137374.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01137374.1:27:320:-1 gene:AMDW01137374.1_FG001 transcript:AMDW01137374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AANLVIDLAKADVDCGHVVSQVRSAAESAGLFQVVNHGVAGELMDAMLAAVRRFNEQPAEAKAPYYTRDLGSRVRFFCNFDLFQSPAAQWRDTLFMEM >KN541461.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541461.1:5080:14888:1 gene:KN541461.1_FG001 transcript:KN541461.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVGCQARVDVRGSNNSLISSCTAVCPSPDANITYIGAGDVGCCQANIVLRYSRYTVQIHDLQGQDAPSSSPVIFNGSAYIVDQPFNYTPFTFLEGNFPEALPAMLGWFIVGDNSTCPMPTNESAPAPAPASAAECRSVHSFCQGYSDSADHVVGYQCHCSPGYQGNPYVKDGCYELLENLRKLFFFPSFRIFSHTMCYGDCKNTQGGYDCNCPRGFKGNASIPNGCTGLMIGLIVSGGSLLLLLGFAAPFILISRNTDFAERMIITLEELEKATNNFDKTREVGDGGHGVVYKGIIDLHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYRHLHVDGPVSLSWDDRLRITVEVARALSYLHSAASMPIFHRDIKSSNILLDDSLTAKVSDFGASRYISINQTGITTAVQGTVGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPVGDTFDNGHNLVSHFVLVFSEGNLYDIIDPQVKEEDDGEALEVATLAIACTKFKGEDRPMMREVEMALENIASKKGLFHNGNTTASRRPDENQNSTLYMSVEGVTKEAITESTTEEEILLSSRFTR >KN540749.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540749.1:562:2359:-1 gene:KN540749.1_FG001 transcript:KN540749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSDEMRAAVRDVAEHFPAAIVTGRCVDKVQSFVGLPELYYAGSHGMDIKGPSSNAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWNPLAEQVKAVLRDYPELKLTQGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDDGGDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCAKETDASYSLQDPAEVMEFLVRLVQWKLRRSSSAMRPRV >KN540749.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540749.1:20903:21151:-1 gene:KN540749.1_FG002 transcript:KN540749.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVEEVVVAVPPFVLVGCSATVPPERDLDANTEVEREEAGRPVTTPDVDGGDDNAIGHHGRGAVGMADHGRCLGTRFLPL >KN541331.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541331.1:8041:8829:-1 gene:KN541331.1_FG001 transcript:KN541331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARMNYLLADAIVGLSLPRLSQCDDNDDVVDPCRGRELAPGSQRVHTEWHGGAAMRCPNAIAVSPDVWDALGLGTTCTKDRKYIVSLG >AMDW01028745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028745.1:23:342:1 gene:AMDW01028745.1_FG001 transcript:AMDW01028745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YEIKKGTSVNLDVVSIHHDPSVFADPYKFDPNRFDETLKPYSFLGFGSGPRMCPGMSLARLEICVFIHHLVCRY >KN541301.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541301.1:459:2547:-1 gene:KN541301.1_FG001 transcript:KN541301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTMCSTKFFSPDVEPNLTVDVVVTCATTAMTSVDLVAAEDAIGATYIYNPIQPMVTPAKCLTNCSNPNDIPDLIVVAVVTCTSTSLASMDLEVGEDIACTTEIDGPDCHKETHTKCSMLGLDVKGGADHVGDVFLTMTGVAKAVPISIESIDIFSASKTQLSKKLRLEGIELKPWPPPTYSGVISGLEFQPTPWPAFIYCWLEEHLLDPWPPPTEWAELKPWPPPHENGILPLLISGFTHILVDRKAISKFWKAIWSESGEEGSLFVPKLYELHLSGLLQHSCLSIMRPIPGLFVKLIQDISPKNHHQAYVEAQVVKNSWKTLVKISHAAYCETTSHVAKKWATDLKGQTKLEDDEFDWNTLGTLVQEVNYIELICDRDSYGCSVQKCYPQSILFMHNGWCFGGLLDWNSKQYRNSMLIVNPLELMQVLLVPLVWDPDALRSMGQIGSYALQPENCQLTTCMRAHCIKPSDYEIITAKENHAADAPRLLIDVMEDEGNTVWTLEFSVSGVVQKKRLKRDLWSCAFAVNKDMKVVMFLQQYGSANLVIVNLLSVPWDPGGSHLALAIKQGTRLSLWAITSIGWLCFLWSYWLHYKRNSNRGDQ >KN540380.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540380.1:17113:19166:1 gene:KN540380.1_FG001 transcript:KN540380.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKAAVVPESVLKKRKREEQWAADRKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVALTVRYALCSINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >KN541301.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541301.1:25453:26544:-1 gene:KN541301.1_FG002 transcript:KN541301.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWLMVLATVAASVTYQAGLNPPGGFWQEDDRAGDPVLRDSVAARYKTFYYFNSTAFVTSLVIMVLLMSERFYRTETKVAALVVTTFIDLASLVGAYIAGSTRFMSSCAYVIAITGVAFVSVIAMGEVMGIVCDFFRGRSPCMSSCYPLHGRAEGDGLPIHKAEDEEQGGGAAFHISVLRFSSCGMLGLFMNMELFV >KN541301.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541301.1:10291:11437:-1 gene:KN541301.1_FG003 transcript:KN541301.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEHGGRSSSSSSTDPELDQHNKGILEKMHKCLLLLAILAATVTYNAGLAPPGGVWADDADGHVAGDPVLQAHYPVRYSVFFYCNATAFVASLVITMLLLSSTFSFHGYRVRALQAAMALDLIGLLGAFAAGGCRSASILPRALQKD >KN541835.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541835.1:8391:17996:-1 gene:KN541835.1_FG001 transcript:KN541835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGTDAAADPKKRRRVGFSGIDAGVEANECMKVFIGIELAHPSIFLLVTYLPLVFDTARNPDEAGSANSTSLQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHAYADISFEETSDGGKGITDLKPVLQNIFGENLVEKDEFLKTFSKECEYLSNVVTDGNVIKHGASIDEDSAVEIVRVELQGAAAFLYCRLVPLILLLVEGSTPIDITEHGWEMLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLHLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKSVDNFRACIYDRIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >KN540380.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540380.1:44768:52547:1 gene:KN540380.1_FG002 transcript:KN540380.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSLVGNLIAGISALVEKEATLLQSVPENTRFIKDELQTIGAFLGVVENMKENSPKHHMARIWAEQVKDLAYDMEDCLEEQITALTTHQSSSWSQYLTNYRTLRRFAARLSDLRSRIDEVSERNMRYHLIAADQPMIDPTNFMMALDNLLSRSVKSSTKKVTTDDLKKKVVPWDEAHKATVSNDETKTPNVIAIVGMCGSGKTTFVREIYEDEKTTGNFESRIWITVSQDVNVAEVFMDMIVQLSDGSSSQAEYTGEEDKLAHHIRDKLEQKQFLIVFDDLWTKHDWLSIKRALPRVCKSGSRIFVTTEIVHVAKVCTESTDHVFWVPLLSKRESFKLLKDLIRASEDSKMSPEDKEDFEELDLDNLKIPEPPFNTIAQILRKCSGLKLAIQTMAKLLASESPHKWGKLCDDFPSLLYNHPNLEDTRKVMIQRYKCLPPCLKPCFLYLSIFPEKYDINVATLIDRWLAEGLVRDTTGNPRDTAGRYLSELFDRSLLRVSKLRRNRSCKTCRIHPMMRDILVKISQEEKVSITISPRKSSNLPVKRVPHVAFDGLSGRKLARCVELSGVRSLTVFDEPSESIGALICASQLRALRVLDLSNANFQITRRDVGRVGELCHLRYLNLYKSQICELPSSIGKLTFLQLLNVRKTGITKLPSEVTQLSSLQSLRASRRTQDSCHNRRNRCCRDSGVDAPKGIENLQDIEQLDIVDIKDSSSSKIKALGKLARLKHLGLTGITMENGKMVSTVLEKISSSLTYLYLGACRNDGTLACLLISEKKTKGEKKEKGSLEFPCLQSIKLDGHIGKTPYWISNSLTLAVIKIYRTNLQQSDIMSLERLPCLVTLALLDNSYISDTLVFYAKAFRTLKTLEIFRLPKLKRVIFTEEAVLELRSLAIRCCTLRVEGKKNLKKLWDGHLDNGVEVV >KN541835.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541835.1:22316:22663:1 gene:KN541835.1_FG002 transcript:KN541835.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALL >AMDW01037837.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037837.1:349:702:1 gene:AMDW01037837.1_FG001 transcript:AMDW01037837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLPSVLPEKRNISVQALPSSMAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHDHEDSPISTQSDQQ >AMDW01019965.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019965.1:15:291:-1 gene:AMDW01019965.1_FG001 transcript:AMDW01019965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHED >AMDW01040581.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040581.1:42:1628:-1 gene:AMDW01040581.1_FG001 transcript:AMDW01040581.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PCANPEQVTGRPFNATSIGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNLLGAGGGTNIADALKKAAKVIEDRNYKNPVCSIILLSDGQDTYNISSNVRGTHPDYRSLVPSSILNHSICTVPVHGFGFGADHDSDALHSIAESSGGTFSFIEDESVIQDAFAQCIGGLLSVVVQDMRLTVECVHPSVQLHTIKSGSYLSKVAGDGRNGSIEVGHLYADEERDFLLSLSFPQSRDQTMLLKVACAYRDSVTNEAIKIQADEVKILRPKSPTSEPVCMEVDRERNRVRAAEAIEVARAAAERGALSDAVAILEHCRRILSESFSRKSGDRLCISLDAELKEMQDRMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSTTLVYSYQTPSMVQMLQRSQNQCPSPPGPRPQLRQTRSLLEKPHPSQGWRYTGNGEVSLE >KN539737.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539737.1:31959:32342:1 gene:KN539737.1_FG001 transcript:KN539737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGKAPEEATPIEAAPKVALETTMISNKEVVADQAPEKVVEEATAMAELPPNDDAIVMQDKEEEVEEKIVEEEKPSAPAAEVNNTEVVEETIEVKNTEVDKGTTEVKNTEEEKPIQS >KN539737.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539737.1:72642:76019:-1 gene:KN539737.1_FG002 transcript:KN539737.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDRMITSPLLRPSTSGSSPENGEEEEENSPVEQVALTVPVSDDPATPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHLITGVRVFYGKTLSFFISLLVVLTTQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAIGLDWSSISSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDNKAYEKNGPLYLSTSLLVTYGVGFATLAATIVHALLFHGSEIWLLSKSAFQEKRMDIHTKLMRRYKQVPEWWFIFILIANIGMTIFACEYYNEELQLPWWGVLFACSIAFSFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGIVVKGCADAVYT >KN539737.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539737.1:14082:15809:-1 gene:KN539737.1_FG003 transcript:KN539737.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSLLTGFPEDFGTVGDQSIMGREDDEAGRGTTVPFLVAVRFVLALAVGAVTFVVIVMVVATVSRPEEIQLSIDRGYIPVLYDTDIRHPVTIEPGLGGQKFWKSSSEPTNMQVQLAVSLTASYSSRRGHQNMINCEDIAVGLVDMTLSPSSWASGQLPAEINDTIVRFKVNNSFTLYKDTSHTEATTVDITNTTQSANIMARLHEEDVFKVLVMVHIITPSSTNSPPSPAPPPSRSRPNNDPAHTFYCWPITIGYGYRTLQETDDVGCKSIGSSEVPGIYDWAQATSVMRSFVP >KN539737.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539737.1:42006:42548:-1 gene:KN539737.1_FG004 transcript:KN539737.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSFRNLKQLRRILLWKSELDGRAIEILGTLPNLMLLNLRSESYVGNELAFKKHEFPNLKELRISMLSELRGIRFEKDALPHMERMEIEICELRSGIVGIKHLQKIKEISLGERCKVAGLDLLEDEVKAHPNKPALQLHEDRSKINLGSPVVLTEDQGSSDEGKAKESVHDDAGESSGK >KN539737.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539737.1:62200:65354:1 gene:KN539737.1_FG005 transcript:KN539737.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGNAAFCSDDCRQDQMDMDSALAAVKRRHRTLQRSRDMSSSSSPAPAAGQCAANEAGLFAGLVGIGDLDAALKVLDEMPGLGITPDVVTYTTVLSAYCGKGDIEGAQKLFDDIIASGRRPDVTMYTVLIDGYCQCGNLQDAARIMDEMEAARVQPNEVTYSVVIEACCKEEKPIEARDFMREMLGAGYVPDTALGAKVVDVLCQDGKSEEAYQLWRWMEKKNVPPDNMVTSTLIYWLCKNGMVREARNLFDELERGFKPSLLTYNSLISGLCENEELQEAGRVWDDMVERGYEPNAMTYEALIKGLCKTGKPNEGATVFEEMVSRGCKPSSLLFQVLVDSLSEPRHEDTIRKILETAALYGRYFLDGDSWEIFVRKVVNATDTSNKHLDLVLDM >KN539737.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539737.1:9110:9743:1 gene:KN539737.1_FG006 transcript:KN539737.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQWRIKKSSSPVTTKLPFALFGREDGEETMRPLKLNVGTIAFCCGTASHPGRRWSRPTAHCLLELSRCVNRPNWERLVRTKISCIAHDDLVHGEMKYERWPGSNFGF >KN539737.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539737.1:79550:80734:1 gene:KN539737.1_FG007 transcript:KN539737.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLKESYSLIASMPVEPDAVIWGALLGACSFHGNVELAELAMDKLIHLEPQNTANLVILSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELHGKMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >KN539737.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539737.1:43960:47798:-1 gene:KN539737.1_FG008 transcript:KN539737.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGSAISAAAAAASEEMSLLMGVQKEIWFTNDELRTIQAFLIAAEAMKKKDLLLKVWAEQVRSLSYDIEDCLEEFMVHVRNQSLLQQLMNLKDRHRIAVKIRNLKSRLEEVSSRNTRYNSIKMEENNTFDEIESMEDVRNHSPSNIDESKLVGFDTPKKELLDKINMDANDDDHCRVLCVVGMGGLGKTTLVRKIFESKEDIINNFPHRAWIVVSQSFSMIEMLKDMISQLLGHESLKIFEGKPIRAHDLGTHLRDGLKELRYFVVFDDLWNTDHWEWIREFALPSKNNKRSRVIVTTRLDGVANACTTEPFVYRLKLLEKECAIDLLLRKIGKNKEDMENDDKLESIVTQLVKKQLPSELENNPSPGVKAIRRVVTLSYDHLPSHLKPCFLYLSIFPEDFEIKRRHLVNRWVAEGLVRARVGMTISDVGESYFDELISRSMIQPSRVNVAGRVKSCRVHDIMCDIIVSISKEENFVYSTGDNVSTVIVEKFRHLSCHGGNYPIVGMDFSRVRSLTVFGKLDQKPMLVGSSICLAQFTMLRVLDLENARFGVAQKDINNIGFLRHLRYLNMKTGIWSNNIYALPSSIGKLQNLQVLDIRESEISTLPTDIIGSTVRNETISELHRAYSSHWSGTLGVRVPTGISKLKELQVLEVVDLKLTKSKAIQELGELHQLQKLWVTTKGAHDKKRKTLCEAIEKLSSLQSLRVDEGRYYGSGTLEWLGPCNFSPPPLLRKLELYGRIRVMPDSFRNLKQLRRILLWKSELDGRAIEILGTLPNLMLLNLRSESYVGNELAFKKHEFANLKDLRITGLYKLRGIRFDEDALPHMERMEIVHCELSSGIVGIKHLEQLKEISLECEVAGLDLLEEEVKAHPNKPALRLLRDRSKIDLGLPVVLTKDEGSNVEEEAKESVHDDAGESSQVISSP >KN539737.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539737.1:18071:18667:1 gene:KN539737.1_FG009 transcript:KN539737.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIGTLALLAVVCAVIVVLRPAHLVFAARVIGVSGGGGEKGIRINIIANNTSKHARVQYRSMKTEVWIDDKQWVPVDFDDKTSDQFRGHRWWQPPDNSTHLIALVHVLEADEKTNHPPPPAGKLAGDTSATAGGTSPSPASDKKEYTVVIKTQVQFRYGPARTRFYSIVVTCPSSANASFSSVYDSFYYSRNDHCT >KN539737.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539737.1:4572:6845:1 gene:KN539737.1_FG010 transcript:KN539737.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGGSSYEYLSCQKTTGMIWNLTSSYTDKSNEASMVSASLIVFALAALFFNLNLFSGISDVGAILDPKLVQRMTYTEVGKRSFAYGKNAKLVISYMAQMLQNDVEVEVEHHPHGGDELLRRCKYLVMGEEGLVIEPINSGYRITGDIDAVTTVGKIWTLAESDHLIASLDKDHRLRRLCLSFALFKLLRRSFERLPAMTEAETRHCRDLLFRGLYAGAGDGGGGGGGAEALFEVMSDEANFVAEYYHSVVPVVLASPFFLLANYVLLPLVVLVLCLVVVVLCGNGDVLFSLRSIESDNYTMSSGGMATMARCLLRAVATSPAAFFTAIDLSITSLLFLVLVYEEVWEFIVFLLSNWFMEKCPPAASQSDDAVVARTLSRYMAYLVAFHPELLPGNQDSTELVFQAMNDELKQVLGFWGYHLPPLLGRTRRKIFARVALVEENGGPEARVKGKERGKLT >KN539090.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539090.1:53356:62805:-1 gene:KN539090.1_FG001 transcript:KN539090.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEDFQASVEALPAMLQRNYSLMRELDKSLQGVQTGNEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEELRQGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKQLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVVLKPSTALTSMEHFQKNGHDNLDDSEAPAISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRSYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAELVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLKKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKVKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKIDIAPTVVPVNEPEATSSSKPPVITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFDNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVAYWVVGKEIQGRSWPEALRLEVDEISTEISGEVLDELVGEAFSELAGCH >KN539737.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539737.1:65865:69603:-1 gene:KN539737.1_FG011 transcript:KN539737.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQEDREEEEEHSHGDDEITAPFLRPSTSYGSPELEEENSPIEQVALTVPVSDEPATPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERRFFPGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVVDDQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEARSKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRMDIHTKLMRRYKQVPEWWFVCILIANIAVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPVLVWFAHKAFPNQNWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVVVDGCPVYT >KN539737.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539737.1:84055:85857:1 gene:KN539737.1_FG012 transcript:KN539737.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPDAMRRITVHYVNPPPVAGAGEAHVDGLDDEVLDYVIGDVLQDQEGLYQSILYGKYGDDMRGARNTALAQSDGLHYYYHGENSSGEATTSRNSEIDQQIEYDLPDAMRRITVHYVNPPPVAGAGEAHVDGLDDEVLDYVIGDVLQDQEGLYQSILYGKYGDDMRGARNTALAQSDGLHYYYHGENSSGEATTSRNSEIDQQIEYDLVFARQLQAMDNLTIETPADEDD >KN539737.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539737.1:28623:31052:1 gene:KN539737.1_FG013 transcript:KN539737.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTNGGDNGTQYCIDMILANYVQNLTSSYANKSNETSIVATSSVMFILASLFFILNLFSRVSDVSAVLNPTVRLFLSSSLSLFLPVMSYLFSEAKNDDAAATAGSSGQQTELSLRARTILTWMLLVELLRTKVETVLVINTGAKGYSTTIEQASRIAWQGYLIFFNLKSSGQKVVFGFLWVIAASQLFQRVTINEVLKSSYAYGKNAQQELEMEAGPPEDSSCCELNIQKITISATATTETDVVITVGKIWSLAEVRDSPLQRDHRLKRLCLSFALHKLLRRRFENFRFTDAEVRDCRHLIFTGLCSDGTDREAIAVALFQVLRDEMHFVCEYYNSVLPVVLSSPFFLLANYLMSPILILAFFLLTFIACNNGDWPYAIYSITTDNLLLRTGINYTVRCLFHYIRTSPPVLYSTVDLAITLLLVLANIYEEIWEFIVCILSNWFMVSLIHLYARNPQRSRLSPTFKAIIRRIIWVRNLMSQPRLQFNQLSMLGGGFLPCRHPFLLQPKIVPKEVKKSIMEYLMNHIDGHAPLSNGWSTMQANYPEYHSKLSWVCHNDNVTEVMLTWHIATTILEAKFPKQTGATASFQAHRTVATTLSKYCAYLVAFKPELLPSNLDGTQQMYGALKKELKATLGCWRYYFPKEIVGRRVAVEKLMQDESQGKLEGKMPLMCKGARAGRILFEKATLVDNEAPVWEVLAHIWTELIVFIAPSGDDEVQVKAHRDALGQDAGEFISVLWALTTHTGVTRPCVKPWALIPVENLA >KN539953.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539953.1:2372:4336:-1 gene:KN539953.1_FG001 transcript:KN539953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPIAGFSRRSPAPAAADGRPLTVGKTSKAAFKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAVSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKTVQIPYKVPYMTSFTMVYTDNTPPTSNIPPHVLP >KN539090.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539090.1:99196:104491:-1 gene:KN539090.1_FG002 transcript:KN539090.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/Swiss-Prot;Acc:Q7GB25] MQARAVGWGRFPVLVRVWWVVSFVLCVGIAYDDSRHLMGDDDDDEVDYAHMVANFASAPALGFLCLVGVMGSTGVELEFTDDDSSVHETLLLGGQRRDADEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLMAHKDRAKSCYKAMSSHYERQRMERPGSEPSLAWAILKSFWREAAINGAFAAVNTIVSYVGPYLISYFVDYLSGKIEFPHEGYILASVFFVAKLLETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNSSRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLKLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLQQEELPDDATITVPHGSTDKAININDATFSWNPSSPTPTLSGINLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVRISGSAAYVPQTAWIQSGNIEENILFGSPMDKQRYKRVIEACSLKKDLQLLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILTALASKTVIYVTHQIEFLPAADLILEAIETMEFSEDSDEDTVSSVPIKRLTPSVSNIDNLKNKVSNNEKPSSTRGIKEKKKKTEERKKKRSVQEEERERGRVSLQVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLATAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAVACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENSRPSSSWPENGNIELVDLKVRYKDDLPLVLHGISCIFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKVIIDDIDISRIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEECTDQEIWEALEKCQLGEVIRSKDEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >KN539090.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539090.1:23637:29903:-1 gene:KN539090.1_FG003 transcript:KN539090.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPREFFLPPSRRPLPARVADLHIASKAKQEEGPRVDPPMVLPQQNAGFMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVDRTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATTAAALLKSSNQGCTDILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVIHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNKTIVHSKSNVQEKEEVCGENSSEKTWLKLLRDATSGRDYDSMDKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYAFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKGGGSTTHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYISSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPRQEGITISQDANAFLQNV >KN539090.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539090.1:64808:69689:1 gene:KN539090.1_FG004 transcript:KN539090.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQIGSRLALHRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSNRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDEVVTNLKGMARMISTSEEIAQDGNTLYNELEVVEGMKLDRGFISPYFITNQKNQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGESRKANLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGSVIIGKLLEQDNTDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEEAAPAMGGMGGMGF >KN539953.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539953.1:65294:71550:1 gene:KN539953.1_FG002 transcript:KN539953.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGGAAVQTRSLVRGGAGRESSYGGCESKSGPGPPRRRPTTVASGLLGSPRRSPVTKRVVDPNPGTLNLEEIFPQVTRSTPGGWIWVRKGTAHSPHLGFPASPSEVRKFGDSARRIWRVPERRCDHRSFAEVVMDRRPPQQRAEWPASKRRAMDQGGGGGWGNRAVKEEEDLRRQLLAQAKGNQGGQWDWKVKQLNDKEYLISFPSDEVRSKTSTCKSFDFDCFPIKVSVVETRMTEEAVDELVAVWVKIFGVPQIARTEDAIKSMVELIGEFEALDGESLKRDGPIRVRLACRDPRELHFTVHIYINKVGYRIRWEPEGYLPYGGDQIPPGDDDDKDGKDDSGNEDMNLDESFDDQPTMRGRQTERGNSGTRTPHSAPPDYKMKGTMALLSRPIKKLCTRVKEMVSENTPSEEIKSLVLWQPELTMEQESQEMILPLSGQLLQKNEEVDQVLIFQIIPHDELNDIAIAANISLGDSESDIRSNIDTIKAKELVQARLAEANWQAELKKKEKELCDNNDMCKEVDFPEEIGSLNPELNKRGSKSFVWGWKAARGTAGGILIGVNSDKLETGLVAEISLLGSEALSFRRSFGPRELEEWQDLSEIIDNITTIQGPDTLQWGLKENRVFTTKSMYRALTFRGVTDINMSLIWGAPCPMKIKHFIWLAMKNRIQAAANLVKKGWEGSALCQLCGVIETTNHILFHCPMAIFVWCFCRDVLKWVQIPLNFDDFFLLVNLRAEFKCLNVKLALFAAICWTLWTTRNNMVFRGKLIHSPLILPFQINSLLFQWKVLCKKEETGEWERLTGRLKEVGEALMLSTPVKLFNATSLCPLYGVKGLP >KN539090.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539090.1:81315:83668:-1 gene:KN539090.1_FG005 transcript:KN539090.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.2 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/Swiss-Prot;Acc:O64495] MGFRLFFVFFHLLFFCLFPVLGSAEAEDLQSYIVQLHPHEATASSGDAGEAVFASKMHWHLSFLEKSVAWEREKRPSSRLLYSYHTVFDGFAAQLTEEEAAALRELPGVASVRADRRVELHTTYSYRFLGLDFCPTGAWARSGSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATTRGVSVVCAAGNNGPSPSSVANEAPWVITVGAATLDRRFPAYVRLGNGRILYGESMFPGKVDLKNGGKELELVYASSGTREEMYCIKGALSAAIVAGKMVVCDRGITGRADKGEAVKQAGAAAMILANSEINQEEDSVDVHVLPSTLIGYREAVELKNYISSTRRPVARIVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVVAPGVNIIAAWPGNLGPSGLEGDARRSDFTVLSGTSMACPHVSGIAALIRSAHPSWSPAMVRSAIMTTADVTDRQGKPIMDGNGGKADAYAMGAGHVNPARAVDPGLVYDIDPADYVTHLCNLGYTHMEIFKITHAGVNCTAVLERNAGFSLNYPSISVAFRTNTTSAVLQRTVTNVGTPNSTYTAQVAAPHGVRVRVSPATLTFSEFGEKKSFRVTVAAPSPAPRDSAEGYLVWKQSGGQGKRRVRSPIAVTWVVE >KN539953.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539953.1:36550:37032:1 gene:KN539953.1_FG003 transcript:KN539953.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKTIFQAPHYTTGIKSVLARIGANKAFMLLVETAIIQRGRKTIAHPWWYKMNSLKEIMKQLAKLKIHGPNNFIIKSLIMERTYKHGVVG >KN539090.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539090.1:9033:9465:-1 gene:KN539090.1_FG006 transcript:KN539090.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIKDKLPRRVEEIQAMLDVVDYLKIKKGNAIEGAEYAVDSIEYKLEEAVKVHKLAYKKQDLISLIIKCIDTFKKIVSKDMEKKKGLSNSWHSQKLYAA >KN539090.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539090.1:128085:130034:-1 gene:KN539090.1_FG007 transcript:KN539090.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYVTMKALMVAMLTFFFCLLSSFQKVCAICLGGIRTGGQALFTAECSHEFHFHCISSNVNHGNYVCPVCRAEWKELPFQGTQPADTAYGRARVSPVNWPQDEGQMSVVRRLSRGYSGNLQQQLAVFRTPEASIFNDDENIDPQSETVDDHNAVTNSVEIKTYSEFPAIQKSERRKVFAILIHLKAPKSLDSVSSRAPLDLVTVLDVSGSMSGIKLSLLKRAMGFVIQTLGPNDRLSVVAFSSTAQRLFPLRRMTLTGRQQALQAISSLVASGGTNIADALKKGAKVVKDRRRKNPVCSIILLSDGQDTHSFLSGEADINYSILVPPSILPGTSHHVQIHTFGFGTDHDSAAMHAIAETSNGTFSFIDAEGSIQDAFAQCMGGLLSVVVKDMRLCIECIDEGVSLTSIKSGSYSSQVAGNERSGLVDIGDLYADEERGFLVTLHVPAAHGQTVLIKPKCTYLDAITMENVQLDGEEVIIQRPAYCVDCKMSPEVEREWHRVQATEDMSAARSAAEDGSFSQAVSILESRRRILELHAAHSSDSQFLALIKELREMQDRVESRQRYEESGRAYMLSGLSSHSWQRATARGDSTELTTLINTYQTPSMVDMLQRSQTILPSVVEMLNRSSTVATSKSFSSYLPTSRHIA >KN539953.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539953.1:14886:20519:1 gene:KN539953.1_FG004 transcript:KN539953.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRSPMVGLETPRLGRRALLVTLFHDSLVRSNSCRFMPSQADEEAHQLSYLQKHMVNILSLLADSVDGEGDESMVLTAETFEHLGFLLQFSEGTPLSQVATFFANSDPDMPAAPVPAAQVHDWILQNIAASLENTAEKLTAKENSQQSASDPDVTMAEAVTNSRIHSSSPTGTAVPNNQGHYRNTTFLEGFSKTSVVKQASDIKGHSIKVLNCHDSVIYILAPVKYATVYGCSDTTIVLGAVGKVVKVEHCERVQIIAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAQVGVDSNINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFEAQGPTKYNPFTLPEVYWASQRKKHVSLEDIQKNIRELELDDTRKKELASALHAQFKDWLYASGNIRQLYCLQGE >KN539090.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539090.1:70704:74324:1 gene:KN539090.1_FG008 transcript:KN539090.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPTPQLELFNSMTKKKELFEPLVEGKVRMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSSRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELITKIMENGKAYAMEGDVYFSVDTFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEEVLSQYRGENISVPVPVEEQEMKLQQKLEQQKKKQQQQKQQKQKQQQAQKQPEEYIQAMFALETEIKNKISILGLMPPSSLAEALKQLKDKALKRAGLTEELLQEQIEQRTAARKNKQFDVSDQIRKQLGSKGIALMDEPTGTGFKFTGQLKLAFLVV >KN539090.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539090.1:31781:37050:-1 gene:KN539090.1_FG009 transcript:KN539090.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGASGWLRGKVKGVTSGDCLLIMGSTKADVPPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNVGREFGTVYLGDKNVAYSIIAAGWARVKEQGPKGGEPSPYLTELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQSPSMGRRPPNPTVVAAAESTADGATNGGDSEEAPAPLTTAQRLAAAALSTEIPPDRFGIEAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYSDGDTLKDLALELVENGLAKYVEWSANMMDVDAKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKMGNPRRDEKPDNFAREAKEFLRTRLIGKQVTVEMEYSRRISTVDGQPTTNTADARVLDYGSVFLGSPSQADGDDVSSIPSSGNQPGINIAETLLSRGFARTSKHRDYEERSHYFDLLLAAESRAEKAKKGVHSAKESPVMHITDLTTVSAKKARDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKDEPYSNEAIALMRRRILQRDVEIEVEAVDRTGTFLGSLWESKTNMASVLLEAGLAKLSSFGLDRIPDANVLMRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSADNSWNRAMIVNGPRGAVSSQDDKFEVFYIDYGNQEVVPYSHIRPADPSISSSPALAQLCSLAFIKVPNLEDDFGHEAAVYLNDCLLNSQKQYRAMIEERDTSGGKSKGQGTGTILIVTLVDAETETSINATMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLQIWQYGDVESDEEEQAPAARRTGGRR >KN539090.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539090.1:2169:6614:1 gene:KN539090.1_FG010 transcript:KN539090.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEIEAAAPIDRAPPLRRSTGRGRRRSAKHFLVSLKAPRKEGKKKSDSLMKFLRSSILAIHGYAKKKLSDIKGKQPNYPNQNSKAIISKSPPHVGHQKVPIDKAFIHYFGNIHNALQHGYVPLHLAHLDTRYSELRPVRRDGEGFYRSFMFSYLEQVADRVDTREEDRLLDAVRKLATRAEHLQWASEFSQRCEAFETLIEKIKKLKCMSEQPTSAIRGELLLELFSSYDTTDDIFAFLRLAAAIWICTHKGLYGQRVTGLGEGVSLEDVSICFELAMQWCSTQVIPPRVHADHVTMSALSRALGVAVRVEDTLDGRKKDLMAAELQSITRASNPRFRKIEDMYCVARGTPRVTLVRMYSHYDILYPVPPGATTSIGPNLLQPERQQQQGGGGRSQHPPPPAVQTSSRRAGQGDADRAESSSQGAARASWFRGCIRGSSKEKQS >KN539090.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539090.1:85665:97806:1 gene:KN539090.1_FG011 transcript:KN539090.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQPQQQQISASSTSSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEGQGGGKMVILEVGCGVGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDRVHAFACDITSEQLTENVQPSSVDVVTMVVCFAPAKMPLVLQNVRNVLKNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLMDLFSTCGFTVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQGPNGQHDSHTCEDEKDKLTANASQEKSTSEEIDLSEDFNNMFGTSHNLDEAQVLRIKAKGHDFEIKMLTKEHQHTCKLTGLMLWESAQFMCSVLAENPSILAGKRVLELGCGSAGICSMVAATVAQFVVATDGDAESLDLLRENTASNLEPDLLKKILIRKLFWGDKDDLKAVRELSSGGTGFDCIIGTDVTYNPDAIFPLFKTARELISNKDSDAALILCYIQRRVDEDSILSTAMAQGFRLVDKWINGIHESNGIISSWFCGNDVCSSFRNATLSILYFQFMYGTDYKVGPGGDFSKGTICIGELEVASITKFQSIWSFSGATFYEPQEIPDGFHCLGHYAQQNDRPLQGFLLVAKEVASCESINLKSALEKPLDYTLVWTSTDLNDDDNSDCGCFWSPSPPDGYEALGYVVTRGPKKPSLDAVRCVRGDLTDECENFKSITNMGGNCYIWNTRPCHRGMAGRGIPVGTFFCGTDTEESDIPCLKNFDSSLSSMPNLEQIKALIEHYGPTVFFHPQEIYLPSSVSWFFENGATLHKKGKEMGDVILASGSNLPAGGTNDGEYWIDIPDGDRNEYVKAGNLKSAELYAHIKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRISNFSGELLSIYFSQHSGGDWVDACDLEFISGNKAIVYSAKDGHASYAHPGCYLLGSEKAGVGVRNDAARSDISVDSSTRYKIISAGHLGDAVVEPCWLQYMREWGPTMEYNSRSEIDAVLSFLPFFLRFTAEAILNSLPVELYEEEGPTGPKEKNNWEGDERG >KN539090.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539090.1:137430:141944:-1 gene:KN539090.1_FG012 transcript:KN539090.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAVMAPPPALKYQRCNNVDGLVSVEALRIIVETKACFVALALALAYFLTASRHRLWSSSHLIKGFLFAVTQPVTRFLVSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVVLGLYVRYYSHASQFRYPLWALWALMVAKFLERIVRFKIANKRYGDGNISRVAHYMKHEHKLSERSNDQQSSSESSTDTEAAGEHELSGSTSTDIEAGEQGFHMKNYNYLIVGDSKLDGEKNTTRGIYEPELKPVTHTVTVAKVWEYPGNLLGPDKKGRYKLKDVCLSFALCKLLRRKFAGVEATKSELRKARKLVFDGLITSDIDEERTFRVIRAELGFARDISFTKYPILFSCGFPVVSVVLFAATLGVSIWIIASAILHYRVPRGSSPNLVHGKNVDLSITFGIVSMVTAMDICEFSMHLSSNWTKVMVISEYVRNRYGRCYLLDRIIWLVCCGNIAEPIGNSLGQFNLVYGAKRGCIPTCVIKVYHAVRSFVLLNNDGEYRIMKGKTIRVPDEVKAAICQTLMANKTELTQGKPLPKTTSILQRYGRLPTAIETIVVWHVATCHLQKLVDESQRKSYEVATRLSKYCAYLLFYKPKLLGSVGNNSVRYTCKTLVQEATAARGSGSGSGSGSGDDNMMMRKGKALADRLTARGRVDWTELAEFWSELLISLAPSGSVSAHEKGLGDGGEFITHLWALLYHAGIDDNGSSCQKLNADTSHFYFTSCSLRSFKNKIDASVDALDILNPVHRIDLVKP >KN539090.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539090.1:42221:49540:-1 gene:KN539090.1_FG013 transcript:KN539090.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLEVLISGAALLLGTLQNFLDLFSFDPNEMLNGNDLFPMDCVSKCRRMCRGPHGLTYRERCEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQNSVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKMNRLLNIFKMIILKSSDGADNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKADRSKYSQLVFLG >KN538720.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538720.1:166757:174993:-1 gene:KN538720.1_FG001 transcript:KN538720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPAPKLQPDERSNLLSLLAAASRPLADVVADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKLEQAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYGMCADTSRGAAIRDLIARALKGPLAPSQQEDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >KN538720.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538720.1:218281:221848:1 gene:KN538720.1_FG002 transcript:KN538720.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVASGGAAGARAAFRRTLGGRPGLRVAYGLVGGSQGQGFRRKNDEISFPIRAQHVAYGSNLIVRGSKSRDFSSKLASTNGSSKVSEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDAVISFCRNWGVGLVVVGPEAPLVAGLANELVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYHIPTAKYQTFTDPSDAKKYVEEQGAPIVVKADGLAAGKGVVVAMTLEKAFEAIDSMLVEGSFGSAGSRVIIEEYLEGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKCKVMESIIVPTVKGMAAEGCKFVGVLYAGIMIEKKSGLPKLIEYNVRFGDPECQVLMMRLQSDLAQVLLAACRGELGSVSLTWSPELATVVVMASEGYPGVYKKGTVIKNIDEAEQVSPAVKIFHAGTALDRDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYRRMVAH >KN538720.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538720.1:138826:142921:1 gene:KN538720.1_FG003 transcript:KN538720.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTRVPQTTSPSVFTQFTDKPNIKNYQQSVTVRNNRNYNWVDRTKAEITRNSQSERCVSDRIDSEELRRKNTVEGSHYLQDFEKGKKSGTIGSEIGENKAQLLYDQYAFLNENERLVQGGQMSYTNLMQQIIQSPHVSLQANGDYFQPQGYLTTSLYYLAYGRSNSIIQKISIAPRLQVLNSEKNPAFA >KN538720.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538720.1:188351:193595:1 gene:KN538720.1_FG004 transcript:KN538720.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNATRNAKGYSWVQKKSKKSEKSVGKGQCTPCTSSNAAPKPATVWQARSGNGSLHPPENGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVMTAPLANGLQWVPKSRSSSSERDDAPTSGSDPEMDNGAPCPVVTAPVANGLQWVPRSHSSGSESNKNDAPTSGSDPEMDNGEDYDSYDDDSDDDMVDDTSGDFDSKAAEKNFETRKRHKLLKSIFELLEKLTCKNVRGGVTWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRTGVSMAPSGEFFGIWKGLRENTDRPIVWPPVVLIMNTRLEQDKAGKWKGMGNQELLSYFSKYHVKEACHAYGPDGHSGMSALIFEGSAVAYKEAERLHNHFVDQRTDRYAWLNHRIVIPGGKRQLYGFLAEKEDLEAFNRHHGTDYLKYEMKSYNEMVVTQLKQMSEDNQQLNYVKNEMVKTERHSKEVEEALGVETQKLQGAIEDNIILKRKTKEMLSECVEQMEFNAKFYHEQIERLRKDTEEKENEFERLLQEELARAIECDVDSETTENCSLREEQIQRIIDCQVKDAEEFDAEQDELIKAHVEKKANVKMEYMAKDVELEEELYAALTSLMEKHKPDIFQPSSP >KN538720.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538720.1:201804:204035:-1 gene:KN538720.1_FG005 transcript:KN538720.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MHNEIVETVSANRFPSNDIRTSDSEVTSSASYEPDHDNTKSDPLKHVEALQIKFLRLVHRTGVPPTTDVVAQVLYRLHLANLIKAGESDSKRTNLAINKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDEPKVATNALAPATERIRRIDGTIKGIRVTVIDTPGLLPHYHRQRKNRKILHAVKRFIKRSPPDIVLYFERLDHINSRYCEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDSYARYCKNVVQRHIQVAASNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPHPSSRSEDVGIDITEVSDDEDESDQLPPFRVLKKSEYEKLSKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLVEAQNVDASNAVGDDYEESVSPEVVHMSDMEIPSSFDSDYPVHRYRYLITDDMVFRPVLDPQGWDHDIGFDGINFEVSQDLEKNTTASIAGQMRKDKEDLYIQSECSVSYSGKNGRSLIGSMNMQTANKDLVCTVNGDARFHNLPWNTTGGGISITKFGSKYFTGVKLEDSITVGRRVQLVGNAGRMVGCGQVAHGGGLEMTFKGKDYPVREESITVAATALSFEKETVISTNLQSDFRMGRGSKVSVSANLNSRNLGRFCVKTSTSDHSEIALVAAVTLFQFFLRRRTASTDKGEQQFEFDTYSDE >KN538720.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538720.1:136053:136361:1 gene:KN538720.1_FG006 transcript:KN538720.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAAEGIHTWSSEVERLKTNLTEEKDKNECLKIMFVEEKDNNECLKTNLAEEKDKNGLLKTRRKTNMSALTPCSWRRKTRTSAFNLVFTKRTPSWEVSIR >KN538720.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538720.1:274969:278525:1 gene:KN538720.1_FG007 transcript:KN538720.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTGHATNGWWDFVAALLSSKTTLVAPRLVAITLDSSYGLLRKIRSDVNKVLGEDFERKRFYEELRLQAETRRRATSEEEPSTAAVVVTEEEDEESDDDDIPARGEEGYLERRRELLGRYCLTPARDPAGSPADSKIGEEDGGNWSPFLIARNLGRRITLSGRRTETDKAETTTTTRPAMRQRDR >KN539157.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539157.1:93969:98668:-1 gene:KN539157.1_FG001 transcript:KN539157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MMNTAAPPKNAANSNDDVWKYLQVGWLPQGPQSHFFKRRDWCAARHNEMVASGAVTSAAATAALLALVEAAAPLGLLDDSKLERAYMEKYLPLVASGMSEDDAHTLVCDMAEADSVLRFTSPAWGVLLWAAAAVAAIAVFVLLVVLPHIVCDCSTSDRVVMCVAVAFLVMIMAYKALYHLRERARAHDALAKSLAIFREVPKSKIRFCPSCGSPTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEILSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYLEDVKSGNIKFHYCTINKRIGTGASDLRSFDIDNHLAV >KN538720.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538720.1:291373:304570:-1 gene:KN538720.1_FG008 transcript:KN538720.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding NHEDDKVITNDDILGDAVPYDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNSNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMSINSQSVVKVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVVFPDEVDPPSISGKIVECSWNKEEDCWSCMRIRTDKSTPNDINTYRKALVLRHSKVMRSITDNITEDKLLEEIYEIMNLPMDFEVFDMSQIDGYSYSGSASEDTDMRAPFVVRKEGGKWNYEVNGTTRDYSLILSFSTLNCAGGRSSSVELYLVAYLVPTNGIILRSVRSDEPNHLLLSLPGLGIMSGRDRLPRRFIEDGRGYPDIRVVDERRGYPDIRVVEDRRGYHGIRVIEDHRGYHDIHEGLVMRVAPRSHTAMLEEEIEIQEAEFRRLMADHRALAEERLALHRELQAGKDEVRHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLQGEIDKHLVVKKELSAKYASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGSSKSSKIYLVPLLPTKNPEKKIVHISDENLVILIACWRSHTDSCLLRSIINRAVNSYVRCATYGMKLGSPEVTFPTPYGDNYNIHVGGSEKGHSHLPESSSWGTYDNTRLQYR >KN538720.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538720.1:195536:200749:1 gene:KN538720.1_FG009 transcript:KN538720.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGAARSRVGFMGWVGLGWVPPGIGGGWETVEKRVKKPAQQVGKGQWGQWNSPNAAPAPTAPWSGSGAFHHSGNTLVRHSDRRPARGTPRPPPQNRSTGAELQAPRGVVTAPLANGWQWGARSCPPGTESKEGGLPLSGCDPETDNAEGDDTSDDDNDDDMSDDLSDDYDSDASEKSFETRKNHKLFKGFFEVLDALSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHAKTKGSIKVKRHRELASLLEEELSRRGTSVVPSGEQFRKWKGLREGTDREIVWPPMVVVMNTVLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNRHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTALMDKHKPDIFKSSTSPST >KN539157.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539157.1:72559:75437:1 gene:KN539157.1_FG002 transcript:KN539157.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVVHQHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDCEDTMPIQDTYALCRVFKKNAICTEVGHGDTSGSAQL >KN538720.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538720.1:157280:159735:1 gene:KN538720.1_FG010 transcript:KN538720.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGYGVITHHKIQGGSQLAFYGVYDGHGGRASVDFVADKLGKNVVAAASAVDATTMSRHQAAGSSSPSQQRREEEDDVITAAIRAAYLTTDSEFLSQLC >KN539157.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539157.1:17711:21543:1 gene:KN539157.1_FG003 transcript:KN539157.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDAFIYVIIMSVVVVLLPPPCSADDRLVPETPVTNGTTLSLTESSNLVVSDADGRVRWTTNITGGAAGNGNTTAVLMNTGNLVVRSPNGTIFWQSFEQPTDSFLPGMKLRMMYRTRASDRLVSWRGPGDPSPGSFSYGGDTDTFLQVIMWNGTRPLMRDGPWTGYMVDSQYQTNTSAIVYVAIIDTDEEIYITFSVADDAPHTRFVLTYAGKYQLQRWSSGSSAWVVLQEWPAGCDPYDFCGPNGYCDSTAAEAPLPACRCLDGFEPASAAEWSSGRFSRGCRRKEAVRCGDGFLAVQGMQCPDKFVHVPNRTLEACAAECSSNCSCVAYAYANLSNSRSRGDTTRCLVWSGELIDMAKVGAQGLGSDTLYLRLAGLQLHAGGRTKSNAVKIVLPVLASSILVILCISFAWLKMKACKKRNREKHRKQILFGMSAAEEVGEGNPVQDLEFPFVTFEDIALATNNFSEAYKIGQGGFGKVYKGMLGGQEVAIKRLSRNSQQGTKEFRNEVILIAKLQHRNLVRILGFCVEGDEKLLIYEYLPNKSLDATLFNGSRKLLLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGSAGLKWSGILKNFEFYNPNDTFDCSALLCVQENPDDRPLMPFVVFILENGSSTALPTPSRPTYFAQRSDKMEMDQLRHNIENSMYTLTLTDVEGR >KN538720.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538720.1:128457:131036:1 gene:KN538720.1_FG011 transcript:KN538720.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQWWVTTRGLNPVPIWRGYKRASGGSKMIQAKLPDCTFGKKDGNVAEDSADSLSCLHLGSDRTENIGNNQAPVRSSVLHPTDSMPTDAMPLLLTPTLGPPIDAPQPETTAVVHESAGEASTTSFDWLKLTEMGYRAVERDRNEHLKTMLGEEKDKNERLNIMLALEKDKNEHHKTMLAEERDRNERLKIMLVWEKDKNEHYKIMLAKEKDKAEHHKNMLEEEKDNIKRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKAMLSEEKVKNKHLKTMLAEEKDKNERLQLSLHREEKDKNELLKTMLAEKKDKNDRLQLGFPKNERLPLGLQRVHTRCGGGGLRANRSDAGGGSGRRVRLSSGVHGSGGAVYGFDSRDASQGEEACRLAVMIQEAAATATAGGGDSDATAASEVCKAADMMHKAEAIDECGAVGGSDADFYSSLFLVLLCSCL >KN539157.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539157.1:117596:120310:-1 gene:KN539157.1_FG004 transcript:KN539157.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MGARGGEAGAARVAKGGGGGGGTGGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFFGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGFTRAFYHFGDGIFHVYLITICSIRAVSMLMFSTFEHTVDILYRNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEV >KN538720.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538720.1:208352:209962:1 gene:KN538720.1_FG012 transcript:KN538720.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAICILRFLLLVQFATGLSIDLWSLNCEGKNYKSNNIYRENIDFLLRTLPLKVSASDTLFVKVITNSTKEQLYAVGQCRGDTDISTCKRCITKAIKDIQQGRSFSETVMLFVDDVARKATDLSKGFATGREKVLGNEYNYTIFSLAQCSPELNSLECGTDQQYGVICATRDILSSRAPMLDQLIYQATVKPKGVELSGSKNIESFGDSRGEKDAELTESKEIEGFGDSRDENDAELTRSKQIGDFGDSGGEKDADPTRSKERRS >KN539157.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539157.1:4512:12328:1 gene:KN539157.1_FG005 transcript:KN539157.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQQPAPAAPAARQLAVAKKGKAPPKAAKRAPKKKQELLEPSSDDSELEQQQERLQEVEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEDDDEEEEEDDDDPLADDFLAGSSDDESAEGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGQCALPVFSTFCSYRRIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKTDPSSDDLQEQSIQSKIHKDVKKMNEETTILDGVTEDKQQTHDRPEKTLKNHKKGEKKRNGPGSGKKETHNEKEEPTSEKKHPVSAKIKKTVPKRTSGTKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKKWAAAKAQALQDLETRFIQQTATILSCYDHLLPEHIRLDLQEQHCNDHKVPDDLWLEFINAAFDGNPETLDREGGEQQLEVHARKEADKFWIEAAGAAKKAQALKEMEERFRQEFIKPGLDKILLELVESLPENIREDFFRVRYEIVDEVQEILNERVEQNFGVGDHEKRLKIRAWEESQRFRIDAAADKRAAKKLQALQDMKKGFILDRLDRFLRGSPKYVKQHLIREHTEYSVPADMQLRFIDDIERRFRKVDYQEVIKARIWEGYERSKMPLIKRSLVTAWSKRPPATEMMDHLMRPIPRPLGVTGSYSWAALWAAK >KN538720.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538720.1:283674:283937:-1 gene:KN538720.1_FG013 transcript:KN538720.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAAAAAGGGVVVSPSTAAAAKDSWPELVGVSSEAAKTKIGEERPELDVQVVPADAFVTTDYNAGRVRVFVDSDDKVARPPKIG >KN538720.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538720.1:161463:161824:1 gene:KN538720.1_FG014 transcript:KN538720.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRGSRDDITVMVVDLQPFLPLHLEVVTCTCVCNLGLRMLTITNVHD >KN539157.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539157.1:132864:135122:1 gene:KN539157.1_FG006 transcript:KN539157.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASMPIVQVLLIGVIGAFLASGYSKILTSSALRDMNKVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEESALPVSAKLAPEHNEENQMEAPLLTCERGIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGSP >KN539157.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539157.1:114178:116829:1 gene:KN539157.1_FG007 transcript:KN539157.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKSSNQILQELDALSHTLYQAHTNRRTASLALPRSASEPLRFEPRPFLLSAVAVEAPELDFGRSAVDLSLLVKESTDKSQQGERVRQWDMALPLAGKAKGGELVVKLSFQIMDDGGVGLFNQTGAATKTNSSSSSSLFARKQSKLSFSITSPKVSRPEPKLTPTKGSPSPDLRGIDDFKLDEPSLPSLAEAKQEQKEPEPPEPEEKADDSEFPEFDVVDKGVEGQEEENVVEAKAAAEEAAEEEAKEEKEAAEEAPTSAAGDEVVKEVVHDSAHAWRINELEAITNQIKALESMMLGDAPAAGKTEETRDDDAAALDADEEEVTREFLQLLEQGDGKGALAKSTRDGGYLAATNPFDIPVERKELPKLAMQLSKPVILRDQRLPGGGAELFQQLCAGGCEALFEKLAALIGTDEVVGKTAEQIAFEGMATAIISARSAALGASSSAAQTVSLLRTMSSAMSDGRQERIDTGIWNAHETPVTVDEILAFSLQKIEAMAIKALKDWALACVGAETVTMLLVAQLRDPLRRYEAVGAPSIVIIQAVRIAGNDDDDDEPKFKVANMHVGGLRLRSADRRNVWDGEKQRLTAMHWLVAYGLGKAGRKGRAAAAAGKSGHDVLWSMSSRVMADMWLKPLRNPDVKIPLK >KN539157.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539157.1:101396:104719:1 gene:KN539157.1_FG008 transcript:KN539157.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate-nucleotide pyrophosphorylase [carboxylating], chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/Swiss-Prot;Acc:Q9ZU32] MPAPAAAAPPNHNVLQLAPRLRGLVSLPSSYSSSSPSSNRLRLPRAASMSAQARVPVAPPAHPTYDLKAVIDLALSEDAGDRVLIFQYESDILDIILTPSGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKSVDQFLAKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEVWQASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >KN539157.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539157.1:63574:68462:-1 gene:KN539157.1_FG009 transcript:KN539157.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDMSPKAGKPLVQNDAGSYLAWSGKDQPALAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPVGVDARERVVRLEAGDVIAMRAGEAGDGALHREGIGDRRPDGGDRAGGAQRVAGIGGTAQDQVLNAGFDESGDGYYMAAPDMSPKAGKPLVDNDAGSYLAWSGKDQPALAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPVGVDARERVVRIEAGDVIAMRAGEVTWCPAMEHFTGKGSVIGGLTAEIVQAALNVSPELVEQLRAK >KN539157.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539157.1:23250:29973:-1 gene:KN539157.1_FG010 transcript:KN539157.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWMPNRRRLRPFVSKVCGSSGMAGEAGVHVGEETMTMPEVLSRVACGIGGVRRRRMGKTSAPATADGEDHSFGGGWEDIGSDGGSGFQQWGQYWRYANDDEVANGEEGQPRCRPSVECTTVSVAAEGAEVGSGEDAAKWRQRGGAPARTRGGGGKSEEKKRGLRGRGWRRNDVFAHGILGGEIMRWRKLARGWTQADVLRRFLSNIGSQIARKRPIFMDPSDAFTYVIISVVVVLLLPPPCSSDDRLVPGKPLTSDATVVSDGGAFAMGFFSPSNSTPAKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTDSSDLVVSGADGRVRWTANVTGGAAGSGNTTAVLMNTGNLVVRSPNGTALWQSFEHPTDSFLPGMKLRMTYSTRASDRLACAAECSGNCSCVAYAYANLSSSRSRGDTTRCLVWSGDLIDTAKVGLGSGHSDTLYLRIAGLDTGGTAKSDAVKIVLPVLACILTVLCISFAWLKIKGKRRNRQKHRELILDVMSTSDDVGKRNLVQDFEFLFVKFEDIALATHNFSEAYKIGEGGFGKVYKAMIGGKEVAVKRLSKDSQQGTEEFRNEVILIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNKGLDATLFDGSRKPKLDWTMRFNIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDAEMRPKIADFGMARIFCDNQQNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGIRRSSTSNIMDFPNLIIYAWNMWKEGKTKDLADSSIIGSCLLDEVLLCIHVALLCVQDNPNDRPLMSSTVFILENGSSSALPAPSRPAYFAYRSDESEQSRENIQNSMNTFTLTNIEGR >KN539157.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539157.1:81681:81992:-1 gene:KN539157.1_FG011 transcript:KN539157.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVPAMDVVYALKRQGRTLYGFGG >KN539157.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539157.1:99188:99784:1 gene:KN539157.1_FG012 transcript:KN539157.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAAERRYKEEHRKLMAYGMADGWAYGMADGWARDLMDKATEARWRVDLASSVWVILLCVAACLALGVGFPLVDFLVLPSSENAGRIILLVWELIGALIMAYYAWSHYRKRAAAQDVLAEAQDVFNQAGVSWPLPVYCFKKPSTDLYPDNTSPITIRITVPVPNTDNTSTITSSVDTDDASTVTSYMNVHPHTLPL >KN539157.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539157.1:106867:108239:-1 gene:KN539157.1_FG013 transcript:KN539157.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKWGVDGYGSMKGLIRLRSQAADAGGLPGEDSGSGESDVEEHVEVERRLDHDLSRFEMALSRIAPFLCRIVPRRRAAALLQGWQLARWEAFGISRCWVWAVGDSEEKRNFFGKVKIM >KN539157.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539157.1:111614:113440:1 gene:KN539157.1_FG014 transcript:KN539157.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKPDTQLFQLLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQTPKQLDELEIAAELDKLSARLDNVDKMISSAMASDPEVKSLLSTTADIWMPVITASADERRGFAGTSGESNQEEQESSKQ >KN539157.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539157.1:77232:79363:1 gene:KN539157.1_FG015 transcript:KN539157.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIDLDIIPEVDLYKCEPWELEEKSFLPSKDSEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGIRTSWVMHEYRIEESECENAMGIQLQDSYALCRIFKKNVVLGEFDKKGECSSSQAKGNEEQVTDFGDAGQSSGANENDKDNSWMQFIAEDLWCTNKLK >KN539157.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539157.1:33576:35187:1 gene:KN539157.1_FG016 transcript:KN539157.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLEMLLESPRVGLFVLVREWLEMKARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGGYVVVAVPCKDKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >KN539157.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539157.1:88075:93168:1 gene:KN539157.1_FG017 transcript:KN539157.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKFESLTITEILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKLGARSLLVILFRVKLLIATSSAWQSMCDESHITTRPIARLS >KN539157.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539157.1:36944:60143:-1 gene:KN539157.1_FG018 transcript:KN539157.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGNGYFSSGSEGEDEDEGMEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLLNRFVALKIQKSARDYAQAALHEIELLSAIAKGDPTNSKNVVQLLDHFKHAGPNGHHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPVRSGFTPILERTVSNQYSGSVISFSEKMLKMRARHAVAKISLRRESLGGVAAEMEKEKSLDGISLKCKIVDFGNACWGSQQLAGEIQTRQYRAPEVIIGAGYSYSADMWSFACMAFELATGEVLFAPKTCQGCSEDENHLALMMETLGKMPKKIASSGTRSKDYFDRHGDLKRIRRLKFWPLERLLVQRYNFTEPDAQGSLCSLEWDGQFWAWVTLGVCPRGELIVCWVRGGYSINTIKRLPKSVHSSLRSSIVLFDLSRVVEELVYNSIDANASKIDISVNVRACFVKVEDDGCGITRDELVLVGEKYATSKFHNVMVHGEPSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDEQREVVGTTVIVRELFYNQPVRRKQMQSSCKRELHLVKKSVLRVALIHPQVSLRLFDIESEDELLYTIPSSSPLPLVSNILGKNVSSCLHEIATSDKHFALSGHISRPTDVFCNKDWQTVMYFFERTITDYWKKHAPQLPEVKAIGNDTCVPLERDVKSSQELLRHHGVQKKEDVAELYQTALQKNTVRDMNFDTAAPAEPKDNYLSLDMEPSTWRVCYDQISDAYHTDDVARNGRKFGHKQICSLQSNSYQWLEDGSSLLEDSDLSSANPTICKMQKTEDIFHGHAYSGKFGLLQDAEIEIGPEIKLQEYCFESPNKPNRMTCDFVQKKTNIEAHISGRDGFYVDFDKLNEDCLLNEISKTITDVSFPQMPHFNDGFCPEDVGSSKSSCSVMKKSSKRQNNANAIAQMKFHDMQAVCESDYMDRSFIKDTCGLHFFHPFSLADTPRSHSRPRIDLELHGRSNESINSWNCENIGTDYGFTSDRFNIESSMIFEGNKHLNNFGNGTQSPSYFNHEYCSVGQFASKQDRIPLKSKHDARMSYDISPEKSSTGCHLNVSFSQVAKSSKLTEDQYGCSQRPRLSRGRYRSRSAPPFYRGKIKIPRLNEPLTKLTTEGGKDTTVIDSGDADITPVQEYTSHMNATQPIPETFSNEFSDLNFGLKGNVKMCEEKCSDELEDSTASDEITKWRDDSDHHVSELQHGPFEHDDDVLSISSGPLHLSCVVLVPECIDKNCFEEARVLLQLDKKFIPVISGEVLLLVDQHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMQQIQSWGWIINSTNSCESFKKNMNVLRRQSRRLTLAAVPCILGVTLTGKDLMDFIQQLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNVASLRGELARLGTMMTKVEETVVAQLLNPQSQVGPCLCGPAYDPKPNSAHDERTASLVNRAMYSYGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELCKSLLDDSAQGCPEYKNAQKLSRHPSLQIGGCYEVHDIEDLVRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDDAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKREGANMMSYDLIICNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWSQTEPRGSTEELEPVLKGYYNAILGKAPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVVSFVFSSNDVQVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENMPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDPVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGMCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRRSKLRLPGTAKSRNGA >AMDW01037479.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037479.1:2:677:1 gene:AMDW01037479.1_FG001 transcript:AMDW01037479.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANADSDDYIGVGLVDMYAKNHFLDDARKVFDWMFHRDLILCNALISGCSHGGRHDEALSLFYELRKEGLGVNRTTLAAVLKSTASLEAASTTRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDANRVFEECSSGDIIAVTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLLAYEQGKQVHTHLIKRQFMSDAFAGNALVYTYAKC >KN543436.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543436.1:7265:7700:1 gene:KN543436.1_FG001 transcript:KN543436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLNRAIGADVFVTANTNRMNFDYISNPQNYGFTSVQVACCGQGPYNGVGLCTAASNVCDDREAFAFWDAFHPTEKANRIVVGQFMHGSTEYMHPMNLSTILAVDDEERRL >KN541409.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541409.1:11897:14599:-1 gene:KN541409.1_FG001 transcript:KN541409.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPAHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVARGHYTERAAAAVMRTIMDVVQHCHKNGVMHRDLKPENFLYANASENSPLKVIDFGLSVCFKPGARFNEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSHIDFQREPWPKVSDNAKDLVRRMLDPNPYTRLTAQQNEEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELDAIRELFNMLDTKKKGHLTLEELRKGLQVIGHNIHDTDVDMLMEAADIDGNGILDCKEFVTVSIHLKKIRSEEHLPKVFSFFDKNGSGYIEIEELKEALSPRGDQKSIDDIFLDVDIDKDGKISYEEFELMMSAGMDWRNASRQYSRAVYNTLSRKIFKEVSLKLDHSGPLVAAGK >KN541409.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541409.1:21134:28508:1 gene:KN541409.1_FG002 transcript:KN541409.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKQESRAAARKAGGRFSKNEPQIQAIFWMAKKLLQCRLLYKLLSKKTQFVIILGDCLKQFSYFSKFQENKNVYSKEIATLLMVEEKVSEELTSETENPYAVSDFSKLSPTANPASPPECGRSEFEHNMTSLHNHVMAHLSLCSLNMKEVTTSTDREVPSAILNCPLKGVNKDANTELVIEWRLGMGNFPLVLSECGDSSCDSSVSEQSSVTSSPCTSFTAHSDTRSEDLDGVDIWVSSLDLDEEDSDLLQEKEQDLGFLSCDFPSPSFSAVRRSLQFGPSSSSPATSHGKQANDSDEPIFWPFEHASYYSPEFDKFLLVSPRRVTMDVGSAEFRRLNPIVQRLHKNKLSSARKNVGPHHGSVSLGAKGTKSSQDKVQNAAAVPSWLSRTTKTSAKTSSSKHQQLSNCEKRKPSHLKISPPRKDRYPQLQSGHTVQELEASDHRKLAVEKILIEQFIGLDEFDGHEDISRGYNVSRKGLGKLLLTMAGTLLQPVALGTTFAGRVSGQRWKSHGTRRPPSMLAMSLSRPVKMAAFVGLRSVHSFSVTPVTNFRSTVASYRSRRGRRARFVTRSMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKK >AMDW01037760.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037760.1:65:627:-1 gene:AMDW01037760.1_FG001 transcript:AMDW01037760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSHLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIRE >KN540885.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540885.1:37137:37865:1 gene:KN540885.1_FG001 transcript:KN540885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPGACWRKGLVRDSPRQRLDGCGLAVAPCSADAGRDDGVAVPGESEGFGLRLWEWRLRVVWRVSWREGSIYRRGRSERQVRGIVAKCSASLARAGGGRRGTRLAAGVGFAQGLQRGCRGSQREPGAVGLALSLRASALAEGVGFADGRRMSAALQTEEATIEFVASRRSGQRDGVGWAQRLRGQRWTRQGIGQRRGRGVVSFAQPCSEIRGQRRNGRLGGDDSRLASYVFDGMPTRKERG >AMDW01030185.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030185.1:152:287:-1 gene:AMDW01030185.1_FG001 transcript:AMDW01030185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIASLDPAYRPLVTFVVVQKRHHTRLFPEVHGRQDLTDRSGNVRP >AMDW01040939.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040939.1:112:5019:-1 gene:AMDW01040939.1_FG001 transcript:AMDW01040939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATGAVMLFLAATLSGVSANTDSDDVNALNVLYTSMNSPSQLTNWVSQNGDPCGQSWLGITCSGSRVTTIKLSGMGINGTLGYNMNLLTSLVELDTSKNNLGGSDIPYNLPPNLERLNLAENNFTGSIPYSISQMIALRILNLGHNHLATTNDMFNKLTNLTTFNLQNNGFNGTIDVLADLPLTDLNVANNQFTGWIPDKLKKIKNLQTNGNSFGSGPSPPPPPYQSPPYKSPPYKSPQSRQPAPPTTTVNNNPSDDGRKHSKLSGGAIAGIVVCLVVVGAIVAFFVIKKKYWSLPRGGDPEQKEPLSPIVSGFKDSLKQMKSIKIISTIGKEELQKTVSMNLKPPTRIDLHKSIDENDVTSNSFTRKISLSSIRTPAYTVADLQVATGSFCADNLIGEGLFGRVYKAKFNDHKVLAVKKINFSAFPGHPSDLFIELVANISRLNHPSLSELVGYCSEHGQCLLAYEFYRNGSLKDLLHLVDDQSQPLSWNSRVKIALGSARALEYLHETCSPSVIHKNFKSSNIFLDNELNPHLSDSGFADLIPNRESQMSDEDSGYRAPEVTMSGQYSVKSDVYNFGVVMLELLTGRKPFD >KN539062.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539062.1:114335:116800:1 gene:KN539062.1_FG001 transcript:KN539062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEREGGGCFKLQGLLAFGSSSPVPRFRLGPWYRHWAMSAHEAHYSILNGVYMFTLEDHERLQGPDKIIKPMMRDKFRSHNTHNGAMFQAFKILDY >KN539062.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539062.1:60029:61545:1 gene:KN539062.1_FG002 transcript:KN539062.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHVVPFRCIAALVRRCRPDARLTVIATPGMAEAFRAHLVADGVGDGRLAGVHELPFHPAEHGLPAGADTSASIGFQQLITLFLASESLRPAFRRFVDDLRAANPGDDIHVMADMFLGWAVDEARDAGASSSVVLTCGGYGSALYFSLWDSVPLPATASPDDGFPLPRFPDVCGQRSQLTNHLAAADGKDAWSTFIQRQIAAFSRADALLVNTAENLEPKGLSMLRQWLNVPTYPVGPLLRAPAPSPEAKKTSPILEWLDEQPPGSVLYISFGSLYRITPPQMMELARGLEQCCHRFVWVIRPPAGHDANGEFSPEWLPEGFRERAEAEGRGLVVRCWAPQVEILAHAATGAFLTHCGWNSVQEALGHGVPLLGWPLSAEQFFNSKLLAEETVCVEVARGSAAVDAAKVAAAVEAMN >KN539062.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539062.1:121377:121635:1 gene:KN539062.1_FG003 transcript:KN539062.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNRYKRVRVDMEELEIDPIQTIERRE >KN539062.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539062.1:151946:153374:-1 gene:KN539062.1_FG004 transcript:KN539062.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAQEAGRWSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNEATLNSCLEEWSAKKLSITASVCDVSARADREALAGRVAAMFDGKLDILVNNVGFLFLKPAVNVTPEELSRVMAGNLESCFHLSQLMHPLLKTSGKGNIVNISGISTVTGFPSLPICAFCAAKGS >KN539062.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539062.1:25232:37264:1 gene:KN539062.1_FG005 transcript:KN539062.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent protein deacetylase SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/Swiss-Prot;Acc:Q9FE17] MSLGYAEKLSYREDVGNVGMPEIFDSPELLHKKIEELAVMVRESKHLVVFTGAGISTSSGIPDFRGPKGVWTLQVRAGKGVPDASLPFHRAVPTLTHMALVELEKTGRLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMDAAKEQCRTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGLVDKVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKDSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDIKAAVPMATWMNSNGGLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV >KN539062.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539062.1:130799:138085:-1 gene:KN539062.1_FG006 transcript:KN539062.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSLLRLIFLALGAALVVLVVRSAFRLPGDIDTTTTSLFDDGNGGSCTSWRKGADPLPPRRAVAVVRFRGESHVLGVDLSEGDGAVTPLPVPASGYPMMNMDEQTSLCFAPFKDAAFNASLLRRGVRASDVACLPISLGWYGPAEENRRLIKSQCFSTEGTANFYMRPIEGLTVLVDMDTAEIRESRPKVTLVARMAASVANYDYIVDWEFQMDGLVRIKVGLSGILMVKGTQYSHMNQVHQNDNMYGTLLSENVIGVIHDHFVTFRLDMDIDGADNSFVKVAMARQNTGAGESPRKSYLKATRHVARTEKDAQVRLKLYEPSEFHIVNPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKTEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVLWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFFESNPILGQRPTQENDLPVCAATAMTS >KN539062.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539062.1:11292:13762:-1 gene:KN539062.1_FG007 transcript:KN539062.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSAVRRLYLSVYNWAVFFGWAQVLYYAVTTLLESGHEAVSAAVERPLQFAQTAAFLETHSHILVTSLVISWSITEIIRYSFFGMKETFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPVAGIKIGSDKNTALQDRLTCSPTCLPNGRRHWQRLRLHNGDAQELS >KN539062.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539062.1:94441:98157:1 gene:KN539062.1_FG008 transcript:KN539062.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDCESDDLVDGRKTDGLAVVGYYHANARRDDADLPPVAKRVGDHVFRNFPRAAVLLLDNKKLEEAVKGKSREPVVQKIQLPNISLHSDICKKAHPPGPCSTPWHPGDEVQGLVQPSNTELVIGRPYLVLISAMEFSSFRQIEERSALERRSSDNIRSFMLYTRDSSKSWRQAGSDGSSQLTLKEPSTNMVLADHVTTKKWQQVVDFDDHLDDISKDWLNPGLLA >KN539062.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539062.1:102979:112453:-1 gene:KN539062.1_FG009 transcript:KN539062.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMVSCLIFLFLPLGMKYNFSIDPAAPHEDAQEVVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSIGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKYACIEIH >KN538807.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538807.1:195134:199159:-1 gene:KN538807.1_FG001 transcript:KN538807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPELDNRSDPQEPSRNPGIWQCTICEHGNDAKKLSCEQCGVLRDFSLYFNNALEVDGRVPFKFDTPSPDDVVATGLKSSRSFRKVDTDALHVAEKRVMDNDSSTPEKDTTADSNLPVKSNEFGESSESVSVDSQNETLILDHELQHLSLERKSQKSKANIKKPVSSSLYKPEPWMLQHEDEGIPRQLNLAIGKGSFAYAWAMDESADERERGITMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAILVIDASIGSFEAGMGINGIGQTKEHSQLVRSFGVDNLIVVVNKMDSVEYSKERFNFIKSQLGTFLRSCGYKDSAVAWVPISAMENENLMTIASDTRLSSWYDGNCLLKAIDTLPPPSRDVSKPLRLPICDVFSSHKLGQVAIGGKVEVGATRSGSKILVMPFGELAVVKTIERNSYSCNLARAGDNVAIGLQGIDPSHVMPGGVICHPDYPVSVASCLELKILVLDITVPILVGLQVSASMVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLEKDVCVEEFSNLKALGRVFLRSQGNTIAVGIVSRVREQA >KN538807.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538807.1:163946:165001:1 gene:KN538807.1_FG002 transcript:KN538807.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQYLSLAGNRFEGNLPPELGSLSGLVQINLAGNRLSGEVPPSYKNLSRLAYLDLSNNLLSGAIPAFFGQQLKSLAMLDLSNNGFSGEIPASLCGLRNLTDLSLRHNKLTGVIPSQIGSISSLNSLSIDSNLLVGSIPESLFGLQKLWNLNLSRNGLSGSLPPGIRHGLPSLVSMDLSHNYLIGGIDHFFRSISPANSDMSVIFLPRQLQHLDLSKNSITGALPEFGGGASLRWLDVSGNAIGGQIPSSVWRLVGLQRLDISRNKIRGTIPASMAAMASLRWLDISGNALVGRIPDSFARMARLRHASFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRQI >KN538807.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538807.1:128042:131542:-1 gene:KN538807.1_FG003 transcript:KN538807.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDDRGREGHDDFAGGGQYNKYHRILEAVPSPLVRRENVVHHQYPTGLIHYPSSTMPVAPCSYVPRYTMVPTSAMLPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRPMCNGPPFMPFGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDHHATPFHINNHDTDQGFFTVSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTHAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASEPSSMSQNSENLTIQRFNCREYCVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESEKLVVRGEKAIKPNAIMPVVDCSCKNELNNSEECGFAISLLTKKT >KN538807.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538807.1:232801:239526:1 gene:KN538807.1_FG004 transcript:KN538807.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMLMAAGAVLLWAVSLGRVLSSPSPMCLPANSTFLSPPRGDRMIRNVLLVLAHPDDESMFFAPTILFLKSKGHNIHILCLSQGNADGLGSIRKEELYLACITLKIPAEQVKVLDHPELQVLYYELIFVNLLDGFHKNWDHGLIAELTMEQSQLWNIDTIVTFDSRGVSGHPNHRDVHHGICKLLRDNGQGHIEAWELVSLNIFRKYSGPVDIWLSSTLSSSSKQPTYTLVNNSPSRSFEAMAAHKSQWVWPSIVFIELDWTSVSSASFSCLKSYCRCWTINLYCSVTQDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAIVFQAGAAVMTLAPSFEVLMVGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFSEIFINLGILLGYISNYAFSGLPDHVSWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEAREVLLKVTDSEDEAKERLAEIEAAAAVASAGKYGDKTVWQELTRPSPVIRRMLITGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLVATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTACLVVLAATLAALAHGSASRSAGIAVAILTVCGDVAFFSVGIGPICWVMSSEIFPLRLRSQAAALGAVMNRVTSGAVAMSFLSVCRAISVAGAFSVFAVISALSVVFVYRILCSINESYSVNVGATVPVSQSQLVMLVSYTCTSMKPLQ >KN538807.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538807.1:124272:125462:1 gene:KN538807.1_FG005 transcript:KN538807.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFLASHCRKGHETEPSLLQEQLNDCDLATTNPTTPISQDATQILIVIATSDTLIIPGSNTCGMDKSLLKRREEWKCSEPAEAADHEAFAGISRASAGNVTKVDVTLDGTTNGILFF >KN538807.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538807.1:241369:242032:1 gene:KN538807.1_FG006 transcript:KN538807.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPSFAVLMMGRLLAGIGIGIGIMVAPVYISEITPATLRGSYASFPEIFISLGILLGYVSNLAFSGLPGHINWRVMLAAGIIPSISIAFVLLVTDGEDEAQERLAEIEEAARVTATGNGKAVWRELLRPSPVIRRMLVTGVGVQLFQQITGIDALVYYSPTIFRDAGIATESQLLAATVGVGLSKTVFIVIAIVL >KN538807.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538807.1:230731:232011:1 gene:KN538807.1_FG007 transcript:KN538807.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQAALLPSALSVPKKGNLSAVVKEPGFLSVSQKAKKPSLVADGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAATAAKAAGMAAGSYTVMHLDLASLDSVRQFVDNFRRSGMPLDALMSVGVNHLGHFLRARLMLDDLNKSDKPSRRLIILGSITGNVPPKAGLGDLRGLAGGQNGSAMIDGAESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVGDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWDLSEKLVGLA >KN538807.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538807.1:205662:206841:1 gene:KN538807.1_FG008 transcript:KN538807.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASIILVVVVFVLDALAFVLAIGAEKRRSTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIVCWFTFVIAELCLLAGSVRNAYHTKYSTLVIRGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >KN538807.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538807.1:155672:155962:-1 gene:KN538807.1_FG009 transcript:KN538807.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRSPAVSLLDTCYDLSGYASVRVPTVSFYFDQGAVLTLPARNLLVEVGGAVFCLAFAPSSTGISILGNIQQEGIQITVDSANGYVGFGPNTC >KN538807.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538807.1:136777:141622:-1 gene:KN538807.1_FG010 transcript:KN538807.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSSSSSGDGGGGEGKGGGSHGDFVGGGQHNRYHGILGAVPPPNVGRQNIVHHQYPAASLIHQHHQSPTMPLPMAQLPYVPQYTVLPTPAVLPSHHHHHGQSQISQENFQDWVPSNNVAAPHVPSAFQDWRQMCNGSAFMPFGQTAANSNVFYQNLTFNSWTSNNMPRNPVYTSFHPAAIEDHHAPLFHSNNHDIDPGLEKGHYYIRVNPQGYQQPWESRIKWFLQSSWETDTCMELTSPELRPQKPPPLPPPEDPPIVFRRSDMDSEKNDDPDQTPVSEPPSMNQNGENLIIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVTLKMDDFKFETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLQTGDIFIIYKSSESGKFVVRGEKAIKPNAIMPVVDCSCKNELNKSEECGFTISLQTKKT >KN538807.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538807.1:174514:177531:-1 gene:KN538807.1_FG011 transcript:KN538807.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMGKILAGLEQDDARVPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPIKLTKGQELTVTTDYEIKGDENMIAMSYKKLPVDVKPGNVILCADGTISLTVLSCDPKAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAALKSAVKKQLCKPGDAVVALHRIGVASVIKICIVK >KN538807.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538807.1:200635:201065:1 gene:KN538807.1_FG012 transcript:KN538807.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIILPVVFVLDVLAFVLAIGAEKRRNTAAYVNVDQNARPYCVYGSDAATGYGIGALVLLAAGQAVIMAATRCFCCGRALSPGRWRAFAGFCFIACW >KN538807.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538807.1:212355:217190:1 gene:KN538807.1_FG013 transcript:KN538807.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAAAGHMDPVGDEAAERREMEEEEEEEEDEEFYESLDRILSSSCSSTSASDDDDQQHRRRRRHHTQAQQLSSSATFSAYEVWISEPTSVEERRRVLLRRLSLAHDSEPLPHPSPRLSSSSPRSPTPSPPPSSPPRSAPVVAAAEEPRSSGHGKPPLARNPSGGAEQCRIRNLDDGTEFEVGEVHDEVVREVGTGRQLTFEEFELCIGRSPIVQELMRRATTAASSSTSDHAAPASKPRRKPGGWLRGIRHLAGSVAYGRRSTDERDKEKEKEKKEREARRLSSATDDSLDGNGSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGTVARENGGGCSPFLAAVGNGSPELATLSLSCADGGFVEKKRRPRMQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNTSHPSRSRSAVDVTNSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDAVMNTGRDAPVENSEHDLNGTVNRCTKRPVCEGVASTSNPPADGVSTSWPDEKQSSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >KN538807.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538807.1:218724:218899:-1 gene:KN538807.1_FG014 transcript:KN538807.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSPSLVLSAAAATASNKRPADSDASPPHQMQLHISCGSV >KN538807.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538807.1:165900:173324:-1 gene:KN538807.1_FG015 transcript:KN538807.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEDLLLAPRVDGGGGGDGEAMAAPDYAIPPLSPTAASVVHRCARVVEYCSYIALRVETKRHDYLSDTEFHSLTYDMMIAWEAPDDETDAALQKMSFSFVDGKDDDDCGSMFCLSPTQMAIQVDGRRTVSPEAFAKIIPACPAMAHAITVRNLFDALTNSTGGRLHFLIYHKYLKCLDKVLRFAKRISGGHKAPALQLSDGEVILDIYGAATTKPILQHIGTSTWPGRLTLTTHALYFEPVSVDFSYNEAVVYDLSRDLKQSIKRESTGPWGAQLFDKAVMYKSSSTREPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRMINLHKAEALSVATLGILRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEALYGQLKDYSSRFRVDEDSVQSSSDDLTLADPFPLSAYTLVNMGLLTLKEEDNPEEWDLTVRDVQTGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLFLAEWEDPLKSYVFLFCFLFIVYRHTGNGQMIGAFEVTTPPRRRTVEQLLALQQAISQLEAQVQAGNIFFLKLRSLLLAAFPQHEQSSSYNARGICDIRVPAIEKHTCTDCAGSIHEAYAGEEEEQREIGEETEGVVAANPSCSCTALEASGYQEMEIEVEIEMTHIGNVGTLHMSRGRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFHVDRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGIYYDGGEVTASFNGTELCRGGFPVLYQGHRSTVRPVILLAGETRLDSAVALQLARQQQAGFVPLTVWARVPIRIKFGAIKLWKMTGKATCNLVVDNLVAGRRLRIRSNNCSFKLKV >KN538807.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538807.1:144164:147444:-1 gene:KN538807.1_FG016 transcript:KN538807.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRLSPLSRSAGRGSPGRRTSTGWRDGNHNGCWLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGQPNGPSANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >KN538807.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538807.1:182911:191265:-1 gene:KN538807.1_FG017 transcript:KN538807.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLRILANSFPVFLTKEFVAHSSNVNCLKIGRKTSRVLVTGGDDHKVNLWAIGKPNSILSLSGHTSAVESVNFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSSDKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSELKSSSSSTMPLQNDSGSRANIGRLSVLQNSENNIKSSTGRLSVSQNSDSALKETKSTTSSGLVPGTPQRAGNGSSTKTVGNSTFASSGTNLKRGSLKSNNSSSLQNFSKIDVVPVIIPRTSSGPELATDSRSDAADVGPVLSKSGRRIEIANDSRKESSDVAAAVVPRTNSRTEMASDSAPVVGPRANLRMEVSADSAPIVPKSGRRLESSVESRKESADVASAAAPKTSSRMEVAPDSAPLLSKAGRRVESATDSRKESADVAPVVPRTTSRMEMALDSRREISAGRMSPFRVQSRYSELRKLNNAKADADKVDAGSKNSEADDFTCQIYLPRRNGVVQSGISEETREDAKPGVIDRMGFPSSAEPNTHRNVSRMRKPRDNCYIEVSRAALAYTWKRGSSQAAETPTIASDEDVLSVLMEQHELFLSSTRSRLTKLQIVHQMWQRNDIRGIIAAMEKMSDHAVSADVASVLMEKSETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPFLSRRKGAVANTAQELSLVFQEVM >KN538807.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538807.1:150413:153860:1 gene:KN538807.1_FG018 transcript:KN538807.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDRVRTDAYRSAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVEASEMATQAREIVKANNLDDKVVVVHGRVEDVEVEDKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVLSWPFVVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPTDNSPSDLNPLDVIHKKRRRGSEDPVVLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSVKVSQSEENPRFLNIQLDCSM >KN543005.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543005.1:1103:7894:1 gene:KN543005.1_FG001 transcript:KN543005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQPAALPGCPDKCGDITIPYPFGIKPGCYLAGFEVICDRTFSPPRAFLAGDPPLFGDKWPPDVWSSDRTFMLTGNFHYSGTDAGMPSKIVNYTRAPLELLDVLVNQSKVRVYAAISSDCSTNGTHHVLFEQSIKLQPSGPFTLSANENTLVGVGQNVVATFADSFTGEEYSSICLSFLSSVSKARNGSCEDATGLGCCQQTLPPGINTTLVRFQHKNNSKWETYPCSYAMLVQKSWYNFSTEDLYGHLGLPKKYNRGVPLVLDFAIRNGSCPQENGSHACVSGNRTCINAGNDQGYKCNCMEGYDGNPYIVNGCQDIDECALRSKNNCSSNSVCINTAGSYNCRCKRGMTGDGKTDSNLEFQNFKLPFYLDCFRLPNSYDEATPKTQEVVRTKWWPVLKGMRNIKIYTKKELKQITRNYSSAIGEGAFGKVYMGTLKGGQQVAIKKSKTVNQERKNEFTQEVILQSEMKHKNILRLFGCCLEVDVPMLVYEFATEGSLYDVLFKCNDRIAVDKLLGIAIGSAEGLSYMHTARETPIRHGDLKSGNILIDNNFIPKISDFGTSRLLAEGQM >KN542566.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542566.1:730:1827:1 gene:KN542566.1_FG001 transcript:KN542566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRGVTTDRYTLPFLIHACSSGDRPLCESLHGQSLRLGYSCVLFTQTALMNAYFACRFEVAARRVFDEMQAKDVVAWTGMVSGYVDSGMFLRGVKVFQEMRSCEEAVRPNVATAVSVASASAGLGSLEYAKGLHAYVEKVGLEGELIVTNALIDMYGKCGSIELARGLFCLMPQKDLHSWTAMISGLASHGHGKEAVALFFSMKEVGVLPDSTTFVVVLSACSHAGLVDEGISIFNSMENEYKVTPDIKHYGCMVDLFSRAGLIARGYQFIESMPFEPNLAILGALLSACSINNELDIGELVIKRIESVCTDKGGAGVLLSNIYANQNLWHEVDSLRRKIRDDTICRKPPGQSVITADVSFMAS >KN542566.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542566.1:6832:9218:1 gene:KN542566.1_FG002 transcript:KN542566.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYSEVFLGKPNEAYCAWILDPEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYTERAMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHKRSIGPVEGLALNLVKDEQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >AMDW01044323.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044323.1:1:201:1 gene:AMDW01044323.1_FG001 transcript:AMDW01044323.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPTTDPAVFFAKEPAVGFVNDNLVFLRVHSDQIYDLIYHASSRPSLKLIHNPYSPYNPYDYLRRIDN >KN542566.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542566.1:2232:3194:-1 gene:KN542566.1_FG003 transcript:KN542566.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGIYASVVISRLDKILESYRRIIYKPIARYDMLVGSVHAQEKLLPRTHGSSASVMLEEIESYRARVAAPLYPHQHPSGINQQESKSYTTKGEVDVLPCCFRNLSFANQERQQWRISECSYDLRVHQVPPELVDADQGR >AMDW01010547.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010547.1:6:200:1 gene:AMDW01010547.1_FG001 transcript:AMDW01010547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSRKHELADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKINASM >KN540872.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540872.1:31517:31981:-1 gene:KN540872.1_FG001 transcript:KN540872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENKRIEKRPDKSDNWRLGYRTRNWQPDYTTDLYSVSIVLSDGIKLADHLLQWLHRNYWVKFPKSEYSYEAKFAQMFPKLRKILNGRSMYDHPDKWPQLLKHIFLEWVRLLINASVKCTRDSHAKQLSRGGELTTVLWILVEHAGVFRVDRQKR >KN540872.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540872.1:3984:4517:-1 gene:KN540872.1_FG002 transcript:KN540872.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVISSSSSGTNSAAEKIMQGISKQILGAELYEMENRELKMQNKALSKQNKVLMEQSRELMELPVLRQKHEELTRRYESLTKKRNEDQAKLKKKKEDLAKLKKEKEDQQVDFKKERENLEREIRELKEKIKQLECCCVIL >KN540872.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540872.1:25024:27224:1 gene:KN540872.1_FG003 transcript:KN540872.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKWRMLRRFILSLNLFRFLANNKNPTRYRMWSGTIGQYNLLRECTREEDEKTSNFWTSQWKKNAPEETWMEYEYHNSRGIQISRDFRNKLFDRVWKNMELAFPERIPVEYPLPPHPYPMAPMEFDLSLLPPRPKPITGFDQELNDALDFTPDLQETILVLHIATDIFLFHTESGPNQDQSEWGKAIKALSDYMMFLVAVRPTMLPGLALSSRYEALLDALGEQWNEIKSSSSFNNSMTREKCLAKSLLDKEMKKNGRTPMRTFKWYQGNKTEILSPGAYLSVLYDSSYILSDGARLADLLIKWKPGSKIEIGDKVLEDKLKRQFPDLMKSGEVTETELEYQMPKEVTDIIFREWVRLLINVSIRCTRNSHAKQLARGGELTTVVWILVEHARILHVKKTTKRKPADSYDGLGIHVSRY >KN540872.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540872.1:19316:19651:-1 gene:KN540872.1_FG004 transcript:KN540872.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQHSSQLQPRCGPMPLASPWPSLMRERGRRKKSVDGPLDNGPDQNVIIAATMAGRGRGVTRVEVEGADEAVGGRARELLGGNVGRRRKGLPSCGKATVAAGGTDEEECG >KN540872.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540872.1:36884:40031:-1 gene:KN540872.1_FG005 transcript:KN540872.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAYPVEDVEEKKEEEEKKKGTAEKKPDPPMMPAKHHNVENIRKLEEALYFLLEFQESILIMHIAMNIVFMYTESEQNIASSKFKENVEVIKALSDYMTFLIAVRPTMLPGLKLRSLYEATEDALAKIWSKGLYNALRWHSAWAVHVVNPRESSATVAAGPTKRKSARPTQATRSWAEAKPREAKRRGRGRAVAPLVPRRAPHRIASRIRPHPRHRLALAGFLFPASPLALPRTRRTFSPSRARTLPPTLHLLPPPVLTNPPSPSLSQQPASAPIAGVLSAPIAGVLALDGGTSPLAPPAPPPSPPSTAKAKPSSSRSARRHRVTAPPEAAADDPKKKMYYSTEEDIRLVSAWLENSTDPIEEVNRKGETYWTKVAEVSAHQHFLLFFWWTFVKDDTLAACWEDGLDMWLFFGWEVDCNGRIVALGFFGGIHVHIGR >KN540872.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540872.1:8259:13574:-1 gene:KN540872.1_FG006 transcript:KN540872.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKKEKEDMEKEIRGLRERIKELEEQVISSSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKELSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >KN540872.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540872.1:29006:29311:1 gene:KN540872.1_FG007 transcript:KN540872.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDEAIQGSARLSDDDELEGDDGGSFRRRIKATATQIQAKHGGPRETSTTRDHDNYGQGIVTKNMGRLAGGTGLMDEERHLEKVWYDGSIPARDANLPL >AMDW01039962.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039962.1:910:1176:1 gene:AMDW01039962.1_FG001 transcript:AMDW01039962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMGHGEEVAAAMEEELQGWETPRREECRIPVVPPQCPAPPRKRPVALPEMGKERREPPKGGYFQPPDLESLFVLAPPRRQASSCA >AMDW01037151.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037151.1:19:654:1 gene:AMDW01037151.1_FG001 transcript:AMDW01037151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADQVKPSSNSDTVVKKRHAIACWSCVAAHKHSIDSAKHGTHDITNQTDVNVKAEKTDIETAQLVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHEPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQGEEVVALYETPRHLYSKIRLARSMIREHYMPKYIRTMELLIDKLVAEEEDGIDDDHRLGSL >AMDW01037735.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037735.1:391:726:-1 gene:AMDW01037735.1_FG001 transcript:AMDW01037735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGSLTTLCELKLKDDALRCSEVSCLQNSFPELRYLKISGLKKLRVFHVGNGSFPNLTRFSIHECTEFLSTVEVMEHATRLQVLKIKEMPSVLPDVTDFCHSRNINLIS >KN539504.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539504.1:51779:52541:-1 gene:KN539504.1_FG001 transcript:KN539504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVFVSILQGAAAVLAFSRTSDFLADGLKSYVREEDGAVILRMIGGLGVAIFCLEWIALALAFVLRYYAYVDRECGGNPLRRSAKVGGEDGAGTWPWPFQIL >KN539504.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539504.1:79689:83025:-1 gene:KN539504.1_FG002 transcript:KN539504.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 7 [Source:Projected from Arabidopsis thaliana (AT1G65610) UniProtKB/Swiss-Prot;Acc:O04478] MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSARQHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWVLWTLLAAFILIGLPVIIAKSIPKKKPHAPPPDQYTDALHKALLFFNAQKSGRLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPLAFSMTMLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYSQRSILFLTTAVNYWDEYMWSAAWMYYATGNNTYITFATDPRLPKNAKAFYSILDFSVFSWDNKLPGAELLLSRLRMFLNPGYPYEESLIGYHNTTSMNMCTYFPRFGAFNFTKGGLAQFNHGKGQPLQYTVANSFLAALYADYMESVNVPGWYCGPYFMTVDDLRSFARSQVNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPHNGIKYSCTGGYKWRDTKGADPNVLVGAMVGGPDKNDQFKDARLTYAQNEPTLVGNAGLVAALVALTNSGRGAGVTAVDKNTMFSAVPPMFPATPPPPSKWKP >KN539504.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539504.1:9658:12502:-1 gene:KN539504.1_FG003 transcript:KN539504.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRLVAIKMTVAPKTTLYASDAPVIRYAKIAMKLPDKTVRDVALRCRWMNKKESGKRKKEDHSSSKKSKDKKEKVSDSSSKPPVHIAGRPNVPPYPLPALPIDDDEISSKAIGGPTGEILETNAQVLSQISTNLSTMQIQDNISLLCQTRDNILRVLKEINDAPDIMKQMPPLPVKINEELVNSMLPRPTVPMQ >KN539504.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539504.1:56098:60964:1 gene:KN539504.1_FG004 transcript:KN539504.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEVGWYVLGPNQEHVGPYALSELREHFANGYISESSMLWAEGRSEWMPLSSIPDLLVVVTKKDQPDEGIEDDFDKFQKEVIEAEAEVEASTDKAADNDVNQEHGADDPDDRPATPPDGEDEFTDDDGTVYKWDRVLRAWVPQDDLEGKNDNYEVEDMTFAHEEEVFQAPDIAGSTTLEENNVSAEIEIKEPTKVEKRADKKRKSSEKPADKKEANKPPDSWFDLKVNTHVYVTGLPDDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDRETGRKKGDALVTYLKEPSVALAIQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFISKKTDKQKKRKSKKVEDKILGWGGHDDKKVTIPTTVILRHMFTPAELRVCENHPEGVILVKFKDRKDGIKCIEKMNGRWFGGNQIQASEDDGSINHALIRDYDAEVSRLDRFGEELEEST >KN539504.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539504.1:73042:73392:1 gene:KN539504.1_FG005 transcript:KN539504.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRSTSRQAEKGDWWGGEVRAHRGGSAARWNPSRRAARAWDPAGARGYAGGVGEQRAAGRSRFCRERERGRRKEAEAAGGVEWSVRNEPSHQLLETLPIWGGGQLNGIIRGIRS >KN539504.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539504.1:5054:8121:-1 gene:KN539504.1_FG006 transcript:KN539504.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASTAATAQSEILLGAAAIPDDIAFDTNLGVVGEVGGGGGDNDDDEEEEEDDEEEEMEGAGGSRMFEMFLEAGGKLEAPEPAAPLPPPPARPRHQHSMSMDGSTSLASSAAGVAGRMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQRDTTGLTSENSDLKIRVQTMEQQVRLQDALNDRLRDEIQQLKVATGQVNANCGKVGNFGLSSFGGANPQGYQRSHIQSLLAAQQLQQLQIHSQHQQQQMHLQQHHHLSTVQQQLLQEGLPLPGDLKMKGIAAVSHAQNAGASESHALSEP >KN539504.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539504.1:89411:89760:1 gene:KN539504.1_FG007 transcript:KN539504.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIVGSVTASFAFAYVCGVYFADKKVLGGTTPRTVADKEWGKVTEEKLDAWPRVAGKPVAMNPVTRQNYVLVKKKKKASGSKKASEP >KN539504.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539504.1:62763:72166:-1 gene:KN539504.1_FG008 transcript:KN539504.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTNIPVLLRHSSISTFGQEKFGCEVEQSTASQNSRESNHEKAQYILRKQGLLGLSILCHPTRGASLASYESKPQTFGFPLGARYFLQSVRPTSSTAGQPKVGILDERSENQNQNQGKKETSPEECDQAVEGLSTAKAKAKAKLVQEVQKSDQSIIHKFWAILLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDKMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEDKAKLEVPKATEEDVALKEMTESTAREEKELKKAKVEHDRKEQLCDISQALAVLASASSVAKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEIAAGEKVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPDEVAAAANYLKDTIGKEGVQELISNLSKDKEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLALGRNHSRSKSSSSKKEKKDKDAKKRSKKRRKHRSSSESSSSSSSESSSSDDEDRDSRKSRSRSRSKRTKKDKKYRSRSKHRGSDSEEEGPVRLSKFFGNPKK >KN539504.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539504.1:22300:28041:1 gene:KN539504.1_FG009 transcript:KN539504.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNRAGCNGNTGGTMGPSDDPYGAAAMNLDCYSEIYSPSVADQLFSLLNDPAAHRMFAMWPSMGSSPCAAGMSEDTPLDTYSGLGEAVEEPSQIMPVNPTEAEKTGKCSGELGSDDGAHQGSSMVPRESLGSGALAQVWMPVEQEGHVVLSTCEQPFLLDQVLAGYREVSRHFVFSAKEEPGLQPGLPGRVFISGVPEWTSSVLYYNRPEYLRMEHALHHEIRGSLAMPIYDPSKDSCCAVFELVTRKEKPDFSAEMDNAVNLKATKGSSNQKVPSSLLSSERLYCDNFSCTPWKNFYTENQKFAFTEILDVLRAICHAHMLPLALTWVPTSNGIDGGYVVGKDGASFSQSGKTIIRIHESACYVNDGKMQGFLQACARRHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFSLHAAVAIRLRSTYTGNDDYILEFFLPVSCKGSGEQQMLLNNLSSTMQRICKSLRTVYEAEVDNVNAGTAAVFRKNNESCLPTGHTESSSHGDQSITGASFEDTSLANKPGVMEPELAEQVQPSSIGHAEKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVCPTTLKRICRHHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPSCDGLPTPSVGKTVEENSDLKSEEGCSLPDGSQRQSCQLQISDVKKSNEDEFHIGSGNSDFYGANATAKSNSEVTQGPLCPTGAFSALHLKGTDCTNPSSSLRPSSESTRDQIVGRNSPSIQQEDLDMLDSHEAKDKDHMHPSTSGMTDSSSGSASSHPTFKQNTRSALKDTASPALTVKATYNEDTVRFKFLPSMGWYHLLEEIAKRFKLPTGAFQLKYKDDEDEWVILANDSDLQECVDVLDSIGSRNVKLQQTEPHDSVAIRVYDAFAAKRHGG >KN539504.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539504.1:2277:3991:1 gene:KN539504.1_FG010 transcript:KN539504.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding YKNTGESQWSFILSAPDKGSYVAVGFSGKGLMVGSSAVVAWSSGGKGTVKQYYLTGKSPDECYPDKGRLTLVENKAVAVSRSGRLYLAFQLSTDLPQPHLIYAVGPEGNLPPSDATLPMHRSMHSHAFNYTSGMASSSGGSGGGGFPPERKHGLLAMMAWGVLMPLGMMAARYFRRVDPYWFYAHMAIQAVAFTVGIASVVLGFRLNEDGLKNVDVHRALGIAILAMASLQVMAFLARPDKTSKVRRFWNWYHHYIGRAAILVAIGNIFLGLHIAQEVSAYIVSYGVFVAVWVIAVAAFEMNRCYSDDD >KN539504.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539504.1:75134:76388:-1 gene:KN539504.1_FG011 transcript:KN539504.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKKIVLRNHVTGLPKESDMELVAATGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSSHDGSYVDAFVVGEAITGYGVGKVVDSSHPGFKAGDLVWGMTGWEEYSLIKDPSRALFAIRHPDLPLSYYTGLLGMAGFTAYVGFHEICAPREGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVRLLREKFGFDDAFNYKKESDLSAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLERPDPVHNLTAIVTRRLRIEGFIVSDHYARYREYEEKAARYVKEGKIAYVEDVAEGLENAPAALIGLFSGRNVGKQVVVIARE >KN539504.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539504.1:90277:99912:-1 gene:KN539504.1_FG012 transcript:KN539504.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATAAAPLDEAKAKEVLRQVEFYFSDSNLPRDNFLRKTVEESEDGLVSLALICSFSRMKKHLGLDADVKQETMPEETVLAVAEVLRRSSALRVTEDGKKVGRSIELSKLDEIMEQVDSRTIAASPFPYNVKLEDVQSFFAQYGKVNSVRLPRHIADKRHFCGTALVEFSEEEEANAVLKNTLVFAGADLEIKPKKEFDTEREAKKEAYEKSQPTKNGHDEGYPKGLIVAFKLKRIQFDGGMAENGGDKEGETDGANKPGTGDDEKIPENSDIKEDLSDDVEKSKEAAAQSVKKGESPSENADDPISREDFKEEFGKFGTVRYVDFSIGEDSGYIRFEDSKAAEKARALAAISDEGGLIMKGHLVTLEPVSGQAEKDYWSAIKGGQGKYRDNRSNRGRDWKNNRGGRHFGGKRGRHSDGHERANKARKVVARFNEIVTNLLLQGALETFERYSVKKENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSAEIPCIFGVLTCDDMDQALNRAGGKAGNKGAEAALTAALIEKWKEIDVDDVLPDWRWKTCCKMGVPSEEEGYLALEGVYRNHGGNQEQTEGGDNFDDADIVRDDTWVQSCSDNLHIYNYHVVYSFSYKVPVLYFQGHQSGGQQLTLDEIKEDLPSHSLKLLGESRWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGMQDKDQQVQYLPAWLSVVGQAVGLKIPLELHCNS >KN539504.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539504.1:36238:39057:-1 gene:KN539504.1_FG013 transcript:KN539504.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHTPYLNTMMVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSPATSRILNISSQLGLLNKVSDPELKRLLQDEERLTEAEVEGMASRFLAQVKDGTWRGQGWPKVWTDYSVSKLALNAYARVLARRLQARGDRVSVNCFCPGFTRTDMTRGWGKRTAEEAAEVGARLALLPPGELPTGTFFKWCTPQLYSKL >AMDW01039963.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039963.1:136:1146:-1 gene:AMDW01039963.1_FG001 transcript:AMDW01039963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNIREVHINKGRIWRRNFAWRRLKNLRKLRVIEPTHPWGNKGQIDEFADMLKLEILELSKNIMIQVLPSLCGASSLKTLILDDCVVLEQVGPQGLPPSLESFSFASREGNKAKISSISLAGCSSLVSFTLRGPLQNLRGLDLSGTMIKLLDLRDVQDSCIGQIILLRCEKLRTILWPEKGFPNLSVLHIDSLVCHVETEHQQAYATLMDLRFVQSLVLRSNNKFCWNCNKTHINICISSTPKDATPKKKTMSHYSTQKVVGSPLHMPIVTTTQPVVCYKDVNLAMISSIDFDISSAPRHEALDIHVEIGEGISYANVVSEQALSAVSFMMNKAKSLH >KN542550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542550.1:2884:5329:-1 gene:KN542550.1_FG001 transcript:KN542550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEMKPGDHIYTWRAAYTYSHHVIGAEFFVLKLRNVISGIYVGGSKVVHFTRKKEAGTAGLDSAIAISSLLSQGSPECPTFPDCGFQLPDSGVVLTCVDCFLRDGSLHGFEYGVPPANGFGSYDVFENNCEDFALYCKTGLLPADEPGSIGRSGQASSAIGVPLAALLSTPFKLLAAGPLGMAAVTAGMYCAGRYITDIGVRKDVVKVEVENLSAHLGWRRAKAEEEMAMKKQQPSSHDTKVKKSLLPLKRKRDNFCEIITS >KN542550.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542550.1:13755:13991:1 gene:KN542550.1_FG002 transcript:KN542550.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAVAKLDGEQRSRRRAERVNAKLGKALADAERVLAASRRELERERRSRERLEKLCDELIRGGLACGMDGVKGGEEE >KN542550.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542550.1:6493:9590:1 gene:KN542550.1_FG003 transcript:KN542550.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVPAGQDMTGYYPRDTDRTGYHTLRENEAIGASYDRYLRNGMPSVAATETNRPVVGGMGGMGGMGGYPVDDRRMIGVGMDSRDIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATLALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >AMDW01126898.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126898.1:337:435:-1 gene:AMDW01126898.1_FG001 transcript:AMDW01126898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GISMFDPKTMFRNGLDGNQILMLTQDEISLSN >KN543424.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543424.1:5079:6206:-1 gene:KN543424.1_FG001 transcript:KN543424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYDILYTKATVIHTWIGCCIRVIALAATVTATFLFLLSSKHGHSRKDLAVTYVLLAGALLLEMISMVRAVFSTWTVVFLYKLKWYWLYGEVLSIRRVFRVATHRRWSGTVGQHNFLWASANAVDASAAAEAKEEPPASEPTGYYCLDTMDRCCWHRTKLSDSTKEQIMRKILEMHENRQEIGSQPGVRAFKALGLDGSLGWRTIQDIGFEDSIMAWHLASDICLFSDRSNKLDLHEGVAVLSNYMMFLLVHRRYMLPGPVRRTRYEQVRDDLNKFMHRKCRARSTRQDLFEWALRRGLHDHLNSDDPPAQYDTGIRLAAVLYHRLDRLDIIFGVWVEMLCYVACNCSRESHARQLSSGGELVTIVWLMARLVDMS >AMDW01035961.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035961.1:3:545:1 gene:AMDW01035961.1_FG001 transcript:AMDW01035961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGKGCGSCFEIKCSKPEACSDKPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVKCKYPADTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >AMDW01025531.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025531.1:13:334:1 gene:AMDW01025531.1_FG001 transcript:AMDW01025531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLTLTKDPFVLVRISLNVHGTRAVQKLIESLRTREEIQLVVEALRPGFLELIKDPNGNHVVQRCLQSFDANDNK >KN541099.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541099.1:5204:10904:1 gene:KN541099.1_FG001 transcript:KN541099.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLNLLLLVLCSLLLARRNASSPLCSDHQTPVLLDDAAGLEFCSNRGAGDLSCCDAADDAALEAQFEAMKIKAEDSACAGLIKSIICEKCNPFSAELFNIGSTRRTVPLLCSSHSENSGHNKEDYCEQVWKHCKSTAISNSPFQPSAKEYAGLTGQSFVLTDFWSTENEFCAAYAGTSNNHSACFNGHGAPSKTRKLSASPRGMCLEKISDGSYSSMVAHPDGSSRAFFSSQDGKIWLAAVPELGMDDILYIDDTNPFLDLMTEGYLGSEFRLLSLAFHPDYINNGRFFVSYSCDRTQSSSCAARRSCDCDPPKLGSVNSSQHCQYSLVVSEYSAKGPSSNSSEATYADPSEVRRIFSMGLPYASNHADLNEAASKNLCGNYTIPEDNPSADDSDLRPEIWALGLTNPGRCSFDSAKPYHLYCTDDGQGEYKVVDLISKGGDYKWSDVYKDHHGAPPPWAAKGTKPSDGIIFPVMGYKAYSATGNTTTASIVGGHVYRGSTDPCLYGRYLFGDMYTSALWTGMVTTDGTGKYTSNAIHFKCSRESPMPCNDSTNNPLGSIFSFGEDNKKDAFILTSQGVYRVVDPALCDYTCLSTASTKGVMPSGGNGMVPLVKYAIGNNQVFVGNRIENVSVNNNGAHPAKPPISQVIELGQMLGT >KN541830.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541830.1:101:5500:-1 gene:KN541830.1_FG001 transcript:KN541830.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWLIDGWIVDDIYMQYLLYGPVVGKVVQEWREQGRLPLGTSWCLHLILLLALRSLTYQLWFSYGNMLFFTRRRRVVDDGVDFRQIDTEWDWDNMVIMQTLIAAVLVTSRVFPATSDLSAWDLRGWAIAALLHVAVSEPAFYWAHRALHLGPLFSRYHSLHHSFQATQALTAGFVTPLESLILTLVAWAPLAGAFMAGHGSVSLVYGHILLFDYLRSMGYSNVEVISHKTFQDFPFLRYLIYTPSYLSLHHREKDSNFCLFMPLFDVLGGTLNPKSWQLQKEVDLGKNHRVPDFVFLVHVVDIVSSMHVPFAFRACSSLPFATHLVLLPLWPIAFGFMLLQWFCSKTFTVSFYKLRGFLHQTWSVPRYGFQ >AMDW01031523.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031523.1:83:439:-1 gene:AMDW01031523.1_FG001 transcript:AMDW01031523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGNKSNFNPEICNPETMEGYKYLVYGELQKSRKSAHILKVEDLKSLVTLDKIWRCDSALLLTSINMQGNNMKDMALAFALSRLLRCRLEGATLHEATVYMTRKLISKMILSDGADK >KN541099.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541099.1:31375:34503:-1 gene:KN541099.1_FG002 transcript:KN541099.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVGAKSPPAASHPASFLLCSLLIFLSCNTITLSSAQPSNRSATDLKALLCFKKSITNDPEGAFSSWNRSLHFCRWNGVRCGRTSPAQVVSINLTSKELSGVLPDCIGNLTSLQSLLLARNNLEGTIPESLARSLSLIELNLSRNNLSGEIPPNFFNGSSKLVTVDLQTNSFVGEIPLPRNMATLRFLGLTGNLLSGRIPPSLANISSLSSILLGQNKLSGPIPESLGQIANLSMLDLSANMLSGYVPAKLYNKSSLEFFDIGSNKLSGQIPSDIGHKLPNLKLLIMSMNLFDGSIPSSLGNASNLQILDLSNNSLSGSVPKLGSLRNLDRLILGSNRLEAEDWTFIASLTNCTQLLELSMDGNNLNGSLPKSIGNLSTHLETLRFGGNQISGIIPDEIGNFINLTRLEIHSNMLSGKIPWTIGNLRKLFILNLSMNKLSGQILSSIGNLSQLAQLYLDNNSLSGNIPVNIGQCKRLNMLNLSMNNLGGSLPVELVKISSLSLGLDLSNNKLSGSIPQEVGTLSNLVLLNFSNNQLSGEIPSSLGQCVLLLSLNMEGNNLSGIIPESLNELKAIQQIDLSNNNLIGQVPLFFENLTSLAHLDLSYNKFEGPVPTGGIFQKPKSVNLEGNEGLCALISIFALPICTTSPAKRKINTRLLLILFPPITIALFSIICIIFTLIKGSTVEQSSNYKETMKKVSYGDILKATSWFSQVNKINSSRTGSVYIGRFEFETDLVAIKVFHLDAQGAHDSFFTECEVLKRTRHRNLVKAITLCSTVDFDNNEFKALVYEFMANGSLEMFVHPKLYQGSPKRVLTLGQRISIAADVASALDYLHNQLVPPMIHCDLKPSNILLDYDMTSRIGDFGSAKFLSSNCTRPEGFVGFGGTIGYIPPEYGMGCKISTGGDVYSFGVLLLEMFTAKRPTDTRFGSDLSLHKYVDSAFPNTIGEVLDPHMPRDEKVVHDLWMQSFIQPMIEIGLLCSKESPKDRPGMREVCAKIASIKQEFDKTM >KN541099.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541099.1:21710:23442:-1 gene:KN541099.1_FG003 transcript:KN541099.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPPREDGAGSGGGDGAKKGKGRWVGGGRRRNEQRLGSGGGGALSLAAFANAKSRNTGYNPALIKKQKEFYKNAKLISKYKRSKKQQNQSSNPPPFPIPKEGGDDANNASKLHSKKKKRVAPSLNEEYEKKRAEDEKAKKEREAIIQAKREERERSEARRRDLREKMFKKTRSGQPVMKYRIQHLLETALESSNK >KN541099.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541099.1:14604:16039:-1 gene:KN541099.1_FG004 transcript:KN541099.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEGGKEEGHSASEAASIVLRIVTVGLSLASAITTAASTQCVRGDDGGVARTDSYSDYHSFRYAAAADLVSAVLQGVAIYLEACSTTPIMNILAKQRLSYQLS >KN541830.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541830.1:13198:22414:-1 gene:KN541830.1_FG002 transcript:KN541830.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRAAVFAVLTLLLSVAAIAMARPIRRSDLGLGLDANGGLGVGLGLDIGLGGSGSASSSGQGSGYGAWSGPNDGSYTASGHGSGMGSGFGYGSGSAYGAGNGGSASGCGSGSTSCSGSGSGSVGLGTSINVGVGVGANGGTNGGSDCNTGSGSNYGSSTGSSSGSGSGGVFYRSRGHGGSSSIGSGSGVGLGATSGVGAGSNVGPSGGCSTCGSGSRSGSGAGGGSYSGSTSGSSSSSGSGSNSNSIRGSGSSSGAGSGSGSYSNSGAVCEHINETDVSQLHMNITPGWQMWQTGTLQSMCRSQDCR >KN538881.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538881.1:141351:141797:-1 gene:KN538881.1_FG001 transcript:KN538881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLGGSRQPVRVRPTWTEENDGCCGEGLEWLLAVLVGVGDGIAGGNIGLAHDGAPVWWTCELVEVVWAKEIWGGNDRFGRECRRRKPSLGFLELTMSMPLGVMIPLGVLSSGHHSSTWQDSPSENPVQLERQRWHLRCHDLLGGVA >KN538881.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538881.1:177184:178362:1 gene:KN538881.1_FG002 transcript:KN538881.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLADSWGSAPASPIGFEGYEKRLEITFSDAPVFVDPCGRGLRALSREQIDSFLDLAKCTIVSQLSNKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGMFIFPGAQPSPHRSFSEEVSVLNSFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTLEMCMTGLDAKKAEVFFKDSTDGSCSSAKEMTKLSGISEIIPEMEICDFEFDPCGYSMNGIYGPAVSTIHVTPEEGFSYASYEAMNFNPSSLVYDDLIKKVLACFCPSDFSVAVTVFGGHGFAKSWAKGAEVDSYMCDDLVEQELPGGGVLMYQSFTAVTPGAVSPRSTLDGWNSDGAEMVAKSKEMSVCWEGEKAVKKKDADA >KN538881.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538881.1:118716:122785:1 gene:KN538881.1_FG003 transcript:KN538881.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISGTIVCVGGAMVMAFFKGPKLLNYTLGDLNMLLHSPAISKWVLGALCLVVSSSCWSLWLILQVPICKFYVDPLSLSAWTCFFSTLQCAALAVFLVPDENAWKIHSLFELSSYAFAGVFGSGVCFYLQSWCISLDGSSCCDHRVWFYAAVDMALKAAYGMGMRPIVFVAYRQGVAAATLLLASLAARGWDLRRHMAVGAPAFALLFAASLARQLISRLILVGSATGQYFYFLGLQLASPSMARATTNLAPGITFAIAAVIGYHFFIVSISGVDGAAVRLEKVDLRSSRSLAKIAGTVVCLAGAMAMAFFKGPKLLGAVLVAATADDDWVKGGIYLIGNAFCVSIWYILQVPVCRSYLDPLSLATWMCFLATLQCAVMAFFLESNYLQIWKLASIWELPCILYGKVIKIE >KN538881.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538881.1:142687:143325:-1 gene:KN538881.1_FG004 transcript:KN538881.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSVVLFLLASRAAPPIKSLPPTSGDSSIDPSTQPHICEVSVKIGALVVVALLCVLSGGCVESRRVARMGLDIGLGGGQGIGLGIGLGLGVGAGTGGVSASGSGSGSGSVAGVGSTSGSRSGSVSIGGASSSAGSSAGSYAGSGGSGAGSSAGSRAGSGGGQGYGYGGGSGSGSGSGYGEGGGYGRGSGNGSGLGFGEGYGYGSGSGGNP >KN538881.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538881.1:148804:157026:1 gene:KN538881.1_FG005 transcript:KN538881.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLAQDMVTGMVKAMVKEMAPAQVMVRGMAKAMVKGMALAQDMARGMVRATVMVKDLVMVKAMAQDMAKDQGQAMAKDMVKVQAVDMEMVQAQAMVNKFALPRMNVDCQAVNILLARRFWHERFSVAESPLEFAVAAGAAHPSASLASWTYSGACVAGSKALVSVALRDAFGNGVARGAAMPGGNGNLKVSVSRSNGAIVEFKDFRCNGWAEDGRISLEFVPVVAGAFLVRVQSDDNTLRGSPLLLTVNPGPIDIAKSTCSWKYGTNVLQIFSKLEIFIHQKDYFGNAVPDIHPFDARIVKRATNLSVPVADLLIEVVDDGTRLLSFKTVDPGEFVLTIFDPKLNQKISNMDYEYNVFLGYCDGSNSFANGSGLSHSVAGSVSHFMVYLQGHYSYPSPIESAWLKVQILSKNGASVINSTISPGELNEETFVGGHFSTFVGGHFSGGPTGHQEKIIAGNMRTNSFNVSYTPKFAGEYEIWVQCGNIVINSGNPYKMTVSTGVVSTDLSTVVTFVRKVKTSVHNEVVVQLVDPFMNPMIHLASKLRIQLSSANITTPTNAPSFTAGEFVDNKDGSYTTYYVAKNTGLYRICIQFEDAQLKPCRFEVHVVQGIHDNFVPTFLKPLHGSVLQYNQKNFRYTPFEGFFGNDTFWYIIFDKHDNIAYGTVFISVLCRPPQFISLPQQLHATEDTIAPQFGGFPGIKIAYSDAAENISVMLQAQSGNVSLAPMPMKFHQTSYDLLSISTGDRYGKDLIFNGTVEAINGALQFVKYIGNEDFYGNDIIKIYAMSRSGREDAQVPIFVEPINDPPVILAPESIFLGVKKSIEGYQIFDKQRDPFEFSIVEPDLQSFPGNKSHLQLVLSLEVYEGALMVTLTAGIVATAEVKIEGNNCWQPLQTSSADHIVLRVAGIRFRGSVSDCNNAMQRLFYQGRSNETTLVIIVNDLGYFGCYPDCSMKSGTPLSTIKTIRLLIRKSVKSRDLLLRTALTIELSLGCVLLYYILKCICALKGKGKNHNKKTHKLKKTASHQNTSTSSSDDAGYLSAPATVLSSGGNRSSLRQRSPRSRRQELELQPLTMSRNNGDQDDQLAEHKDK >KN538881.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538881.1:116092:117067:1 gene:KN538881.1_FG006 transcript:KN538881.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLAAAAREWTPCAAMVAAQCIYAAMTLWAKAMFGRGVSPVIFVVYRQAIGTLVLVPITLIANRAKVKETRSLGTTGLFLVFVTALLGATVNQNLTYQGLHLGSSSMATAMTNLIPAITFLMAASAG >KN538881.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538881.1:180226:182508:-1 gene:KN538881.1_FG007 transcript:KN538881.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVAAAEGGVRRRRRYALLLAARDSDYVRKVYGGYLEVFVRAFGDDGDVGGGGGEEWDMFRAVDGELPGADEVDGYDGFVISGSPHDAYADDLWILRLCLLVRDLVAMRKRLLGICFGHQVATSMQAAALSGRAGHVNRDVILVVFLSCVSSRFLDDALQGITAAAAPYAKITEVHQDEVWELPAGAEVLASSSKTGVEMFCAGDRVLGIQGHPEYTADILLNLVDRLSSAGSITMAVAEGVRRQLEDTGPDREFWIKLCKSFLKTEEE >KN538881.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538881.1:197242:202634:-1 gene:KN538881.1_FG008 transcript:KN538881.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVKTKNASRGTSYDITTLPLHLQSYRLTSTKKKLRTRSMCLLVTYTVQHVQFTSVTRHIHMLHQIANLLWRFQFYYLKYIRLLDEGPCSPALPRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPLGAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGKRHAIISDSDMIFKKQF >KN538881.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538881.1:144631:147150:-1 gene:KN538881.1_FG009 transcript:KN538881.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGIGGFEKLLLLVGLAALDPTLDPALEPANDPALEPELEPVEDDVLELAEDPALEPLPDLDPEPEVEAEPEAELELELLVPPIPTPAPSPIPRPPKLDPELEAETDPEADPKPGLDPEPDTDVEPDLELEPEPPPPRPMPIPSPSPRPRPPDPEPEPDPEPDPELEPEPDPEPEPEPPTPKPIPTPIPSPPPRLIPRSFLAKRLSPTGASDAATATKTTKAMDFVQTIVLYCLQHATATILRASNGDAMMQCAEKLAHAYAYAGAASLEENDGRLTHAKRLVWITG >KN538881.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538881.1:125340:127879:-1 gene:KN538881.1_FG010 transcript:KN538881.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNSLLGILNAVTFLLSVPVLGGGIWLATRADGTECERYFSAPVIAFGVFLLLVSLAGLVGACCRVNCLLWFYLVAMFVLIVVLFCFTVFAFVVTNKGAGEAVSGRGYKEYRLGDYSNWLQKRMENSKNWNRIRSCLQDSKVCKKLQDKNWDQTQFFKADLSPLESGCCKPPTSCNFAYIGGTNWTTTPASTTTPFSDPDCKTWSNDGTQLCYNCQSCKAGTVATLKRDWKRVAVVCIVFLVFIVIVYSLGCCAFRNNRRDNRGAYRGAAWKGGYA >KN538881.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538881.1:106553:110477:1 gene:KN538881.1_FG011 transcript:KN538881.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGWEEYKPCAAMVAAQCIYAALALWAKAVFTGGMSTMVFVVYRQAIATVFLVPIAIIANRRKKKETRLGMTGFSLIFVASLFGVQGNGKPIRVLPGPASGIVVDGDGHEQPDTRDHLRDGSVSRTYTLNHTLFQTRKGGPQESEEPGEDIRHHRLRRRSHGHGVLQGPQAAQLLVADRRPELSSPLVSEQQVGDGRPVPHLQQLLLVTLAHLAGPKSDRLTQPYAAMPPIAKSCSDVPICKSYMDPLTLSAWMCFLSTLQSAVLVSFLVPDINAWKIHSLFELGCCLFAGVFGSGVTFYLQSWCISVRGPLYSAMFNPLCTVIATVVAAAFLHEELHIGR >KN538881.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538881.1:158939:164096:-1 gene:KN538881.1_FG012 transcript:KN538881.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRLLSAGLLSPAASRARHSSSLQGLRALSTLSTTLGAGAGEDEIERIRREFEDAKRNYLSIPVAIKDMAKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDHGFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDHARQLVGLMDVFCFSEACLLADIVQHFVDAKLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKGKNLFLLTNSPFYFVDGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEDEIDIQNGDSYRFQQVALTILTLQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSAMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSGS >KN538881.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538881.1:195412:195810:-1 gene:KN538881.1_FG013 transcript:KN538881.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFQHDGHGAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTTTSTTTSSSSPSPPQATKDDGASPQCSFPEDIQTYFGCAAEDGAAGAGYTDVDGLFFGDLAAYASPAFHFELDL >KN538881.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538881.1:138387:138860:-1 gene:KN538881.1_FG014 transcript:KN538881.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKACNLAAALLLLLMVAFMCMSSGVLVEGRPVARREDLSIGLGGGGGGGGGGIGIGVGVGVGVGLGPGGVSVSGSGSGSGSAAGVGSVSGSRSGSVSVGGASSSAGSSAGSSAGSGGSRTGSSAGSSAGSSGGSGLGIGFGQGSGSGSGSSGNP >KN541289.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541289.1:16732:19434:-1 gene:KN541289.1_FG001 transcript:KN541289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMTTKTKPWMAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGAVTMLPFAFWFERQMMKKVNYTVLGWIFFNALFGIVLAMGLHYYGLRATNAGYTVNFLNLVPVVTFIIAAIFRLEKLKLKTRSGMIKVIGTVICVVGTMVVSLYRGKLLHLWPTHLLKPAQLRAIGGSDDDSTFPTHHNMLIGTLFLCGSCLSYAFWFIVQAKVNKKFPSKYFSTMLACLMGTIQAVVIGIAVDRDRSAWALHWDLQLITIIYSGVFNTAATFCLITWAVSRRGPTYPSMFNSLALIITVVLDSMLLGSDISVGSLIGAFMIIIGLYSFLWGKGKEIKEQQQQIPANTDADQSKITYPTSNGEVRIMLDT >AMDW01039908.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039908.1:849:1142:-1 gene:AMDW01039908.1_FG001 transcript:AMDW01039908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGNKIDLKHLRSVSLEDATSFAEREGLSFVETSALDATNVDKAFQTVLTEIYRIISKKALAADEAGAGAGAVREGQSIQVSATDSSSFTSRCCSF >AMDW01040274.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040274.1:229:1106:-1 gene:AMDW01040274.1_FG001 transcript:AMDW01040274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQESYPMENGGREHQIIDALSFDQSYQRKSSINSNVWNGNPNKLSEEMVRCMRDIFLRLSDSSSEISPKGSSVNSISSTERLSGCTLTSVSDSSLMASVMQSPSIDSNHDSIDEVRYFDPYNVNGKEVRRDIGNYCSVAEVSWMYVGKEQLAYASEALKNFRNLVEQLSKVDPTCMNCDERLAFWINLYNTLIMH >AMDW01011911.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011911.1:11:136:1 gene:AMDW01011911.1_FG001 transcript:AMDW01011911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPPVVLITTVMCLASARTLAVSAAVAVAGVAMYYGVEHMK >KN540812.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540812.1:29292:36457:1 gene:KN540812.1_FG001 transcript:KN540812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 19 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/Swiss-Prot;Acc:Q9ZUL5] MRRGYEEIDDDEWSNHSFKPSRERLRRAGKSTRVLNRPQRQHSPPARALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFGIPSSRNSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQVWSFDSMDVSEQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKTQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGWIGKLKIAAIALDNRNLSLSIVMISFSFRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLISMTVNGYSTLPRIPYNLVLDEHSNCNVWKISHMISKI >KN540812.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540812.1:17:3112:1 gene:KN540812.1_FG002 transcript:KN540812.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAVKEAARLPNGEAFVCNCVAVSSATGSIDPGHRLLRENGIRFIPMDTYLTKEFMDYEQQRARAAQSISSCAGILANACRALEGEFIDVFAERLDASSKKLFAIGPLNPLLDTGAMKQGRRRHECLDWLDRQPPESVLYVSFGTTSSLRVEQVAELAAALRGSKQRFVWVLRDADRGNIFAGSGESESRYAKLLSEFSKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKADNEADGADMENKVDCKKIDRDRNPTRVSHKWR >KN540812.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540812.1:19847:21679:-1 gene:KN540812.1_FG003 transcript:KN540812.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFSSREEEHGSNDDSFRESLVSLLDNTRDYVMAPGVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGPGVSSIEHGIASSAPLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCPDGSVLEASFCMRTSPDVSTFSGHRSATAEELMSTDTREQEITRLARSCSSSGSDPKKKKISEVRGGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHELRGRGLCLVPVSWTSQEYCDNILPECWAPAYRNYFYR >KN540812.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540812.1:10038:12199:-1 gene:KN540812.1_FG004 transcript:KN540812.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSWHERAHTCIWFPEQTAVLRRNRRGAPRSLLSICRISLIWSRDISGVVVICQDLPPKTFANVFIAVVGSGVLGLPYTFSRTGWVAGSVLLLAVAALTFHCMMLLVACRRRLAYDHPKIASFGDLGAAVCGPAGRHVVDAMLVLSQASFCVGYLIFISNTMAHLYPVGGDSSPSSPLLTAKAIFIWVMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLANKPPVFAFAGPTEILYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLCRKRYAWWLRWLLVMVVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEVGWPGLAGDVAVIVVGTALAVSGTWTSLAQIFSSSDV >KN540812.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540812.1:4599:5993:1 gene:KN540812.1_FG005 transcript:KN540812.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNLANKVAIVAVPFPAQGHLNQVLHLSLQLASSSHGLTVHYAAPAPQLRQARARVHGWDDMALLSVHFHDLGISMYVSPPPDPTADTPFPSHLMPLWEAYTADARAPLSALLGELSASYRRVVVICDIMNSFAVEEAARLPNGEAFPLCCVAVSALALHIDTGHRLLRENGLNHAPLETYMTQEFLDYASERARESQSILSSAGILANASRALEGDFIDDLAETLAAGCKKLFAIGPLNPLLNTGSSEQGRRRHECLDWLDRQPPDSVLYVSFGTTCSLRVEQVAELAAALRGSKQRFIWVLRDADRGNIFAGSGESESPYAKLLSEFCKETEGTGLVITGWAPQLEILAHGATAVFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCKYLKAGFLVRPWEKHGEVVPATTIQAAIEKMMASEEGLAVRQRAKALGDAVRSSRNDLEDFIAHITR >KN540812.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540812.1:26269:26634:-1 gene:KN540812.1_FG006 transcript:KN540812.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLHKPPKKHKKNKKPASDAPADAEADAEGAAAPSAEGAAEGGGDEYTIDAAKRMKYEDLFPVESKKFGYDPANAAKASRSRTVEEALDDRVRKKADRYCK >KN540988.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540988.1:186:542:-1 gene:KN540988.1_FG001 transcript:KN540988.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVSASPGASASNEQSSALRDVRTLQRTMARIQRTLATTDEHSIRDASERLHLRELQQFAYDAQDAIDEYKFELLRRRMDDPNSHGDGGSSRKRKHKGDKK >KN544973.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544973.1:827:1647:1 gene:KN544973.1_FG001 transcript:KN544973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding THANKVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRHRRHAKLRLRHLVRTHTGASDDAYSCALQMEDDAGRPGFHLGKDLPRAAVHAFVKNLRLLAPRVLPLPELLRLAFATFLSSGRRTAGGKKTSPSPQQPLTIRMKAGVDHFCVHTGGAAHDLEPSRMTLHRFGNTSASSVWYVLDYMEAKRRLRPGDRVLMLTFGAGFKCNSCVWTVEKPVSDAGVWKDCIEHYPPKELANPFMEKYGFVKDMMNL >KN540988.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540988.1:34861:35354:-1 gene:KN540988.1_FG002 transcript:KN540988.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKPASSFEAVADSRGTKKEEKQPPYQYKRAWQLSELGWRTNPSATGSPELLQLDRREDHARRRERQGQTTNCFNKQ >KN541863.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541863.1:1296:1931:-1 gene:KN541863.1_FG001 transcript:KN541863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWFLINSEEETWVKEYKIQIQLRPREFYAHPLVLDERMIVFCVRPKGRVMVYDLETGKCRDLGVGDCVEVLLRERDRVELNVWLEKLKQKMEELRLGDVLPDTALV >KN540988.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540988.1:20654:23310:-1 gene:KN540988.1_FG003 transcript:KN540988.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIKPAPAPASSEEEKEVVATAATGERRHEEATAGREEQEEEEEEEAAPVVLKKGPWTTAEDAVLVKHVRQHGEGNWNALPGRTDNEIKNYWNTRTKRRQRAGLPVYPPDVQLHLAFAKRCRYDDFSSPLSSPQQSAGSNVLSMDAADAARASSSGYTSARPPPLDLAGQLAMGSRPVQLLAATPFSAPSSPWGDGKPEHSSDDQDHSNGADVVLDLPILTGGGGGSSEQDDWSLDGAACQWNNISGGIC >KN540988.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540988.1:7689:9250:1 gene:KN540988.1_FG004 transcript:KN540988.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGDGGLCVAFGWAYLLPGVLVPEVIPGSAAARAGLLPGDVILSVPGLAPDPSVPVLVDLIKASPNKDVSVTVSRTGPGPGDRRSIDLTVVPDTSVDGTGRIGVQLSPYFRVTRVHPNNLAEATVLALREFTALSATVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSAEGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIEQRIMSSGILVVLMVGMFLIVRDTLNLDFIKDIL >KN541863.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541863.1:18630:19683:-1 gene:KN541863.1_FG002 transcript:KN541863.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTTAVALLVAAAAMAAQTSHETTGGWATAPDGPYSWGYCFKEEIGATASYCVASAEWPCAPDKKYFGRGPIQLSYNYNYGPAGEAIGEDLLNNPELVASDPVVSFKTALWFWMTPQSPKPSCHDVITGQWTPSSGDIAAGRVPGYGVITNIINGGLECGFGPDDRVANRIGFYQRYCDVLGIGYGSNLDCYDQRPFNSGLTAAQ >KN541863.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541863.1:3174:5670:1 gene:KN541863.1_FG003 transcript:KN541863.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVQLLLLTVALTLPTSVHPQSGTPREDDVRCLQGIKTSLGDPDGRLASWTFSNTSAGAICELSGVSCWSPDESRIIGLSLSGFGLTGAIPSELQFCSAITALDLSSNRLGGQIPPALCDWIPFVVKLDLSGNQLSGPIPAELANCKFINSLKLSANSLSGKIPASLVLLGHLKSLDLSNNNLDGAIPPQLAASFSADAFADNPDLLEPHSGFDLGVLFGRPEAAAAIAFVAWEVWARNAATSRLRVSASPVAAKAGNAPAAATKAR >KN542836.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542836.1:6194:6586:-1 gene:KN542836.1_FG001 transcript:KN542836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSNGKDRNDKEDQTESYEERERTRAAVTEGEKLIGVRNPQPRQMEKEKKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >KN542836.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542836.1:11990:12238:-1 gene:KN542836.1_FG002 transcript:KN542836.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALKFARTEGIIPAPEPTHAIAAAIREAMECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDMVDLSHSDEKLQEALAAVPKI >AMDW01028156.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028156.1:209:379:1 gene:AMDW01028156.1_FG001 transcript:AMDW01028156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSPEFMGAEAKAPLLEGRRGATPAQTLGNIVVSLVGTGVLGLPYAFRTSGWLAGALG >KN540193.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540193.1:165:13869:1 gene:KN540193.1_FG001 transcript:KN540193.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:187-kDa microtubule-associated protein AIR9 [Source:Projected from Arabidopsis thaliana (AT2G34680) UniProtKB/Swiss-Prot;Acc:F4IIU4] MEAPPETSKPAEAKPSKTRLSAPTGRFALGTASSIKKRTDVAPSAELGMSRSSLTKSTSSMNAAPVQRRSSTGSAGKQQDNGSSVVAKKASPSLSDGVKKSKPVTASMVSSKSSLEKKSSVQSERAKMDAMKKPAVKSSPISTLKKVPSLTENSSSSASSSFRRAASNATLNSPRSPSVTSSVTKKVGSRTSSMDKGSSMPVRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRTLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISILKGFPHLPSLEHLRVEDNPLLEMPHLEAASILLVGPTLKKFNDRDLNPGEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDLIVKKAHVDHPFEEDPCHCHFSFTNQCDEGELVLKYQWFIGGKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDAEFPPIFAVSLPVSPGTGCPKVINLTVHGDLVEGNVLRGVPEIAWCGGMPGKVNTIDFVKPSFEASWLRRRWNGNAVVIDGADRMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVHVVGDIVEDNTIKGNGKYFGGKEGLSKFLWFREKENGEFLLVLSNSTEYTLTKEDVGRPLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVLDLKIVGEAREGSKVSATATVKGGTEGFSRVQWFIGSSSKFLNENELGVLTTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGEPAYAVSADVVEMLPPSLNFLTVTGEFSEGQMLTASYGYIGGHEGDSLYSWHLHETEDDEGSLVSEASGLLQYQVTKEAVGKFLSFKCVPIRNDGILGRPTILSLELTGEAIEGTTMVASRRYWGGEEGETIFRWILTSSDGSQKEIGGAASSSYTLNYNDIGFYISVLCEPVRSDGVHGSLVSTEESGPILPGPPTCLSLELAGPMVEGGCLTFHAEYTGGFKGDCIQEWFRLHSDGSKEKLSTDECLDLTLDDVDSRIELIFTPVRDDGSQGSPKSVLSDTILPGDPKGVDLVLPECFQDNEISPIKTYFGGKEGTGKYTWYRTKEKLDNLEPDLVASCSEVGVNLMYKPSLDDVGFYLILHWVPARYDGEIGDPLVAVTSDPVMAAFPSVSDVHLKQKSSSLYSGTGVYYGGYEGSSLYKWYRESSDGTRHCIDGADLIIYEVTDADYSCRLLFGYIPVRSDGIIGEERLSEPSDIILPERLKIEALSFKGNQVERETLTVLEQIPSTAVQQHLWSNYKKEITYQWFASSGSEVDQTFEPLANQCSRSYKVRFEDIGRCLKCECSVSDVFGRSSELISIVTAPILPGKPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVIIYTPVREDGVEGQPISASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPTTEVRGTYVPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNSLLKIEA >KN540193.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540193.1:48027:49498:-1 gene:KN540193.1_FG002 transcript:KN540193.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGRNLVSWNSMLNSFAANGRPNEVLTVFREMLGVNFAPDGFTIVSVLTACAEIGALALGRRVHVYVEKVGLVDNSHVSNALIDLYAKCGSVNDARRIFEEMGLGRTVVSWTSLIVGLAANGFGKEALELFSLMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFDRMKEDYGISPRIEHLGCMVDLLGRAGRVEEAYDYIITMPLEPNAVVWRTLLGSCAMHKKLGLGKVAWERTTLTMDTEHYLMVQVRKLQRYEACYADDPAII >KN540193.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540193.1:45099:45688:-1 gene:KN540193.1_FG003 transcript:KN540193.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVDGVTWCVARPGVSQEDLQNALDWACGQGGADCTPLQPGGRCYQPDTLLSHASYAFNIFYQQNGNSDIACNFGGAGTIIKRNPSFGSCKFLASETSAASALNRRSMWMIFAAASMIILHLRVFQSV >KN540193.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540193.1:15157:15793:1 gene:KN540193.1_FG004 transcript:KN540193.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit psaK, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30380) UniProtKB/Swiss-Prot;Acc:Q9SUI5] MASQLSAATSVPQFHGLRTYSSPRSMVKLPSLRMSKKRSQGIRCDYIGSATNVIMVTTTTLMLFAGRFGLAPSANRKSTAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHIMGVGVVLGLKNIGVLDQIIG >KN540193.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540193.1:50815:55457:-1 gene:KN540193.1_FG005 transcript:KN540193.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein ERD4 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/Swiss-Prot;Acc:Q9C8G5] MDTPSFVTSLLTSFVIFVVLVLVFTWLSSRPGNAPVYYSSVLLRGLDPWEGRGRGTRSPVGWLRQAISASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAATDDNLNLERAIGLKNGKTPQNFTELEKLALGNVQESSRRLWAFLLSVYWVSFVTYFVLWKSYKHVSNMRAAARSTPDVKPEEFAVLVRDVPKPPPDQTIKDSVDSYFRALHPDTFYRSMVVTDHTKADKIYQEIEGHKQKIARAEVVYAESKTTGKPEGTKPTHRIGFLGLLGKKVDTIEYCNDQIKELLPKLEAEQKTTLREKQQQAAIVFFNRRSAAVSASQTLHAQMFDKWTVEQAPEPRQIIWSNLSKKIYERQIRQGHTVRAAAGKYFYFIVFNVFLGVTISSTLFSALTTIINNPPGIVNMLASSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALLIYQITMVGVILLKKFIYSPVLVPLIPISFIFAYICHMRFYPAFAKTPLEVVQHDVKDTPNMDAVYTSYIPACLKPEKLEDVDIFEDAQSHTTSRAPSI >KN543998.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543998.1:77:1885:1 gene:KN543998.1_FG001 transcript:KN543998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKTEHNQKSLKGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENDELNIRVELLCEIYTTVFKDLVSKLCSESAEHSIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLEQNIDFNVLRFPDENACTNNLGRFSMIGNIEQLYTMKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLAEQDHFDLQMALVSFTSFQEVFMNFEAVTCEKLETAMLRLNYLKKQQGNLIEQMRSLKMSEQSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >KN542499.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542499.1:185:1441:1 gene:KN542499.1_FG001 transcript:KN542499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYVIVPFLDYHGPLLGVDEVCLDPDIREFAIKKVMVRFSNDEVLNNLFMMVWETKDNDINLEHELRNEIEKNQNYTNPNRRELICTTYMDSVIHSIARLLIVHQGFKDDIISNLLHLDLTRQERHQLLSQLGLDYHLWAYDEKWYSEYCSLEILRNKGSSLTDSVFEELSYMLWREIYRSIQRISAPDCHTSSNLCLIELFKLREVFKDQKARASGENAISYNESNGFPGAKDGNYVADVLGEAMVAIEKAIQDSYPVWSIPLVLDPRYKLARTKFIFQTAFSTEAAADYISEVTRNITELYSDYVEDDDSTMNAVAVGSTDPLQEAWDEHRRAEAQTDLDRYLKDELVHDPAQGFDILNWWKVHGSVLYPTVAWMARDALAMATCSKPSAEQLAHITSIVRGYSKKRFAYCHVFN >KN542499.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542499.1:6212:9091:1 gene:KN542499.1_FG002 transcript:KN542499.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSSPASTSATAAATNLESTSFDDGRSHSSKITPIIELVVVTDPEEPPPASRSHGHGPRRRSWRRPCPPLAKKAAAEFVGTFILIFAMLSTIVTDAQRGGVEGLVGMAASIGLAVAVLVMSLAHVSGAHINPAVSVAMAAFGRLQPAHLLPYAAAQVLGAVAAAAAVDGIFHPASRGWMVKELIAVAVGGTAMMNVLVAGPSTGASMNPARTLGPAIVAGNYTQIWVYMVSTPLDAIAGTGYYFAIKL >AMDW01098826.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01098826.1:39:299:-1 gene:AMDW01098826.1_FG001 transcript:AMDW01098826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRVLDFEGTRGLEYHHLDQIWKLIHLKFLSLRGCGGIDLLPDLLGNLRQLQMLDIRGTNVKALPKTIIKLQKLQYIHAGFERNY >KN541327.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541327.1:2849:3757:-1 gene:KN541327.1_FG001 transcript:KN541327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYLMHGALSAKADVFSFGVVVLEIVSGHKNSSFVPPPDSDADNLLDHADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGTRGGGGGSHFSVGSTSGTSSPSTSTTASHATTSAASNAMTTTTSSTHTMRSQGLPLHREERGVELKKDDESG >KN541327.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541327.1:18047:26396:-1 gene:KN541327.1_FG002 transcript:KN541327.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHHNYARRQEGLASIRHKVVTNLLFLYYSKYDDEYDMLNPFELLVAMDSIKQNYGLDLAYTSLGWLDLSYCNLDEDLSDLPNSVSSMIDVTSDEVAICNNDGENAKLANISTTKDSSSSAAGNDSSGFKWLGPESHSKKREELQILLAKGIYIHVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDTLRIRLSGRTRIRPHNMSERENPSTISVGTVIDGWLYDGWWEGIVLKLVAMSTEFFPLSEFAGEKKMVLFHKDQLRHSLEWIDSKWKAFAHREDLRISHYCTRSSYKRRSNEANGRPSNKQRVKLYYMTLRMNIDCNGCYHKIRRALLQMQELESHLIDRKHGRRLSSKDLPELNR >KN541327.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541327.1:15655:17311:1 gene:KN541327.1_FG003 transcript:KN541327.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRQPAALPPVPGATVVPFTTMQAAVSRNMMESLSVPTFRVGYAVCTDKLDALCEKVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLQPDEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGGSRPTLVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIIEDPESLTL >AMDW01038960.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038960.1:85:900:-1 gene:AMDW01038960.1_FG001 transcript:AMDW01038960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYANSWAREVGVLAQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLGEEKVAERVGLFAFLLTFLLSSTTEALPIFLQEREILAKETSSGAYRVSSYAVANAVVFLPFQLALAVVFAAPVYWMAGLRRTAAAFGYFVVLVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPSCWVFMHYLSLFKWPFEALLVNEFAGGGRCVARVMGACVATGDEVLRREGLGDECRWRNVGVMLGFVAAYRLLGYAVLRA >AMDW01040727.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040727.1:65:2061:-1 gene:AMDW01040727.1_FG001 transcript:AMDW01040727.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DTVNPSAKSTRRYPLLSWTTILALIALVGVYIFSVSLKQNGMLLGLKQTDMIEKEREKLCQDPSIPVTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWIETLLNSHENISSNGEIFSIKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLVQHHEEVVEYFNRRGVSAIFLLRRNTLQRYVSVLANAHDSKAKQINGTHKSHVHSEREAQILAQFKPEIDTKKLIADLKKSDKLAADALLYFKKTRHIILYYEDVVSNDT >AMDW01033722.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033722.1:18:509:-1 gene:AMDW01033722.1_FG001 transcript:AMDW01033722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADNGGACGFKKVNQYPFMGMTSCGNQPLYKGGKGCGSCYRVRCNRNPACSGNAQTVAITDMNYFPLSQYHFDLSGIAFGRLAKPGRADDLRRAGIIDVQFARVPCEFPGLKVGFHVEEGSSPVYLAVLVEYENGDGDVAQVDLKEAGPGAGGGRWTPMRESWGS >AMDW01023084.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023084.1:40:249:-1 gene:AMDW01023084.1_FG001 transcript:AMDW01023084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNLVEWFKGMVGSRRVEQLVDPRIEDPPGARALNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRT >KN544429.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544429.1:620:1321:-1 gene:KN544429.1_FG001 transcript:KN544429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAGSGNGSILPTHTTTAPPFRTHKDVDLESRRRRRRRRCLCCCLLVTLLLLLVLAITLLVLFLTVLRVRDPTTRLVSTRLTGLSPRLSFPAMSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVADVTAGSVALEASTRIPGRVAILGVFKRHAVAYSDCQFVFGVAEMGVRSQQCSDRTKL >AMDW01035332.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035332.1:71:430:1 gene:AMDW01035332.1_FG001 transcript:AMDW01035332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQ >KN543410.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543410.1:2681:4960:1 gene:KN543410.1_FG001 transcript:KN543410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPELASVANDGGVSPLYLAATNPRAVVKNLFYCTRAPVTLEGDHARTGIPSAMEDADAPKDSGSVTSTGTVASVLIATVTFAAALTVPGGYVADDHPNAGTAASAGRFAFRAFAVSDTMAFLCSIVGTCLLVVGEAREGSAWALVTAGAQFMVAAFAFGLQVALGGGADSRWLVVFVHALCSASVLLCFPGIWAPFCLGKYNTPYQIRCQSYSMTA >AMDW01032720.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032720.1:44:481:-1 gene:AMDW01032720.1_FG001 transcript:AMDW01032720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVLGSALHGLIFALSELVFVRVLGRRSFHVVLEQQAMVSLCAFAFTTAGLAVSGGGFPAMRREAAAFRHGEASYAMVMVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPVTSIAAVIWFHDPMSGFKILSLLITVWGFGSYM >KN541542.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541542.1:2455:3915:1 gene:KN541542.1_FG001 transcript:KN541542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCIAIAVLLSSSLAAAVTGPPGTIERVVKQQILASIPPGGHGAGDVHPPVLFLTSPSGKYAAYFVRSHTVPGAGGLGADFCYVAKLIVLFRIHTQLGIIRSNQSNKIQMG >KN541542.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541542.1:15907:18170:-1 gene:KN541542.1_FG002 transcript:KN541542.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEILELSNGRITAKIAAWGATITSLIVPDAHGNLADVVLGFDTLEPYMEYVGTGKGGQKGISPYFGCIVGRVANRIKEGKFTLNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKSGECPSITFQYHSKDGEEGYPGDVTVRATYSLPDSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKDTPFDFTTEHKIGARINDVPGGYDHNYVLDSGEEKNGLKHAAKLKDPLNSRTLDLWTDAPGMQFYTANYVDGITGKGGAVYEKHSGVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLFEFSA >KN541542.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541542.1:13027:15320:1 gene:KN541542.1_FG003 transcript:KN541542.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGWTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPSGSIQQKVFDEHFNTISKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGIGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >KN541542.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541542.1:8006:8557:1 gene:KN541542.1_FG004 transcript:KN541542.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPISGDRRLLSFPLVDGKFLSATVVPAAAADRRSFRVVAVFAERNTFTSVFASVYSSDAGVWSDYVSRLSLPWEVWVLRPSVLAGNAVHWFLDGYNVLMFDLESQKLGFSELPLDAKDDEDFPHRCRCQIIPAGDGRLGLAVIVGSTMQLWEREIGDGSDATWLLRRTLQLNFLPRSRGA >KN540203.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540203.1:24640:28681:1 gene:KN540203.1_FG001 transcript:KN540203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLNLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKLGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDLATCALGAIQISSAGMTLSLFAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >KN540203.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540203.1:11189:11506:-1 gene:KN540203.1_FG002 transcript:KN540203.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYGLPALPKPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKAKSNAIATSPSSS >KN540203.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540203.1:30494:31799:-1 gene:KN540203.1_FG003 transcript:KN540203.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLWRNGPRGPKSLCNACGIRYRKKRREALGLDAGEGGAERQEKKKSKRERGEEVTMELRMVGFGKEGLSVETNQSHIQTEDWRMESDND >KN540203.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540203.1:51356:54267:1 gene:KN540203.1_FG004 transcript:KN540203.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQIRVDEESGRIVDACFKTFGCGSAIASSSVASEWIKGKQMEDAASIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKAAKLDKGNE >KN540203.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540203.1:8976:9233:1 gene:KN540203.1_FG005 transcript:KN540203.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSKSEWSRKENKMFEEALAYYGEDTPNRWDKVASAMGGIKSAEEIRCHYEDLTDDVKTIESGRVQFPKYKTQGYWT >KN540203.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540203.1:40030:41433:-1 gene:KN540203.1_FG006 transcript:KN540203.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPDKLKVRFDEFLHHSKLCGFSDDCLEFQRKILERSGLSEETYVPEAMHLIPPEPTMANARAEAESVMFGALDKLFKFTGVKPKDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGSAVLLSNRGADRRRAKYALKHVVRTHKGADNNAFNCVYQEQDDEGKTGVSLSKNLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPESPWEDCIDRYPVELVDGFAVATHNNTQQ >KN540203.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540203.1:783:6315:1 gene:KN540203.1_FG007 transcript:KN540203.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQVRDPLKQDRQKRNRDGYEEGNTTLRKVGLASDFIWSEAQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTVVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRFYNFTAIPKMCNVVIFPTRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGVVEQSDSEDEREQLTRIAKKKADTGKKEKPTKAKRLQQDDFEIVPAEPMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQVRAGKGKILVDKRMKKDKRASKVKGGKGAKGKGKKGGGQKGGTEDAVMFKGFNTMIRGEVDRIIQAGASSEVSTSGKEFQEPNISVDQKQTIDSDKHSWTNVKSKRELRLQIQNLQKSFRNACHFTSQHLPESICSDGSKYQRESCIFLIALCRYDSQREISGGPVHAAGRNQRCCLQALAALQWPFASDGPGSYTTQFIRRNAMPCLWKGQSGPNQIFMNRGKRKIQKYDANSMTL >KN540203.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540203.1:13943:17292:-1 gene:KN540203.1_FG008 transcript:KN540203.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRCVSILMHHGGVFSKEGVLTYDGGKIKIFRDIAKDEMDYSHLVQMAKDVGYKDGDKLFFAIPGYSLDNGIDQLIDDASISRMMEHGKYCNFLEVYIQHKQHYISENPMLNEAIKCTYYDETTKIGRNRKGNKKRDMSPQVKRDKRIWTAEEENVLVEMNETGWKVDTGHKSGYLLQIEKELAKRLPNSKIKADPHIQSKIKALKKMLSAIIEIQQFGSGFGWDDENKMVVGDREQFMGWAKSRGCAALYMKPFPNFDKLSEIYASDLANGEGAKGPGDYIEICEEASSGYNHSSDESQGLSHSGNHSSGTKPGGGRKRMFVEDDHVESAFATVSKSFQSLAEAEKEALDREKEVETMRKQLFDVLCGLSGFTPAEIVKAARIIGTNESTLKLFFGTPDKLRGEFVHQVVNSSP >KN540203.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540203.1:18879:22649:1 gene:KN540203.1_FG009 transcript:KN540203.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQISTAGMTLSLLAVSVVFFLEGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQFSFR >KN540014.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540014.1:12263:28018:-1 gene:KN540014.1_FG001 transcript:KN540014.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCLFFSGFKTKEKKRRDSSKQPLVHLQVCLEHQVKFGEHVGIIGSTKELGSWEEQVELEWTTNGWVCQLKLPGETLVEFKFVIFLVGGKDKIWEDGNNRVVELPKDGKFDIVCHWNRTEEPLELLGTPKFELVGEAEKNTGEDASASVTFAPEKVQDISVVENGDLAPEAESSKFGGQWQGSKTVFMRSNEHLNKEADRMWDTTGLDGIALKLVEGDKASRNWWRKECTLCSDPASKALSYNASVLLEVVRGILSESFDDQSRLGALVYSAIYLKWIYTGQISCFEDGGHHRPNKHAEISRQIFRELEMMYYGKTTSAKQEIKHTIQNKLHRNAGPEDLIATEVMLARITKTPGEYSESFVEQFTIFYSELKDFFNAGSLFEQLESIKESLNESGLEVLSSFVETKRSLDQVDHAEDLDKNDTIQILMTTLQSLSSLRSVLMKGLESGLRNDAPDNAIAMRQKWRLCEISLEDYSFVLLSRFINTLEALGGSASLAKDVARNTTLWDTTLDALVIGINQVSFSGWKTDECIAIGNEILSWKQKGLSESEGCEDGKYIWSLRLKATLDRARRLTEEYSEALLSIFPEKVMVIGKALGIPDNSVSKLCTVLQKAIREVLGSTGWDVLVPGVAHGTLMRVERILPGSLPSSVKEPVVLIVNKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEYDDTVTDVYLLEGKYISCSYLFFIPWALPQQKSKSGVNGSFAALELSEASVESAGAKAAACRTLSVLASLSNKVYSDQGVPAAFRVPSGAVIPFGSMEDALKKSGSLESYTSLLEKIETAKVENGEVDSLALELQAIISHLSPSEETIIFLKRIFPQDVRLIVRSSANVEDLAGMSAAGLYDSIPNVSLMDPCAFGAAVGKVWASLYTRRAILSRRAAGVYQRDATMAVLVQEILQPDLSFVLHTVCPADHDPKVVQAEVAPGLGETLASGTRGTPWRLSCNKFDGKVATLAFSNFSEEMVVHNSGPANGEVIRLTVDYSKKPLSVDTTFRKQFGQRLAAIGQYLEQKFGSALDVEGCLVGKDIFIVQSRPQP >KN540014.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540014.1:42721:45107:1 gene:KN540014.1_FG002 transcript:KN540014.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRQGAAVEQTTVAVEKAAGDDDMFIWLKEFREIKDKSSAINHDTGVSCDLAEMIMKWHHPGQKMAVEKPEYKEIIERSLSVPCMFDEIVMEVMWGLKNLMHVLVPQEKMKLSKDDYLPMSQGLYMLLNRYGLDVKPEMVTDSIIKLACFLLDCEYCDVKNSKHLRWTGEYIEKRSGIKCLDWDLMKLATGIKIICYPTERSTAEEAMFTQDELSKLVKDAHKYEGKIRKRSFMNAYNEMVEARQLIPMAQKQLEDLVKEAKDACEAEQST >AMDW01036782.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036782.1:184:516:1 gene:AMDW01036782.1_FG001 transcript:AMDW01036782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SILRQANFKGAKLLGVSFFDADLTGADLSDADLRGADFSLANVSKVVLHIAQRKALAIAS >KN541497.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541497.1:17476:18770:1 gene:KN541497.1_FG001 transcript:KN541497.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALELARQGLNLVLVDLDTTNLQEISDTIRSRHGGVETKTVVFDLSLVSTDQGDKPLRRLREAVDGLDVGVLVNNAGVAWPGAVYLHEVEVEAWVRMMRVNLWAPPGAEDVAFGGSEHRGRHLRLRSTELIPVQKDT >KN541497.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541497.1:23250:24870:1 gene:KN541497.1_FG002 transcript:KN541497.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFMIRQETAPAQWWFLSLAFVGAAYAATVTLRFVAYLALCLCHRPKDDLRRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILREISGTVRSLHKVKTKTVVFDLSLVWTPDGDEPLRRLREAVEGLDVGVVVNNAGVAKPGAVYLHEADVEAWVRMVRVNMSAVTETMRVTGLFISMEQAPFFVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPAVRHQLLRRMAAAAPDSVHDWILLRLVTWNRKRCFSGSE >KN543168.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543168.1:187:7766:-1 gene:KN543168.1_FG001 transcript:KN543168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGHWNFVYPSILQNNLDLLAKKRRNRFAIPLQYHQEQEKEPISCFGISIEIPFMGVLRRNTIVAYFDDPRYKKDKKGSGIVKFRYRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILEDEYRTREEESEDEYGSPENKYRPREDKYGTLEEDSEDEHGTLEEDSEEDSEDEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKEQLFMVIMEKSFTKGIGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGLASIGPGVGQGTAAGQAVEGIARQPEAEGHSSPRLSLLQWRKQEALHPNQLQDQ >AMDW01016541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016541.1:98:193:-1 gene:AMDW01016541.1_FG001 transcript:AMDW01016541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKTNKVVADTVADDADADGKPADDKVASQ >KN538741.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538741.1:268999:269708:1 gene:KN538741.1_FG037 transcript:KN538741.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHDVILQTVVGTTAFWSGCRRLTKFGKEASYIRKTWNYTRKSALSIKKTSIYRKRLRHAETFCQTMMQILQVIFSQFTFLVYKTKSNGHPTGSTIQHSFLITDNEIGPNLELSLPENVEKE >KN538741.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538741.1:295637:296028:-1 gene:KN538741.1_FG039 transcript:KN538741.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPPFTKVVGNFSALHHLRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDSEVARYKYKL >KN538741.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538741.1:301608:304354:-1 gene:KN538741.1_FG040 transcript:KN538741.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAMRCVLVLFSVSPVLLLFNFEILEVALHLASHEKELDTAAVTPSTSLSFLSRFRIMLGMNHHRSRGRRHKRSSEAPAPAPAPAPVPAHQARSEAPAPLVHVPRKGMPLAHRSHIAPARSPLSNELGNVKKVASIDLDTLRNSISNLSCGLAQLRNLVEKDLASDDKNNNFLQCMKSFLNHAENTMQGLKADEAQVLLNVRELTEYYHGEVSKDESNLLQIFITVKDFLGLLDKVCREMRGTKHNQTLNLVLPLK >KN538741.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538741.1:299120:300720:1 gene:KN538741.1_FG042 transcript:KN538741.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVSKNMVESLAVPAFRVGYPIVTDKLDQLYEKVKSKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNTNINIAVAVAIDGGLITPVLEDADKLDIYLLSQKWKELVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGGIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >KN538741.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538741.1:220429:222788:1 gene:KN538741.1_FG044 transcript:KN538741.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGLALPLTRYRRNWALRVAPVGNCSKIRPVWKLLGERYAIYRGRCPNPAIPMALAAAAAPGKGGGVLDRPIEKVTPGRQSEFDVRKSRKMTPPYRVLLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQSEAEEHCTSLRGNGLRSSIEPASGGC >KN538741.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538741.1:305952:311825:-1 gene:KN538741.1_FG045 transcript:KN538741.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAAAATAAARRRIMENTGVTQVEAAAGFPFLGRLCKHAFGNIEKMKRQRCNYGSSSMSNRFNENPYDDIEFTDVAASKDVSHTSSVRNNSKEFTWLGPAWLCEKRWKHYGSFCRKGITISVHNFVYILSEEKKRLIAQVEDLYEDTNSTNVVMVRWFDKVDEVGVELPPDVGDREIFFSPGLQDLSVECIDGLAAVLSAQHFEKFQSSPKHSYWQPYICRRQIDEDGVKPFDVTQLQGYWSQEVLRTMFNAASSLKVRFKVTKGASSSDGAQKRKRDAFSETDPQQCVPSAAFGSDSLKNDLEHKTQKQLYPGSRAEVLSQDSGIRGCWFRCFVLKRRGDKIKVRYEDLQDADETGNLEEWVLLTRIAKPDQLGIRIPERPMVRPHHVHSKDPCSFDAGSIVDAWWNSGWWEGIVLQQGNDRRLQVYFPGEKQIADFCEDDLRHSREWAGGKWNSLGERKDITHLLPPTSVHEEGGLLSKPVSQEGNPSSKLESDKRCDDKSLGTKISNDQKHQKRVLADLTNALKFDNLKWRPRKRSRRSGSKRQSDTSSGSGSSSQGDMEESSPCGSFAVLNSAPDEEVCKSSGEPLFMRVSNLFYCMTVRISIDCNGCYQRIRRALLQMQGEEPEKSSQRLPP >KN538741.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538741.1:259643:260197:1 gene:KN538741.1_FG046 transcript:KN538741.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKARELSILCDAEVGLLVFSSTGRLYDFASTRPFQPLNEENPQTERAKISSKSMEYYHR >KN539827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539827.1:24970:27621:-1 gene:KN539827.1_FG001 transcript:KN539827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTATRLSPPRLHAPTTPSPHLPFRRSRFSPLRAAKLEAVLSIGTHLIPHPRKAETGGEDAFFVNGDDGGVFAVADGVSGWAEKDVNPALFSRELMAHTSTFLKDEEVNHDPQLLLMKAHAATTSVGSATVIIAMLEKTGILKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQLSSEAIGQTYLDALVCTVNLMEGDMIVSGSDGFFDNIFDQEIVSVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPSWKKFIGGKLIGGKMDDITVIVAQVKAVMIPDDEGVDEEKGQGDEQGSAVTVASSEQKEDSITT >KN539827.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539827.1:69138:73159:1 gene:KN539827.1_FG002 transcript:KN539827.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVICVTIDDNRSRAWVGFVNGDDCINASSRAAIRSEFPILIPTPMTTVYAPPSTNLLARLQIKLPSKLGVQVRTYFSLNHLGSHFNNYTPIIYAGYMPSLPRSRCLLLLHTNLFYYAKEIYRAILQTPYHLCDMLRSL >KN539827.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539827.1:10022:10312:-1 gene:KN539827.1_FG003 transcript:KN539827.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MECKRAIADESGYFLTELGVTKVSDFFMGDPRKACHVRLQASPDFKCNNPTNINYSSIEGAPLRDEDKRWTGQGYDNVVYAAGPLAFRPAICPLKH >KN539827.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539827.1:28069:36798:1 gene:KN539827.1_FG004 transcript:KN539827.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRSSMSMRSRRDLPPPQQTIEKLENMVAEGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKAALPYNEETFDRIRKMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRSESCSSFLRAAIRAEILCLFNCYSLMPLWFAKVRVDCRWMQDMTKVSSHFVRGNDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLQQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRLLDEIAAKFYGIRSQSALEGLFGDMFRV >KN539827.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539827.1:50897:52336:1 gene:KN539827.1_FG005 transcript:KN539827.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQLCGIIELPHWVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYRPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >KN539827.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539827.1:7337:7723:-1 gene:KN539827.1_FG006 transcript:KN539827.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQLPLAILVSLLAAGATANNGYTTPSPPPPQQQYTPPPPAHSDKLLVRVEGMVYCQSCVYRNTHSLNGAMPLPKAEVSVTCHDAKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHV >KN539827.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539827.1:79405:79989:1 gene:KN539827.1_FG007 transcript:KN539827.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAILDSPFLHATVSFLRGNRQENSSVDQKRRRLSDLVTILRSAVGVSTHGRAIRNRFLAEWRDALFDAASRGQSVLDDTICHPGGFRDGVGSLLMCRDRICKLSEIIDDLESKVGDLDKFIKLLELDYSSGADGVHDEVVDGATVPVTPLPPTLQGARRKRMASLAAANGSSSSASGDAHCRLQLKRRRIL >KN539827.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539827.1:39164:48740:-1 gene:KN539827.1_FG008 transcript:KN539827.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEEISNERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIVVQSMFHTGLVRPDPVSRSSTDNTMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLGADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKTHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNLKKENHKQLSNVDKYIPIFTSPLKTSYARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSILAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKIPKPSSRCSFGTENASDLICIIVSKLESPCYHASDISLMKLVRFIAPININNPSPSVNFCRCTIFFTLLTYNSCDQTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQEMLLACGASLTYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEDGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGCREGLITIWNPTEKQVRPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGG >KN539827.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539827.1:15221:19474:1 gene:KN539827.1_FG009 transcript:KN539827.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15b [Source:Projected from Arabidopsis thaliana (AT5G58470) UniProtKB/Swiss-Prot;Acc:Q94KD0] MSGSYGSDDYRGGGGGGSYGGRGRVGILRVVCVLASGSAPDWGGGGGGGGYGGGGGGGGYGGGGGGGGGGGGGGRGGGGGGYGGGGGGGRGGGGGGRGGGGRGGGRDGDWVCPDPRILHGACVKCPIKFLFHRSINTQLQLHWFSSNIPNIFVYHSCSSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGTGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGPGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPTYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGYTSSAAPEPAAKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >KN539827.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539827.1:4282:5117:-1 gene:KN539827.1_FG010 transcript:KN539827.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPKAEVSVTCHDTKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPDFKCNNPTNINYSDIKGAPLRDEGGPNLGKSLRE >KN539827.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539827.1:52801:61490:-1 gene:KN539827.1_FG011 transcript:KN539827.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVRKGFASAESQLEVFVGFRRSFCARVCLAILAVRGQIMSLMFVFCIMLIISRRMGAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFSKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPESKYKSVIINNYRKDKPSHFRFELDHRQTRDLISLFLPAPVRANQNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIVPHTLPDVEVDLASTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMDLPVKLQELSSLQQKEANFLEDAPVSTSAQSIRQDTRFAATLPKDSSNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMPMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGKIFAIGGGDGSQSFSEVEMFDPAAGKWIYSLSMQQPRCAPAAAELNGVLYVIGGYDGNMSAERYDPREGFWTQLPRMRTRRGSHSVVVLGDSLHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTVDGNAYLIGGIQSSEEYVETVEVYKEGQGCVTMLSNEYEPNLSYPNLQLQTQSWMSQNFICGTIISSIYKTTPILGYSHIAKQAQVFNNVMAHSLPLDVWGWITNLPPFSQWRSNAMSLCICPTPSASESSQPSVNLSVVKTPPTQPSFVTFSIFANYRVPISLWTSKPVHLKSYTQQSLDKQEMLELFVDIVDWVLRSGPNKKLSFQFPRAQIHGNLKDVFNIVFLSLAFLVCIYEAPHALRCRCLESLRTQLTEPKCKDAAKTFVRMLGANLEDQWMQTMNLAVTNWIVEQRSSHHSFGVPSPLFSYALSASGLWKVQLYCPLIAMSMEDPAVPTQDERLLFSLTYQQLEGVIQLAYKTIRRDNWIDVEIKVDNIRCDVDSLVSEILMTERGHGSEEKHFPSRVMLQITPMQQSDVLSVSVSKSSDNPTHEFGLEKGIEGSFDPPNTFGLKASVSESLTLTMKPWKFEQSVHGNTITLNWFLHDGVNGREVYSSKPSKLSLLQPRAWFRDRYSTVYRPFTKKGGVIFARDEYGDSVWWKICGAALGKTMDWEIRGWIWLTYWPNKQKTFHSETRRLEFRECLQLPLMKSP >KN539827.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539827.1:21225:24424:-1 gene:KN539827.1_FG012 transcript:KN539827.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSLAAAAARKRALTEQRFSELSPALSPEVVKALKGGGFRRCTPVQAAAIPLLLSHKDVAVDAATGSGKTLAFVVPVVEILRRRPSPPRPHEVLGIIISPTRELSSQIYNVAQPFFATLKGVSSMLLVGGFDIKAELKKLEEEGANILVGTPGKLFDVMERLDTLNYKNLEILILDEADRLLDLGFQKQITSIISKLPKLRRTGLFSATQTEAVKELAKAGLRNPVRVEVKTEVKPTSKDGTQQELGPSKTPLGLRLEYMICEASNKSSQLVDFLVQNNGKKIMVYFATCACVDYWAIVLPLLDSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKRRGVPLTERECSSNAVDIVPQIRSAALEDRNVMEKGLTAFVSFVRAYKEHHCSYIFSWKDLEIGRLGMEYGLLQIPSMPEVKHHSLSLEGFIPVKDVDVTKIKYKDKAREKQRQKTLKRKAEELALRPEIERRRKAPEKPEKPKRKKTGKQRQAVQTKEDMDELTNEYRLLKKLKRGVIDEDEYEKLTGFGESDDEDSSDGGDSDLDERKERGNKVLKKIKQKGKAKGSRRFEGRSKQKTRRR >KN538693.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538693.1:359660:363805:1 gene:KN538693.1_FG081 transcript:KN538693.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGMSCSVLPYRRAAPAWVKTSASEVEEMIVRAAKKGQLPSQIGAILRDAHAVPLAQGVTGGKILRVLKSRGLAPEVPEDLYFLIKKAVAMRKHLERNRKDKNTKFRLILVESRVHRLTRYYRLAKKIPASWKFSKFSTFFMLSVMKYPVMSTSCPFDFQNELWTSVLIRFKISISYLLALFVCLFFGYISRQCISLPAMVKDLLTQFDRSFYYITVIVIVLDMAPSGRTVHRPRFIYVLDELDLNTSCCTAIAIHLFFFFFFFFLLLSSLDLLVELFLIVAMASVVQYQQAAAAMACEEEFMPQGLISCFGRSLSRASSGRHLEYCSRDVSGGGGEESRRMAQERSARAKLRWKAVAQEIMARRSGGGGGGGSGRRRKTAFSYDSKNYALNFDDQAAGAE >KN538693.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538693.1:312340:313194:1 gene:KN538693.1_FG083 transcript:KN538693.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCLSQSGVQVAHSSSPGGQNMVQCTYLARLRGKSCSVTVTWSKMTMGQALSIAVDDSSNRCLCKTEIKPWLFSKRKGSKAMEVDGGALDIVWDLSSAKFAAGPEPVEGFYVALVCDLEAVLVLGDMRKDRDHRVSSDVLASNAVMIARKEHVYGKKVYSAKARFLDIGQLHHITIECDTSGLKDPSLEIRIGKKRVMQVKRLAWKFRGNQTVYVDGLPVEVLWDVHDWLFGSSNGCAVFLFQSGQSMEKLLSRSCSQNEKELEAHRFGFTLILNAWKTE >KN538693.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538693.1:288261:289499:-1 gene:KN538693.1_FG084 transcript:KN538693.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDAEEEEEEETYTTDDALTRAGFGRFQALVLAYACVGWVAEAMEVMLLSFVGPSVKAEWGVSGAAEGLVSSVVFAGMLIGACLGGLISDRYGRRIGFLSTAVVTGIFGLLSAFSPNYASLLVLRFVVGLGLGAGHVLSTWFIEFVPAAKRGCHASTWVEVAACIVFGPMFYPAHFLPRNT >KN538693.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538693.1:281508:284188:-1 gene:KN538693.1_FG087 transcript:KN538693.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQGVYADGHDLRQLREKYQKLPVSELKESAELINDALERDIRMTVRLQIVYGRLSIRSVRSAFEKSVGSRLQKFGGSDTNELLQSFVSLFKDEYKLPKGSVIELSRESSHVLKISIEGEELGSIRSKLLCKSILDLYIGDDPFDKNAKESVQENMASILKN >KN538693.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538693.1:385144:396645:1 gene:KN538693.1_FG089 transcript:KN538693.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDYGRSIGPSSGGADQWPTGGGGGVGGSFGKIARAVPKAESHEDANGGAQKFRVKLLPEGAGSPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDTLTRWDVLDSTVFAFWAKTPVDFEAKRIRLKSNSYTSNTLLDTVTAATVQFKEIGGDARGRGTVDSSKPMSQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCYSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKEEMERNRKSSGSASGGSSGTRMREVACPTCTVHLQVQVPTSGSETVECGPFEHVSMFYDLSNHVLFMISLHSPDGDIIDCVHISNQPAFDHPFLKNHTIQMRPDYHPEGLYDESKVASQQNTQTITQMWHKNGVCPENTIPIRRTKKEDVLRASSIRRYGKKKHKSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASISPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGIGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >KN538693.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538693.1:419829:420309:1 gene:KN538693.1_FG090 transcript:KN538693.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLRMKALAVAAVAAALVALKNMSIAYYMVTLV >KN538693.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538693.1:302926:304235:1 gene:KN538693.1_FG092 transcript:KN538693.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEEWYYRTFLDAGEYGLGLWAFPLQPGGDCPANAAYLDGHYSGQDGRPVEARNMICVFERYSGDVAWRHTEAGFPNQLVIFDHEQKALRLRGRRRVSNSQSLADSVRPDVSLVARMVVSAGNYDYILDWEFKTSGSIKLVVSLTGLLEVKATAYAHADEVAQDAHGTLVAEYTIAVYHDHYVTYHLDLDVDGTNNSFVKNTVAEREGDGQVDLGGGAPADLVFVNPGKKTRMGNEVGYRVVPGGATAASVLADDDFPQRRAAYCKKQVRVTPYSRSEKWASGLYADQSTGGDGLAAWSERDRAIRGEDIVLWYTVGLHHVPYQEDFPVMPTLSGGLELRPSNFFERNPLLTTTPIGHGRPLVNCSCAGGDSISR >KN538693.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538693.1:291038:292068:1 gene:KN538693.1_FG093 transcript:KN538693.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAARHHCSGLRERLGYVQCSFCATVLLVSVPCSSVLRVVAVQCGHCSGILSAVNLPPSPVSASIELTPQMKQELDAGPPPGEYSDESSGDDREGRDAEDDAPAPAAAAVANKPPGRKQRTPSAYNCFVKEEIKRIKSMEPNITHKQAFSTAAKNWAHLPRIQQKRGRDSC >KN538693.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538693.1:321564:325230:1 gene:KN538693.1_FG094 transcript:KN538693.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDPSVSDEDDDLETLVPQNHAKPPSSSFHVAGLRAAVPPSAASLGRVLWSRRYLLLFVALPVLFLVLFVSLGGLSSLRLPASIRLPSAAAPAADPAASRMRDAELRALYLLRSQRSGLLALFNRTAAPTNGTSSGSDAVSYADLHDALLGQIKINREIQAALLSAHRTGAAGNATEDGLDLDLPADGCRRRELPSNRRTIEWNPKKDRFLFAICVSGQMSNHLICLEKHMFFAALLGRILVVPSQKLDYQYDRVLDINHINDCIGRKVVITYEEFTEKRKKVSIDQFICYAASPPCFIDEDHVKKLKGLGISLGKIQAAWPEDAKLKEPKKRFVEDIMPKFTTDAEVLAIGDMFYADVEEEWVMQPGGPLAHKCNTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETSLLQSLVVFNDRQVPLVKRPEHHNSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGASGSTFTEDILRLRRGWGSASHCDEYLCQGELPNFIAELD >KN538693.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538693.1:368409:373771:1 gene:KN538693.1_FG095 transcript:KN538693.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MKAEKIAGWGLGGNHAIDSVSVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHD >KN538693.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538693.1:410519:418089:-1 gene:KN538693.1_FG096 transcript:KN538693.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MPASNSKISIPLEGRGRRTIQFSSSEAKSRRQPLLARVRFVMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDLDGSPILAVSSLAVHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQCSTTVKAMSTQSKANLELLIYAFAFVQAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >KN538693.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538693.1:402025:408839:-1 gene:KN538693.1_FG098 transcript:KN538693.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQESYDVVSQKDIESPGERSLSSIMRCGRSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLIALYRTWNSQGVTEDNRLTTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRSSPNYQKLYYYQKSRAYEAGKVQIVSEFRNMELKFSGNGRQCKKKTSAAPSAVASWPIVFNAGPFLAFSP >KN538693.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538693.1:318176:319156:1 gene:KN538693.1_FG099 transcript:KN538693.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKASPAAAAAARRHRFLNVATGECIHTDLPELAEHDLLMVTPEGLLLLSRKDAPHGVRLLNPLTRHLTELPPFATVLTPEQRNDPHQGLRGEDFRVRGVAIADSSSTSTSTVVAYLKSPTTLAVAKPGDERWTKIDFDHRLMLYSTVPFAGRIYHATAIGIMTPETTTSSDQPPRMLTAVDTTIDPPFSFCSMSDSLHLVDNGGRELILVYRTVRHDEFRREYAVYRVDLDSRLLIPARSLSGRAVFIGLSRSVSISPSTFPSVTGDTVYLGFDCGERTKISGYHVGDGSIEHSQLIKHASWLKPSTLVDCLSWCIKSNGKQLG >KN538693.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538693.1:292552:295112:-1 gene:KN538693.1_FG100 transcript:KN538693.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKRELEKKRKAATADFGGKSFVRRSELEQKQLQKLRHEEHRQLVAKAPPATSSGSAAGSDPSNPNADPAQSSAANPNPNSSSSAAAASVPPGLAGKKTAQDEALLSEERRIDELDLPRHEVVRRLRILREPVTLFGEDDADRLARLKFVLKSGVIDDIDDLDMTEGQTNDFLRDMVELRKRQRAGRDTYVKGKGKRAGGRDGGEGGAGGDNADDGDGDGRRSGDDADADKDSKRMKTKFEELCDEDKILVFFKKLLIEWNQELDEMPELEKRTAKGKSMVATFKQCARYLSPLFEFCRKQVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDPGNPPSEDRLRLMPASKE >KN538693.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538693.1:350938:353770:1 gene:KN538693.1_FG101 transcript:KN538693.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAIAAAAAAGDLPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLATASRRLSARELLKDPFLQVDDLVFCPGDGDYSLMNYLRQPYLEHAYSNVSMMSNGLSESIDEDTPTEDRWDCEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTTYCHNCGSNVSSCGSLYAYMSSAARGCQCAELHGRFEEITFQANGEQTDLQDSGGSSDDGGGQTQHVKDKEAIHSNGFVQMGRRGPPDQFCFSSFQEQSCSPWHYEYDTSLQAKGFDMKHEVKMAKYKARKMAHLRRAIHPSLDFDNLNGERRMKSSLNKLQSFHIGKNHNFRIPTCERSPGARDAEEDPDIFNLAYHSRHPDPGAQRARHCEVDAQSSPDLMFTARSYYTGAQLPTNLPRTKSVTLNAVDA >KN538693.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538693.1:296066:297580:-1 gene:KN538693.1_FG103 transcript:KN538693.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGVKLAVKIDHTSVSSSAATRINLPLGENMENDTAGFFSSMTVLRQDPVPASQILQVPSWLPDTMMEPSRMKWTEVTGSECASMARTQRPLLTSQMRTVQSNPPDATVLFVCGLKLALKTKLDLLFKTQ >AMDW01031826.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031826.1:243:341:1 gene:AMDW01031826.1_FG001 transcript:AMDW01031826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRLLLCAHDEPPNLSYGVHSFILTLSSPEFQHP >AMDW01007095.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007095.1:10:216:-1 gene:AMDW01007095.1_FG001 transcript:AMDW01007095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QVDVRFVFCRVADPVDAQLVALEAARHGDILVLNCTENMNDGKTHEYLSSVPRAFASSPYDYVMKTDDD >KN543757.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543757.1:1078:5036:-1 gene:KN543757.1_FG001 transcript:KN543757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CFIIIDDIWDKKSWELIRCVLQNSNCGSRVVATTRIFEVAAYVSDVYKIKPLSHEDSKKLLYTRIVGDEDKCLDSDPSVDACEKILKKCCGVPLAIITMASLLANKPMEDWPVVYKSIGLGHGGNDDVDNTRKILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNMLIWKWITEGFVHEGKAAGIGLFELGEGYFNELINRSLILPAEAEDKGYIDGCHVHDMVLDLVRLLSAEENFVTVLDGSEELVLPSRNSRRLALQCKSSEPNVECPLLANKGVEQLRSFVVTECCDISMASTSSHVIRVLALENCLILDHCSKHSLQHVWSLLHLRYLGLQYIDSIELPEDVGHLKFLQVLDLLGTQIKELPESMGLLTKLVCLRANRIYKVSAGLIGELTSLEEIWIEAENDDRIQFMKALGKLSKLRVLRIRLSTYEPDERPNRDLLDCLHNLHSIQTVDIYASSGKKSVMWEEGHASPQCLRHLCLQTLKFCRFPMWLNSSFLPNLCYLELQVMALKEQDMETLGRLPELNYLKLDSDYTATISTGGTSGDVYFQKLRIFKAPRSLVWFDLHNIICNEKAIMPSLESLKFTVHVRFLKDANLLCFDKQLGFGNLGRTSLQRVEADIYCAGAHTKEVEEAEAALAQAAAVHPNHPTLKIVRIFEDRLLSPYKEPDRNITYKTAFKNVKARVVKDDVGYFDFHWLLHNPNIGKFEVYIDCEDATLEEVEEAEAAAWCAANDHPNRPALEIMRRDEDKMMLVDIHQE >KN545220.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545220.1:259:1995:1 gene:KN545220.1_FG001 transcript:KN545220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEMLHLGGNGYLDGSIPGNKSFNLPMLQKICLYENRFMGQIPLGLADCKYLQWIFIGHNLFEGPVPAWLGKLPDLVLLDLESNNLVGPIPSALGDLSNLDTLGLQSCNLTGQIPQELAQLRKIKGLFLDHNHFTGSIPTFFANFSELAVFLIGANSFTGAVPTAIGSTGSVEWFNIGDNYLQGSLDFLATLSNCQNIWEVGFDLNYFTGELPNYVGNFSSTLINFFAVGNRLSGDLPSTLLNLSNLVWLDLSNNQLTGTIPESIMLMDKLQVLNLSGNIMSGTIPRQIGHLRNLQTLILNNNNFSEGGIFANITLQSLMGNPALCGGVPRLGFMPFIATCMYMMMRKKAKQEDRIISPDMEDVLNNRLISYHDIVRATDNFSETNLLGAGSFGKVFKGQLND >AMDW01016454.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016454.1:56:220:-1 gene:AMDW01016454.1_FG001 transcript:AMDW01016454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKNMALPPCHIFAQ >AMDW01016501.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016501.1:103:216:1 gene:AMDW01016501.1_FG001 transcript:AMDW01016501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTDPGVWGPDAERFVPERHRAHDADGGQQHDGFAL >KN542391.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542391.1:1770:5485:1 gene:KN542391.1_FG001 transcript:KN542391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AFC1 [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/Swiss-Prot;Acc:P51566] LANLAFHRTNQGCADANTTRPDHLLPLFPNFPNLASLLPRIPPPRIPIPPADRAATSPKIPRRIGLADGLIGDFESAMEAQWLAEYPHQGADKRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLEHQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYSCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRRGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKAREALQHPFFTRCHRRCGY >KN542391.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542391.1:10484:13460:1 gene:KN542391.1_FG002 transcript:KN542391.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVGSPCGLKVVVYWWLNSRPHNPTQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLLSDGDEFSLTKEQEKWVVDIMLAVTLVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIEGWIDPVGAIIRCKSWQYQLLPFIHELLAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >KN542132.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542132.1:2435:11247:-1 gene:KN542132.1_FG001 transcript:KN542132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPCTTALLLAFLVALPGGAKAWHFCGSSGDVFAPRSTYQSNLALLSAGLAKNASASPALFAVGGLVLRGQIRKVRLQRHQKKKMEIFSKRVSHAHLIVVSQAQGSVFICLKRRKASKNQNTPIIPAPNKIRRGNCAIFDLPTLQIATDNFSDSNKLGEGGFGTVYRGKLGNGQKVAVKKLSQAQYTREGLNQLHNELQLLAELQHKNFVRLLGFCSHQDEMMLVYEHIKNGSLDIFLFDTSRAKTLNWEQRYNIILGIAKGILYLHEDSSIRIIHRDLKANNILLDENMNPKIADFGLGRLLGGGHTQTKTARVVGTYGYMAPEYALFGKVSPKIDIFSFGVLVLEIVTGRRNSGFDNTYNAVNLLTEVWNCWTKGTALQLADQSLDGYSDSKVLRCIHIGLLCVQESPIDRPSISSVILMLTRRRIKLQQPRQPAFFFGGDFSSVYQQQHRHRNYMYDKSGVIVEDKFSVLEQLSTEKLGPTCHSQPAPHGQLGGQQLCPYNKDVFIVYDLCYLRFTNRNLLASATDNGSPMMLMNAQNASATAEVKTKMSLSGKLVAWGLLNIERKKNKSGTALAIALPLVVVLLATVAICFSVQRRRKRSRSKQQHSYSMQMREDIESVDSLFIDLSTLRAATGNFSETNRLGEGGFGSVYKGVLPNGEEIAVKRLSMSSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLDKRRELDWGKRFRIINGIARGLQYLQEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREITRRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRNSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSSNTVSLQSPSKPSFFIPKSDTDSNIYSESHPLTSQSTASTGRSGGMSVNDVSITELEPR >KN544378.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544378.1:1982:2913:1 gene:KN544378.1_FG001 transcript:KN544378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTALYFLSISLGNLLNSQLVTLVAKVTAAWGSAGWFPLGLDDGHLDYFFLLIVAITEVNFAVYVALAKNYTPKKQKNSGGSYFSPRPSPPLAGAPAGQFYRLDELFYVES >KN540291.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540291.1:43357:47532:1 gene:KN540291.1_FG001 transcript:KN540291.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAASVGRQCPPEALHLLPARVLPLTDDLLGCLDICWYFTDTWEQFRISGFIDVIDGSNPEPVKLQLRERAWFGSSVKSRLQYLGPCPGLPIPDDDLIKDAHLDPSAGPVDAFFLLVLDPEKQSFDPAIVILYLGRLFELEKQSKLQICLWIYHGMLFSENANPSSHFLAGYELAELQLPVASERTNFHVQVFIFYKTREPFLKFPYKHLNLFRSTSTSLFRGNFRFTYRNVNAIPWMLSWPSRLVCCVNVNELLFHPNRDWTATSSSNHLRAACDISLLSGSPLQLR >AMDW01017255.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017255.1:44:211:1 gene:AMDW01017255.1_FG001 transcript:AMDW01017255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WNSVSPAACTGVGCCQSRIPPNVTYYEASVQGFQEAQGRIFRENTTSCRYAFVVED >KN542132.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542132.1:12731:17396:-1 gene:KN542132.1_FG002 transcript:KN542132.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding NFIASSTSGGGAALILMNTRNVSAPAKVFDAAVGVLINATADYAATNSSRRFGTGEEGFDRSKIYGLAQCTPDMAPADCRSCLGNMIAMMPDYFSGKQGGRILGLRCNYRYEIYPFFNGVSLLQLPAASLGAPPAPSPAAVNVTPPATTTGTRRRVDMIWNTTGRVLAIALPIVAAILAAVVICFYIWKRKTERARKPSIADPTDPADIESIDSLILSISTLRVATNNFDDSNKLGEGGFGAVYKGVLPSDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEEHEKLLVYEYIPNKSLDTILFGGGYMAPEYAMRGHYSIKSDVFSFGVLILEIVTGRKNNVSYDSEQSVDLLTLVWEHWLAGTVVELADSSMAGHCPGDQILKCVHIGLLCVQEDPTERPMMSMVNVMLSSSTVSLQAPSRPAFCIQKSSVNSDSYSEPFRGANQSTDRSPMSPNEVSITELEPR >KN540291.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540291.1:6067:9405:1 gene:KN540291.1_FG002 transcript:KN540291.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSAKDRVTTSQAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKHKNPRIQRGFDSQWLSITFSKAIFLGNGLVAIVSGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIAARLLARKLKVEGYMQIVFTISAFTLFLPVVTNILVPTSSVKGGSISFGGTLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMVDEDEPLNP >KN540291.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540291.1:25439:26984:-1 gene:KN540291.1_FG003 transcript:KN540291.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSPAPARCPPATSSPPPRASSRATHVIFELLRKQQWYKPRSQTYARLLMMLGKCRQPGAATALFKVMLSERLKPTVDVYTALVGAYGYSGLLDEALATVEQMKGVADCKPDEYTFSVLINCCSKLRRFDRIPAILDEMSYLGLQCNAVIHNAIIDGYGKAGMLEEMENALTSMLEDGDSVPDIYTMNSIIWAYGNHGNRINEMERWYSEFLLMGVEPDTQTFNIMIKSYGNAKMHDKMMSVLKYMKKHFFSPTIVTFNIIIESFGRVGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYSKAGFLDKVPGIIRQTENTDVVLDTPFFNCVIDAYAKSGDIKIMEEMLQLMKEKKCKPDKVTYTTMIQAYNVHEMDEAANLLKMEVGMVDGKLLESVSEVDKK >KN540291.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540291.1:15611:23716:-1 gene:KN540291.1_FG004 transcript:KN540291.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MAVDAAGSVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKASKEEIAELLPEASDSSADDIPLSSVVRFMANKHILLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFKNQGGIFIMTGDVLPCFDASNLVLPDDAACIVTVPTTLDVAANHGVVVAAKDGTDGENYSLCLVDNLLQKPTVHELVEGQAIRDDGRALLDTGIISARGKAWQELVRLAYSSSHVMIKELITSRKEMSLYEDLVAAWVPYRHECKISAGVSVGEDSLVYDSSLSGRIRIGSQSIVVGVNIHELHGNRSQIISTSSYFTLPDRHCLWEVPLVNSVERVMVYCGLHDNPKVPMKRDGTFCGKPWRNVLEHLKIQDTDLWSSTNEDNCLWNAKLFPVMSLPEMLKVGIWLMGSTCDLDGKVASLWKESRRISLEELHRSIDYHQLCVDSSKHQADLATNIAKACMTYGLLGRNLFQLCEEMLQKENSCVEVCNELLSLCPSHGDQYSGVLPQSRRYQVKMDLLTASGDLSTAAIVEDKVWASIASETASAIKYGSKEPSSDSKSSSNGNLHPKKALVELPVRVDFVGGWSDTPPWSLERPGCVLNMAIRLEGNLPVGAMIERTMDHLGVLIEDDAGRNVYIDDLSSITPPFKENDSFRLVKSALIVTGVLNHERLSKLGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQLIEGDESDATVARAVLVVEQVMGTGGGWQDQIGGLYPGIKCTQSYPGQPLRLQVVPLLASSQLIQELEQRLLVVFTGQVRLAHRVLQKVVTRYLRRDSLLISSIKRLAELAKIGREALMNGEIDELGGIMSEAWRLHQELDPFCSNKLVDELFAFADPYCCGYKLVGAGGGGFALMLGKNLNSAKELRQALENSATFDVKVYNWNVAMAP >KN540291.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540291.1:49509:51279:-1 gene:KN540291.1_FG005 transcript:KN540291.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAKYLLGSPGANGFGSKSTAEDVCPDLGCITAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEEMRARIRGERAGADVLVLPLDLSSLASVRAFADRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTKELAVRLKEMGANVTVNCVHPGIVRTRLNRDRDGLVTDLVFLLLSKLLKTIPQAAATTCYVAAHPRLAGVSGRYFADCNEALPSPAATNRHEAERLWQISESMLLCTNQHSKDASAP >KN540291.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540291.1:13777:14928:1 gene:KN540291.1_FG006 transcript:KN540291.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASALASLVAAAAVALLLLIDGGGGAMYKVGDLDAWGIPPPSKPDVYSRWAKSIHFALGDSISSSLPFTLLQDLTGPLHLFITSLGKQ >KN540291.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540291.1:36046:39862:1 gene:KN540291.1_FG007 transcript:KN540291.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPGSAAGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGTEEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAAEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKQYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSASMDKTVRLWQVGCNSCLKVFSHTNYVTCVQFQPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRYYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVSDEFHNEHDSSSCSHPEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >KN547580.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547580.1:2:409:1 gene:KN547580.1_FG001 transcript:KN547580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRGLIFGKLFLAPLVMDDQNACWLVNMVVLEACLATTGMGDEYTVSSYVSLLAMLMNREEDVHELRVKRMLQGDFSDERTLTFFKNLVKLVTIPSQHVLFLAHLEAYRRQRWMWISVHKFIYNNFKAIVTVFS >KN542168.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542168.1:4467:9409:1 gene:KN542168.1_FG001 transcript:KN542168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFVMLYCRGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGGRTQPGTIKPEEEGERHPYSVLDCAAQREAILPSVLYIQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVSKGIVLSFITEFFKEYLKENTLDDLIALLKKGKMEDNLLEFFPSAKRTSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKLTLTTMINEEAEISEVTEAVKQEVKDAKFPAIEVVRMLWDVLMETVQWSGKNQQQNSNSALGQVKAWAGLLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >KN541427.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541427.1:289:2772:1 gene:KN541427.1_FG001 transcript:KN541427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGERSRRSNVRYRAVRDRDKNEMSTSMSSPQLQVGVSYPLLIDENDMLDVPPLAMSPPPPSHQDMDPLLRRSIITPPPPINPEACITPDMNRTYTHGPDLPQEIIPTVGMQFQTEQDGYDFFNKYAQYTGFGLRKGKYAKGHRARFLHCSRQGAHT >KN541427.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541427.1:9353:11434:-1 gene:KN541427.1_FG002 transcript:KN541427.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKLWKVYRPSIENWIVGLLQPVIDNLHKPDYVNRVKIRQFNLGEEPLSIRKVERRTSRRANDLHWELPLQQESCKYSSSHCLSGAKKKMETTAYRIRRQLCRFTRRQRFQRYIYLFLNKNVTLTDHEGVVVGAAYSFSKCIHLLSSNRFQGTCYRSCFIFGSIRNGNVLLMQVVPQEF >KN539932.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539932.1:26795:27655:-1 gene:KN539932.1_FG001 transcript:KN539932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDATFLAWNFMGNRDAERMVFHYLTSSARAAAAKADILLCNTFVELEPAIFTLKSPATVLPIGPLRTGQRFAHQVEVVGHFWQTNDDTCLSFLDEQPYGSVVYVAFGSLTIMSPEQLKELALGLEASGHPFLWVVRPGLAGNLPTSFLDATIGQGKGIVVEWAPQEQVLAHPAVGCFVTHCGWNSTVEGIRNGVPMLCWPYFTDQFTNQIYICDIWRIGLKMVQTCGEGIVTKEIIVKRLKELLLDEGIKERVQRLKEFAESNMSEEGESIRNLNTVVELMTRPMS >KN539932.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539932.1:69312:70302:1 gene:KN539932.1_FG002 transcript:KN539932.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKILNLNPPTSPLPEQQSPQGLPPESPPPPFSPGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKVCCANFVISIGLQFNFYLRFNA >KN539932.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539932.1:45254:46537:-1 gene:KN539932.1_FG003 transcript:KN539932.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRTSSLITRPPSSSLALLLFDEIAAADASPSVFSALNRPRTSSCNYGTLNKNSKSSGQSPTHLGFALQAGRSTSIYSIILSVLGYKWITLVKAPNQIRPHEQLCQALNNLIPPIDGPILLTFFMPL >AMDW01017384.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017384.1:55:270:-1 gene:AMDW01017384.1_FG001 transcript:AMDW01017384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EILGTYFMIFAGCGAVVVNLSTGSAVTFPGICAVWGLVVTVLVYSVGHISGAHLNPAVTVAFATCGRFPWKQ >KN542317.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542317.1:6553:14356:1 gene:KN542317.1_FG001 transcript:KN542317.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHRCIGAFLRRDHYHHVCSRMPVPTLKVFVALYEGYAGVSADLGTATVFVFGSERWPWPCDRPWSRWRLAGRATGVHISGAESVHFVGRASGGFYWRMDDDDDRMLVHSCYTYSYSTEQWWMEKRLQLPAHTAPEISFIIGGNNAVIADAGAGRVLLAPAEEDKRRRRRRVISIELATMKIDEPKHHAGGVVTYPYELPWPVTLNACFLTKWSRNEGWGCYCFCDDASQAEGIKVEGSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVGVIGYCVENWLFLVYEFIDNGNLSQHLLSTGYEPLSWATRVQIALDSARGLGYLHEHVVPVYVHRDIKSANILLDKDFRAKVADFGLAKLTEVGSMSQSLPTRVAEILKVMEDLCVDAPTFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMGLTANTDLRDMDYLPPFLRTG >KN541701.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541701.1:3949:7092:1 gene:KN541701.1_FG001 transcript:KN541701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCGADDVGKKKKRDDPYVPIPDPGGNYGRSKPGPPAPSRSPPTSRNLPIAVPAIPLDEIKGITKNFSSDALIGEGSYARVFFGVLRDGRRSAVKKLDSSKQPDQEFLVQVSAVSRLKHENIIQLIGYCAGGSIRVLAYEYAPRGSLHDILHAFILIGSYIINAWLMHIWIMITGKKGVKGAQPGPALSWMQRVKIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKVGDFDVSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLSMKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDPRLEGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALNPLLNSRPNNRPASFTDASERSGL >KN541023.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541023.1:9138:12725:1 gene:KN541023.1_FG001 transcript:KN541023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKRAAAIDLSLEAERRRPEEGGGGSDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQGDMMEEDKQPAAAANVDDDGGDSDGAGASAEEKHMLSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTVTPVADQALSVGFQNNNTELGLITKPHFEILYYIYSLMTIN >KN541701.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541701.1:8495:12147:-1 gene:KN541701.1_FG002 transcript:KN541701.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPSSPSLKDHLSSPTGPLHLKVWEVICIVLGAFMVVIFFVTVWLTIRSRKRVRRASANIPITQIPAISKEIKEVRVEQVPTSDFAAHDGVLMTIQDKSSEKESDKVMVHLGVSKSKRGDESHSGSFRYMDKDLGFQSADEGGSGTFRHNSAHAITAPSPLVGLPEFSYLGWGHWFTLRDLEVATSRFSKDNILGEGGYGVVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGSLTWEARVKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHNRGGSTEMDSQRDNNSDTDKSDNPDSKPSRSRASSSK >KN541023.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541023.1:19511:36287:-1 gene:KN541023.1_FG002 transcript:KN541023.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLTPDHGFPVRVIVPGFIGGRMVKWLKRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTMKGYAYSGGGRKVTRVEVTLDGGETWQVCNLDHPERPTKYGKYWCWCFWSVDVEVLELLAAKEIAVRAWDESLNTQPEKLIWNLMGMMNNCWFRVKTKTCRPHKGEIGLVFEHPTQPGNQAGGWMARQKHLETSESAVSTLKRSTSTPFLNTATTQYTMSEVRRHATPESAWIIVHGHVYDCTGFLKDHPGGADSIMINAGTDCTEEFDAIHSDKARGLLEMYRIGELIVTGSDYSPQSSSADLTSIGEDPKFPDGGLMSQYLDSLPLGATIDIKGPIGHIEYAGRGAFTVNGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDGTEMHVVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDGWEYGVGRVDERTLREHLPPGDGETLALVCGPPAMVECTVPPPLLRPNPSSGDAGCPDELLDVYALLLDCLAVISACLAGKPYSVLLQRGRFVCCLESRGHYARAEADAAATLDSLRSVLSVPTASKSRRAATASFASLLPDPGISGEAGADPEVTILAIELTVCFANCASKCKVKEAAPYERVVSLVDQLQPWLRILAEDVSRKYLTLLVNALSRCAILLVAEYSVFNTNLVCEFCRATLGECMKAQTIERLPAVARKICSSVDVSWGGGTQLLLDVLKTVVGSAACLKADLPRAVNGLVEFVAYFSRSFVSSNWDLSVGAAELIYEQGGYFSEVSSTPATASVLILYAIGLYCSAQQIENGEWPHKSTDFLNDEKHLQTLKSALATLAHLFCFANGRSIPLDTMGKASSSSMQPGHSNKKNSLSHSDDHISFVAYLDSLEFLCKILSHCTEMPEGDNDKQHEQHGTLLRALVSAMKVSFITNEGIQKSVSFIKCAISSTWIKLDEIKFLMSSLGNIGVTLYNIGHLDEAPKALELCCQTVWVYARLSYHRLSASQDEQRIIEDIPKDTLKDISMDAFAKITKMVDILHRCGVKIIPDIIVKSLSELLANDSTSEFLNSSLVLIKLWVKITHKDAKDDESVDSAPLLYHSLMGCTPPLPTKLVGLILEQELLAYALVESRGTMFCVEMQKRITNILLNKIYCSKEYYLERSRVLVRKARVLRTCGVQSISSCLESLSEAISLLRDIPLDSSQGNAPAIHQLAIAYCLHAHCAQEANLGAEVIFDSAQNVFGLWSKIKTFGYYSPGMISQQPSENLVPLLCSLVDLLAMKRLWPIDSFISTTCEPSFRRECGFGGSVHEVDSVASSLVSDATVNDQSTFLAGYLYFDLSERLLSRGELFQAFSYGKEALHLRKKLLRKKFKFNFGKFTSGEAQCSGGQNSISLEAWGSTITEIWPDSTRSTGTRDSFLTPWNVLQCYLDSILQVALLHELIGNGAEAEVLFRTGKDISQFQGLPVFGVLFASALGQIYRKRQQWDTAEGELKYARDLLAQNATFISCKLCKLTLDISLDVQAGDLFWSLYEKDFQKQSAGNLSNALGMYQSALDKLNGTKLESPVDSYDKLKTTCIICSKDGKEPLAANDGVLPSCTVCANFSQTSGDHSNEFTALKFQKHKDSECCPPLDVKVKRTTRNSSRLAKEQNVEAHVKTRTRSSKRTAHMKGEKASTELHCKNGLSCSDNLSTDTLVRGKANCILDGVDQSIDYRCSIFGCWNCLFVNTLNSGSIQNILQFRWDCVWHHNHVSILLKIERAEILYSMSLFLLKGFLSEQSRDICCRFSSVQMSDVVPWLLKAFVLSRENPSLFQEVCRLLACIFLLATIDSTAQLPLYSSGSLSLNHWAAYFHQNSVGTYLDCQYFAGLKSLLRKNDSKAPLEDFSNASDESLSKFFSMLEGDFVNVLGEILLLPSYFPAWMLLSRFDSTNKPITMLLPVDAISEETQHEDSCTKELDNLMRAAVKNWQCPWGYTIIDYVAPTFRKILEENFISLSSATLTLNDGQANHVKWWSHRMKLNNHLDKMLKDMEESWLGPWKCLLLGYDLTDQHIEEALTNLIAGLESEFKFEVNPVLIKVILGGAMSVDEVQDCVSQLISCKGYFGRGGCCGKDRLRALSSCCIESEALETVECLIKSTVNELTEPVDRDPVIFVLDTNVQIFLRVLEIVLWIFSLTVRSNGRNRYCGFDIICLKPVLVQMLPWENLPALRNQEIYRMPSIGSVFLALTRSNNYWKDARVIAPHFPVIDPFNAFYLLNPSGDLSSTQEEFDQMFKNYEWKGKAGHAPTAEELVLALRNHDLFLYFGHGSGTQYVSGKEIEKLDNCAAALLMGCSSGTLRCKGCYAPQGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLGSWLQENFVAAKNCSRCCQLTREFESMTIAVEGNGRPRRRGTRGKKSEQMNNCSKRCTCGNRRVASYLSEARRACRLPLMIGGSPVCYGVPTIIRKK >KN541023.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541023.1:17578:18615:1 gene:KN541023.1_FG003 transcript:KN541023.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARARRHVARQLRSAPYPIPSYRWKAMKESNRKKTLPAAQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNQRLQNAIGTTGGAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTGMVRPERRRRRRRRNRERLFLGAN >AMDW01037731.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037731.1:70:387:1 gene:AMDW01037731.1_FG001 transcript:AMDW01037731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AWEMCPCSLCFSKLVISGVVVAGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVDVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEASET >AMDW01071823.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071823.1:3:416:1 gene:AMDW01071823.1_FG001 transcript:AMDW01071823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGQLQPPAYEVVNIIGKGARTVGFWTPESGITQDLNAGSAKTLKQILWPGEPRDTPRGWTVSPSGLPLRVSVPTKRGFTQFVDVGNVTATGRRNITGYCIDVFDEVMKIMPYPVYYEYEPYPDSPESYEKLVDQVSSQ >KN540046.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540046.1:66210:66644:-1 gene:KN540046.1_FG001 transcript:KN540046.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRGATARKLRRRPPSYRRRWLMLWDDSGVLAIWHSGLRRTGSGGLSNAPRLVVHHRPRFRRGLFPPAPGRRARWQQRHEPDLKCCSTKPVVWPPLLPPSELVLMLRLWTASPAAPWTPTLLDLAAGSCVAPLPLLPIHQGS >AMDW01037737.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037737.1:289:642:1 gene:AMDW01037737.1_FG001 transcript:AMDW01037737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEGDNRKVCQSKTSCGCPMIRKKGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQ >KN540046.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540046.1:1493:2192:-1 gene:KN540046.1_FG002 transcript:KN540046.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQPVAPPQQGQPWGNWEQQGENNPQNTGNSGISAGPNQNLAMSVLENENSPVFFVPDSVQGKIQEVLLRNQLLRQLAERVQGPPAVPFTLAPFTALVLPRRNAVYDSLPLVFHSSQLPLVVVQHEDHEDDMDHDMGPLGQEEILDVQPLAISEPLDQAQPKSPLTTGPVPLLLEPPRAPIKKKDGKTVLFDPNRRQIARLRSSSQELTQPDPRMGIGKPRGKSAKKLKELA >KN540046.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540046.1:22215:24264:1 gene:KN540046.1_FG003 transcript:KN540046.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPCASVYDDSSMLGPCCDALGKVFKSDRACLCQIWEMARNDTRQVGSNALDGDQQMFARCKIPGASSTICDNGQAGHGTSAGDSSTDSPVLVNCRLPSKKCLTSLEVDRGIPDLSSPSNTVHFGSVKHTLEDIIFHIHLPVQCM >KN540046.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540046.1:9384:10391:1 gene:KN540046.1_FG004 transcript:KN540046.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPEKDGVEDNQEDDTFSRLQLLAQQRHAMEKFWRMSQEQIEESAGNEELILPISRVKNIIRAKEGGMMLSADTPAFVTKLCELFVQELILRAWVCANSHNREIILGTDIAEAITTTESYHFLANVVRGHQALGSNIPEIGVSAWKRHKLDEMTSLCHPPQAVQVTDLANHPPNIPVCPPIGQSDAQHTTSTHVLMMQGESIHKASKEKSPLNEVMVPTNKVGMTNSSYAVPNGGGATSSKVAIDSPKGETAQVFSSQLACPSLEDNYVIPIPAGHGDSFRTLDEANIPQLHQEQKNFISQDAIVGENIPLNESLEKSKHKDEDLLFPDKDLPE >KN540046.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540046.1:4486:5493:1 gene:KN540046.1_FG005 transcript:KN540046.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPEKDGVEDNQEDDTFSRLQLLAQQRHAMEKFWRMSQEQIEESAGNEELILPISRVKNIIRAKEGGMMLSADTPAFVTKLCELFVQELILRAWVCANSHNREIILGTDIAEAITTTESYHFLANVVRGHQALGSNIPEIGVSAWKRHKLDEMTSLCHPPQAVQVTDLANHPPNIPVCPPIGQSDAQHTTSTHVLMMQGESIHKASKEKSPLNEVMVPTNKVGMTNSSYAVPNGGGATSSKVAIDSQKGKTAQVFSSQHACPSLKDNYVIPIPAGHGDSFHTLDEANIPQLHQEQKNFISQDAIVGENIPLNESLEKSKHIDEDLLFLDKDLPE >KN540046.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540046.1:41610:41843:-1 gene:KN540046.1_FG006 transcript:KN540046.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWSAASPGRNLNFAFDRQACGGAGYGETVQATDAIQFEALGKGGFHAIELRGVARGHRRQGAADVLVIEGCSAST >KN540666.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540666.1:32790:34459:-1 gene:KN540666.1_FG001 transcript:KN540666.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARWWAVAAVVAVAAMAGAAKGDFAADKAECADKLMALATCLTYVEEKATARAPTRDCCAGLGQVVAGSKKCLCVLVKDRDEPALGFRINVTRAMDLPSGCSIAATFSDCPKMLNMSPDSKEAEIFKQYAREHESNNATKPAPAAAAAATGNNH >KN540666.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540666.1:2081:3382:1 gene:KN540666.1_FG002 transcript:KN540666.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPCAVFLIVQAAAIAFLGPRWANAAHPRAPPDFTAPPKWFPPPSAMAYRRSEARWVVGAFRPNASGVSDIERMWRTIESCRFTIYRSCDEVEPGVLALLTDLFRRPAVPAGILLTPPPDLAAAADDDDVDGGNSGDRAETLRWLDEQPTKSVIYVALGSEAPVEVLAHAAVGAFLTHCGWGSTIESLVFGHPLVMLPFVVDQGLVARAMAERGVGVEVAREDDDEGSFGRHDVAAAVRRVMVEDERKVFGENARKMKEAVGDQRRQEQYFDELVERLHTGGGEINDEKYC >KN540666.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540666.1:16527:22708:-1 gene:KN540666.1_FG003 transcript:KN540666.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCSYLQDLKKASSEEMRRSVYANYAAFIRTSKEISDLERELLSVRNLLSTQSALIHGLSEGVQIDSLTTGSEGSAEEGTDKNQEPSEIQNWCTDFPEMLDVLLAERRVDEALDALDEAERVVADEKQKQTLTTADILALKRAISDNRLKLANQLAEAACQSSTRGVELRASASALKRLGDGPRAHSLLLSAHNQRLQCSMQTIHPSSTSHSGAYTASLARQVFSVIAQALSDSLELFGDEPSYLSELITWATEQAMSFALLVKRHALASCAAAGGLRAAAECIQISLGHSSLLETRGLSLSSVLMKQFKPSVEQALESSLRRIEESTAALAAADDWVLTYPPSGIRTFARSASSLLLQPKLSSSGHRFNSMVQDFFEDVGPLHSLQLGGSAMDGLLKIFNSYVNLLISALPHSLDDEANLEGLGNKIVRVAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTSVNDIRKKSVDRQNRVAEQREWKKKLQRIVDKLKDSFCRQHALDLIFTEDDDTRLSAEMYINMDNTVEEPEWVPSLIFQELYAKLNRMASIAADLFVGRERFATFLLMRLTETVILWLSEDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILSGRGRFLSRHVHQVILKIIDRAMAAFSATGMNPDSVLPSDDWFIDVANDTISRISGNPRTANGDRESIVFQRLMMNSEKLKIHLYHSSLATSQHALNTRLLPANQFDLCLPFFLQCGRFRLYFFSGGRLIAIRRPGSSDALPLDKSDMVEKASLTIWF >KN540666.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540666.1:11181:11852:-1 gene:KN540666.1_FG004 transcript:KN540666.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSAPLLVLAAALAVLASTCVADPEPLIHVVAGRVLAGFVSTAGEFYSKVLGEGETFVVPRGMIHFQYNVGGVAAQVITAFNSQLPGVVAAGPTLFGSDPEIPDAVLAKSLQVDAKIIRLLKSKF >KN540666.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540666.1:41755:44274:-1 gene:KN540666.1_FG005 transcript:KN540666.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPTPHPLPSPCRRRHCLLLLLLSTLLLSSASSLEEGRVLTVGDELMGETMLLRRGSRLYRLDGTRSSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVMVEPEGVVAKPNVPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELSDDDAAKLS >KN540666.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540666.1:4953:9916:-1 gene:KN540666.1_FG006 transcript:KN540666.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit theta [Source:Projected from Arabidopsis thaliana (AT3G03960) UniProtKB/Swiss-Prot;Acc:Q94K05] MPGYGIQSMLKEGHKHLSGLDEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTVEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLLGGGLHNSSVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGSIALLKLSRPNADELGYADSVSVEEIGGARVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHAGGNTKVGIDLEEGACKDVSIMKIWDLYVTKLFALKYSADAACTVLRVDQIIMAKPAGGPRRDAQPGMDED >KN540666.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540666.1:24688:27889:1 gene:KN540666.1_FG007 transcript:KN540666.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVVYNYGPALFAGGASTLLALVVLLLFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETAPLSGLDFNSQFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHVSLVSANTTTIEASI >AMDW01031827.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031827.1:319:414:-1 gene:AMDW01031827.1_FG001 transcript:AMDW01031827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIAVERNRRRQMNEYLAVLRSLMPEPYVQR >KN544550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544550.1:491:2583:1 gene:KN544550.1_FG001 transcript:KN544550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVIAVTDHVDIHTSRMDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAAHYAGEDEAELRLKLELEEPERIVPWSSVDPYPPSPEEAAAQASSFPLYFEGPRPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIDGNSSKKTDALEDDLEETND >AMDW01036450.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036450.1:87:332:-1 gene:AMDW01036450.1_FG001 transcript:AMDW01036450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRATEAATGNGGGMRSLPDFLGRKSKYVRMDDVLPDEQGDGGGGGVRVRGGGSSGRYVFACSVFASLNHVLLGYGEPLS >KN542512.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542512.1:8569:9545:-1 gene:KN542512.1_FG001 transcript:KN542512.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHSASEVGRAENRDEEAVAVPVQAAGPGRTAGVGRPSAVIFMSSYWLHFRSTMLPQEEQDTMRNAATLLELVAKVLLFHYRWRSSIRIAS >KN542801.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542801.1:5849:6121:1 gene:KN542801.1_FG001 transcript:KN542801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAISYSCFSVVATDELPERRPNDAGGNIGCWPQERDALLAFKQGATIDTFNSLHSWRTAGRGRKSDCCQWNSVTCSNQTGHVASLTFT >AMDW01028559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028559.1:74:352:-1 gene:AMDW01028559.1_FG001 transcript:AMDW01028559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLYQVEKAKEDMLNQLYSKI >KN540041.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540041.1:5475:5856:-1 gene:KN540041.1_FG001 transcript:KN540041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPTEGLHRADRIHRRRVIRSPRRMATALPTGALHLRDDRIRRLWVIRSPRRTATALPTGDGFTNL >KN540041.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540041.1:15112:15422:-1 gene:KN540041.1_FG002 transcript:KN540041.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSSGGPMFDRANKDTNSELAVYAQIKRRPSYQPRREHDSSRSRPPKRKSDDEVNAIERSSAQCKFRQPRKDDIDKMTDGPCPYHP >KN540041.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540041.1:7759:8362:1 gene:KN540041.1_FG003 transcript:KN540041.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGRPRVARRSTTHKDPSQGPSSRSSPDAAAPGAADKPSRNDPEDTAGDKPSREPTPVGGHAASTGSADGARAGDWAGDGADLGSA >KN540238.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540238.1:9372:11368:-1 gene:KN540238.1_FG001 transcript:KN540238.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLAVVVVAVAAVLELGLVGANFQDQCDITWEPQNAKMTEGGDHLTLSLVSNSSGCMLRTKKQFIYGSVSTRIQLVKGNSAGTVTTYYTSSIGDKHDEIDFEFLGNSSGLPYTFHTNVFADGVGSREMQFRPWFDPTDGYHNYTIFWNPCMIVWFVDSIPIRVFRNHEKEGVPFPTKRPMYAFSSIWAAEDWATQGGRVKTDWAKAPFVAEYRDIGLDVCECPSSSSSYSSSSNSTSGDAEDPACAQRCATSDHWYAAEGLCKLSDKQLRQMKAVQLGYTIYDYCADAQAKGRPVPPECSMPQY >KN540238.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540238.1:58860:59257:-1 gene:KN540238.1_FG002 transcript:KN540238.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADVSEDESEYGIATRGTRKTHRVTITERKRMEEVARQLEEVLMKRIKEWKIETRQIVVDEIGKELRINKIQSEESNIEIEVNTDDEENKAEEYEAWTNREIARIKRDREEREAMLR >KN540238.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540238.1:36135:39349:-1 gene:KN540238.1_FG003 transcript:KN540238.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLSPAAAAALATELRLGLPGTAEEADSEGGGGGGVDAAPLTLELLPKGGAKRGFADAIVGGPAGQRREAAGGKAAAAEEEEEEKKKAQAPAAKAQVVGWPPIRSYRKNTMAMSQPALKGKDGGHGESNGKSGRDGLSDCRLMDLKNGTELVLTYEDKDEDWMLVGDVPWRMFTDSCRRLRIMKGSDAVGLAPRAIDKSKNRN >AMDW01031799.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031799.1:33:245:1 gene:AMDW01031799.1_FG001 transcript:AMDW01031799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FNDYINTVFSSPPTVGLIVAVVLDNTLEVRGAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >AMDW01035778.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035778.1:296:436:1 gene:AMDW01035778.1_FG001 transcript:AMDW01035778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTSFNLLMSQTAPPVLKSPFGAALRSAGKPAAALALQRKSPRLS >AMDW01121332.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01121332.1:150:658:1 gene:AMDW01121332.1_FG001 transcript:AMDW01121332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLVLAPWVLHGCHKMATAKELGEKDVAYLSVLPFMLLRILHSQVWLTISRLMDARGNRRRIVERGIEFEQVDRENNWDDTFVLTAILEYLGLLLMPLGQGIATLEKRRRPCIGPDARWPG >KN542173.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542173.1:9261:10734:-1 gene:KN542173.1_FG001 transcript:KN542173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLATALLVLLLASAGGPNAAAVAEAVVKVPTVSMHFAGGAEAALPPENYLIPVDSRGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRLGFVPKGC >KN542173.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542173.1:17019:18269:-1 gene:KN542173.1_FG002 transcript:KN542173.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VFDLFDQKRNGVIEFGEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMVFPSFVIHSEVSEADMVA >KN542173.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542173.1:11905:15445:1 gene:KN542173.1_FG003 transcript:KN542173.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCQQCGRPPLSAATPTIGHPPLPELLQRAHHIEHVVHHLEEKPNAARIPSDAVQLGLCAPPTMVPETTVSSRSHVVFAHVDVLQRGEANEEALALLIDYLSSGDSPGAEGAPELATSCSERIDCIIPYLISLLPAPFVPAPPDAASADDSEDDHFSFTSSDDDSAAEDARSFPSPPPGDGEEDHISRLADDLLSEIISRLSTKEAARTMALSTRWRRVWAKTSLLVDDAHLRDADNEVSLVRAISRCVDAHPGPVRAARITHVAFYHHEYALRRLVASLADKNVEDLILFNRPWPLNMPLSDDIFRCAYLRRLYLGAWMFPEVAAAAFVNLRELGLFHCIIPDRDFDALLSLCSKLEVLSLAMSYNCPSRLRIKSPSLRAAVEWMSSLDEIVVDGAPCLERLLLHHAIPVAERTPIKIVSAPRLEVLGILDLQLHELQIGGTTIRPGMWMFVKSSAKLPSLKILAVKVCLAIEREIKLLMTLLKCFPHLETLHIKSIPPCASPDIANCADVWESLGSCECLKSHLKTVSIQGFHTERYEVLCLKYLILEGEVLETVAFFCEDKVCFAAKGDEAAEIELMFPKNLVQDRWSFQSAIDLSLDDPFFYAMES >KN541730.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541730.1:11626:12853:-1 gene:KN541730.1_FG001 transcript:KN541730.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVEDPPPVAGGARGGRQAVVSQPPVVRATAGVAGTVCSVCTEEIAVADAVVS >KN541730.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541730.1:20120:20516:-1 gene:KN541730.1_FG002 transcript:KN541730.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALIQTRMPLGGVFLKHRATDGNYVAQRSGQARHADNKMEEIRNSGWGRWVFSRVWASSFIPVSRTRLSGKRKWRLWGGMTVGEFRENNYRGKFEAKTWNASEPYSSSSFLS >KN541730.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541730.1:18453:19420:-1 gene:KN541730.1_FG003 transcript:KN541730.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALTPVPRRQGKDVGRRAGTSLQCTGLCPQGALPPGCKKTRAGTENKGEALYGLPRIENI >KN538688.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538688.1:502930:507645:1 gene:KN538688.1_FG093 transcript:KN538688.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNSASSLLLLLLLRLSHLAIVAALADGAGFTFNGFSAANLSLDGMAAVAPGGLLMLTNGSTVMKGHASYPTPLRFHGSRDGRGAAVMSFSTAFVFAIVGQYADVSSQGMAFFISPSENLSTALPGHFLGLVNAGDNGNASNHLFAVELDTVLNGEFQDIDDNHVGVDINSLTSVEAATAGYYDDEDMGLFRNLSLISRKAMQVWIDYDGLTMELNVTMAPVEITKPKKPLISTIVNLSEVVTEPAYVGFSSSTGIIFSHHYVLGWSFKMNGTAPPLNISILPALPLMISERRSQVLAIVLPIVSLVLVLASAAMAIAVAKQRAKFAELREDWEVPFGPHRFSYKDLFYATEGFKESQLLGMGGFGKVYMGMLPKSKMMVAVKRISHESRQGMKEFVAEVVSLGRLRHRNVVQLLGYCRRKGELLLVYDYMPMGSLDKYLYDQDKPTLEWVQRPVEQEAPIVLIDWVLDHWRSGSIMETVDQRLRNGYAEEEVELVLKLGLLCSHPLASARPSMRQVVQYLNGDSDFPELRAAQMGFSMATLLKNKGLNPDAMSYAMTSSSSIGTMSSTLSGGRPISSFLVLTVFHCIKLVEPSASENQFAFEGFARANLSLDGAAAVTPSGLLKLTNDKHTKGHAFYPTPVSFHLPPPNSSATASFSATFVFAIVSEHAELSDHGLAFLVAPSKNLSDTTGAQYLGLMNISDNGKASNHVFAVELDTVLSPELHDIDSNHVGIDVNSLQSIQSHTAGYYDDSTGAFMNLTLISRKAMQVWVDYNGQAMVLNVTLAPLGVSKPKKPLLSTALDLSTVVEDIAYIGFSSATGLSIAYHYVLGWSFSLNGAAPALNPSKLPVLPKLEQRHHRSEILVVVLPIATAAFVIALLLVGFMIVKRWFRYAELREDWEVEFGPQRFSYKDLFDATQGFGSKHLLGIGGFGRVYRGVLSVSNSNSEIAVKRVSHDSRQGVKEFIAEVVSMRHLRHKNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLHDKNKPVLDWNLRPLEHDLQDNQVVLLDWVLENWNRGQILDVVDPRLSSEYVAEEANLVLKLGLLCLQPLPSARPSMRQVLQYLNGTVLAPEMLPTDLDYDTLMFLQNERVESYAMLEASSLATTVGPGSDLSGGR >KN538688.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538688.1:568909:569488:1 gene:KN538688.1_FG094 transcript:KN538688.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MDQERLGGGGPNHWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQDTKDDHRAGDPIMRDLHRPRYWVFMGASWMGFASSMVMTLSLLVRMPVDSRNVRWSFAVAYSTLVLTFIVSQPRTHLSLDILVWVAVLAFLWFTISLRPERRAKIAQAICCGHNS >KN538688.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538688.1:536376:539381:1 gene:KN538688.1_FG097 transcript:KN538688.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQANGDHQPPAAGMEDTEVKVEEADAGETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKREKVAVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSISFECETLKADKAADEHITKYMPNLSGMDAIVNIGKMSISGINLDEGDEPSGDN >KN538688.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538688.1:582764:587730:1 gene:KN538688.1_FG098 transcript:KN538688.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPNGLARIETHGAKTKKHENGICHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGASSPFAAALSEEERQRQQLQSISASLASLTRETGPKVVRGDPARKGEAAAKGAPSPHPQPVHHHHHPHVTPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRIVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDTENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPRRGILSLHSGCNMGKQGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDTGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLPQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKGAYKETLLKLAGLFKKNFEVFANYKIGGDSSLTDKILAAGPNF >KN538688.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538688.1:542133:552264:1 gene:KN538688.1_FG100 transcript:KN538688.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSIDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGKNEFSPEEDAHVIGDCIKDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSESDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEAKENSILQASLERRKVELHKRRLALEKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQAQRMLISKGEIVKDGQDPLTSKWNLAQKQYSNNPLLGRLGSRTEDSGAPSALAKLTNRLNFLKERRAILASEMQNLDLARPPGPPAPAPKRDST >KN538688.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538688.1:514434:518662:1 gene:KN538688.1_FG101 transcript:KN538688.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSPSATSTALSSVYVHAFALPDTRRDSSANKQVIDEDNMLEVLDIVELYCKILIEQATQLDKPKECGEEIKEAAAGLMFASARCGDLPELLDARAILADKFGRDFAAAAKDGAHGVVDPTLVRKLSGAPASTEQKQRLTKVIAAENDILLEFPENTGDTYQGKQNEQAKNVRAEQFVELNEVKREHHEVQGRQRFVDEEVNPRLARLSVQEQYADARMAAEAAFKSASFAAMAARAAVELSRSESQGKGSRGGGGGGGYEKVHPVQNSVAGEKEAPPSWKPHKPPSPSPSPSWSDRSTATSVGSEGTQKGKGVVFDGSDEEVDDVAWTPQLRRPPYRRASTMGIGGGATTAFKGGTSPLLQTTASPASSVQIKHSSHCDMLFH >KN538688.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538688.1:532533:533647:-1 gene:KN538688.1_FG102 transcript:KN538688.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MATADSGIFLMFPRFFDMICSHQRWIGQGDLNCQIFSSAQIASARKRIHGSGGGGGGNTDEIRGSDPSSAWQGSYPTTTSTSARAAVQRTPPARTVPLATAFSDGKKQLDVAKRHAVVYSLYAPKAKSIMEMKLQ >KN538688.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538688.1:589854:592979:-1 gene:KN538688.1_FG103 transcript:KN538688.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVTSTVGEPKQDNEPRDSSIELPRAITDMETSAMHDPEAQKLIGHEADTELTPYEGMEFESEDTARDFYSKYARHAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRDCENLHVDQKQQARVGTRVGCKAMLMIKKFGPDKWVVTKFIKIHNHGPVPPRKPHAGEHHDCDLMENPHSIEVDPIDEPVEGMEFESEEAAKLFYINYARVNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRARKDITDGGKTTKRPRMITRVGCKAMIVVKRMNSGKWMVSKFEKEHNHSLLSSRAVPITSNDASREVIDFAATSNDPNEVKAEGCSAGIQCNSTDSLTVLYNHLCQEAIKFAKEGSVTEEIYHVAMNALKEAAEKVSEVKRSHPTMSQSKHEVMQMETMSASQCSNDDKQKTMTPQLKFLQEPSPSLVLIPTNLLTHSSSNCADNIPLSCDLTINAGEVKHVSESSCLAANRKEDSSQKSQGKDERFHVLSKETTVAIPAIPLTLYMPIMKNPTGDSAECSPDIFPFSWNADGQYRLLAAPIEAVPISYRPAEPIQQPHGSFPNSGPLPGFLPKLYKRGKGPNSLVHATALACGARVVPPEEAASLIKAIESKIRSGGATIAKLPSSNLTPLIPEAASMSSSSEDDEENDHSEPLMASVEHNCHDQSSEEMKLEADPPSELETEAENCSVQPENENNGPTHC >KN538688.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538688.1:605742:611080:1 gene:KN538688.1_FG104 transcript:KN538688.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MAAATAAAPLLRLRLLLPVLVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKTVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELAIAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >KN538688.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538688.1:486186:487706:-1 gene:KN538688.1_FG107 transcript:KN538688.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAAAAGNRAGGVDPSIPRFKCQECHRALVVVGVDSVAADKLPAQATSGHVSSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPIAAAPHIEPNQRAREMEGSYVVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLTGNSFHSNVTVLKRAFEIATSQAQVEQPMCLGCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESYNVLSDDAGFQEEKLKIEEEEKKLNVAIEEAEKQYSEISSEMKGLEIKSKEFEELEERYWHEFNSFQFQLTSHQEERDANLAKVEVSQAHLELLKRRNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYARVTDIHKNTYELYIMLTRFGPVDLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >KN538688.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538688.1:508101:509975:-1 gene:KN538688.1_FG108 transcript:KN538688.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNDCLHQFTNDAVLEEMKKAYMVEQESKEKNQ >KN538688.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538688.1:529628:530496:1 gene:KN538688.1_FG109 transcript:KN538688.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTGKLKTLLGLAISRIAAVRRPRLARKSIATDDVRQLLTLGHLDRAIHRAEQVIGEGNMLEAFEMIEMYCKRLIENAAELDKPGECTEEIREAAAAVMFAAGWCGELPELPSARTILADKFGSDFAEAAKDGTGIVDPMLVWRFSGNLTSMELKKKVTEEIAMENNIVVDFSELQDAVKDGED >KN538688.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538688.1:522072:522937:1 gene:KN538688.1_FG110 transcript:KN538688.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKPSKQTSKLKTLLGLAVSRIATARRPHLARKSIATDDVRQLLTLDHLDRAILRAEQVIAEDNMLEAFEMIEMYCKRLIEHAAKLDKPGECTEEIREAAASVMFAAGWCGELPELLFARTILADKLGSDFTEAAKDGTGIVDPMLVWKLSSDAKSMELKRKVTKEIAMVKNIIVDFSELQDAIKDEED >KN538688.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538688.1:563254:563907:-1 gene:KN538688.1_FG111 transcript:KN538688.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEDDGARSFTLHVRIERPRYERPIYEGSETRVSADVWPSRCVLHAYVGGEHVGWCRLPEGRDHDHPLRVEGWSAAFELPLRREHWGRLSLDLEVERRDVYYDEDDGVVRVYHREGPQTSGRTAVIGRARVALVDALLRDGDEDEDEGEDEDRGRKRREKDGLPALVKGTREFGEWVKLQGWRFPARGPPANVVRGRLAVHMSLEARRGRGAFVV >KN538688.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538688.1:474930:476630:-1 gene:KN538688.1_FG112 transcript:KN538688.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLLGNCIHHPVLLLVILACAGIAPASGAGGRWDVLQRSIGVSAMHMQLLHNDRVIIFDRTDFGLSNLSLPDGRCRRNPRERVVPMDCTAHSAEYDVASNTFRPLFVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNVRTMAACEAGDDTCDWDETQDALAANRWYATNQILPDGRAFIVGGRRQFTYEFYPTADPSGASAISLPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAILFDYKKNKVVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYTSTKDGTFSSALVTCGRIKITDTAPAWVIETMPSPRVMGDMILLPNGAEVVIINGAMDGTAGARHLPPRPFAGGPVRGAELHRHRPAVPLVGGAPPRRAPAHRRQQPAHLLQLQQRAVPHRAQPRGVLAGVPRSIQRRASSDDRRSLAQRRGGERDLRCVVDVAVRGSGGEESARRRRRRHRARVSHDGRAVVHDALVRDEPEAATPRRREDGGAGTGQHLPDIRGDAGDGGLGAAGLLHGVRGEWPHPKRRDLGPHTVTLTGGIAARARL >KN538688.1_FGP114 pep scaffold:O_longistaminata_v1.0:KN538688.1:596718:597097:-1 gene:KN538688.1_FG114 transcript:KN538688.1_FGT114 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRVGPSSVGKTRKIPGSSNFFFRCFPADSKSLIGILHMDSRKDG >KN538688.1_FGP115 pep scaffold:O_longistaminata_v1.0:KN538688.1:495077:496154:-1 gene:KN538688.1_FG115 transcript:KN538688.1_FGT115 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVGEPRVSFQQQQQQQQVGKNGQQGHGHGAVVEEIHGLIKVYRDGFVERIPAIPDVPCTWGTTASVPGVVVARDVVVDRATGPFFGGETRTASEKTTPQPPGSALTLSTSDAYWRMSLPAGATRDHPWCNPVTGRGAPRLDALPLPDFLVCISEQDILRDRNLELCRALRRAGHSVEQATYGGVGHAFQVLNNYHLSQPRTQEMLAHIKAFVSAR >KN538688.1_FGP116 pep scaffold:O_longistaminata_v1.0:KN538688.1:554223:558918:1 gene:KN538688.1_FG116 transcript:KN538688.1_FGT116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/Swiss-Prot;Acc:Q9SZ30] MATATSIHAVPCSAGRPKRRSQRRGASTVAVRASGDASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRSGMADALREYIRRDCPFLGICLGLQLLFDSSEENGPISGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLKGAEGHHVYFVHSYHALPSDENKEWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPSRRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVQFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGTVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >KN541579.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541579.1:711:1158:-1 gene:KN541579.1_FG001 transcript:KN541579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVRAILGAVGADVDEDKLGYLFDQVAGKDLVEILAAGSEMLAFGSVVYLRV >KN541579.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541579.1:8784:9254:-1 gene:KN541579.1_FG002 transcript:KN541579.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFKFITSFAPGRRSIGTQPPTAAPRSLSTRVISYPDRRSATPPTPKAGLRKLCVPAVDLYKVKLVSPKGLEHEFDAPGDACILDSAETAGLELPYSCRAGDCSTCAGRIEDGVVDQPNGSSYLDDAQRADGYVLTCVSYPRSNCVIHTHKGREV >KN542184.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542184.1:2164:5478:-1 gene:KN542184.1_FG001 transcript:KN542184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSQNLRKLASFSLLNLSQRAPISPSPEPLRPAIASFGKCLNPFYQFSPPWMVRWASHSSVNLVLSDDGKPKFEIEEVEPSKKRGYLTKKRLKLQRKREKKKRKEANKNDPQRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEAMLVEKLKKYEIAKAQGPMAKQDDLDGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGIPINTIGNDTIVFYRGKNYVQPDVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHIALYGNPQSQNVDLVYCDDRRAASLKMEEPSQGKDLSHMDTDGFSDITDASESDEEANPSEYDDNDDETGDNIGTVLYDHGGFKRNWLGVPNRAHFFITVNMAASFWSPYRLAHPHGQKAKFGACKTVVKRIKSGFDVIIHLIYEHVREISTQDVMRLHNAAVTVIKVL >KN542184.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542184.1:15014:17753:-1 gene:KN542184.1_FG002 transcript:KN542184.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMAKETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPVEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILTGKNEPEQLSKIFELCGTPDELIWPGVTKMPWYNNFKPQRPMKRRVKESFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHSRLPPIQNPGQPHQIRPGQPMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAGGPRGGGGSGYGVGGPNYQQGGPYGASGPGRGPNYNQGGSRNQQQYGNWQ >KN542184.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542184.1:7285:12606:1 gene:KN542184.1_FG003 transcript:KN542184.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MHAQSPKHAALHAASPTLSSVRMLEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDELEEARPLLHCSACCSPLFTVRCKSSFCDVSCLVSCTQALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNPELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPASGLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFVLTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >AMDW01018573.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018573.1:6:191:-1 gene:AMDW01018573.1_FG001 transcript:AMDW01018573.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALSNGAYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYK >AMDW01040761.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040761.1:68:1042:1 gene:AMDW01040761.1_FG001 transcript:AMDW01040761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYLDSENDHEGQEEDAKEANKAAGKIAIALQRAAPGPKVNPLDDEPKVKFGFEEEDELSARDKEKEELAKKKGKDAINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEYEDICKIFH >KN542477.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542477.1:869:4153:-1 gene:KN542477.1_FG001 transcript:KN542477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGRQPCKREEELCWGSVHPSNAHHLFDGMSSPFEVYEEVVLLVMKEERLTREEAVRLLLEEWMDSKRKMEEKLDRVLEKFEEMEAQRGKVFEDTITIKAATTDLKTASSPTHKTLLPMAPIKCTMACFNDGGTRMVSSSSHMNRVLVPMAALELGDGKNKDFAAYIVTKDLHRVTPAMCSMLGLDISAGENQANTVLVLRTCVPEGVPSIDASTEVFSPQLIVEMNPITWMPTDCPMKFPEHDSKMSMPTSTKELELSGLELRPAHWLASNYCWLAEHFLPPWPPPTKVSCLELEFQIIRVLLAEMMAMDLHWAELNPWPPPHETETTHILVNQGPSANLWRTIWIVSTTEGSILVTELCVLNLCCAILAIVHLGIYDLLRYLIWMQWPQFISRKTPTIVAQQKQLLETNQRRTLITGIFPIEEKWVVGLKDQIRLEDVDFNWKIIGLHDKEGIECMGCQHVRRPLSWDYWMKEARVPTYYLEAGQIFDVHGRNFSNQYWLHWAATTQYGFVNGFVGDGVITVHVRVMSRSVDHIMASTCPLQPTRWCASCMIALYAREMRVLHVMNHSGGLILIILVVPKLSLIKNAGESVAVVLCINAMVYVRRTMIQQSTEKKIETDRLMVHWNICKLVSSLGEGNDTLGKEVIRHGQLLAAGGGGVQGQHLLLELLELGHADRVAFVDAIDHLHVRRVLPMDRVLVVPRELVDQPAKINSVHEQH >KN543432.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543432.1:2963:3262:1 gene:KN543432.1_FG001 transcript:KN543432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHETETGTESAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAATTPASTATAVPSTKAASLFPSGLRQP >KN540413.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540413.1:18176:21052:1 gene:KN540413.1_FG001 transcript:KN540413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRCANGLSEQCCPTFDPEKSWRMPQDNWIRLGDEDGWGHEAMRHGFLFHFRRVFFFPEVLNRMSIRIVVCGREGNGGLR >AMDW01031507.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031507.1:24:182:1 gene:AMDW01031507.1_FG001 transcript:AMDW01031507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAE >KN542477.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542477.1:6229:6435:-1 gene:KN542477.1_FG002 transcript:KN542477.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPWPVGHCLLARRCPLPLRCLPRCRAAPPPSVDAAALRPLFGSLAAALLLVSAPAATRQQKRRR >KN543596.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543596.1:132:803:1 gene:KN543596.1_FG001 transcript:KN543596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FTDIASRGSKSIETYQYLLEESDKISSTLKSMIQECENQNVNAEENILQNENAEDNENPKNTIVEQQTLGNAELSENPENNDEVNDKEYLEDPDIANSKGRPRQRYKSIREQIEEKETNHCSHCGRTDHTFPTCPFKHIEFDLPRKKRRKVQNKTKEDGQEQNKNAAPSKRKSLKDKTMGGKSCNAEDQNSSKKMKKN >KN540413.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540413.1:46452:48254:-1 gene:KN540413.1_FG002 transcript:KN540413.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRRRSHSPVEHLEGNNKEIEISGRKDDLRDLENDSSNARSGRGHEYVRHSDRHSSGAPRDSRRHDDYRRYHDKRGDDNDRGHRISRSERESRSDTYYDRTKRDGTSDRSRGDWRNDDKPLRREHRSKNQDKQEPSREYPRYDGEHDKYSDGRKQGHTSRRYPEEKESKYKETAKQEEALKKRTGKEIEKMSSVAEPEVGTREKRSLFSSVGPDFENAQLNDKADTSGKKPSLVCSNGVVLDNPTSGFTVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSAHWDSNLFPDRERQEKFNKLMGVKSSSSSSSSAQESKVDGKDGSSSDAKKQEELDTDLEKHYIAGLRRRDGRTVGLGL >KN540413.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540413.1:43905:45608:-1 gene:KN540413.1_FG003 transcript:KN540413.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRIELDKLSVEQLKALKEQTDLEVNLLQDSLTKIRSAATRLENASAALHDLSLRPKGTTTTTTTITRLPPSALRKKMLVPLTASLYVPGTLDDSEKVLVDVGTGYFIEVSHLGSQQLRLCLDFWTLAYAVEPIMARTEVSVLRSSTTCPASGGDNEQGRETGAERSMLVVLAANQARSSVGYLIWSG >AMDW01070174.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070174.1:131:304:-1 gene:AMDW01070174.1_FG001 transcript:AMDW01070174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLASRLK >KN543596.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543596.1:1721:6478:-1 gene:KN543596.1_FG002 transcript:KN543596.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VNLGQHVKNNFNDGNVVSDDIVHLSPKINVHSSNAIHNYNNSMKINDRFPKSSIKVQVRVVSDRKIVILVKLLNLFLQRNEIIFVSSFNKEPIYLDEVDEQPNFKIWDSQNDFPIDQEELKIEETPNNGSSGKRIIPDSYSPACPFEIHDNKITPRDLSQSLQQFSDSEMNNSDHEDKLVMITLEDSEQQTQVLTQHNDKENVLVKQQQHKILPNKKDSPDVIFLGERKSVDNCLDLTTKSNVLYNKINTFVVNPGKNLKLSNGSPEKIPFSNIDQNVGTSSSSKMPRHDLRRILQPAKYSTDPYSPARPSFPVSQYERQVYNAVCAISKSQFQDLTPKLWAVFWNVFDSCIGIDDLLKDPSMTDFRVVKKCLDGASLARPVHTCDLLFFPIVKNQHWFVFVVDLKAKRFVFLDSLYDEYSTYHEQLRPKLISNFSLAWNIYVENHPIDFNEFTMIYPPVPKQNNR >KN540413.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540413.1:22218:31827:-1 gene:KN540413.1_FG004 transcript:KN540413.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHGQRAEDSFGGFFSFDEDAASEDMSLDGLDEELEEHKDYDVLISILANGEKQRDMATLVEGNLGHAEQDLIQDYVEDSDNLVLLHDQIHDCDIILSQIGSLLTGFQVHIGSINSELRSLQERSLDISVRLKNRKLVETKLAKFVEEIVAPPSLVTVIIDGEINDGYAKSLEILSKKLRFSQVDPMINASNSLKDIKPELERLLQKALCKVSNYLTELFFSMRKPGTNIQILQQNMVQKYRYLILFLREHGSKVYTDVRATYADTMNKVLSAHFQVYIEALEKLKLDIGVSSDLTGYDTNIIDLISRGREHLRNHRFMFSLGERASILKEIDRPGLVPHISEVNPVKYPYEVIFRSIFLSRHSLVKNHCFIRFFKMMWKNYLFSGPFKVINGHLDHTLRNYHDAVCLMLMICITRKHQVLIYLWPRFKTVFDMYLQSLYRCDTKLLWVDGSHPHHIVRCYVEFTASLIQLNAECGDGQLDMNLERLRLAVDNLLGRLAENFANPKTQHLFLLNNYDMTISVLKEAGDEANKLQSYFEEKLESNMISFADELLMEHFKDLIKFVRSRVSEDLILYTECPSIADVEPIVKNFAVTWKTALELMHNEVVTSCSNLLAGMEILKAAMVQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEMRKYSITL >KN546087.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546087.1:339:589:-1 gene:KN546087.1_FG001 transcript:KN546087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVFNVGEAPIGVGVGGWMTGEPMSPTNMRRTKQKAHNGTKNMGCIQVAIPFRPH >KN544446.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544446.1:428:2322:1 gene:KN544446.1_FG001 transcript:KN544446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATHRRLRPTCSVARFITHRLLSSTSSPPPPNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPTVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWRDVVRTYDRMLASGVTPNAYTLMVLVKGLAASDRFTEAGKYIVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTDSTYKYFEIILVDVARSAICDDPRINWLCKLVHKHRELRGLTSAGRKYRGLRGKRLHSPQG >KN539247.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539247.1:93513:105983:-1 gene:KN539247.1_FG001 transcript:KN539247.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRRHRSRFRLVEHHSGQEHSLRLLGLRLRRRPSHLRSWGCQGMLRHSGLRVGKGKHDHSHRKSNLTIMMNQGAMSAGMQPMPGGMLPNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSEKKRQFMCILALAVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQALIKSVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASRSDDDSLIPNPLTLNSENTLDFGIYLLENGEDGFVYVGNAVNPATLEQIFGVSSLAGVPNQLVLEQYDNELSRKVNEVVNEIRRQRCSYLRYSLQVLNYVDDIGTFSPLTFSKLSCRLRLCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMT >KN539247.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539247.1:6164:6980:-1 gene:KN539247.1_FG002 transcript:KN539247.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHAEDNLTVRVEAYLEQAMLRHPCEATKALKSREELLPHAEELGIVGRCVEAISTFTSGTKHGSLNKSLCHHCALVGCVALLVRRPRRPRAGHVQKGNADVRTEARESCLVSYARGTIPALSMSMRRRLVSAPASSEVEQRDLLEAVVTSVPTDKCSGRVVTAKFLFVLLWTAHILLALDAALGRKAATQLEK >KN539247.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539247.1:40541:58036:-1 gene:KN539247.1_FG003 transcript:KN539247.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGPPLLGFRALALALLLAILPLLGCSAAAAYAGAEGVLRQVVGRRGDDGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATPEMWPSLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIGLDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARIGAQTSVFTVESGSPSRSSRHKPSILSLTSGGPYLSSTWWTSKETIGTWGGNNFAVQGILEHLNVTKDISDYLWYTTRVNISDADVAFWSSKGVLPSLTIDKIRDVARVFVNGKLAGSQVGHWVSLKQPIQLVEGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVTLTGLSDGDVDLTNSLWTYQVGLKGEFSMIYAPEKQGCAGWSRMQKDSVQPFTWYKNICNQSVGDTIKFMHAY >AMDW01029337.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029337.1:23:232:-1 gene:AMDW01029337.1_FG001 transcript:AMDW01029337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPLPGWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYSDYMRSFRDTFRDYLGNTIV >KN539247.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539247.1:37168:39765:-1 gene:KN539247.1_FG004 transcript:KN539247.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAAVRRSISIVVPSLDDSFLLSRVGIDAISMQCSFSADFAVLRQVDTAGAYLATNGELHDVYPVGVQILVGRQQCNLARETMFSTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNERKCQSNCGMPTQNWYHIPREWLKESDNLLVLFEETGGDPSLISLEAHYAKTVCSRISENYYPPLSAWSHLSSGRASVNAATPELRLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHASSTLDLVTEACVGNTKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSTTKEPRGNLSGT >KN539247.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539247.1:15176:16135:1 gene:KN539247.1_FG005 transcript:KN539247.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSSVVISRFVKLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFDREVAAMEACRGHPYIVQLRAHGRCDDGEAVLVMEFVGPTLRHVQRRSTRRSELEVRVAMRQLLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTASPPPYSNPIGTRWYCAPEILLGSTDYDERVDAWSLGCIMAELLARKPLFRGSSDREQLGEIVDVLGVNDIKRWRGYKGQRLLGGCGPDSFLRDFFPSPADARMLRRPPLSEAGFEVLSGLLTCNPEKRMTVAQALRHRWFKEADSASLRHRR >KN539247.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539247.1:91913:92721:1 gene:KN539247.1_FG006 transcript:KN539247.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLCGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTDRMEP >KN541852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541852.1:1881:9270:-1 gene:KN541852.1_FG001 transcript:KN541852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCAAAAKARHFRWEVSNMFWSPDCEEKVVIGINGQFPGPTIRAKAGDTIVVHLKNGLHTEGVVIHWHGIRQIGTPWADGTASISHCAINPEETFTYRFVVDKPGTYLYHGHYGMQRAAGPYGSLIVDVAIVRRHELELADAATLPWEQKSWWHGIDLVAENGDGAVGDEVGEDGDGSGTGRSLVYEGNFVGGRQLSWFMDAYEHNATWWLTSRQVREKQQWESGAQVINGDLQKINQTCDLNEAHSFSQLLSTTTC >AMDW01038407.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038407.1:9:596:-1 gene:AMDW01038407.1_FG001 transcript:AMDW01038407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLGEMFGCGDIQTAGTKADKAMFSPPGSVSIEVQDGDNWLRVDSKLLEAFLYLITTVFDVSQDQDLVQLVDVVFPGDATFTPIGKLKEMVCIYILPNYELTAHWLRIVKLIFMMFISTLRLRSSSYAKEEENLKELMGYLSEVSIQMYGVDGVLSLADSNNGAKSPFKTLVSLFIEAQEIVDGQKEGIIYLRGSVE >KN541852.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541852.1:19018:20992:1 gene:KN541852.1_FG002 transcript:KN541852.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLAKEIATATIASVFLGFGSLFVLLASGVYV >KN541852.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541852.1:15299:15786:1 gene:KN541852.1_FG003 transcript:KN541852.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSDIGGNITKFVIEAAQVKFKEATGLTRWAALYGGLVADPSPEGFIAGLFGDSSSAYRLTVRLTV >KN543831.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543831.1:1132:1287:1 gene:KN543831.1_FG001 transcript:KN543831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIHGKEEEGKVESESSQRQCGTTGTMEQREKPSVVGDAQAMVRECPNAR >AMDW01037261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037261.1:38:601:1 gene:AMDW01037261.1_FG001 transcript:AMDW01037261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDFGASILAPIDKSQLVTLVQGTWGYLDPEYMQTCELTDKSDVYSFGVVLLELLTRKNVFNLDAPENEKSLSMRFLSAMKENKLENILDDQISNDENMEFLEEVADLAKQCLAMCGEDRPSMKEVAEKLDRLVKVMQHPWTQQNPEELESLLGESSYIISSGASSTGNFSIEKKVVKDLASGR >KN543831.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543831.1:4969:5249:-1 gene:KN543831.1_FG002 transcript:KN543831.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QDHRVEREWARSCGSLTGILHRSTTLGGIESDHGSLMIL >KN541711.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541711.1:21317:22837:1 gene:KN541711.1_FG001 transcript:KN541711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAILGDLASRSISFLINKCSKPTVLTVEEKLQRLLLQACFIVEESDERLIINQAMLQQLNILRKEMYRGYYTLDSFRCHGHQEYNPKDLEVSSSFAPSMFNPAKRVRFCRVTGQSVQEHLLQQVFGRLEVTIEDMSEFVMFLNSYPRFCRQPYSMHLLLDECLFSRQMEMEHIMNFLLKEDTPGAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVLFNDDDLEDANILTLRDSGVIKHQNHATGGKRILIIIELTRDINEGAWRRLYSASKSHVASGSKIIVSSRSEKIASFGTTQALRVKFFTQEAYWYFFKVRTFGSINAVEHPKLASVAMDIARELNGCFMGASIYSGLLKANINFQFWRRALAIIREFKKLNLLLYGAYFFDDPWQAVEPSYIRTVNKINSEYLLVLDDYQICSAPNMIHCHKNSAHSEDEVPMVSVKDFLFGNVKPQGKFKVLAWRSHLPPHYSYVFNCEVKRLHHMVTRKKRSQKLCT >KN541711.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541711.1:482:910:-1 gene:KN541711.1_FG002 transcript:KN541711.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIENHRSGAEVVNGDAICRKRSIELLGELGLPKGLLPLEDIEEFGYNRDTGFMWLVQKKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVTEASPEKVTFKTGTGLSDTFDAAAFALGE >KN541711.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541711.1:10612:13275:1 gene:KN541711.1_FG003 transcript:KN541711.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILPTIGSMNGDASSPPMSALDPGNVAIQLFKEITTMTCYAKADCREKYRNLNFKEMWSFWILSDLATRSISFLINKCMKQTESAMEERLRWLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDNTKDHQVSNSFALSKFNPAKRVRLSRVSGHSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFSRQLEREHIMNFLLKENTPGAENLGILPIIGPENVGKSTLIEHACDDERVRNHFSQIVCFNDNDLEDANMVTLRNRGEIKHQNHATDGERILIIIELIRDIDEGAWRRLYSAYKNCVANGSKIIVASRSDKIASFGTTKALRVTLLTQEAYWYFFKLRTFGSTDAAEHPKMASIAMDIAMELNGCFMGSSIYTLLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVEPAYVRRFIVTQTVLTVKMKFPMVSLHDFLFGRVPRACNIRKAS >KN541711.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541711.1:7421:9019:1 gene:KN541711.1_FG004 transcript:KN541711.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKIGFIPRMNTLLSAILGELAGRSFSFLIDRCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRRINILRQDMYRGYYILDSFRFPEAHEEEIMSENNDKVSYSLALSKFNSAKRARIPIGTRRHGDRGELEQVIDNLEIAMADMVEFFLLLNNYPSIHRQPYNSYLFMDKCMFGRQMEMEHIINFLLRPEPPNTLSVDNLGVLPIIGPAKVGKSTLVKHVCGDERVCNHFSRILFLTEGDFREEKSLLTLRDSGEIRHMHTSSSVSSGGERLLVIIELAEDVADDKWTMMCASLRSCISAGSKIIITSRLEKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDPKEHPELASVSMMMFNVYFDHELYKNFTGPFMDLNIMASLIQATFYEGNWLSLHAHTRGYFLLRRGLGDDFELKTKCVPIPKKNTVVQQYCVISDYCRVGVAHEEDQKVPKIDMQDVLSGRVAPDGRFDVVLWRSHLPPYYSYIYSCEIHEYRPVLATCKMELRQKRKKIRLN >KN541711.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541711.1:5191:6698:1 gene:KN541711.1_FG005 transcript:KN541711.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLQRLLLRVQIILEEAEDRHIANQAMLQQLNILRKEMYRGYYILDKFRYHDREEENTKDHQVSNSFAPSKFNPAKRIRFCRTSGQSLQQQLQQVLASLEATIEDTSEFFMFLNSYPRLNRQPYSTHLVLDKCLFNRQMEMEHIMNFLLKDNTSSNQNPGVLPIIGPSNVGKSTLIEHACNDERVRNHFFQIVCFSDDDLEDANMVTLRNCGVIKHQNHATGGERILIIVELIRDINEGAWRRLYSASKTCAANGSKIIVASRSDSISSFGTTHALRVKFFTQEAYWYFFKVRTFGSMDAAEHPKLESIAVDMAMELNGCFMGSNVYSVLLRENFNDKFWSMALARIREFRKLNLLLCGTSNFDDPWQGVGPAYVRRVNKICSGNHVIHEDYKVCSIQNMIHCHTNSAHSEDDVPMEEEISEAFHLTISDADAGERCHVGPKQNEHCHYRVHA >KN541711.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541711.1:16648:17634:1 gene:KN541711.1_FG006 transcript:KN541711.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHIMNFPLKVDSPGAENAGVLPIICRRKAGKSTLIEHDCNDERVCNHFSQIVCFSDNDLKDACMVTLRDCGAIKHQNHGIGGERVFIVIEQIGDIDEGVWRRLYSASKSCVPNGSKIIVASQSDKIASFGTTQALRVELFTEEAYWYFFKVRTVGSMDEQEHPKLASIAMDMARELDGCFMGASIYSVLLKANFNARFWNMALASIKEFKRTNLLVYGAYIDNPWQTSEPTYVRTVNRISSEYLVILDDYQTCPIQITNPAQSEAEVPEVNIQDFLFASVIPQGKFKVLAWTSHLPPHYNYMLSCEVQRKYRMVAKKKRSQELCS >AMDW01036289.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036289.1:475:582:1 gene:AMDW01036289.1_FG001 transcript:AMDW01036289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRK >KN540093.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540093.1:8345:11326:1 gene:KN540093.1_FG001 transcript:KN540093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSGRALSLWVMNLLIEFLPLELFLGYDALHREKLAGHYGAEFSSLQDFEYRVFNETSSIDDYISKISTEMSILERPQSSSGIHQQGQMGNQTRLPTTVQSFQGSNPFGLQPQGVIEVMQEQPQQQPHLMSDNRHQTHGGWQSNVARVQMITGVGEVNWREEMFQKISFLKNSFFSELSDFDRLLHNCQKTEEQLQSLPKKQADQYRRIAKLKDAVRSALDLLQLQKSSIDEGMKVKFCKYESSIHILLRFYRETKAKINEMNANRHNNQQEQPAVLPRQRITDRTPSSARRQNRTDNVIGQSEDKLRCRVESVVSKKPIDRLFNALLHSVEDDRTDVKRQKTQQVNSALANEIDAINAKLIDTVVSIAGEKDCGTEIEFSYTAVSLAPDMKQLFAAYGTSPVKPVKLFVPADYPRTSPVISSNNDDGDEQRRGMLGEISGMVDAAFHCALRELPPSMSVKQMASEWNSCVQMILKATTWDVPATQIK >AMDW01039290.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039290.1:61:816:-1 gene:AMDW01039290.1_FG001 transcript:AMDW01039290.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEELAYKNTVEGITGIISKTISKKGMLEVYNSLTEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFY >AMDW01040201.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040201.1:381:1245:-1 gene:AMDW01040201.1_FG001 transcript:AMDW01040201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQQLPSLQPQSPLWDYHYGQD >KN540660.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540660.1:749:1036:1 gene:KN540660.1_FG001 transcript:KN540660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDGGGRRLRLRHLGIRREDACAEKEALPRPEKEIRLQSIVMGTALVGVTVPIDSWTSVESTEGHRIGVAAHTLRWLVTCTSSSYTAKRRAAY >KN540660.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540660.1:26274:28754:-1 gene:KN540660.1_FG002 transcript:KN540660.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVERSMGEREDYAVEGIRVDIYSGSIRNATFAALCFLNLKEKQKVWNILLPMNTAQAAGIILEYPTFEEEGYAPEAEEEAEADDEVEEEAVEASAEVAEEPKDVEEVGEYVEPPAEAKVYVGNLPYNIDSERLAQLFEQEGVVEVSEVNQ >AMDW01039148.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039148.1:5:578:1 gene:AMDW01039148.1_FG001 transcript:AMDW01039148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVTNAVHNAHQELASRIERLEQTVDKDKAETHSHDANGPSSSVVPEFLHALLMNGMNTTEEAPQAGHCGRTCENDDLWRF >KN540660.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540660.1:14098:15692:1 gene:KN540660.1_FG003 transcript:KN540660.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEVDAGISPRGALARGKAIPLRSRISFARERQLNFAIALSDSDFAMLSHFPGTNIGIALPKGDTDGLFPQIVRYPISSTPISKLMNDLKLMAVTFSRQPSTRVERYEVFSPVPSLSKPPTNKIAHCRFTLGDKIRRMGRAARRALVGGHWGDITKGPNHRHTLCSLPSVQSNQWHQRPAARSGASDVAYPTERDDGWMEVKLAEFSNDERMLADAAVIVDFREVNDHVKKSGLIVEGLEFRPTIH >KN540660.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540660.1:7043:7333:-1 gene:KN540660.1_FG004 transcript:KN540660.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSSSSTSTSAGVTFALVDDDPGVDEMRWVKPGGGELGEYRRVDVDPGVVKGKRGMVATVVALVDGRWVDVGADPWVVEGKPFSFLLFLPSSTF >AMDW01040136.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040136.1:378:1181:-1 gene:AMDW01040136.1_FG001 transcript:AMDW01040136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKIFCYSEFVEEKQFRPQKFRWELFCAGSETSSNAIQWAMSELMRNPRVMEKAQNEVRSILKEKPTVTEADMVDLKYVKMIVMETHRLHPVLPLLTPRVCQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDSEIDLKGTNYEFTPYGAGRRICPGLALAQVSIEFILATLLYHFDWELPNGAAPEELDMTEDMGLTIRRKNDLCLLPTLRVPLTA >KN540886.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540886.1:34579:37012:-1 gene:KN540886.1_FG001 transcript:KN540886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSFHRVLIPNLAVYSIQSSQIEFIAGWCSNHTTRNFSAHTSNRVSNDRGHQSRELKPSLVKDDVAIIERIQNSTKELRQGPVGKNLSSAEKRKFLVNTLLDLEDSKEGVYSTLDAWVAFEQEFPVASLKQALVALEKEEQWHRIVQVIKWMLSKGQGKTIRTYEQLVCALEKDNRADEACRIWEFKIAHDLQSVPWRFCRLMLGIYYRNNKLDRLVKLFKNLEACGRKPPSKDIVRKVDDAYEMLGLVEEKKELLEKYKDLFDKPSSNDKKKSLQFKKVEKNIKPA >KN540886.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540886.1:31758:32171:-1 gene:KN540886.1_FG002 transcript:KN540886.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRGCADGEKQRLHFERAPAAKKIKTEEEMEEEVVLVYREYNEDGKKRKKVVKRLGKEEVERLLSLKKVTVPALSDEEMANPVMFRAIQALRASAVRIHKDQEFIRSLFEAKGYVDVEDEVSDDDEDDMDMDMDL >KN540886.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540886.1:20078:22954:-1 gene:KN540886.1_FG003 transcript:KN540886.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTELGFSKHKHLDGRMKHKLLKGYSLYTDVSRKKIPGSDGILGEKSGSRSKSKSVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRHQLKDLSWRSKDTTIDKKENQGQEVEHEENPKSCELQYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPL >KN540886.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540886.1:3797:6361:1 gene:KN540886.1_FG004 transcript:KN540886.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNGHAQFKLIELDSNTITTNEVLFKVSCYSCGAKHWIKVVELVRRAVPLALSPDDDSRKEELKKLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLVRLVGVEALKRRLRDGREEVVGYGELLDACVEAGAARTRRDAEALARAMDEAGVVLLFRDKAYLHPEKVVELVRRAVPLALSPDDDSRKEELKKLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLYLSRHRKLCAKNNFDVEKYLELQKHCKCPLEGHYPHGPKFHDL >KN540886.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540886.1:14714:15025:1 gene:KN540886.1_FG005 transcript:KN540886.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKERKTREEVQRLLSFVPRTFPPRDRKPRCDDPEINQFEDILANTVLLLNSNTQIILQDQARAREELHTKGYVDRWVNKSAAVAVAAAADDDDDMQVSTA >KN540886.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540886.1:33473:33880:-1 gene:KN540886.1_FG006 transcript:KN540886.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEEDVPAAKKMKTTTTEDDHEEVLLAYPPRKKVDGKKMKKVVKRLGKEEVERLLSVTVTVPTLSEEAMEEDDEEDVREREMLLRADRTLREAVMSMRKDQEFIRSLFEAKGYVDVLDEVSDDDDDEMEMEPL >KN540886.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540886.1:12578:12985:1 gene:KN540886.1_FG007 transcript:KN540886.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSDLIQEGARLGLKRCLNAEEEARKAAAAKKMKKMVKVKERLTREEVERLLSFVPRPFPPRDRKPRCDDPDVNEMEDLLANTVLLLNSNNQVILRAQARAREELRTKGYVERWVTKSAAAAVDDDMPASTA >AMDW01040070.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040070.1:260:973:-1 gene:AMDW01040070.1_FG001 transcript:AMDW01040070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IHGRASTRGAVKECQGKSKFFLEHQAKWEKEGNKGYDTNAHGWRFAYELTFPEGEIPSDWGYSKPLWGEHAKDEARRRHREAKQRKSEALQRQQRIEQVRTLWLEKYDAGKAPPRREQPQEEAMDDDMFDWQVQAEKRHTKNVQMALDIINRKHPGRDYELWEISAKSRIVEMERSYCHYNFTAYSPSSGFGFFFAETSDDVKCEDQVLSWCSIETGEIGMAMIYSVYFCGISLRHL >KN540886.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540886.1:29785:30180:-1 gene:KN540886.1_FG008 transcript:KN540886.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVEEEVPAAKKMKTEDDHEEVLLAYRPREKEDGKKRKKVVKRLGKEEVERLLSLKLAVPTLSEEVVKPRPDDDEDDVWVKEVLLRANRLLRESAIRMRKNQELIRSLFEAKGYIDVEDEVSDDDDDDI >AMDW01033076.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033076.1:1:402:1 gene:AMDW01033076.1_FG001 transcript:AMDW01033076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QDEVPLLPKAYPPNSRVYTTLMKGYMNAGRVEDVLAMLRAMRREGDTSPASRPDHVTYTTVISTLVAAGDMERARAVLEEMEQAGVAASRVTYNVLIKGYCQQLQAGKAKELLAVDMAEAGIQPDVVTYNTLID >KN542538.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542538.1:13868:14909:1 gene:KN542538.1_FG001 transcript:KN542538.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLPPPSRSVSSRPPSWLYIPPPRRHGTFTSSCAFRLSPSSPSSPPPPVLDFQYIRFMDSWIEQTSLSLDLNVGLPSTARRSSAPAAPIKVLVEENFLSVKKDHEVEALEAELRRASEENKKLTEMLRAVVAKYTELQGQVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKK >KN540350.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540350.1:40314:43340:-1 gene:KN540350.1_FG001 transcript:KN540350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWGRSAAVEIAERFAFYGVSANLITYLTGPLGEGNAAAAAAINAWNGVSQLLPLLGGALADSWLGRYRTILLASLLYILGLAMLAFSTLISTGGNQCSSAAVAGGKTCPPSTLRVAFFYVSLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSGSKKGVVARAGEALAAWRNRGKSIPLPPPASQECHPTATSAPEFSTRVEEDEQVVGKAGLVEQAKGIVRLFPIWATCLIYAVAFAQSSTFFTKQAGTLDRRIGDHIQVPPAALQSFISITIVAFIPVYDRVIVPVARRYTGVPSGITMLQRIGAGMVLSLVSMVIAALVETRRLRAARDAGLVDEAGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWFSNNLNRAHLDYFYWLIAALSALELLAYGYFAVTFKYKNKNKGALLATSTSC >KN540350.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540350.1:3474:5018:1 gene:KN540350.1_FG002 transcript:KN540350.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLQSSVGIIHKNHAESITSFIKESVDEELKDADSSKASQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDIGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVTLDYLQGLHEKHESWLLPSKGGGTGVLSVSQLPTHLEGSLPPAIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYAQQVAEFFQFVKKKKEAPSEQTSTDKNRINPQIMLPHKGGLWVPDGRNPFSGSAMNLNFRRAMSSYLST >KN540350.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540350.1:26041:28278:1 gene:KN540350.1_FG003 transcript:KN540350.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASTSRLLLRHHHHAAADGSKQQQQLRYSSKPSSLALPRLRLLPPAGALLPDRVTPFSYEEDDESDDHPREECGLVGVVGDPDAASLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPARLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKMFAVRDPHGFRPLLSAKRVLFVLYASCRILFSQMREAETTSVVLHSC >AMDW01061299.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061299.1:470:664:-1 gene:AMDW01061299.1_FG001 transcript:AMDW01061299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRADVARAVSALMDGGGEEAGERRRKAKEYGEKARRAMAKGGSSYENVTQLIARFMQTGEE >KN540350.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540350.1:15830:23685:-1 gene:KN540350.1_FG004 transcript:KN540350.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPPDAAGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGLRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVEQIWHLATQPAFLCYVAFALVVSLILMAHCAPRYGQTNIAVYIGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFATVSAICIIIQLIYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSISSIASEICGFLTVLSGTVVLHSTREYDQTISPDLYTPLPPIYWHIQGNGETVKQKEDDSLSADFITVISGDTLLGLYEKERLSHSLYANEDYDKEMFWENLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLATSHPKMDAWLGNRKRIIVMNREDMVSNEDRNAWASYFANQGTKVVYSNGQLGMGTMKLGRMAKSLASTVNTKRREKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGSDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQLLALKRFEDAIGLTWTAIVAKCTIRSFYSFFNDIRSWENILMEYLTSFRFVTKLSVHLFNGDASQAAFRILSDYRKGKFGWVALERPPT >KN540350.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540350.1:9127:15139:1 gene:KN540350.1_FG005 transcript:KN540350.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLVRDQIVDPEPTLTKRAKSPVVEEATVKRVEEQQQSFAFLESVVPGLAVYNGADAGQAGSRTPQLVRTLSQKAGLGKTKAGAAKVSEVSSLLGRAGTVGLGKAVEVLDTLGSSMSSLNTSSGFISAAKGDKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLYSEGVQHLISKDMDELHKIAATDKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKCSLISALYLMKELYHELHTLDRFEQDCRRKQQELDGLGSRGDSLHMLKQDVKSQTKHVKSLKKRSLWSKNLEEVMEKLVDIVHFLHLEINNAFGLTDSEAPQEPAKHHNRLGPAGLALHYANIINQIDTLVSRSSSIPPTTRDTLYQGLPLTIKSALRSKLQSFEVKEELTASQIKAEMEKTLRWLVPIANNTTKSELNCKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKNANGGVRSPIKSPVRSPTQKGITLMPNKSNSSSPILTQEDKDMLKNVKFRKFVPGISKSQEFDTKSRHSKQIRLIKSNSQSPTSGSRKDLLSLRRSSMLPVIDFQMDRTKALDLIDRLDGLKKQ >AMDW01036388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036388.1:63:341:-1 gene:AMDW01036388.1_FG001 transcript:AMDW01036388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTAIDGRYTTVVIPNAPDLIDFLATNDVDISVAEGDAVGLGEFLAIVGNLLFPFLAFAGLFFLFRRAQGGPDTSPGSLGWRLDIDRSKSKFEQ >KN540350.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540350.1:29116:30433:-1 gene:KN540350.1_FG006 transcript:KN540350.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRQGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDAADPGESSSRSTFFNWWYLGICASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDGVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYIYFSTSYIYRRKVVDVQ >AMDW01031126.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031126.1:145:345:-1 gene:AMDW01031126.1_FG001 transcript:AMDW01031126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHMIQSYEMEQLVDPQLGYGSDGETKRTVDLVAEVAFRCLQPEQDVRPPIGEVLDALREALRMDK >KN542709.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542709.1:10289:10645:-1 gene:KN542709.1_FG001 transcript:KN542709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAVLNSHLHACGNDNNGGPPPLFSLAAAQLVTWMSKSGETTSGNSIATINNNLCRCPALSHLLVGMYKELCAVTDGKPMDEVFPGCQRDNMKCMVVASLLALCGVDIPIGISGVY >AMDW01039767.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039767.1:40:1060:1 gene:AMDW01039767.1_FG001 transcript:AMDW01039767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANGNQVDEDVPEMAEADMVVFLGDFNYRLYGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFIRRQEYGKILQSDKRLHNLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLE >KN539653.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539653.1:66635:67546:-1 gene:KN539653.1_FG001 transcript:KN539653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQKMGVKRKRKSRGSTQTRRRTPPELMEDMVTDILVRLPVKSLLRFKPACRAWQAIIDDPVFIRAHLRRSASRWEQSHSFIINPHSMVSVPWDRWPVPSNRYRFHQWQLQRGTTTTPKNNVATFLHAEDLSDDQQFYTTEFTHRDGLVFSTTTTSLHVFNPATRDAITLPTSSRSNLMGGGRFNYHCSGLGLDPRTGMYKVVQAFFRSQSMEPAETKMGMEVFTIGGGGGGGAGWREITSDPPYPAKRFQIGVSVCGYVFWRFSERHTKLERGILHLSLEEEEFAASPACQMSWTLTVASC >KN539653.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539653.1:16455:17378:1 gene:KN539653.1_FG002 transcript:KN539653.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALLILCTWFLVDTPNSLIQRGRLEEGRAALKRIRGTDDVEPEFNEIVEASRVAQEAKRSSFRYLLRRRSNRPQLVIAVLLQLFQQVAGINAVMFYAPVLFSTLGFKTETSLYSAVITGGVNVLSTLVSVYSVDRAGRRMLLLEAGVYMLLSLMAIAVVFRIKVTDSSDDLGHDWAILVVAMVCTFVFSFAWSWGPLAWLIPSETFPLETRSAGQSVTVCVNMLFTFVFAQTFLSILCRLKYTIFAFFSVCVVVMSLFVLVFLPETKNVPIEEMKERVWKQHWFWKRFLDDDDNHHVIVNGGKSK >KN539653.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539653.1:38036:38203:1 gene:KN539653.1_FG003 transcript:KN539653.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERARAYAEGIKKCEWDGELEFHETEGEGHVFLPKPNCDNAVKELAVVTDFVRRC >KN539653.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539653.1:34698:34982:1 gene:KN539653.1_FG004 transcript:KN539653.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAGESAAAAVLGHNGQRFLQVEQETVWSDRAGHDRGSPCPTAAHLGVDVAVVRVQPHDLVYDFSVAAQATGCTQCSAYKLRHCTMIVLVYD >KN539653.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539653.1:81318:91428:1 gene:KN539653.1_FG005 transcript:KN539653.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLLRLVLLLALSVSALAAADASGVFEVRRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYYTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIPPLSCPDWMFDLGGVLQIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSLSYGDGSSTAGFFVTDFLQYNQVSGDGQTRPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKPQLETDNAEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVSTLVQEALKSCLIVNAPLLYRAAFRVPFSVLKYAMTADAEQKYPTMLCWKLAGKIAASSGHASWSLNLPEEANLWNVHDAYTIVATQRGARRDLQKKLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFLAPKIIGGVNAPTPVGELGMNQMTQAIDLIDVSYEQ >KN539653.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539653.1:21825:31268:1 gene:KN539653.1_FG006 transcript:KN539653.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVCCCLGLAANVAQLAGLDVITLVKVIKNRVETVRQNKEDCELLAERADMILDLLRRVQESKVIEDPDMWKPTEGLKSTLRRAAAIVKSCQEDWSYAYRFCKGGRIARELRKVLKDLKFYILPLIGMITIINHDQNTRYYYIPETDVVKPQACLSNSLCNANKKFEGLKKFTLSELEVATDNFSLEKQIGIGAFSIVYKGQLNEIPEVAVKRASYVNRIPFDQLENEAKIISKLQHTNIVKLLGYCSQEREKILVFEYMPGRSLDSFITGERAEELPLDWSKRSQIVKGIADGAVYLHKQCEPRIIHGDLKPGNILLDAALKPKICDFGTSKALRPGQDMDCTGIVVGSRGYMAPEYKQGGCVSLKTDVYSLGATLLEIIRGSRIPPSTLELSDESRDFGPLNKWAWELWRGGNLMEFIDPSLRGETHSAAEIQSKEEMRYNQRLRRVIRGENAISQQRAIQAFDCVFQKAFDNAFQKHLDPIYRSLQSLNKRTDILSHEVEQIKHSNSNHHANQQYRSKANQESAAITEEVNQEQTAARFVTREAQEGQRVELRFLNKLNPLVFTKEKITAEDGTAIKIAIVRDNQIITSGPLSSARIEILALHGNFYDVVPDNWTESEFDHRIVSSSQGPALGGVCQVKLKNGEASPSDVFFNIPSSKTESGRLILAAKVHTSDNGGLRIKEAVMMNPVVVQVYRNKLNRSSDRPKLKDEVHRLKGISGKGCRTKWLKDNQINTVEEFVKALNKDEEKIRNECFKLKKDNKLWKDTIKHAKECDLEGNCKLKLYRAEEQHVVLFFNCVHDLVGAKFRDHYVAKDNFSSDQQDAVNRLKKQAYDELDSIGFDHEMKNNYPVMTLSDDAYIPFTDTAQNPPDLHVTFQVQGIAAAEIYHEHELPQAFPNNNNDFGQDFLHGFQGALTQMDHDYAQFGIADMQCYTTRAPEGTSYGGNNMIGPANVPQNVIGDGSMDMFDCYAYIVPDNENQNERPHSSAYPGPV >KN539653.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539653.1:41312:57484:-1 gene:KN539653.1_FG007 transcript:KN539653.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSNSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSQEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAAAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRMALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQNSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSTLQQDAANKLHFNAGYTMKIAQKLYEGITLSSEDASGLITYIRTDGFHCLKGSYLMHRSFWYAMSKNTFVMITVMPYGKSPNNMTMNGRIKISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRSSVVIYLAASLVSTLDDDSLKLYALIWKRTMACQMEASRAEMPLMTPQQQEHTEVNWMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSLMKVLQDRNHVTVKGQVVHPEFRGRMVSAFLTHHFSEVADLSFTANLETELAPFTSPNMIILKLLDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKHHAYQTCRYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTESSPSRASMRIAEFHCSAVQVLLRSASPAAVEWMLAYGCVAKEHRTKQQS >KN547220.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547220.1:351:485:-1 gene:KN547220.1_FG001 transcript:KN547220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPHSTAAIDDEHHQLSYANGETKILSLKRPLRFANFTT >KN544306.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544306.1:2001:2434:-1 gene:KN544306.1_FG001 transcript:KN544306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPVEASGGPVMGDIPGGGGELRVQIAANSGGQGGKVVGAAAPEKCLNRFVRMVALMERTGNALGTLAFNWAAIILLGGYPSVLRPDKDFLYATTIIFVEAASCS >KN542530.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542530.1:278:2962:1 gene:KN542530.1_FG001 transcript:KN542530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLSLSQCDLGGTIHRSFSQLRSLVVINLNYNGISGRVPEFFADFFFLSDLALSGNNFEGQFPTKIFQVENLRFPTWKIFGITKSSEDKLFCFYGPIPSWIGNLTKLISLELSLNSLSGRIPKLLFAHQSLEMLDLRSNQLSGHLEDIADPFSSLLEFIDLSNNHLTGYIPKSFFDLRRVTNLVLQSNQLNDREDGYPFHYFPTIKYLGLASCNLTKIPGALRDIKDRLNLSSNRLHGNVPIPLTTYTYGLSLDYSSNSFSSITHDFGRYLRNIYYLSFSRNKISGHVPSSICTQRYLKVLDLSHNNFSGMVPSCLIQNGVVTILKLWENNFHGVLPKNIREGCMFQTIDLNSNRIIGKLPRSLSKCKSLEVLDMGNNQILDSFPSWLGNMSNLRVLILRSNQFYGSVGLPTESDATSKYFSGLQIIDLASNNLSGSLQSKWFENLETMMINSDQGDVLGIQGIYKGLYQNNMIVTFEGFDLMFTKILTTFKMIDLSNNDFNGAIPESIGKLIALHGLNMSRNSFTGRIPSKIGKLVQLESLDLSLNQLSEAIPQELASLTSLAILNLSYNNLTGQIPQGPQFLSFGNRSFEGNAGLCGRPLSKQCNYSGIEAARSSSSSRDSVGIIILFVFVGSGFGIGFTVAVVLSVVSRAKRWNWNIFRFTGNTVVFK >KN542530.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542530.1:13109:14635:1 gene:KN542530.1_FG002 transcript:KN542530.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSIATQIKLLPYYGLKLPSPVQACCHHGELDRTAATGRVSPVTCPPAGSFPLTSIPIGIGSLKKLVTLDFSRNYGLYFDKSSFQTVMANLSNLRELRLDGVSVLSNESSWLRSLKMIDLSTNRELSGKVPELFAELSSLSILDISGNSFEGQFPTKIFQLKRLRTLDLSWNSNNLSVNLPEFPNGNNLETLSLAGTNLTYHIPSFSFADLKSLKSLSISTTGTSKELLPSLIVNTLLDRQFDEFGNFGDAGLQFVSVYTSSDWQSRKFDKLVVPGLRLLWAENTVVDQQLYKVEDFTDEQMWLLWVDTLNNRESDPARIFDYQL >KN538756.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538756.1:216681:217286:-1 gene:KN538756.1_FG001 transcript:KN538756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLPYALRKQLEAADKCFSDGNIKGGKMHADMAAALFSSAPEAQCAQAAFKVHAAAAAATKDKTKTDHYAVLGVKLSATGKPDATTTDAVRKQHKALCAMFATAKDTIAAVAAAYKLVDEALSALTDIKKSDVMSPPPTSTSTYSYQQQQQVARRKAKQRQEDQEFRARAASYQEEEEDDYYGGGRDKDAGRGGRHRGR >KN538756.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538756.1:292366:293364:1 gene:KN538756.1_FG002 transcript:KN538756.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTTMGGLHRLISRSSCSRRTFAASTPPQRPRAIAVRVRSSSAGVTKRQRQVKLTYLEINSWVWEVGGARILVDPILAGNLDFGAPWLFDAAKKRLKNLGVQDVLQPPGVDLLLITQSLDDHCHARTLAQLAAAAPDLPVVTTPNARPVLAALPTPFRDVTYLEPGQSTNCNGGGVRILATPGPVLGPPWQRPENGYIMFLLQEEEEDAKGLVYYEPHCVYDRSFLEKEALRADVVITPVVKQLLPANFTLVAGQEDAVELASLLRARYVVPMSNGDVDAKGLLTAVVATEGTVEAFKDMLADTLPEAQLLHPTPGVPLHIHLPHLT >KN538756.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538756.1:193134:197609:1 gene:KN538756.1_FG003 transcript:KN538756.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDGNGESDRAGFYHLTFHRRHRALVEADYFPHVLAEGRAVTVRNSQRRLFTNNPGADWSGYDDARVWSHVKLEHPSTFATLAMDPVRKQEIIDDLDMFRDGKDYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRRLFIETTGKSIIVVEDMDCSIDLTGKRKKKKKDKKKKKKMMPPSDDDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFESFKVLAKNYLGVEQHDMFGEIRQLLEEADMSPADVAENLMPRSKTKDVDACLERLVKALHEAKETKAAGGNDDDDDEWKAADVPPAMTTEGMHGSNK >KN538756.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538756.1:156089:159402:1 gene:KN538756.1_FG004 transcript:KN538756.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVRVDLDKLPIKRLHAIDEAGNEHYPPDTSSEEQRLSAIRRIDFSWVIDKDAKKPKKDTAQQQQQQQQAWPWQGMMESLQQAQQELSVVIDLISTRSQSYLIMQVEANDAVAVAGMLKPKSLPTETLVDTAVSAATKLQRVRHLSRYFKQSAKTMEQQFQKESRLQQNWKVKRQRFGGSGPGSEGFMFDLIDTSQLDTAAMPRLSSLSLIPIDQDSSGTLSVQIPQKSCRFLSLNFRGDNANGVENYGHKLKDGISSITSSETDNDDVNKSIKHAHSILRNIHKSIFEEQVFDMVIRETFVQSQGINVTGMREDFLQLAIGQECSLCLSLSHSGDGSDSEMVDHEDHANSEDASNLVLVTMNGKLDPLRKDVTGFPNPRSLEIYLLQLFHENILRKVREKSLNIGRYQSPAQVAGDDYGLLGHFCLTVAHRIFSNKVLVELESVVSRVPYLHLRSLPTWHSRTSSWSLCLKVPQPILAADRIAKPSDNHELKYKSRSQFNTKVIVKDSQISLMGEGSPSIAGSLTGKPSDGYLVNSYNCDLEDLPTMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLEQGETLGLVANVDPDDTCGCISWYLTIDHPTEDGKMSADSQEFEKRRFLGYVSLEVLYSTLMDLINLCNAGAHH >KN538756.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538756.1:262824:267581:-1 gene:KN538756.1_FG005 transcript:KN538756.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAVPDAAPQLSSGNHAHMNSDADATVLHASRLPSHSSSRDETKSNHTAHEPESGGDADDTKGDRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSQDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRDRSKDRYKESDKVDSGHERDKTRDDRDRGRHKDLESRKRSNGEAKDRDDRHKDSTRSKYSTSDSHKHRSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGEGTLGNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGIRKLGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKVIRNNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLEKIFVLDPEKRITISQALSHPFITGK >KN538756.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538756.1:294051:302059:-1 gene:KN538756.1_FG006 transcript:KN538756.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding LETRIGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIIKLKETRESLEKELKSLQERESEARAEAEQISNQMEELKAEAEDWKSKSDECETGIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQEREIHEKCELEQLKLPTVNDPMDTGSSSQIPILDYSQLSENYLQDMRLSERDKLEAEFKKKIGDLVAQIEHTAPNLKALDQYETLQRKEKDVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVDEQDNGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCFFFHFFLVYTEYSMVVGGGENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSFGSDEADHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSITQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGHR >KN538756.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538756.1:151150:153066:1 gene:KN538756.1_FG007 transcript:KN538756.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding DQDTRPFEVPQETPTQKKRSVAELMDNDDDKATPHHQDSATTISKSNKRERKKSKYLSPPYTNLGGIALVQKASDSPKPSPPAAAEDDEYKVLPKPLQENVSPQEVLLFVRRTGLDVFHRIRSMKAVTAFLSLYTSSLLVEDADYKSFIAHECSTENAFTNAAVETSDSFVNSRAALKPGKCALKRTRKQGQDEGGSSSTKTEKRGKKSPAAALGCGVTITPAIPIRQARAEDIRSPTKPENGARGMAVGVQLEKIKPDFKSPTLASAKIAKEPGQEQDKTNGGSVLKTPANACKNLSDQPVKQNDAGMLEARQLHTNIQADPGVQGIVVDVPVRCVPVEAVKSEANIPLHRDEQNAAVDVTDKSAPLPKSEDVSLSQPTDGNKEHASAEVRTVQESYASLEAMVPEMLMKAEVANGTNVAAASNSLKDEGQRADQPSLKKMVPGANVNHSSGEATNSAFPDIAYSTPKKKKKKIAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLVIESETDIVKDTHSARVVFGKSAEAEAAYNSAETLGMFGPPFATPRLHYLPPIKLSVPSPASKPPLTDIRKNLERMISSLAGHSSVKKATPSDGSKQMPENLLGEMQGLLAKVDKMLTGPSATASNPH >KN538756.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538756.1:268611:272212:1 gene:KN538756.1_FG008 transcript:KN538756.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGLKQQQRQKLKPALEVEDFINLLHGSDPVRVELTRLENELQYKEKELGEAQAEIKALRLSERAREKAVEDLTEELTKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAEERKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLKVLEEGLRMSTTRTNVSAARRQSIGGADSLSKTNGFLSKRPSFQMRSSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGSGMNVSTDSSEDKESNNSDEKANEFTSVETEDTVSGLLYDTLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEGKKRRMEVAAMEKEMAALRLEKEQDNKAKRFGSSSSQLPPGRRQPRSGSARNM >KN538756.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538756.1:231999:232340:-1 gene:KN538756.1_FG009 transcript:KN538756.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCMSMMHIVLLLVVLMLLNLSCEAARGTPTPPATTITKAKAGGGGGLKDDKTFLPPVPGIGGGGFAGMGGPLGGVIGGIGGVLGGDPAGGLGGGGLGGGSSGAGGGCIHP >KN538756.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538756.1:255572:258557:1 gene:KN538756.1_FG010 transcript:KN538756.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLVVAVAIAIVPWGGGAVAVAVAVAVAREVPVEYLYPPFNLTYFHFLDTNGVFLLSRNATFSAAVYNAGVDPSSDDNQSRFFFSVLHAASRTPVWTATAGTTILQSIVLSLTAQGLALSDPDPAADYAWSTPRLRAPVAALRLLDTGELALLDAANATLWSSFDRPTDTLLPAQPLLAGVPLTSSVSDHDLNPGAYRLMLTDTDALLQWATNNGSSFLTYWALSTDPNSVQDSNAAVHSMTANSSGLYLLAANGRDTVFRLRFPSPDANGDPCLLKLDPSGRLRALRLSTTSPRATLPTQASYASEEQQGEEDDGDGDEVLIPGLPARFTYAELEEATEGFKSQIGSGGFGCVYRGELTDPERSAVVAVKRMNNLGSQSQGRREFLTEMAVIGNAHHVNLVKLRGFCAEGARQLLILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLTNAPITDKADVYSFGMVLLEIVRGRKNCRSGKGSGGEAWSDSDGYFPAMALELHEQGQYEAVVDQRLEGRADVAQVERVVRVALCCLHEDAALRPAMTTVSAMLDGSMEAGVPRTELLDYLRLQIAAYD >KN538756.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538756.1:189198:190748:-1 gene:KN538756.1_FG011 transcript:KN538756.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMEKLGSVSTLWSALVSVLLFWPVVNNHVPAGLRQWLSTMVDKLTSYLSPYLHVTISDRKSVWSHVPFEHPATFDTLAMEPADKDAILDDLTAFRDSKDYYAKVGKAWKRGYLLHGPPGTGKSTMIAAMANFLDYDVYDLELTAVKTNTDLRKLYIETTGNSIIVIEDIDCSVDLTAKRSNDKKKKKSSDEDDDDKPKLPTEQEKDEASKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVEQHEMFVEIRRLLEEIDMSPADVAENLMPKASKGKKRDPDACLAGLIEALNKAKVEAAEAAKAKEEEEAAAAKAKEEEEAAKEKEKDDEAAGTKVDETVNNKSNGTI >KN538756.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538756.1:163837:174974:1 gene:KN538756.1_FG012 transcript:KN538756.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEGKPPDWQGFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDISALTGESLPVTKGPGDGVYSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKPEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTMNLATVCVGAAGTSNQDLVACPWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPRIKAKLKLDGIKKSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRTVDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTSASSNMRQQQPYLQLDGS >KN538756.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538756.1:205676:213978:1 gene:KN538756.1_FG013 transcript:KN538756.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRGLLLVFVVVCFGGGGWLLPASRAMPLCTDSRPPVALNKTLAFCTYARGSSSCCDAAADATLQKQFDAFNVSDASCAALLRPILCAKCNPYSAELFNAGPNIRTIPFLCNSTSSSSAQSKDSTQDYCKLVWETCKDVTISNSPFQPPLQGSAPLPTSSSKLTDDWQSEADFCKSFGGAPNDQSVCFSGNSVSFNTTPPSPSPKGICLERIGNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPDQGSGGILQFDETSPFADLTDQVHFDSQFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKVGTDNGAQPCQYQVVVSEYSAKGSSANISEVTSADPSEVTRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDVDNPPRQSEIANQSLWGNYSIPKDNPYTDDSDLEPEVWALGLRNPWRCSFDSARPSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFNPPSAPGGNTSLNSINAIPPIMGYSHSDVNKKIGSASIIGGNVYRGSTDPCLVGRYLYADLYASAMWTGTEAPESSGNYSSSLISFSCSKSSPIACDTAAGSPLPSLGYIYSFGEDNNKDTYVLSSKGVYRVVRPSLCGYTCPTEKPATNTGTTTPSSAASVTGKQMMGALLLSVLMFWVLLTPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTDQHPHRDWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTPGGWCGGTRENGSDPCSVIGNTTLLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >KN538756.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538756.1:228948:229553:-1 gene:KN538756.1_FG014 transcript:KN538756.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPYALRKQLEAADKCFSDGNIKGGKMHADMAAALFSSAPEAQCAQAAFKVHAAAAAATKDKTKTDHYAVLGVKLSATGKPDATTTDAVRKQHKALCAMFATAKDTIAAVAAAYKLVDEALSALTDIKKSDVMSPPPTSTSTYSYQQQQQVARRKAKQRQEDQEFQARAASYQEEEEDDYYVGGRDKDGGRGGRHRGR >KN538756.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538756.1:242809:252378:1 gene:KN538756.1_FG015 transcript:KN538756.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMDCSMTSSILSANYNTILFEFGVILVSSKILYALLRKLYQPRIFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPSALLDAPTADAAVAYAGIFSTAVLNSLRAFLALAAALANTASPVLTRLATDLKIAKTAVGRLAVGAGIASDMLTTMLIAIGSMIWRDTGTEGPASPLAQPALTAAVLAVVLMSGLVSRAMAEWVDGRNPEGRRMRGFDLSLVALVAATLCWFTSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINLVLSSFVLPLYVAHVCLSLRQTTDDIEAAGLRKDQGFRVYVMELPFPWWKVLFVTAMGTLGKLVGCAAAGLLRGLGWLEALALGLLLNVKGYFHVYCALAAFEAGIITDKSFMAIIFMVAVNVATTPMVGMAIASWARRSVQWRLMGLQHHDPATELRLVVGLQGPQDVATLAFLMEALRWGGGNGELAVYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKQIGEALDAYQGDDGAVRVRRVLALSSFTDMHSDICICAEEAMAALVLLPFHKRQRREDDGGAMDMEPPRPFGCRLVNQKVLQLAPCSVGILVDRHRHVDAAASQSQSQGVVVVFIGGADDREALTLASFMSKHASVRLTALRVVQNATAQARARARTSLFESSKSSRRAGGASVLGQEEVQAQVDDKFFAEFYRKHVAGSKAIGYMEKHVGDGAELVAECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQIQQLRKKLKVLDFTYGNGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLGNPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >KN538756.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538756.1:276462:288743:-1 gene:KN538756.1_FG016 transcript:KN538756.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLGANINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAHNGASLTEIRDHPASFSARALQLSMYGSMINDMQSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRQPSSAADR >KN538756.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538756.1:236452:238172:-1 gene:KN538756.1_FG017 transcript:KN538756.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDDVLSLCNNNTRMCNALVLSVLVVSFLQLLKHVPVVVVTSKELARDVLKTHDANFATRPKLVAGGIVAYDWTDILFSPSGDYWRKLRRLCIQEILSAKRILSFEHIREDEVRMLADEIRAVGPSVAVDLSARLHRITNTIVSRAAFGNKRSNAADFLVAIKQSVIMASGFYVPDLFPRFSVLLCWLTGMRRTLHGIRDTIDSILEEIISEKEEAKQQQDNNLVDVLLSLKDKGDSVFGFPITRDTIKAIVLDIFAGGSGTSANAMEWAMSELMMNPRVMNKVQAEIRDAFHGKQSIGEADLRARDLKYLKLVMKETLRLHPPAPLLVPRESIDACEINGYMIPAKARVIVNSWAISRDPRYWEDAEEFKPERFAEGGIDFYGSNYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWSMPDGATEVDMTEAPGLGVRRKTPLLLCAAPYVAGPIYA >KN538756.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538756.1:198814:200262:1 gene:KN538756.1_FG018 transcript:KN538756.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHIPFQLQDHLAAIAARLHALLSPYATITIDDKSSHYFSRCEAFFAVEAYLGASPCAANARRLRADLAEGADRMALAVDDHEAVADDFRGATMWWRKTKALPSANVITWSPRNAERRSYRLTFHRRHRALVENAYLPHVLAEGRAVTVRNRQRRLFTNNASTSWNPYRRGKGVWSHVPFEHPASFDTLAMDPGDKDAIVDDLVAFRDGKDYYAKVGKPWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKTNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKKKKDKKKKKMTPPWARDDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCGFEAFKVLARNYLGVEQHELLGDIRRLLEEADMSPADVAENLMPMSERKKRDPDACLAGLVEALNLAKEEAQANKAAKEKEEMKAKEQATTNGEDEGKDKRTSEDNKANGDI >KN538756.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538756.1:175538:178114:-1 gene:KN538756.1_FG019 transcript:KN538756.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLGTLTQCARSFYVNGSRCGSTDGASCTCPEDETSAPKRQTANGIEQKFHSTRRPSVKAQTPSVQHVVGSIGRATGYPAPAVHIVPSNSSQETEPASGNTSNHPRTPQVLGNDYVQPSKQTTRSISHSAIAGAGVYTELVNLKSTSNNGGTNQAPQMATSYSYQPLSDAQPSNIKSHNQHSLPEAKMPYNPSVQNNLGKGVSRSGYAKSNQSFSGPPAMVANSPSQIKNQRHPGQRHTNNSNNFSTDARWDEVQTQNLSAPAMYTGSGHKSQALAGTIKSHGGGPQSNLRSLKSLRSVEQYYHTLQQMKWGPMTEHVLDNLHCKIDAFQANQVLKLLHDHTIALGFFQWLKRQPGFKHDGHSYTTMIGILGQARQFGTMRKLLDEMNSVHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQKAGYEPDRVTYCTLIDIHAKGGYLEVAMDLYTRMQEVGLSPDTFTYSAMVNCLGKGGHLAAAYKLFCEMVENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRHDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGQNVRDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQRNVYPDSVREKDPSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGKCPERIDIVTGWGRRSRVTGSSLVRQSVQKLLHLFEFPFVTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >KN538756.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538756.1:214920:216452:1 gene:KN538756.1_FG020 transcript:KN538756.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLIYAMVARGTVVLAEHTAYAGNFRDIAAQCLQKLPAGDNRLTYTCDAHTFNFLIHQGYAYCVVATESSGRQIPLALLDMIKEDFNKRPRLGEQMKYCMDHPEEVSKLAKVKAQVSEVKGIMMENIDKAADFRQQGTRVRRKMWYQNMKIKLIVLGIIIALILIIILSVCHGFKC >KN538756.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538756.1:273162:274389:1 gene:KN538756.1_FG021 transcript:KN538756.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 [Source:Projected from Arabidopsis thaliana (AT3G12110) UniProtKB/Swiss-Prot;Acc:P53496] MADADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFDQEMATAATGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKMWIAKAEYDESGPSIVHRKCF >KN538756.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538756.1:185046:186624:1 gene:KN538756.1_FG022 transcript:KN538756.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFLLDSSFSIFHDSLTCFLWLGFLGLSGAILVQVYRTIHIAPSTFILMLAILPTAITLLLMYFVDVHRTDYQRYNKKFMDAFSLIAITVAGYLMIIIICDQVLKIISSAVQTVCFVILLLLVLSPVAIAVKAQKTESGKQEEETRDQAERIGLLQEQISTNASSSSDERCQELSTGKENMNLVQAMCKLNFWLLFLAMSCGMGSGLATVNNISQIGGSLGYSTKETSTLVSLWSIWNFSGRFGAGYISDHFLRSRGVGRPFFIGVTLLVMSLGHAIIASGIPASLYVGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNVVAVASPVGSYILSVRVVGYIYDMESPPGARACSGNHCFALSFVIMACVCVVGSAVAFMLFVRTRRFYKRVVYARLQSFL >KN538688.1_FGP144 pep scaffold:O_longistaminata_v1.0:KN538688.1:667100:669275:-1 gene:KN538688.1_FG144 transcript:KN538688.1_FGT144 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCWAFLVIEALLLVEINVHLRRKKGKDAGGDGDGGGGGGGGQLEVISLKSMAQMTLGEWGGNLAAAAYLFLSYTSMVAYTSKSGEVGLLLTIEVSAVAFGAGLTLPANTNWEQVPATLPVIIFTLVFHDIAPVICAYLGGDLVRIRLSILVGSIVPLLSLLVWDDIALSISTDLDGFDILDMLNTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTDLVSSSAQGHEEEALRHRGAKTAVDNNKLSYIAAGAVVAPTVLIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAIASRMSDSRAGSVEAESSVAGGSGNVDLTSAKPVLVGMGVFSVLMVFEQMSQDFVSFQSYLLAWTG >KN538688.1_FGP146 pep scaffold:O_longistaminata_v1.0:KN538688.1:612602:615628:-1 gene:KN538688.1_FG146 transcript:KN538688.1_FGT146 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSERPRSSRAARARNPSFEVEVVVEEEEEDEEEAAAAAARRVKREVADDLNGEPAEEEDDDDEEVVVEEDEEVVVASDEEGGDGEADCGGEVAVAFEPRTLEEALVPRVGAVFDSVDEAFSLYKTYAYRTGFHAVRRTCHNYEGLRYRSTFTCTHGGKARAGASPSDGSGARYPLRSNKRVATAQEKRARRGAAEKTGCKAMLIIRDRRADDKWKVEFVELEHNHPCTPDMVRFLKAYREMLDSAKKKAKISDEMDDRVEKSLSEIAETRKFPTRPKRSVGGGASVGGFRFSRSDSFVQRFGDDDLIALKKFIEAMQRKKPNFIHYWDLDQETHVKNFFWTDSRSQAQYLTLTDFEREWGAMVEQYKLKDNDWFSALYSCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTTKTTLPVFLEQYETTLRGKLEREAYDDLRSYYSRLTSLSGLPFEEQLMELYTVPMFQAFQDEIKQLMHVICKEVDRSGNSITYMASELIQGKKVDYTVVYNSADKDVWCICRSFPSRGILCSHALSVLKQENVLMLPSKYILNRWRKDFRVLHATASSSSISSDRDLSIFNDLYVHGHEYLEDVIDIGAREPELKEFVLTVMKEAKDKLVRPDHIQQGDQRVDVNMSVTGQVSADRGVDVNISSNSTALIQGDRRVDTNVTSNTTAMVHGHGDSMTSNTTAMIRGDRRVEMKIPTPHLIHGDGRIDMNMASPHLMQRDRRVDMNMASPHMIQGDRRVDMNLASPHFIQSDRRVDMNLASSHLIQGERRVDMNMTSPHLIQGDTRVDMNMVSTAQNGMHSFDLMNVNLESGPLPMAATDFMQMHQHPPVYHPKQLLNMRDQVMDTNKRPNMETNTYFMGGGMHVG >KN538688.1_FGP147 pep scaffold:O_longistaminata_v1.0:KN538688.1:634198:642153:1 gene:KN538688.1_FG147 transcript:KN538688.1_FGT147 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSTPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDSTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHENGIKILANADGVRLLRTLENRSFDASRSASETVTKNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESSQCRSLKLTDNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSSGKATASVSPQLWQPPSGILMTNDITDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSSRPSSIILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSSPITHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISNLISLVSALAYYAKRLRKYIESSSSVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSALSTPPNGASSSDQPER >KN538688.1_FGP149 pep scaffold:O_longistaminata_v1.0:KN538688.1:675820:686332:1 gene:KN538688.1_FG149 transcript:KN538688.1_FGT149 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLAAVLASLALGGALLVLVFGKCLTRPYLNEALRFLGDCDAALGGGGAGGVGKTTVLTHVRDACGLVAPFDHVLLVAASRDCTVAKLQREVVGVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVAAECKGLPLSLVTVGRAMSSKRTPEEWGDALDALKKTKLSSAPGPDKIAHPLVKFCYDNLESDMARECFLACALWPEDHNISKDELVQCWTGLGLLPELADVDEAHRLAHSVISVLEASRLVERGDNHRHNMFPSDTHVRLHDVVRDAALRFAPGKWLEALWRDARRVSLMHNGIEDVPAKTGGALADAQPETLMLQCNRALPKRMIQAIQHFTRLTYLDMEETGIVDAFPMEICCLVNLEYLNLSKNRILSLPMELSNLSQLKYLYLRDNYYIQITIPAGLISRLGKLQVLELFTASIVSIADDYIAPVIDDLESSGAQLTALGLWLDSTRDVARLARLAPGVRARSLHLRKLQDGARSLPLLSAQHAVEFGGVQESIREMTIYSSDVEEIVADARAPRLEVIKFGFLTKLRTVAWSHGAASPLRELLSRGKLLKDLLHTMMNSLPALTGQTKRCYMIKSNPSSPLTTQRESHKVSTHLVRPSASYSTQASEQNPKEPKAVQVRARHGSLGRLLVRLGNQLDGKTFTAPLTSTLLALAAECGPQSLLAGVIRERKGSSQAERKGIEVDETSLLYAPYLLTGRAVVYGLRFSVGNGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDNNDRSWKFTYLIVEIVSPHRAQLMLESYVPA >KN538688.1_FGP150 pep scaffold:O_longistaminata_v1.0:KN538688.1:699679:700155:1 gene:KN538688.1_FG150 transcript:KN538688.1_FGT150 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCRARTTRKKIEIKHGDKKVRDACFSKRHTTIFNKANELAILCGVMVAVVFVSPNANGGIFSFGYPSVSSVANRFLANAPNNTSVSSSTQSGRDVEIRELEREEIELKEHLQASTDQNKLLREAIAARDGRQLMLLLQSDCSELGPKGLVRGGTIY >KN538688.1_FGP151 pep scaffold:O_longistaminata_v1.0:KN538688.1:622781:630282:1 gene:KN538688.1_FG151 transcript:KN538688.1_FGT151 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPYVEHQKAITIRNDVNLKKETLRIEPDEECPGRFLVAFTFDATLAGSMTVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEDSELLKQGDMDVYPLAVKAETTMPVDQKLEGEDQKMKTPNSQITQALFEKKESGDYQVRVASQILWVNGTRYELQEIYGIGNSVEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQQQPSPDSPIKVNSKAEEHQQQPSQSPPIPHREEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYDTVTMEQIESNIVRCPDPEYAQKMIDAIDKVRVRGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDEAGNGGISNGEIIYFKVAFKPTATIGKKQHTVSREHEDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPVGSASSIPAFAPDLS >KN538688.1_FGP154 pep scaffold:O_longistaminata_v1.0:KN538688.1:654745:657131:-1 gene:KN538688.1_FG154 transcript:KN538688.1_FGT154 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASAPDRSSSRLSCGPGARKVTTARAAAAATRGPGARRGGLPADLEAAADGGDSSTSPDLVRSVHALEDKREHFFHRGKGERRVVLAIGMEEAEEMQVERMQEEVEGGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAPAAQASAATAVPSGKAAQRGKALLVLSSGGCSPGGTGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVHALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDCFAAELVAEHRSRGSRIGPTVAFKQAPRKPAAMG >KN538688.1_FGP155 pep scaffold:O_longistaminata_v1.0:KN538688.1:691225:696354:1 gene:KN538688.1_FG155 transcript:KN538688.1_FGT155 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRWSQFSGECAPCLCRMEPLVVGGTAVRYRGLSKTALAIIFALCSRMGEAALAASAAGGPSFVLDTSTAPDNPSSHIAYGLTVRNAAADSEKAAEPEKTPPPPPPAAGAPSGDLMLRRYKEDMASLPDHRGLDEFRDVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRAGEWVVGGNKETQNGNAKKRDRDSRGRTEDRDSSSRQKRSGEWRAEREVQEKDRNSRHTKQVKIGGGGGDKMRWLHSDIKVRVVSERLSKKLYLKKGRVLDVVGPTTCDIIMDDQSELVQGVEQDMLETVLPRTNGLVLLLAGEHKGLCGHLVEKNSEEETGVVELSNTKDMIRVKYDQIAEYIGDPESLEY >AMDW01015502.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015502.1:8:247:-1 gene:AMDW01015502.1_FG001 transcript:AMDW01015502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADDLLASFAGGIAATGGGTWTVVIGAGTEKDIRVAYRRTTEGSSSYNAILSVSASLRLPLPMRKTFDLLRNLTHRCK >KN543293.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543293.1:827:4127:-1 gene:KN543293.1_FG001 transcript:KN543293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTLLLLFITYLAWESQAFRTSADANPEEGCAASTVCGKVTISSPFAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDIHKLGDFDVFSGVSKEYSCHVPRTDTSSKVGFPFSISTTNLNLFLYSCNKALVPRDGDDDLVETMCGNKTFARVGGNYGDSSDYAAFYMEGCNATVVPVMGTDARSYKQLISDGFLLTWQGPPSSGNSRRSKLKLILIVSLSATTSLILTCLVWITCRQKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGILQDGRIVAVKLLTGTKGNGEDFLNEVISIGRTSHVNIVSLLGFCLQGSKRALVYEYMANGSLDNYIYSEESKIVVGWGKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGNYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINEMEVPPKPFLS >KN543293.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543293.1:8092:8865:-1 gene:KN543293.1_FG002 transcript:KN543293.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLLLLFSSLTLVAAAISADEQGGGSCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCTNNTPYLGYYRRRYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSVNLNLIFYNCTAETAAAAVRRDGGLVETKCSGGDTLVRVGGHYSDSGSYEYSVEGCGATLVPVLGRSSGEANASSYEELISDGFLLTWQPPSDLSNMEYGSGQPN >KN541735.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541735.1:19628:20269:1 gene:KN541735.1_FG001 transcript:KN541735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPQSTQPTSFRHDIIYFNHIGGCITKSSIYIADDVLACHHLLRQNQPVFRLHRRRFSGTSSLALTKPGLHQSHRPSTLSLASRHDIIYSGNIGGNLAPSSFYVVDSIPARVCLLRKGQVLHRPHLSSPMLMASRRITSYFKKVGCYANPIFHLQCRCIPTSQKLLREDQGQYLLTWCPYVPSITLENYNTSFVSMHLQHHGSSPCTSPTL >KN542564.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542564.1:1318:4416:-1 gene:KN542564.1_FG001 transcript:KN542564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQKPFNMTAPPWLLAQGATATTALLLFFLVFQAQSASPFDRANTTTTPAIGGSCVPSERKALTSFKNSFLDHSGRLSSWRGEDCCQWKGVRCDSTTGHVIELDLRNTFVKDDADWCGTGDIGEGGSHWLTLRLDEMSPSIVELQHLRYLDLSNNDFKGTSLPSFIGSLNNLRYLNISLTCFGGTIPSQLGNLFNLHYLDIGSSIYESVSDLSWLLGLPLLRYLDMSEVDLSSVRNWVHAVNKLPALQVLVLSSCGLNSTVSTLPNSNLTNLEVLDLSDNRFCSPLQHNWFWDLTTLKKLVLSDCGWSVGPIPDALGNMSTLEVIVLSSNYHIYPSNSYLFGNIPTTLKNMCNLQVFDLHGINIDAPISELMERLPKCSWNKLREMDLQGANLTGELPFWIGNLTSLGYLDLSQNMIGGSIPSGVEKLTSLSYLDLSENMLVGHLPIGMGYLTGLTFLDLSQNRLVGHLPVGIGSLTGLTILDLSQNRLVGHLPVGMGNLTGLTILDLSQNRLIGNIPVGIGALGNLTELSFFQNRLTGVLSEHHFANLKRLEFLDLSGNSLKLDFKEGWIPPFRLTTGYFTSCDLGPQFPTWIRWVTDKANQPTLDISNTGINDGLPSWFWTFLSNVYRLDLSMNQLRGSLPEKLPANLTIPILKVLRLHYNQISGTIPACFCQFSGLEEINLSHNRLTGEIPQCSIDTFGSSFGVIDMSSNNLSGKFPSFLRKVRSLSFLDLSYNKLSGNMPTWIAERMPSLEVLILRSNMFCGNLSMNFSELNQLHFLDLAHNNISGSLPSSVRNLTAMKYSESDDLNYTGASISISIKNQERNFAFQSTNYIVLIDLSYNSLTGHIPREISELQGLQSLNLSGNQLNGKLPDNIGALRRLESLDVSYNELVGEIPSSLSNLTFLSSLNMSYNNLSGRIPSGQQLQTLNNLYIYIGNPGLCGPPLPTNCSTNKTNQIVHGEHDDASHDTIYLYLSTSAGFAVGLWAVFCTFLFKKAWRIAYFQLNDQIYDKIYVQMAISKAALIRKF >KN542564.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542564.1:9205:11597:-1 gene:KN542564.1_FG002 transcript:KN542564.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEAATLEGLQPRMPSAVKRARIRRESNPGWVMLDCRVGDMSGDDDLGAITVAKGTTSTGDGITVAFSAAAPPAISRLLFALHPNKNRQTTDSDSDSDSDSDSDSDESDSSARGRVIAAHGDSVLLSCIFNVRDPITPYVASLREELFIYQPARAVDLTRLPPCYHGVINIDRSRNTGTLCRSNGEFVVAHLGGMTSVGDYGGSGPPIPRPVAAELCKYAGGFWGTNWLRIHHAAGEDQDLCWWETDLVVPFGDSLCWVDYLRGILLCDVFSPIPDFRYVRLPVNPYPGSYDQELAMRGSMHMYRSVCVTKNGGMKFVDVASEDTWFSAGNDIESCPTPFTITSWTLTSDRLSWIKDASLDANVFFSLASNEHLPQIVPEFPLVDMEDPNVIYFTLPLEEGSNDKAAFVALDMVRRTLGLRNTYTLRSTLKPGDDNSSTSCNLFDNEPFLPFEFSNYLNLDAAYNRSKIVVWSLGRGSRAGLDHLIPGIPQGDDELDRGDSTGRVAQSCDGLCGHAARGGIGNNACSTEGAKTYYTLQDDKAIHSASTGGRVLAQKISPKTSFPTVQGSYFA >AMDW01040356.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040356.1:61:1372:1 gene:AMDW01040356.1_FG001 transcript:AMDW01040356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PIFGAEQGLDNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFISYPPSGAVSHSSPGQPHNMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRNMAHLDSAGLSTNNLQQNALNSGPIRPNGAMLTPGLVQHNLQRPNGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHPSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELASMRMDNGWPRFRSKYMSTAEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGGQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDVTEKSASKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQD >AMDW01138277.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01138277.1:118:603:-1 gene:AMDW01138277.1_FG001 transcript:AMDW01138277.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIFEDKTAPLGAVLGAQPSTTYSKEAYGDTVGATTPLFAKEGSASKKNDGSTLQGHHGKGTTESGSSLGFVSMVDNMPHSKSVALSVHPKSSKTAHKILQHLERTIPSPTAKPLELRWTSAKRTTSSVVTNIQHNGPATDSHRHSSINDSGSAQQEISDANK >AMDW01036857.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036857.1:241:480:1 gene:AMDW01036857.1_FG001 transcript:AMDW01036857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KRATKPKAKGGRPRKDQNVQTPNTAGESCRVQLANSPSPVTRRMLAMAQAGEGSSQVIQPSPTRAPEAKRLTPRKKLKM >AMDW01039571.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039571.1:268:867:1 gene:AMDW01039571.1_FG001 transcript:AMDW01039571.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPELFTAKIILPMGPPDVISENDEFDFSDVFGTTPVQTPTGISVAGPDSPAPLAESNEGVYNDPIVIIKRSHSLVGPTSLVSQSLRLSNVTLNKTEGSSEPAEEKERNLGQLSDEEFDNATTENEGIGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQ >AMDW01004108.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004108.1:35:107:-1 gene:AMDW01004108.1_FG001 transcript:AMDW01004108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNHKLSALLVATALPLLLLSSA >AMDW01083536.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083536.1:10:82:-1 gene:AMDW01083536.1_FG001 transcript:AMDW01083536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGVTCALAVALFIGSLVSIPT >KN539886.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539886.1:22200:24302:1 gene:KN539886.1_FG001 transcript:KN539886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVTSLSHPSTASSAEFDGRRSEERRKKGLIVAAPSGALTSIPLSLLAMSRNLESPVQTQMAVSALNRALSSEYPSKSRSEGRASGWKRIFVQTDSGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDIQQQRDRGGPIELLVCPSRCSRTKQLVKDVARAIRNGVDPIPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHLVFNVNECISSANMKASQDYPGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGQGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELEYIANLDPMKDADMLRMELPMIREACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTRMEDQPSELEVVCMEARRLAIEREESSTEIDSGDEDATQFELDCEDDHEMLKAQPAYHFELKGGSSRNPLSKLDEAIEEEEDDIEEEESNAEKLGYPKAINKWLPNISKLSTSLNGVRLGDKIQRQLPAAPKIMDPVKTFEGNSNHSGSQVGNWRSANEQLPTSASFVKLADMGSETWALFLEKFQELLPEAFRSRKCGAAGQRARQRLGTSCQF >KN539886.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539886.1:25331:33403:-1 gene:KN539886.1_FG002 transcript:KN539886.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSCRYLCYYVIILFLYCLRILARLTCCFRSQACNPSGNGSSTVDADLYANTSCSVCNLSNDDELLMLCELCDSAVHTYCAGLGTEIPEGDWFCTDCTTAKEEHSRCEIDDDNSSDHGEFKITIEVPIADPVAAPSISDIVDEGHSPNLVQRSSVQSNRPSISDPVPSIYDIVDDDYTTIPIGRVNARSTRLDSRAERLPSQGISVGPQCPESPQERENSRVCSHARSRIESERARTLRNSRNLSSRIRELRENWSALRSGSIGFATQLHNRRRGNGAGTCDIEERHQSTTTFTEVAASSSGHAKKISPKNSSDVHKAWKMLEMAKSSGGKKKPDNPSSLNCSVPFSMGNRSTSYSPIDAILGHKNNKLYDGITQKNNAEQHRSTNMENKPPTMNFGECRKLQDKFHGSAHGRTPSTIMRQESLTGKVSSSSNNEKHNRSINMENRPPTMNFGEHRKLQESASVHGRIPSTVMRQESLNGKVASSSNNEDAGQIFESSCDVSRPEKSKPVVSCPLTFSLLSGQSMVTSSQQLRPGWSQSTEMVSSQEPSATAASIDIGTAGANDKVKGSRPDRYERKRKLGSETHDDKGSKRSMSSCKIRKSDISFLAIRELKLLNIDKTYGSDTFKEVARAATHTVLASCGLEHSPSVALALPRPLLKVKHPATDSVDSVDMLYILYHVKCIGGRRGGGGGEAGTPPATSLLARVISHRHGLCLAGRKKKKKASSRRGWSIGRGTWSSGDLQKATNNFTTILGQGSFGPVYKAVMATGEVVALKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEFMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRILADVAYRCVNKNPKKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELTDLTSMKELTPITA >AMDW01011922.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011922.1:43:234:-1 gene:AMDW01011922.1_FG001 transcript:AMDW01011922.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLLLACVVVAAMVSAVSCGPPKVPPGPNITASYGDKWLEARATWYGAPKGAGPKDNGGAC >AMDW01040244.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040244.1:72:1154:-1 gene:AMDW01040244.1_FG001 transcript:AMDW01040244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILKNVEWPDEFPFKAEDFSRFDETVTRSSDALFYSAPRYVTHIDDQAIEALTKYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNLVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIM >KN539647.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539647.1:38113:38430:-1 gene:KN539647.1_FG001 transcript:KN539647.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHTFVGEGGRLIQCPANPQVQSKQTVETRSPEALSPVKLVVARSAVIGGCLSTAVAALWLCCQIQKFREQGEENEELDSSVKYKKVHWIYTKTNYCRQLRIDD >KN539647.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539647.1:15121:18153:1 gene:KN539647.1_FG002 transcript:KN539647.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQINGIIHLVKQDILEEIQATKSRGPGEQKLGHDQVASAIQDTKNKITQISLKIKEQMIIKEIVDRINSYLEDGDTLFIIVEDEKRRHELKWEDIMNALELLKCAKGSAVIVTTKNTQKASEFCYPPSEPITYSLVGLYHDIVLKLTQQLVNNEDDNNNSQILRDILDKCHPHEFCMKMFARALCANPNRSNKELGSLRDTLQISENSLDTKAKKIFKFSYRDLHREHKTCLLYLAIFPQGTNIRRSTLIARWLTEGLITKEDWPTAVRHAERCFDALIDRCLVSPGDISAKGEFKSCMAHHFSVFSGLRLRASGSIDMFVKKLHNYSSQLSLLKLLDLQGCQCFDKNSYLKAICNNIALLKYLSLRRTNITHLPSEINNLHDLEILDIRQTKVPEKETKLVLLLKLRRLLAGYTDPSPSFNDKGTNNRTFSCVRIPSKIEKMENMEVLSNVTASWYGYELKDIRKLWQLRKLGVVIKDKDRHLQNLFQAVGDLNECLQSIPITITDTRSETTSKDSKILSDELYNRLIHPPKLLESVSINGSTNLRILSLLAKVSGSDMMHPYNDPSPTFKKEMFEHLKCLLVDGDNLKCMTDIIFEEGAAVELEKIVLSSTNVRSLRGVGRLPMLKELELEGNKSLFSFHGDVPLSGDGGAHQESNEPVSHSKDGAAPQKNNDLLTQSTDGQGPPSAQGPAPQIKTEVKITFKKGEFQQLKCFLFEDSKIVDIIIENGAVPELERIILLLTRKESQLTVSGSRAKLKEIEVKGDKSILLSLLKNANKIEKGSAPNLEKIIWSRPSFAELEPLPGIGNVEKLKELDLDGDNVPKQVKKDIRAHKNKPVLTPKKPQRQDQAPKEEHGDESWLRRGCASYFSKKKDQQ >KN539647.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539647.1:59998:60576:1 gene:KN539647.1_FG003 transcript:KN539647.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLVLMFVLMVSLVIVSQGVLAARELAEKTKVDVDGMNVDLPKGRKGAVAVSGYGGGWSGSYGGRHYP >KN539647.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539647.1:84261:87580:1 gene:KN539647.1_FG004 transcript:KN539647.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIEEAVTGLCTSSCPHPKNMVIADLGCSSGPNALTLVSAAVDAIHRYCAQHEQLPPEMCVLLNDLPGNDFNTVAKSLDTLKHSGGDALAQPAVVITGMVPGSFYERLFARGSLHLVCSANSLHWLSEAPEDLKKSRIPMHDSDEQLRSSRHQIVSDSYARQFRKDFMRFLSSRAQEIVPGGRMVVSLLVKRSDKPDTELIQPWTPAVTALSDMALRTDALEHATMYLHLAVYASVALAADSVASSHNIGAQALLVDVVAALATSGVISKEKLDSFYIPLCCPMDSEVNNIIEEEGSFEVNKMMMHDPYDGTGKAFLDLKMVALRVRAVFEPIIVQHFAASDEIMDDFVRAVERHLISSGALEARLSGQHSFAFLCLSLTRAM >KN539647.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539647.1:13371:14315:1 gene:KN539647.1_FG005 transcript:KN539647.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLGILRNEAQLLQRVGHDVEFIKEEMESMNSFLEHLARTTPPDGSHDEQVQTWMKQVRYLTHDCSNCIDHYLQRGDPAIHRARGGLRGYFWWAYWFVLEMVAQHKVAARLRELKERASDVGKRRLRYGVEIPGKVAPRAGGPASTTLLPSSSSSSQAIAALPATQDDDEDRAGEQKAAAAAESSSSYYQQQALEPPTLNDYFLKKIASWVEARRDARESIRSIAVVASDDAASDIVSEGLTKAGAPFKHTVRINLPLVHYPSDYLGPNEVLCYTLRVCTIQKDNKDPNYVDKKIVRFKAWRQR >KN539647.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539647.1:70057:70781:1 gene:KN539647.1_FG006 transcript:KN539647.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPVLLFALMVCLVIVSQGVLAARELVETTKVEVDGMRVEVPEGRKGAAAVSGYGGGWPGTYGHYP >KN539647.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539647.1:7668:8489:1 gene:KN539647.1_FG007 transcript:KN539647.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALWVAVVALGAQIKGMAGTGKREREKVRLLRDQAENTKKILKLLEDKKTPSTTPPDPAMAGVLSGLRGALDDISSSPEKNPGELHALDRRISSILQQYHHYNHVANNNIHRDAPDPTVMVAPWQQGGTVDSGGDWGHVVRGIVEDARVTVQGAWHATHNVEEVLRVAQLAQQVADLMERPHAASRPMRDAETSWPLLRDDLRDALRDARWVVWYSQWYHLSRMPSPSSPQASSTSSGAGGCRPPLQPAAQILDAAVKKIEFCLQVLPAIGYS >KN539647.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539647.1:22352:25333:1 gene:KN539647.1_FG008 transcript:KN539647.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHHRFSRFIKNSSSPSESKRTHAESPHASSTPSSAIPPRVDAVSRLLRATRSAKCLSKLHARLAVAGALREDASFVAGAVERYLFFGKPASAAAVFAGFYRCRRAEVYDLNIAVRCFSDHGFHRELLGLYREVCAFGSDNFTFPPVIRACAAVSCLRLGKEVHCRVVRTGHGGNVGVQTALLDMYAKSGQVDLSRRVFDGMKSRDLISWNAIISGYSLNGCLLEAAEAFKQMQHDGFRPNASSLVGIVSMVSGLGVRDASDPLHAFALKSGVLGDESLTPAFISMYAAFGHLSSSLSLFHWSLVDNLVSCNSMISACMQHGAWEKAFGVFRLMHCTGLVPNLVTVVSILPSCSNFFGINHGESVHGMVIKFGLAEQVSVVSALVSMYSKLGDLDSAVFLFSSVIEKSQLLWNSLISGYLVNNRWNMVMGSVRRMQIEGVDPDALTVISVISSCRHTDDLHVGKSIHAYAIRSRLEFSESVMNALLAMYADCGQLPTCCKLFHKMEVRTLISWNTIISGFAENGDSVACLRFFCQMRLADMQFDLVTLIELISSLSAIEDITVGESVHSLAIRSGCNLDLSVANALITMYTNCGIIQAGEKLFDSLSSVNTISYNALMTGYRKNNLFEEILPLFYHMIKNDQKPNIITLLNLLPTCHSQLQGKTVHSYAIRNFSKLETSLFTSAICMYSRFNNLEYCHNLFRLVGERNNIVWNAILSACVQCKQAGVAFDYFRQIQFLNVKTDAVTMLALISACSQLGKADLAECVTAIALQKGFDGTIVVLNALIDMHSRCGSISFARKIFDSSMEKDSVSWSTMINAYSMHGDGGSALDLFLMMISSGIKPDDITFVSVLSACSRSGFLEQGRTLFRSMLADHGITPRMEHYACMVDLLGRTGHLDEAYDIVTTMPFRPSKSLLESLLGACRFHGNSKIGESVGKILTESDHGNPRSYVMLSNIYASAGKWSDYERLRSDMEAKGLIKDVGVSLIGGAY >KN539647.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539647.1:27438:30196:1 gene:KN539647.1_FG009 transcript:KN539647.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNLEEPDSPLIASLSAPKFMQNSAEPELTAVTDCENSNLQNRDTANFEAAMQEELPEILVGNKSNLQEISTEQKAPISVCATPSEVDNSGLVSASDPHAFSVTSQNDDESTEPSSDSTTIPPSEKNTHSTSQTSDRLKAVVQLQDTPLHNTEDDAFCSYSVKASYEISPATPAKVKEKKPHLMHRFHERQMSLRDMRQKAPAPLNRSNSGKNFRTDNTFVDTTTHIESVKVAASRFGGSVNWKTRRTEPEQESGHIVLELDRLKKKISESKRQAEAVEAAKVNLSNEYEKTKRLIEGLEHDLEKAQEEEIIAKLGLELFQLIVHEMREGDTSDGGVTGREKLNIIKEQYNAVLANLMLVKDESRKVQENYETLLIERDISIGKAQLAVSMSEDAVRKVEELTVELNRLKVELELAHSTCHDAEKHSKDTSLACDEDSLKWKSDLRQAEEELNQLAKKISSIEELKSTLDTSTGLLLKLKNELAGYVEAKPIDKEAQGNITQRSLHNEVILSTRELECLMSIDKVRDEVCALNVAAASLKTELIKEKTALATIQQMEATSSIAAASLRVEIQLALRELEAVQAKEKETRNGMLGLQKIMEDTAKEADESKSISREAQEKLRKAKEDMDHAKSCLNTMEFRFQAVLKEIEATKESERLAIDALRSFDSELPVDIEEQGSHMVTVDLDEYQFLIAKSSKAEELVHERTASAIAQAKIAKESESRTLSTLSETHKVLEQRKQALVAATERADRATEGKLAMEQELRKRREENEQRRKAGEASKSQLNPSSTPVIIVERSGDTKSTSKEDSYASVHPLLDMSARSTPNDSALLSKKKKRKKLSFFPRITMFFTRKKSRAAI >AMDW01019795.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019795.1:25:288:-1 gene:AMDW01019795.1_FG001 transcript:AMDW01019795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEKCAQAEEAVAAAAVDEEMQEAETDKKTQHGVDNEYRRLIAIPLTRRKHDMDQDLKVDPDMVTRLSLGEKAYEKAIVTHGAHII >AMDW01081293.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081293.1:404:529:-1 gene:AMDW01081293.1_FG001 transcript:AMDW01081293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQVQVLADLCPSTRRASRRMGGGLPVAICKLIDDSVIPYIEQ >KN540791.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540791.1:26679:28967:1 gene:KN540791.1_FG001 transcript:KN540791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYLSHASFPHDLYGLRALEGATAAGSLFLDDHGGCMHGHCLPTAHKWHAGIMVRCRDFEKVLRPRDHVCARMMLGRGTETSTSG >KN540791.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540791.1:39500:41107:1 gene:KN540791.1_FG002 transcript:KN540791.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDENFEEQAARNGGIIKHGREILFQAFNWESHKHNWWSNLEEKVVDLAQSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLQALLRKMKEHNVRAMADVVINHRVGTTQGSNGMYNRYDGIPVSWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRESIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYLLNYNQ >KN541737.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541737.1:4352:7365:-1 gene:KN541737.1_FG001 transcript:KN541737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWGAKTVGPTVPSAYLDGRLPGDASYGFHLHTPMAAECKAWLDERAASSVVYVSFGSLATPSAAQMAELAHGLRDSGRSFLWVVRSSETGKLPDGFAGETAAKKNTGLIVPWCPQLEKNIDLAVAVRGRTVKAKKDDLAVRAITKSGAETE >KN541737.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541737.1:18315:20056:-1 gene:KN541737.1_FG002 transcript:KN541737.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHGGVRCTVAVTRFVVGSTTKPCLGSSSVRVAVFSDGCDEGGPAELGGHRGPYFERLEEAG >KN541737.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541737.1:15763:15951:-1 gene:KN541737.1_FG003 transcript:KN541737.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQHGGIHVVLPTTMMTSSWFVPTTLSSPTYWRSQASDSVTVEGSRVHRKLLGGDPGDGG >KN541737.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541737.1:10783:11836:-1 gene:KN541737.1_FG004 transcript:KN541737.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANTEDTPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPATETKAWLDSRPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGGGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGCNNRRYLSL >KN543183.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543183.1:80:7707:1 gene:KN543183.1_FG001 transcript:KN543183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGSVITSENRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKEVAPHLPSSLNERKQAEIDALSRRLQGITRSISRYPTAKRRFDDLVRSLSEELERTMGGSQSGSGALGDETKQAEASPMPSASAAMTEWSSGSKMENGLALRTIGAVGCATHGDPALNVPLT >AMDW01017043.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017043.1:25:219:1 gene:AMDW01017043.1_FG001 transcript:AMDW01017043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYPLIAAMSLVGGMCVFQLTRNVFMNPDVRVNKSHRQSAVLENAGEGEKYHQHAFRRFLGTQRPE >AMDW01040898.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040898.1:70:2361:1 gene:AMDW01040898.1_FG001 transcript:AMDW01040898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRARALLEEGKPSLALQAILLAIRSQGGEQALMQTLNRARELYRQRSQPSPSVDDLASLLAQCAIAESQSTNTNPQQVPGSDPVMMLDSDEVCILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGTA >KN542702.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542702.1:543:877:-1 gene:KN542702.1_FG001 transcript:KN542702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKAKAEDAASSAKAGVHKAKATAGEKVEKATTGDPMKKREAEERKEDRKLEAESDERVEKEGHAEEKSGKHTFTTATG >KN542702.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542702.1:9083:11492:1 gene:KN542702.1_FG002 transcript:KN542702.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCISPVMKIFGRVYYAPSGSVDGSGSGPGGFGILVSSAVTMAVAAAFDHYTGYPAPLDTPECADLVWRIILMAGAVPAALTYYWRMSMPETARYTALVERDVVKATNDIGRVLGDLDLAAVAEEEIYRPLFPAPGLINAFQEAFNVAKFQAVIAVASTIPGYFVAVLLIDRVGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHGAHAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYVFTPETMTRSLEENESSVQAQSQVGDGGSDAGNGSDGLRFHELNVLMEAATKSPVSMASSHLSMSPILPHRMSL >KN538885.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538885.1:94039:95995:1 gene:KN538885.1_FG001 transcript:KN538885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGNAAPPPQQQRRNKSSAANKGKKGGAAGGGGGGRWPPVKPKKDLQVNRLKGTHLLTVPNFFTSAEAKAFVDIAENIGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQTIWESGINKIFEDISISGKVATSLNPNIRFYRYTEGQRFGRHIDESVDLGDGSRTLYTLLIYLSGKGSAKESSGQALVGGETVFYDHRGGVVAEVAPVQGLALLHLHGAKCMLHEARVVKKNINSTDV >KN538885.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538885.1:38727:39602:-1 gene:KN538885.1_FG002 transcript:KN538885.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTRRVPLTERAFAFLNTSQGSARDTAIAILATLDEVGGPCRASGVFSLVKALSSIGEFDAAMSVIQETARGARYYNALMAVKCKTGDFHGAREVFDEMRMSGFGPNANSWNYLLGCLLKNGRVAEACELVEAMERSEHKDIPNSLTYEILAYHACKSGRMDSAMRILDQMFLEKLTPRITIHTAFIKGYLYAGRIEDACRYVSAMSTRDRHSVNRNYSLLAKLLCKAGMIVDAGRILYELMEKEALLPDHSAYIRVIKDLHKIGKGDLAAELKLILQKLSVHAESAG >KN538885.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538885.1:13290:17231:1 gene:KN538885.1_FG003 transcript:KN538885.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSASASAEERQRIERVARFVARDRDGDMAEALLLRLFKITRNARRWGFLDRDHPLHPYYLQQKVSEQCRILRPRPAAAAVACDNSLKLQGQTDTQMGLISSAQMDPLESEGGSQKSNNKPKYSKFTQQELPACKPLLTPGIVTCPSPILLSSNMHIILPIRFMSSFYAIIVELVDRYDTNCVSTPDKVGFIQNTDTDKTCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAVHLTKDCDPEGNTVDGAPIVPCGLIAWSLFNDTYTISVNKKAIEVNKKDIAWKSDKTDKFGSDIYPSNFQKGSLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGVAYLTIGGLCIFLAVGFVVLLYMVKPRTLGDPSYLSWNRDTPDHPN >KN538885.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538885.1:126613:135960:-1 gene:KN538885.1_FG004 transcript:KN538885.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPARRMTPLTLRDFLEQSSSEGFRAYPRFPVADEGVAGGDLAPPVRLLIEAGLRRSPSRTSRLPSFYNFFHKSPGTLAKISRLSRSLSRRFRDGLWRRRGEDDGEEDDDIAVDERDSLGLPSPVVSSCSSSECEYMAESEAELATTEEEKCASASSASEYEKTSQSSTGSVAFHGAADAGGDGHKEDVGDEPVGRKLEMEDKQQLSPVSVLDFPFDDDDGEEGSDAGMCSPSFQQCLAELQRSKAELLHKIRRLESLTQVVVPVDLEARFTESDSSGLSRDACTERTHLNANSTSSSDDTATTAPTTPRQCTTDDHDVVDHGEEEEEEHRLLARLLESVAVTDEISEWLLLDFFAEGVDRLRVLEPEIVLVPQRTCLEPCTLGYNFHGPLVRWAAPQTREHCIDTSPTLKKTSIDFRRRDQQLSSAEDATPAGPTLQKTESNYHVPANDIWPHHDMDPPAIEHRVGSQRKTVTSPVQSVHARPALPRGRHPPKQQVVSRELQGREQGVSIRLRASACVREREAAMTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYVSAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLVVPMVLTGELMRAWTACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVRTLVLLLKVTEFAPDKTGRKTNFSVVLIVQVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLISMGIVLKLLPENKENVPRRQVRKTVQHWDDKQREIREVEEEKAPLV >KN538885.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538885.1:9975:12561:1 gene:KN538885.1_FG005 transcript:KN538885.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLEKNQHNGEDTSPEANDSGELDDQSKSTTPEMVLHSSIILIYGFTKSLIASTGSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAGDAVAAAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPSAVAKVVAEVPNNTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGDFGPSKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDRETRAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRIEADLCISLALHVSYSALELDCSAHDTSIQDTELNRKKPKKLQAIPISDNHPPLTELQAKIEIKRAREQDRLSSKLADARHKAEGRREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >KN538885.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538885.1:142530:147663:-1 gene:KN538885.1_FG006 transcript:KN538885.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDFEFLGHDKCAVQTNFHVAGGGGREQIHVLPFDSSDGFHHYAIAWGADAIEWRIDGELIRREERLAGEPWPEKPMFLYASVWDASHINDGKWTGTYHGRDAPYVCSYRDIRVPLALSLEDEEDPYNYTIPWAVARQANRTAARGELGVQQKAKRDGRRTRWQTWRCCPPPRPPPPPPLPGSATSLAVGRLAAPPAPEARPRSSPSPALHNLLVAALGEDPIREWILTEGKATKITGVSSIGGGCINSAQCYKTDAGSFFVKTNRRIGQSMFEGEALGLKAMYDTNSIRVPLPYKVGSLPTGGSFIIMEFIEFGRSRGDQSALGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGFQLELITQRFGDSAIYDKGQRLIENMHPLFEGAVMEPCLLHGDLWSGNISSDTNGEPVILDPACYYGHNEAEFGMSWCAGFGGEFYSSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >KN538885.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538885.1:119885:123132:-1 gene:KN538885.1_FG007 transcript:KN538885.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSSIFSFHLKEFSTSVIQDFGAKMYVEPAVHLMLSIFGLWTVDSFCRGVPYHRRKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >KN538885.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538885.1:100855:105478:-1 gene:KN538885.1_FG008 transcript:KN538885.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERKDVGILAMDIYFPPTCVLQDELENHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPVSFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNNFLRKCSTVEDGSREKLEPYSGLSSEESYQNRELEKASQQVAKHLYDSKVQPTTLIPKQVGNMYTASLYAALASVMHNKNETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLSNIAGILDVFKKLESRHVVAPEKFVAALKLMERRYGAKDFTTSQDTSLLAPGTYYLTHVDSMYRRFYAVKAQAVTEVSNGH >KN538885.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538885.1:36186:36497:-1 gene:KN538885.1_FG009 transcript:KN538885.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN538885.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538885.1:18289:20816:-1 gene:KN538885.1_FG010 transcript:KN538885.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRCSSCLQAVVLWQLLLPWTHIEVAAGAKSSSPGKPKAAAPPVVAGPVSKVEDATRFQIYYGQSFKVVKNNWNGKSYLLMQLLGVLQNLKGITSDQIASQCVLQSYASGNVQLVNKTDAQMLTQFSAHFLSTTDEGCNFAAYVPSEEGTPLQIKANYLCLSKAAANLSTRFKPIVAWIEFTQYVTDAGAEVVDATITNRRFNNSDPEDMDNFHAILCTVDVVIDQTYASDPAEYKLSTFLESINVDHDSCFSFVANRSIWRFDKRIGYSKKLGNSFLYALH >KN538885.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538885.1:81134:84701:1 gene:KN538885.1_FG011 transcript:KN538885.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRILLAVVVSIVVSLAMLAVVSCARERKNYVVHLDPREDAGVADSVELWHRSFLPEAAGDDGPRIIYSYSHVLSGFAARLTDDEAEAMRKKEGCIRLYPEEFLPLATTHSPGFLGLHLGNDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGTCEFKAISGGGCNNKIIGARAFGSAAVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASPGAPFNYDLVAIATFKAMEHGIFVSSAAGNDGPVAATVGNGAPWMLTVAAGTMDRAIRTTVTLGNGQVFDGESLYQPRNNTAGRQLPLVFPGLNGDSDSRDCSTLVEEEVSGKVVLCESRSIVEHVEQGQTVSAYGGAGMILMNKPVEGYTTFADAHVLPASHVSYAAGSKILSYIKSTPKPTASVTFKGTVMGSSPAPSVAFFSSRGPNKASPGVLKPDITGPGMNILAAWAPGEMHTEFADGVSLSFFMESGTSMSTPHLSGIAAIIKSLHPTWSPAAIKSAIMTSSDVADHAGVPIKDEQYRSASFYTMGAGYVNPSRAVDPGLVYDLHTNDYIAYLCGLGIGDDGVKEITHRRVSCAKLKAITEAELNYPSLVVKLLSQPITVHRIVTNVGKANSVYTAVVDMPKNVAVTVHPPLLRFSRAYEKQSFTVTVRWAGQPAVAGVEGNLKWVSDEHVVRSPIVIPPAKAIV >KN538885.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538885.1:96827:99326:-1 gene:KN538885.1_FG012 transcript:KN538885.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKYTDLCRRLLDRTVRIMTALMKKGIRYAAVARGRRCTASIPATAVSSSLRLRRRRNPKRGRRKMWEAEEIEDEETLEALLEASRSAQGRAALSDALADTLHLLPASTHRLLLLRLRLLRNLLAGDDLNQGTFVLLSGPAAVVSSALSSPSGSPDVARAGLQALGNAALAGEHHRAAVWDALFPGSLLELARVREKGVLDPLCMVIDTCCSGEGGRGRLEELCHEELGLPILVEIVTTAWQVGHDEEWLEWLLFKICVEEQKFETLFVALCSRNDAEHSDGDECKTEFNAKHAYLLGKLSKCLANRPKEVSVSISFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQTGLVKHLLTYLRELEPPSMIRKSMARGQGDHQPALGTAKVCPYIGYRRDVVAVIANCLHRSKKVQDEVRHLDGIILLLQQCVVDEENPYLREWGLFAVKNLLEGNEENQKEVSGLKMQEAVITPEIADIGLRVEIDKETGHPKLVNN >KN538885.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538885.1:152526:153623:1 gene:KN538885.1_FG013 transcript:KN538885.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRSTTLARKVFRLLKVTNDDILPCLLLWVNDLHGLISPPAKGTPLTLVLLGKNKERTDRIVRISLYCWMASSVCAGLVELGELKRLSKSMRKLARELRDTDKYENDQYKSKMKQSDERLLALVKAAMDVVVA >KN538885.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538885.1:108910:111753:-1 gene:KN538885.1_FG014 transcript:KN538885.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHRHGSVPPVALLLLVATVVGSLTASPEAQTRYNFRFVRHARDAPLVSYYNYIVVGGGTAGCPLAATLSERARVLLLERGGLPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARGAAKAPVAYGVVFTDPAGVRHHVYLRGGAKSEVIVTAGTLGSPQLLMLSGVGPRGELEKHGILPVLDQPMVGQGVADNPMNSVFVPSPVPVALSLVQIVGVSRFGTFIEGVSGSQFGIPLHGRGAARRARSFGMFSPMVYGAEDSEGEVDEERRDTLVSSSDHFCLISFTVAYDNFFVLLVASSSRNLCIQLYIYKLQRYTCPGGPIHLYDSSNGPWALEIT >KN538885.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538885.1:113407:117676:-1 gene:KN538885.1_FG015 transcript:KN538885.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRQQHKGSWSWTSRVAAAADAASWCLALSLGETLHSISDKCGDPYILEQNPHVHDPDDVFPGLVIKITPSKPRTFGKIGRRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >KN538885.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538885.1:40852:67194:-1 gene:KN538885.1_FG016 transcript:KN538885.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKLAKREGGAIDRSQDVAKLQEFYKLYREKHKVDELCEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEEITREISPEDADKLISEEMKRVMQKDAERTEDVVAYNIIPLDALSTTNAIVNFPEVRAAISALQYHRELPRLPATFSVPDARNSDMLDLLHCVFGFQKDNVTNQREHVVHLLANEQSRLGKLPGNEPLARELEEIIRRQTAEPAESCISNGGVSFLDQVISPMYEIIAAEAANNDNGRAPHSAWRNYDDFNEFFWSPKCFQLGWPWKISNPFFSKPSRKEKGLMIIAFNDRKFDRNTVLELLSLGPTYVIMKFIESQSQKFLFSYTIMIICTISLIEPLVKPTREIISFKRLEYAWHDFVSKNNHNALTILSLWAPVVSIYLLDIHVFYTVMSAICGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVAVPKRKQLLSSSQHSELNKFDASKFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGSLPIVQWPLFLLASKVFLAKDIAIDCKDSQEELWLRISKDEYMQYAVVECYHSIHYILTSILDKEGLLWVKRIYDGIQDSISKRNIQSDLHFSRLPNVIAKLVAVAGILKETESTDLRKGAINAIQDLYEVVHHEVLSVDMSGNIDEWEKIKQARAEGRLFNNLKWPTDSGLKDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTDSELFSSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEDIESAVATTGLVLADIYFELSPEARAQADLKFTYVVTCQIYGVQKGERKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAIIFTRGNAVQTIDMNQLVPQPDQQLDGFNRFGLVLADLREAELDNYFEEALKMRNLLEEFYQNHGKHKPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTIGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRADILQNTALNAALNTQFLFQIGVFTAIPMILGFILEFGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMSVSWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNVGGRILETVLSLRFFIFQYGVVYHMDASGSSKALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTPLSVSDVFAAILAFVPTGWGVLSIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAIFSWFPFISTFQTRLLFNQAFSRALVVELAFHVC >KN538885.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538885.1:22512:26463:-1 gene:KN538885.1_FG017 transcript:KN538885.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSVDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMNAPHEDGKWMQFLSEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKTGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARLQVEITDMEVFKDDKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHGLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVSELLQIVSLLLAPKQTNGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAISTPAECDH >KN538885.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538885.1:87425:92859:1 gene:KN538885.1_FG018 transcript:KN538885.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDKWALESTYRSDGICPWAHGPFILPSISGEAAINREERRTCDVHEAHATRSSIDQGKTARTVDAKSSNWSGMDVVWPHGHGSMWGSSSASRRAAMLHGSLDIWIHEARNLPNMDIVSKTVVDILGTKKKKKAANGAMTSDPYVTVQLASAIVARTYVVNDDENPVWAQHFLVPVAHEAPAVHFLVKDSDVFGAELIGEVVVPAEQLEAGEHVEGVYPDAHVPEGSLPEIRLGNGAVYRQGQCWHDVYDAISQARRLIYITGWSVFHTIQLVRDGGAGVSLGDLLKRKSQEGVRVLLLVWDDPTSRNVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIVAFVGGLDLCGGRYDTPTHPLFRSLQTLHKEDYYNPNFAVLDAQGPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKRSGVKKLSKANNDTLLWIGRIPDIASIDDEVYSSDNDPERWDVQIFRSIDSNSVKGFPKNPREATSKNLVCGKNVLIDMSVQTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQRKTMQMMYEVIHKALKEVGLDNTYEPQDYLNFFCLGNREAGGSPSTCSGSSSANNPQDQAKKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHIGAVEESFSCPESLECTRQVRHIGEQNWRQFASSEVSEMRGHLVKYPVSVARDGKMCRFFSFLRYDLEDVKFWVGINTDFLDFAARLFLILVSSSGRVYTASRRRSQAAAHGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQRKTMQMMYEVIHKALKEVGLDNTYEPQDYLNFFCLGNREAGGSPSTCSGSSSANNPQDQAKKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHIGAVEESFSCPGSLECTRQFRHIGEQNWRQFASSEVSEMRGHLVKYPVSVARDGKVKPLPGCAAFPDLGGNICGTFLPIQENLTI >KN538885.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538885.1:149698:151225:-1 gene:KN538885.1_FG019 transcript:KN538885.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGVYIRRCVELARKAAGHTSPNPMVGCVVVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVGMTDPNPIVASKGIERLRSAGIDVRLCVDEEASCRKLNEAYIHRMLTGKAFATLRTTLSMNGVIINQIGSEADQPGGYYSQLLKEYDGVIISSNFAKTNSLPISREADAKQPLYIIIAQGENSQLNIPFLKEECASEAVVLSDSPITVEPAGVEVLVLDQMNLDAILQLLAQRGLCSVLVDFSEAGRGIASLLNNFQVDKLVQKVVVELLPVWAVSKGPSDLAFGGSQSFPLKNVEHKEVNGCVLLQGYV >KN538885.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538885.1:29310:32761:-1 gene:KN538885.1_FG020 transcript:KN538885.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTTRYEAGKQNCSSLNWKLIEVNDNLCCLLALQCYEDTINYGTEWMGCQEDNVSTTRYEAGKQNCSSLNWKLIEVNDNLCCLLALQCYEDTINYGTEWMGCQEDNGPAPAGRSKVFRFLSLSRYKKILVEAYLNSNYFRIQVEVLCLPLAEATDLSVDDNVGTQGEIDRKLKFYSQLGSFLKLHYDV >AMDW01039501.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039501.1:9:862:-1 gene:AMDW01039501.1_FG001 transcript:AMDW01039501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPIQRIDQEGAYFYQHVFHVGAKGQYAGSKDEKSFIHNHLSFTVKYHRDAQMDVSRIVAFEVKPYRYAASLKHEYEGQWNDKKTRLTTCDPHAKHIITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASCWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDIS >AMDW01125875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01125875.1:206:505:-1 gene:AMDW01125875.1_FG001 transcript:AMDW01125875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEFEKLREEKRKALLAFKAEERKVEVDKDLQSMQPLSTKKGNDEIFIKLGSDKDKKKENAERDERAKK >AMDW01118330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01118330.1:1209:1595:-1 gene:AMDW01118330.1_FG001 transcript:AMDW01118330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FKLPFDPTKYIPLYGGVEYHDYHHFVGGHSQSNFSSVFTFCDYIYGTDRGYRYHKASLSKMRIFVRA >AMDW01024950.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024950.1:24:305:-1 gene:AMDW01024950.1_FG001 transcript:AMDW01024950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSVSGFPYPFPSPLSKTLANPSSSRSILLAAPLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYD >AMDW01031537.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031537.1:107:277:-1 gene:AMDW01031537.1_FG001 transcript:AMDW01031537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQY >AMDW01039519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039519.1:11:689:-1 gene:AMDW01039519.1_FG001 transcript:AMDW01039519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDAEGELRPDGTLTIDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKADIEKVRKCFAGLWSLENDSIVMSAIESPELFVLKPQREGG >KN540374.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540374.1:178:593:-1 gene:KN540374.1_FG001 transcript:KN540374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMLREKKERLGVGAAKVEVRLEKLTVEADVRVARRAVPTLLNCAINAAQ >AMDW01011877.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011877.1:6:230:-1 gene:AMDW01011877.1_FG001 transcript:AMDW01011877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPCAVDLIYGEVCAGRLALPVTPADVSGLYTRGALGVELGHDDLPPFVATPELTPAFCEQSVAQFAGLEDADD >KN540374.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540374.1:27118:29984:-1 gene:KN540374.1_FG002 transcript:KN540374.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNSSEERHEDRDSRASGTRAGSVADSSENEAESHSTPVERKDGGGKSRHCRSLSVDSFIEKLNFDESPKLPLPSPSGGLSRSGSGSLDGGAASLFGAEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMSKGLQQQMNSQLIQLQQLQIQQQQSSQTTQQGQQQQPQKSA >KN540374.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540374.1:22024:22974:1 gene:KN540374.1_FG003 transcript:KN540374.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQVLPSPPLALLPATGPHERPTLTALDAAAIVAFRSQIPNVAADAFPAAIGVDPNISGDCGVLSPDGFSQQTVRIPLNALIDIALRKDKVFDNSWYRNSSGSTLTISPSSNCYSVQLQCLHLSFLRAKDNI >KN540374.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540374.1:15527:16926:-1 gene:KN540374.1_FG004 transcript:KN540374.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGTLAYQGAATVSLTKGMIMGKRTEGTSEEGGSREKYISWSDDATQFMLEWYIELRKDKPSTFKWKKQHHQQCAIALKDKFGIRVTKSQVHRHLRLCKEKWSWICAALGKSGYGFDAASCKFNIDPSEKDSNKLGTTKYNYLTKPIKFFHLFEELFVGCSKADGSLAIDQFNANGSSDSDGSGSIKELEEYIFALEDGGHDSDTIARNSPTTDGTYSGHKRRSVKSPTKKTLKHKTSHKEEQDELAGSILKLANKLASVEQSIVGDPNASIWRRIEDLTIPASDKIELATFLAKPEQEIFRSYLRVASDASFQAWVIDYFERKCACNGGNGCTM >KN540374.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540374.1:51325:54314:1 gene:KN540374.1_FG005 transcript:KN540374.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSVPLPSHPIPWLLLLLDPGEHGKMGQSEDRAMYEDGGGRLDDYCSITIDGSGGLSEDIIQQRLQSVVHQREELQRLEMELRAQVIAHPQIIEAQRSFEAAAKEHEQLHEREKYILELEMKLDDKDRELDALKIDHQTVWANQDLLREQTKELATFRRERDNSEAERAQHLKQIHDLQEHLREKESQMLALEEQHRAAQDNIIYKDEQLREAHAWMARVQEMDTLQSQTMQAELRDRTEQFNQYWISFQQQYVEMQRGFLHTIQQLQLELNELRERTGAPKDGSQTAQESSAESTLGQNKGNNMAANGSGTTDSSQSVKSNGVPDGSTK >AMDW01038959.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038959.1:58:690:1 gene:AMDW01038959.1_FG001 transcript:AMDW01038959.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDDTESAAPSPSRHTTNGATARAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >AMDW01035565.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035565.1:88:244:-1 gene:AMDW01035565.1_FG001 transcript:AMDW01035565.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFS >AMDW01033042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033042.1:23:265:1 gene:AMDW01033042.1_FG001 transcript:AMDW01033042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNITSNSPKPKAWENLVKKMKNKCKKKVTKAQLEYIWGQCKKRYQLWVWPESQATGLGRDPLTAAIVADESWWECQNG >KN542422.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542422.1:125:2884:-1 gene:KN542422.1_FG001 transcript:KN542422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDADTGEPPAKRRAIDPIAPTVHPWNLPAGIPLLHCSSRPEDQVLVIPREANLPIDAETRMVVAQVSQAVVGVASLDVDGDVLYKASGFIIDFDKSSMIGTIISSATVNIHDPSFPDIEKMVEINESRSARDAYDGIASLQPHSTRYKLFPGDTIIGLGRQSREPFGLQANRGIYSVERWADLPRICPEMQRVTFINTF >KN542422.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542422.1:10623:13274:1 gene:KN542422.1_FG002 transcript:KN542422.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEDDDFLPDILLRLSRRTTPRASSGPPPSARPGAASSLTRYIELLRPAGGRHRARAPRPKGPRVRRPPQPDQRRAGPLRPADRVLLLPPNPEKKRRWDAHASFYSSDTGEWSLDHVCIHLDRLRAGGVYTSRTTGRAAAHVVGDSLYFVGKSGVLLRYRYGRLLVIDSDVLSVIQPPPDAKRRLRLGYTVFMASPENELLSRPLVDAIKAELERLFLDKVSFRLAMFRPFVGEVLVVKISGYDEKGLHVSLDFFSDICIPGHLMQYGTASSNYYNRQYARAKRYSAILFCL >KN541989.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541989.1:3487:4098:1 gene:KN541989.1_FG001 transcript:KN541989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQQQQAEWPAVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >KN541989.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541989.1:14747:20841:1 gene:KN541989.1_FG002 transcript:KN541989.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTGGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAAESASPFLAIPDREEDNVVAQQRAAEWGLVLQTDHHTGLPQGVSARPSSGSARTSSEDTPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATRPNHPIMYASAGFFNMTGYTSKEVVGRN >KN543444.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543444.1:297:7695:1 gene:KN543444.1_FG001 transcript:KN543444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHLRSAKKILKLCEANRGFYVKAGQFVSSIRQVPKEYSSTLSCLQDQATPCNFQDIKIVIEQKLGKDLHSIMQSCGYRQVHRGRLNNNQEVAVKVQYPGLERRMKIDIMTMSFLSKSLSWIFPDYRFEKLLTEFERTMSMELDFIQEAKNSERTASCFRKNNVVKVPCVFWELTSKEVLTMEFCSGYKVDNLNSLRKADISPTKVAKALIELFGEMIFLHGFVHGDPHPGNILVSPQGQGKFSLVLLDHGIYKELDQKFRLDYCQLWKALILLDSQKILELGEHFGVGKYAKYFPVIFTGRTIESKSILGTQMSIEEKMRLKQDLNSLGMDDISSFMESLPPDFLTILRT >AMDW01040868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040868.1:647:2068:-1 gene:AMDW01040868.1_FG001 transcript:AMDW01040868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPTSRKRVAGTQINKDNPEPDDDSTEQEMGTFKRASEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPSDTSAQATIPVSEPQPSDVITANAKDSSSEKADEGSNGSGKDALPVTDKSAGSSEVAETEKDGSALKGSDEKAKSSDSTEPPSQPVETTDEAKDLGGGSVVAGEAKEDNSKASDIEDKTAKEGDAEEEDGANEAGAEDKISKGDDEKKDGDESETKDGASEEQKDADNKGQSSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGLKTDGSSFPSFSIGASNNGSSSPALATSAEAPKKFAMPEGPVETGEENEKAIFTADSALYEYLDGGWKERGKGELKLNIPVSGGERSRLVMRTKGNYRLVLNASLYEDMSLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTSIREEFKAAVEMHKAKKASGTLKTPENSPKASDD >AMDW01040291.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040291.1:70:1262:1 gene:AMDW01040291.1_FG001 transcript:AMDW01040291.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESCNNTLKRKSQESLSMSMSEVPGKRSSQSGSRRNSRSGTRSSLQKINEVPDQGNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLKLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAE >KN540258.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540258.1:44016:47670:-1 gene:KN540258.1_FG001 transcript:KN540258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLATGVGWVVSPVIKLMFEKVQSYISTQYKWRSNLDDDLKKLETILTEILLVVGTAERRRTLDCTQQALLHQLKDAVYDAEDILDEFDYMLLKENAEKRNLRSLGSSSISIAKRLVGHDKFRSKLRKMLKSLSRVKECADMLVRVIGPENCSSHMLPEPLQWRITSSFSLGEFVVSRQKERDELVNQLLEQADKPKSRSEGARSVSPEVIAIVGTGGIGKTTLAQLIYNDKRIEDNYDMRAWICVSHVFDKVRITKEILTYIDKTIDLTNFNFSMLQEELNNKVKMKKFLLVLDDVWYDEKVGGPINADRWRELFAPLWHGVKGVKILVTTRMDIVANTLGCATTFPLSGLESEDSWELFRRCAFNTRDPKEHPEMKSIGESIVQRLNGSALAIKAIGGHLSSNFNSQEWNRVLNKGLSNEKDIMTILRLSCECLPEHLQQCFSFCGLFPKGHYFEPDMLVNMWIAHEFIQDHGRTYGSLRSTGRSYFDELLSRSFFQALRYGGTVHYVMHDLMNDLAVHISNGECYRLDVDEPEDISPAVRHLSIPAERVDLLRVSSVEHIPYIKAAGEFCVEKTKVQGLEVLKDMNELQELLVITSLENVKNKNEATNAQLINKSQISRLKLQWGSSNADSNSDEEYDVLNALRPHRGLEKLTVDGYPGCKSPSWLESKWLSRLEHINIHDCACWKFLPPLGELPSLRELHIDKMNALECIGTSCYGVAGFPSLETLQLKQLPELADWSSVDYAFPVLHDVFISRCPKLKELPPVFPPPVKIELIPYNTVCTQHTDLHLNTCISQEVVLTGLLDILHLRHQESTEIANSSLNGADILNDGFRYPRPNLPSHEGPCIRGYSGFQGAFMMLSEINILSCPNVTSLVDFGCFPALKNLIIRDCPKLKELPENGNLTTLTKVLIEYCNTLVSLRSLRNLSFLSKLEIKHCLKLVALPEMADFFSLRVLIILDCPELVSLPEDGLPPTLNFLYLSGCHPLLEEQFEWQYGVEWEKYAVLPSCFYAGKSIGDTQDIAEEILLENDITQWSILTTLLHPTGSAASSSGFLQ >KN540258.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540258.1:21:275:-1 gene:KN540258.1_FG002 transcript:KN540258.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFAGMSLSSFARLLLLLIVLHHQPRLLEADNLNLTAGSTLRPPQYITSSSDDFAFGFRAIDAGLFLLAVWFNNDDDEHKAVVV >KN540258.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540258.1:27893:30467:-1 gene:KN540258.1_FG003 transcript:KN540258.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFAGISVSSLAPLLLLIVLHQPHQLEAENRNLTAGNSLRPPEYITSPSGDFAFGFRALDSGGPDSLLFLLAVWFNDNTAAADPVQQKAAVADGNVVLYLNLAAGNVDPYNAYWATGTNQPGNTQDGNTTLFFASPGRVYYQVKDGTVHDLTTPMAKANYYQRATLDPDGVVRVYIRPRSSANASWTVADLFPAVGCGMSTRALDGFCGPNSCDFVGDDVANRSGEFVIAKLPNTTWTASPYKVYSYTAEEQCGDLCLNDCFCVAALFDGTRCTKMASLTGAGRQGSNVTAKALIKVRTRSTPPAAAVARRRAPPLPYILLLGFSAFLLLAATTSLVLLHRRIRRRSSSDHDMVMRLFTRKELYDATNGFQRLLGRGGFGEVYHGVANSLHLLHSPDTDIAVKKLIVSNEYTEREFANEVQSIGRIHHRSLVRMIGYCKEREQRMLVFEFMPGGSLRSFLFHQQPRRRPPPPPWTWRAEAALAIAKGIEYLHEGCASPIIHCDIKPDNILLDDKNNPKIADFGISRLLGDEQLHTTVTNVRGTRGYIAPEWLHGDRRIDTKVDVYSFGVVLLEMICCRRCQDPITSQLHQDDNGDCDDDTVTLFGWAAGLVSHGRVEVLLRSDDDAAEDLERVERFARVAFWCIVHNPSLRPTIHQVVQMLEGVVEVHAPPHLPSYTDSSSSSFIHTDSPALRPRGSSCPVELDLI >KN540258.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540258.1:22282:25946:1 gene:KN540258.1_FG004 transcript:KN540258.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGDRRGRAGAIDKRVERLWERGGEEGGENSVACGREARSVREAEKRALQPVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSTSLRANILSPTGSWHICLLIWSYRVERMRCPCSRA >KN540258.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540258.1:51991:57276:-1 gene:KN540258.1_FG005 transcript:KN540258.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding SLQLLYGFLFWKGKKNLCHNTDQGISDYMAEFSAMSSIGWIVSPIIRKMVSVVQSYISSQFTWKSEMMSDLKNLESTLVQILLVVGAAERRSRNDSSQVMSLHQMKDAVCEADDVLDEFDYLIKEKIEDLGMFSSVLSIGKCLVSIDKLRSKLQEVLKTLGRVRASAEMFAQVMAGEVSSFSQSPEYDPARATGSLLHEDTIFGRKNEIDELLFNTVVHSIVGVGGIGKTTLAQAIYNDERITEIFDLKIWVCVSHNFDKTRLTKEIIACTAGTEHIELTSFNFSMLQEKLRDRLMCKRFLLVLDDVWYDERVGEHMNRETWMELIAPIRNIYGLGKDDSRMLFRKCAFGNRNPEDYPELKIIEDQIVENLKGSALAIKVTGGHLSGKYNALEWNKILQKSVLNPNDIMTILRSSYESLPNHLQQCFTYCSLFPKGYRIDPNRLIHMWVAQGFVHSDGNINTSLEDIGRGYFNDLLQRSFFQVFRYGDQIYYIMHDVLNDLALHVSGGECHRIEHGSLSELPHHIRHLSVSAELLENFVSFGSLGRLRSLLVFNKSWFCSKLSLTHGILAKLKGVRVLDYHSCYSSGKFSSHCSSHKLLNLSWGQVNIAGGCFSLPESINRLSNLVHVDIEKSYALMLTGMHQLPCVEGSGEFHVGKKGQSIVGLKDLNELRGELAIRLLENVKTKEEAAKANLDLKKHIRKLELEWGSGDHDGHTSNSCDVLNVLKPHPNLVELTISGYPGAASPTWLNSGWLSSLQLICLRDCKRWEVLPPLGDLPLLKSLEVRRMDELKILNQEFLGRKGFPSLERLLLERLPKLEWSIAENDQLFPALRDLSISGCPRLREYPTYLPTLRHIAILDEEQIHFKVFMDNFELTRSFCCLLSSFFHVLRAHHLEFVEKLKICVDHLRDIPKAAFNNMKQLKELTIFDLGSSWENTYPIISTLWDEDGVTVLPTSLQRLELSCHLQLSSLSKLLNNFICLDILDLANCDTIGMPSQLSLSVHQLRMLRRLYVYGCTWLMSLEGSESFVSLKELRLEKCDYLECIPDMENMPSLQKLFLQRCPLVKRLCQSGCHTALKDLVVRYCDGLETLEDLHNLVSLRKMKVRACSALISLPDMGTFYSLKILVIDQCTQLRVLPRSGLPVSLEVIFLIEGHPLLDK >KN540258.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540258.1:10400:11956:1 gene:KN540258.1_FG006 transcript:KN540258.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRALDGFCGPNSYCVVSGADSRLDCACPSNYSFIDKNIRYEGCRPAFAPQSCDVVNSSAEFEITKLPNTTWTTSPYMIYERMAEEQCADICLRDCFCVAALFEPGATRCTKMALLAGSGRQERSVTQKALIKVRTSRSPPAPPSRGRVPLLPYIILGCLAFLIILAAATSLLLHWHMRRINNNDHDIVRHFTKKELHRATNGFQRLLGRGGFGEVYHGVAKSLHPPDIAVKKLVTSNEYSEREFANEVQSIGRIHHRNLVRMLGYCKEREQRMLMYTTVTNVRGTRGYIAPEWFHSERRIDTKVDVYSFGVVLLEMICCRRCQDPVTSRGEGGDDHDNSVVTLFGWASQLVNHGRVEVILHSDDDAVEDLERVERFVRVAFLCIETNPSLRPMMHQVVQMLEGVVEVHAMPHLPSSIDTLPSISKDSRTPRFFFSS >KN540258.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540258.1:39019:41402:-1 gene:KN540258.1_FG007 transcript:KN540258.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQIWSVSEFQMFWSESWSVRKAQEGLRMVGDAVIHLTAQMEGSFGEGANCNGNSSSCFCFFGFFPLHSQPQEASIIVLFLLNPNPRKFSALVATVLNDIHLEVSMAAMNNDLGGLGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGVLGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >KN538700.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538700.1:89343:92651:1 gene:KN538700.1_FG031 transcript:KN538700.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQPTVTVTAAASGDMPVTEQQQQQQMVVVAVIAPAAAAAVAATENADAAAGGGGDNGDLLPPTATSLLGGARRTGLHLFVLNARSALRLDELGAEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDGHDAKGHGANAAAVADLEKQQVAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIALDPILIFTCRFGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATAMAAFQICAQVWLASSLLADGLAVAGQLAVVLGVGLTAFLAAGMWFGAGVFTSDAAVISTIHKGVPVGVAAVTIPCLVLLSSHGGFVGIWIALAIYMSVRAFASTWRMGAARGPWTFLRK >KN538700.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538700.1:174940:176525:-1 gene:KN538700.1_FG032 transcript:KN538700.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKPRNEAGSKQAGRGGESNPSMRASINRPPTPNREEDPQKELSLREIINVKFLSLGVPKAVDSPATGDVQSHKKRLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRDV >KN538700.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538700.1:64386:66214:-1 gene:KN538700.1_FG033 transcript:KN538700.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWQSQECDLQKDLLTEDATDPSSCLWSEANQNAGDAWTMFDEQTPIKHCTDIDFQFCDIGDIIVKDFDEGKETLQAKRRRMLQFCSDNVEMDCAMAADGLSENLQLNLGFSEMTPGDQCLLNCDGTEELPEEWLVDCSPQESEPQCPPEDMSVHLSSPAVAMEEANLSVHKNSSSREQGNTVQKNTVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDVTLHDINQRIHAPPPYKIKHKIDEEQLSYQTSAISGKPVVHKTKIHTEGGKGSITITRTRG >KN538700.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538700.1:14840:15325:1 gene:KN538700.1_FG034 transcript:KN538700.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGIFELVSHHRSINLGWFLSDCVLAKGSHCWSMGRFLPEVPIVLPGAHHTIGQLLQRVARSPPKTGMRGAPRDGGEREGRSPAAELHHHTRIQRCVIHSPCPPTLPGGVVEGAPGDGHDRVEREVQAVVGGEEKRGREGKAAAALGQGKGREGIGEQ >KN538700.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538700.1:132219:135200:-1 gene:KN538700.1_FG035 transcript:KN538700.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component 5 [Source:Projected from Arabidopsis thaliana (AT5G16530) UniProtKB/Swiss-Prot;Acc:Q9FFD0] MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTKEQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIGVWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGTSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQASCYLYLLDPCTYLSPSCSLILRACTHLSLAL >KN538700.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538700.1:177576:186365:1 gene:KN538700.1_FG036 transcript:KN538700.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTFSRGRLREWRCRAKLAIRGTPENPLIGLYQEGTHAVADIPECRAISELNIEPFDEDASTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDERSKNAEKLSLLSEIIFGHKWRHLIGENDLWERYGGVDISLDPYSFGQANTLSFNALLHTLHKYVPRGSTVVDLYSGAGVIGLSLAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVICALQKVALSERKAYKAKSTLTKVKDEKRPWILRAREAAVQVDSTPLEGSSETWPETLIYISCGWDSFKKIWKAGSDKIWYTFTCVINVGPEEFHTLRPGINLISGRFRGHMLGGAQDEHSLCVNKGHSSEALKMDTPSGVAIPLD >KN538700.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538700.1:4983:8039:1 gene:KN538700.1_FG037 transcript:KN538700.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISKTYAFVRRRRITPWGARRGSGPTCHRHAPLKLETCRFFITSSILDLTIVGLDPAENETSLQAQQPHYLKTCCKPSLDHGSVVYLLGHTGKKELVIGEGKVVIGTDNLLKLSTDGVAWCPGSAGFDAQGNLAFMICDPMKLASSPTTRSSSTSSSSSHSSKKDHPMQFGVPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRKIYESPRQSSCQGQKDAGSVQLLDINFPPSAPKTIFLPLPLKQMLSDENNADTSKPRNQSRDNGFPSGIIWHRNCEALSRDPPVAPLQDDCSSEGQSSSSPVELLEYRNEDHFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYRRWSSPRMSTIQNGTLRKQHTLVPVRKSHSQNTSLPQRSHDYLSPTVSSAMKKRNSMEQQLPTKPRRSIAQTSPKWMF >KN538700.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538700.1:139200:142235:-1 gene:KN538700.1_FG038 transcript:KN538700.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRRCLRSGCKDLQLRRSIIRAAYSNSRSVSLYELATCSTTASPLQAKVENKKSFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNILSHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVSRWKISDLTGLSSEGNKAQDYLCTLAARIRRLDERAQSRAKKAGTLPFSWVYGREVQL >KN538700.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538700.1:95378:102704:-1 gene:KN538700.1_FG039 transcript:KN538700.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNPTHYFVEEVVKGVDESDLHRTWIKLELEGILRISARRKEQEQVRRETSEDLAEDLFEGEKADTVGELVQQDTPMKKKFQRNFSELTVSWSDENKEKKLYIVLIRSYTAYFTHWCHSVYATKLQNFTEFLCSLFYFSLHGLVRGDNMELGRDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTSGSTDGEGSGESAGAYIVRIPCGPRDKYLRKEALWPYLQEFVDGALAHILNMSKALGEQVSNGKVVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMNQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSSVVVPEDISDGDDGKEFEIASPRSLPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLILIMGNRDDIDEMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHKQSDVPEIYRLTGKMKGVFINPALVEPFGLTLIEAAAHGLPTVATKNGGPVDIKNALNNGLLVDPHDQHAISDALLKLVADKNLWQECRKNGLRNIQLYSWPEHCRTYLTRIAGCRIRNPRWLMDTPADAAAEEEEALEDSLMDVQDLSLRLSIDGERGSSMNDAPSSDPQDSVQRIMNKIKRSSPADTDGAKIPAEATATATAGAMNKYPLLRRRRRLFVIAVDCYGDDGSASKRMLQVIQEVFRAVRSDSQMSRISGFALSTAMPLPETLKLLQLGKIPPTDFDALICGSGSEVYYPGTAQCVDAGGRLRPDQDYLLHINHRWSHDGAKQTIAKLAHDGSGTNVEPDVESCNPHCVSFFIKDPNKVRTIDEMRERMRMRGLRCHLMYCRNATRLQVVPLLASRSQALRYLFVRWGLSVGNMYLIVGEHGDTDHEEMLSGLHKTVIIRGVTEKGSEQLVRSSGSYQREDVVPSESPLIAFTKGDLKADEIMRALKEVTKAASGMDWQRPLCKTAYWFQSRVYTNMEEEEMTMLSLGVGAASKHSISNRKFRLKEVTDHKFNPGDQDHNSGHARKKLRLSEEQLTVLENMYEADSNLDQALKQGLAEKLNIKPRQVEVWFQNRRARTKHKQIEEECKNLKRWLEDLNKENQRLKMEMMRVSRPVSTPHGSTHNVSEVTVTCLSCNKSSYETSTV >KN538700.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538700.1:120564:129955:1 gene:KN538700.1_FG040 transcript:KN538700.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPAPNDSPRKRFSGVAPPAALIFFVLVFVGGAIVTLDHKENLSILQLRPREMVVSETETRAPSSEVQEAETRAPTSEVQAGTGAAAVVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAAAARPTKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGEMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLRVVRRERLEISQPALDHRSQIHHRLTLRARKGQVHRNSLDPSRDIFFHLSKSSQEILQDEGRGEMRRQQHGAAMHGVGGDDGAGVLAGGVAVRVAHDPERPHLRVGPRLQARDYYLIDAPESIGDGFSFSGGKYTDGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAKSQSSNDVFRWFCVEAGSSSNPPVLFIHGFPSQAYSYRNVLPVVSDNYHAIAFDWLGFGFSDKPQPDYGFDYTLDEYTSSLESLINAVAPDKLSIVVQGYFAPIVVKYANEHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEIFSQDPLRASDKALTSSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNILSSDSWKTKTAVCWGLRDRWLNYDGVEDFCGSANYKILELPMAGHHVQEDRGEELGKLVKRILRSALSPQKQNPYHFRMFVNFIHRNRNGCVDCY >KN538700.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538700.1:187074:196866:-1 gene:KN538700.1_FG041 transcript:KN538700.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRWLIHQAEAIMVALQTLGDELYMSTPLPSNVASSCVLEAEALHLLHGGNVRRGGGCRRDGRRRHAVSEATNLRIIVVASLNAGGPAHLSTSARALLLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQVRLYRFSAIGYYLTLPVMLAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRNHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTSTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARRGLRPAAEAERPGSSGSGSTSFWGSISIFSSTSDDRTHSSAKDNSSNKSYTASTSHLEHSLSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDADATGLPRAHGLSSSFQDGSSPYSTPKQPRSRKSSHSGEQLPFNPDASGNGF >KN538700.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538700.1:207294:210403:1 gene:KN538700.1_FG042 transcript:KN538700.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGNLRRFFVFLFELWLAATLVLVLLCVLANTGGSPEMPAAAEVCNCSQIGIASSHISEEVTGTSGNSNEEKGVMQHLWALTKRVNNDVIPLTIKDADFIPQTTFTCDRITEKEKINSSFADLAELLPKVATDDRTVIITSVNEAFARPNSLLDLFRESFVAGEKIAHLLDHVLVVAVDPAAFDHCRAVHPHCYHLKVDSMNLSSANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTDVDILWFRDPFRHIGVYADMTTSCDVFNGDGDDLSNWPNTGFYYVKSTNRTVEMLRRWRAARARYPPNHEQNIFNYIKHELAAGLGVRVRFLDTTVFGGFCQLFHNDMARACTMHANCCVGLGNKLHDLRSALDQLEISARYAAPDHDAAELSIHNCSNWFKRINN >KN538700.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538700.1:63024:63470:-1 gene:KN538700.1_FG043 transcript:KN538700.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNGDEMCTVHLDFSPDRPPIAEFEVLDEADAEAAFPEGLDFDDRKIAAVAVYRMDLSDESPAWRAVRDVGDRVFLLAGGIAATSCRSSACNLRRNRVYFMKNFRENDGDLCIYDLDEQTLDIVRVHDRDLDLKRTKPFWIVPPSS >KN538700.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538700.1:17035:17781:-1 gene:KN538700.1_FG044 transcript:KN538700.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSTAPPSTFLFLLLFLAAAANCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMLLQ >KN538700.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538700.1:72300:74063:-1 gene:KN538700.1_FG045 transcript:KN538700.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEEDKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGCLPA >KN538700.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538700.1:93614:94488:-1 gene:KN538700.1_FG046 transcript:KN538700.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGEVRATVLGMAVLALLLLLGHHAAAIEANVGITRQASFSLNGGAAPGAGCPNGEEPNIGAGAGAGAGAGAGAGVGANVGAGVGVRANRQNSFSMNSA >KN538700.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538700.1:110861:113577:1 gene:KN538700.1_FG047 transcript:KN538700.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDFARRVPKRRRTNKLLSQLLPDLNSLPAEGADDGGSPSSSVLVSHAQTSSVAVAGTSQHLVPAVVAGPHIGMSSCPIIVDDIDDDVVIYSASSFPQVRQQAPRTEPVVTIEDDSETTPGQAGDAVDEHVDILLSLTLGRYPRHGHQRSSNISTSPVIHIIDTPSNVLKLEFLQYTYQALPEPEKAVPKEPTFNCPICMNELVEPSSTICGHIFCKQCIKASIQAQKKCPTCRRKLTMNNFHRVYLPSAE >KN538700.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538700.1:107520:107956:-1 gene:KN538700.1_FG048 transcript:KN538700.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCEGGGERGCRRCDDVTGHGEMGGGEGHQRWRDGRFENEVVVVVVVVERRARVTPTRRFRSEISEGDSFLVVVTPADVNGSLSHDEDILLDRHRR >KN538700.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538700.1:170134:173113:1 gene:KN538700.1_FG049 transcript:KN538700.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFPKKLFLASSPTKEKKAANGKSGGGGVSVDLKRKEQQQAAAAGVGVRSPGSGSKDEMFFDSRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMSNVFVPDNVQNSKSPEPSPTGRRKLAELLQEAMQNGSEDSTDASVPDISKNEKQQFQPVSAAVKPVSESSSACSTEPTPIREAKNRKEKAWYTGRCCLPAFVHTLDLDERRQKMTPGPCAV >KN538700.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538700.1:69532:71177:-1 gene:KN538700.1_FG050 transcript:KN538700.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAGEDEKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDIKSIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGSLPA >KN538700.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538700.1:21104:25843:-1 gene:KN538700.1_FG051 transcript:KN538700.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAEGMWQQMTMSGSGAMQPGPYPERSGEPDCTYYLRTGLCRFGMSCRFNHPQDRNLAIASARMKGEYPERMGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPSEKECAYYLKTGQCKYGNTCKFHHPELFNAMASSRGSPIYPSVHSSATAGPPSYTGTMASWAFPRGSFIPSPRWQNPSNYAPMIVPQGLVQVPSWNSYTGQMMPVSSSESRLQSPGAQQTYGTSQQVDASAGNQGMLSPYRSSSYPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSMPTPDCILSPVGLPLRPGEELCKFYSRYGICKFGANCKFDHPTMAPPMGVYAYGSASTNVPMPALHCGVVSFQMCIHVRLQGSLARSAIGFIPQIVGIGPVDLDSKDPADSPGAPPNTHVLVE >KN538700.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538700.1:198627:200325:1 gene:KN538700.1_FG052 transcript:KN538700.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGQQSALNQLVSFLLGVSAAAVLIFFFSSAGGGWSTTTDFSSWANGTVAATAKETNLTSTAAHVEEKANLTNSQAAAAEAAKEEEEKELEKLLAAVADEHKNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDHLLIVALDDGAFRRCRDVHPHCYRLAVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDVDILWFRDPFEQMSMAAHMVTSSDFFVGGAYNPANFPNTGFLYVRSSRRAVGVMEAWRAARASYPGRHEQQVLNEIKRELVERRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLGAKLHDLRNLLEEWRAYRRMPDEQRRQGPVRWKVPGICIH >KN538700.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538700.1:81066:83686:-1 gene:KN538700.1_FG053 transcript:KN538700.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAAEASSSGSPASSRSARHRQRKGVRLRMLRRRGRQPVEAERAPGDGGGGAVQEDLALPLGMSFAAVLAQPYALIVQVINTKNISGQRLHPDFLSKIYGDSSNSFIKNFEKSFSSTFRTLHLVNEIPVNERSHIPECSFKHDDSVAVDSLSSSDLQNQTNRIEHDLVNTVESQLVLFASDNQQLTHLRRSRSSPEADNRILNAIEKSVKEKARSNELKEFEIGLTMRKLQLKQSQLALSSHSHMLEKIKLSFGFQKASFKGEKFKTQMQETRDAEILRTLIDFLVCAVIVMSACFGYGTYIYSYQRITDVTSACSATSKGSKSWWMPNSVSNFSTGFLFLRCHVIAVTRMCFGILMILAIAWLAFQRSATTGSNMPITFNLILLGIICGFAGRFCTNTLGGDGNTWLMYWEVLCSIHLLGNLFPSLLYHVLHGPISVSHREQVVSLPYWVRRCLFYAAVGLILPALTGLLPFASLSDWKDHFVEEIKSIVIGDKIEA >KN538700.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538700.1:150098:153585:-1 gene:KN538700.1_FG054 transcript:KN538700.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MISALDIAAFVAASGVGDRAMAAVVGEVVQTNPGLLREVDPGTRLIDALDLMKQGVKRFLVRKNGAWRGISKRFSVLYNGKWLKNMEATSPTSASSSRELSSSTSSTYKFCCLSREDILRFLIGCLGALAPIPLSPISSLGAINPHYCHVDASVPAMEAIQKVPPDPSAVAVVETTPDGTRKILGDISAYKLWKCDYVAAAWALINLSAGQFVIGADDNESTPISAIPVPPISSSLVEEIGPGRSPRAKKFSSRSIGFLNSQAHQMAFGRMRSMYRGRSAPLMCKSTSSLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVVGYTDIFNAVTKSVYPAAS >KN538700.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538700.1:36707:40591:1 gene:KN538700.1_FG055 transcript:KN538700.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSFWLFILLVSFIPISAWAESRDISTLFTLRDSITEGKGFLRNWFDSETPPCSWSGITCIGHNVVAIDLSSVPLYAPFPLCIGAFQSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSNNELTGPIPISLYNLKMLKEMVLDYNSLSGQLSPAIAQLQHLTKLSISMNSISGSLPPELGSLKNLELLDIKMNTFNGSIPATFGNLSCLLHFDASQNNLTGSIFPGITSLTNLLTLDLSSNSFEGTIPREIGQLENLELLILGKNDLTGRIPQEIGSLKQLKLLHLEECQFTGKIPWSISGLRSLTELDISDNNFDAELPSSMGELGNLTQLIAKNAGLSGNMPKELGNCKKLTVINLSFNALIGPIPEEFADLEAIVSFFVEGNKLSGRVPDWIQKWKNARSIRLGQNKFSGPLPVLPLQHLLSFAAESNLLSGSIPSHICQANSLHSLLLHHNNLTGTIDEAFKGCTNLTELNLLDNHIHGEVPGYLAEFPLVTLELSQNKFAGMLPAELWESKTLLEISLSNNEITGPIPESIGKLSVLQRLHIDNNLLEGPIPQSVGDLRNLTNLSLRGNRLSGNIPLALFNCRKLATLDLSYNNLTGNIPSAISHLTLLDSLILSSNQLSGSIPAEICVGFENEAHPDSEFLQHHGLLDLSYNQLTGQIPTSIKNCAMVMVLNLQGNLLNGTIPVELGELTNLTSINLSFNELVGPMLPWSGPLVQLQGLILSNNHLDGSIPAKIGQILPKIAVLDLSSNALTGTLPQSLLCNNYLNHLDVSNNHLSGHIQFSCPEGKEYSSTLLFFNSSSNHFSGSLDESISNFTQLSTLDIHNNSLTGRLPSALSDLSSLNFLDLSSNNLYGAIPCGICNIFGLSVANFSGNHIDLYSLADCAAGGICSTNGTDHKALHPYHRVRRAITICAFTFVIIIVLVLLAVYLRRKLVRSRPLAFESASKAKATVEPTSTDELLGKKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSTTKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQNELFDPCLPVSSVWREQMARVLAIARDCTADEPFKRPTMLEVVKGLKMTHGMECGPLVVTVSRDM >KN543291.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543291.1:5164:8642:-1 gene:KN543291.1_FG001 transcript:KN543291.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSNLHPEYAKKIGGSDFSHDRQSGKELQNFKLRVHFHCSLFIISGQVVPARSFSSGADLPPHQEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIGRFKDYKAPSSAESAAPAESKPQSEPTEPKKEKEQPKAPEPKATKTEESFLSEDRTFSSPIARKLAEDNNVPLSSIKGTGPDGRILKADIEDYLASVAKGAKKETAAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDTRVDKLIKLRSELNPLQDTSGGKKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLATIADEVKQLAQRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >KN542789.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542789.1:873:4529:-1 gene:KN542789.1_FG001 transcript:KN542789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASPLVTAAHGPALSPLSLKGAASGWERRRQRLEDVALRRRGRDRRAQANLASNNLQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLESLDLLLLLNSLREVIVFEQMFSLLKNIFKKCRNLSSNNSKLLGLSGHFGLGNTNNFAIIDVAGAFIVGLTKA >AMDW01027448.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027448.1:16:222:-1 gene:AMDW01027448.1_FG001 transcript:AMDW01027448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKHLTPVALELGGKCPCIFDTIGGSARDLQTAVNRVVGGKWSSCAGQACLAIDYVLVEERFVPVL >KN542789.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542789.1:10684:11148:1 gene:KN542789.1_FG002 transcript:KN542789.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTYVHKNDDGVDLNFALFQPSSTTIDDSGRTYTNLFDAMVDSMYSAMEKEGGPDVPVVISETGWPSADGRGASKDNARVYNQNLINHVGKGTPKRPVALETYIFAMFDENQKKGDAIEKHFGLFNPDKSPVYSINFSGTSDSGLLHPSDRGP >AMDW01039635.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039635.1:9:495:-1 gene:AMDW01039635.1_FG001 transcript:AMDW01039635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSKLLATYITEQLAKDVELFAQHAGRKSIKMEDVILT >AMDW01038220.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038220.1:165:623:-1 gene:AMDW01038220.1_FG001 transcript:AMDW01038220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVITSIITLDRVSLKQK >KN542756.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542756.1:47:364:-1 gene:KN542756.1_FG001 transcript:KN542756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEAEAQQHSKLEKLYISTRAAK >KN542756.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542756.1:2697:12707:-1 gene:KN542756.1_FG002 transcript:KN542756.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EREIQRGDEISWYADGERLVRCEYNPATAYGYVPVLHFCDILRVSCYYEVDSQLQSKTLPKTASSRLQLFDRVFGPKTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGLIGGASQEEIMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSHQRHNSVNEEDKVSTSQDSSMVVQNDSATKDSLSSASPDAVDEINQLRCASGDHSSIAGSGPDEMQGGITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIDNLEREIREKRRHMRALEQKLMESGEASVANASMMDMQQTITKLTAQCSEKAFELELRSADNRVLQEQLQQKNVEINELQEKVLRLEQQLTTNTEASPEQCTEHELHDLKSKLQLKEAESEKLKYEHMKITEENRELVNQNSKLCEEVAYAKELASSAAVELKNLAEEVTKLSVQNAKQAKELLIAQELAHSRVPADITNGTKESKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHFCFLVGIFACASLARLPVQNALYAVQESQTG >AMDW01074502.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01074502.1:64:315:1 gene:AMDW01074502.1_FG001 transcript:AMDW01074502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRVGNELGAGNPRSAAFSTWMVTALSAIIAAIAGVVVILLRDKLSYIFTQGEAVSRVVSDLCPLLVGTIVLCGIQPVLSGEVS >KN544986.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544986.1:152:1769:-1 gene:KN544986.1_FG001 transcript:KN544986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARQPKAKKQINLFYCSECEELALKITIAFPDDGAWKRFHKLLLNFPMTRSFYFGLFDYKVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPKQSYERFTHTNS >KN542540.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542540.1:9765:13067:1 gene:KN542540.1_FG001 transcript:KN542540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAEYKYANNHEFGLFAIYDGHLGDKVPSYLKANLFNNILKEPLFWTNPQEAIKNAYSSTNKYILENCKQLGPGGSTAVTAIVVEPKKTIDSDSRAVVCERGAANQLTVDHEPHTTNERKRIEKQGGFVSTFAGDVPRVNGQLAVARAFGDQSLKAYLSSEPDVKHVPINSSVEFVILASDGLWKVIKNQEAVDLVNSIKDPQATAKRLTSEALAKR >KN543653.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543653.1:228:2434:-1 gene:KN543653.1_FG001 transcript:KN543653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMLFKNQQIYVYTLNLRSFDRRVKIGPWGGTGGHAWDEGGHGASAGSYTGVRRMSIGSSWCVSSMLFEYDDNGKRVKGTLHGERDNGIPEEELDFHGEVLTHMCGYHDNRLIRWLQFRSNRNRTFGPYGNLLEDQAGWTRFEVSMEHSGSIVGFCGRSDNFVDAIGVYVAVWNPERFYDSMRRQGVRVYRASPLRMDLRQIEEEKKKEEVERGRLQKEIEEGRESLRNLRLKLRVDMPPDQRKRLIRRELQVEHQEIERQLQELQQLERGRQGKRQTLEELQVEQQEIERQLQEMLQLVRGRQLEEMQQM >KN542060.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542060.1:3:2213:1 gene:KN542060.1_FG001 transcript:KN542060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPPTAEVAAWDFFDPFTSYDQFMEDYKGHDGGSLPSNSPNYSELRRMEGIPELEDEAELEAAEAKAKASKPSTSGVANQGGKGKRPISSDVSSKGEASDGKLLQRKVSGGNGEPENASLKGSGSGDNNGSSTSKKKGIAFDDIGQPIAASQGEGGSGKSVQSTTVSSESFSPLHQGNRSVMEAMDEIKERFEEALNCGEEVSKLLEVGKVPSQSSTPRVLRYLSSRVMDPLSLTVPSSSCLPKPRRKSRTLSGKASTSSNPSVAGRRNSAGNLSTTLEKLCAWEKKLYQEIKDEEKLRILYEKKYRRLKSLDERGLDSTTIDATRLSVRNLQSRITINIRTANAFSSKIQNIRDEELYPQLVDLIIGLRRMWKAVLLCHEKQLSAIQDSKMHLIKAVTISQSNAAAVATVELERELAKWYRCFNKWISSQRSYAEALNGWLRKWLTEPEVQEENTPDGAPPFSPGKLGAPPVFVISNDWLQVIEMVSKNEVLKTIDQFSKLVHEYKKTQEKEHRQKRKADHASRDYNKRREVLQRELGLSTSLDMVAVMENTHHSHDNRVIELEKMSKKKDEEKTKHDEIVKHAHLAASATLPVGLVPVLHQIVSFSQENVQKYKSIRTRGARVH >AMDW01034549.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034549.1:265:486:-1 gene:AMDW01034549.1_FG001 transcript:AMDW01034549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGQAELFVA >KN542060.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542060.1:9869:19772:-1 gene:KN542060.1_FG002 transcript:KN542060.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDSNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILRTENGFLKSSFMEEKCPSCYVRVRKIRLIGNLDFFCIDGIVMYSATLLFLNKLMDTLAKVDMKQNMLSGNPICTSPNQLNITQYCQSVPVIVPDGSASNATVCPPCSTDLPFENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNKSEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNNLFPDRAKSSLSTGAIVGIVVAAFAAAAFLSSLITLIILRRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQVVPAAIRFYFGEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGIAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMAEVMRELEKIWQMTPDTGSMASLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSNLLSGVVPSINPR >KN542060.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542060.1:3312:8964:-1 gene:KN542060.1_FG003 transcript:KN542060.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPQPAASLASFLPFSPFSRFLHSPSWRPPPPPLPRRRRLLSTAAAASSSKGSTSLEERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMATEVMIGQNDNVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEVHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTAVSNQPVKESSTLEAILKKPHVQYKLLDEHGYGNEHLSRIEKECVEIDIKYKGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANYKRQQSMLRSAATKADDSSDEVVHASATNA >KN538843.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538843.1:75328:78606:1 gene:KN538843.1_FG001 transcript:KN538843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPASAAAAAGDKGGGVDPSLPRFKCQECHRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRTEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKEKQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYPLYSDIIFATVYLLVILLRSNSYMTFTSIN >KN538843.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538843.1:132415:135141:1 gene:KN538843.1_FG002 transcript:KN538843.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPRVASAASGSASATRGVRVGRGNGGGGKLEERTAVAVAVACLLIRVVGFISYCNVLNSAVFLSGQVKVVVVDPQRPRMAAFEPHRVQHMASRLQLLYFIAITVLVSVFQPCTSIELRRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCLEELVDGQCMNEAAHFDMSGTAFGAMARPGQADQLRGAGLLQIQYTRVECEWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMPIGAGASGSWIPMQQSWGAVWRLNSGSALQGPFSVRLTFSSGQMFVASNAIPAGWNPGMAYQPGGVAMRVRGRNGGHRGYEAVGMLGGLCHLLLLLVFMVFEL >KN538843.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538843.1:43189:47980:-1 gene:KN538843.1_FG003 transcript:KN538843.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGAVDPRFIAVGLKWISLQPATALPRRCRHWCNTSLFIDYCDNDGTHKYIFFDARTHKYIIIDVGKTFREQVLRCQQPSQMWLAALQSVSCVEAWLRCRLTLPNSVLSVLQFLIILTHEHADAILGLDNVWMIRPSDGRNDFGQVPVFLTQFTMDSVAARFPYLVKHKLEEGDEASQVTLLDWRIIEGDIEKPFVSSGLEFVPLPVMHGEGYACLGFLFGRNDLVKHIMSLSLRVICVIITIYKNTKYQDNLQIMPSFHAAISKSGAGQLDLLILEANSLHGETLELVVNVNDSNHAFCKKEKRMMDAKICIDSEG >KN538843.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538843.1:83136:84566:-1 gene:KN538843.1_FG004 transcript:KN538843.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520 [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MPERSVVSWTAMMNVYLKLGHYGEVVRLFFDMVGSGVQGNSLTFVCLLKSCGERCDAKLGQQVHCCIVKGGWSNVIVDSAIAHFYAQCGDVASASAIFDKMASRDVISWTTMITAYVQHGHGGQALRMFSEMVSEGFRPNEFTVCSVLKACAEEKAVRFGKQLHGAVLKKMYKNDIHIGSALVTMYARCGEVFDAQAVFDVMPRRNTITWTSMISGYAQSGHGEKAILLFRKMKMRRVFVNNLTIVGLLSACGSLQSLYLGKELHAQIIKNSMEDNLQIGSTLVWFYCKCGEYTYAARILEAMPDRDAISWTALISGYNNLGHNVEALKSLDDMLWDGVKPNTYTYSSALKACAKLEALQYGRKIHGFVNKTQDFSNVFVGSSLIDMYMRCGKVDEARRVFDAMPEHNLVTWKVIITGFAQNGLCEEALKYMYLMQQEGHEVDDFVLSTVLTSCGDLQWKSISFSDSVAGSVSARQ >KN538843.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538843.1:105929:106672:-1 gene:KN538843.1_FG005 transcript:KN538843.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPILYASLLRLPPAALARDTTFWFLLSNSIIAIIAAAAPITTSSSSSSHGHDDVDEPALLAAAVVPAAPPPAAGDDQLPAAAPVAQPQEAATDGETHGEAVKGDDDEDEAASDKTTTTNKSLPSSSSEELAIVTSNNDDDYDDDSASFGEEDEGTTIVPATATTRGGGGKQYWQLSDEELNRKVEEFITRFNREMRLQVLQEAGV >KN538843.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538843.1:35605:37950:-1 gene:KN538843.1_FG006 transcript:KN538843.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSVIFLGTGCSRTLPNTRCLLRPSAPPCAVCSLGVSLPPEQNPNYRCNTSLLIDYCQDDGTHEYIIIDVGKTFREQVLRWFVHHKIPWVNSIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWMIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYGCFDYC >KN542906.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542906.1:3811:6162:1 gene:KN542906.1_FG001 transcript:KN542906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTQCVFVSTTIFLLSVASTMDLPQASFPQESTMNPPQAVFPQDSTMDPPQARFPQDSREEAAYRTYIVLLSSPPDAGAITTSEHRRWHETFVSSTTMIDCSYSAVFNGFAARLTDAELAAVAKMPGFLRAFPDEKLKIMTTRTPPFIGLTRDDPGFWSDTGYGKGVIIGLLDSGIYGAHPSFGDDGIPAPPSRWNGSCTGTEQSKCNKKLIGSKSFVGDENPTDYDGHGTHTSAIATGNFVNGASVNGLASGTAAGIAPFAHVAMYKVCDEDNGCMKSAIMCGMDEAIRDGVDIISLSIGGGGDLFNEPISIGAFSAMSKGINVVCAAGNDGPDPSTVINDMPWVITVGAGSVDRRFNAQVKLGWNNNNNAEVKIGHSTLFVSGESLYQGPNLDVPHQLVDQDDCFKPDDDMNVSGKVVICKRSPDSDVEKEIMERLKLQAVAALLLIDPEEDGFTTSLHDYGLNVVQVNTYSGDDLLRYARSTTTPTVTIYYEGVQYGIQAPVVASFSSRGPSKVSPGLLKPDILAPGLNILAAAPPPQESTARRSFLFQIMSGTSMATPHISGVVALLKNAHPDWSPAAIRSAMVTTADIEDNSGKEIKDEEGSPAYAYARGAGHVNASRATDPGLVYDLGVREYASYICTRFGKDGQDALLYIARDTNLSCADLPKTPESLLNYPTMIVPLKQSPFTVSRVVKNVGPPESYKAVVYVPMDSIQVTVSPDKLTFSHLGEQIAFDVTVNGEGFNATDGSVVEASLTWVSETHSVRSPILAVVGLPDNRSE >KN538843.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538843.1:139416:142109:1 gene:KN538843.1_FG007 transcript:KN538843.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLHAAPRVGSSSFSSAGRRSAPGQGGFAGELGLLQVRRALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAAPLEDATVVQPAQIVAAVEQICQ >KN538843.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538843.1:93231:95770:-1 gene:KN538843.1_FG008 transcript:KN538843.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKSDTVQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLAHLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGLIILAGQALKAIYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTVFSLIYIMIAFVMSLRDGITTPAKDYTIPGSHSDRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFAIHNIMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLTVKQNKMSIFRKCWHWLNVVGFSCLSVAAAVAAVRLITVDYSTYHLFADM >KN538843.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538843.1:55294:61183:-1 gene:KN538843.1_FG009 transcript:KN538843.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPEGDREERPWGGACGTGVREYGPCEIPILPFRFFNACGWDFCTWRYRGSIAVGSTYMDGSDYTYREVPKVWMDAISKSGAGQLDLLILETNELHGEGDAGSCHLTLSQTLNAVKRISPKRALLIGMNHEFEHHKENQTLAEWSSSEIIVEHFRIIPFACFKRKRKFHLLSSSSGVSSAAAGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPYFNITCDDAARPPVPKLGDPGMQAEVIDITLERGEVRMNGFISYVCYTSNTSSTNATGQFVVGGTQLRVSPSRNQLTVPITADLASVGARFPSNWVSSSWRFNPCFYAMIAEVGWYSFRRSHLVGVLGIVNDSNIMRRVPVVLDWAVRDGWCPATAEEKARRKYACVSGNSHCVNSSNGMGYTCSCLQGYEGNPYLEDGCQEWMMMGFRRQELHLVNLEK >KN538843.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538843.1:15959:28700:-1 gene:KN538843.1_FG010 transcript:KN538843.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTCNCSQGYEGNPYLAGGCQDINECVLREQDTKYEEMYPCRHGICINTPGSYRCKCKAGTKRDGTNFGCQQVLPMAAEVVVGLSACAILAMALSCLLVIQLQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQYVSWAKPIPPAAHAAHQIHDNVLLWRSSRVANLTEKPKYHDEFQDFKKKIKRWKFVLISSDGEVNNLRQERFRIFCKKRGEKGPNQDSVILCQFSLVDQFSIEKQAVKTSSTPENSTFFRYPHIIHPIYVEMKAGALKIPRLYINVFHLITTLSAGKRRALIPPQVVYTDESVRPIPEELLYGLLLAYGIHGSVLTWQCFLRVLVIMVS >KN538843.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538843.1:124948:126459:1 gene:KN538843.1_FG011 transcript:KN538843.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSILDLSWNSLAGGLPAALGSLRMLEKADLSHNELAGRVPAEVGSLRELVFLDLSHNELAGPLPASMAGLGKLQYLLLQENPIGTAVVAAVMKILE >KN538843.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538843.1:142984:147358:-1 gene:KN538843.1_FG012 transcript:KN538843.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPRHADHLAPGSPSPAASPSELGDDESWSRAPSAAELESNKNDLAEIRNDNVPASIPQKQKTSKAERRAIQEAQRAAKAAAKEAGLSGKSAGTGSGANPAMPKQAKSSKASQKKDVPQAASTAASEKKVTERPSERDRKKDVPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFQVAIKDYSTPPKKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKASLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLGHLKNLADNENLQLLNLTYDATPSDYVSMIITDYGMPIWTKPLSKPLTFDNLEIDYLLIFVVLPPTSVPVIVREYRKEQLWI >KN538843.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538843.1:64831:72800:-1 gene:KN538843.1_FG013 transcript:KN538843.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MEGEKKSVVLINCAVSMYGNRVRIALARKGVAYEEKPENLAAKSALLLSSNPVHGQVPVLLVGGKPLQPVGPSIRKVANQSTDGLSYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALKDQAERLTLSSRAFYNDKFPIFAEYLTSMFGYEMMLPMNTGAEGVETAIKLVRKWGYEKKKIPKNEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKACDILVLKIPQTYCSQVIIPPDGYLKAVRDLCSRHNILMIADEIQTGIARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKVVTDEGLVESCMIVHEYSSKHLLNYRAAKLGQEFRDQLQKVQQRFPQIIREVRGRGLLNAVDLSNEALSPASAYDICIKLKERGVLAKPTHDTIIRLAPPLSISPEELAEASKAFSDVLEHDLPQLQKQIKKTESAAEKQSCDRCGRDLY >KN540187.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540187.1:56380:57268:-1 gene:KN540187.1_FG001 transcript:KN540187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGYAADSLIKATELRLGLPGTADDLPSTPRGKKRAAAAEDNNANAAAADDDEHDAVEAAPPVANMFTSTCKKLRIMKRSEATGLGSPRQMK >KN540187.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540187.1:1187:5350:1 gene:KN540187.1_FG002 transcript:KN540187.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding PDDGKFTAEVHHGGFFVGQGSNRAYVDQTINWIDHCEARTWDIVCLDEFLAMLGYDMTPDFKAYWLLPGKTLEDGLRVISTRADFEVMKSVVHKVKNFIIYFDQGDTIAGVDWDDVVANPISELPKVLSPRKMSTADRKVLTQSQSAYDQLLSQVPNPMVAQMFEESSQTLRSVTSPSITALELVRDALISEFSVFTSRTVLTKSFKTCFKYGVVPGSYHCHNLHPPFCTFKMNKPTDFNKLLSRYRMGDGKVLPCLDISVADRVVLLLELEPAIASAAEARRDLLRRRTLPRHTPSLNPAETCSAAEPAAICSAAKPCPQLHP >KN540187.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540187.1:43015:43275:1 gene:KN540187.1_FG003 transcript:KN540187.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKGVERLPLGGLSGLVAMSMEMSEVSPSMMLVELRLEGGDDGDGDGGAEEFGWEELRAELGDDVVMAWHGCDGGKKDKEGILL >KN540187.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540187.1:18188:20514:1 gene:KN540187.1_FG004 transcript:KN540187.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDKHDVAMNALVVTALVDMYCKCGDIRKAREVFDASRSRGQAKLSSWNSMMLGHAVHGQWREAVALFSELRPHGLRPDNVTFIAILMASSAGLHVGHKHVGLCVQLAQARAMMEIGEAR >KN540187.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540187.1:8866:9210:-1 gene:KN540187.1_FG005 transcript:KN540187.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWPSAEVRLGDGRGEAGHRGEALAKARPVVRKRRWPGALVSRRRATPGFTRTKATRLYMTTNTRSCLHPSYPCGYLGNAILRVSAGHSTQKRGVGCLPARARPGAGRGEAGC >KN540187.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540187.1:50409:54342:1 gene:KN540187.1_FG006 transcript:KN540187.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFGKFCSKSNTSRAVWRSSEFRVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQFNFIESFQQVQLYEPEAGLDTLGGKHGDYVGSTSGSSEGKSRDHVDSSSRPTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSLQGNDRKPVAFMYADDFMTPDTDTEAHM >AMDW01039624.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039624.1:60:846:1 gene:AMDW01039624.1_FG001 transcript:AMDW01039624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPSRVVMYMPE >AMDW01036232.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036232.1:104:579:1 gene:AMDW01036232.1_FG001 transcript:AMDW01036232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAVIAAEFAAVAPLMMASILLDSAQG >AMDW01040368.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040368.1:321:1190:1 gene:AMDW01040368.1_FG001 transcript:AMDW01040368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVPDPLPMMSSTTGAIISLLHKLMALEAQHPTLGGLRENLESLLNGDMLTLATRRRRDALIGEWMLQVRGLIYDMEDWVDGCLTRPPSMPVADFWCSDIAVQVEEFLADIEDAQDRCRRYHLLSRDPTPDAVPDADADADPDADADAEPSKENTIDGGAKLLYGEAPCLVAIDEPKRVIVEHMMDEKQDHRKVVSILGTRGIGKTALATEVYRELLLHGKFDCGAFVYLGRNPSAKTIIMSVLDQVEPNWSYVVDSQGESSNFCVTRSWEEQEVIAKLWAVLQRRR >AMDW01028145.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028145.1:59:270:1 gene:AMDW01028145.1_FG001 transcript:AMDW01028145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPGDRSMEELYKLQNTHLKSQRIPISWATQDKDQQDYESDQ >KN544510.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544510.1:587:1396:1 gene:KN544510.1_FG001 transcript:KN544510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALLLLPLASLLHAAAAIGHNETSTSGNTSCTPARCGNLTISYPFSLSGVQPVSCGYPVLDLTCDNRTGRAFLSRTFRDHLFRVDSIFYENNSLVAAVETTFAGDADCPVPDFNVTSSLSPYPFIISNTNNYLAFIYNCSIPEHVEQLQRPCGSRTIGAYISDKWNSTPPSGVRGNCNSVSVPVRGYYDRMEPVSRQYERLISDGFVLEWMSSVMGDQDCDGCKRRGGECRFAQLSFQCICPDGLLCSNSTSHQGKKTVCYLCISIH >KN540642.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540642.1:22954:25549:-1 gene:KN540642.1_FG001 transcript:KN540642.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METHLAKGGAPHAGGFHLQWRYATMVVYNDECEIAPEHEREEAPRTAKKLSWWALSFSLSNVWCKFYRKSQAWNSTASFSDVDEKNRKGGDAEDDFTHSRPDHVFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTGGMFDQRTVGAENLPMPAQWHRISVHNEQLGAFAVQNSAVYVEGDIETRVYNDRINDQVKNIPEICVRRDGKIQLMQSGDSNVGKSLEELTDDDTVLI >KN543233.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543233.1:2486:6104:-1 gene:KN543233.1_FG001 transcript:KN543233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPHKVDWVITSFSLYIVYMGEKKHDDPFVVTASHHDTLTSVLGSKDGAMKSMVYSYKHGFSGFAAMLTESQADELAKLPEVISVKPNTYHQAHTTRSWDFLGMNYYEQSGLVKKANYGEDVIVGVIDSGIWPESRSFDDNGYGPVPARWKGKCQTGVAFNATDCNRKIIGVRWYSSDTPDEILKNEYLSARDAYGHGTHTASTIVGGQVWNVSHKQGGLAAGTARGGAPRARVAVYKACWGPKINCGTAAILAAIDDAINDGVDVLSLSIGPGEFWGTIHAVTRGIPVVFSAGNDGPTPQTVGNTLPWVITVAASTIDRTFPTMISLGNKEKLVGQSLYYKATAKSGKFEMLVGGGSSCDTETLALINVTGKIVLCSAPFQAKLDPPRLMLSRIIGNVANAGAAGLIFAQYTVNFLEDLDACNGSMPCVLVDYEIAHRIHSYVATTRTPVVEVSPAMTVVGSGVLSPRVAAFSSRGPSTLFPGILKPDIAAPGVSILAAVGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGIPIQAEGVPRKVADPFDFGGGHIEPDRAVDPGLVYDIDPREYAKFYNCSINPKDECESYMRQLYQLNLPSIVVPDLKDFVTVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYKFGSLTWQDGSTHSVRIPIAVRTIIQDFVADTS >KN540642.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540642.1:45603:46153:1 gene:KN540642.1_FG002 transcript:KN540642.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSTLAAGASWHLAANKCTPTATVELAVKPNRNPKCQGCCWRNHTYPNARLLATDVGLRDQGGDDVAPLRPPSATRDRLPAAMRGPNAIAVSPDVWDALGLGTTCTKDRKYIVSLG >KN543233.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543233.1:8856:9302:1 gene:KN543233.1_FG002 transcript:KN543233.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEFSPSPTPTVASAPLAMVPCPAWCNHWNSSLVDSRGELFVVHFRNSLLCQRTVLLVQVHRLDSTRRAWVKADGLGSNRVFLVTFQFGVSMAADEAGLEENCIYFTKSDDKGLYVYDVGQGTTALYDPGEDIPDSMEPILLMPVS >AMDW01026821.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026821.1:68:361:-1 gene:AMDW01026821.1_FG001 transcript:AMDW01026821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IQRLFFYEGDDLPPFQSIVGALQSSLAAALPVFLPLAGKLAYLPDSGDVVIDYSPDAVSPGVRFVEAEYSGSVDDMRRLAGDDEHQIEAFSQLVPELE >AMDW01140561.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01140561.1:682:1056:-1 gene:AMDW01140561.1_FG001 transcript:AMDW01140561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CQAALHSRGEFVPTQRTGAKLKQRIGMPAAVAGAERWDALLGFSSTSSSSLLTPVCLDCEHQPVDQLLDDPDGTDVAHSRTYNLGNKGAFYSDSMGNSRIGNGRPRQSGVRLSLRVDICLQSCS >KN539280.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539280.1:36483:42942:-1 gene:KN539280.1_FG001 transcript:KN539280.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRQRLVISLSALCLAVAVMSGALLPPRASAAGGVGRKRRPTTFDGNKVAANHPSYLQNGILGCGRLPPQFDEELLASCCKIGMSMWISRLKTILEEYWPSLYCGSFSTCFGGKRPFWVHEVDIETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIYPRGGRKYLVGHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCLVEGENSVRRNHCPRYVTLPSYKPHDGLWPDYDDGTWPACCRHTSFDMDKILPMKPTLEKYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSSPVVKDELEYFTTALDLYFKYNVTEMLASGGIHVSNGKQYALTDVIDAIKCAFGASPQIVCKKGSVEELRLCFDKDLKPLDCLTTTATNENVSKKKYCPRYITLPTYDPIVHANSTREIITVESEVYGYLYTS >KN539280.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539280.1:93030:96236:1 gene:KN539280.1_FG002 transcript:KN539280.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNVYGNGSTYRGREERYLMRFYPIADAQRFSIVFHVDGTPIRKVPRSTAMGADYPLEAHDVLRHHLDGSTWATDNGKYKVNYKARAFAAVFSDLVLRGCTARSNIRLASTDDQDRKHIMKKAFVRHGSSFFKKRTSEAIAEIGKEEGLKGYWKGNLPQVICIVPYSVVQLFSYEVYKMGQALVLKGQ >KN539280.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539280.1:23200:25790:-1 gene:KN539280.1_FG003 transcript:KN539280.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGSGSGHAATPVRYVGLQLPRGVRDGGWNVLVPESMASEWTVVHVRGVVRVASRGGGAPEVSVDMAALQAALNGPRRGDDPDHLHLRSGHRGVGGAGVVRVASRGGGAAPEVSVDMAALQAALNGPRRGDDPDHLHLRSGHRGVGGGVAERGGGGGAGGPCYVPVVFVLNTNKEAEKKEHQARITLLMMSTFAVYLLYAMQHISKGTFLTVELLQFLGHIVMWAVASRVARSKNRSEPRLVPRSFITVTKKNA >KN538858.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538858.1:202623:203356:1 gene:KN538858.1_FG023 transcript:KN538858.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQAALLLLLLLRFLLNLAGHRGEVLSVSAAQQQDPPGNDLVFLKLRSGDGGDGDGTVLAMHRHDISFAGFTNGSHHWHVLRWRRTGEWDGPFTEVLRRRAGIRSAGEALAIAKLLANRSFVQLLQDHSHSA >KN539280.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539280.1:43847:49621:1 gene:KN539280.1_FG004 transcript:KN539280.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPAFTGNLKASCLLSVWNYINEIFELQPTKFEVLVLTVLLFELSRKHLQVLGRLASQIAVVLQGKDKPTYAPHVENGDMYIGHSKEQRLKDQMEKDPTEVIRKAVMRMLRRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQDGLASDPIEAGEDNLASLWEEDAGDADDVFAADASPDPADAVVDEVLAARVRAVVESTPEDQIPSALADMVVDFNEPLLAAILVSAAESCSGKKLLLLFKSAGKNNPAAKSLANLEIVVDKIADSDEIDKMDVYMLWDLVKEMGAVPGSVNTQVLNKLLAMFWKLEKSKAALEAFDMFSELGCAPDGDSYYLAIQAAGRKSMVDAAWRVCEGMVGSGCFPDGEKVGDIVTLFCKKKKVKEAHSVYIAAKEKKVQAPTSALTFLVSALARNDETINTALELLEEYQGESLKDAGQSYAAVIHGLCKTNNVEDAKKVLTRMVNLGPAPGSAVFNFVITALSKNGEMEDAKGLMRVMENQGICPDIYTYSVIMSGYAKGGMMDEAHALLRDAKKIHPRLSRVTYHTLIRGYCKMEEFEKALECLKEMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEDGGLCLKGTTRSLIAAVKELEMDELSKASQEA >KN539280.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539280.1:62214:64321:-1 gene:KN539280.1_FG005 transcript:KN539280.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDQLKSSSFQVNEEMIETLFICNPANSAPPKEPATRRPVLPMPKTDNKVLDPKKSQNIAILLRALNVSKEQVCDALCEGNTENFGAELLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVNYLKKSFETLETACDELRNSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRTEGSRLSVSNQSTPRTQANPLRDELECKKLGLQVVAGLGNELSNVKKAAAMDSDVLSSYVSKLAGGIEKITEVLRLNEEVKSREDAWRFHDSMQKFLKRADDDIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSATSASSP >KN539280.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539280.1:4421:13891:-1 gene:KN539280.1_FG006 transcript:KN539280.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERWEKEWLGFIAAAAHEPFYPSSRETLVARAHRADGCGLRAEGRRAITDRPLVLHGAAEAPLMDGVVKGGGVVRDNPAPGQLRRRLSAYQSLGAWRKSVDGAVGGLTASVDATKKQAAGGVTDASGALQDKVAGAGAVAVDVLRKAIVAAEDSLGSAATSIGYYYGSTKSSLPPNVKDLLNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLLGGSTTIGHLHAFANVEQFVPAKPFSTNIFSTVALQLLDVFPLEHENISLHVDVPEPQVFDSSLDLRVKDGIPDLRRAARSKYATAASPEIQGSVKRLLKGGRDVDDALLAVIIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETALTVINEDAEEILEGIKPTPTLVLGSLLGLSAAAYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLEQDLKLLLSPLRVGAEAFSWAAKKLEPSKIGLATSPSTTAVQDRVLQAAAKHESQPSDAEADGHMQKNNAAKEEREKKKKAYTNGCLYSLYSPYAEMQSRKWHNAHAAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDDDAAVILKMVGGLGTAIFVLEWAALALAFSLRLDDDDGAGDYDNKNWAAASYHV >KN538858.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538858.1:179206:180529:-1 gene:KN538858.1_FG024 transcript:KN538858.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAADFEREPWPRISRAAKSLVRQMLDVDPRRRPTAQQVLDHPWLHHAARAPNVPLGDVVRARLKQFSLMNRLKKKAMRVIAEHLSVEEVEVIKDMFALMDTDNNGRVMLQELKDGLTKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGSGYIDRAELADALADDSGHADDAVLDHILREDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLIKDGSLTMAR >KN540590.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540590.1:18985:19905:-1 gene:KN540590.1_FG001 transcript:KN540590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYEHLFKKHDEGRFTAFLKDHKDSREAAKHKAKKAAPQPPLLQDIITSLGLASHASNIKRREDAAQQWRTLVDHLRGKGSLCNCMAVCDVNKGGLVKSEGQKLLKICVGLGFLISELSSPPWTNSVHAFASNYFPLVLPVGSYREKLNFIRQMPCEERFNLKKVFEGIITRAVTSGVTPDNMVKTIFIFTDKFFEKASVRPVELIEHEDFNPLSSRPWHEEYRRVCEEFKRVGFQDVVPQIVLWNLKGPRSAGLTATKDGVMTLSGYSDELMRLFLENNGVVEPEDEMLDAIAGDEYQKLQVID >KN539280.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539280.1:96970:98426:-1 gene:KN539280.1_FG007 transcript:KN539280.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFPVPVTSWSSAALLGRAISSARDAAEASSPITAAEMVRVAKEVANAADACGVSGKKLLEAAEALSRSVGSIRCGTESDLRDLRVLGSRLGMGDKNEKMTGDDIEASNQRSKDFSKMSNSAADSDPLKQSEIKESSLFGKCKELNYGLLGGPALLPYLGSGLRKTVSPCSPSVFHYIFSSWWICIGVGVICSSSGRAMCREIWGLILSVYLEVASRLAMLGITLMVILYSYLELAPTEAMGLYILWGIATFLHLALWVMDHMNKET >KN538858.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538858.1:136284:163890:-1 gene:KN538858.1_FG025 transcript:KN538858.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADSITIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEMYVMTTQVYPHYFCSIFYGINIVHAWLWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFMKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRSGDGEEERPIEALMILKYGGVLTHAGRKQKKNISIESPTNSRGGGGMAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >KN539280.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539280.1:52265:56779:1 gene:KN539280.1_FG008 transcript:KN539280.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELELRGSCFARVVFGILGARREGGKGDNIWDVFTENKERILDGSSGEVAVDHYHRYKEDIELMASLGFRAYRFSISWPRIFPDGLGKNVNEQGVAFYNDLINFMIEKGIEPYATLYHWDLPHNLQQTVGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPLQTAVNGYGIGHFAPGGCEGETARCYLAAHYQILAHAAAVDVYRRKFKAASEWLFIVPWGLRKLLNYAAKRYGNPVIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASARWFSRFLKGDDAENKADMN >KN539280.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539280.1:27314:29881:-1 gene:KN539280.1_FG009 transcript:KN539280.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGIPPTALREISILRLLSQSLYVVRLLSVEQATKNGKPVLYLVFEFLDTDLKKFVDAYRKGPNPRPLPTNVIKPHTALQLLMTWFMLILAQLFSDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERQVPSLEPEGVDLLSKMLQYNPANRISAKAAMEHPYFDSLDKSQF >KN539280.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539280.1:124:3862:1 gene:KN539280.1_FG010 transcript:KN539280.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding TLSVLEAPGAEAQVNDMRKASLKLSKALNLEGIKSLVERATQKSNIERGAKNIGSTAKEPMQEMVKSNNDTGIIGNVDDSQLQKNTSTNEKDTQKAQKQVEKELKQKEKEEARMRKQQKKQQEEALREQKRREKEEAEMKKQQRKQEEEAQKEQKRREKEETETRKQQKKQQEEAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLEKSGGKDSGVQTTDPCTTNKEVVPLVTSIIDSSFSQKENWALDDLRRLQISGWQKLSSYNRSSRWGIRNKPKKEAFKELKLQKTSDNMLEEILSPNEDTCHNLSQENEPDKPANDVDMLPAVELQFHGTNHANPLPTRSIKRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDNDEVMEEDSKITDEESEDSFFVPDGYLSDNEGIQIESLLDDKDEASSSPPDQCAEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLTHEKAELLTAGDLKGTSKIEQLCLQVLSMRICPGGATIDLLVIDSSSANAEETNQLNVKSSPAAASAIPDTDLAEIVKVIGSCCDGINKLVESLHQKFPNVSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLSSSPASSKKPKSIATYFSKRCLPPEEAILASPELRLKSKTTQNVNGGTDIPQINLLPSSQ >KN539280.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539280.1:74752:80822:-1 gene:KN539280.1_FG011 transcript:KN539280.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLPMIQGNPPYSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGNSSHIGLDLEEELMLNKDQSIQLEADDGIIIQGRSAIHPTDMEVDDNQNKDESAEGYNMEDGPSSHNKLNPLSADGLVGNSLPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTAENANDLVGAETTELALTKPVQIESSGPVQEIDSLSQQCATEELPPQAETSNLEATMDKSMINTDGVAASGEATAAKETTEVSLVENSLELCADGPTEPVIENQTQFNDGSVDVQGGGQHAPHAIVASADSQVNVEASTQEMASNDIPSDLPTLEFPEREKMLSAPNVELYQANDLGQVTAEKGTTESDGSNKVGSLTSRKRHLEDSLPALESATTEKLSSRPRGKRTIDGIPDDDDLLASILVGRRTPGLRLDSTPIPPKTSSLKRPRLTSKTTTPKRKVQMDDAMFLPFSSTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGSLEDDIFHEPIFSCMCKELNDLQYRTYEIVAHPTIHNMEIHVRLDMSQTMADGSNDVGTSGAKDSGNHQDHVVLPDGAESDAMHPEATDAADARTDFDSHMPSDKQVNNVEGVTEQLTDNEKETAVVEKATTNMGDSAQVDSLDKEYLQDVPADLQRSTNTDTPLFVLDDMPGPDVVLDSSDPVSAQAVDDMKGELSDIVHDNVNTFDNKDMPTSEITVLEFTQNASGFPQPTEDENVLSAMGENSGLQENHVGSVMDLDNMGHDFSLKECSDFGSAIQGVDTDFLMYDDEVDCDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLLSGKTRKEASRMFFETLVLTTKDFISVDQPNSFDFVSVKPGPKLLKSDF >KN540590.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540590.1:40879:44192:-1 gene:KN540590.1_FG002 transcript:KN540590.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAGRVSGGCIDASNMLKPALARGRVRCLGATTHDEYHRYMVRDAAFERRFQKVLVEEPTTQATIAILQGLKQRYEEHHGLKIQDAAIVAAAQLAGRYITGRQFPDKAIDLIDEACSTVRLKIDSQKGVNTTGMQNNNGNTSVNGVNEAIVGPDHVAQVVSRWTGIPVTTLDQEEKEKLIHLADRLHERVVGQDEAVKLVAQAVLRSRAGLEQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMSEFVGSGSVLRLIGAPPSYHGHQDGGQLTEKVRTRPYSVILFDEVEKADPSVFNVFLQLLDDGMLTDGKGRTVDFKNTIIIMTSNLGAEHLTEGVTGERTMEAARDLVMKQVQKYFRPELLNRLSEIVIFEPLSHDNLKEVVKIQMKSVVTSVAHKGVSLLASDDALDVILSESYNPMYGARPVRRWVQKNVMTKLSEMLITGDAGQGSTISIDATDDKKGLNFQVLKEEVVVPRGKRPVEELQSDSDSDDDVFEIAPIPKRKKGDY >KN538858.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538858.1:186369:191770:-1 gene:KN538858.1_FG028 transcript:KN538858.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAQERDCCITYDYVTEDAADLLHQSDLDKVSALAALAASRPAAAVGVSHRDALQSCAQHARLWLRRADEPIHPESSITYAAVRAKLDKIMASDYYTAQPEMPEMGATVDLSAAVQESMVVSPEAPAVEESQAEGHKLVPVWENYARKVFARRVVDKVCDVCNIFGILKLASFGLREEASEATEIYNDNQPNVADAQNVEDEAPVNPSEEFSAAEVEQEKFEADVEEQERNADQQFTSRRPYQNQRGGGGRGGGRRGYQNGGRGGRAGRGMGGGGYQNGRGGGGGGGYQNGRGGGGARGYVLVGLNQTADLEFLVL >KN539280.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539280.1:109519:115187:-1 gene:KN539280.1_FG012 transcript:KN539280.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEERCYKDLRHDNFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYAALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTVQIAASIHKSLYNQKASFLAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAIMDEVRIKSRTHEQLQNNVKPETVVGSENGYTHTEPNSRKSPGLGIPLKDELTHFLPLKQNLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQETKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVVSSPQSSNSGIVYGSEEDESDARNFLSCVNKNDTQLKEIVISHFKEKFENLSEKFNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSTYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSRGSTAENGLETNEACSS >KN540590.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540590.1:33584:36493:1 gene:KN540590.1_FG003 transcript:KN540590.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIETVPLNHDYKIQAILSSQQKRKAPEESDVAESSDCMITSPGFTVSPMLTPVSGKAVKTSKSKTKNNKAGPQTPTSNVGSPLNPPTPVGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNGLSALQAEVENLSLKEQALDERISDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEDLGGGATPSGHANVPKHQPTEVFNTTNAGVGQCSNSVAVDNNIQHSQTIPQDPSASHDFGGMTRIIPSDIDTDADYWLISEGDVSITDMWKTAPDVQWDEGLDTDVFLSEDVRTPSSHNQQPSAVGGPQMQVSDMHKP >AMDW01040424.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040424.1:68:1445:-1 gene:AMDW01040424.1_FG001 transcript:AMDW01040424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNECNVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSDQMPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDIEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSP >KN539280.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539280.1:32910:35164:1 gene:KN539280.1_FG013 transcript:KN539280.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFFQGTTDGGSVDTNAVKARRSLLGKVEPIIRSVIKSGGGYEARMWLCSTVSSIHLLDPYGQRDLFLNLLGMKNSKRDVAARLLRMIFDKKPKKAGSIIAKKCQMLEDFFQGNPKRIMQWFGHFAVTGELTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSEELADSVKDGEILQIDTEYFVDQFVYLMYEENSRDAWQLVDEFLIDEQFSSLSQHLLIHLDEQRLLNFLRALGKLINPNSQCKEMVFPCCWLEVLLSAHSDCISLDELVLLNCVISKGRQLWRLMNDEEQEEEQGQMQELLKSTNQLTDADHFALMKGFVDTKLPDALKWIGIQSWLVFCELSKECKSADSCEHLFTSNRIEFRKADDYPLVQNDGNSIAPDSDDEDLIRGSHKRRKRDQKRKRRRHNSDEDDLDQLLELGTSNRRGIESHHGCWYLSTDRFSASWDIVCYLLFY >KN538858.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538858.1:172493:172747:-1 gene:KN538858.1_FG030 transcript:KN538858.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIVPQVEEKSSLLLVVVDGVGGEQQGGGGHEEAPVEEAGAAMETGSRGGDGGDGGAGGGRAGAAMWGGARLGRRAPFFFR >KN540590.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540590.1:20155:21009:-1 gene:KN540590.1_FG004 transcript:KN540590.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGDHLILGPPVAGLPVGNAPSSAEAGSTSTAGHVAGAEVVEHLQSGAFGNPCLNFFALVDRDTPPQHLRDLLAAAWEHDALTALKLVFNLRGVRDNGKGDREGFYTAALWMHQYHPRTLAGNLSAFAEFGYIKDFPELLYRIIHGADARKVAKAKSKAWGGDLARLSGRKRARDDDDDPTPAASTDAVQVQPQPQPDHLLADAVNLETEDVVGEAPVKGSPSKKVLKAARLAKLAMKIYHEDDNYRLLFNSITSFFVDNLRSDLEHHKSGKLSKIGLTAK >KN541690.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541690.1:14661:15038:1 gene:KN541690.1_FG001 transcript:KN541690.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGYLGGLVDLGEVGIRFHEAPARDHQRGGGRGVIRGVDLGLGGERVAVVFDLLPVDTVVGTVAHDRAVDGSQLHHDCHCLLHLEPNTKRFSRPGPHGSANRLREGGREGSTAYHVTVSQSP >KN541690.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541690.1:24003:24236:-1 gene:KN541690.1_FG002 transcript:KN541690.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding KRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQQA >KN541690.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541690.1:16183:18707:-1 gene:KN541690.1_FG003 transcript:KN541690.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVTGELLEQEAPSSSTSGVDWSQLPADLLVCIFGVLEVPDIFSSGVVCRAWHASFLEARRLGICSSYPGPCLVFSSSDRDPSVATLHSLTTGKDYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLFNPATQAQIAMPPPETIANVKIRCNGEGMPDGYDLFPMDMSSRDFDETDPLDLSWEEGRFYFYMRVVLSADPSSGNCTVMVLHLLRNLLSFARVGDTHWTFINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNRPSPILRVVLDAKNSLVDCAKYIVLSESGDLLQVWRYHHYLNNNKERRTSELVLYKVDLVEHKLVELKDFEGHALFIGFNSSFFLREEDFPMLTPNSVYCTDDTVTYICYSRFGFREVSSSVTGGLLEHEAPPSTPGLDWSQLPADLLIRIFGTLEIPDIFSSGVVCRSWHASYLEARRLEPDDLSWEEGRFYFYNRFVLTADPSSRNCTVMILHLLDNLLYYADDSMEYVYCVRFGFREVGAFHLDDSSFTDQPIGSRLNWPPPIWFRPSFTKDKNCTLT >AMDW01037821.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037821.1:208:629:1 gene:AMDW01037821.1_FG001 transcript:AMDW01037821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSAKDDFLVLATDG >AMDW01038757.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038757.1:47:673:1 gene:AMDW01038757.1_FG001 transcript:AMDW01038757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRTAGRTTLDWATRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLAQLMAIPHIPARLIGYRAPEVLETKRQTQKSDVYSYGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDADLLRHPNSEDEMVQMLQLAMACVAIVPDQRPRMEEVVRRIEEIRNSSSGTRSSPEDKPKEEAIQIT >KN538889.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538889.1:154964:161667:-1 gene:KN538889.1_FG041 transcript:KN538889.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDGQTEPLLEKLSSSSYSSEEIDKRTAYQVMSSRPTPRMLAHRTRETDQLEGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLSDCYICHDPDHGVVRNRSYVDAVRAIQKANCYHREGHDAACSVGGDGYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEDTLRSPPPESETMRTASRASIAVTTFFYLCCGCFGYAAFGDATPGNLLTGFGFYEPYWLIDFANLCVAPYRIHIPVTEVQQHLQALAKEDF >KN538889.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538889.1:195984:203638:1 gene:KN538889.1_FG042 transcript:KN538889.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFPGSLLLLPPCALPAADMRLPSADARRCPADTSACRCPPPCRRAQSPVAVGDVADKERRRAESHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFDVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGCSEKCDVNLKIDDAVTGTASSVYLSTEAKFLGVFWVEAVRLCLCFVLAFASDVIVQSFIPFSWFGQSGEPQPELSTAAARRQDEERKTALRIIRNELRLLWRDPPPYLRPGPEPVTDPFHWEVVIDGPAGTPYAGGTFPVDIQIPAAGYPFVRPKVTFKTLVYHPNIDEEGNMVLDAESWSCATKIRGLLIGFVSVLFDPLLDYPINYDIAEQYAYDYERYEAEARAWTREFSSAPVVSRYPPKAVVGRTPPAVPHFPATAARRRAEAEARRRAAAAAESSGSVIIDEPPPATAPPPQPKQSTTARRWWMKTDPRKAAQRRISRELEDLWLDPPAYCHPGPEPMTDLLHWEVIIDGPPGTPYAGGTFPVDVCYPYEYPFRPPKLTFKTKTRRGRWWWTCFTATGSPGSRSTGFVSILYDPLLDYPINEDIAEQYENEYELYEEEAREWTRRYSSTPIASHWLPKAMRTPPPVPHIPATAERRRKQVAASKASASSLNQLRRRKKKSVEDESLWKRILGRIVGFVQGWWSPNYRLLCW >KN538889.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538889.1:166029:167990:-1 gene:KN538889.1_FG044 transcript:KN538889.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGWIFSAVLMVFLVLAPRCEAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYTPEGSNEVYIINHLKFKVLVHRYEGGKVKVVGTGEGMEVISETETDAKSGYEIVGFEVVPCSVKRDPEAMSKLKMYEKVDPTNCPVEMEKSQLIREKAQVTFTYEVEFVNSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIFLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFVVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKNYSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGAVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >KN538889.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538889.1:185586:187396:1 gene:KN538889.1_FG045 transcript:KN538889.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRGLLLLVVSAAVLAGLASASPFISDSVFLGGVGSTGRSLLQAKKSTFPSISCSVPSFCNFNCSWWIRGWVKRDACCYIYTIVRWDFDNRVKYNIPMEITNHALLQLLFQDYELFYDGWCEVLRIYCTPKVQEYSLPSLHATRLSNCPVNFEFQNYTIITSKCKGPRFPAKQCCDAFKEFACPFNQYINDESNDCASTMFSYINLYGKYPPGLFANECREGKLGLSCEGVSQKDSVVSSAGQQAQSSLLAFIMLTFGLAALWFH >KN538889.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538889.1:170592:183515:1 gene:KN538889.1_FG047 transcript:KN538889.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNSDDVAFDITEDNAVMNSDEKFALLRSIGDECIYEDELRVLLKKKKPSPTCCVWFEPSTNMDIEQGIMKTIYVNRMVKAGCAVKIVMADWFLQRHYKIGNNLSKIRNIGYLNIEMWKAAGMDLDRVELVWLSDELNLHAVDYWPVAMDVSRRYTMKRIARIFWSNAEHGPQILPAAEIIYPCMQVASILCEKTNIWLFSMDQRDIIMLTRDYCENINWVNKPTILLHDALPNLLEDPEYVDLRDRGRTIFMHDEEHTLNSKIQRAFCPPKVVVHNPCLEYIKYIILPWFGNLEVVQNEWNGSTKTFVSMEELSVDYERGYLNSADVKMALEKAINILEPVRDYFSGNTKAQALIMACQLQNEITGDVLKIQMQNKEMRHHSGDSGRSHLLSYLLPVALQDPTSQRAPRVPDTAHSEKSDRLRVHLWRMSESNVDGIEISASNDERRDRGDPEISEDEPRQTRIRSLKKKALHASTRLTHSLKKRGKRKVGCRVPKITIEDVRDAEEEQAVSSFREVLFARDMLPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKDFGTDTILEDSMDMNNCFSHNFFTTTCILIASNSSLLKDFEFHELEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFERAFREKFPACSIAAKKHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPEVLGTKYQHRLLEAIDSRLVIVILYVIWISNLVHCMESSALKDIGQVSDIEEAITGSLPERISYTSNAESGSDVDDLGSPIGQEDFEYHSLAPVHEETRESGSTCSGSDDKVVETNTRYNPPGNGSAQYSARQNPSMNRVSPEPGHVPNDGEGNADHGILKYISKKVLGVILEVLSFLRIFIRHRQQLENVPQHTTTVHSNQADLQIIKEDRVNPCLERLERLETMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDLRLLFLGVLVRR >KN538889.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538889.1:192111:194439:1 gene:KN538889.1_FG050 transcript:KN538889.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHEDHIEEMEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSADARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKVSTAGIGPYEI >KN538889.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538889.1:148591:154622:1 gene:KN538889.1_FG051 transcript:KN538889.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTHHGARGALMSEFSICSSTISFHFRAGMRPWCHCLGDREVDTIDEDLSFGYACGNLGGILGNAHLLELYAGSVQFMDINQPAIEIPWPFVFKPVILPDLGQSTCWPERLNKEFICCCKARKLKDLMLKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMLEIGGNSYANSIYESFLPKDHPKPKMDSTMEYRTKFIRAKYETQDFLKPSLRITSKGSFDATNAVKSVTSTISSASGKHVADDTREFVGELNVTVVKGTQLAVRDMLTSDPYVVLTLGEQKAQTTVKPSDLNPVWNEVLKISIPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAVMAFGDPSRVGDMQIGRWFMTKDNALVKDSTVNVVSGKVKQEVHLKLQNVESGEMELELEWVPIP >AMDW01014313.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014313.1:19:252:-1 gene:AMDW01014313.1_FG001 transcript:AMDW01014313.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPHDEHLRSEASAFHEPQDIGRGDEKSELIRLIGSGDPARRVVVSVWGMGGMGKSSVARSVYNDADFIEQFDCRAWVT >AMDW01012205.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012205.1:2:232:1 gene:AMDW01012205.1_FG001 transcript:AMDW01012205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVAPEVVAGREYGEKVDVWSAGVVLYMMLSGTLPFYGATAAEVFQCVLRGNLRFPPRAFASVSPEAKDLMRRMLCKD >KN539312.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539312.1:65090:72410:-1 gene:KN539312.1_FG001 transcript:KN539312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEALDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSNGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSSCDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAKSQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIIHKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDVKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSAYFYSKIEEKRGVTVTDNGNTEVKVSPSLANGIHSEPLSRGVGQTEQIQAAKGAAWDEDWGPTKKASAPSQSSDSNARKKQPSDPFDFSTQTKQPSALPFDFSTQQPKPSSAIPQVIPATISPAPSLPSLQSLAPSSGPQTSGSCVPVDIEWPPRKNTSSDFNAPLSVSEQSKSGGLSSDGLADIDPFADWPPKASSGASISAVGHLSSTSQSISGLSTGNIGFSGNSGSLGQMKTNQMSWSAKPNTTNLMAVNSTGNYLNQGNSALGFGNPIGGRSTGSLSSSTTQGPPKLAPPPSASVGRGRGRNQGQSALSRASRTPHSNVPSEQPPILDLL >KN539312.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539312.1:103542:103745:1 gene:KN539312.1_FG002 transcript:KN539312.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETPKTEWPELVCRTIKEAKEKIKADRPDLKIVVVPVGTIVTQEFDEKRVRIWVDKVAETPTIG >KN539312.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539312.1:16624:19399:-1 gene:KN539312.1_FG003 transcript:KN539312.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRAAGGARAVLRRLSAAAEAAAKQDGRVFAASYSGGSGGVNAPFGLGQYANLLRAQAFASRGVPLNFHQLISNAGISTTRNLLAADDAMVPVSSPLTPPLGDGEQTDKKGTVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGAAA >KN539312.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539312.1:84081:84826:1 gene:KN539312.1_FG004 transcript:KN539312.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLLAGAFLGTVFLGAGDLQSRLGFFAFTLTYVLSSTTEGLPVFLQERRILERETSRGAHRVASYVASNAAVFLPLLLASALLALAPNYIVANSVIAGLIGCFLLLSGYFVASKNIPRYWVFMPSASLFKYPFEAFLVNEYGGARGGAECLAEVGGGLCVLDGATLLRQQGMRASMRWSNVAVMLGFVVGYRLLCFVFLWFRCHRMRR >KN539312.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539312.1:95925:96446:1 gene:KN539312.1_FG005 transcript:KN539312.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKSRTLAIDFAPNADVRLGFAASPRHLLNNVLPSVDGYYGNCVYPGGLAKTSQEVKDASLVEIVTAIREAKDVLSTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSKVFGEELQKLA >KN539312.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539312.1:11597:11713:-1 gene:KN539312.1_FG006 transcript:KN539312.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDARIEEAWALEAAMKQPDSGKLAELVQKLLEEWED >KN539312.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539312.1:107823:113216:1 gene:KN539312.1_FG007 transcript:KN539312.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRGGGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALLLKAEAKKILHDIKSGKVEENPALLLRFLVISFADLKNWKVYYNVAFPSLIFDSKITLLSLKLASQVLKQEEATSLSNAFTEWRKSSETTVVPFFLINISPDSSATIRQLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRWKIEKVHFFCYREKRGRPDIQQSLVGEASFPAPHGWDEPDYVPEAIGWEGETAGKESKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFPVNLDKLSGVRCLLLGAGTLGCEVARLLMTWGVRKLTVVDDGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSVVEVEGIQMGIPKLEYNISASKISSITDDCKRLQTLVDSNDVVFLLNETWEGMWLPTLLCADKNKIAITVLLGYDNYLVMRHGAGPGTKSGGMDEVIAQIENLSTEDALGRQRLGCCFCSDTASLVNSDHNGARDQQSAVILPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFDFVTQAITCPTYLKDLTGISDLKKPFASKISASIPVNLEKLSSARCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRDSPKASAILRRLKERCPSVDAKGIKMEIPMPGHPVSPNEAASVLEDCKLLQELVSSHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTNCGSPDVVAADTLSAEDVLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGCAADLFTRMLHHPDGIHAPGEIAGTSSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSQVEWIDEADDDDEMDI >KN539312.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539312.1:93985:94803:1 gene:KN539312.1_FG008 transcript:KN539312.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLPEPSLKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVIEISLDSIKRVKDQVASETNQKCSTFDVVTAMMFKSRTLAIDFAPNADVRLGFAASTLDGYYGNCVYPGGLAKTSQEVKDASLVEIVTAIREAKDVLSTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSKVFGEELQKLA >KN539312.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539312.1:21267:28311:1 gene:KN539312.1_FG009 transcript:KN539312.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 37 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/Swiss-Prot;Acc:Q941Q3] MVLTEHTAREESQLRNHARRQRAGPGINTRAWASMSDREVSQTGENRTWDMGYARENKAWDGLLAVKAEGAREAKRCALGQPIMGSHSTVEQIEALYSSNTMTKRPRLESSLPIYPQRPGEKDCAFYMMTRTCKFGGSCKFDHPQWVPEGGIPNWKEAANVEESYPEQQGEPDCPFFMKTGKCKFGSKCKFNHPKEKVNALASGKTNDFYAKTGKCKFRAMCKFNHPKDIEIPSSQNEPESAVTVEGETDIGSAADSVSAKMQTPVAAAQEFNSKGLPMRPGEVDCPFYMKMGSCKFGSTCRFNHPDRLVLNFPLPLGQTILPTPESMLLNPSANFMQGFDFHAAHIPVGPGPVTYPQRPGATVCDFYMKTGFCKFADRCKFHHPIDRSAPDPSANWEPAEESVQLTLAGLPRREDAVVCAFYMKTGVCKFGMQCKFDHPPPQEAIAKVSNSGS >KN539312.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539312.1:3794:9487:1 gene:KN539312.1_FG010 transcript:KN539312.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLESFSRGPKLDEWVARATLFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCHRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGANGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQLAVVEFARSVMNLPEANSTEFDPNAKTPCVIFMPEGSKTHMGATMRLGSRRTFFEVADCKSAKLYGNVSYVDERHRHRYEVNPDMVPEFENAGLQFVGKDETGRRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLIAASSGQLDRLLQGSCNGHVVSTKHSLSNGAYTSTVHQNGHAKKLANGLSNGTYYPNGNGVHA >KN539312.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539312.1:101801:102019:-1 gene:KN539312.1_FG011 transcript:KN539312.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSKPCGGLKTEWPELVGLTIEQAKAKIMADRPDLEVEVLPVGTIILPVVVPNRVILWVDTVAEIPKIG >KN539312.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539312.1:114329:120256:-1 gene:KN539312.1_FG012 transcript:KN539312.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRVIAPEDTSQEDSRLLQPRARVTFFKPWVSTRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNAFMISRPDIDLPKDSDQLVWWAKEPAVEPGFPLVSYFNGPIGQNGLAQKESGAKIKSAFFEQDLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAVSPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >KN539312.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539312.1:36025:39237:-1 gene:KN539312.1_FG013 transcript:KN539312.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRIRATQSSKFCPSFVLTRKTSDVTNWNNRLGMISKARRHFTQAGHLHQSDPSEWEKLQEVEMHQGRSIDARKVGDWKSALREADAAIAAGADSSRLLLALRSEALLRLHKLEEADSTLASLLKLDSVLLYRMGANPSGMLAESYVSIVRAQVDMALGRFDAAVEAAENARFIDPGNAEVGMILNNVKLVAKARAQGNELYKAAKFSDASIAYSEGLKYEPSNPVLYCNRAACWGKLERWEKAVDDCNEALRIQPNYTKALLRRASSYAKLERWADCVRDYEVLRKELPADTEVAEALFHAQVALKTTRGEDVSNMKFGGEVEMVISVEQLRAAIGSPGVSVVYFMSTMNQQCTQITPSVNSLCSECPSLNFLKVNVEDSPMVAKAENVRIVPTFKIYKDGVRVKEMICPSLHVLRYSVRHYAVSSS >KN540608.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540608.1:968:5127:-1 gene:KN540608.1_FG001 transcript:KN540608.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKKKQTRIINTVYVSDLYMKSMDKILERYERYSYAEKVLISAESDTQTVNCGGHCTSTSKFSLMSVEKKRNSRYSKGHNHLHNQFFLAIFQISANGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKNPCSFLQLVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >KN541987.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541987.1:589:885:1 gene:KN541987.1_FG001 transcript:KN541987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FWINLLLLDESHEDHSIRRQLGRCEYSNLKNMHITGYKGSREQFEFLIHAVENAPALEVLTLEGAGIEYQQVAFLMNNAWIDRVILSAKKSCSDCSTV >KN540608.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540608.1:40649:42028:-1 gene:KN540608.1_FG002 transcript:KN540608.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGPAGSKRVGLQILHQSNSKRVEGRRFYEQVLRSREVWPIDGGVSEAICAPRRRGLDQSELAITANDGVIRSIIEEELTEESGCLKMDLHGIVHASCVTGYVEIADKNLIILTVSFSFARDNYYLIYNATLRSLSMMPHVDAHPYCQAYYPCDPLPVRYGDECTLVLLARNLEYQKEEQGCNYYHRDVLCLWPPPPSSEIPPLLLRDTPGPSIEPWHLKEPVFPEGKTPSEFRHHVKFTSRSHAFWADLKKGVLCCRCSDLFDSYHVNFSFIELPHGCECDALDMPDTAPAEIYRTMGCTSGSSIKFVSISFEDSMPVDEKTMTVWTLDTASWGWTKDVELSLGSLWELEDFKKNGLPETQPVYPLLRKEEDEDNVLYFTLSSLLLGPISECGEPAVHHMCRFDMRSMRLESSPLSFPPDMIVPQRLFGSEFFRYLDRHVQVPGCGKGKRKLNEDY >AMDW01080564.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080564.1:104:319:1 gene:AMDW01080564.1_FG001 transcript:AMDW01080564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRAGRRKFPTSMVDDCAVVCLFLKPSPSSEESTHVDAKAPQ >KN540608.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540608.1:24865:30973:-1 gene:KN540608.1_FG003 transcript:KN540608.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDAAAPTSDEMQKINHQNNYQEYVNLKAHVEILQQSQRNLLGEDLAPLATNELEQLESQVVRTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEIDVEAAPPQPPWNGNCSNGHGGVFSSEPPQPEHFFQALGLHAVDVNQPPAPPPGGYPPEWMA >KN540608.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540608.1:15512:17319:-1 gene:KN540608.1_FG004 transcript:KN540608.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSWYVLTLGESFPLNSFDFLSSEGTYKGYNITSEFCGHPYIY >KN541987.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541987.1:8141:9397:-1 gene:KN541987.1_FG002 transcript:KN541987.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEALECAGIHLFASHCDGLVAVAATAGKIFVCNPATKEFFLLPPGGRGGPSKETAALGFDPCTGRYVVARCFFRRDVYYLDEDTGVLQYREYDINDIVHQVFVLGPSGSGDWEATVTPPCTIYTDLPAACAGGAFYWVAHDKSDGTFAVECPNCLVRFAMNDGTFTIVPLPQGVTFMDVYFDSISELGGELCYTQRTSGTAYNIWTLQLPGDEEEEGHRVGIHRLDGGRESHPEKVVDMAAVTRGLVGQWIAGYGCDQQCGGSGDHDREQCDGGGAAHDRMQFGEPLGLGQGDHGDYDGDEYDDGYDYDGEYWYLQGGRYKPLRPALRSLFAYVPSLVKID >KN541987.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541987.1:2120:3276:-1 gene:KN541987.1_FG003 transcript:KN541987.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIANDLLVSEVLSRLPVKSLLRFSSADADADADAEHDEEEGGHGAGWSLRWRFDFGGGDPIDDLTPLYMAPDGTLTVYVDTARMICRLDERNNLLEKVGDMAAVYWHLVEQLGHGNYYDHSEKDYRLEIQNGRWKRVLDGDGPALLSIQSLFTYVESLIQIN >KN543484.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543484.1:112:759:1 gene:KN543484.1_FG001 transcript:KN543484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CRRLEGIEKAFQEVKAQGYKPDLVIFNSMLAMYAKNGLYSKATEMFDSIKQSRLSPDLITYNSLMDMYAKSNESWEAEKILKQLKSFQVKPDVVSYNTVINGFCKQGLIKEAQRILSEMIADGMAPCVVTYHTLVGGYASLEMFNEAREVVNYMIHHNLKPMELTYRRVVDSYCKVKRYDEAREFLSEISDTDRNFDQKLKHMLEARIKDAQFGR >AMDW01040791.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040791.1:80:2323:-1 gene:AMDW01040791.1_FG001 transcript:AMDW01040791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QIVWITVAILVVLFAIQRYGTDKVGYSFAPIILLWLLLIGATGLYNLIKHDISVLRAFNPKYIIDYFRRNKKEGWVSLGSILLCFTGSEALFANLGYFSIRSIQLSFSFGLLPSVLLTYIGQAAFLSKNPKNVANTFFAATPISLFWPTFIMAIAASIIGSQAMISCAFATVSHLQSLSCFPRVKILHTSKRFPGQLYIPGVNFLLCVAACVVTVSFKTTVIIGKAHEICVILVMIITTLLMTIVMLLVWKINILWVALFFITFTSTEAVYLSSVLYKFTHGPYVPVAMSVVLMVVMIVWHYVHVKRYKYELEHTVSTDKVKEMLESHDLKRVRGVALFYTELVQGIPPIFPHLIEKIPTIHSVLVFISIKHLPVPHVDTSERFLFRQVELKDYKVFRCVARYGYRDSLEEAKDFVVILLENLQHYIRDVNLYTDEPHTISAHSSCNHSFSREKPSGRYAVHAEDMLTPIESFSEITALSNYGSDRLPHFKASKMNMEELVKIEQEQMFIEKEMEKGVVYILGETEVVARPHSSLLKKIVVNYVYSFLRKNFVQGQKMLFIPHRQLLKVGISYEI >KN544789.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544789.1:648:2598:1 gene:KN544789.1_FG001 transcript:KN544789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRH >KN543484.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543484.1:3995:5599:-1 gene:KN543484.1_FG002 transcript:KN543484.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQLKDWKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >KN543051.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543051.1:712:984:1 gene:KN543051.1_FG001 transcript:KN543051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVAAMVVDRSTIGTQYKHGEEGENKEMASPALEESGGAMRRRPVACDGRSADDHGTLKKFWELDSFLSKGEGERKKDEHGYLACLNS >KN543051.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543051.1:4806:6113:-1 gene:KN543051.1_FG002 transcript:KN543051.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRTVNSKVCISNKRCQVGRHTSFLRKRRLALAPHQASKLWDRVSRQIFLKPLGHGHRAWILNIALSFLTLTRLVSENSTPQPNLHMQPWRRANQTIWMAIKLIARSLASISRSARSPRITMLLHRTTFHSVRSTGRISSSTDLNNTLRSLVDRSVLLGKDRINNFL >KN541116.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541116.1:7614:10248:1 gene:KN541116.1_FG001 transcript:KN541116.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTPLSVISRSHKIYSRERDDMEEKAANVEISRAKTKWELRGAKTAGASEEAALQFVPMKKQPTSSVAYSE >KN541116.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541116.1:17201:18609:-1 gene:KN541116.1_FG002 transcript:KN541116.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLALHAGEGLAVNDECKFKFQELKARRGFRSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIIRARAH >KN541116.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541116.1:14216:14634:1 gene:KN541116.1_FG003 transcript:KN541116.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGHPDATWSLWPEPVAGSSVVPSSSSRPDGASAAGLRFRGTARPANGSMSEVLSMVDRVREVLPHIPDELIIEVHFYFLSTLVLAITLRTGSDENK >KN542454.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542454.1:314:6258:-1 gene:KN542454.1_FG001 transcript:KN542454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKYASTIFALTLLLASRVSCFGASLSPLPHAARSSDGMGMRMPCHARHLLGQLPTLGDSEGFSGSADLRVICVAMVAPTIDAVLLSHADIMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYFISRRQVSDFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKESSSITTIPTQLMVADALVKVLTGGGSVLLPIDTAGRVLEILLILEQYWAQRHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKCVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDTANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEKKASLGSNAKASDPMVIDASTSRKPSNAGSKFGGNVDILIDGFVPPSSSVAPMFPFFENTSEWDDFGEVINPEDYLMKQEEMDNTLMPGAGDGMDSMLDEGSARLLLDSTPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEVGKADDKLTLLPPSSTPAAHKSVLVGDLKLADFKQFLANKGLQ >KN542454.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542454.1:6737:9778:1 gene:KN542454.1_FG002 transcript:KN542454.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASGSGEPTANGEKKPDEQHFDPSRMIGIIKRKALIKELAAAYHAECVACCKELLQLQRKWEEEQYVEFKMADEAPRTLTVKSSKRRKSWIAESGCWRMDCRLRSSQISHHMMPTLPNYDTARRPQPSLQKLHVGHPVLSLHDAHLVYLMAKIEDRAHKAWILPIDLRNGVIQQPSDFAGADRTSGLSETYVQTTISRYPRRKRRHRRRTGNNNNAIVPAVLD >AMDW01040755.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040755.1:1096:1605:1 gene:AMDW01040755.1_FG001 transcript:AMDW01040755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGNLIDPQGRLNNWNRGDPCMGNWSYVHCYNETASDGYLHVLELYEHK >KN539039.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539039.1:77029:86622:1 gene:KN539039.1_FG001 transcript:KN539039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHPTPPQSGSHSAENDPPDPLPQIEASLQEMCLTPSKEASIQLKYSPEVAQVEANHNMLMKVGHSGGQIRAIPPEPLKKALSKVWGNYFLDISMVDTNLFMAHFCTWEDLSWVWNKQPWSFGSEHFLFEWATADEKLKPLSAYTFKTIMVYVRFYGVPMPLRSEDSARKLVKEVGEPSAAAPIIEENLKKDPKFMSVKVKMDVAKPVQAIVWLNIENREPLKVFVHYERIHRICTFCGLMFHNSQACPIKQRIIIQQKANANVQLTDRYGKWITQLSYLPPEAMMDLERENKNSLVEKFRKHFANPSAWASPSQKIPPTLPTGQALNAPFLQPLLRLPREELSVTMAILQELPEENGPLMQHHQQEEAGGSGSGFGNQLVGMAQSDQELAFQAAVLTPTIQSSSTPRDINSLPQGARSEGGVAVVDSPLESTDQWRSGAVLSAAGDGPAKWPGVSVGDQPVAGARHLSFLASDSPVAGEGKVEGNSPDTKKQERHRRGLAKVKLKELILLKYDQCSISYASPFSDLVFSLIGQTAEGNMNFTSIEPFQHATLTQVNEYLERSDSFPDVNWVADDDETTEVQDEDNAVEHENQGSHITITSRANGEKNVKKTKSTERERV >KN539039.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539039.1:36425:37603:1 gene:KN539039.1_FG002 transcript:KN539039.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKRAARPRPVAVAPADDDDDDDTGTSSDSDGAAKPQSFGDPIGGRYQRLAVIGSGSFGRLYRAVDNRTGEIVAVKCLFRAFNDPYGIVLESDVTDEVCALEACRGHPHIVQLIDHGRRPRHHGPVVGTGADAYIVMELVGPSLLDTICQRGTDAGARRYPESEVRHLMRQLLSAVGRMHVLGLMHRDLKPSNVLVDGRGVLKLCDLGMAFAMEESIPPYSNPVGSLPYKAPELLLRSSIYDETIDMWALGCIMAQLLGGQLLFRGMSHEDMLIRIIQVLGVDDIAGWRGYDDSMIPKTLRSGRRRHSRVLRIFSFLRVAVGAGVPEPVKRARRRSRLHRFFSIPGKADGRAGLPELSEAGFEVLSGLLTCNPEKRMTAAQALQHRWFTV >KN539039.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539039.1:104993:105633:1 gene:KN539039.1_FG003 transcript:KN539039.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGDGGGGGGDCSKQEETERVMRSVDAEEACALLSSGRHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPRAKEKNPHFVQQVAALYHAHDHIIVGCRSGVRSKLATADLVAAGFKNVRNLEGGYLSLLRAANQQ >KN539039.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539039.1:139774:154428:1 gene:KN539039.1_FG004 transcript:KN539039.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRASFPLRLQQILSGSRAVSPSIKVESEPTNYWSVHLFKEIWHTANYVDWIINPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLVSAMDSSEDGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKEHLKNALQELDTVASSGEVAKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDSSRDVLEDIGRVHREVLWQISLFEEKKVEPETSSPLANDSQQDAAVGDVDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPPSSSDASASTKSEEDKKRSEHSSCCDMMRSLSYHINHLFIELGKAMLLTSRRENSPVNLSASIVSVASNIASIVLEHLNFEGHTISSERETTVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFSMNRLPSSPMETDSKSVKEDRETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSRVLKTVLPIWTHPQFPECNVELISSVTSIMRHVYSGVEVKNTAINTGARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTSAQEEDGKSNDLELEEETVQLPPIDEVLSSCLRLLQTKESLAFPVRDMLLTMSSQNDGQNRVKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKVALNLLCSWELEPRQGEISDVPNWVTSCFLSIDRMLQLDPKLPDVTELDVLKKDNSNTQTSVVIDDSKKKDSEASSSTGLLDLEDQKQLLKICCKCIQKQLPSATMHAILQLCATLTKLHAAAICFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKNKEKEKDKPADKDKTSGAATKMTSGDMALGSPVSSQGKQTDLNTKNVKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESSTASSTDMDIDSSAKGKGKAVAVTPEESKHAIQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNSRGPNRIGISSGGVFSHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSPAGCKPPILRMNAYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQYLSKTLQVIDLDHLDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKVVSDQSNLDPSSNRFQALDTTQPTEMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTPNESTMEIRFEIPRNREDDMADDDEDSDEDMSADDGEEVDEDEDEDEDEENNNLEEDDAHQMSHPDTDQEDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSSVLHLPQQRQQENLVEMAFSDRNHDNSSSRLDAIFRSLRSGRSGHRFNMWLDDSPQRTGSAAPAVPEGIEELLVSQLRRPTPEQPDEQSTPAGGAEENDQSNQQHLHQSETEAGGDAPTEQNENNDNAVTPAARSELDGSESADPAPPSNALQREVSGASEHATEMQYERGDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASDRLPLGDLQAASRSRRPPGSVVLGSSRDISLESVSEVPQNQNQESDQNADEGDQEPNRAADTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNEQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRGRRGLDRNAGDSSRQPTSKPIETEGSPLVDKDALKALIRLLRVVQGSSKKSIDATEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTCHAETSDQRRGKAVLMEGDSEQNAYALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEITQAKLEAASEKPSGPENATQDAQEGANAAGSSGSKSNAEDSSKLPPVDGESSLQKVLQSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELHLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLQEKKDPDHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYASNLSPASANAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAIQEASTSDMEDASTSSGGQKSSGSHANLDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVLSLQVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQITSFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >KN539039.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539039.1:30372:32758:-1 gene:KN539039.1_FG005 transcript:KN539039.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGYRRLLPCACAGAATEEPEEEEQQLPNVSPSSRPTCKARLFELYGMNVVGVESMTGRFELTGLTGVGVFGARGGEEPGGGRPFSENEVRRIMRRLLVGVNAIVEAGLLHRDIRPENVVVDDGTEDLKQKPTAAATTGKKKAQSKKRKMKYTICDLGMSVPAVDSPGYSPDGVLTSIYNAPEILLGSRQYDGRVDTWGLGCIMAELLDGPGEPLFDGETNLAIMGSVLQEPQALVRGFRDSSRLREKFPGAREARVARRPALSQAGFDVLSGLLEGNPEKRLTAIAALHMPWFEGSGGLRRVIGSCAGTVIPSGVAAAAQQAIEANMRHRPDANGEKINKSTTLKDVVGGATEVLPANKVTPREDADKVATAAAQNDQSRLEIQSRIVWMRFSFPRGKQSPMVGTKSVRE >KN539039.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539039.1:110102:114085:1 gene:KN539039.1_FG006 transcript:KN539039.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGIVGLGRTPWSLVTQTGVAAFSYCLAPHDAGKNNALFLGSTAKLASGGKTASMPFVNISGNDLSNYYKIQLEGLKAGDAMIPLPPSGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTKGGLLYVDEWNSLQHPVASAFLAAVYSDYMQSSRKTELSCSGQGFSPSDLRKFA >KN539039.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539039.1:16754:16900:1 gene:KN539039.1_FG007 transcript:KN539039.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELNYPDEAVNDVAMPMDADIAEKRPNSVTDAKIEKLARLMQILVFMN >KN539039.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539039.1:43824:44927:1 gene:KN539039.1_FG008 transcript:KN539039.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKRPARRKPTASAHRQYRYWAPPHPPAAALPPRSAPSPPASAEAPLGDPIGGRYQRMSRIGSGTYGHVYRAVEISTGKVVAVKCLRRKDDDPDGLVLAGEVRALEACRGHPHIVQLIDHGRGAGAATGQEDYIVMELVGPSLDLTIRQRGDDAAARRYAEGDVRLLMRQLISGVRGMHEVGLMHRDLKPDNVLVDGSGNLKICDLGFARTMTKDKEESAPPYSNPIAALAYRPPEVILGSTTYDETVDSWGLGCIMAELLAGERLFFGTTDEELLVRIADVLGMDDISGWSGYEDCMIPKILTKIRRRRSRLRQMFALPGRGGGPGRRPELSKAGYQMLSGLLRCSPEKRMTAAQALQHRWFDV >KN539039.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539039.1:58866:61067:-1 gene:KN539039.1_FG009 transcript:KN539039.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQTLLLSFLFVSILHVHTTSSTGTENFDASRLDTYIVRVRPPPNFSVDMSNIKLEKWYRSFLPPRMTSSNTRQAFIYTYKTTIFGFAVNITEAEKDYVMKNNGVLKVYKDSLLPLLTTHTPDFLGLRLREGSWKKTGMGEGVIIGVLDTGIDFTHTSFDDDGMQEPPTKWRGSCKSSLMKCNKKLIGGSSFIRGQKSAPPTDDSGHGTHTASTAAGGFVDGASVFGNGNGTAAGMAPRAHLAIYKVCSDKGCRVSDILAGMEAAIADGVDIMSMSLGGPAKPFYNDIIATASFSAMRKGIFVSLAAGNSGPSSSTLSNEAPWVLTVGASTIDRQMEALVKLGDGDLFVGESAYQPHNLDPLELVYPQTSGQNYCFFLKDVAGKIVACEHTTSSDIIGRFVKDAGASGLILLGQEDSGHITFADPNVLPVSYVDFPDATVIRQYINSSNSPTASIIFNGTSLGKTQAPVVAFFSSRGPSTASPGILKPDIIGPGVNVIAAWPFMEGQDANNDKHRTFNCLSGTSMSTPHLSGIAALIKGTHPDWSSAAIKSAIMTTAYVVDNQKKAILDERYNIAGHFAVGAGHVSPSEAIDPGLIYDIDDAQYISYLCGLGYTDVQVEIIANQKDACKGSKITEAELNYPSVAVRASAGKLVVNRTVTNVGEANSSYTVEIDMPREVMTSVSPTKLEFTKMKEKKTFSLSLSWDISKTNHAEGSFKWVSEKHVVRSPIAIF >AMDW01027673.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027673.1:233:358:1 gene:AMDW01027673.1_FG001 transcript:AMDW01027673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFDAAILSKQEAIPAQFVWPADEAPAADDGVVEEIAIP >KN541649.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541649.1:11862:12116:-1 gene:KN541649.1_FG001 transcript:KN541649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIVPQVEEKSSLLLVVVDGVGGEQQGGGGHEEAPVEEAGAAMETGSRGGDGGDGGAGGGRAGAAMWGGARLGRRAPFFFR >KN541333.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541333.1:1209:5592:1 gene:KN541333.1_FG001 transcript:KN541333.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVIAKLASLLTDEYTHFKGVKSGIRWLRDELSSMNAVLQRLADMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFVQNHSGGDANANFVRKMKKLWENHQIGDEIKQLKARVLEEKERHDRYKITDQLIDLVSNMCAWILEYQPWLGKTTLAMEVYHKIDESFDCRAMVSVSRTPDIKKLLRDMLFQINEHVYEKSKDWEMGQLIPTLRKNLEDKRYFVFIDDIWSIDAWEHLKSALPTNENRSRIITTTRIRDVAKSCCHDFAGHMYEAMPLSEDNSRNLLFRRVFSSEDCPKDLTEVASIILKKCGGFPLAIISIAGLLSNKSPTVEAWTKIKNSISSAVEKGSSVDKMKRILFLSYFDLPHYLKTCLLYLSVFPEDSNIDPRRLIRLWVAEGLILGQSRECMEQLGENYLRELINRSMIQPTKIGTVNTIVKFCRIHDVVLDFIVLQAKEENFVTVLNNSDPCDYTLNKFRRLSLQSGFSEGSEIPKASKDISHLRSLHMFKHGRLPVVPSEFAKCQVLRVLDIKVDLEHNDIKYVGIFCELKYLRIKGYICKLPEYIGKMQHLQTLDLKETVIEKLPASIVQLQKLVHLFIPYRVPLPDGIGNLQALEVLCDIHLDSTSIKSIYGLGELTKLRKVRICLVDFDDDNSMEGCRTACISSLSKLLKCSLQSLCVRELSRFGFITSWIISCGSIISPLRRLILNDTIRTIPSQFASLVNLTRLRITVGGVGGLEILASLPMLQSLTLDTNYGIPNLRQVISGEGFQNLRKFNFRCWYSVVGLMFELGAMPKLQRLKLFLYARWQLVVVQGGLVVGLHHLSALKSIALGLDCNGAIADMESLEDEFRAAAVSHPNRPTLEIRK >KN542244.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542244.1:1164:1354:-1 gene:KN542244.1_FG001 transcript:KN542244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLLFLLLLLLSGVSLSGCKRLGNGGYEEWRMGSATYIKESLGHPLNDD >KN541649.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541649.1:22951:23463:-1 gene:KN541649.1_FG002 transcript:KN541649.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAGLRVFGLGDDLMVDLILLAPHVAPEMQIRPSLMASFVGWRMKGDMADLILLALEMAPDRHLVASFIGWRKKGDIFMASRVVWRRKGEIFMA >KN541333.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541333.1:24710:29549:1 gene:KN541333.1_FG002 transcript:KN541333.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHKNAKVQLLALTLVETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLRTKIDSSPPANPGTSKAPVQSSSGTASASNQSTLALPAPASSSSPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLPPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMIGGQFYGMSHHQMYAVQMAGYGFGQQSDQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKLQKLFL >KN541649.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541649.1:14789:17006:1 gene:KN541649.1_FG003 transcript:KN541649.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVEVPSPCPASTPGLYVRCRICGTDARFSYSDWRDHNNWCEAAKAKAKAAEGKVKGKKKNKK >KN542244.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542244.1:9357:12992:1 gene:KN542244.1_FG002 transcript:KN542244.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLATGVGWVVSPVIKLMFEKVQSYISTQYKWQSNLEDDLKKLETMLTEILLVVGTAERRRTLDCTQQALLHQLKDAVYDAEDILDEFDYMLLKENAEKRNLRSLGSSSISIAKRLVGHDKFRSKLRKMLKSLSRVKECADMLVRVIGPENCSSHMLPEPLQWRITSSFSLGEFVVSRQKERDELVNRLLEQADIPKSKTEGAISVSPEVITIVGTGGIGKTTLAQLIYNDKRIENNYDMRAWICVSHVFDKVRITKEILTYIDKTIDLTNFNFSMLQEELNNKVKMKKFLLVLDDVWYDEKVGGPINADRWRELFAPLRRGVKGVKILVTTRMDIVANTLGCTTPFPLSGLESEDSWELFRRCAFSTRDPSEHLEMKSIGEHIVQKLNGSALAIKAVAGHLSSNFNYDEWNRVLKNGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPGILVNMWIAHEFIQDHGHTYGSLRSTGRSYFDELFSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSILAERIDLLRACKLQRLRTLIIWNKDRYFCPRVCVEANFFKEFKSLRLLDLTGCCLRHSPDLNHMIHLRCLIFPYTNLPLPESLCSLYHLQMLSVHPHSCFIDTGPVIFPKNLDNLSSVFYIDIHTDLLVDLASAGNIPFLRAVGEFCVEKAKVKGLEILKDMNELQEFLVISSLENVNNKDEAANAQLANKSQISRLKLQWDSFNADSKSDKEYDVFNALRPHPGLKELTVDGYPGCKSPSWLESNWLSRLEHIKIYDCTCWKLLPPLGQLPCLKELNIDTMNALECIDTSFYGDAGFPSLETLRLIQLPELVDWCSVDYAFPVLQVVFIRSCPKLKELPPVFPPPVKLVIQSIICTWHTDHRLDTYITREVSLTSLLDLHLHYPESMESTDISFDGAGISNNELRDQRHNLPKGLRIPGCSDFPSAFLTITEMEIVSCPNITLLPDFGCFPVLQNLTVKDCPELKELPEDGNLTTLKEVLIEDCNKLVSLRSLRNLSFLTKLEIRNCLKLVALPEMVDFFSLRVMIIRNCPELVCLPEDGLPLTLNFLYLSGCHPLLEEQFEWQHGVEWEKYAMLPSCLYADKSVGYGQDIAEEILRENDIIQLSIQTSLLHPTDSAASSSSLLQ >AMDW01061346.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061346.1:86:214:-1 gene:AMDW01061346.1_FG001 transcript:AMDW01061346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQ >KN543272.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543272.1:1855:4006:1 gene:KN543272.1_FG001 transcript:KN543272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLGLAPGLVAIVLAALLTDASIELLVRSSRAAGAPSYGAVMGDAFGWWGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGPQWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPDLASIWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSQMLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSSPLSSDNRRFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSMFHRKSSPSIA >KN543272.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543272.1:6651:9204:1 gene:KN543272.1_FG002 transcript:KN543272.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPPPSQQQQPRPRAPYAIPEWSAAPGHPFFLEVLKDGTIVDKLDVSRKGAYMFGRIDLCDFVLEHPTISRFHAGKTVEESRFKIPVFNLVASLQVPNGFAFLKIVLSLRGFVADVKKKIYVEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDARVQQDMLDREASLLRAKNQAALAEGISWGMSEDAVEDSAE >AMDW01084937.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084937.1:286:627:-1 gene:AMDW01084937.1_FG001 transcript:AMDW01084937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQVNGLDRSWKRPPGVAAKLINSRAANGFYYIEYTLQNPGEQRRHIVSAIGMAFNGWYNRLYTVTGQ >AMDW01021890.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021890.1:9:191:-1 gene:AMDW01021890.1_FG001 transcript:AMDW01021890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYCAVGGVDSFQGDWEKLYDKVNNAAYTCDADSDGFKIDASTATGDSPVFTATIKIHFSQ >KN542468.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542468.1:10949:11665:-1 gene:KN542468.1_FG001 transcript:KN542468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYSQGKLSGARSMLTTPLPAAANVPAHLPLAGKWCSPWAIEEDDGLEAGRRGGAAVVAGCHYRLAHLPWGVLRRSHRSPWESGWRKEPWSPWRLRAGRDFLMGHWGPARLVYNYISWAEV >KN547274.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547274.1:112:655:1 gene:KN547274.1_FG001 transcript:KN547274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKRKSVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGT >KN542468.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542468.1:12228:14227:1 gene:KN542468.1_FG002 transcript:KN542468.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLMYDILYTKAAVMHTWFGFAIRVVSPLAVAAALGLFRLENDLGSYRQIDVDITYALLVAAFVLETTSLCRAIGSTWIAALLQTTRWAWLRHEALCTGRWSRLRRAVASLRRLVHRDGHRYWSGTMGQFNVLHFCTRDGAAERLGAAAEKAGLGSWWNRHANAGSIVISGEVKELVFGHIQDMLRGVDSMSTSDLDAIRTTRGQRALRRHGLDGDLAASLGEEFHQGILTWHVATDIYLAVSGGVY >KN543738.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543738.1:3544:5111:1 gene:KN543738.1_FG001 transcript:KN543738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFYDNGNFSSIYWPNPYFSYWQNNRKIYNFSREAAMDALGQFLSSDGTTFEAADLGAAGVRRRLTLDTDGNLRAYSLDGATGAWSVSWMAFGNPCNIHGVCGANAVCLYSPAPVCVCAPGHERVDASDWSRGCRPTFRLECGRPAKLVALPHSDFWGYDLNDGEVMPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFHVHQWQHGGDGGGGLAIQEDIAGCAAAATGDSNIKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAVVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRYTYADIKKATASFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNGWFRCDFDKDQGDKRVHGSRVGY >AMDW01016769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016769.1:94:207:-1 gene:AMDW01016769.1_FG001 transcript:AMDW01016769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQ >AMDW01030998.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030998.1:16:336:1 gene:AMDW01030998.1_FG001 transcript:AMDW01030998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVFVQCVVNGAYGAPFFVTRFREALFFYSAHFDMLDATIPRDKDERLLIERDILGRWALNVIACEGADRVDRPETYKQWQVRNHRAGLKQLPLEAEVVELVRNK >AMDW01013889.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013889.1:22:240:1 gene:AMDW01013889.1_FG001 transcript:AMDW01013889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGFAVNIAMLI >KN541605.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541605.1:182:4648:-1 gene:KN541605.1_FG001 transcript:KN541605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHDTAACNSEGEDNATGKRREHSNKKMKEEKSKRKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFESKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKKKDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKHYDSSFEQENIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLT >KN538829.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538829.1:40086:40460:1 gene:KN538829.1_FG001 transcript:KN538829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEAPCSCMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFVQRLAETIGFITSTPENIPTTLKRYRSDFSAATTLKRYRSDFSAAIISKLVKAGQVTIWTDVDGVFSADPRKGNLVSTYCLDT >KN538829.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538829.1:134875:136053:-1 gene:KN538829.1_FG002 transcript:KN538829.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKEDQDSTLLDPKFAPVLLFVDGNLHDIDDEDSIENDEDDSIDDEDNSEGEELDLEDENSNNDDDELGEANTYFERNDDGCHEDGGDDDDDLEVDDTVFFYSIAKRLLMSKTVEEFSTHFYWTTPQGWLLMVHPKSNETFLWSSFTEQRINLPFAEDDFLTNNMVRCLLSHKPTDSDCVVLVVNHKNTVFWYCRPKGHTWFKHEYQSSMISTNESFINVITWMSNITAIKGKFYTYLPNDEAIVTLEFMPEPTFTTTPVNNAPNSSCFYQTSINCFLESCGELFRLSFKHPVVYSRNVVHIEVHKLDLSRRIWVKVNTIDNKAFLVDSLGFGASLNAEDVGLKRNCIYFVRNKDKGLYIYNMEQGTTSIHNPGADLPDNVAPVIVMPTS >KN538829.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538829.1:26148:28492:-1 gene:KN538829.1_FG003 transcript:KN538829.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSSLRSRLRSPQPQAPALPHPHAPRRGFHVELGAREKALLEEDTALKRFKSYKNSVKQVSKVGNILTGVVLFACAYEIVALANS >KN538829.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538829.1:58553:71346:1 gene:KN538829.1_FG004 transcript:KN538829.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDTGELLAVKQVLIGSNNATREKAQAHIRELEEEVKLLKNLSHPNIVKRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATITAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGESENLQPLNCAAQQETCVNELPAHDVSSGLGLNHSVNWPTISSNRSSKIKPLWEGSCDEDDMCEFADKDDCPAVGSSYNPMSEPFDNWESKFDASPEQTSHQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQTPLYEEFYNTVNAGNSQVADHTSNGIFSNSPKLPPRGKSPTSKMRGGAAAASTCDNSNNTRPESCSNQLSEDTVQSSRILREIASPQLDELGNKIHSDVQDSPSVSFAERQRKWKEELVQELERERGNCTRLLSNRQPRQAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSKSISAEDGNSQDITSGSPNIALVLCPNVMLCEQVVRMANSLIDEYGEPLKSAAAVCGPKGWPTVRPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVIRLIHMLRFDEKLLSRMEDYGKEISLGDTNEYREDSDSESAELSAADEENEDGLVQHRPVNAENAHIGAHKKDWRRVRKVYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAYLHRHNPRLERRWIEVTADTQVSALLDAVKYGLKSEVHDTKLGPNRTMVFTNTVDAANSVSNILQRVGVPCILYHRDSSLEERAKNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQVFLILGRYREAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVEKAFSRKRSFRNKLKKQALHESTALLS >KN538829.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538829.1:84684:85656:-1 gene:KN538829.1_FG005 transcript:KN538829.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKPPPPSPPRLLPSHLALVLAPHSRGSRKSRLFLGFRCKEAGDLKFEEVATGALLFLGLQLELDETIISSGVPTRRVHAGYRSSEHEGTLLGPREALIT >KN538829.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538829.1:16825:24645:-1 gene:KN538829.1_FG006 transcript:KN538829.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLWAAAAAPAALNPPLLTLSSSSSPSSSRLRRSVLGGRLRSRAPRPADFVCRRAKNAAYDDYKFPDPIPEFAAQETSKFKEHMMWRLEQKKDDYFGEHVEEIVDVCTEASSCEIKLVAFLPSHLTSNFCTIACIGFLILRTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAHSCYYLPMWRAKFFAFNTSTVKHHNLIISSHAAGEHLTPSLTPSNADDQDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLAAKMEETLVPSLLDLQASRVLKMFLLGDHVLKHISNAMVQNANSDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAVAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >KN538829.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538829.1:139035:140258:-1 gene:KN538829.1_FG007 transcript:KN538829.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSIDSCKEDQEHLALLDPKFAPVLLLVAYRIIDTDDKGNTEDEYCPIDDEEEELDHGDKNSHDEDIVDNDKDDCDLGDEDDNHTCERDYDGSDDAGTEESDDSDDQEEDDTLFFYSIAKRELLSKRVDEFGIHLYWITTQGWLLMVHLELYEIFLWSPITNQKINLPFDEDNFLANNNVVKCFLSHKPSDPNCIVLVVNCRDTMFWYCHPKGDVWFKHEYQSSMISTGEDRENVIATVKHLMAVGGRFHAYLNQDKAILTLEFLPKPTFTTTPVKDAPDPSYWCTFSTCFLLESGGELFMLSFKHPIECVDKVMQIEVHKLNLSERIWMKVSTIDNKAFLVDRTGFGASLNAEDVGLKRNCIYFVRPKDKGLYVYNMERGTTTIHNPGEDLPDNIALEIVMPPS >KN538829.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538829.1:78683:79891:-1 gene:KN538829.1_FG008 transcript:KN538829.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKEGQEYLALLDPNIAPVLLFVDYNVDDSEYNTDGEDYFIDDEGEELDHASNNSLDEDINEDAEDEEDGKLGEVDDRCEEDNEGSEEDVGTDEVTDNDDQEEDDTVFIYSITKRQLMSKRVEEFNTHFYWTTPQGWLLMVHPESHKVFLWSPFIDQRINLPFDEDGFFTNNTVRCLLSHKPTDSNCVVLVVNCRNTIFWYCHPQGHAWFKHEYQSSIISTRESHKDVIKTMKLLTAINGRFYTYLCNDKAIVTLEFLPKPTFRTTPVEDAPNPSYWSIYTSYFLESCGDLFMLSYKHPVLCAQKVSQIEVHKLDLSRRIWVKVSTIGNMAFFVDSTDSGVSASLNAEDVGLKRNCIYYVRPKDKGLYIYDIERGTTSVHNPGVDLADYLTPDIMMTPLS >KN538829.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538829.1:31324:32331:-1 gene:KN538829.1_FG009 transcript:KN538829.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIGTGSSEKGPLLDRSHVPLLLFDYDEPDRSTYVKLFYSVPAGELHVRRFAELGEHFYWTTPQGWMLLVHPSTRDTFLWNPLTRARVALPPERDNLEELQPDARCVLSHAPAHPGCVVLIADLEETVLWHCRPGAGGRWLRHEYDDHAVSPDVSISYSMSMLTTVGGKFYSVDHLQKQFLVVALEFSPVDGAAPQFTAVATNDAEHTPAGHSTTVFRAVESDGELFLVAMYYVKPRDRVASKISVLKLDLLKRAKVEVMSTLGERSFFLAASSKFGASVRAKQVGLKENCIYYLKPDDKGLYVYDLGRGTTTMYNPGLDLEDDVTPELLVTPW >KN538829.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538829.1:211:7773:-1 gene:KN538829.1_FG010 transcript:KN538829.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVVNNTKPFLALLGMDDQECGGPSGGVGEQEDVEMNGMENEVDDADDIVEQEESSGSAPSPLLLGTRPKRLRSKVWDDFTPIFVDGKVARAECMHCHRVFNSGTSNLLKHQAKCSPRAQKRPMQQELPVSLSVENRSPKELDAVEQDIPTDKNTKNLEVKQAETNKLVRTLAMYGDIPLRVSNHGEFSRFVASLNPMVEIPPADNLYLYFTGLFEEEKAKLKKRLASLNSRVSLSVYVWHYDTLLPFLCLSVHYIDDQWQKDKKIIAFQAVDSSCHAKELSMVILTAIRDWGLFGKVFSIALDDAFIDDSVASDVKDILQKWNSLHADESLSGNQSLFVIIQVGLDELDKIMEKSRKFSKLDKFMERSRKLSKFPKGDAPLALQYPNCRYAPSSEDWGKADKICAILDDFHRQKDELYKGCSPLELFDKIWDVKKDLHREPVYYSDEESSYVREKMQRKFKEQWKFNCLHICMPMIMDPKYRLEIIKSRIMYNFNSDMEDYIEEVNDMLLRLFREYSGQTEDPNCTSSFITSGWNYLYKDDRLLDHYHYSEFPEWKRPMTELDQYLEDPCLSNDGTSVLKWWKEHSMIYPTIARIARDILAIPYRTDCKVATRTARVAIAKSDGNHYVEERVCTQDWLRSGGFDNACTQVQKMLRIICLVHLATMILVQHDAVRKEQRLHYSKSTQVQNWSSSASMLGRTKMVKWALGFQAWAPWKSGAQTKREEKSSLPKRVAAAAEVAARNPALRPGIGMDQDCDDAANQVGAGEERILNGMGDNVDNANDMAEQEESSGSAPSPLFLGTRPKRLRSKAWDDFTPIYIDGKVAKAECMHCHQVFVSNSTSGTSSLLKHQSKCNPHAQKRAMQQKLPFLPSSQKNLTALNSDPRQKKLPFLPISQKKCSDTADAFRLGLSGKWGPPTQ >KN538829.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538829.1:109304:110428:-1 gene:KN538829.1_FG011 transcript:KN538829.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQARGWSSLPTDVLVLILGSLRWSSHPSVALVCRHWRYAASLCPFYPAWITPLLLNTAEVGTANIRYYSPYYDKNFEVDDTLKVPGAKICCSTGRHLKMCADKALVYDIDLVTGALVEVLPQEPYMLFNFVVSDRDDERLFGVKATITIKVASSIRHTSDEWEGWKSTENHLYCPRLRASPVTNPVLHNGLLYLLAQDGKLVVYNPCRPDEGFEILNKPNGFGFKCEDSYLVESNKGELMVVLIGRRGKVVHVVKLNDQTMEWEEVESLQGQTIFTGSLTTMMKRSKFKWMQNMIFLPRFYKWPETVHVDLVARDGELAFVPKLPFCANTYLETCGTNIWSYELAYGAATKEYWGTERADYSIWVDFGDN >KN538829.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538829.1:155950:157170:-1 gene:KN538829.1_FG012 transcript:KN538829.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHSTETNKKGQEYSTLLDPKFAPVLLFVDYNLDDTHDEVNSEDEDYSIEDEDEELDHEDDNSFDEDISDNGKDDDKLGETANDICKGDYNENNEDAGTEESDDTDDQEEDVIIFFYSIARKELLCKRGDIFNCHLYWRTPQGWLLMVHLESHETFLWSPFTDQRINLPLDEDSFLTNNEVVCLLSHKPTYPNCVVLVVNCRNTSFWYCYPQGHTWFKHEYQSSMITTHENRRNVILTMKDLTAVGGRFCTYLSKEKAIVTLEFLPNPTFTTTPVKDASDPIYWYTTFTNCLLESCGELFMLSFKHPVVCAQKVVQIEVHKLDLSRRIWVKVNTIGNKAFLVECIGFGSSLTAEDVGLKRNCIYFVRPNDKGLYVYDMERGTTVMHNPGADLPDNMTLQIVVPPF >KN538829.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538829.1:32756:33901:1 gene:KN538829.1_FG013 transcript:KN538829.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASTSHERDWSSLPFDMLTEILDRLRWSSHPSFASACRHWRSAVPRFYPAWFTPLLLSATDVGGGSTTRIRESLSQRLDVTHAFQNDGGEWGEWHETEFNATPEHPISEGSNPVVHNGLLYILSEDGRLAVYDPCKHDEGLKVLDKPESFGVESTTVDGHLFESDQGELMVALVGYRGAPIHVLKLNEETMEWGKMESLEGRALFTGTLTTMLMRKTKFKWMRNKIYLPRLYDWPETIHVDLVTKDGETTFVPKLQGANTMEDTYGIHLCSYELGQQQEAREFWGTERVEYSIWVDFGGN >AMDW01029679.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029679.1:70:373:-1 gene:AMDW01029679.1_FG001 transcript:AMDW01029679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHGGAGGRAQGLDEQVTNKFASGKAADPPSYPAPNPGMMPAPRKKELGGSNSNSNKELHMFVWSSSASP >KN542857.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542857.1:3258:5001:-1 gene:KN542857.1_FG001 transcript:KN542857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRHLFNDTLLKINKELAYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEASSCPNEGCNVRIHGYCLKKKFSKRKASRACGCGTEWPRLEGEDDGAEDVEEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRSLRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >KN542857.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542857.1:6219:7114:1 gene:KN542857.1_FG002 transcript:KN542857.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVAPSHSAASLQRTPTAPRTLLPAGGLLLLRRPHPPLHQQHRSRSSFRCRRRLLTARGDYDYYADEEESSEVVIGGSFDTAVALFNDGEFHACHDVVEELWYTADEPTRTLLHAILQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLRLEDDDTTSPFSRFEEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQKLYRLETATGADGDGVLTIIFSASSRLVRVKLPTLSATEQHLAALQCTSEYI >KN540319.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540319.1:1994:2215:-1 gene:KN540319.1_FG001 transcript:KN540319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLPHLHIHAATMLSSPDAATLDSGEVGPPDLVAPASSLADLTGRKQGMRAIARSAEGDGGRWSGATAVSS >AMDW01033876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033876.1:145:366:-1 gene:AMDW01033876.1_FG001 transcript:AMDW01033876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDG >KN540319.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540319.1:6880:7530:-1 gene:KN540319.1_FG002 transcript:KN540319.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVAYECHNSSGQITKWFNGSVVDHFTSGDKGVVYRISGRRNKLVVLGCSTMAYIKSNDGDSYYYTGCISYCNGSRSAQSGWCGSAGCCDVDIPEGGLVLDNNGPVITFRSWPQQVRQQVAESSGGCDYAFLVDKDEYHPLRRDDLNMSLNKTMPVWLDWALPRPDGGGNASICASANSEYVNSTNGNGYYYCKCSSGYEGNPYDEDPDKGCKDT >AMDW01040369.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040369.1:24:1349:-1 gene:AMDW01040369.1_FG001 transcript:AMDW01040369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YDNMDIAIHYSAILRDCIRHQVAARYVLESQHMKKFFDYIQYPDFNIASDAFKTFKELLTRHRSSAAEFFSNNHDWFFPEFNSKLLSSSNYIIRRQATQLLGDILLDKSNTTAMVRYVSSKDNLIILMNLLREQSRAIQVEAFRVFK >KN540432.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540432.1:1901:7075:-1 gene:KN540432.1_FG001 transcript:KN540432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVAAGEVSDDGGDQPSPSASAPCARRPVVFAFGDSNTDTGGIAAGMGYYFPLPEGRAFFRRATGRLCDGRLVIDHLCESLNMSYLSPYLEPLGADFTNGANFAISGAATAPRSAAFSLHIQVQQFIHFKQRSLELASRGEAVPVDADGFRNALYLIDIGQNDLSAAFSAGGLPYDDSLYYNGAKNLWIHGTGPLGCLPQKLAVPRADDGDLDPSGCLKTLNAGAYEFNSQLSSICDQLSSQLRGATIVFTDILAIKYDLIANHSSYGFEEPLMACCGHGGPPYNYDFNVSCLGAGYRVCEDGSKFVSWDGVHYTDAANAVVAGKILSADYSRPKLPFSYFCSA >KN541664.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541664.1:20886:21218:-1 gene:KN541664.1_FG001 transcript:KN541664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSPVFSLLLLVTFAAVTPTLKSSSVPGRQAINRANLTSVATLDGCTRSCGNLTFDYPFGVGAGCARDSDFQLICNDTVQPPKLFFNDGFTEVVKNDGQSSTIGTYRK >KN540432.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540432.1:39769:40163:-1 gene:KN540432.1_FG002 transcript:KN540432.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMFSQWMSKYSKRYSCPEEQEKRYQVWKANTDFIGAFRSQTEISSGVGAFAPQTVTDSFVGMNLFGDLASAAPSPASNSRAPAVSYVDTTC >KN540432.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540432.1:31374:32267:-1 gene:KN540432.1_FG003 transcript:KN540432.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYVWTSLPSLCYAVLLYFLVQESPRWLLVRGRKQEAIEAVRQIASLNGGGGGITTSSFSMLHACAVELGDGGEGMFATLQSIWERRWALRRLAAITAASFGVGMVYYGMPLNVGSLSPSDLYLSVAYNAVAELPSSILSWLLMGRFNRRSSVVALTTASGLCSLAAWVPADVLPDGARMAAEVASFFASCTAYDMMLMYTIELFPTSVRNSAVGLVRQAGVLGGVVAPMLVALGRETTSYWSSSFGVFGLAVGCLGLLVTCLPETRGRRLSDTMEEEEAAVLSSSGASDMDNNGELV >KN540432.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540432.1:8162:11004:1 gene:KN540432.1_FG004 transcript:KN540432.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIWTLNLFCDGAVNGPMHVQKKMDRDIICYFNLVGLIVDIGYSYCDFIYYNKKDGRSVASMVAIESDWQVDEMYLGDAIAEVDDLCVLVNDTVSDSSNPSSVDEDFMQLYNNDLEEKLKEIKKQKEDPDERCEGDTDVEDIFPLTINLLDTGSAPIARKKGKETAIQHDNPPSPLVVVDSIRAKCTIAPIATRKGKEDNEDDIVNLCSSDDDGHEAAPCQAPNKRKSRAKKLSVQRIWVFLVELKMSACEQRPTKPYMLSKAMCKSQDLLLISKKVLVLEFLIAQ >KN540432.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540432.1:45600:46778:-1 gene:KN540432.1_FG005 transcript:KN540432.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGESLNIGYLSPYLKALGSDYSNGANFAIAGSATLPRDTLFSLHIQVKQFLFFRDRSLELISQGLPGPVDAEGFRNALYMIDIGQNDVNALLSYLSYDEVVARFPPILAEIKDAIQTLYDNGSRNFWVHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVTFNAALGSLCDQLSAQMKDATIVYTDLFPLKYDLIANRTKYGFDKPLMTCCGYGGPPYNYNITIGCQDKNASVCDDGSKFVSWDGVHLTEAANAIVAKGILSSDYSRPKIKFDQFCKV >KN541741.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541741.1:849:4434:-1 gene:KN541741.1_FG001 transcript:KN541741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAKGGSDSSAGSSGSTPSSPATGRGGSDSSDANQPSPVKERGGVLSELLGHIHGYYKAALGRLPVEETPALIPRLLDAGVCFGLMDPISNIIVNTVSEPLLPDRTASEEDGKSRKRKRGRDGAILSEIAADASCIRCLPPRMGRRETSPERRRAVPVAQRSLEGLVTFLVCYFRHLPVSEALHYLLLTKADLLAAVHLIEYTRGIGGRLFPISSPTTEVALRCAAISASHPDPPAFASRSLSLASRLGDQPSSQILTDGCYLSVDAIHRLHEFLQEPLKEPPDFPKPVRQAALRLNHYIKGRISSLNKFPHEFTDTLRTLLLEKIHVLYLKVIARLPRDDLRRRYHHSLLKAGHCFGPANDPVSNIILNTIWYDTTFPPHEELKLDMICTNSLVRIECRSLNGLLAFLHNLFPALSEHDAMMYLFHSNANLEQVTFRAMCDHDISCSHEDAYKAAADAAWHPHPDAQAEFAVSTHPMLLPIDKPSLAVSRTLTSSEVELISRYFSQKSYPAKSVPSVPELVPRADELVKRSQQNFMANQYFIRRKVKAALKRYAKEKGTEYELHVICGTNFDVPENGRYGYFRNRKGFPYAHVNFLARPKGSQRDNTAPSLFFLECSNGEEDIGTLFSCCAELESPTDSGRCFHCECKGTKIVHPAIGTYRGRETDFEEMSCGKQEIDSKGLIISEKMAIEFVGLCEDDCIYFDPSKDCDFALGLNNLVREDDEALEELHRDIAECERMKVSDMFSPMDRQKNRAERPQSSEDKKEDDEKKKKIKKKKKKGTVEQHGGEDLPPMYLDIDDLIITRTSDGEDSDEMCSIKSDSPRDATPPRKIPPAGRRS >KN540103.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540103.1:6956:7393:1 gene:KN540103.1_FG001 transcript:KN540103.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVRKQWLLLLVFFLLASSSCVLAAGAKEVKTASTAAELAVVVRSSHGDHHGRHGRGGRKHKGKKSGAAASDRRPGGSTAIACCGVVLAAAANWLLPL >KN541273.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541273.1:3914:15460:1 gene:KN541273.1_FG001 transcript:KN541273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGQWHASQLFHAWSILLLSFSLRIIQKGSISTRVESCCDFTRVLCLIYPGDFSRFLEQYMGSRPVDEVLDAAAAGVHYSTLRLDELNINGSMTGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISQIAEQLMEGTVDNSLQVTVTYIFLFYRSVVWNLSPLLYMLAMLELIAFTVIVWRAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDDKNRVRFKLIGITIDTSLVQAFVSI >KN541741.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541741.1:15740:17799:1 gene:KN541741.1_FG002 transcript:KN541741.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRAAVFAVLTLLLSVAAIAMARPIRRSDLGLGLGANGGLGVGLGLDIGLGGSGSASSSGQGSGYGAWSGPNDGSYTASGHGSGMGSGFGYGSGSAYGAGNGGSASGCGSGSTSCSGSGSGSVGLGTSINVGVGVGANGGTNGGSDCNTGSGSNYGSSTGSSSGSGSGGVFYRSRGHGGSSSIGSGSGVGLGATSGVGAGSNVGPSGGCSTCGSGSRSGSGAGSFSGSSSGSNSNAGSMSGANSGAGSSSSAYDASGSGSNSMSDSGSSSGSVSASGSGSSNSGAGSGSSSSSWSGSNSGSGTMSGIDAPASTGSSSTAESNSGSATSVTGANSGSDSSAWSSSSSNSDSGSTMPSGIGAASNAGSSSGSWSNAGSNTGTLSGAGSSSWSSSTSGSTSSSGLGGGQGVGSRFGSGAQPTFGMGGGGGFGAGYGFGAGAGGWGKHH >KN540103.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540103.1:33649:34752:1 gene:KN540103.1_FG002 transcript:KN540103.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFQVKADVETQGDFVESLASDVRAAKFVNIDDVVAFVDERAVLKHFDWPESKTDAVREAAFEYQDLLKLENKVSSFADDPKLPCEEALKKMYSLLEKVEKSVYALLRTRDMAISRYRDYGIPVDWLSDSGVVGKLAKKYMKRVDTELDALRGTDKDPSREFLLLQGVRFAFKVHQFAGGFDAESMKAFEELRSKMSTQTSAPQISDV >KN539353.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539353.1:42314:43924:1 gene:KN539353.1_FG001 transcript:KN539353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQVPAELIGSNNGGSAGGSLTVDPALGRRQAAALQRTPGFAEEHDGSQAQKDASKNALLSDVCISTSAAPTYLPGHRFETKDKAGQPRVFNLIDGGVAANNPLASNPFIYG >KN541273.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541273.1:16125:21500:1 gene:KN541273.1_FG002 transcript:KN541273.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVSASSGAMNSVIAKLASLLTDEYTHLKGVKSGIRWLRDELSSMNAVLQRLADMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFVQKHSGGDANANFARKGVRKMKKLWENHQIGDEIKQLKERVIEVKERHDRYKITDQLSDLISHQRSCLQHVRLDPRVPALYEEAKNLVGIDTPRKQIIGLVKSEEKQLKVVSIFGTGGLGKTTLAMEVYHKIDESFDCRAMVSVSRTPDIKKLLRDMLFQINEHVYEKSKDWEMGQLIPTLRKNLEDKRYFFIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCCDFVGHMYEAMPLSEENSCKLFFRRVFSSEDCPKHLTEAASVILKKCGGLPLAIISIAGLLSNKNPTVEVWTKIKNSTSSMVEKDSPVDKMKRILFLSYFDLPQYLKTCLLYLSVFPEDSNIDPRRLIRLWVAEGLILGQSRECMEQLGENYLRELINRSMIQPSKIGADGRVKICRIHDVILDFIVSQAEEENFVTILNNSDPSDYTSNKFRRLSLQSGFSEGSKMPKASKDLSHLRSLHMFKHGRLPVVPSEFAKCQVLRVLAIELAPWDNYIKHVGHFCELKYLRIEGFIRKLPEQIGKLQHLQTLDLEETNIKKLPASIVQLQKLVHLLIPFGVPLPDEIGNLQALEVLSVIDPGSASVKSIYGLGELTKLRDVRIWSPDDNSKEGHRTACISSLSKLLKCSLQSLHLSWVSSTDVIVSSCGSISPLRRLVLAGVVPTIPSQFASLVNLTRLRVIVGVGSLEILASLPMLQSLTLITRSDVPRLQSLTLITSSDVPNLRQVISGQGFHNLRKFSFRTKFNFRTWGSVVRLMFEPGAMPKLQTLKLWLHVRWRLDVHGGLVVGLHHLSALKSVALELNCIDAVADEVESLEDDVRAAAVSHPNRPTLEIQRCNEHFMYKPDE >KN540103.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540103.1:10218:10528:1 gene:KN540103.1_FG003 transcript:KN540103.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKRSCLAISLILLLLLVPTIHGARHVAAAIKGTVRVGHDIRLFNLTFHYLQY >KN539994.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539994.1:31885:35171:1 gene:KN539994.1_FG001 transcript:KN539994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPFPDDDESMVGGGVRVGKEKVKEMVMSGGARGGGGWEAVGICGIGGSGKTTLAMEIYKDHKVRGYFSDRVFFETISQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDKGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRFKFPSLVTRTYEMELLDEEAALSVFCRAAFDQESVPRTADKKLVRQVAAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDFSVTQHDVLRDLALHMSGHDALNNRRRLVMPRREESLPKDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTVSATLDNLSAFTTLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELTNSLRGSKVDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLESISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCVNLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQDGGTIHSAILTCSVFMQLTLQQQPKLAEKIEP >KN539353.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539353.1:99235:100196:1 gene:KN539353.1_FG002 transcript:KN539353.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSSGQEHDVNMIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIVDVPRILNYI >KN539353.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539353.1:15144:19786:1 gene:KN539353.1_FG003 transcript:KN539353.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTVQFNLPKYSKECSSMP >KN539353.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539353.1:62262:64929:1 gene:KN539353.1_FG004 transcript:KN539353.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYFGHRTPVIVVTKSHMYERGQIHQSLYIVWYIKIIKYTVTGDTVHFPQQQFKVTLHQKFAMSSPHVLVVDDTHVDRHVVSMALMRHNIRVTAVESVMQALVFLDSEHDVNMIVSDYCMPDMTGYDLLMEVKWIHFDID >KN539994.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539994.1:59145:63032:1 gene:KN539994.1_FG002 transcript:KN539994.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNAALDMDVLYRSGETHQGVATYCPRLVSVGSRVYILHVHGGIICRVSWLFEFIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRDQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVVHGALDRGGQRTCISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMGEHLAKLLRPFHPGMDLTSDSD >KN539353.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539353.1:83283:87729:1 gene:KN539353.1_FG005 transcript:KN539353.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRVKRDILFNLQMKLQTTTSTTLIDLQKVAARIEERIYKIAIDFGDYLRRISLIKGDLDDSYPLMLNNFLHIHQQASTSSFFLLHQKNKQGQIIQAEGNVQGTSSSSPKEPSHPHGKDRISELPNDLIHHIMSFLSMKEAVRTSVLSHWWVNKWTCLQSIKLDINWFRLDREKFRRSIDKLLLSRDHLDAPMDTFQLDSFAVDRASSWINHAIKHNAKVVKFSEYPSWEPFYLDPELVEFSSRYLKTLELTNAALNEMVFDRLNNACPVLENLGQQTGSSSFTKCYLTFATITLIDASNVTSIELTATDRQFTFVEQQGSRPMFRNLRTLRLGEWCIADNFLPLRQYVNHSPVLRKVFLKLSMVDWWSELTTNQLMALVEISSGGSVSIDFY >KN539994.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539994.1:53182:55170:1 gene:KN539994.1_FG003 transcript:KN539994.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFRRELPISVHLIADGELYLHPLPARSLDLPLDFMDDYSLRSSWSSSDRVAQGRGGEAAAATAAGGVKAEADANDKRSWMSSAQLWSCGSHTSTSTSNGGSVKKQQQHKVSNAFMPLATSPAFAKSPEKADAATPLPAAVPDLSLSSPAAMADAPACPTAPSATSSAVTDVAGAQRQQAVQRKARRCWSPELHRRFVAALQRLGGPQAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASDGGGGGGGLWPPPSEQYTTSQHSTSQSGSPQGPLQLTVSSSHAVSVTAGDSCDGGEEEEEEEEDRKSESYSWEMQNGARASSSS >KN539353.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539353.1:11527:13368:1 gene:KN539353.1_FG006 transcript:KN539353.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25970 [Source:Projected from Arabidopsis thaliana (AT3G25970) UniProtKB/Swiss-Prot;Acc:Q9LU94] MHAQGLAANTFALGSALRSAAAARRPAVGAQLQSLALKSGLANNVFAASALLDVYAKCGRVRDARQVFDGMPERNTVSWNALIAGYTESGDMTSALELFLEMERGGLAPDEATFASLLTAVEGPSCFLMHQLHGKIVKYGSALGLTVLNAAITAYSQCGSLEDSRRIFNGIGDIRDLISWNAMLGAYTHNGMDDEAMKFFVRLMQESGVHPDMYSFTSIISSCSEHGHDDHRGRVIHGLVIKSALEGVTPVCNALIAMYARYNENCMMEDAYKCFDSLVRKDTVSWNSMLTGYSQHGLSADALKFFRCMRSENVRTDEYAFSAALRSSSELAVLQLGKQIHGLVIHSGFASNDFVSSSLIFMYSKSGIISDARKSFEEADKSSSVPWNAMIFGYAQHGQAENVEILFNEMLQRKAPLDHITFVGLITSCSHAGLVDEGSEILNTMETKYGIPLRMEHYACGVDLYGRAGQLDKAKKLIDSMPFEPDAMVWMTLLGACRIHGNVELASDVACHLFVAEPRQHSTYVLLSSMYSGLGMWSDRATVQRVMKKRGLSKVPGWSWIEVKNEVHSFNAEDKSHPKMDEIYEMLRVLLHVEQMLSNCEDEDILMITSSGI >KN539353.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539353.1:66206:68132:-1 gene:KN539353.1_FG007 transcript:KN539353.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALRNFTGVIANVGPKDFSPDWPMGVRDVALLDICTSISMIVPYGNVMRRLYMIYGVHVHCWLIYLFTDFVTGGTTALSRHLTYSPWQPTS >KN539994.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539994.1:35554:37813:-1 gene:KN539994.1_FG004 transcript:KN539994.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAGPLGMEMPIMHDGDRYELVKEIGSGNFGVARLMRNRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQNMNEIMQILAEATIPAAGTRGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIVYAM >KN539353.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539353.1:23156:28613:1 gene:KN539353.1_FG008 transcript:KN539353.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFFPVNPAIIVSENVLQMQYLIIVIGILVAQILSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDDIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPGFPERRVHFNLPKF >KN539994.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539994.1:65900:70171:1 gene:KN539994.1_FG005 transcript:KN539994.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKAKNSSEEALRRWRKLCGVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVTGIADKLATSPADGLSTAEESIKRRQDVYGLNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNNPKNASDLCSELPETVVKTLLESIFNNTGGEVVIDQDGKYQILGTPTETALLEFALSLGGNFKAKRDETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFMDETGAVVPLDKTTADKLNGIIESFANEALRTLCLGYREMEEGFSVEEQIPLQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLDELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKSMFGLEGPDAEVVLNTIIFNSFVFCQ >KN539353.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539353.1:7669:8178:1 gene:KN539353.1_FG009 transcript:KN539353.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADDGEKMILLISSDGERFELSEAAASQSKTLSHMIEDDCTDNGVPIPNVTAVVLAKVVEYFKKHAAVTPKPATEAVAADKAKREEELKSFDAEFVDVDRTMLFELILAANFLNAQDLLDLTCQHAADLIKDMSVEEVREVFNITNDFTPEEEAEVRKENAWAFDN >KN539353.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539353.1:96036:96284:1 gene:KN539353.1_FG010 transcript:KN539353.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKTEYRDRLVENAFMGLFARKMEKYAVVSSSGGKEKKKSSSRSSSCRHVGQLGLQVQRHPHRPLFPLARRSIRGIIHRHN >KN539353.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539353.1:32655:35651:-1 gene:KN539353.1_FG011 transcript:KN539353.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLLALAAGAGAASFPADFANLFAAKAALSDPSSALAAWDPGLSPSLSPCRHLDLAGNGFSGEVPRSYGAGFPSLLTLSLAGNELSGELPAFLANVSALEELLLAYNQFAPSPLPETFTGIRRLRVLWLAGCNLVGEIPPSIGSLKSLVNLDLSTNNLTGEIPSSIGGLESVVQLELYSNQLTGRLPEGMAALKKLRFFDAAMNQLSGEIPADLFLAPRLQSLHLYQNELTGRVPATVADAAKLNDLRLFTNRLVGELPPEFGKNSPLEFLDLSDNHISGEIPATLCSAGKLEQLLMLNNELVGPIPAELGQCRTLTRVRLPNNRLSGAVPPDMWGLPHLYLLELAGNGLSGAVAPAIATARNLSQLLISDNRFAGALPPELGSLPNLFELSASNNVFSGPLPASLTVVTTLGRLDLRNNSLSGELPQGVRRWQKLTQLDLADNRLTGNIPAELGDLPVLNSLDLSNNELTGDVPYSYTLRITEKSDVYSFGVVMLELLTGKAPAGPELGEKDLVRWVCGGVERDGVDHVLDARLAGAPRDETRRALNVALLCASSLPINRPSMRSVVKLLLELRPESKEKAMEEEKPLLV >KN540619.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540619.1:43344:44537:-1 gene:KN540619.1_FG001 transcript:KN540619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVVQFLVRKFVDSFAEEEAAAELPFRAHFYDVKAELEKAAISSTNADELRQCLYELNDLLAECRMLASRTNTRPGCFSPSEAWRANRVKKRVIAVKRRVLRCVESDSSGNAAALEEEDSAAAGFSRWTTSWLEEGTVHGFDQQLAELESMAFGAECGAGGLTGVGIVGMGGVGKTALAQLVFNSPRARRRFFPRIWVCLSRTACAGADVRKEVLQSMLMALGLEEEVILSMDGGNNLGEMVFAVHEQLKGKRYLVVFDDVWNVDGWYADVVGRRNASPTGDEWGERLAFGLPKERGGVVVVTSRLEQAAETMVGKSSLHRVQPLADGESCWAIFMDAFSKERRPADLTTVNNMKDEIIDTCGGLPSAAKTLGDIFARSLSSPASTSSQELSKNR >KN540619.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540619.1:6826:10840:1 gene:KN540619.1_FG002 transcript:KN540619.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHLRVFAVFLVVQVCLLAMMAAPWTVQAGPVVSLLSNERLTACYTHQEVPVGENQIILSSVPIEAMSTSNLHAFVVFFVVQVCLLVVMASSSSWTVQGRPLALESVPACCFYHPDCCQAAGDR >KN540619.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540619.1:31546:34293:-1 gene:KN540619.1_FG003 transcript:KN540619.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCCFWKPKQPINVGRVQLVKGDQIISIQDVLRLLVRPEVGSILIEGIGGLGKTWAAKAAYQAARANNLFDEYIWISLSINCSLRQCIDKITACLSCEIREDLSVQRTSTMIKEYLTKRKFLLVLDNAYFTEENILEHMGVPHPRQQNIGSKVIVTTRTRRTAGAMWPDGPDTVIMPQPLTYEESYNLLCTKIGKDVGSSHTLDLINNCYGIPLSVILLAGVLCDVPSQDTLNELVRNACVTLGSKVSVFHTMQRLVKFAYHQLPDANARHCFLYCLLFPEDQGIPVNDLIRFWVMDGLITQSIEFHEASCIGKEILDVLLKRCMLYMDGNDHVRMHDVIRETVSGFGKVNGYREQHDFKFGNPERKLECLAKLSTRVSLMSTEMEYLDGSVRCFWLTSLFLRGNRHMKYISEEFFCHMGMLEILDLSFTGIKILPHSISSLTRLRILLLMGCDHLEEIQHIASLAQLEVLDASSCRSLRSIESGSFDHMMLLKLLDLSTTSIKCLPSLPASRELCHLLLHNCPYLGSENTIKSDGILSDTELIRFPYGVSKTGAIQNLQLGRIGDLSDLMAMLWLPCGLTFQLCDMFNMGVLFSDNEDSKTFVYASDTYFFHSLKKESPLWLNGFQRFQIVISPLKDDQALDTDAQLMKADFIFRSSYFKTKHFTHSIDLDKFLEINGTFDVPSETEGILGHAELVSLKRLATTRSSDLNITSMEAVRELWIENCSQLESLLSVDEIEILSAWGNLHNLWISNLERLSSLLEGVKDVVSFSCLKHLLIDCCPNLKWIFPSMVCLPNLETMHVKFCDILERVFEDDSVLGDDALPRLQSLELWELPELSCICGGTLPSLKNLKVRSCAKLRKIPVGVDENSPFVTTIGETFWWDSLIWDDESIKRWILFRKWGPMLPYLATEG >KN540619.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540619.1:22749:23048:1 gene:KN540619.1_FG004 transcript:KN540619.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVLAAATLAPDTLVALALTEAGVGGGRRDAAAARAKSAARVFSGDAAQVVAAAALSSEAGMESDFCRDFLSGRAASGAATRFPAGCSTGRPSI >KN540619.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540619.1:44844:47001:1 gene:KN540619.1_FG005 transcript:KN540619.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMAGMVALWNEWEIRVLVLSSLALQVFLLFSAVIRKRNVSAVLGLLLWLAYLLADSIAIYALGYLSQTRVPRGVDVRSFRNTHRIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAMYVFAKSRPGADILAPAVFMFLSGILKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRGGGVTAAAIAEESVPYTTIITDARRFFATFKRLFVNLILSFQDRTRSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLLSTSVACLLFNLLDKDRYESHDTRVDIFITNLLFGGALCLEVYAIGMMLISYWTYAALQGCNCRTLSHLLFKSIKYFRPESRPKWSNLMAQHNLISYCLHDRATLLTKVITMVGLKGYWDSWLYIQHIDVLPELKTLVFRELKDKAVSIVDNAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYYDIDGSDGDAKLTEYVGISRAVSNYMLFLLVVRPFMLTAGIGQIRFGDTCAEAKIFFERETAMPDERAAAAMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPGKRWRLIRVVWVEILCYAASKCRSNFHAKQLSNGGELLTVVWFLMAHLGMGEQYRIEAGHARAKLIVDKN >KN538953.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538953.1:66744:69469:1 gene:KN538953.1_FG001 transcript:KN538953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPMRGRCSNHVHRSSLFLKFRGENFRFAIPEGYPQSGPYPYPPPSGAVYPPQGYPSSHGVYPPPQGPYPPPHQPPPPGYQSYFNQGQQPYYPPPPPPPPPPYDHCHHHCGDEGSGAGFLKGWFSVSWTTAIVQFADCY >KN540619.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540619.1:12675:15144:-1 gene:KN540619.1_FG006 transcript:KN540619.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLLDRDSSGTQPTGANIRRALAQLVGDARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPSDMNLITDQDFRELVQKVPNGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNQTQSREREEPSDSGSGFRSFLKETVRDVFESEGIHLPRSRHSQSHYGGEDQDESYAQPTDGHTKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNIFGDDASPKVKKFMKVMLGKFQQGQSGEQGGLMGMVGSLAQEFLKVKLEGNEEEAFKPAIEQEVHSVDEVYAGTKTWAPNNGILISGCQSNQTSADATTPQGSSYGALSNAIQTILADKRGNVSNKDLVMKARSLLAKQGYTQQPGLYCSDDHVHVSFIC >KN540619.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540619.1:40888:42771:1 gene:KN540619.1_FG007 transcript:KN540619.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERALDEVVSPFLMQLSKARLLFLKLDEDSSFLEIKHLFQNIEKEAYEVENILQRVSRWENEIIDDFGGIARYLDDIVEEESHLNSICLKLQIVNAEMSNLKDRMKLPLHVPVIKPSVPPLLPASSPAKLLPANVSEEWRRLEIERKILENSTMSTLQLSRWHNLYRYHIEVDSTEFLEGLQLSKQIKYLCLRGISRITALPASIGELSNLMILDLHACHNLERLTESITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTSNYNCRVAELVRLEKLNKLSVYIGSKVAVTGDELNELENIKGLGSLTITWAVSVLAKGNDQQASVATAMLTSLSLPPNLQKLDLRCFPGKKIPSWLSPSKLLGLKKLYFTGGMLNTFGDGSMSEMWKVEILRLKFLNDLEVEWTQLHETFPNLTFLEVFRCSKLESLPCDKDGVWMNCDKQKVR >KN538953.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538953.1:131732:139379:-1 gene:KN538953.1_FG002 transcript:KN538953.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALRELGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVRDKYLSLKKGTRADITTAIEDGYDLLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRLSNGLTDAHNGDGIQTIARSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRADWKRRFFILDSRGMLYYYRKQINRTPGGCSSHPRSIGNPTEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKMDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCFLLSPKSCGHDGSASESSSFTSQDLEPSMYDDLTLEKNTGNGQHDVRGTHYHKTNMKPEKPIDLLRKVDGNIICVDCGSMEPDWASLNLGALLCIECSGVHRNLGVHISKLISTTLFRSAHGLDTAWHFTVSKPKHSDPFSAKEKFIHAKYADKEFVRKYSMDEIQLAQQMWDNVTANDKRAVYSLIVQSRANMLEWLNYFCSTELM >KN538953.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538953.1:23697:31132:1 gene:KN538953.1_FG003 transcript:KN538953.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRSADQLDKDSSNNGIEKAESKADRFGSLREKGKGVKPINGSFRVAGTVLGQLMQALRPAAAAASATARTYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQDVREPQMKEKDVKFQAAEILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >KN538953.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538953.1:87454:89083:-1 gene:KN538953.1_FG004 transcript:KN538953.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPHHSLLLKATAAGAAIATTNDPNISSFFLYNHSHGSQAPQPANAAAAAIVEDASLESSVSAVLDTSPTVDRKRKAAEDSAHSKDSCKDGKSRRGKKASKEVEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDQKIGGVFQEALSMPTPVLNQSSPAPSQAIMDTTSTTSYSLQSQHGAISFSQDNGSYLMQAVGEPRQQEMLNQLVFNNICSFQ >KN538953.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538953.1:119933:122192:1 gene:KN538953.1_FG005 transcript:KN538953.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQDAAASSFSDDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEDNAIELWLKQFQKFEDKSAAINCTTGLGKELRDMLKIWCRRGEKLMVGSLEYREIIEADQELKGVTCLYNDYVMEVMWGIKNLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPEMINNDIVETACYLYHCDFLEKRHSEGLHLSDYHLLKISGLNSSEWDTMKLATALKKITRPGEEIEHPPEMFSSDELLKIERDADKYKDKIYKTVVSKIWNELVRSYGVKKEKLRHMQFLVEAAAQEAAKREMVAGLNTVVMYGEDGAKS >KN538953.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538953.1:16135:16844:1 gene:KN538953.1_FG006 transcript:KN538953.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAAAPVVVRHGGVVLPPGFRFHPTDEELVVQYLRRRALCEQRRGQVLLRRAAGGERRRREGEEAAVDCQFAHFFMQELIVFVD >KN538953.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538953.1:143211:147082:-1 gene:KN538953.1_FG007 transcript:KN538953.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDYYHSCCGDPDPDLRAPEGPKLPRGAWNNGDNRLNKFISVVSIFILWMEPLSTLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVERILKKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHESSIGFVTSGEIQRRAEFFEPFISGLTNSTVVQVSSILPFYEGLYSRWGGIMRYNTSRYREFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSEGAAAAEKPYITLLYRPGHYDILYPK >KN538953.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538953.1:170012:170554:1 gene:KN538953.1_FG008 transcript:KN538953.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATELQDAGVTFRAKASPRSLVDVTFDRRGGVMEIPTAENYANRAMLANLVAYEQSRGRREMQRVASYALLMASLADGRRDAEALHRAGVLAGGDVDEAAAFYAHLCPPPEAVNNCYGEVYGGVREYCGRSWNRHRAVLAHDYFSNPWTSMSAAAAVLLLLLTVVQTVYTVLPYYHPT >KN538953.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538953.1:174015:180119:1 gene:KN538953.1_FG009 transcript:KN538953.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLLVLVLAAAAFAAGTVTEAAGDGCSAGCDLALASFYVTPNQNVTNMADLFGIGAANYRSLAPYNPNIPNLDFINVGGRVNVYFTCTDCATNSYPPNNIPDTAVINATVNCSCGDASISPDYGLFLTYPLRAEDTLASVAATYGLSSQLDVVRRYNPGMESATGSGIVYIPVKDPNGSYLPLKSPGSQWKLPTSEITRAASVLCKLIMRLHKGKGASAGAIAGGVVAGVVVLAAIFLYIIFYRRRKAKQATLLQSSEDSTQLGTISMDKVTPSTIVGPSPVAGITVDKSVEFSYEELSNATQGFSIGNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEFIENGNLSQHLRGMGYEPLSWAARIQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGGTSMPTGTRVVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESSSDSKGLVYLFEEALNSPDPKEGLRTLIDPKLGEDYPIDSILKLTQLAKVCTQEDPKLRPSMRSVVVALMTLSSTSEFWDMNNLYENQGYANLDEQ >KN538953.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538953.1:112338:116673:1 gene:KN538953.1_FG010 transcript:KN538953.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGREKQQRQEAAADDVDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGKELRDMLKIWCRRGEKLMVGSLEYREIIEADQELKGVRCLYNDYVMEVMWGIKNLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPVMINNDIVETACYLYHCDFLEKRHSEDLHLSDYHLLKISGLNSSEWDTMKLATALKKITRPGEEIEHPPEMFSSDELLKIERDADKYKDKIYKTVVSKIWNELVRSYGVKKEKLRHMQFLVEAAAQEAAKRERGVEGVDVGEAGGDGGGVQRPGVDGVDVGTEVGEDWMGAAWEADGAGMVTRASAASGELYLDNLERLSGKEIERAKLSAIAACVSACVCGGGIVVFIKKEENTS >KN538953.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538953.1:35264:38956:1 gene:KN538953.1_FG011 transcript:KN538953.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLLPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNIMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPALSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECSSDGSEEKDANAKRCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >KN538953.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538953.1:913:2773:1 gene:KN538953.1_FG012 transcript:KN538953.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding VTKDGCPIIFHDDFILTQETDAVYAKRVTDLLLEEFLSYGPQKNSHEISKPLLRRTRDGRVVNWSAKDDDSLCTLQEVFERVSPRLGFNIELKFDDDIFYESSQLDRALQAVLQVVSQYASNRPVFFSTFHPDAARIMRELQSLYPVLFLTEGGTAQHKDSRRNSLDEAIRVCLEYELHGLVSEVRGVLKNPSAVLRAKESNLALLTYGQLNNVWEAVYIQYLMGVNGVIVDLVEEISNAVADFSKPVLNQSMLGSGVDLVGAKHQAFSQQQLGFLLRLIPELIQQPH >KN538953.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538953.1:126965:129669:1 gene:KN538953.1_FG013 transcript:KN538953.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQDAAAASFSDDVDVARNLRGMPWLVWLLFETPSGFAMFSFNSYIFEEENAIEGVTCLYNDYVMEVMWGIKNLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPVMINNDIVETACYLYHCDFLEKRHSKGLHLSDYHLLKISGLNSSEWDTMKLVTALKKITRPGEEIEHPPEMFSSDELLKIEKDADKYKDKIYKTAVSEIWNDLVCSYSIKKEKLRHMQFLVEAAAQEAAKREVNQADND >KN538953.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538953.1:147787:168116:1 gene:KN538953.1_FG014 transcript:KN538953.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAWWARSRVRILIPVIFLAPALFFLLSPPSSPPFFFTLPTSREESPSASGSRVIWAQQRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDWDQMSVGFLDFAVRSFGHAQVLCDGIAVARILNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKLIFRCANMDENFTAIESNADDKEETVLGEMGFHSQHGGWALWREAGSRTEMHLGPPSVSPAYCFDFSALHYSYRFCSVELGKKLDASMMTVIILTIGNQEVNFSYSSYQCSSASSAIKGTRVDRLREASDTGHHRGRHKRARSSSPPRHDDEPPPPPPPPAVTGGAHGVGGSGSGAWRSTVLAEDVESAVIVAALTHVISSTAAACAVHMHDICMNHDGLIAVMQQPPRGFPPLPSSSGSAATAPEQQQPRRRYRGVRQRPWGKWAAEIRDPIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDHCKEKLEKDLTLSPEKAAELKHVLAVLDDLSADELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLNVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKNRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSSVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALESSGETNFQVCTLGKDVVITKKMVSISMEKKLEQQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFKFPPIVAPIKCTVFPLVKNQEFDDAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVGIDEVASVVKQLTDGQSTWADVSFKYPSHIGPQGDQE >KN538953.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538953.1:41882:44899:-1 gene:KN538953.1_FG015 transcript:KN538953.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANCTELMDSDRVGDEDENVLWESTAPSVPPTQIWDFSLGKSRDHNENSALEVGFGSNNGGFMIKSYNDMLKEISSGTTKDLEDIYDSRYCAAAEDIMSTNVCQLSSKNPSTGSNKRKASSCASTIDGPTTSTSHVPAASGALGGSSNDRGSALPKEISFCDQTVVPTGADQRPCTIKIDSETLAQNRDSAMQRYEKHIRYESRKLRADTRKRVKGRFVKSNGAPDDVSNGG >KN539244.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539244.1:62765:63245:-1 gene:KN539244.1_FG001 transcript:KN539244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTYQLDPVTVAGANSAFTDDALKLGAVAGVVINHPRSSSRLLPMANLILAIVAGTNRFSADDTLKVGAAADDKLGPVVVTDAELASATDVAFMRLPPKTYSSLAPPPIDIRHTLSISSSQGYDK >KN538905.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538905.1:119447:125118:-1 gene:KN538905.1_FG001 transcript:KN538905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLAELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKVGWSRVQQCSGTWLAPSPVPAMLSAPVWSNGICMLATR >KN539244.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539244.1:26263:32833:-1 gene:KN539244.1_FG002 transcript:KN539244.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKADNDAAAEHHIQMPESGRQADMAAAAAPEKWLNRFVRSVALIERVGNALGTLAFTWATVILLGGYPTELRSKDDFKFATIIVFLEAARMFTGPNNKSDYQLFFRTRGAFRSLGGNGLVMIVYFWGATLSIRASNLHYVPRIVLIITVMVLLVVGQILCRLGGKPRTCCNWPRRAISLWSPVVAILLLAFALRRNFDRAILIVWTVYGVLVVAVLLATVSRLQFPIIINLVHSALGSRQVFWRRIFLNSCMLAAIVMPLFVVDPDPDLRVAMVAVDICAVPILSLGNLQIPAAFARVILAGLRLEPEGYDGHGDTTNLVPSLRIFYGMVLAQGLLYVVAAMVEFLFSSITRRSLVRHGGFTGQWGVESVDLYYEYAFSKYMKGGLFAPERISLSDFAIDSLNSDLSKNQLYGVWMMHIFLQRHPTREQLLEKLNTSAQTMARLISMLDWTNRDEHPTIRLYAAKVVAELAKSLRVVIVPGAMQLVSTLLDTDGKPERGHPLLDADDDQDPFVDTTVKQEKRQDATGHHQGKTQETLGDTDRLLETPNRSTRTNAQTSILGCWRKISAYWSIPKEQPLTDNDLLPALGMSIVYNLVGCDQNNLLEIDRVTDLIPKITGFTSFRSAIMNSESQQKVLLKSSLKVLQRLTRIEGEIGITLRYKISKHPFLFRNLAEILGDSSSNQELRKLVAGILRNLAIDRDTRQEIGQMQMLITMLMKAFLDSIRSFSSNVDCLLPKVAGQALVLERIMDAEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSHCPGIRRVVLEQSIYMMEYNSHYANCFNEYQMMDALSNVELTPSRAENYMVFLGDTGFMECNTPLSALADRAKELMGRQWLQGINSAN >KN539244.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539244.1:83698:88146:-1 gene:KN539244.1_FG003 transcript:KN539244.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAGGEHRVQMPPAQGGDRRGRRVATPEKWVNCFVRVVALMERTGNALGSLVFTWATVVLLGGYLTMLRSYDDFYYATVIVFIEATRMFSRSNRLDYQLFFRTRGAFRPAAGWNGLIMVACISNAMLCTVLRNYIPYFMNPLWFLGVMLLLAIVQFVCSAASRLHTSYPIRRAISLWSPMVAILLLGPFVLRLYVNSQTNKLFTDASMPKWTIAYVVLLVVVLLVTISRFRFLSIIKLLNGTLGRKREFWCQIILKLCMIASIIMPLLMVDKYNRDALIMLEAFALVLLVSCGNLQIPAATIRVLLPLFRFLTQYYHWADWLIDKKKDGENETLVPSLSIFYGMVLGQGILYIAACILEFFSFIPRRSLIRQSGFGGQWGIASVNLYYAYAFEKYMEGGVLVPKKISLITFAMDSLNSDSPKMQLSSVQMLHVFLQREPTRERIISELTTSTNTMARLISMLGWSSPNHTVVRLYAAKATAELAKSLRVITVPGTVQLVSSLLDIHGKPKKGNPLLDVDGEQEGKQAPIHNTSESQEDRHDAADDQCQIQERHGDTDNLLETQTRSTHINERISFVIRTWQRISEYWSIPKEKPLTDHDLLPALGMSIVNNLASGDENNCVEIHRETNLIWKIIGFTSFRGDTTTSEAQQWVLVKSSVKVLQRLTSIGGEIGIALRNLAIDGDTRQEIGQMQVLITRLMKAFIKSDGTSSTNVDCFLPKVAGQALAMLAMDNVHNCLVMMKEPELINKLKNMILIPDEKYIYVAASLLRSMCQHAQAKLTESDLKELSHTLREVLERIMNVEEAELEILIGLSSQICKVIPEEFAQELEGGQIKRRFVKRLVDALNANINPGAHCPGIRRVILEQSIYMMECNSLYASCFNEFRMMEALSMVEEMPSRTENYWIFLGGAGFMEYNTPLFALVDRAKEMLGVQCLQDISSSN >KN539244.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539244.1:113461:114111:1 gene:KN539244.1_FG004 transcript:KN539244.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCWRILCLEDIRLSLIQLVSARDVVQTILKLEDDRRMEVFFLLWVWWYARNKVKSGEEVIRVEEVVHKVKLLVYDYASMRKDKRPHVNVQRNKWVPPVDGRLKLNFDGAFRAANKSGGYGFLVRDHLGCAVLAGAGCLEHVHDAVAAEAEACLAGLQAAFSHGINSVQVETDSSILERAFHSHDYALSPCSSIVREIKDLVHLNFSSVVFNYAP >KN538905.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538905.1:183966:192426:-1 gene:KN538905.1_FG002 transcript:KN538905.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALISLLPLLLVASKITAAAACIGKERDALFDLKATLRDPGGMLSSWVGLNCCNWYGVTCNNRTGHIIKLNLANYNISKEDSLTGDISPSLVHLTHLMYLNLRSNDFGGARIPAFIGSLKNLRHLDLSFANFGGKIPPQLGNLSKLNYLDISFPYNNFSSFTSSSSVDNLLWVSQLSSLVYLDMSLWNLSVSSDWLQSLNMLASLKVLRLSGTNLPPTNQNSLSQSNFTVLNEIDLSGNNFSSRFLNWLASIYTLSLINLDYCELHGSIPESVGNLTALNTLYLADNSLIGAIPISKLCNLQILDLSNNNLIGDIADLGKAMTRCMKGLSMIKLGNNNLSGSLSGWIGSFPNLFSVDLSKNSLSGHVHTSISQLTELIELDLSHNSLEDVLSEQHLTNLTKLKKLDLSYNSLRISVGANWLPPFQLYELLLGSSPLQSQVPQWLQTQVGMQTLDLHRTGTLGQLPDWLWTSLTSLINLDLSDNLLTGMLPASLVHMKSLQFLGLSSNQLEDNNLEGSIPEWIGDNMQYLMILRLRSNRFTGSIPSELSQLQGLQVLDLANNKLSGPLPQGIGNFSEMASQRSRHIIPMQISGDSFGGSLYHNEILYITIKGEERLYSKILYLMKSIDLSNNYLTGGIPAEVGDLVGLKNLNLSKNLLSGHIPETIGNMSSLESLDLSWNRLSGIIPESMTSLHLLSHLNMSYNNLSGMVPQGSQLQTLGDEDPYIYAGNKYLCIHLASGSCFEQKDNHVDQAEHNDVHDIWLYIFSGLGFGVGFSSVWWLLVCSKAVGKRYFQFVDSTCEKVIHWMILLEKKFVLKQLLGGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEEMEPQNSLLDILAAGNPDPMVQ >KN539244.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539244.1:17669:21926:-1 gene:KN539244.1_FG005 transcript:KN539244.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGSVVAEHRIQIPAVASESGQADHKAVAAAPEKWLNFFVRLLAGIESAGNALGTLAFTWGTVVLLGGYPSNLKDDFGYATAIFFLEATRIFTRNNMLDYQLFFRTRGAFRPLGWNGLMFLCAEVLGLRLLRRQISLWSPVVALLLLAFCVYREHRSSQLAMWILYGLLLVVVLLVTISRLQFPIIINRVQGALGRKYVFWRPFILYSCMLTAIVMSMFMVDKLHRYALVYVDMEALGIVSFGNLQIPAAIARVELAGLRLNPKGYDGQGGTPHLVSSLKIFYGMVISQGILYIVAGMLEVFSFIPRRSLIRNGGFTGQWGVESVNLYYAYAYDKYMEGGLFASKRISLSNFAMDSLNSDLSKNQLYGIRMMHIFLQRDLTRARLLEKLTSSTQTMARLITMLDWSSRHHRKDIRLYAAKVTAELAKNLRVETVPRTLQLVSTLLDADGKPKRGHPLLDVDGDQDHFVDILDRQDKKHDIAGDQEPIEDTDNLMETPTRSTHINDQRYIPRILHRILAYWSIPKDQPLINDDLLPALGMSIIYSFAGCDQNNCVEIDKVTDLIPKIIGFTSFRSAMVNSEAQQKVLLKSSLKVLQRLTRIDGEIGITLRYKISKHSFLLRNLAEILGDSSSSNQELRRLVAGILRNLAIDRDTRQEIGQMKMLITRLLKAFLDSNESFSSDVDCLLPKVAGQALVLERIMDAEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDALNANMKPNPHCPGIRRVILEQCIYMMECNSCYANCFNEFRMMDAVSMVEETPSRAEKYMFFLGDMGFMECNTPLSALVERAKELVSRKWLHGINSAN >KN538905.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538905.1:61228:71251:1 gene:KN538905.1_FG003 transcript:KN538905.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGEAPDKKSMNLLVEIFQLVPVVYIEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIIPLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVIHLGTITHIDKHANFVESVMLLVCLHAMKQGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAATCNLQRISAYIDSICVFLNRMFVDLHALLQSNIEIDLLRDFKQSENTGVSGAHPATQGDMKFALGKLGLGDHALDLLEQVQAAVTRIGNVLGLMMVLTAGRTRYLNNMSRYVRKPKFDLRYTTSCKLLGWDDDIIEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFTSTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTILR >KN539244.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539244.1:92944:95480:-1 gene:KN539244.1_FG006 transcript:KN539244.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWMIAYVVLLVLMLLLTISRLQFPSIIKLLNGTLGSKQEFWCQFTLKLCIIASIIMPVLIVPDTSDRYVIIILEALALVLVLFGNLQIPTATVRVALALLRLLPHNYYSDDEPIDEKNLGDKTNLVASLNIFYGMVLGQGILYITACIFEVFSFIPRRSLIRHGGFEGQWGVASINLYYAHAFEKYMGGGVLAPKKISLVTFAMDSLNSDSPKMQLYSVQMLHIFLQREPIRERVIAKLTTSTKNMARLINMLGWTSPSHKVVRLYAAKATVELAKSLRVVTVPGTMQLVSSLLDIDGKQKRGNPLLDVDGDHEGKLDPTYSTSESQEERHDAIRDTAAEECQEQPLTDHDLLPALGMSILDDLASCDQNNCVEIDRVTDLIPKIIGFTNFRIVIKNNGAQQMVLAKSSLKVLQRLTSIGGEIGVALRYKISKHPFLLRNLGVILGDNSSDQELSKLVAGILRNLAIDRDTRQEIGHMQVLERIMNVEGAELEILIGLCSQICKVIPKEFVQELEGGQIKKRFMKRLVDTLNANMNPGGHCSGIRRVIIEQSIYMMECNSHHANCFNELQMMEALSMVEEMPSRAENYRIFLGDVGFMEYSTPLIALVDRAKELMGQQCLQGVSSAN >KN539244.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539244.1:4687:11062:-1 gene:KN539244.1_FG007 transcript:KN539244.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPVEASGGLVMRDITGGGGELRVQIAANSGGQGGKVGAAPEKRLNRFVRMVALMERTGNALGTLAFTWATVVLLGGYPKELSSKNDYWFTIVIVFIEAARSTRTNAQTSILGCWRKISAYWSIPKEQPLTDNDLLPALGMSIVYNLVGCDQNNLLEIDRVTDLIPKITGFTSFRSAIMNSESQQKVLLKSSLKVLQRLTRIEGEIGITLRYKISKHSFLFRNLAEILGDSSSNQELRKLVAGILRNLAIDRDTRQEIGQMQMLITMLMKAFLDSSRSFSSNVDCLLPKVAGQALVLERIMDAEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSHCPGIRRVVLEQSIYMMEYNSHYANCFNEYQMMDALSIVELTPSRAENYMVFLGDTGFMECNTPLSALADRAKELMGRQWLQGINNAN >KN539244.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539244.1:38804:41774:-1 gene:KN539244.1_FG008 transcript:KN539244.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHSRELVWNTTGGRLTPKITVEINFIPSRFTVAKWTAFFILLVVVLMVTISRLRFPIVTKLADSALCRKLLVWGQTIQNMCMLAALVMLVLTTDGSFRFVTILSLLGITLMVSSGNFQIPAAVVRAEIASFALHRLIMPHNGYIEHGENPDSKTNLVPSLIIFYGMVMAQGILYIVACILDIFSFIPRRSLIRRAGLRGQLGVEYVNLYYAYAFEKCMGGAVFVPKKISLTNFAINSLNSDSPKNHFYGIQLMHSLLENEMTRVRILDKLITSTKTIDRIISMLGWTSPNNTTVRLYAAKVTVELAKDLQVITVPTAVQLVSALLDTNGKLKKGNPLLQVDDEQEERQDPILNTANSQEERPDGIRNSDDDPTQRQEPLEGTDNLPETQTCSTHIHEQNCILRRRWQHISEYWKVPKEHSLTYYDHLPALGMLIIDKLASCGQNNCVEIDRVADLIPKIIGFTSLRSDTTNSEAQQMVLVKSSLKVLQRLTSIGGEIGITLRYKILKHPFLLRNLAEILGDNNNQELRKLVARILRNLAIDGDTRQKIGHMQVLITRLMKAFLNSDRTSSTNVDCLLTKVAGQALAMLATDNVHNCLVMLKEPDFINKLKRMIQIHDEKYIYVAATLLCCMCQHAQAKLTESDLKELSQTLREVLERIMNAEGAELEILIGLSSQICKVIPEEFSQELDDEQIKQRFIKRLVDVLNANMNPGAHCPGIRRVILEQSIYMMEGNSHYTSCFNEFRMIEALWMVEEMPSGVENYRIFLGDAGFMEYSTPLFALVDRAKELMGRQCLQGVLKRITDTMVATKLEALIGLSSQISNVKS >KN538905.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538905.1:10418:19299:-1 gene:KN538905.1_FG004 transcript:KN538905.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSRQQPEALPCLVFDYGEDGGEQRRPTTLYSVADGVHRPCEFEELRDKRSWVTSHGWVLTWDPTTLATFLWNPHAAGRRRIVLPSFGQTTTTPPPADSFCALSGKPTDDDGGFTVVMVEPPGSCFILFCHVGSSSSSPAAWVRHEYDIGTRKMDIEGRQRMKRSIHCITSCGGKLYHFIRSTAYGVLEFSPDHQPVFTTVRMKPASPFTTTDMFVAFIFSVDSLPNHLEMDRGRNGRDDFFGGRDPFAGFGGFGRQRSLISGVFGGRDPFDDPFFNQPFGSGMHGPSLFGSMGGPFGDMRNDGYLEQAPPRGNGRKPIITELDEEEGENSEGQRQAKREPYVQEPDDEMQGGQLQPRRDFNRANEGQPQARTFTYQSSSVTYGGVNGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGNVDTTQILHNLHEARDTDELAGFEESWKGNARHHLAGLNQNAGTSNNNEPGNRGTSGRGRQSAWGWALPGREQGRDQRRNGERPKSRLLDRTGSAPQTGERPKGNQDEEEDEPGEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSKEFLCWQRFGRNVEHTGPSTQEPEAQSIGWGQGVDHAAESAAQAPLVESDKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPLIFEDENGQELEQAVAPGSEVVDKESGKKIGTVNTALGSRGMGLLRLEEALKQNSSLAIKDNRDVRVKAIKPDWWPAEWTQMLEQQSAVA >KN539244.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539244.1:123669:126807:-1 gene:KN539244.1_FG009 transcript:KN539244.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIAGEEHRVQIAARASSDRQDKVAAPEKWVNCFVRVVTLMERTSNLLGTLAFTWATVVLLGGYPVVLDSHGDFWFATAIVFLEAARMFSRNNRFDYQLFFRTRGAFSFRSLGGNGLIAIVYFSAAKVAIVVREVQDGAFMLIIIMIPAAIVHEELARMRLTRHDYIGVGEKTNLGQSLTILYSMVLGQGILYIVAGTLEVFSFIPLRSLVCRAGFTGQWGVESVNLYYEYAFDKYMEGGVFAPKRINLSNFAIDSINSDLSKNQLYGIQMMHTFLQRDPTRAQLLEKLTTSMQTKARLINMLDWTNGNQHTTIRLYAAKVMAEFAKSLRVVTVPEAMQLVSTLLDTEGRPKRGHPLLNADDDQDPFVDTTEERLDAAAHSNDQVSILRSWQRISEYWSIPKEQPLTKDDLLPALGMSIVYSLASCDQNNCVEIDKVTDLIPKIIGFTSFRSAMVNSVAQQKVLVKSSLRVLQRLTSIEGEIGIALRYKISKHPFLLGNLAEILGDNTSMQELRKLVAGILRNLAIDRDTRQEIGQMQVLITRLMKASLNSDGPSSTDGDCLLPKVAGQALAMLASENVHNCLVLETITDVEGAELEILIGLSSQICKVKPEEFVQELEHGQIKRRFVKKLVDALNANMKPSVDYPGIRRMILEQTIYMMESSSCYANCFNEFQMMNALLMVEETPSRVENYMIFLGDTGFMECNTPLSALVDRAKQLIGH >KN538905.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538905.1:162408:163253:1 gene:KN538905.1_FG005 transcript:KN538905.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPRSITIVTLEDLHVLATLDEPRSISLISIPAIRLAAEFVVAITPKVDYDGWVCNKLEDLRRVRRFDDLLTDLQKRILPMLGNNPDDKAALRNLRTCGYAMWSVRQHAHPSLHNLVGFYSNTLTRKARQALDPYKAYTIKQEWLHAMALRVEESRSAFMPFDSDYVTPSPPMPTIILSSLVDVHGVRSVIDPHRVELGAVDAVRLAPEYLHILLEKVEQEGWICPTLPALRHVARFANLLTDLQDRVLPGLLNDHTDPAVLRKLRACGCGMPRVLSSA >KN539244.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539244.1:45716:48866:-1 gene:KN539244.1_FG010 transcript:KN539244.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVREFNCATLLALLEATRMFSQNSRLEYQFFFRTRGAFRRPRLIRLVLIVCMTEAMIGKVPMEPNSSLSSRSGKVAKLVCPVILKLFGDPQLRAVSLWSPLAAILLLVPCLFLETSIPHPDRLPRLKEYFALLLTAVIIVTITKLQFRCITSLVNSPCGHKMSFLRPVILFLCMCAVIAILGSLYSDSAYIVAPMAFFLIFALVLESFGNLQIPAAVARVVIAMIQPTTVICVQSILTAVTQRTSGIYTGIPKNCTREHAYPDGTLGDTKKNVKFSLDVFYVIVLTQGALYIVACVLEMFSFIPKIHLVRQSRFRRKWGRKCVDMYYSYIFEQCVSGGALAPRIMELTSFAMDFTNSNSPSNQLYGIQMLHSFLKRKRTKALLLLRLTTSTETLNTLITMLGWTSPEDAQVRLLATKVIVELSRSLQVIGIPGSMQNISSLLDTENQLRRRNPLLYTYYSQEGKEGTIVDTGDGQEHIDQDHPHNNNRLNSWMLGCWQLISKHKKIPKEKTFIEHDLLPVLGMSILETLAECDPDNCAEISRARDLIQKIIGYTNETQPKILKGSSLKLLTKLSNTGGKIGITLRQKMSDHPFLLRNLAEILGDIEGSQEHKKLAAEILRNLADDGNTSQEIGSTRVIISRLIQAFLAQHPPSNTNSDRSLQITAGQALAMLAMESINNCSAMLKEPGYSFIRELTAMRPMIQDDRYKYVAASLLQNLCLHAQSKLSSSDLTELSHSLRKVLERITDTTVATELEVLIGLSSQICHVIPEDFARELEHYQIKERFVKKLVEALNANVKPTAHCPRIRRVIVEQVIYMMENNCSYANCFNECQMMEALTVVEETPSKVEKYRLFMGDAGLMEYSIPLSNLVARAKEELMHHVT >KN538905.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538905.1:193607:197679:-1 gene:KN538905.1_FG006 transcript:KN538905.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding KLLHQFLSAGNGSNDQNRKQILSLGAGFDTTFFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSQMKEKLGPEASISIEKGEVRSAHYKLFSADIRDIPKLDSVIQMAEMDPTLPTFIIAECVLIYLDPASTSSIVSWASDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKENLFLDHGWQRAVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAKDNV >KN538905.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538905.1:747:5098:1 gene:KN538905.1_FG007 transcript:KN538905.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEDEGFVGLGPWRQWPSVQGRGLSGTLPPAIAGLRRLTGLYLHYNGIKGSIPREIGSLSELTDLYLDVNHLTGPLPVEIAAMGNLQVLQLGYNQLTGSIPPQLGKLNKLAVLALQSNQLTGAIPATLGDLTLLARLDLSFNSLFGSIPSKIAEVPLLEVFDVRNNSLSGSVPAGLRRLNGGFQYVNNKGLCGVGFSLLDLCLSSEDGLKPSKPEPFGPDGTVKTRQVPQSANTDNHCEGSGCSKSSNASVGVLVVGVVAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHENLVSLRGFCCSRGRGECFLVYDYMVNGCLSQYLDVKEGSGANILDWPTRVSIIRGIAKGVEYMHCKKTNKPSVVHQNISAEKILLDHHLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVSTVANDLDSLIDENLNGVFSRTEAAKLAAIAALCTSETASQRPTMEAVVQQLSSCH >KN538905.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538905.1:165535:168588:-1 gene:KN538905.1_FG008 transcript:KN538905.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMEAEWLSSLLWCCAESGGGTIADGLIALRDKRKGSPCQQDSDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLDFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGDTLQIKSLCMVRSGYVYRARAELPSIMPNLESLALQSCKETAFAPKLCSKFLCLRHLSIALIGFFPAYDYLSLASYIYAAPSLETFDLDVMQRNVQNVSIFAHPADLRSIREEQHHNLKSVTVTSFISVKSLVELTCHILESTTSLECLTLDASQTGFRCDTPGSKIGKCPPLDRDVLMEGHRGVLAIRRYIQPRVPSTVKLTVLEPCSCHSTEL >KN538905.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538905.1:135210:136067:1 gene:KN538905.1_FG009 transcript:KN538905.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHYADLSDSSSLRRALDHILPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLASKRIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKARKELGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >KN538905.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538905.1:155563:156147:-1 gene:KN538905.1_FG010 transcript:KN538905.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGRRWVRPRHDYEFLLDDVLRLGATRIRIGLDVAGGAANFAARMRDRGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTNALDEGGAPSMGNSGTEEALEFFMFDVDRVLRVGGLLWIDSYLCQSEERRQLVVNLIKRFGYKKLKWMVGEKAGTGSAKTALYLSALLQKPARG >KN538905.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538905.1:54130:59080:-1 gene:KN538905.1_FG011 transcript:KN538905.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIQSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNTIIGPALIGKDPTEQVDIDNFMVQQLDGTSNNWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQVKYCLNNKTMSMHDSVIFPAHLAAVQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEDNNDGSHKISGDSLKDVYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGEKFRAPVEPY >KN538905.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538905.1:23299:31492:-1 gene:KN538905.1_FG012 transcript:KN538905.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQCRSILKKFSIFPTAYNNFRMPAKIAPVIHEWTYDAMCHDLLEMDGQKYIYEVSKAGSEPERKEALLEDHDPLWVELRHIHIADASERLYDKMNNFVSKNKAAQLHSRDGGEISTKDLQKIVQALPQYGEQVEKLTLHIEIAGKINKFIREYGLRDIGQVEQDLVFGDAAAKEVINILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPRDEMDAINSLRYLVGSDTKKTSRPGGFSLKFDAQKELIEKLSKGALPLNEYPSMSEPSPTEQGSTQSAAATKPAQAQPMSRRSRRTPAWAKSRNSDDSQSSDSSVLRHGSSDFKRLGNRIFVFMIGGATRSELRTVHKLTMKLKREIVLGSSSIDDPPQFISAIFDQLYSLFEFKNAEAEDANCSFTPCGGKLYYLIKPGGSSYGVLEFSPEHHRPVFTAVRVRPTHLLATADLLVYSVFPVDVDGELHLVFIFRGENCKIVADVAVYRVDMERRKHVRIGSIGDRAILVGGRRNDMEAAGWCRARRHGLLPNSIYWMDWDGSLRVYDLGKRTEEIRDPCKGIAGDRKSCQAFWIIPPVQHSHIRK >KN538905.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538905.1:94199:100987:-1 gene:KN538905.1_FG013 transcript:KN538905.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSWLQMLTLVIVLSSSQRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRIGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGKHEMDVSISGENGHSSSMVGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEIERITAEAQLRVTEAEREYKISLENEKAKYHQEYLDSIKILEEKWKMHQQSPKKQIKETEPTSSEVGEVQNLLQNEKVLRQSAEDEANDLKNQVLHWKKMEAAATAEVVKLRKMLDTEASQKEKLDEEIAVLKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >KN538905.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538905.1:126820:131581:1 gene:KN538905.1_FG014 transcript:KN538905.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCRPLVSPPLPRRLAVASPLAPARPPPSSASSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGAAPYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGNEEEEDGEGANLSEEEEGDWDADEPDEEDIIYVEESVLRKLKRRQIQQQNGCTQCLNSGPSILYLLFVLNISERALRSKQPQKECDSLSKIILVMVRDVLLPSHYFIKRNCKGANQTMKEPTDTFALFLCGHSSREVGVMKCVLKMTQEASFDAAWNLH >KN538905.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538905.1:145923:149766:1 gene:KN538905.1_FG015 transcript:KN538905.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQGYNADELAIAGEFLTTWLPFLSAGLCASCADSLRSRVSSLLPPQGFWGFRRLLRRAYLGLAAEESPSSPPPRIDQIEPSGWDSDPAVVHPQHLPFEPSGWDWDPPQPPPEQEQQKQKPQPAEKPRMSAAEQKRIVDYVYDLQEMGKRGELGDRTYTEPQRWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIASDPMPSLFKIMIKRLVRWHVLPTTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSTLKIAGPGEFTGSLPIPLPVGSVLILNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFNFRDDPELLNIIPLETAVQETGRSSDEGKGKQPDIQIRNPSKAHRNKKSKVRTSPGKGGRGGILGDGPPQYAQAQVTGISSQQNFHGQPTISGSSAERERRPVGPLRESRYQQDAPGMQSNMDGIRERANWLAQERMHGNSMSSIDDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVNLDE >KN538905.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538905.1:177400:183359:1 gene:KN538905.1_FG016 transcript:KN538905.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MREREPYLHHEYLGRFQDPTGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGAEEAMEEQEEEEEEEEEEEEEESEEEQGMDMKEKGRVADNSSDNPSATELQVVDSNKTAGASKQTLSAEDMEDQLEQFTSLMQQKFLSGEDSEHMDYSRIDNDEMLDDHWSKEANYDAEEKLELFDHREFDKWVERGEAPAIPSSLKLYNEVRDLGFKTFLLTGRSEGHHGVTVDNLKKQGFHDWDKLILRAPADRKKTATIYKSEKRKEMEEEGYRILGNSGDQWSDLLGFSTSARSFKLPNPMYYIP >KN538905.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538905.1:138094:143051:1 gene:KN538905.1_FG017 transcript:KN538905.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWSPSLSTHLNIQIKQLLERRVNEVVGFYDGKKHGSGGRKAGRKDSSLSKGMPDLMRQFGTIVRQITSHEWAEPFLKPVDVVGLQLDDYYKIITKPMDFSTIQKKMEGKDDNKYNNVREIYSDVRLIFANAMKYNDERHDVHIMAKSLLEKFEEKWLQLLPKVENEERKQKDEESNGVPKVNISPEEAIAKLAKDADNELIEINKQLEELRQMVVQKCRKMTTYEKRKLGAGLCHLSPEELTKALEMVAQDNPSFEAKGDELELDMDAQSETTLWRLKFFVREALERQANVASGRTDENAKRKREICNALARTASKRVKQQPN >KN538905.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538905.1:72191:75111:1 gene:KN538905.1_FG018 transcript:KN538905.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MALSAAAPANSSCFHPRAAAATAPSSLSVGTKVFVGLKAQTKLGSSESSCPNVTAGFYTAVNRRISLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDSIDEEFSNQVLASMLYLDSVDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKADDIENEANELIRIKNYLYGKLSEHTGHPVDKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQKKDLRNLGLG >KN538905.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538905.1:32123:38315:-1 gene:KN538905.1_FG019 transcript:KN538905.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MVSAFFLLCGCVDQASVAVVEKWGRFLRLAEPGLHFFNPFAGEFVAGTLSTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLQELEKVMGDYGYSIEHILMVDIIPDAAVRRAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKHLSGVGIARQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRNGILKDLLQPDKDKETKSSWKVLIMDKFTVRIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKEKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFAIDMQGFTTDHDMAFTDLYSAQHNSKKFNDTISTMATRIATTFASLKAC >KN538905.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538905.1:107002:110725:1 gene:KN538905.1_FG020 transcript:KN538905.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAALELASISSLSICLLARIARAQCLLDHKFTVHMVVQLLLWKRNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAATVPRLPPGITLPPPGPMELVPHEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGMPQLSKAVSAVALALGLAINVLTSSVIVHIFYYAFIHLMRTPTSLIGPFTPY >KN538905.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538905.1:173090:173536:1 gene:KN538905.1_FG021 transcript:KN538905.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFQEKLNSVANFLEEMNSVVSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARTTRIVRESPCALLRLFFSEGATAVAPWARAMGGGGRVDAPTLCCDRERCSTGWRRAGDDRKGRREGNPNPRFGRGGERRKGSVLTGEKVAAG >KN538905.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538905.1:39976:42171:-1 gene:KN538905.1_FG022 transcript:KN538905.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHGLRIPEMGEELDRLPPMEKMIRQTMYWPDGRRKRCKSAGYFEKDKCHLIQALVDKYNDDHNLLGDSAYELKDFLQHGVIYENERWYQHLNFTVKLKGANGFDCGMDNLFFAEISHMQGEVDWVISCCCEIKPNANGHCYGCRNNGYVGMKHPNNDAYNGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVRKTEEEAGAEAG >KN538692.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538692.1:75955:77770:-1 gene:KN538692.1_FG001 transcript:KN538692.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTNQSSGSAPLTVNPVVQRAEKLQELDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINNFYLEHCPKIFPPRSIPMVGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRYDAQNDVSKNALLSDVCISTSAAPTYLPGHRFETTDKDGKPREFNLVDGGVAANNPTLLAMTHVSKQILLGNQDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLNKRACKVNLETGKNEPDMDRKTNEEELENFAKMLSDERKARLQKKASFQ >KN538692.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538692.1:131482:131946:1 gene:KN538692.1_FG002 transcript:KN538692.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCHLLVLLLAFSAFHCSYAARHLLDTAAAAAAPEAAPAQPSMPTVPTTLPPIPSIPAVPKLTVPPMPSVPIPKVTIPPTAAGTIPSLPIPAIPTTIPTIPTVPVTLPPMPSIPTTIPSIPTTIPTTIPTIPGFQMPPIPFMSSPPKTTSP >KN538692.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538692.1:49209:52259:1 gene:KN538692.1_FG003 transcript:KN538692.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALCPFAKPALIFIQFIYGEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADGDGDASPRPTPFLAISPDAFHRALAVSAAGAFYCAHQVIPGMVERGRGTVIFTGSSASVTGYGGYSDLSCGKFALRGLSQSLAKEFQPAGVHIAHMIIDGAIGEPSFGASGSGILSLVSYDKKKIYQSFGRSLNPIVRYLAKEYIPQ >KN538692.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538692.1:89612:91478:-1 gene:KN538692.1_FG004 transcript:KN538692.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTNQSSGSAPLTVNPVTLLAMTHVSKQIMLGKQDDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLKKRVCKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLQ >KN538692.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538692.1:109645:111591:-1 gene:KN538692.1_FG005 transcript:KN538692.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWARDARQRKRRLDDLMLPASAASPSSSSSPSAPDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSRHIAAELRLREKELSRQQEINKRLAISSEASVSSSGKQHYGVRSSDMKEKPLIQQTRQAILEAQSSRFIINSANKKSHDLERTSNSSLCDSQVTPSVPMEKWRGDTVKGKFFAGDRTATKMLAEEQADFQKRQEQELKFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLG >KN538692.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538692.1:133097:134641:-1 gene:KN538692.1_FG006 transcript:KN538692.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNEVSDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHYQAEDIKQDYDTGKDGTVMVMFKLEYEKIENLGNAVNSACSRKIELLL >KN538692.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538692.1:39772:44547:1 gene:KN538692.1_FG007 transcript:KN538692.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKPRVVFNSLSLVASKRVHFVFFSALIKVMERPNSKIPAMKASSSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQRLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEDEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDPAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDRSNSLLGRRLNVYLAKIRALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKVPSIHPSTFTSFSRFITCSICICNSRAKDKRVTWRKSLTRATINVGGRVLSAMTIEHFILRLPYNAKHVRVNPKGVKSGNGTAAAAGGGFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEEELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFAKDVSSLMDWVCLQLPGERRRYAVEAVEASRRSPSPPPVQVVPYEFRFRYLLAT >KN538692.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538692.1:172112:173117:-1 gene:KN538692.1_FG008 transcript:KN538692.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGFVEGGIASIVAGCSTHPLDLIKTRVMNMKVAPGAPPPYSGAIDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFNGVEF >KN538692.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538692.1:153776:159761:-1 gene:KN538692.1_FG009 transcript:KN538692.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAAWGAPPAVVPAQAEAEAEMEARPQPPVGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKNECRPPSADAKHDDEAELSVAKERKIEQTSASEENIAETNTAATVKNLNDKTKDMPSEVLASVEVPDDDHSVSAVKLPQSSSQVASLGSRKTESNMKPTTPVENGSYTKDLDEALVCRSQPSPPKISGSGSLINKESLIDSKKHQDCSQTSNSKKYADNNNAQAALPVAVEPKTSRTALHVEVGHSKTKAAGSDNIGVSKMVPSVLTVDKVSPVPGGRSVTPNSSKRADNIAERNSKPSEKSISTANSLATSLKKIVRQQTAPKVVRHYPSEPEWCFMCEFEKLVGEGRQGKIALSPTGILSHLPDIGSSFGPGKQEDAHEFLRCKSHERAKKKLTISEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLQRYMSPKADDTSPVYSLYAVVVHHDVMNAAFSGHYVCYVKDTHGKWYKTDDSQVKPVSLENVMSKCAYMLLYAR >KN538692.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538692.1:104325:106837:-1 gene:KN538692.1_FG010 transcript:KN538692.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPDKVKMVTVLSIDGGGIRGIIPATILAFLEKELQKLDGPDARIADYFDVVAGTSTGGLLTAMLTAPNENNRPLFAADDLANFYINHSPKIFPQKNWVLSKIAGTLRMVSGPKYDGKYLHSLLREKLGDTRLDKALTNVVIPTFDIANLQPTIFSKFELKYKPLKNALLSDISISTSAAPTFFPAHYFETKDDNGQIREFNLVDGGVAANNPTLCAMSQVSKYIILEDDKDCDFFPVKPTEYGKFMVISIGCGTNHDQKYKAKDAAKWGIFNWLIKGSSAPIIDMFTSASADMVDIHLGVLFSALQCEKNYLRIQYDQLTGSAGSIDDCSKENMDNLVKIGEMLLDKNVSRVDLETGHYVDVAGEGTNRDQLAKFAKQLSDERRRRQNEPSN >KN538692.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538692.1:56430:59567:-1 gene:KN538692.1_FG011 transcript:KN538692.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLPPPPRAAVAAVLASILPPSPGASASLSPAGPAAAVARQIRRNDGPHPGAAVGMSSASVAERERERESEAGEFTEVVVVRHGETAWNASRIIQGHLDVELNEIGRQQAVAVVFDPALRERHIGDLQGLKYEDAGKERPEAYRAFLSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERVILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETGVLENAFGGDKNSA >KN538692.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538692.1:21224:21774:1 gene:KN538692.1_FG012 transcript:KN538692.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVVFCTCILIFIVVVISGQAEARRLAAAANGNEDAVAVEGDGSFRAVQETASSASTDHEAAVSTSEFASSFSRHFDLICSKHRTKLDVV >KN538692.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538692.1:115119:123393:1 gene:KN538692.1_FG013 transcript:KN538692.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCSWGFFPMGFPGGPAFARPTSCSSSSSSPTKHAPAALGFYPMGPYSLPLPAQGDAAEGNAAVGSGDGTVTAPSPPPPPAAPLPVKPKLVKLGARKRGRPKGNSLFADERDKNGVKMKRGLDLLQEQQFCWITARSSKELGSSVFALCRGSSCVPEPMSELIIMVKEHSEKGDGVQECQSSPALSEDNLRHSFRLGDITWVKHTGSWWPAQVVENSCISSKPKKTAKHHVPVRLYGTCVHMYVDPWKSNMEFKMMLKRENKSAMEAFHEAVKKELSHVNSPCDSTEEAANLKAKTSSKKVRKQKGLKESPVSEHMGEDTKDQHSAEQHQELGYTATTGVATRKGRRTREGTRQLSPTDGEDQASGKKASIEGSSYKTEKQVGSVYDEEACKMTTTERSVGRREGLRRSACTPMKTYLDPSEDRTSLFSDTSASEGANEVNRTPENTNQHEDDSTIDGTLASHAEIRVMVRDILFSDIIAKQHAAEMAYVDEVINGICGTSELNITGDTTPVAKGGRGIKRGGSRAEAESSNLTQRSRKGRIDQASSNGKKRAKDTSETMNHDNSSNSLRGPFDSTLATAKLPVLTIAELQMIPSLNDQDKQGSLLAAWRLSAQVSSREHSKFSSPKL >KN538692.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538692.1:180821:185951:-1 gene:KN538692.1_FG014 transcript:KN538692.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGAAAAAPSSSGASCGLRLRRDHLPRSSHFRLARLSSITDVSRSCSSSSSSPPRSLSSKQSGHADVLAHGAVDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTVEFLRRMEAPMGRAFAAMRELEKGAIANPDEGRMVGHYWLRNPALAPNSFLRDKIETTLERILEFASDVISAKIRPPSSPAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTKIKENPAALLALCWYWASDGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHEWELEPSVTCGDYLFGMLHGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPAEPLTLDQIADRCHYPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECNVDDDMNS >KN538692.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538692.1:135448:137864:-1 gene:KN538692.1_FG015 transcript:KN538692.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGVKKVAEVAAKAGKAIDWEGMAKMLVSDEARKEFNTLRRTFEDEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKELAELQEMKKNISTMTADEYFAKHPEVKQKFDDEIRNDNWGY >KN538692.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538692.1:69217:71164:-1 gene:KN538692.1_FG016 transcript:KN538692.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQTPEQSNGSLTVNPVVQRVLSRGKSLLSPSTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPEARLANYFDVIAGTSTGGLVTAMLAAPNGNGDPLFAARDINDFYLEHCPRIFPPVSKGPLGLFKSMTGPKYDGRHLHSVVQQLLGDKRVGSTITNIVVPTFDIKLLQPTIFSTYDARKDVSKNALLSDVCISTSAAPTYLPGHRFETTDKDGEPREFNLVDGGVAANNPTLLAMTHVTKQILLGNHDFFPIKPADYGKFMILSLGTGSAKIEQKFDAVESGRWGVLGWLFNKGATPLIDSFSQASADLVDIHASVLFQALHCEKRYLRIQDDELTGDAASVDVSTPENLQRLVGVGKALLKKQACKVDLETGKNEPDMNRKTNEEELVIFAEMLSRERKARLQKKQGSMKN >AMDW01028519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028519.1:1:358:1 gene:AMDW01028519.1_FG001 transcript:AMDW01028519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TEKSDVYSYGVVLLEILSGRSAVEAVVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNLAPAERPTMKEVVAFLKEVKCSPEEWGKISQQPLIKPGSQQ >AMDW01038331.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038331.1:133:757:1 gene:AMDW01038331.1_FG001 transcript:AMDW01038331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIG >AMDW01037885.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037885.1:69:672:1 gene:AMDW01037885.1_FG001 transcript:AMDW01037885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSIIDYIRYWVSPANGFTIVNESTLFVIGCGIEACLFDLDTNETMGSCITMCSDNLGIMEMHDGDCTGIGCCHIIVRRELRRFWLKLDHPDDRTTPRSYRVLSRAQVFILPTVNSYQFNTNDLVSSSWMNTSSIGGTLLRGAIMDQETCPGASESKATYACTTNTNCFNATNGGYYCSCRDDVTDGNPYVNLGCSDDP >KN543480.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543480.1:937:3648:1 gene:KN543480.1_FG001 transcript:KN543480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDLHALAAAVASLLLVSSVLVATSTAANCGRKCGDVRIPYPFGIGVDCAWPGFDLSCNHSFTPPRPYYLNIEIMDISVEAGEMRIYSPVVYNCYTSYNTTEYDTSSLQDDLRDTPFLFARRRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLEEAAHDGDHCTGLGCCQVPSIPPNLNILNISFGPGSLIGNPAWRESPCSYAFVAEQSWYNFSRQDFSLAGSKSFVNRTGDRSVPTVFDWAIRGNGSCSSATGAPACVSAHSYCVNATNGEGYLCNCSAGYAGNPYVSGDGGCTNIDECELRRAEPAKYKEVYPCYAHSTCHDTDGGYDCKCHFGRRGDGKLSDNGCRSIIPAPYVATLGMGIAIFSEKELENITGKKKIKIGQGYFGTVYRGTHKNQPVAVKCAITNVAVRKLRGKGRLMNLINASQNAFFCSKAPVPKQVPALTPSENAVVNEIKIHLQVRHTNLVRLIGCCMEKESPQLVLEFIPNGSLEDMLHGAKQRALSLPERIDIAIGSAEALAYMHSHGLQSFIHGDVKPGNILLDDNLIPKVSDFGSAELVLKIKHQRRTVSGDYDYIDPTYTSTGYFTDKSDVYSFGVVLLELITRKKPIYANNKSLIVEFIKYKKDQQQWRGIYDQELLSTEALETHSIARIDRFAAIAVRCLKRIVEKRPTMAEVVEELKQLRASVHADLLTAAHVETL >AMDW01020571.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020571.1:19:255:-1 gene:AMDW01020571.1_FG001 transcript:AMDW01020571.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRRDFGSFSKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHR >AMDW01124606.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01124606.1:2164:2499:-1 gene:AMDW01124606.1_FG001 transcript:AMDW01124606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVAVRPVVSMMGSRGTSAVLSRAARMRQKLQSALEASTLDIEDVSYQHAGHAAVKDNANQTHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >AMDW01039781.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039781.1:326:1081:1 gene:AMDW01039781.1_FG001 transcript:AMDW01039781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDVVSHAREEINKLRHANEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGKDDGSSLASPTQSFTSDSPKSASQKPKGPLEALMLRNAGDGVGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGLADDKYPAYKDRHKLATEREKAIKEKAEKAR >AMDW01012122.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012122.1:69:224:1 gene:AMDW01012122.1_FG001 transcript:AMDW01012122.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHY >KN542159.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542159.1:4479:5471:1 gene:KN542159.1_FG001 transcript:KN542159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGSQGKNSKTSDGCETKEVNSTAQNFIHFTEEEEDLVFRMHRLVGNRWELIAGRIPGRTAKEVEMFWAVKHQNT >KN542159.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542159.1:6146:11593:-1 gene:KN542159.1_FG002 transcript:KN542159.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLATAMLPLSLRSREIAGILFMMDRLTGTTVTSSRNHGPKFSCATHGARCLMLLPTLTASASFPLGIPINGEMANRMMHQIPKKCAPQTHNASYLDALMLLPHVALCRRNHRSMEHATVSENRSRRHFRNPVLAYVTPWNSKGYDMAKLYSTKFTHISPVWYDLKRYCYSYNCQFVIWLSVNRDKGYDGVVLESWSRWAVYGVLDDSELRNRALQFVKHLGKTLHLTSSNLSTHHHLKLIYVVPAPRMKELNNQDFGPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIQYSLKTLLAAKGSASHGHSHMIFLGINFYGNDFLLSKGDGGNAITGRDFIYLLDKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTPMSLSLRLDEAQDWGTGLSIWEIGQGLDYFFDVL >AMDW01034218.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034218.1:180:492:1 gene:AMDW01034218.1_FG001 transcript:AMDW01034218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIITGNQSVGGGVSSTSKTGTVTVDGIGFVAIDLTIENTAGAENEQAVALLSNSDASALYRCGIRAYQDT >AMDW01030444.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030444.1:28:141:-1 gene:AMDW01030444.1_FG001 transcript:AMDW01030444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGAVDGVDVVSALIGQYYDGLALELVVFSLAVVVL >AMDW01039471.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039471.1:134:784:-1 gene:AMDW01039471.1_FG001 transcript:AMDW01039471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNSE >KN538871.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538871.1:163321:165598:1 gene:KN538871.1_FG001 transcript:KN538871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPQGLFSFSAVANGEPFSFTLELFESVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESDAPVDSDDDFDEGNDRDESDDDDDGMLYLPDLEKLRGK >KN538871.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538871.1:199753:200619:-1 gene:KN538871.1_FG002 transcript:KN538871.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATAAAAAAPPPPRRHGYANVDPRCEWTRTEDADTLVVDVSGFRKEELKVLYNTSRKLKVAGERRADGGQWARFLKMFPVPRSCDAGAIRAVMDNEEALLYVILPKGSSSSSSSSRDKKEDEHNVSSQPQGEAAMAPMADGPSSSSGGGGNLYIAQEDEEMGKIDEKEEVIATQDVPRTHGDVDDGNGRWWQRVRPMNVLGVALILAVACVGAYVLCLMLL >KN538871.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538871.1:122085:139853:-1 gene:KN538871.1_FG003 transcript:KN538871.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSASFPVVVVDSALRPEAVEGQGAAAAVAPKTVTESESSASASMELPEEQVEEQAKKRKRDPAPDVGSSSMDDGIGPDDLKLGTVLDTSEVTRAAAFRRRDPIQVIGGNYLYECDNFTVAVFYLPAGTVMPLHDHPGMTVFSKLLAGSVHVQSFDWVSPSVYGSGGKRAVHSKNTKLVKKVLDHVVEAGCGTWVLYPSTGGNLHRFVAGVDGPCAFLDVLTPPYSEGRLRRCTFYRDYPFQLHRNHRFGRNLSAQEKSQFAWLRPINASAPPDLRIVPLMFAAYGATKRSVVHLTKSLQPGMVTTDLLMSGATTKQAKFFINILAEPPNVVADYLVPNIRAIPTKQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKIMSNSQPAQEDDASYMSSILVSRLIRAMQPTRSYLRWSDDLHKMFVEAVAYHGGPYEAKPTAVKETMQAMGVTGLTTHNIKSHLQKYRESFSSGAGSLHDHDLLGTTSPSKEALDLTSEMVRDNDAAMAEIEMLNDLLLDHDIEMVETSLSVDDLQMMEKELMSEIKLIEHNFEISESALDEYMDDLANYAFDLTASLAALLIISTGDDTAAFDSAAVGRSIKDVSLENPEVTFVPSSLGGQFCERVRLSGIPKLHIGSYANQIRVKMNVSQSMPEKFHWKIEICFHGNASMGLCQCVTGEWQNLQNGMWNAVKSPYGNKYVDVKVADKTSTRFSISIQEEFQKWRLACLGIGFILLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEIHNPVSIFLLVAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVAICFVMQSTLDPLLALFALAASWWICSVFTAYRAPKSMTLKQKQSKAFTQPMYNKGSPNPRQIQFLSPSKRDIGRTTSNSSATQYGWSNLANGGLVSPTLTKRVVPDNQDEDHYSTFHNIQPRKYSKEEWDDFTQKSTRKALMECTATPEFARWVADNAHRLRVEQQDDASEDELIESSSNSSEETAQEADTGLFRWY >KN538871.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538871.1:170588:170893:1 gene:KN538871.1_FG004 transcript:KN538871.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGEHKKEDEHKKEGEHHKKEGDHHKKDGEHKEGVVEKIKDKITGDHGHGDGGEHKEKKDKKKKKEKKHGEEGHHHDGHSSSSSDSD >KN538871.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538871.1:183726:195739:1 gene:KN538871.1_FG005 transcript:KN538871.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQFVCAKEGFRTYRGKNEVSPVAAGSGEDSGRGRRTRAVTRVGCKAMIRVKKQDNGRWAVTKLETTHNHPLVPPNQAHCLRPHKPLSECGKQRQFGIPRNGGMLLAIEPPPPPISPPVPQTSVLQVVPHYTRDGIGDHARPVLFGCAIIGDNSETSFVWLFETLLLAMSGHHPDSLTTEHDSAIQLAALKVLPRTRHRFCRWHILNETHDKLSHLSDEFPSLHEELVNCINMPETIDEFEVNFKALISKVGPGNSEWLYSVYNCRQHWVPVYLRDTFFGDESSKEECASRSSFFDGYISAKTDPQSFIQQYEKALDCCYEKEVKEEFETKYSLPEIKTSSPIEKQGADLYTRSMFLKFQQELVDASVSSLEVMKEDGKSRIYKVTKSAGSEKPHMVEFNSFGSSATCSCQMFEHLGIVCRHILTIFGTQGVSSLPSQYIVKRWTKYAMERSPDKKIDEASKVNEPKEEQKSGAEDGEQSQTWRYNSLCREALRYAEEGASSVEVYIVAMQALQEAANKVNMAKRGIGQVAPNAPLAVMPIAAQLPAEGFRNVQEISFNQRKKRKRNSNNKTTENSSNQLMYLQQPVNFLFVAPGTSSGPQGPSQIVAAVPVSSSAPHGQTTSANHPIDGNTTSCSVAAQKNSDLSNYSASAPSLGNVVPEGEIKSSGFASQIKEIKILVGIINRINYSHELSQGNGNKGNNVNMASSTSSPQLVTVPVGLCLPSMDSSKISADAINSTNSGSMISNGNASFGLCQSQSTNADPRSTPEGSSIRAAAIAAGARIASPSDAASIIKAAQSKGAIHIRPGEGVPNYLKPLAPQPLSSLPPGSIPNSVHPSSSHAQPGQYKWDNNEKHLKPETGLLQIRAGLGVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGQPRGFGKNDKGEDTGFNTEVYSASEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTSLASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRVEASVTETLNNGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQSAVAVNS >KN538871.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538871.1:114002:119290:-1 gene:KN538871.1_FG006 transcript:KN538871.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGGEVGRRRRRGWGGGFPSLMRRKQVDSDRVRAAEGEGQPQLAKELNIPALVAIGVGSTIGAGVYVLIGTVAREHAGPALTISFLIAGIASALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLSSAVQELITVLNACVMIFVIVAGSYIGFQIGWVGYKVTDGYFPHGINGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCCLYMMVSAVIVGLVPYFAMDPDTPISSVFAKHGMQWAMYIVTSGAVLALCSTLLGSLLPQPRILMAMARDGLLPSFFADVNKRTQVPVKSTVVTGLCAAALAFFMDVSQLAGMVSVGTLLAFTIVAISILILRYIPPDEVPLPSSQQETFCLSQEFDEERVSGILGDERCKTSETKDVILAESMEDPLIEKKITRKMDEMKRRKVAAFSIGSVCVGVMVLTSTASATWLPFGEAWMRVGIWLLIGVLVYILYGRTNSSLKDVIYVPVAQADEIYRSSSGYVS >KN538871.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538871.1:206639:208522:-1 gene:KN538871.1_FG007 transcript:KN538871.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGATYDLQRNKSNLESLFCYDKSVPEEDIGTPAGLDLEKKNVGKNPPCISCETKGAVLCATCAGSGLYVDSILESQGIIAAGALGALCAQSVEAVDTRELTWFGSQKQGNAVQVTSSKATSTLRQNKPQEATPSASELRLKCIWQCGVLQEKNNAARQPIGHLSTKEAMPDPSKNHILLLLSLPITDDYICIGHKRSSSSSIPTSISSSSRVEAYLQRSDRSAAARVEVGSDLARAMDTRGDTRTFEEYDAAVEWSRSAEADAVKISLPGKNTISSIHLSLDQLGLLRVH >KN538871.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538871.1:175791:175975:1 gene:KN538871.1_FG008 transcript:KN538871.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKSISTIKYFPNSEYQTLVTQATRLAFSMFKRLEVAIHLSILLEGG >KN538871.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538871.1:151939:153286:1 gene:KN538871.1_FG009 transcript:KN538871.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVDQGSSGSVEAKRRREAKGRRRSGGGGGGGGGGGGDKIGPGDVNLSAEHDFFKATDAAALPHPLAITRTTIYTCTNFSIVIFFLPPTAVIPLHNHPGMTVFSKLLLGSLHIKSYDWAEPAVFAAVSGDRLRLAEVVRDGGFSAPSDTLVLYPAAGGNMHRFTAATPCALLDVLGPPYSEDRDCTYYQDFPYSHCPSDDIAELRRHGGGMDDDEEQISMMRQLGWLKETAMPKDLEMYEMPYRGPPIL >KN538871.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538871.1:111556:113230:-1 gene:KN538871.1_FG010 transcript:KN538871.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEDQPADEVVLLLPEEILAEILRRLPPRSLAASRISLSDGKYQVIKHPTVYYKKFKPKFLIEKSEKGVYLASLEFDHNLSIWVLNESCGRFEWLLKHQNNLMPLLLRLNRGKQARRPWILQNVNYHLYCQKFPGEWNLYDWEYDPSHPDYQNDSDDDDSDEALDENNFKWNSDDDSVVDTQECFEHYKGGSLDFLGFHPYKEMVFLGSSKGLAYHWNSTKLQYLGNLRPKHREYFVRNGNINRPFPYTPCWMDNFS >KN538871.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538871.1:197578:199286:1 gene:KN538871.1_FG011 transcript:KN538871.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLAREHGAGEPWGLFEVFMGEILLPLRGAGGANGGGPGIDRVRDLGEVLRWMREVVASPVVVWPFPVPGSSPWLIPLPLPIPSLSLHFDSDAAALLRRRETSLATEVRGARRENTKGGSGGDWTTTRRGSGKRSLGLETLVPLLRVAPPCRDTTLGRKLDSEIADHINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVDAAKLIRTQLASAK >KN538871.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538871.1:157657:161317:-1 gene:KN538871.1_FG012 transcript:KN538871.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDRHSLRRKKRVLEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLDEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIERRHRVDKWIAYAVLQGLSLGGIKAAFLFQRGFPACQYICHYVYADQILFCMHITIYILHMYRKTDRVTVCGF >KN538809.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538809.1:235739:237604:-1 gene:KN538809.1_FG036 transcript:KN538809.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding VRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPQLDFDSHYMPSKDMSHMPVPAYPSGDPTTTTAFSYTGSPATADPFAAYNCWELDLHTAMQMGVTATGLSQDGPITTMAPSPSPFSHHPPPHGFYGGQQQQGTTVNHMKAEP >KN538809.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538809.1:175207:178759:1 gene:KN538809.1_FG038 transcript:KN538809.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFREMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQIYESSCQTLLYSFFPIGQMMLWTDLEK >AMDW01040407.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040407.1:194:1496:-1 gene:AMDW01040407.1_FG001 transcript:AMDW01040407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGIMMSYFNAKFFRNAINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENELFPGQKLVQLVLLLLALVSVPWMLIPKPFFLKKQHEQRHQGQQYTMLQATDESVTELEEHQDDPHHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLVLSWGYNNIFILIIGAVIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFVPFAFASIIEEED >KN538809.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538809.1:197246:199195:1 gene:KN538809.1_FG039 transcript:KN538809.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCACPEAAPTIYTYNILINCYRRARRPDLGLPVFGRLLRTGLGPDVFSYNALIDGFSKEGEVDKAHDLFYKMEEQGIMPNVVTYSSLINGLCKTKEMDKAERVLRQMVGAGVRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSLLVPDVGNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMVCEGVVPDRHVFNTLINAYARLGMMDKSLLMFEDMTKQGVNPDIITFSTVISAFCRLGRLDDAMEKFNHMIDTGVPPDTAVYSCLIQGQCNRGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDVVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTIVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRRQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLFSSMEKSSCTPDSRILNEIIRMLLNKGEVAKAGNYLSKIDKKGILPEATTTSLLIYLFSVNGKYREYIKLLPEKYRFLREQAAVDNCI >KN538809.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538809.1:180394:185159:-1 gene:KN538809.1_FG041 transcript:KN538809.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MISRTCSRLLLSTLAVHLLLLLAIIQHSCSLGTYSSSSSNQTAKVPYCQPDQASALLRLRRRSFSPTNDSACTLASWRPGTDCCDWEGVACSTGTGTGGGGGRGYLDLSENSLNANDSELPATGFERLTELTHLNLSYSDFTGNIPRGIPRLSRLASLDLSNWIYLIEADNDYSLPLGAGRWPLVEPDIGSLLANLSNLRALDLGNVDLSGNGAAWCDGFASSTPRLEVLRLRNTHLDAPICGSLSAIRSLVEINLKFNKLHGRIPDSLADLPSLRVLRLAYNLLEGPFPMRIFGNKKLRVVDISYNFRLSGVLPDFSSGSALTELLCSNTNLSGPIPSSVSNLKSLKSLGVAAAGDGHREELPSSIGELRSLTSLQLSGSGIVGEMPSWVANLTSLETLQFSNCGLSGQLPSFIGNLKNLITLKLYACNFSGQVPPHLFNLTNLEVINLHSNGFIGTIELSSFFKLPNLSILNLSNNKLSVLVGEHNSSWEPINNFDTLCLASCNISKLPDTLRHMQSVQVLDLSSNHIHGTIPQWAWDNWINSLILMNLSHNQFSGSIGYGSVISDGMFVIDISYNLFEGHIPVPGPQTQLFDCSNNRFSSMPSNFGSNLSSISLLMASSNKLSGEIPPSICEATSLLLLDLSNNDFLGSIPSCLMEDMSDHLNVLNLKGNQLGGRLPNSLKQDCAFGALDFSDNRIEGQLPRSLVACKDLEAFDIRNNRIDDTFPCWMSMLPKLQVLVLKSNKFVGNVGPSVSGDKNSCEFIKLRIFDLASNNFSGLLQNEWFRTMKSMMTKTVNETLVMENQYDLLGQTYQITTAITYKGSDITFSKILRTIVVIDVSDNAFYGAIPQSIGDLVLLSGVNMSHNALTGLIPSQLGMLHQLESLDLSSNDLSGEIPQELASLDFLSTLNISYNKLEGRIPESPHFLTFSNLSFLGNMGLCGLQLSKACNNISSDTVLHQSEKVSIDIVLFLFAGLGFGVGFAIAILLTWGTSRSLSLALQTVAMSYQGNALSCTRPATSPNQL >KN538809.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538809.1:212190:218631:1 gene:KN538809.1_FG044 transcript:KN538809.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPCRVEEEEDARAPDLCVRKTATNSVAIQGQDFTFDAVADEVSTQEDIFKLVGLPLVENCLSGFNSSIFAYGQIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNSTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSNGSFSTGWNARRSLHLLKMSLSRPTTFQTIHEDSGDVEMEIDENDVEKPYNQDNMVISPPGDKECKELQASLKINGGTSLDVFDGENLMPTKRSCSDDRYKLNLAASIQRGLQVIENHQNNGAWRRASVGFNARIVDVQPCKVDVAIQTEPEESEARDNPLALISSHVLGTSATVSNDPNACRDLQLVQYDAGITRDEPKQQQILKAVEKVLAGAIRREMARDEQCVKQAAEIQQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKILQQKYENHPELLRAEIELKRLQEELELCRNYIDEKEVLQEEIQDLKSHLHFMLSSSASIRRLWPPVQLSHGVGPSPVTNDADGDNNAVDTPDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKARAAKAGVKGAELRFINSLAAEMAVLRAENKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDQEIVALNQRLSESSHHQETTLAIEACDMETTKYDTAGSPGDQQWREEFNQQGGSFEVSKSTDLNSWFSGYDKCNI >KN543140.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543140.1:527:1051:-1 gene:KN543140.1_FG001 transcript:KN543140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCERVAGDGGNGGHRECDEIGNQSRGAPPMEACSSAGACAPRQAKAVGEALLLGGNHWCTGTHSGADMRARPRQCSPAPVRVMTWTYGDALLHGAQARHVHLHYLVQKEAVNQAIRLRWGK >KN543695.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543695.1:241:3576:1 gene:KN543695.1_FG001 transcript:KN543695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNSASENSSEKSDAKKNSVSENSSEKSDGGHVKYLEVLESSPDNKGAASKDTCEAAQEGEAKNGEAKAEERQVLLDRMAACDDSRIPREPNALKLEASLRDDSREIREAKYRRIRSEDKGEAKHTVRARDRSPRSVVDAIPPNAYPAEGPSDYHMKSRFRHTNGEQADMRNLEGLNRVNGLEKDRADLLRMLDELRDQVQRSCEITNKPSGSTSTDKAVDASGLYNPRERLSRLRHGSPQLQRSGSQQSPSLNGQAPCIPQAYAPGTAQQDLHGYGEPMAHMGAPSYPVGTYPWRNFDNYFYGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVQGPPLGFNHRRVPPYVMNNPRVYQVDGPAMFGTQNYNSRVNASMQRNHMRAAMSKKPAQTCEPIACGAPFTICYNCYEVLQLPKKSPVPGKDEYKLRCGSCSHALVVKLDGSRLDVSAPSPISHISGGSKISSNDGQGSNANSAPHERVLPLYSFSAASHGSQDLPSNSSEAEKMQGISSSCSISEDENSPARSNSQRDTPGSRDLHPEAEVSTRVPSLHLRDHFGYSPSEKVVDGSGKGSRSTRSEHEKAVLTESFKQNTVKDVSVVNIMDLSDDEYDDPDYMQDRGDVAQPVDHPRAVKTGDSFFTNLIKKSFKINNGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPELNYPMPKKCAAGNTGVFVNGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVSDEVSGEELYCLGKLAPTKCFVTLGPFLCILYAMLPQVIYFFEVNHPLFLTSKFYLQLISVNSVEKMKRGFGMRVPRIIP >KN543695.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543695.1:5261:5686:-1 gene:KN543695.1_FG002 transcript:KN543695.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGGFNAISAADVVRPRPRRDDDDALTSLSLSPPGQQVPGFHRDSARSHFQELPSPSCSPSLPPSPPAAPATSPSPYPFNTDLISTMQEMIRTEVCNYMAVVGLCVGCGPGALAECFMPQLVDGVMHAAAERVCVVTYQ >KN545132.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545132.1:53:451:-1 gene:KN545132.1_FG001 transcript:KN545132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKNWRNRPPEDGKAKEEQKPSPETKDKKPPETTGKDKKPADPELGQAKEKTPAPQQEEEEKKEKEEETPEAKAQRLEEEKSRRRWKKRGEALLQEILEAAFEALLAGEFHELKDQWRQCLLTFSFFPVNHK >KN540654.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540654.1:17206:18390:1 gene:KN540654.1_FG001 transcript:KN540654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRGIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNDAGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMMTINLDLKRGGNRFIKTAAYGHFGREDPDFTWEVVKPLKYEKASS >KN542299.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542299.1:6041:6568:-1 gene:KN542299.1_FG001 transcript:KN542299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRWFQSLQQQRRRKDLLSLSGTYPWSLEECRSDSEFALGVIWIRLQKAEANGHWRKMWSESSSGSEIRRQSDRLAAIENTHFQSMKDKAVRVKNIKEKLASCSVRLQQTFRKHKLLTNFALEVSPSAIKELGRHCDLDEAAMKELELVLTDEI >KN540654.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540654.1:30748:33268:-1 gene:KN540654.1_FG002 transcript:KN540654.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPQLQEKSGGKVIHRVGGVVFLYRGRNYNPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEADEMRRRGKDLLPICKLAKNGIYIYLVRDVRDAFEGSDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMFRGKEWKSRYPKPLTLIPKIRKNNVPMSSDESSSDEATDDDDRLAVREVLRPKMFELWTNAIESSVALMLDDAEVDALTPDSLLTRVEDFSVTSQAVEHSFPAVLVTNDESNPDVLNAEYTEDEPETGTLEPQQHEFTESSDVAEDDHFEDDMLKRLESSVPLGALPIDAVVKQLNDE >AMDW01039089.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039089.1:6:875:-1 gene:AMDW01039089.1_FG001 transcript:AMDW01039089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRI >KN542299.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542299.1:13411:15892:-1 gene:KN542299.1_FG002 transcript:KN542299.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding TNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKWHLHLHYPLGGINENRLRKVASPHETFTEAGGSVEAEVEDGGEEAADAEESGRAEVVGGCEGAMTGVSELSE >KN542299.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542299.1:8583:9745:1 gene:KN542299.1_FG003 transcript:KN542299.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGPVIRVMKRSPEKSQCCLTADIARSDHGQIQDNFQKNPVPAAETSLIKIDARFAFPIYLVAIKPQKEAEISIHERNPRSIKAKPKINHKSTRGIKKHKGKAKKNQPQIHKLKQNREKGKAGATQTTYSPNDFFTGNNLIVQG >KN541887.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541887.1:7565:7885:-1 gene:KN541887.1_FG001 transcript:KN541887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIDYKHGKGRRGDYHAARSSLGSQQLPPLLGVRWTTTGNEKEGPEKGTAIYRLVHAIAGCSFLHVAFRSEVPSKNKNSPTPSLLSLGLLAPLIPPAASSSLSQ >KN541887.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541887.1:15572:20875:1 gene:KN541887.1_FG002 transcript:KN541887.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLAQRKLISKHVVILLHIVITTSVLVYPVVVILKCDSAVLSGFVLMFLASIIWLKLVSFAHTNYDIRMLSKSIEKGVTHEISIDPENIKWPTFKRLSYFMLAPTLCYQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEPVHKWVIRHIYFPCIRNGFSKGVAILISFLVSAAFHELCVAVPCHIFKFWAFIGIMFQADVVSVCPAQIPLVFLTKYLQDKFNNTMFETEWVQI >KN540410.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540410.1:49592:53017:-1 gene:KN540410.1_FG001 transcript:KN540410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKWRKTDSKISDLSLDIANRSREEDRHKIVNSLLAQASNGDLTVIPIVGMGGMGKTTLAQLIYNDPKIQKHFQLLLWVCVSDNFDVDSLAKSILEKARKQNNGNEFKEVVNGQRFLLVLDDVWNREASKWEALKSYLQHGGSGSSVLTTTRDQAVAQLMAPPKEVHHLDRLHESFIKDIIERSAFSSQQKRPPELLKMVGDIAKKCSGSPLAATALGSTLRTKTTEKEWETILSRSTICDEENGILPILKLSYNCLPSYMRQCFSFCAIFPKDHVIDVEMLIQLWMANGFIPEKQGECPEITGKRIFSELVSRSFFQDVKGIPFEFHDIKGSKITGRIHDLMHDVAQSSMEKECAAIDSESIRCEDFPYSARHLFLSGDRPESILNSSQEKGYPGIQTLIYSSRNEDLQNLSKHRSLRALQIRGGLILKPKYHHHLRYLDLSFSKIKALPEDISILYHLQTLNLSYCEYLRRLPNGMKYMTALRHLYTHGCRRLKSMPPDLGHLTCLQTLTCFVAGTCSGCSDLGELRQLDLGGRLELTQLENVAKADAKAANLGKKEKLTELILRCTDQEYKEAQSHNHKEVLEVLTPHEGLKVLSIYHSGSSTCPTWMNKLRYMVKLVLDGCKNLEKLPPLWQLPALKVLWLEGLDDLNCLFNSDTYTHFTFRKLKKLTLSDMTNFETWWDTNEVKGEEVIFPEVEKLTIVRCPRLTALPKASNAISESSAGVSTLCRSAFPALKRMVFYGLDIFQKWEAVDGTPREEATFPQLDSLTIRRCPELTTLPEAPKLSYLHIEGGNQRISLQAASRYITSLYSLRLDFSIDDTEKASVAKQQDSSELVIEDEKWNQKSPLEHMDLTGCNLLFSHPSALALTTCFAQLLDLRIRRVDALVYWPEEVFQGLVSLRTLDILQCFNLTGHTQSAPAPSELLPRLESLFIHSCLSFVEVPNLPASLKLLKIVGCEGLKSIIFNQQQDTTMLVSAENFAQLDKSSLISGSTSETNDHVLPRLESLYIGGCYRLEALHLPPCIKKLEICDCEKLQSLSGKLDAVQALSIEYCGSLKSLESCLGELASLQQLELFDCKSLVSLPKGPQAYSSLTYVKIRSCSSIKVLPPSLQQRLDDIEEKELDACYEGNLHFLHFF >KN540410.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540410.1:38438:40546:-1 gene:KN540410.1_FG002 transcript:KN540410.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVVLAEAAVALLLMVKVGPLREAAMRGVEQAKTGKGPATVKTLACTLSVILMSSVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIEAGSYDPIIEECCVCSILNEQIALCIYARSLVVNWVSMMYPFGIDMGLCIGGFVGSAEYYTQATNKGFTLFLAFVIDRLHHYLRKLITLRKAANTSREEVEKLQMENRSFREKEEKSSSEIKKLHQEIAKLNESMKKLKSESEDHEKKALEAETHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKG >KN540410.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540410.1:25728:31955:-1 gene:KN540410.1_FG003 transcript:KN540410.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVHAFRTMPTVGPRSYPGKIAIVGDLGLTYNTTSTVDHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFAFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGEQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGRRRGGGGAGMVSLAAYSRCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMVCNV >KN541313.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541313.1:1622:4803:1 gene:KN541313.1_FG001 transcript:KN541313.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMGVMSPTKLRMKLLGSHGGGIGKADEAKKSPRASPARLDADEDDDDHPKNSLLPQELDEGSLPLRFHCGVCNGNGDLGKMNIASWASKHDGEELPRASPEKRPRPHSGDGDGEAKKREFEARAMAWQETHKCKLALRFQRKEVKIQEWESCQKAKFEAKMRHAEVQAEQMKARAKQKLSRRLSALSHKAEGKQARVEARRSRQAARLARQLLLALCDLNLGLVFDIDHLDLQQPCSADLKNLLVFLN >KN540410.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540410.1:1584:5454:1 gene:KN540410.1_FG004 transcript:KN540410.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase MHK [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/Swiss-Prot;Acc:P43294] MERYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKECNLYDVIRERQAAFSEEEIRNFMVQILQENLLVTDGTVKIADFGLAREMCTSSDMWAVGAILAELFTLSPLFPGGSETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFNFFQIPPRNLWELIPNATLEAIDLIQVGNWVPRPLHASHTKTIETRPNPRLELNLWDFGTEPEDNYLDLTLSLKPSFPGTDFSNNVPEHTKEEILLYPGFENPPVQSGFWPLVASDRPMGDVPAMSSWPQAYVVDGQATLPAVGFSGSPFGLSPLQPNLFENRSFATPIRQVNFF >KN540410.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540410.1:22541:23140:1 gene:KN540410.1_FG005 transcript:KN540410.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRGSAGVFPFSIGCMSQSAVDVADPHDKKSTTTTLNDPSSSSASAAAMAAAAQSAEEEGGGEKVKGATAAAAAVASSGIVATGVQRLIKGIKSLSQIFAMYDDEEEDEEEEREMVIGYPTDVQHVGHIGWDGMNKVGGMVNAFSLPSSLSLRQLEMAMEAAHA >KN540410.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540410.1:43774:45648:1 gene:KN540410.1_FG006 transcript:KN540410.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREDDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARVANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTIYLNEKIAHMPVAT >KN541313.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541313.1:27913:29674:-1 gene:KN541313.1_FG002 transcript:KN541313.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGDRAELLGYGLMVDAAYLTYDAVKKQTDGRECYEAVLSDELDKLIVTAGVEDASRRRRRHVVTAHFFATIEPHQAVVNALVGGVDKTYWFGYVAVARRGDCWDVVVAWRGSATLADWMMDMHVMNLVDFGGGHVAEGFYNVYTSKDAKVKHGTVSAKEQAVMEVKRLSTPNLKKFQVGSHRQVFLQPFGQETKELHNKVG >KN540410.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540410.1:12461:15566:1 gene:KN540410.1_FG007 transcript:KN540410.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWYIISKEKHKEKKHKKDKKDKERKEGKEKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEEIKDPKSREDLVTRTQNEKGAANQSFQNFSVSNQRGREGFSAAPALENERTAANKMHSHSINASRKTEVLGQKSISINQQKNGTAIRRGDNITSSSQRTSDVFIAAPTAEKERVKVARPLSNSTDSAPKKDGMGQRINNISILVQKRTDSPNKETAKKEAGTNSPLLPSPANTMHKGNGKVGRPMEIPTQRFDSPSTSSATAGTDRGMPRSSIPSPSITIRRPNGLVRPPESISISSKKPDAGGASPAMGKEKEQGGRILQNNIIDPKQINSKPPTMEKITDGRTERMEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKRDKEAKKEKEEQNNNKEHDKLRENSINYQVDNSLHMKSSTPPLAPPADDAKAAQADENLKKRKNHEMNGYLQNHHDTMRPTKLPRPALSNTPVENGTASHVAAPLSSVKPEAINIEKAIRQHKKEEKINGNQEGQRSSVEPLAASENGAPTKKLPHPDSKYLSQIYNIPEAPQMMEWHGHDDQDWLFDHDGTQPKKPESETEADGASQVWAQPLKIDQADVIALPYVIPY >KN541313.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541313.1:19533:26765:1 gene:KN541313.1_FG003 transcript:KN541313.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQWGKAHRCDGNPSKDDDQGCIAITRAQACLVAELMLQTLLTSYKFIGRAKKPEMRWPYPFSEGKMFVLTIQAGIEGYHVSVGGRHVASFPHRMGFSLEDATGLAVTGGVDVHSIYATSLPKVHPSFSLQQVLEMSDRWKARPVPEEPIQVFIGIISATNHFAERMAIRKSWMQFPAIQLGNVVARFFVALSHRKEINAALKTEAEYFGDVVILPFIDRYELVVLKTVAICEFGVQNVTAEYIMKCDDDTFVRLDVVLKQISVYNRTMPLYMGNLNLLHRPLRHGKWAVTYEEWPEFVYPPYANGPGYVISIDIARDIVSRHANHSLRLFKMEDVSMGMWVEDFNSTAPVQYIHSWSICWFFEYANSIAVQRLRFNLMDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKVAHTAIMILAGIANFLIE >KN540410.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540410.1:34558:37164:1 gene:KN540410.1_FG008 transcript:KN540410.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G14147) UniProtKB/Swiss-Prot;Acc:F4JUL9] MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSTELLLNPLKVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >KN541313.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541313.1:5655:10449:-1 gene:KN541313.1_FG004 transcript:KN541313.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPSASADMPQALPENDGEQRCLNSELWHACAGPLVSLPVVRSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPMELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRRANRQQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDLDSVRWPNSHWRSVKVGWDESTTGDKQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWASGLPMHGMFNGGGNDDFARYSSLMWLRDGNRGTQSLNFQGHGVSPWLQPRIDSPLLGLKPDTYQQMAAAALEEIRYGDPSKQHPATLQYQQTQNLNSGLNSLFASHVLGQVQFQPQQSPLQVVQQGHCQNTGDSGFLQGQLPRLQLHNTQQLLKEQELQQQQRQHVLQEQSNQEMQQQLPSSDHRVADVASESGSAPQAQSSLLSGSSFYNQNLLEGNSDPPLHLHNNFHNFSNQEASNLLSLPRSSQLMASDGWPSKRLALESAVHPEALSMHPKIEKVNHQGISHFTGAFPPQSARGCSTIQDCRADAENRLLSSSFELQDGMTSIITDASRETDTMAIPLLRYSGADLTTENTLATSNCLGESGTFNPLNNISVNPSQGATFVKVYKSGSLGRSLDISRFSSYCELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFANSVWCIKILSPQEVQQLVRGGDGLLSSPGARMQQSNACDDYSASHNMQNISGNIASVAPLDY >KN539197.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539197.1:129162:129377:1 gene:KN539197.1_FG001 transcript:KN539197.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGPVVVAVILFILLSPGLLFQVPARTRVVEFGNMCTSGVSVLVHAVFFFVLFTVLVVVTIGIHVRAG >AMDW01034403.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034403.1:100:501:1 gene:AMDW01034403.1_FG001 transcript:AMDW01034403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDFIRNNRAINAGKDLPREYLSELFHSISGNAITVFSQASAAAEMTLTRWADLVKRSRAIDPFTPCDFKHKLTREVFVTVSGPAVATLAAIFDYTDDEDILNQCVEGLISVARIARYGLEDVLDELLCCLC >KN539197.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539197.1:124783:124992:-1 gene:KN539197.1_FG002 transcript:KN539197.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGVAVLVHAVIYFALITIFLIAIGVHIYAG >KN539197.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539197.1:116308:116574:-1 gene:KN539197.1_FG003 transcript:KN539197.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVETNSILEAGSVLPPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSTAYLEVEKLKKSFSIPL >KN539197.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539197.1:9587:11681:-1 gene:KN539197.1_FG004 transcript:KN539197.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRGAGACALSWDEEGEVSRGEKRRRADGDGSPDVGGGGGMGAFDALHDELVVSILADVAASAGSPADLAAAMLTCRRFRELGKHGLVLARASPSAVAVRAAAWCDDAHRFLVRCAEAGNVEASYLLGMIMFYCFENRKLGAELLGAAARRGHGEALYSMAIIHHKFRQTK >KN539197.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539197.1:84240:86149:1 gene:KN539197.1_FG005 transcript:KN539197.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIGYNIVVVSYGFNFSFPKIEVANSKGRVAQTTNVVMGGTVTDDATDEWLVLDKQVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVLEEQIPKAQISHKVSSKGKYVSVKIGPIPVVSSEQVQAVYNAMKKDERMKFFL >KN539197.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539197.1:127331:127639:-1 gene:KN539197.1_FG006 transcript:KN539197.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MWINVDVDAVSKGGRGTSGGFAMPLFPNHDVEGVSRHGDPVSVLGNAEQPRAQPLIHFAGESCRTSPKT >KN539197.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539197.1:27456:32592:1 gene:KN539197.1_FG007 transcript:KN539197.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQLRALLRDLDALKQRPDPAAIDRMRERVAGMVTPAAAARSKIKDMSSEVVDSNPYSRLMALQRMGIVDNYERIRDYSIAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSGINPDVTLESYSLNITTVKGFETFLGSLKARSSDGRNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQKEYVQSKPARDAAAKAKMEAEASAEDECPVHLDNDWNISVVDDSDTVTPSILSTGADSLPEGLVRELPTADSYQEPVAPVTSGAIDDDLEELQRQLDALNSS >KN539197.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539197.1:120650:121168:-1 gene:KN539197.1_FG008 transcript:KN539197.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGELAADETKHSQISPGLAAWAQGRAGRLLGGRGSSGPSVAARVLQLYLFGRVGKGNHGGEGGLLRRGIGKRTNIARWASAKSKGVLGHIYTRAKQWRQILQCSKPKPLHQFNIVKRLVGISGRSSGSVDGEGLNRRLVSLAVPRPTIIDLRKLLSQRHGSGVLKGHRF >AMDW01040268.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040268.1:454:1308:-1 gene:AMDW01040268.1_FG001 transcript:AMDW01040268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFKLTKQSIYRPAQSWEGVSTSRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRINAQRKIVEVESVASTGKAEAREVVKKLFRAEFTEKQHECLDEDIDLRALENGFISVTPLNIHGNVAPETGAPASDWLSVAVGLDKDAPAVAEEKEAPSAT >KN538794.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538794.1:168697:169810:1 gene:KN538794.1_FG001 transcript:KN538794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREQAEEQQQRWREEAVPGRPDAYSAVFYFAAEAAVPAESLLGRAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVETAPDDVVPEAGRAGAGFRVHSAKVANDSRHQERAAGKVGRSMSCQLRESGGGG >KN538794.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538794.1:218586:221198:-1 gene:KN538794.1_FG002 transcript:KN538794.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERLRRATKPPTTNAVAVGAPLPPPPPPRSTDEVALKTSLLVKATKLKREAPEVTPAERLLQQEREMIEHLSDRKALMPVGEIAKGISYSEPITTGWRPPLRLRRMPRSRADALRRKWHILVDGDDVPPPSRSFGDLRLPEPILRALRGKGIEKPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLIMAALQEEILMPIVPGEGPFGLIVCPSRELARKTYEVIEMFLAPLMGAGYPEIRPLLCIGGVDMRTQMEVVKKGVHIVVATPGRLKDLLSKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPEKIQNFAKSALVKPIIVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLVFCEHKADVDYIQEFLLLKGVEAVAIHGGKDDEERKDAFKSFKASKKDVLVATDVASKGLDIPDIQHVINYDMPAEIENYVHRIGRTGRRGKTGVATTFINKNQTETTLLDLKQLLIESKQRLPPILADLDDPQEDDKVAIAQQSGVKGCVFCGGLGHRIEACPKQQLQNSVTLARARSDYFGGGGYRGEI >KN538794.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538794.1:133896:143052:-1 gene:KN538794.1_FG003 transcript:KN538794.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNDDDDKEEDEMKKNQIQHRQECDLLGTAEMATCEEMRGIRESETASGSVEVESSLLTCLKWGHIWQVKNPNDYFTGSRLGNVEFVICRDANGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGVLLKATRISGIKNFNKNDFGLIPIKVATWGPFVLAKFDSGFSQETADNTVGDEWLGSASDLLSRNGIDTSLPHICRREYIIECNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETHTYERVSVQRCESVQAEQNDFDRLGTKAIYAFVYPNFMINRYGPWMDTNLAVPLDATRCKVIFDYFLDKSLMDDQNFIESSLKDSEQVQTCNIISQTNKADCTVIISPVAVDILSLFPEHCALYLQMEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSGDW >KN538794.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538794.1:175750:179502:1 gene:KN538794.1_FG004 transcript:KN538794.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGLPSLSFLSFDASWASRPWWCANGKFSYGYASSPGKRSSMEDFYDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKLFSDTKSAIAETYTSTDSELLKAETSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKKLLQEASQRGSADNITCLVVRFLEQENHLPERPTNDQAS >KN538794.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538794.1:203039:203446:-1 gene:KN538794.1_FG005 transcript:KN538794.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTISLSGVLNFVDGLWSSCVGERLVVFTTNHMDRLDPALLRPGRMDRKVELGYCKAPVLRVLAKNYLGDDDDADDHDEIMGEAGRLLDEVQVTPADVAEVFMGCDGDDGAHVALQKLVDELNARKGKMNGPK >KN538794.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538794.1:129732:133061:1 gene:KN538794.1_FG006 transcript:KN538794.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLFLVLRKVAFSLGEGALVKLGTEVVEAASVLTDFEHSMKQIESEFTIMRAFISQVSAEKVGDKTFDAWLDQVRDVAHDVEDIVDEYAYLAAQAIDTGTFFKRKFQQTKNVAAWQNISRQISQVETRIQRLSAMRNRYGISIDEKSTSNMSQHPRQLSVSDSAYLTDDTEIVGNASVTRRLTQWVLEERQDRSVMSIFGMGGVGKTTIASSIFKNQQFMMAFDCNAWITLSQSYEIEDLLRQISKQLMDQQVYLASNVEAMNRIKLIEELQIYLKRRKYLIVLDDVWDKDVWLFLNYAFVRNNLGGRVLITTRKKDVAFLADHNCVVELEALPHTEAWHLFCKKAFRRLENTMCPENLRPWAEKIVTKCQGLPLAIVAIGSLLSYREFEEQEWKLFYNQLGWQLANNPELNWIANILNLSLNDLPSYLRSCFLYCSLFPEDCRIKRKMLVELWIAEGLVEERGDGTTMEEVAQCYLTELTQRSLLAVIERNACGRARTFRMHDLVREMASIIAKKERLAFSYDNVGITQVAHEYRRLWIQKDAQSLRYLGGPKLRSFVLLDIGVPSSWIYDALSRFRLLRVLCLRFANIEQVPGVVTELYNLHYLDLSHTKVKHIPASFKNLINLEFLDIRSSYVEELPLEITLLTNLRHLYAYVIHDLQERSLDWISATKIPGNICHLKNLQALQTVSANKDLVSQLGNLTRMRSLCVMKVQQSYIGELCNSLTKMPNLSRLFISSCDMDETLNLKMLKALSNLKVFWLAGKLEGGVLPPMFAKLEKITELKLDWSGLKKDPIESFSYMLNLVVLVLTGAYDGERLNFHAKWFPKLNLLQLADMEHLNRIEIEDGSMMCLRELDLVGLRNLNEVPKGIRYIRTLHEMIITDMPKEFIVKIQGSDRYIVQHVPNIHIFESSDFQAVNNFIRLPHLAKRQFIVDFPHRPNGTVPYVSRAKRTIRLNYTWKF >KN538794.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538794.1:227614:228185:1 gene:KN538794.1_FG007 transcript:KN538794.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVVVVLVAMSAMVATANFNQEFDITWGDGRGKILEDGQLLTLTLDRTSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGATHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREMQFRLWYDPTKDFHTYSILWNPKHIM >KN538794.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538794.1:245712:250106:-1 gene:KN538794.1_FG008 transcript:KN538794.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASLRLRWPAALVVVVVVAAAVTAAAAAGHGDHNFHRDFDAVWGKGNARFRDGGRMVELTLDEQTGARLQSKERFLFGRFDLEIKLVRGESAGTITSFYLGLLVVDDRGTPGEFHELTLVILGMSSTLWGRKYRTIMRRKLSSRINKLGLLVVDDRGTPGEFHELTLVILGMSSTLWGRKYRTIMRRKLSSRINKICSGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFKNNEAYGVPFPTRQPVHASTSSRGPEIPANIPSWRPRATACDVLLLFEISRRAASLVRQLLPTRLQIATRCTSFS >KN538794.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538794.1:187944:189056:1 gene:KN538794.1_FG009 transcript:KN538794.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSMEGEDLGSWLGLGIGGGGYGYGGDDCRRSPSSPSPVQMLFSQHVKEEITRGYDHGRDEEQASGSKIMKGERGARLRVMRSIRNNGGDGSCSRVLSLGDAGGDGGSSGGGGGGTRKKLQLTKEQSTLLEDSFRVHNILSHAQKHELARQLKLKPRQVEVWFQNRRARTKLKQTEVDCEFMKRCCESLTDENKQLKHELMELRRLASAAAGSQLYVQFPRAAAAAMVNVCPSCEKVTVMGGGGGDDGDGETGKSSSSYSL >KN543550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543550.1:842:1588:1 gene:KN543550.1_FG001 transcript:KN543550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CEGSFSTDAADASNAGKGGIPTGDELVVLSTDTRGASPEASTAATRDVAGANEAVVSARAEAIFPSRAVAVHNAVVSTRAEAIFSSSCGDADHKAVSSTVLTTGSETVSSSSSSDATATATAAGTETISFSSSGSNEFHDELLLAIDVRAGPALAKFLRAVLPERGAGESSSILLAFVKCVTLALQQWKFLCMQANAESLKWLSAM >KN538794.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538794.1:150995:152347:1 gene:KN538794.1_FG010 transcript:KN538794.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKAQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAASGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSAKHVVEEAKSSPYDDLVKEMKDNLSKGATAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >KN538794.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538794.1:127149:128010:1 gene:KN538794.1_FG011 transcript:KN538794.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding DKSRQRPGMDNRLRYDRANRREYDRAERDGGRYGRGDRSPSRDGADDQMFDAFRGRGPNAPFVPELPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHANAGVLGPMMGGPAPIITMPPSFRQDPRRLRSYNDLDAPDEEVTVLDYRSL >KN538794.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538794.1:209771:211693:1 gene:KN538794.1_FG012 transcript:KN538794.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLFEDIFTVTRLDPDGKKFDRVSRIEARSEQFDMYMQLDVATEVYPMRAGDRFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKFDYVMHGKLYKISEDSSSGQATKVEIYASFGGLLMMLKGDPSSAASFELDQRLFLLIRKV >KN538794.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538794.1:239929:241346:-1 gene:KN538794.1_FG013 transcript:KN538794.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPGRTPALAAAIVCSVLLLAGGAAGNFYQDVDITWGDGRGKILGNGQLLTLSLDRSSGSGFQSKNQYLYGRFDMQIKLVPGNSAGTVATFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHNYSVLWNPSHILFYVDGTPIREYRNTEATTGGGRVRTDWSRAPFTASYRGLAASGCTSQDAAACANPGSPWMYQQQQLDSAAQDRLRQVQRDYMIYNYCADTYRFPQGLPPECTAK >KN538794.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538794.1:154066:160314:-1 gene:KN538794.1_FG014 transcript:KN538794.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCEHGSAKHVDMGDGDLCAPSIEVFDAVHGLEPPLLAASAVADDLPGYLAVLSRLEEALHFLSDNCGIASRWLSDIVEYLGDRSLADPRFVSDLAEALSHLKTPSANLDGGLLAAALDILEAEFRRLLTEHSAPLAMKEPNSSSDPGSITPPRIPASAVHKLSLILDRLAANGRLATCTAAYADARGDTVSASLRALGLDYLHDPAEDAQVLTPNVERWGRHLEFAVRHLLEAERKLCVVVFERRPEAASSCFAEIASRAGILDFLKFGRAICDARKDPIKLLRLLDVFDSLSKLRMDFNRLFGGKACVEIQTRTRELVKRVVDGSVEIFEELLVQVELQRNMPPPADGGVPRIVSFVAKYCNQLLGDPYRSVLTQVLVIHRSWRKETFNDKMLVDAVLNIVKALEANFEAWSKAYEDVTLSYLFMMNTHWHFFKHLKGTKMGEILGDEWLREHEQYKDYYSAVFLRESWGTLAPLLSREGIILFSKGRATARDLVKQRLKSFNANFDEMYQKQSAWIISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVDQQDASANKYVKFTAEGLDKMLSTLFLPKPRRAGSFQIRHSNGKITSAMTGLYRSSSTLK >KN543550.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543550.1:4375:4965:1 gene:KN543550.1_FG002 transcript:KN543550.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFSAKGLTLDDLVTLSGGHTIGSAHCTTFGERFRVDANGSTVPADAAMNADYAGELIRACSAVNNTVSSTAAVDCDEGSASRFDNAYFANLLAGRGLLRTDAVLVQNATTRATVEAFARSEGSFFAGWAASFARLTSLGVKTGADGEVRRTCSRVNG >KN539808.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539808.1:41686:44316:1 gene:KN539808.1_FG001 transcript:KN539808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVGGPGIGKTRIVQALFNDSMVREKFPVRCWENVSERFNLFKMRMPNMWFNSTKFQNFLDEFINKSLNGRKGKYLVVLDDVWNENEAQDWPEWDSLMRALPSNGAVIFTTRTPTLVSKTAAVVPRTFPYFLQPLQHEHTVQFVHQWLKRCWLDRSSELFNIGMKIASKCDGVPLLIQSAGAILCRRPEAAFWQQFLEDFDVFFEGSGLYSSDEEGSDILESAYSSYKHLPSHLQSCFLYCSMFPLGFNFDAEELADLFATAELTGAQRIGFLEQLLNECFYPIEDSEYGGKLIHRMHKILHIFAVYMERELTTVMTADKDFTQVQPSVRLMSLIIAPSTASFPRYIDQLKHLKALILLQDSRMLFSDQRCEIKEIDPVLCQSLKHLQVLSLQATKIRKLPNKIELVLHLRYLNLSQTNIETIPSSVSKLQLLQTLILSHCEKLWKLHENICKLVQLHKLDLEGCLYLVTLPTKMSKMKKLQYLNILNCYSLTAMPHAMGQLINLHTLLGYFVPNNGSSAMSELQSLPDLNRLSLVNLEKVSDTEDARMAKLQEKEKLETLMLRWNMDAGNASRIDHEVLETLQPSQCLKTLEIVAYEGHVFPSWITSTEPYLTSLVEIRLVNLRSCENALPPLGLLPCLKIVEISRVDNISCIDDNFYGHNGTFPSLEKLILSFMTSLEVWEQSSRMNLFPRLAELVIIQCPKLRALHMEFPSIEKLILWMNNKMLYSSKEGLRGVEKNLENLSISFCEELHASSGCEGLQALDRLKKLEICGCHELSCLPQGLQHLSSLTSLKIDNCNKLEILPEWLENLPFLQIMCLSGCPILHSIPEGLTCSDIIVEDCPNFKEPSGKSSVLCSWKAMFLIFIELFLKQLN >KN539808.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539808.1:77995:78300:-1 gene:KN539808.1_FG002 transcript:KN539808.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGYKNFSEELLACFLVDRLDDYFARKNKIHDKGVKPILELVKKTTNPGGAGEDVQGVQGERLCRVLDHRRGGRSSHSSSGAGTEEGSTRGHEEEAYWRN >KN539808.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539808.1:18576:19725:1 gene:KN539808.1_FG003 transcript:KN539808.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKKKTGRKVRMTQEEIDSYINYQTIRMPDEIFPIVSKERLACTDLSDKGDLPVPMDQIDDYVAKIFREINQIEDQFMKHRDGILNQYYRKA >KN539808.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539808.1:45296:49692:-1 gene:KN539808.1_FG004 transcript:KN539808.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGRLKSSIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNRAPIKTDKDTLREGYRYCIADMSQYKKGKIGLRWRTEKEVISGKGQFICGNRICDEKNGLGSYEVNFSYIEAGEQKQALVKLVACQRCAEKLAYKRQKEKEREKEKELSREKEMELKERDKRKREREGSEETEDTSEDESTEKYSRRKKDRKRSSRKSSNNDEGFEEFLEGMFP >KN539808.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539808.1:73412:73834:1 gene:KN539808.1_FG005 transcript:KN539808.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSEGKKKKKTATKKKELMSQAEIDSHIRYQTVEFREEDMVKAGDHMDEYFAKIIRDINRIESRHMRARDNVLKQYYEKGYVEKDAYQKGYEAAGEDEESLPAAGEDGEESLPAAGDQESSPAGAEDLPAPHPGRKS >KN539808.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539808.1:5921:11030:-1 gene:KN539808.1_FG006 transcript:KN539808.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLLLPDEILDEMYGISPSGRRRRMPRSSNFGAHMSPFPLDQPGSDNETERTCLTDVGLTSLARGCKGLEKLSLVWCSSITSTGLVRISENCKNLSSLDLQACYIGDPGLIAIGEGCKLLRNLNLRFVEGTTDEGLIGLIKNCGQSLVSLGVATCAWMTDASLHAVGSHCPNLEFLSLESDHIKNEGVVSVAKGCRLLKTLKLQCMGAGDEALDAIGLFCSFLESLSLNNFERFTDRSLSSIAKGCKNLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFLEVGRGCSLLRSLYLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISFAENCKSLRELTLQFCERVSDAGLTAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVYLDISVLRSIGDMALAEIGEGCSQLKDIALSHCPEVTDVGLGHLVRGCLLLQSCQMVYCRRVSSTGIATIVSGCPKLKKLLVEEWKKSCS >KN539808.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539808.1:59375:71602:1 gene:KN539808.1_FG007 transcript:KN539808.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDASARALRMIYQSKLAPKFDVNNNEKNMEFLLTLLNSENENVTELAANIISHSCDSTTEQLALCAAGVPQRLVNHFGGSMNLRDACLDSLTAIIRNNWEVASRFALLDHGKALRSIVALIHDRSPRTRLLACLCLIALSHASPCHFQDRQIKTKLILVLLELIEEPGHVGDDAPLALTTLIKDSVELQKQALSTNAVQKLSNHLIANSLESRRAVTILLALAELCSKLEESRSQLMSVQASTLILDALKHASVDIRVAACSCLKNISRSSKVLSAGKLSCDTFIAPLVQLLYDSSTSVQRVGQWVFERSLIPWDFVEYLINVCVHKMALQVAALGAICNIAVNLTPRKSILLQSGAVSQLVHLSKSMDPTLRLKAVWALRNIMFLLNPKDKDFILKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVNSVNYVIGEDGMILNAISRQLNNAPAPGVCIQGMFVLANVAARGELNKEAVMNILVPGRADRVKQSFIVNFLLSKDKQLRVATLWCVLNLIYPKCEASSVRVVKLQNAGVISQVKGMINDPCLDCKLRVRMVLEHCLDNADDGFIAEKTRRDNPFSGDPDDVGRLNHVHNDGEEEIKVYDNDEDDGEVVDIDNDDDDCSEEEEDNEVVDADDGGVGKDDGDEDEDDGFGVLVVEWVGLWDLNAYGYPWQLQNIYWRRIVYFYPLLAAGQEIDIALALISLIMFIYPEYSVAKYGERVWALRCSGSSPTGKYESDIARRRFSQMVPESFIRRLDPAETLLLNAHLLLDFAKDRFKGPLPRLFLCGPMNEGSRLQGEDELYKVAEMQLSLLHDVFYTKSEITHTWYGLCIRVLLSLATTVAFFLFNILLVLGNHHQHKLNGYSRADVIVTYVLFVGAVVLETMSLLRAMFSSWTCALLVKKGSEGSNVCNFLAHIPACLRRLVRAAYWRRRRSWSRSMGQLNLIQLCVHSRASRCSKIARWMGVEDWWNRLAYSGPSIPISACTKQLLLETMKAKQWGQKEFESRGLYRDPAWVAESKMEQRILIWHIATEIYLCWYKDQEKKHAEASSGSGSAAEEEQAAATGGSGSAAEEGQAEAASGSSSTAEEDQAKAVGGSVSAAEGEQGEVANGSSSAAGEEQPEVVDGSGSAADLMETAQALSNYMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRYSTAEDVLHLLQLNADALRTNSSKPKFKLTCTNTNRLGDKMLRGGCSLGAFLIDRQDSPADGTGTLEMICQVWAQMLCCCGEQCSTDSHVKQLSSGDGMILNAISRQLSNAHASGVCIQGMFVLTNVAVGGELNKKAVINVLVPHRVDRIKPSFVKHSEASKSVLAMSTLCKCLSLVLAMSTSSMVIERSLDNAEDGFMRAATIELRPLKLADSLRKEKMEIAITSVDDDNQ >KN539808.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539808.1:24253:25335:-1 gene:KN539808.1_FG008 transcript:KN539808.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLTGVAKRSAFLDLDPGNDFTLARRACLNAGRMSSGFSAEARAIYNVDQKYLELDDAWFAGKKELRALQLGQWREFGPLEQIANPMDSHIELSGVEHLADMESCKNLRYISFRGISRIESLPDSIGKLRDLTVLDLRACHNLEELGQGITRLDRLEYLDLSECHLLVGMPKGIGRLTRLEVLKGFVVANPSRREPCHLNELTKLNKLRKLGIVIGTMAVPTDDEFMKLGEFRALESLKIRWGVLASDNKGRIEASSHQKPIDKMKFALPPNLKKLDLRCFPLTDFAQWVTPKDVKKLYIRGGKLMTLGDEEGWMAEVLRLRFLNDLEYDHDRLKRSFRKLKPENTEIHACPKFICGQ >KN539808.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539808.1:4558:5271:-1 gene:KN539808.1_FG009 transcript:KN539808.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTVGGIGMCDRLLTFLAKNLSMNRQKTITDGPRNDSSNNNGGHEEEGREDDDEFTIEIEKAEVLLVDEEDGGSDHKSITATILEEKSTEVATSNGGASVQKEEEEGEGDHRRRDDDPPAAAEAAPVKEEKKVKEEKKVKKTVTIKEESGAAAAAAASSAVKRLLSKKRQASSSQLGGDDGGQQKPPARRPGLRPRMPSILRVPSNINEKSSSFIEERKKSFGGGGAGAGGKPEK >KN539808.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539808.1:75983:76414:-1 gene:KN539808.1_FG010 transcript:KN539808.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKTKMVRFTQQQIKNCMAFSVDISDDDEESLPKFSEVLSKDILDRVPPEVLESLIEFENTREERKARWEKLRDDLHEERDATLKQYYTKGYAEYEVYDDEEDEDEDNKVPARVAPPGRRRRFRNGVAMKKNQSGGNIRKI >KN539808.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539808.1:34207:37743:1 gene:KN539808.1_FG011 transcript:KN539808.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVDLQRVVNMLSSARMEEQCHLLGLDALLSEVRDAIVHSPSLVNGIIERREGYLLGEARLPIAQLRGELEEILSRLARADAWYQVPTRSISNLIFRHASARELRRLMENLAEIEGRLMSVPQLNKLDGMVPAGTWTNDVDSRPGDHVQTPIVGRSELAEKMLQRILLAADGDGDGDGPLVLPIVGGPGIGKTHLARFLFNDDRVKNAFQVRRWVHLSPHFDLSKAVSGITSRWIDWEDDDDYSNFRRVIFSALRGANYLVVLDNVWNVGQEDQPWPEWDNLLLAFPPNGKILLTTRTPSIIPRTAAVVRATDPYFLQPLDQEFSQQIMDQYLSPHFEYDDDMLGEVSTKIVEKCAGVPLLLEYTSFLLLHGDGLSLLQWKRVLEGFWGFYPAEELDDILERAYASYRHLPSHLQGCFFFCSLFPLDFNFNAEELADLLAAQGLIPSTVPGAQRLRFLQRFLDECFYPVQEYEHRGRHMYRMHKILHISTYYVERLSSLIILVGQSNVVPPRVCDDILSTRNASLLVHPSTELLPVYMSELKMLKTLILLQEGKMCSSDQQSEIKMIPQAFYRTLRHLEILSLEATKIKKLPNKFELLLHLSYLNLSGTDIEFIPSSISKLQLLHTLKLSHCKRLQKLHQNTCRLARLQKLDLEGCHSLTELPQNISKIKSLEFLSVLGCASIIRMPHRMSHLTNLQTLLGYVVSNSNGAMMSELQPLANLHRISLERLEKVLDMKDARDAMLQDKLELESLALGWNMDAEQANTSAYELIEILQPQQRLKELELVAYEGDRFPSWMISTEPYLKSLVEISLVNLRECKSLPPLGLLPCLKIAEINGAEKISCIDYNFYGQNGTFPSLEKLTFSYMINLELWEQVGRTGMFPRLAEVTIIQCPKLRALSMELPSVEKLILWMNNKMLYDSKGGLLGVVKNLEQISICFGEELRASSNFEGLQDLVMLQKLDICGCHELTCLPQGLQHLSSIKSLAIDNCGKLETLPEWLEKLPYLQVIYLSGCHALHSIAKGLLQCHSIQIHIDDCPKLPEQSSGRKPVIQVKKQKEIVGDDEETHVEDDTYLEEFFFGPRGITGRDDEETT >KN538960.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538960.1:60043:60368:1 gene:KN538960.1_FG001 transcript:KN538960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSPEAMPKPSLQIHPRMPLPSDASMSDATAIVVDPSRNTPRHTLPVMQVSLPLSDPPLSATIVGAPPAARTTTSRSIWSVEELI >KN538960.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538960.1:133140:136804:1 gene:KN538960.1_FG002 transcript:KN538960.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCFARCRFTRLVVAMQLVMGVLVICISMASLHRFYTTDALLPGGLDDPARCARFHGAVAGGYSGFDIRALADRVDEVLVQLAELQDKLEATALKIGKKTKKRKGKLQQQENMTMAEFQRFLEDEVIHPLYGAHIALRLIRIPRPDPDGGAPAVDPLVNFFAAEETRKAYTTQGIVACIYVELVDIEEIVAEANMDEVGSDFEH >KN538960.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538960.1:30921:35005:1 gene:KN538960.1_FG003 transcript:KN538960.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCIGTADTKLDELLFLAARLRSTLAATSSAQVQVSIVDVSTTKNVTSQDFKGATFISRDAVLSCHLGANQHELPSDRGEAITLMSEALQSFLKRRYESGTLLGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGQTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAVAGMVHGILMESNESDETATKPTIGITMFGVTTTCVNMVKERLSKEGYETLVFHATGVGGKAMEELVKGGFIQGVLDITTTEVADHIVGGVMACDDTSVGALDMVNFGARDTIPPDFTGRKIHVHNEQVSLMRTTVEENKKIAEFIADKINKSSSKVIVCLPQKGISAIDAPEMPFYDPEVTSTLLDELCSRIEKTDNREVKMLPYHINDPEFANVLVDAFLSMDVKASNTISPENSMVQTNQDVNAKEYCSKQRTSDSSIIWRSPVDFPDARPALLKSSRL >KN538960.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538960.1:67459:68715:1 gene:KN538960.1_FG004 transcript:KN538960.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSTAAWPFLAVVAAALLCSVDAVIVEGLQVGFYNQTCPSAEEAVRDVVTSEIGLDRTIAAGIIRIFFHDCFVTGCDASILLDETPSGDVPEKESSANGFTLHGLRTLDVAKSTVESMCPRTVSCADILAFAARDAAVAAGIPFYDVAAGRMDGLRSNMDDLPGNMPTPSHQVPRMSELFVKRGLSQEDLVVLSGAHSIGGAHCFMFSNRIYGFSQGADIDPALEPAFAEKLRKVCPPRKDGDDPEQSPKVSFDGRTSEKLDNVYYSELLASRGLMTSDDALIKDPETKTTVDLFAGDNAVWQEKFAAAMQKLGAVDVLVGKGKGQIRKQCRLVNKPSKQSKPTSTRQSMPALRPKRKKPRLGLGNFIPAFHGFF >KN538960.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538960.1:86196:96465:-1 gene:KN538960.1_FG005 transcript:KN538960.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVEQKYRCYIRTLKGCHISGRNRLDSYGQLVPGGLALLARTGTEGRVREVKVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSD >KN538960.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538960.1:26656:29822:1 gene:KN538960.1_FG006 transcript:KN538960.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKNVVSWTTVVAALANARGRKEDALRLFVAMLRDGVAPNMYTFSSILGACSTPRVLAAMHGSIVKVGLDSDVFVRSSLIDAYMKFGDLDGGRRVFDEMVTRDLIVWNSIIAGFTQSGDGVGAIELFMRMKEAGFLSNQGTLTSVLRACTGLVTLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFGRMPQRDVISWSTMISGLAQNGRSIEALKVFDMMKSEGPRPNHITMVGVLFACSHAGLVEDGWYYFSSMEKLFADPEPHLDFSGSLKEEPEKERAKLVERRKKNKDGMQKKGEEKEKGRNRNRSHRKEKACWPAFFPPLPAYPSQQLSIIFPPTWNLCKTHAPIGKSKDISLSTVCSREVAVRSYMVRIMIHVYPAM >KN538960.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538960.1:141299:145013:-1 gene:KN538960.1_FG007 transcript:KN538960.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFHFVPLLLLLLTFLLLISSASSTAALPSMHSSSEDTASNATAAARLRPGKELLKYKRIRALLKKLNKPSLKTIQSPDGDLIDCVPSHLQPAFDHPKLKGQELLDPPERPKNYNLTIAGSSSSSSRVGEVVVQAWHAAGEECPEGTVAIRRTTEKDLLRASSLRRYGRKPARRNIRRDSTSNGHEHAVGYVNNDNYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGPGMVVGYWPSYLFTHLAHHGNMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSLIPAANLKLLADHPACYDIQGGSNSYWGSYFYYGGPGRNVKCP >KN538960.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538960.1:163568:166589:-1 gene:KN538960.1_FG008 transcript:KN538960.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNVGTPKAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMTVLVQEIVNADYAFVIYTTNPSSGDSSEIYAEVVKGLGETLVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSSLKNLFGITTKLTACFSVPMDEEDEVVLDYTTDPLITDQGSKNQSSRPLHGLEIKEAYRKLQKRHHPDIAGYKGHDYTLLLNEAYKVLMRNSPRNAGASGRGFGRGFTGNGYSCWNGPVRSHALFVDENKCIGCWECVHHAGETFAMDDVLGSAHVEVQFGDQEQKIQVAVESCPVNCIHWVMSEELAVLEFLARPQQKEAHGVFGGGWERPRDVFAAANNFTKRLQREEQQDMARQQRYNNGKKK >KN538960.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538960.1:171016:178942:-1 gene:KN538960.1_FG009 transcript:KN538960.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIDYVDLCDDEEIIVEEPGPHGQVHAADMHVELVDLTTEGDGVEDQNIPGKDDAVLCTTLHSPNFVAAHGQGDTAHCIVTLCKQGFIAVVDDAEEAMQSGNRELSAANDGKGEAMQSADQGIVVAGDCTEEVMMSGNQDFASAVADAEETMQSGTQEFVAEGDHSRDAMQFGNAGQASTCSSMSEQGAITYSSMTEQIATASSSMTGQWSREAAAFLCSRPMSIASPFPRQFWKAGEYSVAAQPTINSDQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVNNGATFVKIDKIKCSLIDEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTKTGCNDILVPVVDYKFDESSHTLKKIMDRGEKHFSSNLSTLLKWSPFTTEDDLLNQFGDMGCHGTKLIVFNLWFNDEWEMELDFASDEEDIMISGAPAMPDGKKTVGRLNHMHVANRFRYSLRLPKHFKVILCGRVVEPHHIVNDLIYCECIKYRPQVGINIEVDVITTIGYLRGAPKLDIHGFNVYHKNRLILPFWCAHPDKSHRKGIAGVLEANFIRPTHDKQDFEKTGLFHRLETRLKEMTLEYWKHHAHLVGYARVTKALPPAHYASTVARDDSLAAQASTVAYDDNSRARESVLFDMSSNGESSKRRNSCSVIHWRAQKRQHINDYANQPPDVNAVQMKDERIRHLICQKKVLKDECSKLEASEQQLLCKADRLRSELLEWHEMYKKLTDEVKFYDGLYALQRCNHSSFPRYQGSDAGCLTRP >KN538960.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538960.1:72135:73418:-1 gene:KN538960.1_FG010 transcript:KN538960.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRPSGSDYLCEDSSASEYIASSGIHQSFEEPDQPVHRTETPALGYHAEPDEPIHRTEAPALSQRETPSLRHHEAPEEPLLQPLLATNIDTVFSGFPPSFTDMLTQFSCKTEKDAEEPYPVTATDHAPQEVSMLDTSHNGTHSLNLISHLFIWKSLSSFPDQSVFCAVAISTTSANEIDVNRETSDIMTRIKTYISDGAFHDMLFKLERVIDELGGDLSL >KN538960.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538960.1:47988:51660:-1 gene:KN538960.1_FG011 transcript:KN538960.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGNQRERGVLLRAAAPRRWELYMSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDGKENTMFCLFVLSLLNSIHDLSRMFDTVVAAKEMTADKVEGAGKEIDITIKRGIMPSIKVPHEESSDLVSHEVTEQFHVYNIYFSGRVIWPMEAFMAGDHLPAKMRSLVLMEEAAVTLANLSWTSSMVVERSCCDPRQPGDQRTNELDLQHCCGTNRSPLWFSDNIDTIMLPPFCNGHGRAKRGRVPGCVSYLLAHGHRGGNPDRCRHNAGRATPCYAGAGMKPWSAVPAGSPSEGASTHHLPVSDEQECYPASRRRRVLASPIRPCILLRAGELPDDSDGESSSDDSEC >KN538960.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538960.1:17689:20064:1 gene:KN538960.1_FG012 transcript:KN538960.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERIRVLVIGGTGYIGRYIVAASAREGHLTSVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYDHESLVAAIKSADVVISAVGYAQLADQTRIISAIKEAGNVKRFFPSEYGNDVDRVHAVEPVKSVYATKAHIRRVIEAEGIPYTYVSSNFFAGRFLPSLAQAWIKGLPTDKVIILGDGNVKGVFATEEDVGTYTIKAVDDPRTLNKILYLRPPSNILSHNELVSLWEKKVGKTFERVYIPEDEVLKKIQESPAPLNVVLSINHSVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRFL >KN538960.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538960.1:118608:127725:-1 gene:KN538960.1_FG013 transcript:KN538960.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12-interacting protein of 37 kDa [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/Swiss-Prot;Acc:Q9ZSZ8] MADPPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRERWNGRLPNQKFKSGIQHFRTFQLYLLEPIQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTRNNELRNQFNANQNQSFGNGKIWAQPDPTPERYIVLWLYLFVDNVKFGSWELYKHMDGLTNDVERSNEMVAILQDELETKDVELRRLKEMLAQKEATNEDKMPQEKDVAGDDIDAAAESQPIKVET >KN538960.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538960.1:151002:153837:-1 gene:KN538960.1_FG014 transcript:KN538960.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSITSLFGPPHVIEHFIKCAPPKSPKKPISDFRRILGKKDMQAQSRELYLEEKASKEADFCKSAGLKSLDNLNELEEALIVLRFQLGQPMVLDTEIESLGTQAHWLHEWYLEEAKKGVGMFGAYYTNVDFHHPSNTCFVDFKELFQLYQRRELDICLLQLWSLAYKKYRRSGRSYGRCKIDATEFRIFEHKYILRQLEATDLCGFYVMRYMLYFVEDGYNHGNAEKLGIDTSEILPHVFKALTDEFCGFICHHVVDPTGEYNINKVPQRVQSSAPPPRDQAAKKLTPRKRKTRLDDLRTRNS >KN538960.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538960.1:53822:55707:-1 gene:KN538960.1_FG015 transcript:KN538960.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKHHIFGERGNMIQLNMCASDGRSVVGQRCQRLGCNNVVEGQTLLCKSHSIGQRCQMLGCPHIVPDGSVLCMSHGGGHPLGEPGSSTVACSKSEISIKYEGENGFRVTQNAGNDLGSAGIYNPDGDVVMCKYQGCSKRAQGNAMYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVTGCPNAACGSSQGLTDRCVRHGGGRRCRFDGCVKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDYCIKHGGGRRCKFQGCATSAKWGMDFCSLHRKSLMSGSNSSHEMLPAPPPKRRAKKTKTAVGPSGLSSDPKSAESVMIKHASNAGHQQQPIHSMKSSPSSGLTASTEGDVAARSHALFGL >AMDW01038265.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038265.1:294:695:1 gene:AMDW01038265.1_FG001 transcript:AMDW01038265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSFALLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFVGFVLIGVGILYLGLQNPVTNEKVKVRKLELQDLVSAFQHEAEQHGKVREGLSRHSSSPKSSLASNVDYILLS >AMDW01055093.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055093.1:82:345:1 gene:AMDW01055093.1_FG001 transcript:AMDW01055093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AWGLYEKDQALGIVDPSLKEFGKDEAFRAICVALVCTQGSPHQRPPMSKVVAMLTGDVDVAKVVTKPSYITEWQLRGGGNSSNTTSS >KN541928.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541928.1:40:1303:1 gene:KN541928.1_FG001 transcript:KN541928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVEVEGTHTVQNTYSSLDVHAGQSLSVLFTANRPPGVYQITVSTRFAKRTLNSSAVLRYAGSSATISEPPPPAGLADDINFSLDQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGRVAGKQRMDRGVWSEQSRKSYNLVDAVSRCTVQVYPRAWTAVLVALDNVGMWNLRSEDWARRYQGQQFYLRVYTPSHSFRDELPIPSNALRCGRATNASGSSRTLSRY >KN541928.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541928.1:12252:19631:-1 gene:KN541928.1_FG002 transcript:KN541928.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEELESEEHGLGGGEEPDVLLDPNTFSDDATVSLAMFGVSHDGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGSGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKVFYPTKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLAYKQNCFDDFIAAGEFLVSAGYTNPSRLYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLAVHLTSGEKEKGEGKREKGVFKVTEAELARRREDERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQNMGRQQPRKQGPRAGMCGEGKVLQSDDAFLLNCRISKTSKWVRTSSDAHGLNVWEMISFSANNLQSDAVYIM >KN541928.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541928.1:7042:11302:1 gene:KN541928.1_FG003 transcript:KN541928.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAAEVDAEARSILERAAASSFPPLHAIHHLLSVGVCVRCIFRFFGAFSYAAPCLSLTASVLHSFLEEHDDSAKSGSCSCLSKDEAYCSICFGVLLPTCYQDDGVEPLRSVSPIDNVTSIISEAVQREGHQVNEFSLEISLPAVIAANDRAIRLYMKEKYGSANWFDEKIFSQQTMSVKEALRILLVPSVEKQMNVKHGNNSFRIRLTYTHDEGSQKLLRLLPNDRGRKRKTESRDGSSKRGSTDDDKQILSESDAFINKTLEGIQDQEFRSLFQLPPEKVLEPCHLVISCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLVEVLNVRSLPSATEVQQIADKINDSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTDDDLHNISLIKDMEIVQKTPIRVLHRRSPLERKRIIHWMEIEKISGGSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSVGVMLSCRAEILQLDVTDVKMDFLQ >KN543331.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543331.1:4440:5354:1 gene:KN543331.1_FG001 transcript:KN543331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSRSCHLTVVVEPFDKVVEIKQKVESCYGIPVTAQRLLYWNRELADDHDIEYYPIFHGSHVLLLLHWQVAATFCWIHGLAKWSGGDRTHDMVHVTAYLPPASWGRKVTVFARREESVAALKLRIHGAQKEAMPLPECVWLGVDDFVCGGLMVMMDHWPLGAYVEFDSGVVEVTIVDCKKMVEAGSSSGSNRNTNVDANDNKIVIGLLMEGSHSQHMDFLLEASPADMVATLREQLNDNFEGDPETPLLAEGDYHFELNGVAMNEELSLEVHGVVESGETIMIISGRLPAPGRE >KN541928.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541928.1:4274:5750:1 gene:KN541928.1_FG004 transcript:KN541928.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGAFALVAALCLLELARRGLAEEPAVPAMFVFGDSTVDVGNNNFLANCKANCKANYPSPPPYLSLPDVTIISQMSKGINFASGGSGLIDSTGWKVCTEVFNMSAQVQSFTSAVQKMGNGTADLISRSLIFINTGSNDLFEYTDFPSNTTRNDTEFLQSLVASYKGHLKVQRYFTVLDRPCCGGGVGGCNGTAPLCLDRGSYLFWDNFHPTAAASNVFARELFFDPGAFVHPMNVHELAELRP >KN543683.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543683.1:1596:5829:-1 gene:KN543683.1_FG001 transcript:KN543683.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDCKFRALANSLVNPDAMKAAGITAHKARLWLHERSVRKACLEIGCIKDQIRKLVWRFHEDVIKVRLQMQLAGQRGNLVGMGTIFTQMVQVEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCKYVCNYAFGSTNFAFKFASGVIAGAVATALTNPTEVLKVRSQMSPSRTSTIGVLKKIVAEEGVKALWKGVGPAMARAGCLTASQMATYDEAKQRECKGARVYRNGFHCGYQVVVTEGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >AMDW01028761.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028761.1:208:357:1 gene:AMDW01028761.1_FG001 transcript:AMDW01028761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAALSTLSAASVTSGKRFITSSFSLSFSSRRLATGVRAAGARAAR >KN542224.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542224.1:20:732:1 gene:KN542224.1_FG001 transcript:KN542224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADINSFVWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYLVTAMIAETTILWEPSHGHGHGQSNGK >KN542224.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542224.1:15996:17633:-1 gene:KN542224.1_FG002 transcript:KN542224.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVRPQSQADYTIRSQLTQLRDLRDRHEIWLYSDRNPTSTRISWGEEEWARHEYDIGTQWDIRPGKEGQREKVPICSIAACRGKFYFNTTPESVGVLEFTPTPTAPVFGSIAIADPLPGGYGVLGAALGFLVEAEDDLYMVRLLLDRDFETVYDLIVYKMDFSEQQWHEVDDIGGRAFLLAPAYFGASRAADECGLEKDSVYVPYAHKKCFEVCKVEEK >KN542224.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542224.1:12288:12794:-1 gene:KN542224.1_FG003 transcript:KN542224.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIAACRGKFYFNATTESVGVLEFTPAAPVFGSITIADPLPDECGVVGVALVFLVESEDDLYMVYLLLDRDFETVYDLIAYKMDFSEQQWHEVDDIGGRAFLLAPAYFGASRAADECGLEKDSIYVSYAHKKCFEICKVEEKGDIDVVNLIEAPDARIGMWILPTEP >KN542224.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542224.1:4565:5551:1 gene:KN542224.1_FG004 transcript:KN542224.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTSPVPRYLLIRPFLSPLFATGLITCASESHVGNLA >KN542749.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542749.1:9806:10284:-1 gene:KN542749.1_FG001 transcript:KN542749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDDIEAVLLVNPKEPQFQGEPYSFPESPDFHKAGQRGSVTGRLFVRDRYMISQDMAAGLAYVGLASPGQPGSWATESKNYQFWTRATPCGSFSIGNVGAGVYNLLNYLAVYP >KN539938.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539938.1:53114:53503:1 gene:KN539938.1_FG001 transcript:KN539938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPMDVKELRASGALVLAVDMPGVAPADVRVEVEDGNVLAISGKRRRPAGDDGEGVKYLRMERRMGKFMRKFPLPESADLDGVRAEYKDGVLTVTVNKKPPPEPKKPRVVEVKVAVAGAGEPKAKGK >KN539938.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539938.1:24136:29225:-1 gene:KN539938.1_FG002 transcript:KN539938.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSWCQSRVSHCGPTNARQSSESHGQILFNSLGAKTTGIEGYKNHGFRSPFKEAHYADSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKIQVLSSECSEEARKVEHALQKEEALKQVVADEKAKHLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTIDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVPSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >KN539938.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539938.1:54404:58780:-1 gene:KN539938.1_FG003 transcript:KN539938.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGFAVARKIWMVVESWRVKTYRVLESNNLLYCTLKNTSVCYFPVFTPVFMLLYFHLSDLPILKEVLASTGVLTSKWKKQEELVYVTAYDILFGQERATSGSVEQLIMVHKDTIMAALEKMCLRRKVRSVEDLVSKKSTVKPKPRFLRVNTLRTSTNSVIEELNKIHKVDKDELVPDLLVLPPGTDLHNHHLVSDGKVFLQGKASCMVAVALCPEPGWKVIDACAAPGNKTVHLAALMNGEGNIETINGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSRGNQDDSSTSSRIRKLSAFQRKALSHALSCKSLLFSVSNLNMSMDSICTILKLPSTFCSVPSVERVVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFEGCRCSMASSG >KN539938.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539938.1:67104:69655:-1 gene:KN539938.1_FG004 transcript:KN539938.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLAYFYGHPSPSVSPDVYRALMKELAQTLDKQFSGNSESRAAGMIINTMGWVENLGLELLHNSIEIFKANEKLWKMLKDAAKNKPNIDVVKLHKSEGVVPRNPKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVYKIGTHQAPKSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEIVSSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSKLLIASSLTWYEA >KN539938.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539938.1:15591:18261:-1 gene:KN539938.1_FG005 transcript:KN539938.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAVTKAVKWLIFQLHPLASKVVKPWPLEDLLLHTFRLPPFLVRREYGEITAYFAAAAAAILDDAEKNHPGIPRDELLHNLVFVAIFNAYGGFKIFLPHIARAAAPAGGGEITISAVEKEMPLVKSVVWEALRMNPPVEFQYGRARRDMVVESHDAAYEVRKGELLFGYQPLATRDEKVFDRAGEFVPDRPKPVGSTRAGRLPPPVIIAANRAWSWTSLPSSHCRCRGSEMKCGRGRRAAATIGSTSVGVAADGSATRSTQPVLRPTDLPPPVAVVA >KN539938.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539938.1:62219:66116:1 gene:KN539938.1_FG006 transcript:KN539938.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHGDLDRQIAQLRECKHLAEGEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGNSPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >KN539938.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539938.1:2331:2933:1 gene:KN539938.1_FG007 transcript:KN539938.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEALAVAFEMKLFTEKNNGALFSKMEARASDLAIDAAGAGVDKTNFVEQKELFKDITRFLAGGKPPVISVRTSSSNYGLLTTSTWTDNVRLNFLRRFLAGGFLHHLQGKGLMSQVFVIDDDEVTGKLSAARSKRSLMKDTRIVKELNGGRGGGGGGGAMDEKKEKKQEMIKNALEKKRTVKKERLIAHELKHGSSDL >KN539938.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539938.1:33354:37360:1 gene:KN539938.1_FG008 transcript:KN539938.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSSSASSHGGGGDSEARDSSTVVAVDRDKNSQQAAKWAVDRLLARGGVLQLVHVKPQQNAEAGADAEMQQMFISYRGYCARKGMQLKEVILDGSDISKAIVEYATSNAITDIVVGASTRNTFIRKFRNPDVPTCLMKMVPDYCTVHVIHKGKAIQVKAAKAPAPFTTLPPKQYSQSSIESDGYPRSRGDWKKISNQSSPKANRPSVDRLSGFAKAPTRERPLSGARSAPPKDFDDYIDFIAPPRPSVTRSSFSDDVDFPLSLELPSMDFGDSLELSLSASMSMESLSSAGKDVETEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKMEESKKYQELRNAEEEALALVQMEKAKCRAALEAAEAAQRIAELEAQKRLRAEWKAKREAEERKRATEAMNNTDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVMDHTNVAIKILRPDASQGRKQFQQEAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAAAAEATQYRMTSTAGTFCYIDPEYQQTGMLTTMSDIYSLGILLLQIITARSPMGLTHHVESAIERGTFQEVLDPMVTDWPVEEALVFAKLALRCAELRKKDRPDLGKEILPELNRLRCLGQEYDASKVSSTSTTCSSSAPYSFGGDDVSTP >KN539938.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539938.1:41796:48464:-1 gene:KN539938.1_FG009 transcript:KN539938.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1 [Source:Projected from Arabidopsis thaliana (AT4G33090) UniProtKB/Swiss-Prot;Acc:Q8VZH2] MAPSRRPVLVIVSFNFKELLPAEVTNVPEDEILIIRFNEVLPVGEGTLVIAFKGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAIFKITLEVPSETVALSNMPVVEEKVNGPIKAVYFQETPIMSTYLVAVIVGMFDYVEAFTTDGTRVRVYTQVGKSAQGKFALEVAVKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADNFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGAETFQKSLAAYIEKFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVNVKLKDGKLEMEQTQFLSSGAEGVGQWVVPITLCCCSYSRQEKFLFNGKQEDFNLSGLVECQKKDDFWIKLNVNQTGFYRVSYDEELASRLRYAIEANKLSAADRYGVLDDTYALCMAGKQKLVSLLHLIAAYKDETEYTVLAHVIDTSLSIVEMVAVAAPEGLGKLKKFLIDFLEPFAQRIGWDAKSGEGHLDALLRGTLLTALAELGHEATINEAVRRFNIFVEDRETPLLPPDVRKAAYVALMQTVNKSNRAGYESLLKIYKETDLSQEKVRILGSLASCPDPDVVRDTLDFMLSPEVRNQDSIFLLRGVGAAGHEVAWTWLKEKWDYISDTFSGTLLTYFVSTTVSPLRTDEMGDDAEEFFKSRTKANIARTVRQSIERVRINAKWVESTRAEANLGNVLKEISHDH >KN539070.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539070.1:72970:74258:1 gene:KN539070.1_FG001 transcript:KN539070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTVIVSAVAASLGALSAILGFAADAAKHSDCASALGMAVAASIFLMMAKDRDGDRVRDVPGHRRHQRCPRIVPVIVFVLLLHAAVEESDCDKIHKGVYAGAGVLVLVSTVLGITSYLMLRTRPEPTPPIVVPMVIAFQPVYPNNPLLVPVPAQAPPPNQAFAHPATLPPQGGWYGQAPNQQFAAPAPAQGYGWQAPNQQHFPCAGVVP >KN539070.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539070.1:43004:45939:-1 gene:KN539070.1_FG002 transcript:KN539070.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEEVRSIGSGNFGVTRLMRNRDTGELVAIKTITRGNHRINKSAYREIINHRSLRHPNVIQFIEARYFFQQLICGVSYCHHMKICHRDLKLENVLLDGSAAPCLKICDFGYSKVVSNYSKFARAFSSLPKSAVGTPAYIAPEILNLQEYDGKLADVWSCGVTLYVMLVGAYPFEDKGDPKNIMKTVKLIKAIQYEIPQQVHISTDCKELISRIFVSDPSKRITMQEIKNHPWFLKNLPRELTEEAQSIYFTKNNNVPTFSDQTSGEIMTVMEEAQRIPKSFGDGYIDYISDEEEMQEEEEGPEDEHEKILREVREKETPNMKALSIG >KN539070.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539070.1:5648:6037:1 gene:KN539070.1_FG003 transcript:KN539070.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIITFFTGSLVFAVHVLMVYLKQLAGAACVDVLIIYLCRFLLLRGIFFSDDDKLRFRVKVAIGFLYISLSAILFYLSAAVMALPPWGAVAIWGMALVAIELGYAFFFPYRCLCIRKDDDEETPSVSV >KN539070.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539070.1:133932:142804:1 gene:KN539070.1_FG004 transcript:KN539070.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCLWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLGGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPDVIGFVAVHCLWNGEVKPVHMAAVERGGTGGSPSGPLLAYLGPLGMDRLRRPSDAQPGLWAGLSSLVEHGGRRKQIGHSGCSPDEWQFRYDNLSSITQETMEGCDQDCGWEIFFFRAKSLQQLALASKELDPENPRYYQSQRWKREGKPGIK >KN539070.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539070.1:1996:2419:-1 gene:KN539070.1_FG005 transcript:KN539070.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEERIRLKKR >KN539070.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539070.1:145476:148798:1 gene:KN539070.1_FG006 transcript:KN539070.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQKREYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVVATWYCILSTRLNTALLSFFCVHLQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCNEMEGIPNTKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTETH >KN539070.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539070.1:122031:125425:-1 gene:KN539070.1_FG007 transcript:KN539070.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRSTDGRCRSIWTHTRDLPNFSCVALVHCSCPPEKASRRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARAPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRSYYMCMVTNPKLHKMESTQLSGYGDKMLKYLLD >KN539070.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539070.1:92730:93733:1 gene:KN539070.1_FG008 transcript:KN539070.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVAIVSAIVGSLGVLSAILGFSAEGTKITCYVLKDGIFAGAAVLALAATALGIASYVTLRGQRNEPVRTPKPGEQQPAPEAGIAMGHPAAQLSPPASAPPAPPQQGGDGRAPTNPQPQVAAASPAPAQVGSHAPDQPLPAHPPPGDAQV >KN539070.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539070.1:30691:39500:-1 gene:KN539070.1_FG009 transcript:KN539070.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSSSVLSNSFPILLLIFLAAAASVPQIAMSAEQPEQAAPAAAASQEATVQIVYLDRPADADPEEFHIRTLAPVLGSEEKAKEAVLYHYKHAASGFSAKLTPQQVEELKKEDVGFCDGVISRDDSTPLVVVIPGLTSDSTAAYVKHLVFSMASKGWNVVVGNHRGLGGISITSDCFYNAGWTEDFREIVNYLHQKYPQAPLFAVGTSIGANILVKYLGEEGEGTPVAGAVSICSPWDLLVTNRFIQRKLVQRCYDKALAIGLKGYAKLHQPVLARLANWEDIRKSRSIREFDRHATCVVAKYETVDTFYRRCSSANYISNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATAPNGGHLAFFQGLTAGKLWWVGAVSEFLLALHDSPCMHRQKAQEHSLHTSLESSIDKSPYVNFMEDGMVTAVTNDDANNSDSDNPISNEMELRNGMVGVQQDGIATEIQNECDGNRSQENVTPAQGPVGSQEQPKQNIDKIQDAIAPVKISINQLIRSQGRSVWLLTYIAFVTSWPFLGALGFILFRKKFRNSLPAKRL >KN539070.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539070.1:18244:18751:1 gene:KN539070.1_FG010 transcript:KN539070.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAKSLLCISLVAILLLVETTAPHGQAYAIDCGAKCGYRCSKSGRPKMCLRACGTCCQRCGCVPPGTSGNENVCPCYANMTTHNGRHKCP >KN539070.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539070.1:56754:61023:1 gene:KN539070.1_FG011 transcript:KN539070.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTEAAAGSGAGTSAGREQPIVVAIKMDKTTIIVSSVVGSLGVLSAILGFAAEAAKFTDCASSLGLAIAATIFLMMAQVTVAAVGGCCGCCKSRAVPSETKRIIGVVCASISWVAAVIAFALFVDGSIGAAVACVGLVGEFAGAGVLVLVATGLGITSFIMLRMHPQAGGGEAAGRASPRDYDDEPTPIGTPIDIHGFRPPMPPNPQRCELDLYDLYYSSNSSSAAVGLGVCGAILLVITQVTVAAIGGCCGCCKSRAIPSETKRIVGVVCAVFSCRPHARFADAAVPAPAAAQGYGSQASNQQHLPANPRGHSEV >KN539070.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539070.1:87903:88363:1 gene:KN539070.1_FG012 transcript:KN539070.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDKTTIIVSAVVGSLGLLSAILGFSAEGTKITVTDILVGGKCLYPENPATALGVCAAVFLLLAQITVSAVGGCCGCCKSRSIPSETKRIIGVICAVMSWLVTADITAGTV >KN539070.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539070.1:102902:110922:1 gene:KN539070.1_FG013 transcript:KN539070.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding METGVFVVSAVVGLFAVASAVLGFIAEEKKLTPEDIDVSSGECEYPANAAFVLGICAVLLLAVAQIIVSSVAGCCGCCRPRAGASESRRITGIVCSVFSWIAAIVAGVSFVQGAAWNAPVTRDTAPLCYYLKDGVFRRAAVLSLAAAVFGIKSYIMLRAAAAGAPKPGDCISENGFPPFPAVMDINQIRDILPHRFPFLLVDRVIDYKPGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLIMRMTLIKLQKRFGIAKMEGKAYVGGDLVCEGEFLMATGSE >KN539070.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539070.1:111964:114278:-1 gene:KN539070.1_FG014 transcript:KN539070.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVCRVVALMWLPLVLFCWAPGLTSAADTAAYIVHMDKSAMPRAFASQASWYKSTLAAAAPGADMFYVYDNAMHGFAARVTADELEKLRGSRGFVSCYPDDARAVRRDTTHTPEFLGVSASSGGLWEASEYGEDVIVGVVDTGVWPESASFRDDGLPPVPARWKGYCESGTAFDAGKVCNRKLVGARKFNKGLVAATNLTITVNSQCDSDGHGTHTSFMVADSPMAIADGVDVLSLSLGLNDVPFYRDPIAIGAFAAMQRGVFVSTSAGNDGPDPGFLHNGTPWTLTVASGTGDREFAGIVRLGDGTTVIGQSMYPGSPSTIASSGLVFLGACDNDTALARNRDKVVLCDATDSLSAAIFAVQDAKVRAGLFLSNDSFRELSEHFTFPGVILSPQDAPALLQYIKKSRAPRASIKFGVTILGTKPAPVVATYSSRGPAASCPTVLKPDVLAPGSLILASWPENVSAATVGSQQLYSRFNVISGTSMSCPHASGVAALIKAVHPEWSPAAVRSAMMTTASAVDNTNAPIKDMGRANRGATPLAMGSGHIDPNRAVDPGLVYDAGADDYVKLMCAMNYTAAQIKTVAQSPSSAVDCAGATLDLNYPSFIAFFDPGAIAPAARTFTRVVTNVGEAPASYSAKVKGLGGLTVSVSPERLVFGRKHETQKYTVVIRGQMKNKTDEVLHGSLTWVDDAAKYTVRSPIVATTASSAPL >KN539070.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539070.1:50230:51045:1 gene:KN539070.1_FG015 transcript:KN539070.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVIVVSAVVGFLGLLSAILGFAAEGTKISISDVRVSGDECLYPQNPSLRLGLCAAVLLLLAQVTVSASGCCCGKPRGSGITSSKTKRVVGIVFAVASWIAAVIAVVLFVEGAAWNANVARDTAPVCYFLKDGVFAAAAVLALAATALGVASYVVLRRQLPDDDDDDAPAGAVASWRQPLLHAGIAMGHPQFPPHPQWHSQV >KN539070.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539070.1:24799:27213:1 gene:KN539070.1_FG016 transcript:KN539070.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSIRPPRAALVLLLLALSFSLTLAAHFEGFDSDDLHSAHADAASADDEDDEGLDRQNEAIALAWATRFATRDSIFDKNFSLLGTGDGKDTPLLMKEGQDVFKFYASGRRYCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVLMNEEAMDHVMLAVARKKAAKTMQKEERDLQKFAGVLTSAPAGRRWVADELAVVAESKEVAGDMITEAVLDQVNVLKQNNMYCELSWNNLEVRKVLGDKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDASNMAEMTRLVALVPYYIDLVGRYKLSNHARSKTEAARTKAAQEAFREQQGLRQEALQRKKAEKKKLMEEAEAKLSAEALRKKEEKERARQMKKSMPKVKMLRS >KN539070.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539070.1:67441:68341:1 gene:KN539070.1_FG017 transcript:KN539070.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTVAAGAGTTVVAIKMDKTTIIVSVVVGSLGLLSAILGFAAEGSKLTSCLYSSTNPSALGLGVCAAIFLVMAQVTVTAVGGCCGCCKSRAIPSETKRIVGVVCAVISWIAAVIAFVMFLDAGIVASECFIVREGFFAGAGVLALIATALGLTSYIVLRPQPDAAAGRGEPTPVGIPMDAVPGYPPRPPHHPPPQQV >KN539070.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539070.1:28532:29999:1 gene:KN539070.1_FG018 transcript:KN539070.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQAAVTAHLDQVSGLVQALSAELRRGIAPAADSLLAFVRAVDWTVTNSPIPKLAEITDFQPPRPPPFSLLLMIRVPCACGTEAVSGTMADLPDGIPCHSVAHGRRVEEECQFPALHAVSCLTYSTHLDAALSTKKLKQNWPLNISKDVMPWQLAQDKYSGYRNANYDKDSGVYLAEKINSYMAEHWKSIATRNYFDRAGVFVSVVWSGPLIFISMVIVVSSLITLCRLMVKWKRAELRHRAQLARDKQD >KN538726.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538726.1:36577:49753:1 gene:KN538726.1_FG035 transcript:KN538726.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSSWSSPSQLFHALLHSDHAAAASLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTLLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLDLAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMRQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRCLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFEFEVEILKDTALLHQNYLAIFRADSVPKPKVDCVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACQLNTNDLPCDSAGQEMAKCNGDAHTQVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNTLLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILGNMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLQAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAILHPAIFPNLEMHQENEKGPGPLKWFIENLLSEGSKSPRTIRLAALHLSGIWLMYPKTLSFYMEELKLLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFHHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSVHRRKVRIWQMICVLSQYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENAVFERRCFQDLKIYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMRHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAAGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >KN538726.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538726.1:138410:142912:-1 gene:KN538726.1_FG036 transcript:KN538726.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKEKEKEKEEEQQEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDLMEKRGYGEDYINRYKMMTSAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVESKTEKQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEGEGHKDLDLQKNNATKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQVFSQAVIYEYMI >KN538726.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538726.1:22791:23504:1 gene:KN538726.1_FG037 transcript:KN538726.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKALAAVVAIAVSLSAAAMGVDATVESTCSDAAASDKRVHLAMCLSQLGHHRDADAWGLAKAATLAGVDKADLAADDIKELEAGASTAGIKPALAECAKQYRGVGFAFASAHEVINNRAYDVGEKKLDEALSLTQKCNAAFAKIGVPLQQPLAQLTADTIQIAIIAKAITCLVNVCLCFERLLNIL >KN538726.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538726.1:5416:6240:-1 gene:KN538726.1_FG038 transcript:KN538726.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/Swiss-Prot;Acc:Q9FIQ5] MALNYVGLAAINLVAALLSIPVIAAGIWLSAQVDSACVQLLQWPLIGLGVAVLAVGLAGFVAAFWRLPWLLLAYLVGMLLLVVALACLVVFVFVVTGGASSGGHTVPSRAFLEYELDDFSGSWLRGRVDEPAGRWEQIKTCLAATPICSDVNQTYATAQDFFAASWLTPLQSGCCKPPTRCGYTFVTPISWISPISAAADPDCGAWSNDPSQLCYSCSSCKAGLLHNLSREWRRADLILLVATVALLAVYAFACYAFRTAKTDDLFRRYRQGYT >KN538726.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538726.1:83615:86208:-1 gene:KN538726.1_FG039 transcript:KN538726.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGGGSGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKKKVSNYCRFDSELLTVFTSSLYIAGLVATLVASSVTRRFGRRASILIGGSVFVAGSVFGGAAVNIYMLILNRVLLGIGLGFTNQSIPLYLSEMAPPQHRGAINNGFELCISIGILIANLINYGVDKIEGGWGWRISLSMAAVPGAFLTVGALFLPETPSFVIQRSGDVDSARALLQRLRGTAAVHKELEDLVTASEVSKTIRHPLRNMLRRRYRPQLVIAVLVPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRVCATAANVVAMAVVDRVGRRRLLLVGGVQMLVSQVMVGAILAGKLREHGEEMEKEYAYLVLSVMCVFVAGFAWSWGPLTYLVPAEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAACLCVMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRIVGGEPDADSPQQQIVELHHHQRSSSE >KN538726.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538726.1:152282:153780:1 gene:KN538726.1_FG040 transcript:KN538726.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRRRSFWSVGVAVALLCLLAAHGCSAKHHKPKPTPGGISGNASSSSSNSSTPTIPPPVAPTPPIPSPGSSNGSSSGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVGHPACSGLPETVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVACQYPGLTVTFHVEQGSNPVYMAILVEYENGDGDVVQVDLMESHYSTGGVEPTGVWTPMRESWGSIWRLDTNHPLQGPFSLRITNESGKTLIADQVIPADWQPNTVYSSVVQFD >KN538726.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538726.1:1806:3465:1 gene:KN538726.1_FG041 transcript:KN538726.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMHNLVSAYYPHHPPPPAPAPSSPTQFFAASSPPAVPAGAGAGYASPIIRYTQTSSSVVVHNSNTSEANAMSTPAQAPAAAPISFPAKKRKAATTKSAAKSKKTCIAPTASSHPKGKTVASQLSLDNSEQNMGSVRHSAMAKLPVQGSSVAKSLFNPLQPQVHSSPCTPQQSNPIVAYQTERASSSVVANAHTQQEVASSQCSMVSSKTLIVSPLKGAAYYAVERSYHVSSPLKPSSHKSSKREHVKGKLDFGTCDDRPCSNEAICEEASTSSDVEKQDDFDIDFTNLDIFDGDFSFSELLVDLDLDSEGVHCLNPPTNAEVQRLEGVPVLADPMKAMAEDPTEDINSQGAASAVTCVRAITKRIKIVSPVKGRAGAAP >KN538726.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538726.1:88488:92462:-1 gene:KN538726.1_FG042 transcript:KN538726.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKAIRVHELGGPEVLRWEQVEVGEPKDGEIRIKNTAIGVNFIDVYYRQGLYPAPLPFVPGREAVGVVTAVGPGLTGTKVGDVVAYAGNPMGSYAQEQIIPASVAVPLPPSIDHNTAAAIMLKGMTAHVLLRRVYKVQSGDCVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVTRVKEFTAGKGVNVVYDSVGKDTYKVLYRCILHMVTGFGFGGMLGVAWYAGVVWAVVRGRGLFLGACLRLQDTERGREMAMAMQEEQRVVVMRHGDRVDHADPLWAANNPRPWDPPLTDAGLLRASTVATRIRADGFHIHRVLVSPFIRCLQTAAQAIAALSPLPRINIKVSIEYGLSEMMNTQAMGLLVSQIAPSIDRWFPDLSQLEAALPAATIDHSAEPLYQEVPKWGESVWEARSRYASVIKALADKYPDENLLLVTHGEGVGASVSFFEPGVEIYEVEYCAYSVLGRQQHKVGIEQGSEEEGLKNLRVLSTSGPTGIHYYYTTPALAPAPAPAPSEVPDR >KN538726.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538726.1:65288:67289:1 gene:KN538726.1_FG043 transcript:KN538726.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSAASSSASKDRLNSPTPAPPPPAPPLPPPAPKSSSSSSAELYLNIYDISPINHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGSTDMSRSEVRSFIEDLAEDYHGDTYHLIAKNCNHFTADICKRLTGKPIPGWVNRLARLGSFCNCVLPESIKVSAVKDVTDPPEFPVGSVNIALAGHTQIIYLYHISSQCDVELVEIFSRGLM >KN538726.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538726.1:75234:79108:-1 gene:KN538726.1_FG044 transcript:KN538726.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLPLLSLGLVNVSEMMAPPLTVGLALTDSKSSTYALQWALSRFRFTKDDDAPIFLLIHVLTKLLTVPTPMGNHIPIDKVRTDVADAYFKDVHHQAQQMLLLYKNMCHQNKVKAQVLLIKGNDVSQTVSTVVSDYQIKILIVGVTARTRKPFGNRTSSKICKNVPSFCTAYLVSKDGLSSLYSSALESDLASSSKSDDLSDEMSSSSVTSNNSSDQSPFDSPMLLGSNLPSENPKNSSPAHRNRSLTLYDYLNGSTSIYPDKDRRVNSSTGTESSKPSELKGSNKVLRQESLLQGMLSDNKDHISTELEKLRLELRLIQGKHKLVQDESDDASRQASKVDLICYQRMAELAAKRMEEEAQLREIQSRLDKANDNVEKQKAHRYAAEQALNHVQDLVRGEVMQKNMLQVKASRDADKKLRLEKLFELQGNSYSTFTWEEIDNATSSFADNLKIGSGANGTVYKGYLNHSTVAIKVLRSDDNSSNKHFRQELEVLGKIHHPHLVMLLGACVERGCLVYEYMENGSLEERLRCKSGTAALPWCDRLRIAWEVASALVFLHSSKPNPIIHRDLKPENILLDGNLVSKVGDVGLSTLVSSGSGGSTMYKKTALAGTLFYIDPEYQRSGQVSVKSDTYALGMVMLQLLTARAPIGLAEVVERAVEDGKLRDILDENAGWTWAMEEAQEMADLALRCLEMRGKDRPDLKTRVAVDLDRLKRRALPTQPTPDHFICPILKRVMQEPCIASDGYSYERHAIEMWVSDKDVSPVTNVRMPNKTLVPNRSLLTAITAWKSQGGRKPPNKFVTTSPSHL >KN538726.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538726.1:16938:20003:-1 gene:KN538726.1_FG045 transcript:KN538726.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSRARRRSKSRWPLGLGEPPPGLFPARDDLLRLLAVVSIAAAAAAACSLLNRRPKPLCDSGGAAYTHHDSCQPCPPHGRCVDGNLECVQGFNKYGNLCIEDGLFQELDISNMADELLSKGFVGLSQDGAKVAKIKVLDSARAFFEKTFSSDGIYKRQALSKRAEQIYAQVCEVLEDNAIDAKIGNSECEPWVVTSWLRDHLLVPQERRNAFLWKKVEELILEDSRIDQYPKVVKGESKVVYEWQASGSLSGKKKVKKMQGVAAGKSRADGAAGGAIKLAEELDAG >KN538726.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538726.1:7304:8329:-1 gene:KN538726.1_FG046 transcript:KN538726.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSELKSNNNTTAMASGGPCGGGRIQSPQGREQPDLTTPIPLQCRGGWTYREDGGELPRWLLDWTMGRSADTSSGGWLWEWSAAAPALAPDDTRGRAALVARWTKEPEWRVEARRWESRSTWGAGESERRRMPDFARARWESGGGERNLGFVRKGMRDN >KN538726.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538726.1:27966:32263:1 gene:KN538726.1_FG047 transcript:KN538726.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAVPFSRRPSDGPRGARPILVVILAAAAATLLALAYSSFPSASRATTASSSMAVAPTDDGCCRGLEGLELWGPAVKWGSDHRLLSAAACCESCKAMCKADDCRCDSWVFCGDKKRCGQKFGECWLKKQKDVMAPSVVAKGDDVMWTSGLVFAKGEGIVGLETNLGTIRIQLLPGCAPHSVDYFIEVLGLRNCAGCRFYRAEGRGNLWDAKGDHIKNAAYGPPYALLQGTLEVDGLPFKERAKEACPALRRGSVAWVGSGPEFLISLANHGEWKGAYTVFGSVVPEDMAIAEEMALLSTSTDVWSNVTVKVLRDPVYFKEKSAAAPAAAGVMLPFEEQVVADLLEDPNGGLVVVSCGLPVASLASAVLLQLFHQSPDDGCFLILSAPEPVKAQIRRRLLLNSQSQPPLLQLQVHDVAPDLPAHHRVALYASRAALFLSPRALVADLLTSRLLPSRVRALLLLSAHRSSDTSSDAFVARLLRHHHLLPVYAFSDRPHAMVSGFAKAERAMKSLYIRRLHLWPRFHLLAAADLERSPPEVIDVRVPMTQSMRGIQAAILDAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWIFADSSHKIFDLSKRRVYQVVRADGTKVAADNKGTPTKKRKVARPSSRKGKETGSGYWPFPFNRN >KN538726.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538726.1:54381:63527:1 gene:KN538726.1_FG048 transcript:KN538726.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 7, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT3G60330) UniProtKB/Swiss-Prot;Acc:Q9LY32] MEVANAMDAITKETVDLEHIPVEEVLDHLKCTREGLTSEAAQQRIHSFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGRDARGKRMRIDYHDFVGIVLLLFINSTISFMEENNAGNAAAALMARLAPKAKVLRDGTWDELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQVFEKGIEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDRKDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITVRIVLGFLLLACFWKFDFPPFLVLVIAILNDGTIMTISKDKVKPSPYPDSWKLTEIFATGVIIGAYLAVTTVLFFWAAYKTQFFVHLFNVDTLNINKVDTTDNELVARNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLTKIQFFIERYVSSNCLEWIYEKSIWNIATVLAAIVTWEVASIRGIGWRWAGAIWVYNIVVYLLLDPMKFAVRYGLSGKAWNLVIDNKVEGIAHSERKGGICG >KN538726.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538726.1:71336:75033:1 gene:KN538726.1_FG049 transcript:KN538726.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSLVFVFVGVAVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLADCEIGSGTWDSWLMPLQKQADIVCSKVIQVSLLDNTFLRYDDVCIITGLYPFLFQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDISDYLKGCRFLPKLNNELPGERNATYKERFSSLENLVLIMFQDDAVLIPRETAWFGYYPDGGFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKTDMMKYIVPYLKGKDNGKAWIAATWRAITNTIGGEAFVLN >KN538726.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538726.1:121704:137932:1 gene:KN538726.1_FG050 transcript:KN538726.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSGLKLKGQQFSIAQIEGLCSNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLVSEIEKEITMADIVTELGYGCTVDSTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSAISNMNDSPQLTTWNTDVLVDSINELASSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYTHACKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHVNTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWKINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLTAKLRVCKDDFLQILQYQSWHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARFSSSQNELSVNISVSSEQHVTGLAPIEPIERGEWARPRLVVVYGFSFFALAADFHDMTSITHPHHHSPSHRLLINHLHYLPLINCPVCHLLCMLLFFHILKVPAQAKAKECIEVLPECYYPWFAQFMVMKRASIEPNFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKYATPHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSLSETALASLSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLIPKDYALESDNNTIKSSVHSIARTIAGNLAYANCKEPLCVALTDHLQSQIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALRPKHEHLSVAQQATMFMYGRAIANMLTLHVLVSLELVVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKILLVAVRIIQRDSEEKKASFSPQPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSFCDMIPSSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKPEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTKSSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNTHTHCFYFIILHLFSEATQEIIQDQIMRVILERLVVRRPHPWGLQMTLIELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >KN538726.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538726.1:69333:69752:-1 gene:KN538726.1_FG051 transcript:KN538726.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVLFAANHAPLTPITFLDRAALVYPDPPAIVASSSGLTRTWRETRDRCLRLAALGVHRHHVVAVFA >KN538726.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538726.1:23640:24125:-1 gene:KN538726.1_FG052 transcript:KN538726.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLGDFGARDPFPEEIESNFGERVLGNVDTLHNILIPTLSVLSIARLPLEPNPAPVDAADARRLLHKVVGWRLLDDADGMRLQCVWKVRDEACGHELVARINAAVDGAPATVVFEAPNQVRAELQTPSAGGLTVNDFIVAARIDKVKTLDLIPKKRVWA >KN538726.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538726.1:11172:13850:1 gene:KN538726.1_FG053 transcript:KN538726.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPFAAASSSSSSSTIANTCKLRLRLSYTHCSIGFAPRVRSWIRAAAEGRGGDQRRSGRLAADGPRVVEVAAPPAAPVVTGGGGGGGGFAARDAELAMWERLGAVVRLSYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFTAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSTVVLGHGLWKIHDHRRRLRQRIQEIRQEGTTNSDTL >KN538726.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538726.1:32540:36123:1 gene:KN538726.1_FG054 transcript:KN538726.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIFFPDFSIQATIQATWHAGAGTAKEENEGSSDNKDNTQKVNAEMGVVVEEILEEAHKWKVLRELLQEIAEEQAKGDGDNVNPVHEDGINKSGIVLVACKDERSCVQLQECISKGSHQVMRAEWENYLLGKAELHGLHRKNKKTSQQPKGFGVLDGEVPMRSGESAGPVSISKLEANALLAAASEISTVTKEANLADDSNVSCKKRAFGKGKGKGKFKKTMAKREASKLRNRNTTEHTDLEVEGQSGKTDEQAETDACKLSAEDDSASAPAVDKAANNLSAFGDSVDTEPLPPVQFYALDSDQHVLDVWKPSVIIVYHPDITFVREIEVYKAENPSTKLKVYFLFYEDSAEVQKFESSIRRENEAFESLIRQKSLMMIPVDQNGRCIGPTLANEPEALLSQNSLTRKAGGRKPLEKEMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQIETMVRYYKIPVLLIEFSQDKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFLSLKTNQDEPDESKAMRVGVPSEDGVVENDVRAENYNTSAIEFLRRLPGVTDSNYRRIMEECNSLAELALLPVERLSELMGTQKGARMLKEFLDAKCPTML >KN538726.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538726.1:103887:115872:1 gene:KN538726.1_FG055 transcript:KN538726.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATLSHPSRYVKLSREHDAPAPAEDIRPGELNQPVHLEGRRCSECGQVLPESYEPPADEPWTTGIFACTDDPQTCRTGLFCPCVLFGRNIEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERTGRLAENSAVPMTVVNPPAVQEMSMTESRGPVSPGMENGAPSNSKAVFDTCEPSLVLRETVNSSVHVVVERKEKGIEPEFSPDFKVVNRTWPFADYSVALFDIQQLHTDDILNTGFISQASAIAFDVTFMGILDGSDLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERLHIEPELRTNRAGSKILNSQPEPPDERVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKAIWREDGSGTMKEEARVRAHLRLLGEGGGWSGGGGGGGGGGGGGGALAAEDHVGEREDNAGHHDGGADQDTVGIVARLSECIASRGGNIHSVDVFVPDDKPVFYSRSEFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >KN538726.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538726.1:15676:16797:1 gene:KN538726.1_FG056 transcript:KN538726.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVKISAPCSLAGHGTTSSSSGSSRPKRGLLLPVGAGAGAYGGRQYSDVVPVPALSQAQASSSGGRLRIFSGTANPRLAQEVACYLGMELGRVKIKRFADGELYVQLQESPVILDYLASKTICPDEVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNQSEVVHLIGDVRGKVAVMVDDMIDTAGTVSQGAELLHREGARAVYACSTHAVLSPPAVDRLSSGLFQEVIVTNTVPVLHHRTFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >KN543704.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543704.1:669:2628:-1 gene:KN543704.1_FG001 transcript:KN543704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVDDKECYSHSIHGESNGRPQRKNNPASRPKPTPSKWDDAQKWLVSLSNGGGGGVDGIHSGKVRPRNSNADDRRLLSSSSQNGRVSCSSVDGALEYNLVAAPPTPPQLGEAAADDVSETKKIDYCMVQPQHGSPAAVLRSVCLRDMGTEMTPIASKEPSRYKREEMKIQAWENHEKRKAELEMKKIEMKAEQMKARAHEKLANKLAAARRMAEEKRATAEAKLNEHAARTTQKADYIRRTGHLPSFFSFKMPSLCG >AMDW01039659.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039659.1:132:815:1 gene:AMDW01039659.1_FG001 transcript:AMDW01039659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVAEDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRAYAEAHGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACTRTPSQLFAAKQAYHERFKRSLEEDVAAHITGDYRKVMILNLSSTTEFLKF >AMDW01021044.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021044.1:2:109:1 gene:AMDW01021044.1_FG001 transcript:AMDW01021044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFIVEDGPTPETSLPPPPPEFKRCGNNGLSQP >KN545240.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545240.1:1185:2030:1 gene:KN545240.1_FG001 transcript:KN545240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTDVGMAKIGDRLPSLQSIDVSHCRKLSDKGLKAVLLGCQNLRQLVIAGCRLITDNLLIALSKSCIHLEGLAAAGCNNITDAGISGLADGCHKMKSLDMSKCNKVGDPGVCKFAEVSSSSLVSLKLLDCNKVGDKSIHALAKFCHNLETLVIGGCRDVTDASIEALAFACCSRLKCLRMDWCLKITDSSLRSLLSNCKLLVAIDVGCCDQITDAAFQDMDANGFQSALRLLKISSCVRITVAGVRNVIESCMALEHLDVRSCPQVTRQSCEQAGLQFPGSCK >KN540549.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540549.1:19878:23170:-1 gene:KN540549.1_FG001 transcript:KN540549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLREEVEPMPYYGTDSDTFTLEVHHGGFFLGHGQNRSYVDENVTWFDHCEAQSWNMLWIGKRLADGLRLITREIDTAAMKSFVNTVKNFVVYFDHGETYGNNNCDDTLEILPKVLSPRKDVMQPCPSIQPYPTNTMLSQMAVESSQPSKRALQAEPLPDSAYILSNQPSARLAPLTTATKEGRATAANGGTGKKGGFGAKKAAGSSLGAKKPDGNNSSRKKAAAKKN >KN540549.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540549.1:36523:40367:1 gene:KN540549.1_FG002 transcript:KN540549.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASSAVKWAIDNLSSLLPASAAVAAGSSRGMDALEELRMLERTMRRIHATLRDAEQRWNIREESAKLRLEELKELAYDAEDVVEEYEYEVNRRKVHEEQFSTESGIVPVPSELADRTRTVIQRFCEIKDYCDSFSLSDNDGDRRIVPDINAMRQTSSFVFAPRILGRKKDMENVITKLLSGEGSRVGGCMSVLAIVGMGGLGKTTLAQLVYNDPRGALANEIKDKRVFLVFDDVWNERSDYWELLITPMFASKCCDIIVTTRNETVARDVLQSELWDLEQTQNEVLPVLELSYKHMPIDLKQCFVALSLYPKYYYLDENMVVWLWKLLGLLQGDEIGKLYFNELVQRSLLQSSIHGQKVMHDLVHDLACFLAGEEFFRLEEDKQTEVPRGARYMSIMPRSLCRKRIQISNASQSLRAIIVIMGDIDIVNPEVLFTHCKKLRIIYVVQGSVQKALLDFIGGMKLLRHLTLSGYECATHLSRPNSMSELFNLQTLDMQAYTLLKIGRLINLQTLPEIHLMKCGCFVDIRELRNMNKIRKLCIRGLRNVPSIMHADEAHLQSKRNLEVLELDFDELFLDKDFDELRSCEHTEHGDANEAAVTQSRGQLLEKLRPHYQSLKVLRIQNLNHENYPSWLGSASFSKLTELKLQACQSQHLPTLGELPSLKSLDISRMEFVEHIGHEFCSLQQRFKGFQALQDLSFDGMTRLSEWSGVEDGEFPHLETLLFWNAIELKSLPFVPLSCLRNLRLYGCKNLVTFPASATMKELSISSCEKLKELPALPSLRSLDLSHCPSLFALGHFPLLTSLGLYYIFNEDILCKLVNSYMTLEDLTIWSDTIKSFALEPLGLPSLRKLELRCPNLHYCGALTSLSSLKILNITGSPQLHIPHSSLQSQLEELIVDP >KN540549.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540549.1:29607:29864:-1 gene:KN540549.1_FG003 transcript:KN540549.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEKTILEQQIAAATARLEELRRKNRELEIKLIVCDLMSGRRNNVDDLTVDILQDVQMAIVKYRLGIRKRIRELRSMDSSKTT >KN540549.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540549.1:25762:26713:-1 gene:KN540549.1_FG004 transcript:KN540549.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEKAILEKKIAAAKVKMEKLQRTTREMEIKLVIWDLMSGRRKNLDDLSLDFVDDLQKAIKKRIQEVWEHDKRGTRRPWDRDVGRAQSKRLSGGSHGPAIQRAREGLFGGQSAWDEIHGDALLEMESNEGGEGSSIWWVGEAG >KN540549.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540549.1:47923:48444:-1 gene:KN540549.1_FG005 transcript:KN540549.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATERKGRLKCARWEHVWKPSYRFKLEQLLSMLGTSTAVPSMMVVAGWTSSGYGAAAERRQHQQYPGTSNNPPLDRPNSTTIPQITKELKEEVLELKAMLQMMDSMAKVEERRSKQAERRSEDAVIGTVLSRPAVAQSTPHRTPSSLRPGQRTVFKGPFSTSSKCNFRAFFKP >AMDW01033660.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033660.1:166:462:-1 gene:AMDW01033660.1_FG001 transcript:AMDW01033660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HTIASPIVISNRVPYIYKDAHLYDPDRFGPGRDEDKAGGKFSYTSFGGGRNSCVGENYAYMQIKAIWSHLLRNFELKLLSPFPKTDWSKLVPEPQGKVM >KN540786.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540786.1:213:793:1 gene:KN540786.1_FG001 transcript:KN540786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLDKQSFTNILEIFFVDGRRILSNLSESSEYGSRIKQLESDITFHIGKQEAELTLRVNNAAFYGDMHQLKSLIRAGADPKNTDYDGRSPLVRKSAIHGSF >KN540786.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540786.1:19450:28765:-1 gene:KN540786.1_FG002 transcript:KN540786.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVIPSPPPLAGPEHDDLCGALLVTSEGGGLGFAAILKQSRTLHQWSKEEATNQWKHLEHVRDLEQLLPYTVDVHDPFSRMSSLLIGFADGVIVVRTHDGVFTVELGSSRPPKKWSAAVLCAVDGCGLGHLDCHGGDPFRVALVGTDAAGTTHAVLYSSETEAWSGLASIDHHPNAIVKARRPSVLVGNALYFLCNNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKPMDEWEHLQDVRDLKTLLPRGSISMMNNLLIGFADGGVRVVVVRTYHGPYVVELGSTEPARVVSRRIGINVVFPYTSFCTPASVLA >KN540786.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540786.1:17754:18041:1 gene:KN540786.1_FG003 transcript:KN540786.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVHAASLVVSGRPLASVTRYLAARSRQEVREMVAALESHGAEQLKIPGLGTAMEQAQRLLEMFAADEEEVARKKLKRASDSPPAARMNSTRS >KN540786.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540786.1:8241:11902:-1 gene:KN540786.1_FG004 transcript:KN540786.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQQPERRGNSLTDLNDDLLSEIFFRIPPGDPGVLVRLSVVCKSWRRLLTDRDFLRGYRAFHRAPPILGFFCDEVGLTTFVPTTAFRPIIPSANWLLCDSRHGRALFDAFGSPMRLLVSDPMTGTERLLDAPERWRNIDWTLRYPWTNIQWSAAVLCAVDGCGLGHLDCHGGDPFRVALVGTDAAGTTHAALYTSQTAAWSGPASIDHHPGARVQARSPGVLVGNALYFLCDNTTSIVEFDMATMTLSVIPSPPLPEDPATKLYALMESDSESESD >KN540786.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540786.1:31840:33192:1 gene:KN540786.1_FG005 transcript:KN540786.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARIYALMQGSASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSDIRPDVVTLNTVVDGLCKSGRVQEAVAFVEQRMRSVHGRPPNTVTYNCLIDAICRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRAGRTGAALEFFREKRTVWPEAKGNAVTYSTLVGALLHSNNIGMAMELFHEMMSEGHSPDAIMYFTMISGLTQAGRLEDACSMASSMKEAGFKLDAKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDEGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFNEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPIIGETDRLKRFMQQGEHTASKRIVSDRTSA >AMDW01058407.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058407.1:206:316:-1 gene:AMDW01058407.1_FG001 transcript:AMDW01058407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSGNPLQTSAYIAENTFAIAIGALSLILFAQLIGNMQ >KN543283.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543283.1:106:1647:-1 gene:KN543283.1_FG001 transcript:KN543283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLVGPLLSKVMEKASSFLVDMYKVMDGMEDQRETLERLLPAILDVIQDAEEKKNLRSGLVCAWLKSLKKVSYEAIDVFDEFKYESLRREAKKKGHHNHTMLGMDSVSLFPSRNPIVFRYRMGKKLRKIVEKIKELVSEMNSFGLVCQEETPKQWRKIDSIMVDSDKDIVSRSRDEEKKKIIKILLDKANSRDLTVLPIVGMDVFDVVAIANSICMSRERDREKSLQDLQKKVGGKRYLIVLDDVRERNSDKWEKLKTCLNKGAMGSAVLTTTRDAEVARIIVTGEVEVHNLEKLGEIYVREIIQSRALTLPNNDEYFEILCKIVKRCDGSSLGAKAFGSMLSTRTTIQEWKDVLAKSNICNEGEDKIVPILRLSYDDLPSYMKQCFSFCAIFPKDYEIDVETLIQLWLAHDFIPLQGEDHLETVAENIFKELAWRSFFQDVNKISQRGENVHRRQLRDRTTCKIHDLMHDISQSVMGKEC >KN543283.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543283.1:5011:9177:-1 gene:KN543283.1_FG002 transcript:KN543283.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLVRPLLSAVTNNASSYLVDQYKVMEGMEQQRKALERMLPLILSVIQDAEEKRSKKPELSVWLDELKKVSYEAIDVFDEFKYEGLRREAMKKGHDATLSKGIVSLFPYRNPIVFRYRMGKKLQKIVQKIKVLVSEMDSFGLIKQQQEIPKQWRQTDSIMVDTEKDIVSRSRDEEKKKIINMLLDEARGKDLTVLPIVRMGGLGKTTFAQLIYNDPEIKKHFPLRRWCCVSDVFDPITIANSICMSTERDREKALQNLQKEVGGKKYLIVLDDVWNRDSDKWGKLKTCLKKGDMGSVVLTTTRDAEVARIMVTGEVQVHNLENIREDYLMEIIQSKAFSLAKSNEHFEVLRKIVQRCDGSPLAAKSFCSLLFNRTTVQEWKDILAKSNNICNVGEDKIYPILRLSYDDLPLHIKQCFALCAIFPKDYVIDVQTLIKLWLAHDFIPLQEDDNIEMVAKHIFKELVWRSFFQDVKEFPLRTTCKIHDLMHDIAQSVIGKGCLSIASRSDFKSLLSEHPTYHFNTLNVQTVLFDDFMRKQSPTLRTLLSENYNMDISILHLSKSSSLRALRLRFSKELVPIRLRYLQHLRYLDISGYPHVKLPEDICMLYNLQTLILSYCINLVDLPKDMKYMTSLRHLYTNGCSKLKCMPPELGQLTSLRTLTDFVVGASSGCSTLRELHSLNLCGVLELRGLDNVSQKDAKAANLRNKEKLTHLSLRWDSECCVEEPNCHEKVLDALKPHHGLLMLNVISYKSTHFPAWMRDLSMLQNLVELKLEGCTMCEQFPRFIQCKSLQVLYLIRLDKLQTLCCGEGRQGKEQTFQLLQRVVIKSCPRFRTLIYDMASTTFPALKNIELHDLEGLDRLVAMGERQENEPMFPLLEEVEIVKCQKLQTLCCEMASTAFPSLKKIELHDLEGLERLVENESTFPLLEEANIWNCQKLRSLPEAPKLKIFTLNENKAELSLWLLQSRCWSSLSKLILDVDDKEGTVQLDQIRESSLSELKLRHCNFFFPLSPSQPIIMFWKWLGQLVCLEISDCDVLIYWPEEEFLCLVSLKALKIGRCYKLIGRRTLVKGEPTCCARDQLLPRLTSLLIWSCDSLREIFVLPPSLTDITLYNCSQDLELIWGKGDTESESVKAEHHNTFTSSEHCNDHACTSLPSAADHPLPCLERLGVFQCPKMVALVNLPSSLKRLTIYSCPELHSVSGQLYALEYLSIHSCNKLESLNRLGDLPSLETLDLQSCKRLASLPCGLGSYSSLSRIIVRYCPALNTKPLYERLQTRSDSLEHRDLSHARARDPKEEPKHPTLRDPKSWKYAIPGCQ >KN539713.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539713.1:35044:35286:-1 gene:KN539713.1_FG001 transcript:KN539713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPALALPSRVAGAAFLGMFLVGTVVAMGSYTVLIGSCTEALKERVPRITEKLTWAASLVAISMGLGILISQSLGFSLY >KN539713.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539713.1:5106:10029:-1 gene:KN539713.1_FG002 transcript:KN539713.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGEDISRFCHAVQQQHGSEEGQRGMRVIVGLTRMEMFFGSFLNESASQEYFSGHPNVERCPFLRNINGATTFSFSSALPVAARGAKGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYVSDENNSESVDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKVCPHSFLLFSFFSHMDMQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKSDADSTPVADMYPNKTGNCSDTEGKAWDPLAMKMMAGRASGGAAAPTPSMCF >KN539713.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539713.1:41753:52925:1 gene:KN539713.1_FG003 transcript:KN539713.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGQALLIRYMIGPRSLILGCQIRMTPTMLTQERTGGYLDVRMARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDANEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQFSLEKYQFSFCDSIRARLLYFGHADQVCLHLQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQPIVVDLTPQLDALFLSWIEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARRRENQR >KN539713.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539713.1:72479:73021:1 gene:KN539713.1_FG004 transcript:KN539713.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLLSVGSSNLESHEIESSDLTLSRYCAYLVVFQPDLLPDYSENAEDLFQDMKTELKDMLGCYHYYFSRGRKRANAIVNPSPANNNDDNNNNSKKQGSVRKGAELATLLLQLQKDMWKLLAEVWTEIVVYVAASNEVERIMVHRNVLCQGGEFITVLWALTTHTGITRDRHEIVVEHHP >KN539713.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539713.1:3443:3664:-1 gene:KN539713.1_FG005 transcript:KN539713.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGEVKKQKPAATSTPARTSPRRKAAEAAPTPAPARASPRGKAAAEAATAAPARASPRRKAAARRTSPRMKH >KN539713.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539713.1:55379:61869:-1 gene:KN539713.1_FG006 transcript:KN539713.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ GFA2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/Swiss-Prot;Acc:Q8GWW8] MGGDGKHTKLPWLGVARYAVTAVLAATVAATVVLAIRMVLRPVELDLSVANGAVSVERPESPSAASLVKYKVTLRAYNPSGRAVVHFGGDNLVRLIYGAAAQTELAAFTLPAFVVPQQESHFVTKSAFLNASALPASLAARLYDGKTDQVVVQAVASLSFTIGGARGVSAGRRGHNFTFHCWPVSISSYYEVSGGEASCSQDTTEAALAKKLHPDTNKGDSDAERKFQEVQRAYETLKDDQKRSLYDQVGPDQYEKASAGGGPGGAYEGGFGNPFEDIFGGGGGGGGMNDFFRNIFREREFSGHDAKFHVPFYRLHLKYHLWKQFRGAQRRSIFRLLLHVTPAIFMQTGPFRMQSTCTQCGGSGKTVKEFCKSCKGRKVVPGTKNIRLNIVPGTDDGDVIKLVRSGGADPDGGSPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPANLTPRQRVLIEEFAKEEQAEEEKDAKAAGASG >KN539713.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539713.1:106:2818:1 gene:KN539713.1_FG007 transcript:KN539713.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding IPGFSAMNNRPRQPSRLSAEAAGLPNQQYEIAQEHFPTDNLIPQNLAVHSEFTMNHNQQQLKKRYAAMQSNMPPITTETIETANKLRQDLTNTSTVNNAPMSNTPIQTPTFVSPSSSSTSPLDNPHMVAQDTITSVVSMLKDTLERKKLSSHANGDTSSGISFGFYDSQHFQQNILGGTDIFPLVTTSQIQDSVMLPKVERPTEQGSGNFVAPANQVWLGAASREPSQSGSSTAIPAHSAGFEVCDDLPPIGQAMTVCESTRTNAANGNGTADCRSKGKEFRERILKENLKDDRKKGSLTRMGSISSEQADKGDPTKKRRVERSRKMAEAKERSSTPVIPSDIQVVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLVEEKERLLEEIERIVSDANT >KN539713.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539713.1:14533:18566:1 gene:KN539713.1_FG008 transcript:KN539713.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYNSAVVRTSKFNLNYDLRANIKLTVIDEIITIDTLHLLCEADEIYKICSILGTPNQRTWAEGLQLAASIRFQFPQWLCSWDPQRRPTAVEVLQHPFFQPCFYIPPSLRFRSTNGYAATPPSVGAKGAVDQKNARRYSVGPLSNGRPAVNYSYLSANTLTRAAGVQRKLELDHQVNMNSCQAPEGNHKLTKAEAMNQPWSRPPPPAAAAAAVRSNGNYFTKDQGPRAPDIAEKLSQLTVGSNRVPSLASDKFVDMKARTHGNTMKRPLPPVGTRTWHAPADPFRRPYEMPGDRAFLPRKLVS >KN539713.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539713.1:81130:83413:-1 gene:KN539713.1_FG009 transcript:KN539713.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNGTDAHCGGAVGAMGSYVYNLTSSYADQKNEVNIVATSLAMLLLAALLLAFDLLAGAATLRPAARLVLSVSLALFLPVTSYLFSEAKNDGPGAAAADAELPLRARLILAWMLLVELLRKKAVFGVLWVFAAAKLVQRVAIGEFVKRSFAFGKNPQLLAGYMAQTLEQQERRPRRDDELMTSCKYAVMGEENLEREAGPNGYLVDLNKTVAGDDNADDAVVVTVGRVWSLAESDQLLVSNPKLKRLCLSYALFKLLRREFEETPLTAAEAADCRELIFRGLCNDGGAAADRAATLFQVFDDELGFVTEYYHSVLPVMLASPFFLLVNYTVFPVLVLGLCLMTVVLCGNGDIAFIAGSIKRDNYAVSFGLLRMTRCLLSRVLRSPSALFSSIDLSITFLLFLTILYEEAWELAVFLLSNWLTVSMVSDYAVKPPSLLRRAAIRGVQWVTHRMSRRNLRVKQYSVLWFCRLPLKLPAAAVPEEAKHSIVEYLAAYDAAVAPLSAGRSAVARNALRNASRLISSACESGSVAEVILTWHIATSLLEVRCPPQAETATRSSTVATRLSRYCAYLVAFRREMLPDDVDCTARVYGAMTTELKRELGLKGYYFSTDATRYGKMMAIAGGQEDDEAAAAEETTVVRKGARLGKALMDEAAAGDEAAVRAHGEALARGGEFVTVLWALVTHTGIARPAAASV >KN539713.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539713.1:20299:32990:-1 gene:KN539713.1_FG010 transcript:KN539713.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSSSGGGGGGGGGPVIEMASLLRSNRPYAPLSTDDPSAASSRSAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRRNVQRSLATDLQSLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNMNGSKSTFELGDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVSACMHGGAVTLVFVCAAAKLEACFTSLVWVPLLGAYPTEFLPQVSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDADAPLPSLTVGAKTHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCGSPISPRLP >KN544157.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544157.1:3623:3841:-1 gene:KN544157.1_FG001 transcript:KN544157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGFKTLAVSRPNPSVETYLNHPVQLNDLSLEAFSEIPHAMALLNCLRIVRTVILSVVGTDLSSRRNPT >KN547068.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547068.1:345:716:1 gene:KN547068.1_FG001 transcript:KN547068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQSERAIVTEIAGTTRDVIEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLI >KN543284.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543284.1:6422:9269:-1 gene:KN543284.1_FG001 transcript:KN543284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGVYGGWGQKGGVSWGPRVNGGGWKRNKKTTTKVGRKKSKGVSDEQVTTPRTRAVTAREGAAETQVQPSQTSSPGPVTRRRLALELDQSSQVAPAIVEPPKKLTPRKKLASKKKSATPPKLLD >KN544022.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544022.1:3459:3665:1 gene:KN544022.1_FG001 transcript:KN544022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVAMWVACVLAMAAACQGRLRVGYYKRKCAPAEYVVRAVVGNAVRQNPGVGAGIVRMFFHDCFVQ >AMDW01038838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038838.1:229:843:1 gene:AMDW01038838.1_FG001 transcript:AMDW01038838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISLSDGKYRIIKPPVEIERYEESNIYMGLSQKRVYCTFFDDPDIIYILDESYGKMEWVQKNTISCLVIHAFQQIDGPWTLQDINCHEYPDAYGHDNSEAIEEQNFDWDSDNDNFINTTDERNLRYGRITFLGFHPYKEVVFSSHSLSRGLAYHLNTMKFRGFGKIQDLGNLGPKYYGGCMGIQPFIEESFLYTPWMGEFPKDIN >KN539320.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539320.1:86325:87914:1 gene:KN539320.1_FG001 transcript:KN539320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METAHLAYVLLFLVTAVLLFRLRRGGRSAPAKLTTAHRPHPNPVLGNTLEFIRNRRRFFDWYTDLLRASPSGAIEAWGPFGVGHAVTTACPAAVEHLLRGNFGNYVRGPSFRAAMSELIGDGLFAADGRLWSVQRKVASYAFSSRALRRFSDDVLAVHLRGRLLPFLDAAAASGEAVDLQDALRRFAFDSICHVAFGVESSTLLDTARGDSRHEALFAAFDAAVEISFRRALAPFTLVRKLTGLLNVGSSRRLREAIGVIDDYAMSVVESKEAACRDREDGDGDPDLLSRFMAAMDEEDGGELGAMFPTPEGKRRLLRDVVVSFVLAGKDTTSSALTWLFWLLAANPRCERRVRDEFSPERWLRDGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVAAAVLRRFSVEVAAPAPAMESPPAYEMTATMKMKGGLWVRLRKRE >KN541680.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541680.1:10512:13057:-1 gene:KN541680.1_FG001 transcript:KN541680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKDATVALGKAWAKFCHANDIPGRKLNCPYFKAAIKLTQELAHTINLKLKDIGSLSLVDTVVSSAKKIFRLHAEMEEKIGGELIRPNATSRSWLGNLKWVLDACKPLFCGLRYADQQKNATLSGFLPRLLKTRQELESQFGEGSQEEKHVFHNINTRITSLLDTGFMRAAGELDPEAHYKYKGYFANQAIYAKAVSKAFQKMAKPEEASIGQFMPFCNKRGMFASRAAEIGSSSCNRIQSWNGFLMLGSESAPILDQDKEFVGEIERFQPDPQSPINIVVDEVLGSLMSLPFQRGGDKKRKTNAKTTHIDEEDVASGDDTDINSDGSHSPEYVESGDSISASENIPDDP >KN539320.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539320.1:53656:71432:1 gene:KN539320.1_FG002 transcript:KN539320.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRELFSRWQPSGNFSYEKSLDAQITFKTAEIELSMMYDEMYTKAKVIQTGKGAILRCVSLTSTVVAFVLFILMSGSKQRYYNSIGVDVAITYTLFVGAFCLEACAIIIAMVSPRAWASMEARGGGCNSSLLTRATCRSSCSSMLPSIHPEKRLWWSNSLGQYNLLSSYKLADSKSRITKMMAIVGGKEVWSKFRHTRHDLVTDEMKELIHQEIDNNKLKKPLNLSPRLFYAQAAAAFFEMTLFKMHLYTDMYLYKVSRQLDTIRSSGRDDDIQEKEVHSLMHTCKVISDYMFFLLVTQPAMLPVQRNVYVYDLLALFLNDASYARTSSKEYLLKVLASGKNPWDQPFIDHLFGATPDDMLEQLQQGWQGLHAALQVMVQVWVRLLIYAAGKSRPEEHARRLSMGGELLTFVWLLMAHRELGDLHNIQFQLVEKEKDGKKYEFQQFVTDPQFSCPLRLNTKHAPDKNQQQQNCSARHGFGHAFRNSWKRKDTDNKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQQVFDKDTFSSDDRMGDVEVDIQPLIAAAREHESSAAIAGSVEVTKLLASDDGTLARDSVISVVDGKVKQDIALRLQNVEHGELEIELECVPLSQ >KN539320.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539320.1:96522:97866:-1 gene:KN539320.1_FG003 transcript:KN539320.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D4 [Source:Projected from Arabidopsis thaliana (AT2G38750) UniProtKB/Swiss-Prot;Acc:Q9ZVJ6] MADEIQHLTRAFSGLGGLGVDEPAMVSALAKWRRQPEKLSGFRKSFNGFFKDHGGVIESLSMEMELIGLLWLCLFTVQNLMVMWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRTAEELLGARKAYQALFHHSLEEDVAYRARDKPYCGLLVGLVSAYRYEGPRVSEETARAEAKALVAAVKSAGHAAAKLVENDDVVRILTTRSKPHLVETFKHYKEIHGRHIEEDLGHEETLREAALCLATPARYFSEVVAAAVSDGADHHAKEALTRVAVTRADVDMDAIRAAYHEQFGGRLEDAVAGKAHGYYRDALLSLVAGGK >KN539320.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539320.1:105642:108050:-1 gene:KN539320.1_FG004 transcript:KN539320.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGKLVESVGSFFSGGDTIPWCTRDIIAGCEREVVEATNEEQKSDSIMRLSWALVHSKNQEDVNRGIGMLEASLGQSNSPLQTREKLYLLAVGHYRNGDYPRSRQLVDRCLEIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKK >KN539320.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539320.1:36544:39152:1 gene:KN539320.1_FG005 transcript:KN539320.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSDMSLDEMDATVRKMEFVVFDRCGADESDEKGKNDLDVCGNGGDDDGEARPEERFGAFRDSTSECSDASTSGEFVEELPWIRYQGYEDDSLDGEFSDEHGIRDEEITGPVVSEFQEGQDEEGTSGRLGDGCEDEAAQEQEENGEQNISDFARESEIATEHEGVDFRVEACEEEERVSEDNILDAAHQTEVCREQEMQEEKNFAAVCKLGIPEQELAETADNIPDECCKEETSMEHDEGGDGTNMESESISEVAEHPNVEDEENMQDDGGSEMEISEEIISGFGCEEDFSEEVTSKYVSEGEISDSVENHAFEQGDSSTADNAFHQDDSTAAFEQDDSSTADNAFHRDDSTADRAFDQDDVSADGYDDSQKELDIGMGEFRVASEEAGIQEGNRDDPLDCTEDVNMELGVFLCDLQDASEGCGIAQESSQEGNSACFNDGAEMVPDIATQTMEDASEESDTAQETTLDDNSTPLAACAQMELGIGTSELIEGSSDVTEESGIAEETCQDDNAGYFSDDDSQKAIVITTCQLQVDFEENVIAQEADDNSIGVRDDAQNEPEQTCELATSEECHFTQETIQNHGADLEESVVIASASEDAHEESDPTQDGHEEDYSVGINAGAQKEGELDTSESGGASEGTTVHQEDDGHVNTTDLNGSAQKEITVSILDDCEELCSSEENNQSSNMLIPEFCNNFSAEEPQNQDSVAKESSLDDICNAFSGMHLKGDAYLDPTESMTCPGNRLIIARRRRTPEEEEYLRGFNPRAPNFLPLELDPESEKVDLKHQMMDERKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPHDEEEKKDITPTRPVQACN >KN539320.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539320.1:103097:105235:1 gene:KN539320.1_FG006 transcript:KN539320.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVPNPAPSPTEDAESIRKAVQGWGTDENALIEILGHRTAAQRAEIAVAYEGLYDETLLDRLHSELSGDFRSALMLWTMDPAARDAKLANEALKKKKKGELRHIWVLVEVACASSPDHLVAVRKAYRGAYASSLEEDVASCSLFGDPLRRFLVIRTSILGLGTDEEMLTRGIVSRAEVDMEKVKEEYKVRYNTTVTADVRGDTSGYYMNTLLTLVGPEK >KN541680.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541680.1:23828:24405:-1 gene:KN541680.1_FG002 transcript:KN541680.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LHEEEPAGGKKVAVSAAVAAARAVKNAAGKKAAKEAMVRWGQRNPNKNTPKKGSGTANCSIYKEPVEVGAFQSNQKIYEPDKRFMTGNEVIDNECIELVGLYNQMNNLQLETKDMIKGNAMRSDILDNRLLMRNLVQKMRSLRAMIKRKVRGEPPIIPPNLLEIPDIS >KN539320.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539320.1:13344:20256:1 gene:KN539320.1_FG007 transcript:KN539320.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARVGVWQGVGGDTYAATDARLLVISGEARREGDGGQSRFSTEDGAFGKFTNKKEEYEYDETDFLGLDFFEKKSYKGPPPGLAPAADPFPDKDFPEVEIIIGDPSKFGKTRRSTEVQPADNSEPEETSRSTTEEKKEENKPDETPPSTVTEPKEDEDDYKPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNSQNMIVDAKTKAECTEALKEGDELMNTGRLKQALPYYEKVMQAVDFKWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFMFSFQAMDFMKVNSSPLPRNTGYEKYFDKFGGQKNYYAALDEPEMGIDQIIPYMLFLVSPIFLVAFVALRKSFQL >KN539320.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539320.1:76208:76563:-1 gene:KN539320.1_FG008 transcript:KN539320.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMTALYRRTPTATTPESDDVELKGGDACPA >KN539320.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539320.1:110199:114090:-1 gene:KN539320.1_FG009 transcript:KN539320.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MVEKQMTAHRVDRGICGWFWDYVLAHAAGDASKVVVSPTHYLFQVYRNGVTFLACTQVEMPPMLAIEFLSRVADVLTDYLGDLNEDIIKDNFVLVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVSKMLNVVTDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLPGVPELTLSFSNPTIINDVRFHPCVRFRPWESNQILSFVPPDGQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFRLPPLIASADLTANYGTVDILADQTCLWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEVKNTPNAPYKGFRAQTQAGSLSFELHIEKRWVFLK >KN539320.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539320.1:79275:80226:-1 gene:KN539320.1_FG010 transcript:KN539320.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGSAVTVKMASDGMWQGENPLDFALPLLALQVAVILVITQGLALALKPLRQPRVVAEILEIIRRPD >KN538694.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538694.1:351025:351375:1 gene:KN538694.1_FG055 transcript:KN538694.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVIKMIVEAAQTAKRNRETCQKLARHVKMIGDLLNRLQRTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCLMGGKQAHQLREVQSDITFYLQLFPLVSFVDTIRT >KN538694.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538694.1:318927:320163:1 gene:KN538694.1_FG057 transcript:KN538694.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAIAVAGQHAGYATDPMHTVVGGHAQQPHRARAARSGMSALKKIRGTDNVEPEFNEIVQASHVAQETKSVPIEEMTERVWKPHWFWKRFLVDGDNHHIIVNCRKNK >KN538694.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538694.1:373637:374426:1 gene:KN538694.1_FG058 transcript:KN538694.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQRRSAPASFSPAARRNGGRSSGAIKREMVEGSKAKPLVVLAPAEVAGFDGDDGAGCGGFRGRPIWRYLKHAKDSVGLLDAHQGAHEGWHGLNGEGGGETSRRRQKMAASRQQLAPAGGTLGKGCAEVREVPRIVHKQGIEERLTGEGEFGGEARRPKLEKRKPISGSTAPGFDSSGQ >KN538694.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538694.1:396494:396823:-1 gene:KN538694.1_FG059 transcript:KN538694.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRNQDGEDGQNHEVDFKNRPQRDRSPPRRRGSHDSPPPKNGRDLRQHIDGHRREHENQRRNEDNNRRRYRNNRDPHPQNEDNNRNRHEYHSQHSNNDCKNHGNHEN >KN538694.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538694.1:291974:296179:-1 gene:KN538694.1_FG060 transcript:KN538694.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MASDYRLQFTFKLKSPLFTDCHIKLEGRDLAVRMINNCDENVIKSGPLSSAKVELFVLRDGFAGDVGNWTAEQLDEKEVKTRDGHISVLKGVVARRLVNGVCYFPEIQFREGSSRRSFILAARVNRNEAIGGHRVQEAFMDPVVVQTYRNKSNAKSNPPKFDDEVYRLKKIAKTGIYYLKLQSKNITTVGHFLRAYNKNPQELHDLLGIKEDHKDCKTIIKHARECDLKENHYLKAYKVVGNQINVTIFFNCVHDIVGAEYNGNYIAKENFDRHQKAIVDSQRKEAYNALESIPFDYIMKENDVPTKIDPNRNVSEDLSLPMQDAVPPPNPSNHNAAYQVNNFSPGLTHVSQTFPLLENCNTAARIPGGGGSNPLLADSENDLSVSDGVYGDPYVSMQEAGLPPPNPSDYNAAYQQGLCMEDSWISDSNLPLGISNKAAMASSDWDMNPHVLHPQTQNNMDMPFVMDNGISFEEDWNMYEASTSTKDWCPAENHLLPLPLKKTGHGHGHGPARKKTGHNPVHADEF >KN538694.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538694.1:379212:380400:1 gene:KN538694.1_FG062 transcript:KN538694.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVETMALWVAVVTLGAQIKAMAGPGKREREKVRLLREQAENTKKILKLLEDKQRPTSLDPAMAGVLTGLRGALDDISSSPEKKPGELHALDQRISSILQQYHHYHVANNIHREAPTMTQLASPPTFLMPWHGTPSNIAVGAGGGSGDWVHLVREIVEDTRVTVQGAWYARHNMEEVLGVAQLAQQVANLLQRPHAASQLMMCDPETSWPLLSKDLREALRDARWIVWYSQWHHLSTMPLLSAQPQTPSYFAGQSAMNGGDYPPVQPAQILDVAVKKIEFCLQNTINRISNLYAD >KN538694.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538694.1:324045:325493:1 gene:KN538694.1_FG063 transcript:KN538694.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGQAANVAQLLGVDLKGLTSMFVWAVKTICRNGNECRQLAERADRLAVVLRKLQESEMEGRLEEWKLLEEGVKDTLRRAYDLVAACQQGHGFSSHLRRFRLRNDLADQQRKVEYYLQQLQLFITTDEQTHLLHDIRDHVVNQYGVTYIKDGYNMRLIQFITDSKYRPIMKALFPLFVLNSRKNL >KN538694.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538694.1:284455:289174:1 gene:KN538694.1_FG064 transcript:KN538694.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPRKRLPPTPGAPAQKAKRLRQGIGGEAPEKQQLQPRVVFVLVFVARVYLCKRRRSKEEEMYNQPLRRLIREENAISQRRTLEAVQKLIDTCQDLVNHINSSHEYLTKQIGVHSERIDTLSHEVGQLKSLVSNRDVNECYRSEGNQEFAAIIEEVNQEETAIRFATSEAHKGQRVELSFLNKLNHLVYTKDKISAENGNAIEIAIYKDNQIVKSGPLSSARIQMLALHGNFNDEVPENWTECQFNERIVKTPKGPVLGGVHQVKLKNGQASLSDVSFNISSGKTESGKLILAARVHSSDRTGLRIKEALMNPVKVQVYRNKHNRNCDCPKLKDEVYRLKGISRKGGRFDWLKNNQIYTVEDFLKGLNKDEEKIRIECFKVKPNDKQWKATVEHARECDLEGNCSLKSYRVEEQNVVLFFNCVHDLVGAEFHDVYVTKDNFDSDQQDAVNCLKKQAYDVLDDVAFNDKIKDNRPVSLSSAMNASITGGDVSIPLTERAGTNPPDLHVTSQAVEATHHAEIYRAPELPQAFLNYNSACEIIIEPNVEGLIPTEEYCLYDHQDEGYRAGAMTQIFGRFSQVDIPIGCYIGQASEAASSGGNALIGLTNVSQNVSDDSNIAELIDSDINPYQYII >KN538694.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538694.1:458983:460061:1 gene:KN538694.1_FG066 transcript:KN538694.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVGIVGFVLQIITDIVPKIKEEVDRVRQNKKECLRIKSRAERISHTLSPCKSNEELMNHLDVSEPVRALGDILREALKTVTDCQAQEGARSPQDLLCFFCRARKISSRLQEVAHDIADIHADVSLAILVTNSKPASFMVYQISKHTQDTNHPPRRECIDEKDSMREPLDAG >KN538698.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538698.1:126298:127161:-1 gene:KN538698.1_FG069 transcript:KN538698.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPVEARKEINAWVARATKNLITEVIKPESQSVDTRHVVGNAIYFKGEWLTPFDKSDTAEREFRRLDGSSVEVPFMQRPAGSYHHVACHDGFRVLRLPYKATSDTYNLKLRYSLPSFAMLVFLPDDRDGLPGLLDRITASPEFVDDHLPPGCVPVGRFRVPKFKLAFCHYGIADILRGLGLRLPFDMFAAEMSGVAAEGDGEDAAMFVSSVIHKAVVEVNEEGSEAAAYTEESDDDLGCSLYDDDYTPPPKLVDFVADHPFAFFIVEERSQAIVYAGHVLDPSEEE >KN538694.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538694.1:339633:349906:1 gene:KN538694.1_FG067 transcript:KN538694.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAQLPGVDLAGLVKQIREAVQTVRRNKEDCAVLAERADLILDLLRRVQQSNLIEDPDMWKPTEGLRSSLRRALELVGSCQERSFAYRLWWGGDIANELRKVLNDLEFYVVYLTALIAVINHDQITCRFLIQQPADGVPLQEGAQATVRLPVHHTIEHNDRGQTESNSGIAQLVTEPSIANEGYLPRASLLSAHVQNGLQKFTFPQLEGATNNFSLENQIGVGAFSTVYKGRLNEEPEVAVKRASYVDNLPFHQLENELDLIPKLQHTNIVKLLGYCIQESERILVFEYMPNRSLDSFITGERTTEAPLDWSKRSQIVQGIAEGAVYLHMQCEPRIIHGDLKPGNILLDAALKPKICDFGTSKALRLGQDIDYTGGVVVGSRGYIAPEYKQGGCVSLKTDVYSFGATLLEIIRGSRIPPSTLELSDESRDYGPLNKWAWNLWRGGNLMQFIDPSLHGETHAAAEIQRWVQIALLSLQSLTERTDILRHEVEQLKRSNSNQRYRSEANREHAAILEDVNQEQTVVRFATSEAHEGQGVELRFLNKLNEDAVVYTKDKITADDGNTIKIAIFKDNQIVRSGQLSSARIQILAFHGNFNDHVPKNWTEGQFDERIVKNTKGPVLGVCQQVKLKNGEASLSDIYFDIPSGKTESGKLILAAKVHCSDRTGLRIKEAVTNPVKVQGISRTGGRFEWLKNNQIYTVEDFLKALNKNEEKIRTDAVICLKQQAYDVLDGIHFDHKMEENGPVYLSSAMNTSIIGGDASVPFTDTAGQNPPDFHVAYRGFFSKTNTANPTYEKRGAGYGTPPGVNNIIPTNVPLPRNVTDDIYEELLDIIVDSLNS >KN538698.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538698.1:95927:99297:1 gene:KN538698.1_FG071 transcript:KN538698.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLSGFIKAILPRLFSLVDDKYKLHKGVKDDVRFLIKELRMIVGAIDDDLSLDHAAPAAVPRLTVEELRELAHGIEDCIDGVLYRAARDQQRSPSLLPRTVRATKKLLQTNQQHPGQELQRLKRMVEEAYQRKQRYTMISTSAAAQVVGEPSFSLSTSSDPRIHHEADLVGVDEDRAELLEQLAERQPEQLKVIAIVGFCGLGKTALAAEAYNRETRGGRFERHAWVCAAHRSAREVLGELLRRIDAACHGASDAGQLCVDIRQQLEKKRYFIVIDDIQTEDQWKSIKSAFPTDKDIGSRIVVTTTIQSVANACCSANGYLHKMSRLDKNCSKQLLSKKACPERYSHYKQPDSAAILKKCDGQPLALVTIGEFLQANGWPTGPNCEDLCNRLHYHLENDKTLERMRRVLVRNYTSLPGHALKACLLYFGMFPSDHPIRRKSLLRRWLAEGFVEPLSSASNIDSTAAFNVLMDRNIIEPINVSNNDKVKTCQTYGMMREFISHMSISQNFVTFFCDDKFVPKYVRRLSLHGDTVVNGDNFNGIDLSLVRSLAVFGEAGTTVLDFSKYQLLRVLDLEKCDDLKDDHLKEICNLVLLKYLSLGGNISKLPKNIAKLKDLEALDVRRSKVKIMPVEVFGLPCLIHLLGKFKLSDKVKQKTEVQEFLLKGKSNLQTLAGFASNGSEGFLHLMRYMNKLRKLKIWCTSSAGSTDWTDLREAIQQFILDEKEANIGTRSLSLHFTGCSEDVINSLKEPCYLSSLKLHGNFPQLPHFVTSLRGLKELCLSSTKFTTGLLEALSNLSYLQYLKLVADELEKFIIKVQGFPRLLRLCIVLQCPTFPVIEEGALPFLVTLQLLCKDLHGLSDIQIECFKHLQEVTLHSGVTPATRQEWVKAAKEHPNRPKVLLLKSVDTAESEHTDVDSVMEAVKGETTEYSTAPEGPEQVIDMNNKMQFDHGLESSSVLNKQNNFADQSSSKDQLHYSFNNMGLSDVSPAVSELPNGMVPSCT >KN538698.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538698.1:29008:36524:1 gene:KN538698.1_FG072 transcript:KN538698.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMVVKVTAALVSTLTKFASEKLFTSIQKRYEKWKSVDGDIHFIKTELGMIVATLESQFLQGVHPNGVHAVSMNTLRDLAYDIEDCFDQFLLSTSCEGQDVPFLSDFPTKIQGLKKRLMDARQQILDYASINHGQPTAAVSASTRAPLPKVKKPLGIDKPKKELVDLLRAEEEEQARMISIVGFGGSGKTRLAREVYDYFADGQIFPGRAWVEAWRYKIDDKGLLLALVKQLVDQRQYEDTVNSDVESIQAKIIISLNTNRSLVVFDGIDEQQCNAILPMKSSCNMIVTTTSHPVANRCTYDGGYIYSTSTLEKKDCDDLLKEILSSENSSDLEQISASIIKRCDGHPLSLVSVANFLQSATGNSRSKSCEKVCRLLGSYVEKQSAFHELREVLMRNYGNLSGPLIKTCLLYMSIFPKGFQIRRKSLIRRWVAEGYIQSEYGDSDETVAHENFENLLDQNIIEPMGACDNARVKTCRTHSVMREFMLHRSFCDHFIGSLDTMSELDDPSTFRHLFIQKCTNINILRLAKKKLRARSLTIFGSGGGAAVSCIAECELLQALDLKECNDFDDNLVKGIMKDNLSRLKYLSLGSATTKLPKAIEKLHCLHTLELSKTNVVALPIEVIKLPHLVHLFGKVKLRKKRSIHAVQVIDDIISNKESIAEKSKLQTLSGFVIDKDSIIPQLMVHMKRLRKVKVWCDSTGEGTTDWMKLKKAIENFVKSEMDTAVGERSLSLYLGDGLERFPELGDNIKVELNGFVSSLKLQGKLNQLPNFDTSLNGITKLCLSSTNLEGHHYSDLGKLLCLKYLKLVENYLGGFVIKIGTFPSLEFLCLLMPSFLYAVPEIQEGALPKLVSLQLLCKHLHGLAGINIKNHTKLQEVALDSAVSMETINTWENEAQKHPKRPKVLFFKRVGTSKIKYTATERPKTPESTGAGDSMEIDK >KN538698.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538698.1:2318:5472:-1 gene:KN538698.1_FG075 transcript:KN538698.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLQKLKKLDSPTIHQLISELSVIQDRLEKLSNVRDPSLTVIYWMKDVRELCYDMEDCIDLLVNADAGAKMGWDDTISGFLTRVKEANERWSIYNLDADAGSSPTNMVVHHHLPTVFSKVVDPVGMDGPRNKLRGWMAEDEDENLKVLCILGAEGIGKTKLAELLWRELEGKFECRAFVQTAKKPDIRMILRNILSQVRPLQPVVPCAVTNLIDDVKNHLKNRRYFIVIDDLWAPSVWDVVRHAFPVNNCGSRIITTTKVDEVALACCVYNPQYIFKMKALSVDESKKLLISRTFGSGEHPGQFHKVTQEIARKCGGSPLAIIIMGSLLAIQHETVQNLEDRLKYWQYVENFLCNNLRVNPTSDEILKQVLNLCYNSLPCCLKTCLLYLSVYPDNHILLKEDLVNQWIAQDFVCSSTRENAMEVAMSYFNKLVSLGLIQCRDIKYKSDVLTYAVHHIVHEFITRKAQEENFVTAIDYSQTTARLTYKVHRLSLHFGSATYATTPVNIGLSEIRSFIFIGLFNCMPSIKEFKLLRVACLDFSCEDGNMIDLTEIYQLVLLRYMQVNCSVTVKLPDQMQCLKHLETLEINANDAVVPSNVLHLSFLPLQFQGMPNQPGVTDGIKNLSMDPSTSHTTASLHDSTSASSPPVQTLELLPSECIFSGIPEWIVHFRQLRILKIVVREFLDSDMDILTELGSLAALSLYVQEPTAKGIVFKTGTFSALIFFEFRCHKLRIEFQEGAMPNLQRLYLVFDAHRGEEYGIALLGVEHLLNLRDISARIGTTTSASDSDRGAAESVCKNAIGKHPYGPTFKVLGMISQVQEANHLDKQKQSSDEDGSSSKRYRIQWGDLRQAIEIDIRQTTKKDLEDTKNLSDSREMHPVKACPGERCTAYDWFVQKTIHPDIQKPCQDEDDSLTKQRRTLKMEPEDERNLPDSS >KN538698.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538698.1:136509:137409:-1 gene:KN538698.1_FG077 transcript:KN538698.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTALADRSASGGPRVAFASGVWCDAALPLKHVYRDAVVARFAIRIGDCDTLFLIWCLEGGKARRQINEWTRQVTRGLIDSVLPPGSVGPATAIVLGNAIYFKGNWERPFMAKNTKKKPFYRLDAGVVVDDVPYMSSSNSKQYVAVHDGFKVLKLHYSAPKLRTKRKRGGCGGDLKRYAMAVFLPNARDGLRGLVDKMASRPGSWTSTCRPNRVVGVLEQLGLRLPFSPELADLSVMVEDDGSGLPLFVSQVVHVE >KN538698.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538698.1:157433:165672:1 gene:KN538698.1_FG078 transcript:KN538698.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTVGGLGSNLERLLLDPSCLKLADVEIVLAAADGDPDGAVVGVHRCILAARSSFFLDHFLSLPAPAAAGEKPQLELANLVPGGCHIGRDALFAVLRYMYSGRLNPPPADAAVCLDDKCAHEACRPAIDFIVESTYAASGFQISELVSLFQESCPHHWLLMLQHRLSDFVCIALAEDIVPITLVASTCQFQDLFRHCIQRVATSNLDSHYLEKKLPGIAYEKVREIRQFWLPESQTIVNPEHERVRKIQKALHSDDVDLVTMLLREYAITLDDAFAIHYAAAYCESKMLAELLKLDSADVNLKNCSGYTPLHMACMRQEPYIILSLIQNGASMLEMTRDGRDALTICKRLMSQKDYNRNIELCKNKSNSYLCIKILEQEIKMKSLILVPAEDSSSTPSLVNCSQERLLNMENRERDMNAPVNSSLGAMDSLHRKLDELLHITQRQSIPKLSIDLAKLSINVGVIKTHLVKLSEAHDAFLTANYWMNDVRDLSYDMEDCIDQFAHAKADMDLINKILGFQSRVDEVTERYHRYNLDSAISLRNIPINYQLLTVEEKHSAELVGIDGPFMDLDLWLTDEEPQLKVVSILGVAGVDGQKSRYEEDLQEHTLTVWDVVSRSFPKGNCGSRILTTTEIEKVALACCGYQSQSIFKMKPLSDDLSKELFRSRIFGAGEGCPQQFNDISEDITNRCAGLPLALISVANLLASQRESLEHWKYVQKFLHDNLRTNPTFDEMMKQVLNLCYSSLPHCLRTCLLYFSIYPENYIILKEDLVKQWVAEGFVYAKKGKNVMEVSMIYFDRLVNLGLIQRMDVNDNDNKLCYAVHHMVLDLITSNSTENNFVTIINYFKTTIGLSEKIRRLSIHFGSATYATIQEGIGLSQVRSLTYFGLSNCIPSVVEFKLLRVLILHSWGEDENISFDLTGICRLFLLRYVQITCNITVRLPNQMQCLRHLETFEINARVEAVPLDIVHVPNLLHLCLRGGAHFPDEICNLKSFTLDLGASGRAISLVGSSRMSFPPIFLQRLELLPPICMFSRLPEWIGQLRKLCILKIVIKELLKNDIDILAGLPALSVLSLYVRQPTTERITFYRADFLALRCFKLWCGALYLVFQDGALPNLERLKLGFNAHKGELYGNMLEGIENMLNLQKVDARIGAAAGAEESDRSAAVSAITNAISKHSALTSCCIRRVDWVDEMCLYPIHFNDEYVTVIRVPQGSGLPVPADYSHHLKSDRVPNLIFESYISGVTNPQCLEPVASSSDPIKSDCVSTKTSEACVSEVSNPQESKLGTDQHCRHLGCSKLARGSSGLCVGHGGGKRCQKTGCSKEAERRTDLCKAHGGGKRCKYPLCAKAAGPTNFCKGHNGAKRCTHPGCVNSAKGHKGLCRAHGGGRRCEVLGCTRSAQGRTDFCLDHGGGRRCRQEGCKRAASGDSSLCLKHEGGKRKRRATASADGDAATSSKASASGEHSKEGTTKRDDTMAE >KN538698.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538698.1:75631:81150:-1 gene:KN538698.1_FG079 transcript:KN538698.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPASFSLGAMGPLLRKLDSFLVAPEFRLPKPLKDGIELLKEDVEEISGDLQDQTKVDSPSHRSRYWMEEVRDLSYHIEDCIDTMMLLNRCSSSTIAKPRSARGHKVHRVKIRGSSMAPKQRAAMAMVEELRALVREAGERRGRYQLDLGNGGCCSSSCSSSSHGRVPLPAPSWIAADLVGMDEPKATLARMITDEAALHMKVVCVLGSAGVGKTTLAQQVYRKLGWQFECRAFVRVSRIPDTKKLLGEILSQLQPSIRMSDTSTVQSLIDNLREYLHKKRYFIVIDELYETATWDIISSAFPEDNNCSRIITTAKIADVALECCSYNSVNIFKMKPLGIDDSAKLFCSRVFGSEQQCPDEFIEVSYRITRKCGGLPLAIIIIAGLLSSLPRETKLWYNVDRCLCSSVITDIDLDEILKEIINLTLKYLPHYLKSCLLYLSLYPEGFIIWTTDLLKQWVAEGFIPATDGKDAEEVAESYFYELFNRGMIQAVKTKYHNQVLSCTVHHTIFDLIVHKSREEKFITAIDYSQTIPVYSLEARRLSFHFSNARYATEVASLTLSQVRSFAFFGLMKCVPSIRDFKMLRVLILEFWGDKHGCMSFNVTRICRLFQLRYLKISSHITVELPAQIGGLKFLETLAIDARVTAVPTDIIHLPRLLHLHFQYGVVLPDEIGCIKSLRTLKYFDLGNNFGENVRSLGQLTNLRDLHLTCSAPTSNQQAKRNLVVLASYTAKLSNLKSVVFSPCDSGTGISFFFDGTGISVDRSRGVSSLPISVRTLELLPSICLFARLPSWIGQLHKLHTLDLAVRELIENDIDGLTRLPDLTVLSLHIMRAPMERIVFNRKAFPALKYFKFICGTLRLAFQAGTMPNLDRLKLGFNAHNGEKYGNILVGIEHLLNLKEITVRIGGAAEAKESDKMAAEAAFKEAIRKHPRFIDYLDIERVDWVKEECRHIKKQHEIKIEDSTREKHWDSQMQHSVENKLAVSGKIMKNFADSSLSPEDDIAMSWESLFRQWVTDGFVVNNENSTSEDVADQISRNVLQVAGDYDGLDNFAELALCTQKSGSANDYCIIRIQMDKCARRLPSYQWRTNTNLSKPKLRLPTTPISLGGVITTSRRRLLLEFSGPSGSNKPTSLNLQRSAIAQLPASMGHLSDLRFWTRPTRTAMTWQSSWTNWQSGSGSCKSCALATAQGLYWVLAEILAWITPRIRRTSKLTL >KN538698.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538698.1:50993:53722:1 gene:KN538698.1_FG080 transcript:KN538698.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALTKIGNALADEIAKELIAKLSEKVNNLKDLDEKIEQMRKQLTTMNNVILQIGTTYLTDEVVKGWIGEVRKVAYRVEDVMDKYSYYSVQMAEEWFLKKYFIKASHYVIVFTEIANEVVKIEKEIKQVIELKDQWLHPSQLVSDPLTEMERQRSRDSFPELVKDEDLVGIEDNRRLLTEWLYTDELDSKVITVSGMGGLGKTTLVINVYEREKINFSAHAWMVVSQTYTVDALLRKLLRKVGYTEPPLSSNIDKMDVYDLKEEIKRMLKVRKCLIVLDDVWDQEVYFQIRDAFQNDQGSRVIITTRKNHVAALASSTCHLDLQPLSEIHGFDLFCRRAFYNIKDHECPTELVKVAKSIVERCQGLPLAIVSIGCLLSSRSRSHYVWNQAYNQLRSELSKNNHVQAILNMSYHDLSGDLRNCFLYCSLFPEDYRLSRESLVHLWIAEGFVLRKENNTPEAVAEGNLMELIYRNMLQVTEYDELGRVNTCGMHDIMRDLALSAAKEEKFGSANDFGTMVEIDKDVRRLSTYRWKDSTTPNLKLLRLRTIVSLEAFSSSIDMLSSVLSHSSYLTVLELQDSEITQVPSSIGNLFNLRYIGLRRTKVKSLPDSVEKLSNLHTLDMKQTKIEKLPRGITKIKKLRHLFADIFFDEKQSEFRYFMGMQAPKDLSNLNELQTLETVEASKGLAEQLKKLMQLRSLWIDNISSTDCASIFAALSNMPLLSSLLLSAKDENEPLCFEALKPRSTELHRLIIRGQCAKGTLDYPIFRSHSKYLKYLALSWCHLGEDPLGMLASHLSNLTYLKLNNMHSAETLVLDAEAFPYLKTLVLQKMPDVNQIKIMDGALPCIEGLYIVSLPNLNKVPQGIESLSSLKKLWLTNLHKDFKIQWNGNGMRQKMLHVVDVRI >KN538698.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538698.1:105269:108322:1 gene:KN538698.1_FG081 transcript:KN538698.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALLALSKIGSYAAIEAAMVAKSKISNLMELSATVQRIRRQFLMMNFFIRKMGASYLSDELLKGWIAEVRMLAYRVEDVLDSFFYHSIQFKKDKFLNKIVKGSHYAVVFNAIADELVQIEKDIEHVSKLKDMWVYPVSQLIPTQVTISEHRFPQYSFPRLMKDEDLVGMENNRQHLKQLFSHGISALRVISVWGMGGMGKTTLVLNVYEQHKEKFDINVWLTVSQAFSVEALLRKLLREIRRRCELTTPGDGDSVNKEQQASDETDKLEVSQLKELKDELKKALMRKRFMVVLDDVWDRQVFDMMHDVFEDFRNGSRVVITTRRGDVAALAHEGCQLKLNPLELNDALLLFSKKAFPNSNDLECPLKLKDLATDIAKKSNDSSLTECRLELNGLATDIAEKCNCLPLANSPAQVQELVAYIVKNCQDLLQMENNPSGLQKLATDIFKKCELLPLVKCSAELQKLAIEIVKKCGGLPLAIASVGSLLSARMQIECVWKQIHDQLPCELEKDDQVRGVLTLSYYDLPGELRNCFLYCSMFPEDYLLPREVLVRQWIAEGFVEKKGDSTLEEVAEGYLMELVHRNMLQLVDNDELGRVSTCSMHDILRELALSISKAELFGTANNFSEMAQMNTNVRRLSACRWTHTEHDLSKIKFPHLRTVIALESSMDFVPSILSESKHLTVLELQDSGINQVPASIGDLFNLRYIGLRNTAVKSLPDSIEKLVNLQTLDAKSTKIEKLPSGIVKLYKLRHLLIDRLSDGTRTEFRYFEGVEAPKGLSRLEELQTLETVEASKDLGEHLEKMIQLRNLWIGNIKAGHCAQLLASLSKMPLLYSLLLCASDEEEKLNIEKLVPTSTMLQKLIIRGCTSESTLECPVFQDHGRRLKYLALSRCHLGHDALERLEKCVPNLTYLSLNKVHTNGAHTLVLPAKSFPLLKTLVLRNMSNVNLLKIGADALKCIQGLYIVSLSNLKSVPEGIESLSSLKKLTLLGLHNDFKAEWNKKRMHEKMKHVTELRV >KN538698.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538698.1:131493:132915:1 gene:KN538698.1_FG082 transcript:KN538698.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAMPSLLLLLLLAVLVAAADAYDSAASATPNAGAIPDPNILDMEVMCPKTTDVQACQRLVKNMPSNIVAGKKDARSIARGCIATAWFVARDGAKDCTAAVDECKDKVDQCLDSCRHAFAAVNDALEPQGTGDDAVKVPEDEKLLAIHASLTQLLRGPTGTRRPPLCNTCCQDGSCTEEKKRNVVALFVQLWSLLDFADAVLEDLIEINIVVDYIHMK >KN538698.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538698.1:145647:149084:-1 gene:KN538698.1_FG083 transcript:KN538698.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding METAPVSSLTKIVVGKLFALLEKKYEQWKGLEDDIGFIKRELRMMDGFLHDQLLLSPEGGGLTAVQAASVDEMRDLAHDVEDCLDRFLPCPACEGDASFVGRLSAGSRFAAEIGRLKSRLKEAHERRANYGVAVVDGAAAAGGSSSSSASPAADYVDRSPVGIDRAKQEVLDLLDDVDGQPSSQLRVVSVVGFGGSGKTTLARAAYDCPDVGRRFHGRAWVVASEHKDDARGLLSALLRQLRQQDGQTLGAQSEQQLLLHQLQTEIRQHLNANRYLIVLDDIEEQQWDCIKSAFPEKSSSRILVTTTVQPVANACSRCNGHVYNMRTLDVNHSRDLLEAVLHEHSSAQIEWDSAPIVEKCDGLPLALVSVANFLRRRKELTASYCEQVCRSLGHHMEKERAFTKLRQVLENNYSTLPGHALKTCLLYTSVFPNGHAIRRNSLIRRWLAEGYAQCQYSRCDLEVADEILQELMDRNIIRPIDASSSNARVKTCRTHGIMHEFMLHKSMSGNFITSLANPNPCKFRHIFIKNAKSGSSFMGETDCRTGQQGAKQLRARSLTCFGKAGEYASDFSRHELLRILDLEECNDLEDDHLKDIWKLLRLKYLSLGKTITKLPRIQELHCLETLDLRKTRIETLPVEVIALPHLSHLLGKIKLIQRNGFSINDRFLSQKCKLQTLAGLVVDDDYEFLQIMVRMNKLRKVKIWWKPTAEDSKISLISMAIQKFARAGMDTISARSLSLRFRNFSEVLLRSLENSYGYLSSLKLQGELSQFPRFVTSLCGLTELCLSSTNLSGHDLSNLCTLCHLLYLKLVETDLSSFVMKNGDLPSLRRLCLIVRNPILPTVEEGALLNLMSLQLLCKDLGDLSGIKIEYHEHLEEIALDSMVNRETIEIWENEARKHPNRPKVLFLKSVDPTDPESAVKYAATERPIPDTGSSVMIKKRKFHQSYYNESVEANKILRCCSGVN >KN538698.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538698.1:141426:141839:1 gene:KN538698.1_FG085 transcript:KN538698.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEATTLLLLLAAAAAAALLCHIHVGVAAGEDPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVLSCLDGVPAGVDRSKIHTAGSDGLCLVNDGLQIVKGSPVVFTYAASAPVSLAFDNASPRCQP >KN538698.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538698.1:100345:101618:-1 gene:KN538698.1_FG086 transcript:KN538698.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDGTEIAVKKLRETSPIHDNQFKNEVGSLMKVNHRNIVKLIGYCYEIQKKVVEHNGKYILTEAVEKLLCYEYISNGSLDKHLFGESSRLDWHTRFNIIKGVCEGLHFLHKGSERPIIHLDIKPGNILLDDNMVPKIADFGLSRLLGEEQTRVCTQNVMGAIGYMAPEYLYRGEISTQSDIYSLGLLIIEITTGEKNFPNREDIIAKNFIENVRRNWTNMVHISPKYPSLDTNCLQQVKTCIEIELSCVQTNRKDRPSIGEIVNMLS >KN538698.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538698.1:56481:59653:-1 gene:KN538698.1_FG087 transcript:KN538698.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESSTQNKAGNKSQYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSTSQEVSSRILIDNVRRNWLKSSQITSRYPSLEEDDILQAKRCIESGLNCVETDPKKRPTIGEIIVKLTDKGTEVKQGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTGLKVDSSSQDVTSKGFIENVHNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >KN538698.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538698.1:121256:122452:1 gene:KN538698.1_FG088 transcript:KN538698.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLLLLHAVLVAAADAGAADKEAYAAGKETSAAAGSTEGKETAAAADKETSAAAGSTAGAVPDPNIFNKEAVCPKTTDEKACQQLVKVLPAEFAETKDAKGLAKLCISSVGFSAEQFTKDAIAAVQECKKPDKCLDSCVQASTAVTDALKPSGAGVNTVKVPEDEWLLAIHASFSQLLRGPAGSVSRPPLCKTCCDDGSCKDAKKLNVVSVFSRMWDFLDFTDAVLDDLYPLTKTTGTKATTTAGSTADKVAYAATGSAEGKETAPAAESTVDKETSPAAESTVDTATSAAAGSTADKNAYPAAKSTADKETSPAAESTADTATSAAAGSTADKETSPAAESTADKETSTVAGSTADKNTYPAAGSAAEKETSSAAGSAPVVDTALAAPPTTYV >KN538698.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538698.1:68034:74230:-1 gene:KN538698.1_FG090 transcript:KN538698.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRPPPSAPTGCGGAGGEMELESRLRRGFTGPVEEPPTAVCGWMRDMENWEKWRKKKKGKEVIALAQWKLDSLLKASEFRLPKPLKDHMQLLKEDVEEIIAALEEQSMVDSASHKARYWMEEVRELSYDIEDFIDTMMSRLSSVKNRTRSVHNHKVGRIKFSLLPKIPEPRTWIARITELRALVRESIERHERYQLDDSYASSSRGVFTVHGRAQPLHEIAANKLIGINEPKMKLSRWLTDKEGPHLKVAAIVGPAGIGKTALATELYREHRWRFECRAFVQASRKPDMRRLLWGILSQVQHHQRPSCASMDSTVQSLIDNLREYLQDKSYQSDYITRMKPLGSHDAGKVFFNRVFGSEDQCPDKLKELSNRIITKCGGLPLAIISIAGLFASQLDNAELWDHTCLLYLNMYPEGQTMLKADLLKQWIAEGFISDNEGEDIMEVADSYFHELVNRGMVQPMEINKNDEVLSCTVHHIVLDHVMHKSSGEKFITSIDYSQTITRPSAIVRRLSLHFGSTRYASKPAGIMFSQVRSLAFFGLFKCMPHIMEFKLLRVLIVEIWGNYNGHMSLNLTPVCRLCLLKYLKISSNTIVELPAQMCGLLYLETLEIDARVSTMPMNIHCPNLLHLRLPDGANLPDGIGRMISLRTLQCFDLGNSSADNLRSLDNLTNLQDLHLSYPTMPSDKSLKKNLIALAFSLSKLGNLRSLTLSPSSECVAIFFSISKVMSSISVFLQRLELLPPVFIFQRLPKCIIQLHKLCILKVSVRELLASDIYSLTEIPSLRALSLRVQTAPEGKIIFSEGALPVLRYFRFECGVLCLEFRPGAMPNLQRLKLGFNTEQENYVNMLAGIEYLSNLQHIAARIGPDASVDEFDRRAVESAFKKAITKHPRCPTFNVQWVASSKEEWHPSEKQQQSQEKGSSSGEYVTIKTGSAEDTDKNVLTGHRIFPQPSGKAHLSTQHKGSLAGQDWTIEKESVQDMTKESFTVLHQTSRTADYMESLDHNQLSLDDLEVIQLNIQENIRKQIAHELKINLSTKCQYVVACYECFYVNGVVSIVFEYMDCGSLSDLLKTVITIPEPYLAAICKQASYMW >AMDW01033840.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033840.1:84:503:1 gene:AMDW01033840.1_FG001 transcript:AMDW01033840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASGKKKALDDGPWMISKELLVIADFDGTKTLEEIDFSTVPIWVRITRLPLGLMNKAAGEVLGKEIGDFMAVDMEDNDPTSGRFLRVKVRLNIRNPLMRGITAVIGEKEEEKWCPLVYEFLPDFCYVCGIIEHTEKLCDKK >KN540615.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540615.1:1928:4400:1 gene:KN540615.1_FG001 transcript:KN540615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCERCRRRDEQDYRNLDDSQKHFLLTMMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVIVDKSQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSLRAHSQPMPMQSPTETVNHSHTRPCPMHTAVDCMPLSHAHPQPMSMQFPTETVNHCHAPTGPMEMPLENVAISHAHARPLQMQSQPTDRLTQVQRGYYLSSKDQDCRVGVIPDPIIGQKTILSRVQVNVVKRKIQNIGSQIPIFVSVIGKNNASGRISSLSIAKRYVDNYLQDEKTIRLSRLGDKWNIRLSDSSGNRRMVGGWRKFAEDNDVGVGDICLFELLKNHKCTMKVHIIRAKDIC >KN540615.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540615.1:38479:46980:1 gene:KN540615.1_FG002 transcript:KN540615.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MAVQLSPSVESNNLWTAEIKVPYGVHFKYNYFVREENDASSDIIWRPGPEYSLSIPPVGRKKHVIVVKDLWMKTSVAGIPTPSWGSWLMEANFLEDQFAKSGEHQNIVKVHSVIDTVDRASSVGEHIILRLGNGTPLHVKNISENPSASVHDDFTVTDKINSIKSSISEHERNQPVEEPWILGSVMAAKKSVTAGKHEKNRWKFVNKKQNLSEVSENIPEQDQPVEEPWLSQSKVVAKKPVVQTKGKIEAKDIIRKLRKMDKPPVPLEEDKATSGEPSSRVIVINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQIVKNAKRDSANFSDYNDDSLPTYEDEDDDMTDGELADEENDDESSAFPAEVVSENEEHMAFLPNSKINMIHSAEFESISSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRSKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTNYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKKLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDERKEETSESPPKEAGSPKLSVFPIKKWMSRAKRAK >KN540615.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540615.1:20068:28445:1 gene:KN540615.1_FG003 transcript:KN540615.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEGAADDGVPVPAPPNGRRYRPVGSSDRAVIQMTSMEPGSSSSTAVAAVSGITPQPPRNLTVDPSMQEDHTVSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGFFKESVTVVNNTLVNGTATASTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHSATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLIQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMESSSSGAPQDDSQEAYTSAQRRISTYLSEMKETAQREGHPLMEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >KN540615.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540615.1:6140:6388:-1 gene:KN540615.1_FG004 transcript:KN540615.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEISMEGRHGFGSGLQSALEESEEAGNVLLDVYVSAPVTLRLGDVDLREVTVNVHCALVVDSLSPKKKPAIKSAEYRVNVEF >AMDW01039149.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039149.1:440:784:1 gene:AMDW01039149.1_FG001 transcript:AMDW01039149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAAELAGWEDEQIEFIREKVSEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDSNWDDVVDRIAGCYREAGLDDIAKFIAYRE >AMDW01048567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048567.1:223:477:1 gene:AMDW01048567.1_FG001 transcript:AMDW01048567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVFDEHGGQSRKDVLCLWPPPDSSKPLLLLTRKGIEPWWAKRPRFPSDKPDDFAANTVFSFDGHAFWADLAQGVLCCSCDD >KN542373.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542373.1:5597:8147:1 gene:KN542373.1_FG001 transcript:KN542373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRFTVLVAALVCCSLVRLSRCGGGGGGGGQRAQNYTSMFSFGDSLTDTGNLAVSSPLSFSIVGKYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRGEDVTRGVNFAVGGATAMDPPFFEEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKDCKEFFSKSLFLVGEIGGNDYNYAFFKGKSLEDAKSYVPTVAGAVADATETYNDFAQHHNAVLQDKLRLLRRSYPEARIMYADYYGAAMSFAQNPKQFGFRHGALRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAGYHAIANSILNGPYTSPRLL >AMDW01016399.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016399.1:107:247:-1 gene:AMDW01016399.1_FG001 transcript:AMDW01016399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIDIDGDEFGGAMAKVRWEKLMDFANNLLEFGDVHVIDFNPPFLE >KN545539.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545539.1:50:445:-1 gene:KN545539.1_FG001 transcript:KN545539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGTWFAEAAVENFVGMVRSVMEDRHDLRAKAGSKLHSVQAALPRIKILLDVTERKAFSNTKFRAWLRRFKDAACEAEDLLDDFEIKRIQETNKGKISSAVSFGLKYLRNLILSDTDLNKLTAMLTELDQ >KN541979.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541979.1:13493:14171:1 gene:KN541979.1_FG001 transcript:KN541979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLLLTSLSCMVTMTSASAGALPAVGHLATARSTWHLSFPSFGFARLAEKKDQKAKTGLIDRNRSLHF >AMDW01037516.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037516.1:10:666:-1 gene:AMDW01037516.1_FG001 transcript:AMDW01037516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SKEMAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDAEHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYQNDNGAMVPLRVHTVLISTQHDETVTNDEIAADLKEH >KN539115.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539115.1:67851:69778:-1 gene:KN539115.1_FG001 transcript:KN539115.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYNDQIRPLLDAVDRLRQLNVSQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDAGDDDEPALRLEYSGGRVVATSEAEVADAINAATAEIAGCGKGISDAPITLVVRKKGVPDLTLVDLPGITRVPVQGQPEDIYDQIAGIIKAYIAPRESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKAPEGLLEKVTVDDVRIGLGYVCVRNRIGDETYKEAREAEARLFAEHPLLSRIDKSMVGVPTLARRLTQIQASIIARSLPDIVKQINDKLSRSSDELGQMPPELCTVADAVREFFHIVKQEMRVLEETKGINLPNFMPRSALHVLLNRKVESIAHVPHDLVRQVWDYVEDLVLKALQRHSRSYPQVQPSCRRAVQSLMDKARERSARYVNELIDMEMVANYTANPEYIKTWTSMMDGQSRLREALEDHSKPTAVALPGFGETDVSHLRQPPPGQVELAMQAFDLKARLAAYWNSVVLRVVDGLALHVLYSIKRLAEKDLEDELAAQVVGNNMDGVERMLVPTPAAAAKRDRLRKSIKLLRESREVVANIMDRINAASTDHV >KN539115.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539115.1:8045:9398:-1 gene:KN539115.1_FG002 transcript:KN539115.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQHSDRMDSLFSPQTSWVSGPIIVGAGPSGLAVAASLREQGVPFTMLERADCIASLWQKRTYDRLKLHLPKQFCELPRMAFPAHYPEYPTRRQFIDYLEDYAAAFDINPLFGHTVLSARYDETSGLWRVRASSSAGAEMEYIGSWLVVATGENAESVVPDIPGIDGFGGEVVHVADYKSGEAYRGKRVLVVGCGNSGMEVSLDLCDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMAWLPLWLVDKILVLLAWLVLGNLAKLGIRRPATGPLELKNTTGRTPVLDYGALARIRSGEITVVPGVARFGKGFAELADGRVIALDAVVLATGYRSNVPQWLQGNDFFNKDGYPKTAFPNGWKGESGLYAVGFPRRGLSGASADAIRAAKDLARVWKEATKPTKKSTACHRRCISVIF >KN539115.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539115.1:45555:46651:-1 gene:KN539115.1_FG003 transcript:KN539115.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMEVSLRTGNTTVVASATALTACSAKNVANPRDCAQRALVVVVITSPCHATNAADVKFGGGEANGVSLRLMCNLLTVALPLSASLELSLATTLTTQPCMKPPCGATSTKPPPCVPPLLPASSEPSLATVPSAPLHTKPPHGAAAATRELEALFDAAATQDS >KN539115.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539115.1:42491:43606:-1 gene:KN539115.1_FG004 transcript:KN539115.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQQSSSLPRDISSQNVPQRSRFDPTGEIQTGISESHEIFNHRDAIQSSAGLSGQQGENSYNQDLDLTLEAQQRESSSTVESSELTRLNQLACPLCRGTVKGWKIIKEAREYLDEKSRSCSRETCAFSGNYGELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDMFSPDQEGGMPNEPSGSLLTTFFLFHMISSSPMRSGDEIRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEENLDEDVQRPRSRRRFVRSRSEERS >KN539115.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539115.1:96985:98424:-1 gene:KN539115.1_FG005 transcript:KN539115.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGEGINALLRGIWELAVAKRTTVTIATAGSVGQSRRSSKPPARAQKRSGRIRRGGKATAGGSGEEGDGAVARTSSVAWRCRRHRVVRGETSSTLVTAYAQPLFAAGEAGPVQSPPWPICPDPLTPWPDLLGWGWEVGGSGALTCPWGRWSLTYTTSNLQKLSRLVHGRWPSDNSTPGA >KN539115.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539115.1:36707:41240:1 gene:KN539115.1_FG006 transcript:KN539115.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSHTEGDSSGFTRNNDRNDDAVGGEDSTSSYQPCNSMKCKDGTQIMSLANEDVSNLASDCQEPPSESNHAISHGSNTDSKEEMSSGSGYRRQQSCFSSSTCSWRSSSEVESVSSTPDGSGDVVGNMSVRSKTFERRPDHIASYPSASPDIRRLYAAEEERWSRRSNQSTAFRTSNGQSIEHHSEIVDIPRQANSMDETTSSSSQWSFDNWGPSLPRGMQYGDEIPSLSSQNYGARIPSLSSRQSYGDEIPSLSRNCNYASRQSYGDEIPSLSSRHCNSFSSRQSYGDEIPSLSRHCNARSSRQNYGDEIPSLSNSDYQCYQDRIPLHHRQWCHDAEAHPQLNYGRGASHGNRYSRDSFVSSIATNQRFKMGTGKHTVTRSDHHRTIKNDNVCKHSDDTLEQVRGPRANKLENATRSKTQEDIRSPLVRRDQFNRPDFIVEYEQAKFFMIKSYSEDDIHKGIKYNVWASTPHGNNKLDAAFREAQILIKEKGKKCPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKLDRWNGFFPVTWHIIKDIPNRLFKHITLENNDNRIVTFSRDTQEIGLLQGLKMLKIFKDYDQETSLLDDFNFYEEKESARCAKKGINAESTHEARLLFFGTGARHSDDFKSMENLEASMENTILY >KN539115.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539115.1:52060:61331:1 gene:KN539115.1_FG007 transcript:KN539115.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPMAGFGNQAAYATGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSISAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVDSFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYPFLPIWRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVISSLCRVGLLFREGETNDVLILRKQGFLPKNFRCKKTKENPYTKLKPCLMTCDFVKFNLIIKPQAIEKASQNQQIVNAIGAPIVRGPWYSASIAVNHARHSISCTFPVSAPQGNGLLKFKAVRSGGVRYFAQDWRLGWPHFLDLDKISLEGQLLEYWTCGWFNPLLGFYTFTLCLNG >KN542911.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542911.1:8795:10514:-1 gene:KN542911.1_FG001 transcript:KN542911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFSSPHRQGQMEAVCAGGCRSSDGLDALKPVDKLAPTLSGNGGTKELSRLLDLKAWCYQLEIIFVYLRTFAVRLTSFKATVGRLLGKLGCMSLELGG >KN544802.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544802.1:138:2515:1 gene:KN544802.1_FG001 transcript:KN544802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SKWRTYLRSCVRNNLRTFFQVRVQSQWWYNLEGCLMHSPHLKYLRILDVSSSDQIKLDKSVGVLHHLRYLGMCQREIPEAISKMYKLQTLRNTYPFDTISLPRNVSALSNLRHLVLPRKFPVTIPSGIHRLTKLQSLSTFAVVNSGSAAATLDEIKDINTLQGELCIMDLQNITHDRIWESRSANLSKKKLTRLELVWNPLPSYKSVPHDEVVLESLRPHNCIRQLVISGFRGLNFSSWLGDWSLFSLQELELCKCYYTDHLPPLGQLPNLKQLKLTSLWKLRSIGLEFYGDCEAPFQCLETLVVQNLVAWEEWWIPENHPLCVFPLLRTIDIRGSHKLVRLPLSNLHALAAITMSSCSKLETIVGLKEHCEVTAGNSGLKAGQTNVLPSLRRVKVTACPSLEEPLITMLRRQTEIGFSYWEQSPSTSPTDIADFGKTPWKDSGKIGNELFLKYRAGFQNNDIAKVVRVMQNHCSMKRCNDIMDMSARRGLSEQSTEAA >KN539172.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539172.1:102951:108381:1 gene:KN539172.1_FG001 transcript:KN539172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQMEQQPQQPESEPEPAAPAPAAAAEAKATPPQPQPQPQQQKPAAPVQPQMPTPRPWPVAFIPPKPVAEIKSTPSTKRKKHCNCKNSQCLKLYCECFAAGLYCDGCHCKQCGNYVGNESARQEAINSTKQRNPKAFQPKIENGSNALNLRKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCQDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDHLYQKLNGEGSTMQTQFQEANHVDSSEITSSTGLEGCYSNYQSRSNVVYRSALANTISPTDATGLAKHLVIVCRKAADAFLTTTENKGEMEVEREIHTDSDGATNMDQQNGGDFGPCCNSLEDSRPASPGTQALMCDEQGSTFGTDYRISFPVALHDQDTSELNAQQEKAVLTGFRDYLRTVITRGKINGTEFAKIFLSLKRC >KN539105.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539105.1:43214:45945:1 gene:KN539105.1_FG001 transcript:KN539105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPPQPIKFSNDTERLQHINSVRKSPIGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKSVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >KN539172.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539172.1:63684:64400:-1 gene:KN539172.1_FG002 transcript:KN539172.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIEIKRIKNEEARQVCFSKRRPSVFKKASELYTVCGAEVAMLVKSPAGKFFSFAAPSVGFVLSRFHATTTSSKHSNMGVRIQHDNSATIKLHELNQQHIELQNQLQVQNEKMKALQEVAKKESGGKVMGWLNSKVEDICQEDLEEFKMVLESLKYLTRGIINQLFQNYAIFSNMMRVQHCVTALPNQQFLPSSEDVKPMIHHVPSSSYGWNTSIDSKPNSSDAHVVGARRYFPN >KN539172.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539172.1:110375:112261:-1 gene:KN539172.1_FG003 transcript:KN539172.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHTNILYAGIAMSNKSAERCNDLPMPCSQILRAKVNSLSFSRKVPTKPTLHNLRCHATQTQSTQRKSATATIQRSDPKGKLEGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLMDYLKEFEKNLLLQRHRIGDEATLGLAQ >KN539105.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539105.1:92410:94542:1 gene:KN539105.1_FG002 transcript:KN539105.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGYYFVPKDLELFAILRCKLVRGQLPGALNNVFEHIRILEFHPALLHETYIGNEEDGYIYFFSRRQFTTKAGNKRRPTRVAKGGTWKASGGSKTVRSKKVGGIDVGQKLTMVFYERRFEGDRNPIKTNWGMHEFTKIIDGTKNQPVQHDGYLGMAADPTSYVLEHLLPTAAIPPEPMTPPTSSPAPPPAVDHHHRLSPPHDAAGSNYNHPELADYNGGVQEQHEHQYHPQEPQASLVDGEDGYSAMAGGDDAQLGGAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDNDGVVAAPMMIDGGGDGDGADGGDGDDPFDN >KN539172.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539172.1:123767:131415:-1 gene:KN539172.1_FG004 transcript:KN539172.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSLLPDNILQLYRMVLLLLVYLLILKYSRSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRPDSSVPIYTPPQTQPLRNLPPALRNTERQQEAPESSSTPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSVQARGDKSPSIKPEGAKQPDQRSSEAASTVTPFEQLALPAPASSSSSKPPVEPAVGPSIDLLSGDDYFKPEPVNSQALVSVGNPPAASANNTLDLVDMFAQSNVGNNPNPAVTSSMLNSNPSLSEPQLYPSQQTVPPQQPSPYSNGLTSNTMTPYDQPSDINATGSWNSQFAHGMLPPQLPPQLPPQQPPNYGQGQDQSGDLPPPPWETQPAESDQFQPGQPRGLAMPSGQIGGIQSQPVQVQPGQVAPSQPMLTGQPTGMQFQQGFGDQLGAQQTQPLHTQYGGMYPTMQGNQSAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQPGGYYAPNATYGYGGANELSQGMNGLAVQDNSLYGTSASSSFQQPMRPSRPEDSLFGDLVSIAKTKPSKTAANKAGGL >KN539105.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539105.1:12754:16995:-1 gene:KN539105.1_FG003 transcript:KN539105.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGGERCSKEGDDAYFDWNRTNGEDKHFFKVMLGDFHERVTIPNEFLHNFGGKIPKSIKLETRSGLTFDVQVTKNSGRVVLQSGWASYVSAHDLKIGDFLVFKYSGDSQLKTLIFDQSGCEKVCEKPVDMSGRSYDIAMRNSQDEKKKRKQRDISRQGTVKPSEEGLKAELVPGCILPSRTDLTRLQKNILTVKVKAINSETPIYGYVMNNSSIHGIPCTVEISKKYADVYLPFEDGTVVLQHHGKSWNVRCCLTKQNSKRFLKGWRQFAGDNKLHLGDICLFDLLKDKKKYVMDVHIIRRK >KN539105.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539105.1:48845:49924:1 gene:KN539105.1_FG004 transcript:KN539105.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQCRYYKTILGPVYPLGAIVFSLTVVLAMPTALLGLGAGRRVLGDSSKAQSQERHGSIVVCAELPCPPPPPPPSP >KN539172.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539172.1:14406:16931:-1 gene:KN539172.1_FG005 transcript:KN539172.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSGRKRLQAATMVALCFLSSICISTAQFKPADNYLVDCGSSKSTTLGTRTFAADGAAPVKVDTSLEILAGTSANGVASFDNSALYQTARIFTNPSSYTFPIQKQGRHFVRLYFFAFAYQKYDLSTAKFTVSTQDMLLLSDFQQPDKTAPLFKEYSLNITQDKLIISFKPSNGIAFINAIEVVSVPDDLIGDSALMVNPMQQYSGLSSQPLETVYRVNMGGPKVTADNDTLSRTWVTDKKYLVNPSVTREVNGGKVNYMKGGGSTPLIAPDIVYSTATELAASNTTNALFNMTWQFDVDSGFSYLIRFHFCDIVSKALNQLYFNAYVGSFYAQHDIDLSMQSMNQLATAIYLDVVLSSNDASNKLSISIGPSTLNNALPDGILNGLEVMKMSSGSGSAFTVGSSGSNKNLGVIIGSVLGAVGILIIVLVIVLLCRKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRVSGSIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYILQLQDADSSTVSDVNSMNRIVELPSQVQNIGALESISVTMAEAGASHEPDHDLSDVSMSRVFSQLIKAEGR >KN539105.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539105.1:84236:85078:1 gene:KN539105.1_FG005 transcript:KN539105.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVVRSPMPDGGEFIAGYAHPDTFAFHLMYCCHNQGKVIFQVIKAGDSQWREIAADRLAISGIDFDKQGISSVALHGGLHWQLRTNSGQWMILVYDMVTEKFRSMAAPQCATTWVRGLSVLSGRLCSIVIPESMTAEIWVLQDYHEHWSWQCIREIDMAASAGRMNLENFWDSDLRMFLKVDVKQGIEHEVQEIIIHHGNKIIPQPCSVYESRRNEAVYNVRHNVWHKCTMCFNGESIMYKESIVPCQMSFGMKSQFCERKRGTSQFSEGQHVYHLPL >KN539172.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539172.1:114538:114936:1 gene:KN539172.1_FG006 transcript:KN539172.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTDSGIDFHLSIQVPTLIKNNIRQCLLDTFQSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDDLRREKDGDEHQQPEWGVMLAFGPGITIEAMVLRNPLS >KN539105.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539105.1:95003:95170:-1 gene:KN539105.1_FG006 transcript:KN539105.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDARVDGETDVGRHAEDENGRTAGRTRAMATDMDSDHGARVVGWPWVTVATA >AMDW01026491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026491.1:61:213:1 gene:AMDW01026491.1_FG001 transcript:AMDW01026491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >KN539172.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539172.1:9384:12759:1 gene:KN539172.1_FG007 transcript:KN539172.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLEGVAACHSAGVVHRDLKPANLLISEDGVLKVADLGQARILQETGTYQGMHPYEQSAGVEPWVSQQRAVLHGAKENHPSHDSETQTGQEPERLTAADYLHEMDQLRAKSTHGDVDKMSLQDGNASCLATCSTADIDDDPFRASYSYDAEEGMLEEESGAFTSCVGTRWFRAPELLYGSTNYGQEVDLWSLGCILAELFNLEPIFPGTSDIDQIGRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPTGLEACLPDRSASEVSIIKRLLCYDPTKRASAADLLNDPYFAEEPLPAPIEGLQVPESKDEDDDSTEEWANFRGGDSDSDFDEFGSMDVTKTDKGFSIRFS >KN539105.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539105.1:3918:5839:-1 gene:KN539105.1_FG007 transcript:KN539105.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGKNCSVCKEWQEHCYWSHMADDCKHFLTYMVGDFTESMIVPSRFANNFNGHISEVVNLKSPSGKTWSIGVANSDTGELVLRSGWKEFVDANGVQENDCLLFRYSGVSSFDVLIFDPSGCEKASPHFVGNRGFGREEKSAGAEGGGRDGDKNGHHQHQLEMTPHKNSSRCRSIPRACERGLFSDEIEQDHREEKKEGDDDDEDEDEDEDEDEDEDGQDRYYFCRHGGRVTEYNLSEGDKEEISRVPVPVEPGNPVLVKVIHASHLLSSRYSTVGVPPEFAGRYLGPAMAREVVMERGGGGGQWHVRFVRRESSRGFHGTGWRRFARDNGLLAHDVCLFELSSNW >KN539172.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539172.1:81033:86827:1 gene:KN539172.1_FG008 transcript:KN539172.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLSVRNCIFGVSSSTCEAQNRIAKLVTRSWLEKHVKSMGATAILLGRGERVIGSVQAFADHLPNMLWGWGTVVEKEGYGMHAGLSPQRQHRPENKSFDDTFGPRYAHGYQGGGRGVVRFRDGSPPYRRGGRSYGRGSGAPGKEFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKYRYSREVCEPGHSPHRDYVNPPRGPARNLGPREMARAPPREMARAPPREMARYGSPPRGWGSDPKGYPARSPPDHAGRYADPVQRERMGFRGDRQLRDRVKHDWSSAEDYNPRERPHDDMYLERSRRRSVSPRDNWGHNMRDRSRSPAGGRLKGSFTGGGRPDLYTDPYAGRGRPNNLDDVRGRGRGRGYIPGGATYLGKGRGDRRAAPSSRNDGSY >KN539105.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539105.1:109965:130827:1 gene:KN539105.1_FG008 transcript:KN539105.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVASPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYTYTGRILIAVNPFAKLPHLYDMHMMEQYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLFDWLVDNINMSIGQDTESRALIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPMTSISYIYLSANFILFGFTYDVGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTDILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRGCLARNLLDAKRQIAAAVSVEKYARRWFCRCEYLHLRSSALVIQSGVRYMLAIQKLLQLKNNKAATIIQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKSNGCKEVASMQQQLELSMKDQELLHSNLAQIEELKRENTLLKAKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLLESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >KN539172.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539172.1:134626:138756:1 gene:KN539172.1_FG009 transcript:KN539172.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPGRTILGGSHRTGSGMSAATTLARPAFATPPPQIPSWSTHRDLVGHRRHRPPAAMKPSVCPSWIDPGNALRFLVRVAKYVANLEYGMLPMSEQDYDWWVDISSGYNLDKFHDEMASKIIWGPSQEIRVWGLDTEIGTECKLTTNEEFGQWMNSMLDDKLVEFGVEVIYKKGYEPIEGIANPVDSAIQGVSGVVTADPIDQSSAQVLSAMISAEVSSPGHEEGTGDTSSHPQNAEEANAVVDWSASGSTANQETHTKEKTS >KN539172.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539172.1:93900:96929:-1 gene:KN539172.1_FG010 transcript:KN539172.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGRQSFKREEELSWEGVHPSGACHLFDEMPSRNAVPQEEVVLLVMSKEKESPSVPTKCSTIGLNIKGGIEQAMVVSLTKTCVSEGVPGSDAIVEALSPRSFINSKLDTVMPTKCLILCEELSTGGEEDNVATNDWVEYTVATTKLTSMPTTFKEHVVQLHYNCYQKCHCLGLNTHYWICTIAIPDSRSCNRELLKLGWSIIVQFRPIDLRPYTQYLGKEKYWARATISYGSKYLLAVERLWVRLKEYYSVVPSWMNWNYVRRILWSLGCLLSSARELWKCLQLFCGKGQLLGGERFMQRKRSNMASYEVCWGRKFISKKYSDSSHNWFELYALLSMELWLEPTGCNLMQSEATSSATHLISVDWTISEGCKSSCKITLEDGEIDEYLLYTKDQEFSYEQLIVHKEEFATVWVYQSASFIKSRGYSMTVTIAVIATHRVPHTRRRQQEDREAEEATTCSVNMWFGQQQEDSGGDGNDDI >KN539105.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539105.1:104765:105575:1 gene:KN539105.1_FG009 transcript:KN539105.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSSAPPRLHLAATPGSLVSMRRQKARSLTVRCEQGAKGGGGGGGRGRGAMLGFVAAITVELTTGKGVLQNVGLTSPVPTVALALTGVVGVATAFLIFQSASQD >KN539105.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539105.1:64953:79512:1 gene:KN539105.1_FG010 transcript:KN539105.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 15 [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/Swiss-Prot;Acc:Q9SMY8] MRWTMPPHSWDHDAAGSSRAATHVPPLRCRDIWQGDNDAGGAIEGAEEGDEEDEEGDEDGDRDLQSKRPKVRGFGELFQVRAYLSLWLAMGIDNLVLLNSSESPQHSGVNASFFGLESTHFPGSDEHGHFKLSHCPENELDFGLSLFPNDGVNENPGDGNVGDVEISGGENSEDVEIRMDLSDDLLHLIFSFLGQRDLCKAGASCKQWRSASMHEDFWKCLKFENTRISLQNFVDICHRYQNVTYLNLSGVPHAELLVMEAITCLRHLKTLIMGKGQLGEAFFQLLSECPLLTTLTVSDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLVELDFQSCHKLSDNAIRQAATACPLLASLDMSSCSCVTDETLREIANSCPNLSVLDASNCPNISFESVRLPMLVDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLDLPHLKNISLVHLRKFAELTLRSPVLSYIKVSRCSVLHRVSITSNALQKLVLQKQESLSSLSLLCNNLIDVDLSDCESLTNAVCEVFSDGGGCPLLRSLILDNCESLSTVELNSSSMVNLSLAGCRSMTLLKLSCPNLQNVNLDGCDHLERASFCPEPSFVTFRNSDLSDNPPYKHYELFYLWSSRKIGKLMDDSLSQTAEACPLIENLILSSCLSIDLNGLSSLHCLHKLALLDLSYTFLTNLKPVFDSCPQLKILKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQTAIEELLSCCTNLVNVNLNGCTNLHQLVCGSDDCSSGDMPVDVCPPDSAPVRSEEISESFFGRGAVGGSGGPGLVLPRFFFLRCTRRRGWEEDDSAFKACTMLQDEELESAISRCSALEILNVHSCPKINVLDFSRLRVGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >KN539105.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539105.1:19404:28495:-1 gene:KN539105.1_FG011 transcript:KN539105.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGCERCRGRGFGDTDDQDTYFFKVMIGGFRRQMTIPYKFAENFRDQIQGTIKLKARNGNTCSVLVDKCSNKLVLTKGWAEFANSHDIKMGDFLVFRYTGNSQFEVKIFDPSGCVKAASHNAVNIGQHAQNMQGDPIEILSCSDEHLRAQSLTTERQNQPEKDVIDNCNKKMKTEHASSSEDDQETPTAEVHRMKVEEMVRAIHSNHPVFVAVMKKSNVTRQPCYVAISRKYANEYFPGGDQMLTLQRHGKRWQVKFCISKRKLRMLSKGWRKFTRDNELQGIVDCMKLTRCGQKMRKLNTRSTARDVQEKYFFKVMIGDFHKRMTIPDKFARHFKGVISNTIKLEPRSGYTFDVQVTKKLNILVLGSGWESFVNAHDLNMGDFLVFKYNGDFLLQVLIFDPSGCEKSTSCSMENAIDHVGQGWKEHNDISTSYHDQPKGNKHWMQRDSSSEGCILPRGTCLPVVQEKKMKEKIQAIHSKTPMYGNVMTKCNVFGSPCVLEITQLYDDAYLPYNNGEELMLRHRDKSWKVRFYRFKNKSRKLTQGTKKRKEHLVLLLKQFLHVYIAASSLYKMRRPGARCREGHAHFNGNHIDGQYKNFFKVMIGRFRERMIIPNEFLQYFRGKIPRIIQLQLRDGCTYDVQVTKNLGKISLQSGWKAFVTAHDLQMGDFLVFSYDGISELKVLIFGPSGCEKVHSCPTLKSATHCGEKWEEPLHTSSNSHELPVKSPQSVSKSEKQWDSSEQENDTTNIEEVALQGDDLQVRPVLNCILPKHTRLTDMQKQQLESKVGAIHSEIPIYGCILRKSRVHGKSQTVDICREYADVYLPFKELNMTLERHGKKWEVLCRTKETRTKRLSTGWSRFAQENNLQVGDICLFELLKNKEYSMNVHIIPKK >KN540219.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540219.1:1741:11678:1 gene:KN540219.1_FG001 transcript:KN540219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VWHNYSFDSHVIENCGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDYGVMDAVLKDIPKTGKVSMKTIFGRKKVRKDGSEGKTISIEPVEKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDDCPRGTMYDFYEEYWRPFGALLVKMETEGVLVDRAYLSEIEKAAVTERELAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIENRNKRGETWPQSKTFKVPNNEGIATEGKKTPKSRTIKLFTIVEDLKIDMFTPTGWPSVSGDVLRSLAGKIPTDHIYKIDDGQEFDEDGTSLELPEQDIEDTSPYGTAYEAFGGGKKGREACHAIAALCEVFSIDKLISGFIIPLQGDRISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRHAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSWDWKVSVREARDTLKLWYRDRKEVSAWQKKQKAFALEKCEVYTLLGRSRQFPNMTHAGPGQKGHVERAAINAPVQGSAADVAICAMLEIERNARLKELGWRLLLQVYDNNTPTFTKEKYFILIPRMNLYIVLKCYVCICQVHDEVILEGPTESAEEAKTIVVECMSKPFYGTNILKVDLAVDAKYAKSCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDVKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFNGSTLPLPKEKHEETLAASAAEHPDEDYESDTGLESLDLPEVPKAAIRPPSDTPATPDIDTHVQSSQPTSHEFSNMPSSQPTSHEFLNMPSSQPSSHEFSNIKSSQTTSHEFSNVQTSQLASHEFSNPSDLEENPTANAAFMTQPKGSEHIPTPFAQPSMPVSPNEKKHFVPFASPPPFAVPSLVEKNESIPSPSPSPPVKPTDPEMFRTIDEVTPPPTTTDYLFSKQPEQLHSTSAENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDSVRKESHPQSEVTQKPVFDHQDSFSSDTQGYVPSHLPQRSPSMEDDPYFSYPNLFSSKP >KN539105.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539105.1:73:1759:-1 gene:KN539105.1_FG012 transcript:KN539105.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGKNCSVCKEWQEHCYWSHMADHSKHFLKHMVGDFTESMTVPARFANNFNGHISEEVNLRSPSGETWSIGVANSDAGELVLRSGWKEFVDANGVQENDCLLFRYSGVSSFDVLIFDPSGCEKASPHFVGSHGFGGAENSAGAEQGGGNGRRTPPIVDGDNGHRHHLEMTLHRNGCRSIPSACKRSLFSDESEAKENDDEDDEEDVVAAAGGGCYGEYYFSKHGRVAEYNLREEDREEISRVPVPVEPGNPVFVQVIHSSHVRSSKYCIVGVSPEFAGKYLGAVERE >KN539105.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539105.1:86821:87057:1 gene:KN539105.1_FG013 transcript:KN539105.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDTQLDAAAAAAEFDSERVAEIVSQIMDGEFEFKFDDDTIVSFNEVVAAPMLIDGDGDGDGDGADGGVGDDPFEN >KN539105.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539105.1:136403:142422:1 gene:KN539105.1_FG014 transcript:KN539105.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEDLSQSLAGSGVEAFTVEELRRATRDFSVSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHHHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKIAIGAARGLAFLHEAAKPVIYRDFKTSNILLNSDYEAKLSDFGLAKDGPQEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRKAVDKKRPPREQNLVEWARPCLHDSRRLNRVIDKSLNGQYSTRAAQKAAAIAYQCLSVSPKSRPRMSAVVEALEPLLAMDDGIVEPFVYMAPPESK >KN539105.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539105.1:96176:99779:-1 gene:KN539105.1_FG015 transcript:KN539105.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRCFALLACCLNGGGYWPDVPPSHHEETKLFSLVFFTSIGRDIIHPNFEMEMGMNSVQPNAQFPVPHKSLSLDINGNKTDIVISKYEDSFMVMVTQIGCMGTILAARKDESVFSDPTYNVSVLFGKRDEVSGSGSARSLMISLGLKDHSQATMKYIVSTIIENRLW >KN539105.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539105.1:37619:39558:1 gene:KN539105.1_FG016 transcript:KN539105.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQEPLVRPKRKKVLVDYLVKFRWILVIFVVLPISALIYFNIYLGDVWSAMKSEKRRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDRERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAVEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMRLTYTPVKGSLKEIAQGYCDSFAPRDGDPAKVPDFVEGMVYTENEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHKEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPGAVLRGEEFNGALAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >KN540219.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540219.1:57760:57969:-1 gene:KN540219.1_FG002 transcript:KN540219.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTRFPAYEAADFGWGSPSRTELVTMNHDGQVVLVAAKGAGGGVQASVSIKPEHMDKFKSDFESYLD >KN540219.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540219.1:32384:43485:-1 gene:KN540219.1_FG003 transcript:KN540219.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRWAVWAVLLLRLLVPAARVLANMEGDALHSLRTNLVDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLDRRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPSLCGPGTTKPCPGAPPFSPPPPYNPPTPVQSPGSSSSTGAIAGGVAAGAALLFAIPAIGFAWYRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWRTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVVRQEVELGPHRNSEWIVDSTDNLHAVELSGPRKNHGIITLASSGKGSELSDDAVGLTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVVRQEVELGPHRNSEWIVDSTDNLHAVELSGPRKNHGIITLASSGKGSELSDDAVGLTGRDGLTHNMLNDIHNHWKNDEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRHGGQLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKEMRKKGLYVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDSKGLPKSDYRKIGVKLRDLVPCIIVSFDKEQIIVWRGKDYNGTIQDNTQKTSVSVLEEESAGAESENGDQEQASSDWASDECSQLSSSDEMPDDKSAISEADSD >KN540219.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540219.1:24598:26512:-1 gene:KN540219.1_FG004 transcript:KN540219.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGFPATLVTLLRLVGCLLLAFLAAPPCAATQQVKTSHAQFAFHLPLPDGVTGAESLAFDSSNHGPYTGVSDGRVLRWGGAAAGWTTFAHHENYRWRNATVAGVEDPDVHDAGGAGGGDGEHVRVHDRTGDLYIADAYKGLMRVGPRGGEAEVLAAGADGVPFNFVNGIDVDQATGDVYFTDSSTTYPRRFNSEIMMNADSTARLLKYDAATKRVTVLRAGLPAGRGAAAAAAVAPPAKHLVGVRLDGDGVEVEELTAAKGVTLSEVVERGGKLWLGSVELDFIGLMQ >KN538980.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538980.1:71995:83807:-1 gene:KN538980.1_FG001 transcript:KN538980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRNLERESKNSALFPLLHHCKPDLKKSTEENFDSRLVLSSIVGRHEDETNVIKMIKDAKQFCILPIVGLAGVGKTTLAKLIFHEQEHWKFDIRIWISLNMSFDLSNIISAIIAQVIKAEEKGSHENQQYLRNHLGEVLSDRSCLVVLDNLWSMDKSKLDELKEMLSTTSCSVIVTTSSDEVAELISTIPPYKLGLLSDNDCGAIFSQIATPNQDIRDQVASKCEGMPIIANSLGAFVNGSGINVWNSAKDEELWHLEKRFAGNIKLFPSFEQVYYKLPVGLKSCFQYLSIFPEKCVDRNKLIRQWIALDMLGSDHGIIPQYVLGKEYVQTLMSVFFLQVPEETPPLANGANHMNCPSVLYMHSLVHSFARYVGSDDLIILKNGGSDIARTEKVTLRYALLVECNEKSKIRKDLLAKARAVCFKNCNTTMLLEETLSVLKHVYVLDLRGCSFVELPSCVGHLKHLRYLDISNTEIQSLPTEFRSLRNLEALDLSNTSIVVLPDSIGPFENLKYLSLQHCSNIHDLLRTFGHLKGLEHLNLSGCLEFHNLPETICDFTALQFLELSGCTELENLPHLFGNLRNLGDLNLSGCLRLIQLPETFTELYSLRLLNLASCSELQQLPHLFGNLRSLEELNLSGCSRLEQLPESFVDLYFLQNLNLEGCSGLQELPEFLGNLSNLEYLNLSHISHDLPNSLPNLKKLHTLDLTGCGCKKSFPETVNKLENLKSLLIDDSSTALSAPKHVLATACNKQSCATSDEIERSGKSFNILEVTDEEQTEQADADTDYGLNISERVIKDENPHTNDYQYGSEHADRTDVQLKETAATKQARGRIVESPTKEQPRYHHHRHRHPRPHLQEPNLGGSSTLPSRSAGEIRCVTGSMDCAILGTSTLDVSPPSSNQPVSAERSNNNRGGSLQQHGDGEDARPWRKLFLTVQNVIHHWRMGEIRSSVDLKDYWQKEGILPECHFSEIKAATRNFAKKNLLNYSLYNYKGRLPDGVDIVVKSIETSADLTIVEIKRRVQLMAKLRHKNLLEPLAYCITKRERRRRQEASQGMEVMIIYPYMPNGSLDDYILRPNRSVDDDDYYIYDDMRPKRSVDDHDNIFGFTESACRRRSLECGERLNIIHGIAQGVRYLYERSYDIDQLLIFVQLKPSRVLLDHDMNPKICCFGLKATFRSPITTSSLLAQGSVYVAPEIYRSGRYLVNSLVYSFGVVLLEILTGRNMGTTFSRRRANMDTTFSRPWANINTPFLRRRANMDTTYSRRTAIDIALTLFESGDWEEVIDPSLWRTCQDGTELQRYFDAAILCLKKEPQRRPTMLEVTHMIEGTSNLVRARHVY >KN538980.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538980.1:170911:171696:-1 gene:KN538980.1_FG002 transcript:KN538980.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALKTDANRVRGCVSQVWVHAAPEEGGAPGRVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEIATGEVTTEEIGSQEVVQEVAEPPAAKEKEPEFAAFGAREEEGSELHSPEEEQLEEMPADVMEGNGGLGGGRQERIKESLERGLSPVELEIEDISHLHKGHAGVSGSNGETHFNVRVVSEAFQGKSLLKRHRAVYDLLQDELKNGLHALSIDAKTPSEV >KN538980.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538980.1:13976:19664:1 gene:KN538980.1_FG003 transcript:KN538980.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MPPGYPPGDLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSATSAFHSGGVIPNLRSSLAANPSGFLSTSTSSTVEETPYRTRPLSGGPLSKLQAPRSNFSLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKKDDKLGEKKLSTTRKFPFIEDNNEPHPISDWKSISNSRQDDASSATTTSMPSWKSELSITSPETATGNALSDRLTHRQQVSRFGASAFQTGSFAFAGLQDSASTTGNSLKGSLTSNILMNLQNKGVLSNARPSLDISTSSLQSSLSSGLMAKTMPPVNSDQPGAAQSSSQWRPSTYTDRVSTSSVFSEGLASAFGSPKSKKTGAETKDELLSSLLSRQEAAAASSSANLVANNGVVPPQLPTSGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKLEGLTKEVQQLRRENQQLRQQLL >KN538980.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538980.1:91079:91420:-1 gene:KN538980.1_FG004 transcript:KN538980.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVVDRLLRRLSSDFQEVQLPAAMDDDMRQVRRILTRWLHVLENAEKHLSESKKDKMSKIKRIAYEIEDILDEFDDSRSKKSNGRTTSVKVFNFSNAVGVIYSISLQKF >KN538980.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538980.1:103950:110318:1 gene:KN538980.1_FG005 transcript:KN538980.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNPMLTRICMIHKMQSKRKRLQEESATPDVYDFRARITSPGNFREEETTSHGKRTSTFVGRDNDLQNIMAMIRVEGCSVITIVGPVGTGKTSLAHAIYNHDETNDMFQHRKMWIHVPMGKASCEYIGGRMILCNGTVYENTTSLEGIINGVQDILNRGNKYLVVLDGLWSINEAALQQLKEEMLMNGRNNNSKVIVTTHSKKVAELMSTLHAPYMLAALSQDDLVTIFSQKAMASHDDMKAIRTHPLFREMFTKYGRKIIERCEGIPLVANFLGSVVNTGKKSTKWDDYWAPASTEEMWKVEEDFDNHKHNISPFLPSLKPIYYNMQNELRLCFVYFSIFPKGSVIDKKKLVQQWIALNMIEPSRHGNLPREETAEDYIEQLRALHFLQSTTGPSPGNEEVLHLHTLAYELARSVGTKDILVISDATTKKEAKRITCSHDYRYAQLSTSVSWSADHKDWPRKARSLILKSSEPEMELVRQITMENKYLRVLDLRRCSIDKLPDCIFQLKQLRYLDASGLPITVLSPKLSNLEKLATLDVSGTRLTQLPESISMLKKLDYLNLNGCGELELLHGLDKLCKLRYLNLSSCLNVKNLPESLGNHENLCFLNLSELTLPDELLGSLRHLAYLGDLILSRLQLEYIPDIFENFTSLQFLNLSHCSKLQYLPTTLFQLQSLKSLDLSYCCAIQELTESFGSLYSLKILNLSGCSSLRLLPTSFGRLTNLEDINLSHCTALTELPTSFCILPRAHILKLSGCRCLIRHVNRLPVSLEQLELSSCQGIELQTGLFGYLTRLRKLNLSNCSSMDVILETKANLEVLVLSNASLPERLDFLTQLNKLQILDITDATLTTNTSLASLLSIFSCMPMLNTVKTTSTDVISILPSWIKRPQLMDAVTGLDSSNVESGGISIDTTEGPGSIRPTSHPGAGGSSTNVHSDVDAENISEEAVSTDHSGPDGINQIGVSHSITREVSQGVSEENLNNHSAAEGPNLMRPSHPSTSENREATNFEMEGEGNSGTTFHPGAGGSITNVHPSVNGADIIREASETSEDISEEAVTDHSGAEGINQIGLSRSITRVISEEGNLNKYSVAKGQNLGPSHSSTRDNFKDPFDMAESIDHLGAGAEGLDRSGSSCSRTRKISEYICEETTRREHSDRGQDIIGLLNSNIECVSKDIAAEAVNGFPLSMERQDIIGTTSSSTGETSEEICEEGMSIEQTSNSQSDTTEVDALEDITKMPASPYVHFYKA >KN538980.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538980.1:6089:6541:-1 gene:KN538980.1_FG006 transcript:KN538980.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSQFDFNSNDLTLYTKLVATVRAENPNEMIGIIYGDGSRTVVSYRGTPLCSGHLPTFYQGFKNVTVMEISMEGRHGFGSGLQSALEESEKEGNVPLDVFVSVPVSLRFGSFDVREVRVNVHCALVVDSISPKKKPTIKSATYQGNVEF >KN538980.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538980.1:95646:96321:1 gene:KN538980.1_FG007 transcript:KN538980.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGLGTLVAAAVTKQVARKIADYGKEEMKLCCYFNDDLNGMKNALTNLGTQLTEAEKNSFGSDREIVREWLGQMKSLAYDIEDILDEYYYHDPEDDEQLDGCCCTACARKENTLTPHIQYSTNKYGRNIQR >KN538980.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538980.1:23639:24911:1 gene:KN538980.1_FG008 transcript:KN538980.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVQVPGTRIPTRPAPQKASAEEDELAALQAEMAL >KN538980.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538980.1:1640:2029:-1 gene:KN538980.1_FG009 transcript:KN538980.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMCLELDVCTVYIKYGRADRMDEAESLLHIMIEKGVRPNNVRCTTLISICCNEGGMVEARRLFQEMAGNGAKPSLVTYNVMIDGTSRRGAHARLKGSERRWRRSYAALVHWQCVSGKMDVPLGLFEL >KN538980.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538980.1:126103:128527:-1 gene:KN538980.1_FG010 transcript:KN538980.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSANIESFCCIDHRMIIQEMKREHGLLIDLKNHIIPILRFNNVQADHIVHAFDDILCCSNGIISKIQAEVCDGGNSDPGIDKGNGRNIALDNMKVFIEDGTVTKNKRRKNAQHTGSVVTATPDYDGYEWRKYGQKSISKTKHSRSYYRCTNQKGQGCMATKTVQQIENDNGSNSVVKLYNVDYFGKHTCKFGNEMVCPDIVETDSPKYSSVNDKYASTRLTNHSDDHQPKNDMKPENLFAVPDMSLFSENMWDIIFEDVTMNSTFSLEQEAKDSWIKHQQDSTIHLWADELC >KN538980.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538980.1:31797:34452:1 gene:KN538980.1_FG011 transcript:KN538980.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFIVLQKIGAALGREALNVVGTQLQKQPPTLVDVENNMRQLKIEFHVMKAFLTQQQIHFSQDRAYDAWLDEVKNVAHEAEDVIDEYVYLAGQTAKETSKLKKLFHCSKTTSDWHIIATQLSQIKSRLQNLTNMKARYGISANDSEDGSTSSHESLKELTSDSAYFDTEDDMVGNKEESEKVMKLLIHGKETRTVISICGMGGLGKTTLARAIYKKNEIRKNFDCFSWITISQNYKVEDLFRRILKQLLDTNENIPDQTDIMYRVSLVERLRNYLQDKKYLIFLDDMWSQDAWILLDRAFVKNKKGSRIVITTRNEDVASIANNGCSFKPKYLPWGDAWDLFCRKAFHRLDQNGCPQVVMHWAEKNVSKCEGLPLAIVAIGSLLSYKQIDEAEWKLFYGQLNWQLTKNQKLNYVTSILNLSFDYLPANLKNCFLYCSMFPEDREIRRKQIIRLWIAEGFIEERGDITLEEVAEDQRTSSCLDKRFSLLADNTCVTKLSDEARRVSLVKGGKAMESGQGARKIRSFILFDEEVQFSWIQKATSNFRLLRVLSLRYAKIVKLPDAVTYLFNLHYLDLRHSEVQEIQQSIGKLRKLQTLDLRETFVEQLPEEIKFLTKLRFLSVNIDCDPSNLHRHFPRFQATRICSEFYLLTDLHVLGDIKASKHVVTNLNRLTQLRCLGIRDVKQDHMEKLCVSIKSMPNLIRLGIVSRGEDEILDLQHLDHVPDLEWLLLRGKLHGAGATSKLQNFSKLRYLSIGWSRLQVDPLPAISHLSNLAELYLQKAYDGLLMIFQAGWFPNLRELGLADMDQLHSIDIEDGTMPNLSILVLCGLQNMIFVPVGFKYLTSLQVLRLWDMPK >AMDW01036967.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036967.1:104:529:-1 gene:AMDW01036967.1_FG001 transcript:AMDW01036967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NAILSPFWNVNAHSLVYIVQGHARVQVVSNLGKTVFNGVLRPGQLLIIPQHYAVLKKAEHEGCQYISFKTNANSMVSHLAGKNSIFRAMPVDVIANAYRISREQARSLKNNRGEELGAFTPRYQQQTYPGFSNESENEASE >AMDW01038260.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038260.1:70:699:-1 gene:AMDW01038260.1_FG001 transcript:AMDW01038260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEITSQQSPNATSIVTDLMDLDDIIVEGNEVQFPMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPPVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQ >AMDW01039957.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039957.1:14:1126:-1 gene:AMDW01039957.1_FG001 transcript:AMDW01039957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEVVYRTLLANCVAIANVKKAEQVFNKMKDLGFPVSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLFQATIARHYIFGGYREKAEAILEQMVGDDINENRSACKFVLPLYAFLGKNDDVERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFENMFKTWKTLSSKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPSTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPLYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >KN540355.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540355.1:2:1778:1 gene:KN540355.1_FG001 transcript:KN540355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YYTGVALPAPVRGIFVSYVDYDRTHLFAHPSITPACPAIDGVLDFMPEHRYSGDEWSVIDHCNGLLLFSNCSRWLCVCNPATQRWEKLPDHPFAWSGSSYKICTYLAFDPAMSSSRYEVLVIPNVPDPRWMMALCNHGGRAKDIDDPWRLTEWPPSPWRVEVFSSRSGRWEERAFVRDGEPAGTVEEMRLDPMEPTGVGPFQRYAVYQHGALYVHCRGDFVARLSLSNDTYQVIKTPENHNKSTREAVPYLGRSPNGLCFGISDRDAQLWIWILRESHGKMEWILKYHDDLKPFAKQLSSYYGYRRKLFCGQPWIIEEANNREHQNTENKPDPKVNFEWDSDNDEFISIDGAVEGAIDDEYCYTFFDMIGFHPYKEVIFLGHILTVFAYHLDTSKLQYLGHTRPEDYCQMYTNGIYGSFVYTPYVSGHFYAVITGAGAHPQHLHQMLRNNAAHAIDQPKEPADY >AMDW01021303.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021303.1:94:258:-1 gene:AMDW01021303.1_FG001 transcript:AMDW01021303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAISE >KN540355.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540355.1:23577:24916:1 gene:KN540355.1_FG002 transcript:KN540355.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDARCANIWSPADARSEESEMIDQLKSMFWSGTDAEINFYSPDSSVNSCVTTSTMPSSLFLPLMDDEGFGTVPLMVSTGMDMCADHQHQVITGNKRMFPMDEHFEQQQKKPKKKTRTSRSVSSSSTITDYETSSELVNPSCSSGSSVGEDSIAATDGSVVLKKSGNSRGHKQCSKDTQSLYAKRRRERINERLRILQQLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMFAPLAYNGMNMDLGHTLAENQE >KN540355.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540355.1:19032:20330:1 gene:KN540355.1_FG003 transcript:KN540355.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >KN540355.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540355.1:3114:3821:-1 gene:KN540355.1_FG004 transcript:KN540355.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQMRMAILRQEQTFRQQVHELHRLYHVQKQLMKQMQIAKLNQAQAIAANAETKPKFEITFAENSTNHHHHHQFYSFQSSKIMSPPAAAAADQEEEEECDLELTLATGSGGGGDGKGKKEVRSSNSDSGTAASSTSTESELAQFKNHHHQQLDCAAAPAARFQGESKKRVVVVVDNEMSLLQPPWLNQCLSLRMA >KN540355.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540355.1:32865:34035:1 gene:KN540355.1_FG005 transcript:KN540355.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVGKSTNIRWHDCPVNQLDRQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNKDLSFKAEDRAENIRRVGEVAKLFADAGLICITSLISPYKSDRSACRKLLPNSSFIEVFLNVPLEVCEERDPKGLYKLARAGKIKGFTGIDDPYETPSDCEIVIQCKVGDCPSPKSMADQVVSYLEANGFLQN >KN541520.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541520.1:163:3641:1 gene:KN541520.1_FG001 transcript:KN541520.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPTSATKDEVNLLSGVSGEIASLDDKLRTLKDYLADADRRRITDQGVQGWVTKLKHAMYDATDILDLCHLKAMQRGGGSSSSSSEPVGCLDSLLFCLRNPLFAHDIGSRIKALNARLDAICKSAAAFSFLKLEAYEDMADIGMEIIEKCGGLPLAVKVMGGLLRRREKRRADWEQVLQDFIRSVPPAELNEAIYLSYQDLHPCLKQCFLHYSLLPKNVVFYNVTVIGMWISEGFLHGDTDDLEKLGEGYYPELIYRNLIEPDEEYTNQCVSSMHDVVRTFAQHLSRDEAFVVSTLDERGKGALKTQKFLRLSVETNDLQSNDEFEWRLIQGQGSLRTLIVIGELKINHGDSLINFSSLRILHIEDANCTASLVESLHQLKHLRYIFLECYDIARLLQNIGKLKLLQYLEIKSKNLVRLPNSIVKLGQLRHLELLGTSISGIPRGFCGLTNLRYLYGFPAQADSGWGSLQELGPLAQLRELKLSNLENVPAISLAAKARLSEKSHLRYLILYCINRLGGDGLVEDEEGVFEEEQQRIEEVFDELTPPLCVENIEIHGYFGEQLPRWMVSRATGAYERLMIVMIKNLACCTQLPDGLCWLPSLQYFEVTRAPAIKRVGPEFVTMQASSIQHQHAHAFRRLKEIRLVKMVEWEEWEWDQQITTVQAMPELEELLLESCKLRHLPPGLSSQATALTSMYLTDLQQLNSIGNFASLVKLDLQDNPDLERVTSLPKLQKLIIVGCPKMRALEGVPELRRLELKDYDMEQLPRYLQQGVSPVHLVLDCTLELLTSIALGESGPEWGKLSHVQHVKAYADQGHDERKWHVLYTREPYNFEINIGNNSSSSTGGKSA >KN540951.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540951.1:18142:18522:-1 gene:KN540951.1_FG001 transcript:KN540951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSGNANSAGEDASKGLQDTRPAKHHPAHLSQLLTLLIAPIICAIVQLHRNVQLVSALLVRLGCNLLLLGRKNRGRGWGRRKRAVEDAEHAACWDGRRGEAAEGDGGG >AMDW01134874.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01134874.1:83:319:-1 gene:AMDW01134874.1_FG001 transcript:AMDW01134874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVAVTTSTEHGDGEASKTAARTVVSGSSRGGAASRALSVADLILRVVAVVATVGSAIAMGTTNQTLPFFTQFLRF >KN541520.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541520.1:25205:26680:-1 gene:KN541520.1_FG002 transcript:KN541520.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >KN541520.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541520.1:15158:22910:1 gene:KN541520.1_FG003 transcript:KN541520.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVTEDEITLLFGVSGEIASLDDKLRSLKDYLADAERRRITDQSVQGWVRKLKDVMYDATDILDLCHLKAMQRGSSSEPVGCFDSLLFCLRNPLFAHDIGSRIKELNARLDAIYRDAAGRSAVVGEKIEEDTRALVQRLTNGNTAMTTIMVVAVVGTGGIGKTTLAKKVFNDESIQEAFDKKIWLSVTQEVNEVELLRTALKSTGGGDARDSNKTLLVPALVDAIRNKRFFLVLDDMWSDRAWWDLLREPFSHGAAGSRVFLTTRHDGVARGMQATYPFHHVDKLNPQDAWSLLKKQVASSDMEEVEIDDKLKDIGMEIIHKCDGLPLAVKCFLHYSLLPKNVEFLNATVIGMWISEGFLHGDTDDLEKLGEGYYQELIHRNLIEADPTYAGAWVSSMHDVVRSFAQHLARDEALVVSSTDEIGKRKLKSHKFLRLSVETNHDKFGWKLLQEQKSLRTLIVIGELKINPGDSLINFSNLRTLHIQNANCTASLVESLHQLKHLRYIFLKCSDIARLLKSISKMKLLQYLEIESENLVELPDSIGKLVQLRYLDLRGISINGIPRRFRGLTNLRYLLGFPAQADGEWCSLQELGPLAQLRDLGLSNLENVPATSLVAEAKLGEKLHLSYLLLHCSSKLGEDGLVKHEKGVSEEEQRRIEEVLDELTPPLCLENIHIIHYFGQRLPRWMMSGAAGAYERLMIVMMEDLACCTQLPDGLCQLPCLSVFQVNHAPVIKRVGPEFVTMQPSFIQCRHAHAFPRLKEMNLAGMVEWEEWEWDQQLNNVPAMPALEELMVRNCKLRRLPPGLSSQAMALTSMYLYGIQQLKSVGSFASLVKLELINNPDLERITSVPKLQKLVIVHCPKMMSLEGVPVLQRLHMEDYDMEQLPTYLQQQDVSPRQLQLDCSLELLTSIALGESGPEWSKLSHIQYVKAYADQGDIERKWYQEVVNKDYQQRYEHFTTFLTNLQATTSWLRIKRKTMMTTKEASEIPKS >KN540951.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540951.1:28891:30111:1 gene:KN540951.1_FG002 transcript:KN540951.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVMEDNSSWTALSIDHIRISAFNFGIVSEDNDEGKAFLLSLDTVVPDDILERIFTFLPIVSMIRSTAVCKRWHDIIYSSRFLWTHMLPQRPWYFMFTSNESAAGYAYDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDSRNAISVSNPITKDCKRILEPPGAKFPDYSTIAIKVDRSSHNYTITLAKCKQVPEDYVRWDFSLYKYDSQSSSWVTAVEEVFIGWRGGDDSVICDGVLYCLIHSTGILGNIEPRHSIIMYDLIAGPSKASLMQSSIPAPCSLTCGRLLNLREKLVLVGGIAKQNRPDIIKGIGIWELHKKQWQEVGRMPHKLFQGFGEFDDVFASSGTDDLVYIQSYGATALLAFDTKQKQWKWSAKCPVSKRFPLQLFTGFCFEPRLDITT >KN541520.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541520.1:12393:12620:-1 gene:KN541520.1_FG004 transcript:KN541520.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGDDDRVELVVDGSHEDTRMPMSDDERGYFRLVGEITSPASMTIMETLRSCGSESMTGDGDESEVVPTTVYI >AMDW01134874.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01134874.1:1152:2230:1 gene:AMDW01134874.1_FG002 transcript:AMDW01134874.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLKSSPHFSALHSANRAQLHGRCRATLGGFHDPRSNSSRCKDPFYDSEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTN >KN539550.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539550.1:43641:45556:-1 gene:KN539550.1_FG001 transcript:KN539550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASSKRGMLLQREAVLYDDEPSMAPLEILGYHGNGVGGGGCVDADYYYSWSGSSSSSSSSVLSFDQAAVGGGGCARQLAFHPGGDDDDCAMWMDAAAGAMVENTSVRRERISERLKVLQDLVPNGTKVLATDEFWPAQGGKAPELSQVKDALDAILSSQHPNK >AMDW01033431.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033431.1:3:491:1 gene:AMDW01033431.1_FG001 transcript:AMDW01033431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGDASSPAIHFGDGSGYVDPDPGHSFQAIYEQVYGDAYAWGTTSPATKPGVPSPPMSGFAQEAEKERAGMSSTVMNGFRPEKVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVSNDSKQLRAGLPQRTIFDALHDAGHSFGVYYQFPPSVLFY >KN539550.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539550.1:78330:81471:-1 gene:KN539550.1_FG002 transcript:KN539550.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNVAILVGSGILGSVLVGGDAKLPSAGEVLSGAAKVLGHVKIFIVIANKLSSCSFAFKHTQLHMVTHILSQISLNDIHVNPFSVGPGTFTITVVAVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVSSLPQSTALPALPTTTPAAEPSPRAEAPQEEQWGFVSRTSSCREGSGRFQEQRSVVTRTSSIREGSPESSNGASSSTGTSTGTNTSTSRFGGLRLPGLGFLASSTR >KN539550.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539550.1:20474:20884:1 gene:KN539550.1_FG003 transcript:KN539550.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVQGDDEEQQRKSLQLQELSAFWLPHCLAQQALCRASRPGLDAAIYCTTPRSAQAAAAMAVAELECWVCGKQDGWMWWAFRWRINVAFDELQQEKKKKKREGETFLCKALQPCTGSGDPKEGRKEGRSVALVL >KN539550.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539550.1:54379:57155:1 gene:KN539550.1_FG004 transcript:KN539550.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTAAYGDRDISNGCLVRPSAADYPPLLRISGRRNDLYTLIMTDPDAPSPSDPSMREFLHWIVVNIPGGTDASKGEEMVEYMGPRPTVGIHRYVLVLFEQKARFVDGALMPPADRPNFNTRAFAAYHQLGLPTAVVYFNSQREPANRRR >KN539550.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539550.1:61962:62378:-1 gene:KN539550.1_FG005 transcript:KN539550.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIHCKNTKKAENTSTTGIHASAAVLAWHAADARNPGSCGNMVVVVVGDGSAQGNGCVEIPAGVDGQNGNGEVVAGGDGIPVDGSMSATTRAADHSDTTATTAAAARKIGRRDGIFALLERICAKRRSELTNPPS >KN539550.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539550.1:18104:19309:-1 gene:KN539550.1_FG006 transcript:KN539550.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLLQGVSCDLFNGLWYPTVMSIGPVLDNSLQCSADAKFSPQLFDLKRLKTLSFYSCFPATNPTPIPATSWDKLAGSLETLEFRTNPGLTGAIPASLGRLSSLQSLVLVENNLTGAVPAELGSLVRLRRLVLSGNGLSGQIPASLGNGHFAEQLLIMDVSNNSLTGSLPSSLGGLKGLLKMDLSNNLLQGSLPPELAGLGSLTLLDLRNNSLTGGLPSFLQGMASLQDLLLSNNPLGGSLGQLGWEKLRGLATLDLSSLGLVGAIPESMAALTRLRFLALDHNRLTGDVPARLAELPNIGALYLNGNNLTGTLQFSPAFYQRMGRRFASWDNPGLCYSNAAVDAAHAPPGVTVCKVAGGVGDGRKPEASSSLMATSSASNLINVFCFFFFLWMVATSLL >KN539550.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539550.1:83867:84575:-1 gene:KN539550.1_FG007 transcript:KN539550.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMEQVMVEGLASFLVVFWSCVAALMQEMYGTLTFPMLAGSLLACLSVNAVMRPRHDHFYGTAPAVVHGGTRLPFLMEFLASAVLMIVIATVATGTAGKTVGGIAIGAAVGGLGLVIGPVSGGSMNPARTLGPAIVLGRYDGVWIYVVAPVAGMLVGALCNRAVRLSHRIVAFLCGSSLGIAGSP >KN539550.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539550.1:58791:59054:-1 gene:KN539550.1_FG008 transcript:KN539550.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVSWNRRSSRQGYSSDGDDDDYDEAEGGLVVEEEEALWRKTIMMGDKCRPLQFSGHIAYDSDGNQLPATTISKGAADADAVSNI >KN539550.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539550.1:25819:33362:1 gene:KN539550.1_FG009 transcript:KN539550.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATYATTTTTMVLVVVLSISTIVPAVAMADAGFIATTCSKTHNAKCVAVLTANPDSADVSTVSDLAGAALDLAVAAASDAGALINDRSSRIKEKKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGVQIPASTKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPALASSSLPSAGSAVLPDLSSQAAQYGLQRPSFQSNIPLYQPGSAPWGSSAPPSAGNASGLSVPPMYWQGYYPPGGLPPHLQQTPLLQPGLSVPQGLQYAGLNPTLSSGPQKLSELQPPLLQPPGTTQGPSSGILPTTTAPSSANLLAPETSKPLLPNMGPLFTPPVPSVGATLPLASLPTSIAESSAMAPHNFSSLVSNKTADIPGSTLAYQSVSQAISSTVASSSSAHMDMPVPLLASSGQLLQNAPSMLSSSQSMQTPLQMSSKDFKAVESKTRVVEPLLPDPPSRALPENNEPILPLPKQTPQKLFSSTSKTRNQSLLVCKHMLKHKLVIHSISVHILVEFIIARINFEIMIYGSSTMELVHTAITTTGAVEEVEAARFLTIVLLAAPLANFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSHSRDKDGGELGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGQNGRSRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRGYGNMGGRGGQGNSYPHRGSY >KN539550.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539550.1:4975:13268:-1 gene:KN539550.1_FG010 transcript:KN539550.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITILAQSAVNMRSAGVEDTAVVVEKQLGSELAAMSLEDSLCLARAFSHHLNLMTIADTYHRVRKARNFADLSKSCDDTFAKLIQSGVSPEELYDTVCKQHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKNTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSILSQWMAIDLYIRELDTLSFELSINRCSEKLANLANDILLKESASEDQKTNTWNQTGPQNNLKLQHSLALPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKLALNITEKREDSPLPSPSHRPMGRTPSGGQLRKMFTESQIGRSSFRKLLEPSISERPGSTPYRVVLGDVKEKESGRHTETLDAITSYLDLGVYSEWDEQKKLDFLTRELKGKRPLVPPYIQVTADVQEVLDTFRVAAELGSDALGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVNDLREAGPAIRKLLSIDWYRDHIIKNHNGHQEVE >KN539550.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539550.1:75212:75751:-1 gene:KN539550.1_FG011 transcript:KN539550.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKNRWKEAAVLAVNRCKEKGAGKKVDAMARQAALLLMMGYDGFTSPEVCLHYLFASENVDSVVFGAAVSELDGGEVVRLMKYLTKWIGKYQRFPEAQACPEAVGMPGLELCDIVPSFRVVAGALGLVFDQHFSHLALNAELKEDLKAAEMMVKQLATEAESAGPILDLLRRMQQDV >KN539550.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539550.1:86311:89434:-1 gene:KN539550.1_FG012 transcript:KN539550.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPAPDAGEAALLALLNLAVRDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTTKPIISASGAIPLLVKVLKEGNSQAKNDSVMALYNLSTVTDNLQMILSVQPIPSLIELLKGGKRSSKTADKCCALLESLLSFDQGRAALISEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRNKYRDIILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSKLPADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVIGTFCNGSRHSGNNEFAGDAGPGLDRTE >KN539550.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539550.1:35853:40834:1 gene:KN539550.1_FG013 transcript:KN539550.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDVGAARAALQWAVRNFIRAGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFRELCNGIAEAKVEIVVREGEVGETVVATVNQLAATTLVVGLHDKSFLYRSASPYERMRRVGCRVLGIRQHATARDGSFNAELTQIETINLHVDPDDALSGMAATSSAPAQGAKPKKKTMKSLYLQFFDTAPDGKSRVCKLCKKSYCMTTATGNLGKHLNNRHPGYCQLSEGETTQSTTPTSMPSRAKRSQPLARTRSQAQSQSQVQLQSQVQPQPQPQTVAKVRHQPKAKPAIDIDHVNWLLLRWLISSSLPASTLEDSMLIDSCRYLNPPVQLWPKEKAHEIVLQVFRSMKEDVKASLQCVSSRFSITLDFWTSYEQIVYLSVKCYWIDEGWALRKVLLDVRRIPYPCTGPEILQVLMNVLHEFNIDSKILACTHNNSQHAIHACHELRQELESRKLPFCYIPCAARMLKIIIKDGLENVRPVLSKIREFVLETNSNQDMMEDFMHWTEVYQEGSWKLPFDHSSNWSGDYNMLDVVKKAPNAMDNTIKKFEGVFGPRDWVLSSTEKSAVNSLHSYLEPFYKTTTNLCTCKIPTVGLVFFFMDHVIELINVCHDSTRQDWLKKIASDMSETAHNFASQAYNIYTFTAAILDPRIKGELIPETLNSTSNLEDARNQFVRDYSSTFEAVGNGYNTQDTTDGGDAFSFAEEIVRKRRRVSMITAADELSQYLAEPPAPISTDALEWWKGHSSRYPRLSLMARDFLAIQGTSLDPEELFTSKGDSMRKQHYCLPLSSIQATMCVKSWMQSGYQFNFQSTIIDFERLVESAVAPDAVDAPEFS >KN541047.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541047.1:12:507:-1 gene:KN541047.1_FG001 transcript:KN541047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVAPAVAFLLLPCLCSHALASSPPRSSSAAKAADELALLSIKSSMRSTSPSSSRLASWNTSIHYCGWPGVVCSRRHPGRVAALRMGSFNLSGTISPSLANLSFLRELDLEENRLTGEIPPELGRLGRLETVNLAANALQGTLPPSLDNCTNLMVLGLRSNQLQ >KN541047.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541047.1:11542:27925:1 gene:KN541047.1_FG002 transcript:KN541047.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPPPPVTATAPQTARLSRPCVMIIGNNNASDRARCFMVIHGEAELVYGGAVVASVERFAYKGVASNLVTYLTEVVEMSTSAAAKSVSAWSGVTSMLPLLTAVLADSYWDRYSTITASSLLYVVGLIGLTLWALLHTRMPCSTLFFPLYLISIGQGGYNPSLQAFGADQLDIGDDDGDGGGGGGDNGATPATEEQRSKRQQPRAVHRKPCRGSVLKALKSLLASVTGARKITLPSRDGDDDTDIVSELELQEKPLKLADEKQEAAMREAAAAPSVGKIIVRLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHRVGAMFVIPPAMLQSSITVSIILLMPLYDTVVDPAARPHFRLRRRHPPAANASLSTLEEVSGAGKGKRPHQVMLTRLLALAAHALAEAETRPEPQDLWKEPINATMWRPCSDKRTWEPSEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKELPVELQSIDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLKNRVVHLVGFGNRLSFDPIPFELQRLRCRCNFHALRFVHKIQETGALLVERLHGHRPHPSPLEDNLLGHFASKSVLKGNKNEISKYLAVHLRFEIDMVAYSMCYFGGGKDEEEELEMYRQIHFPALTELRKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKHSTNVYIAGAEIYGGRHRMAAISRLYPALVSKETLLSSSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILLKNATMEWNEFETRATPPPGEPSLSASSRWAVPRGGAEAAGLSPDALNPLRSYVSLPQATFGPKSSFDKLGKNADMIPEIFTVGKAFIAATLLVFGGSTAVLLYTADKLQLHSVDDVRTKGRDAVQPRADMIKEQIAPLRSWAEEMSRKWHFEGDKDAKEKSIIRELSRALGSRMPPT >KN541793.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541793.1:1005:4959:-1 gene:KN541793.1_FG001 transcript:KN541793.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPRLLLLVLLLLVPVSNAIYCDEDDCYDLLGYSHLLPPTISSVSWFVPPAHESMLPSRVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTLNAPTICDRILVLC >KN543585.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543585.1:48:4525:-1 gene:KN543585.1_FG001 transcript:KN543585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMSAADDAGAASGREDPPPAVVLVSAGASHSVALLAGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAAAPGITSVICGADHTTAYSEDEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGEKMVLIACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKLEALKDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDTDDHCFPVQVKFPEEQKVAQVACGWRHTLAFTEKKNVFSWGRGTSGQLGHGEIVDRNKPVMIDALSPDGPGCKKLEPSTAVPFAAKVWVSPSERYAIVPDEK >KN541793.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541793.1:16137:22477:1 gene:KN541793.1_FG002 transcript:KN541793.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWSLLPLPFALALVASVAQAAVVEYTFNVGNLSISQLCQQEMIITAVNGQLPGPTIFATEGDTVVVHMVNESPYNMTIHWHGIFQRGTPWADGPAMVTQCPVRPGGNYTYRFNVTGQEGTLWWHSHFSFLRATVYGALIIKPRGGAKAYPFPAPDEEVVVILGEWWKTNVYDLQQRSLVTGNPAPHADAYTINGKPGDFYNCSAPNQTHKFELKQNKTYMLRIINAALNTPLFFKVANHSFNVVAADACYTKPYKTDVVVISPGQTVDALLVPDAGIAASVGGRYYMAVIPYNSAISAPPDSLYSLSKGTAVVEYAGGTTATSPPIAASLQDLCLTPPKEATVQLKYSPEVAQLEANHNMLLKADFNLFMAHFRSWEDLSWVWNKQPWSFGSDTFLMEWATADEKLKPLSAYTYLHIMVTVRFYGIPMALRTEDTTRKVVAEIGEPSAANPILEENLKKDPKFMSVRVKMNVTKPVQAIVNLDIDNREPLRVFVHYERIHRICTFCGLMFHNSQA >AMDW01059482.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01059482.1:6:559:1 gene:AMDW01059482.1_FG001 transcript:AMDW01059482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDYTGPPCRYVTPRQGVSKYVRFSHRANTTIYFGGLNLNIEREGNDREDILLPKNQTEEIIRVAKASPNPIILVILSGGGIDVSFAQNHPKIGAILWAGYPGGEGGNAIADVIFGKHNP >AMDW01040224.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040224.1:21:574:1 gene:AMDW01040224.1_FG001 transcript:AMDW01040224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEEAFQCIVKNALKNEPEEEL >KN543501.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543501.1:880:1233:-1 gene:KN543501.1_FG001 transcript:KN543501.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAALLMLLLLVVVAAASWPQPCDAASGFCASKCAVRCGRGRGRGSGCLRSCGLCCEECNCVPTGTRDECPCYRDMLTAGPRKRPKCP >KN539142.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539142.1:49996:51459:-1 gene:KN539142.1_FG001 transcript:KN539142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWSISPKNLSLEVPVTIHEVISFTCQDVLVVCTSVGWTNEKHMLYLSLLEESFEHMDVDEVDRAESWIKVEHVRSPSENQDDIKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSADYTECQKLVYFIYMNDMARFLQENYSCISVEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQVMKGTFEGLYG >KN539142.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539142.1:101031:102146:-1 gene:KN539142.1_FG002 transcript:KN539142.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFDSSKNAWDDAVALSRKPDTSSPERDAEGGVGGGDETVYFLSKSGDVMATNMQRSASRQYSSAVTCGDGGDAVAYFLSNSGAVVACDVSRRAFAELPRILPVYFEYSIDVVACGGRAYVVNSVVTMNHFLNCCKDETGDAAFFFFFSLRHSST >KN539142.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539142.1:23657:23932:-1 gene:KN539142.1_FG003 transcript:KN539142.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVDDFKDWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKVTAW >KN539142.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539142.1:54485:63336:-1 gene:KN539142.1_FG004 transcript:KN539142.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKETFRKMYSVAKNYCGGGLAASDALSAVSDLGRSLKPSRKASRHVVPCRARSFTQCSLGGGSADQEIVIALGSNVGDRISTFDRALRMMKNSGVNITRHACLYETSPAYVTDQPRFLNSAVRGTTKLGPHELLKKLKEIEKDIGRTDGIRYGPRPIDLDILLYGTSHISTENLTVPHERIHERPFVLAPLVDLLGSSTDDNVEKSWHSLAKCMGGFFESWDKLGGESIIGTEGIKRVLPVGNRLLDWSERTLVMGVLNLTPDSFSDGGKFQEVEAAIAQTKLLISEGADIIDIGAQSTRPLARRLSADEELERLVPVLDAITGIPEMEGKLLSVDTFYAEVAAEAVKRGVHIVNDVSGGQIDPRILEVVAELGVPYVTMHMRGDPSTMQSEQNLLYSDVCKEVASELYKRVRQAELSGIPLWRIVLDPGIGFSKNSKHNLEVIMGLKSIRTEMGKMSLGASHVPILLGPSRKRFLGEICNRVKPTERDAATMVVATAGILNGANIIRVHNVRYGVDTAKIPEVLTFQDSNFLQSFMDMQFPDGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKHHCRHCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSCGGVGNAGSPGSVSSAADSFSGLNLGEDDASSPTKNSAFHSAPAVIECKCGMPLCICEAPKPEPVPVKFCVPPPNDHMQQSVTTTSSSAQSNPRPKKSSTNQQSAESSAKKASVTSSSNSSSFLNLGLMSNDTNDKGPSEYDVTGEGLREAIKSGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDNGANIQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRP >KN539142.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539142.1:84590:87194:1 gene:KN539142.1_FG005 transcript:KN539142.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAVFLAFVLCFYIFICAKSFRLNDESISSFSFSHSIAFNGQRPLFSYCYYCLFCCTLPVITVTLLRIEKKLVDLGATPRSQIKRFFPHSYEIKLLHRNGICYLFYQPCYPIELSFNLKKD >KN539142.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539142.1:17469:17939:-1 gene:KN539142.1_FG006 transcript:KN539142.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTECKKKKQQQHGGGDEAAAAKERLDRFKAWLMHFDADGDGHISRRELRDAIRSGGARFATVRAWVNLYLADKNRDGVIDDGEMKHLMDLTEKDLDLSKLPPTPAARPTTSAPAMVVVSACQFQPTPPVSHTVIPCIDLSKLTAKPVLSSTANN >KN539142.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539142.1:118394:118816:-1 gene:KN539142.1_FG007 transcript:KN539142.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHETFSGPNATEGGVVASPFNTTFGQVAVFDNELRAGEDRAASPLVGRYQGFIVGTGRSSPGYLTSATVAFTAGELNGSTLSLEGPFFGFAGTAERSIVGGTGKLRLARGYYLLKLIGKTTPETAVSEIDFFVLMYSSTH >KN539142.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539142.1:126558:135111:-1 gene:KN539142.1_FG008 transcript:KN539142.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTGERALTRLHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAIPEGEREKLKDSAFEDVLRGAQEAIVIPPWIALAIRPRPGVWEYVRINVSQLGVEELSVPEYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLDALQGALRKAEKHLAGLTADTPYSEFHHRFQELGLEKGWGDCAQRVRETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTSCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETYTDDVAHEISGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHSEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKLPPFHWPLRERPQPNDLALPGEKNGQWVLHCCSADPGGGHLSSLVPANIYPAHDYLYEPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKNQDNTLQQQSFSTDVSFLGLDKDGDVNVHPSVCVPSAPPLLETNALQYSAYREVLQADPPEWLPDSSTSVCLQCSLPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTLNRYCQVARLNPEKSIPSSILKGAKGLAVITVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAIASVGLGWGVQFGGELTDFIIVLHDRKAVKAFSSRMHLSLGAGLSAAAGPIGRAFEADVRASEKGSGLCYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGKVEKPRAAQPLYSALDDLFSKMVY >KN539142.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539142.1:68984:74460:-1 gene:KN539142.1_FG009 transcript:KN539142.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATGAVMLFLAATLSGVSANTDSDDVNALNVLYTSMNSPSQLTNWVSQNGDPCGQSWLGITCSGSRVTTIKLSGMGINGTLGYNMNLLTSLVELDTSKNNLGGSDIPYNLPPNLERLNLAENNFTGSIPYSISQMIALRILNLGHNHLATTNDMFNQLTNLTTFNLQNNGFNGTIDVLADLPLTDLNVANNQFTGWIPDKLKKIKNLQTNGNSFGSGPSPPPPPYQSPPYKSPPYKSPQSRQPAPPTTTVNNNPSDDGRKHSKLSGGAIAGIVVCLVVVGAIVAFFVIKKKYWSLPRGGDPEQKEPLSPIVSGFKDSLKQMKSIKIISTIGKEELQKTVSMNLKPPTRIDLHKSIDENDVTSNSFTRKISLSSIRTPAYTVADLQVATGSFCADNLIGEGLFGRVYKAKFNDHKVLAVKKINFSAFPGHPSDLFIELVANISRLNHPSLSELVGYCSEHGQCLLAYEFYRNGSLKDLLHLVDDQSQPLSWNSRVKIALGSARALEYLHETCSPSVIHKNFKSSNIFLDNELNPHLSDSGFADLIPNRESQMSDEDSGYRAPEVTMSGQYSVKSDVYSFGVVMLELLTGRKPFDRSRPWSEQSLVGWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQSEPEFRPPMSEVVQLLVRLVQRANMTRMCGADGHSWRRDGESRDQEP >KN539142.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539142.1:29116:32390:-1 gene:KN539142.1_FG010 transcript:KN539142.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLGANQLGLREGFGGMELTCSSEESNSKENSVNPSSSPIESSGAFVDYPTPPTTKDDTGLSKALNHSVEGAETMLTDEMNSKEDRIDQTNVAIKPKMVEEQGATPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKAVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEEHRIGSALEKEEDCVAWDRELQQAQEELQQLNNKLLSKSDVKQNLDTNLRRLRSLKSELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLALAAVQALQESEEAGDDENFPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANQGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVAQKDSFYKEFSGNSYEDLVPNRKLRRKKSFFPLMGSLLSRKTQA >KN539142.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539142.1:752:4968:-1 gene:KN539142.1_FG011 transcript:KN539142.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKTTANGLAAKRENTGTKKSPLQIQMLERFYSEVQYPQSEDISEYATSVDLTYNQVRIWFKERRRKERRETESLGAHMEKQLSARSNGFRCSSSSRSSSFSRSTMYRTVSLRPEDDRYVDKGMSFTGEKHTLRSQVLFPKDYILRKVFRKDGPPLGSEFDPLPHSAPGHLRDTTDDHFYQNQRVIKKRKIVEPTTQRSSLPCGDSGPVRKHGAGKGLMTVWHAMYSHSSKIQDGSNFIDETGCLRSLRPLDDCGRIIEDCDDGKLIQVPCSRVTAPKKHPTMECHLSVDESQSPVLQANQVTLVDDEELELRELQAGPNPLRCSAHLSSSGRHGCPLCKDLLSRFPPSSVKMKQPFSTRPWGSSPEMVKKLFQVVRFIYNRFGYMDVHPFTFDELAQAFHDKV >KN539883.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539883.1:70735:76476:-1 gene:KN539883.1_FG001 transcript:KN539883.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RKMKKSHASICAWDLLFLPGQKKAASGRLASVACRRVKVSALYKCIEWLVEYVPMDNGVDPSLQSELLRFNDELRGNILRSPEYESGRLSPGRPGDPHDQPRRRSNVLRDSQVPAIYVFGDSTADVGNNNYLTGAAVPRANFPHNGIDFPTSRPTGRFSNGYNGVDFLGHAIFFLSVGTVWDGDWEIMPKYDNDHNILQPLSALNMGFRRSPPPFLAVANKTSNPLFRGLQGTNFASAGSGILDSTGQSIIPMSKQVQQFAAVQRNISARISQQAADTVLSRSLFLISTGGNDIFAFFSANSTPSSAEMQRFVANLVSLYTNHVKDLYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGLNKGVKDAMHGLSVSFSGFKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGESGCTPNATLCDNRHDYLFWDLLHPTHATSKIAAAAIYNGSLRFAAPINFRQLVDDQH >KN539142.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539142.1:93617:96055:1 gene:KN539142.1_FG012 transcript:KN539142.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAGAAPVSLDTINPKVLKCEYAVRGEIVTHAQDFLRNLDHDLHFILQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTFFREVPYFLDEETGWGLEVDELKKQLEEAQSKGITVRALVVINPGNPTGQVVMIY >KN539611.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539611.1:84290:87126:-1 gene:KN539611.1_FG001 transcript:KN539611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRARSPRAPGVDADDDKKRAAEWRGAVRPHMVLVGFLITLPVLSSWLFTRVVPGFTGVADKLKHLGRPHALVKVGGPRWIISAVHVASNNAETEAPKNVSVPATATKSLPQPQDKLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRKAIEQLKSGRNADNAECKYVVWFPCNGLGNRMLTIASTFLYALLSNRVLLMHVAAEQEGLFCEPFPGSSWVLPGDFPHNNPQGLHIGAPESYVNMLKNNVVRNDDPGSVPASSLPPYVYLHVEQFRLKLSDNIFCDEDQLILNKFNWMILKSDSYFAPALFMTPMYEKELEKMFPQKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLTRCIREQRLLPELGTAEPANTTAEAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWDKERSEVACVRSTSVEPCLHSPPILSCRAKKEVDAATVKPYVRHCEDVGFGLKLFDS >KN539142.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539142.1:124154:124738:1 gene:KN539142.1_FG013 transcript:KN539142.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDVEARRIRGKKAKVNFPDAAAAVPKRPRRSSAKHSPQQQKARSSSSSPASLNASDAVSKSNNNRVSSAGSSTDATAAAIAIDDGVKLELLSETDPSPPMAAAAAAWLDAFELNDLDGSRCKDNAFDHQIHKVEAAVADEFAFYDDPSYMQLGYQLDQGNSYENIDALFGGEAVNIGGLWSFDDMPMEFRAY >KN539142.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539142.1:38493:41653:-1 gene:KN539142.1_FG014 transcript:KN539142.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGINNHGAHRANFSLQLASVLEQRQLNLAYIKDGSVDVGFVSGLFGGRWRPGGEGESLRFRSVGGGEGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >KN539497.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539497.1:29152:30479:1 gene:KN539497.1_FG001 transcript:KN539497.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATGATAFWTGATTTRMTGAASSVMTSMVDGGTCDDKGNEHNDRGGDPPSLFSLAVSQTVVAPAQLPATPRASPGRAFPPLPPLRRRRERGIEARSADKEAMTVPAARVFARINEAISAAAAEVAVRHLLVAAGAAVAIDKLASAPVPVPTTLSVMHLTAQLEKLTLAPVFASIDVLMTPDADIDPAANASADADNQVSPVANASTEDGNQVSPITPRVLFTNLLPPVLPAPSSQIIRPAMQTQMTAPPPAAGEGSRRSPRLAMQPLAGLPMSLRAQLNLCRKMGLTPPEGSLTAKAVSDFKAMFNSPLPQDAIDALEQLFGLNREDAKEADVALAKFMGPTDGGVLEELVASA >KN539883.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539883.1:13996:17111:1 gene:KN539883.1_FG002 transcript:KN539883.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAVLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQSAITGMGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACNRSKVYFYAYYVVKVVAGIFCEGSMHCLLLAYVYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPVLARFVGEDILLIIGLLGGCTHVFLYGIAWSYWVPYLSAVFIILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWVLSETAPFKFKGFSIMCAGFCTLIAFIISMRMRAGQSGASEKLAIVQHEQV >KN539611.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539611.1:59202:61000:1 gene:KN539611.1_FG002 transcript:KN539611.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45474) UniProtKB/Swiss-Prot;Acc:Q9C639] MAESCTPAHSPQSRLPGDFGFDPLGLGEEPANLKWYVQAELVHCRFAMAGVAGILATDVASWYVMLSYGAWSKLAVCPMEELIRVSGINNLPVWFEAGATKFDFANTTALFFVQLLLMGFAETKRYMDFINPGSQAEEGTFLGIEAALAGSQPGYPGGPMFNPLGLAKDIENADEAKLKEIKNGRLAMVAMLGFIVQASVTHVGPIDNLLTHLSDPFNKNIIHTLSSS >KN539883.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539883.1:49927:50719:-1 gene:KN539883.1_FG003 transcript:KN539883.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELLLERSRAITLNGRDKRGRAVVRIVGKYFPARALGGRAEAALRGYVRRRVLPEVGEREFVVVYVHSLVDRGDNFPGVAAIRAAYEALPAAAKERLRAVYFVHPGFQSRLFFATLGRFLFSSGLYEKLRYMSRLEYLWEHVSKGEMEVPECARRHDEELERRPLMDYGIEATDRRCMFDAASMDTSASLHSLRCIS >KN539497.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539497.1:84476:89903:-1 gene:KN539497.1_FG002 transcript:KN539497.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFVCSTVPSSNLIDSVIPSCSPISTCIPRPTQRMSKALDANHAIRVCLRRRRRGAARIYALHIRRRPACRCARGGGGGGGARPPYVRGNALPIPEQLLSPSLRAPEALSLAGRCLDGDKEDWDSGTVKVHSRIQSQRLKPQPCEGCSAAAGNNPGTNYAASSISSSAYQLICSARQKITSWISSDRKRKREEEETTDSTMLPLEIKRDISKRINRIVNSLQKTGNSVSGVLQLEISSRGLTSNQRHIMARNTRLTTSVPIEPKVYGRDADRDRIIEMLINEGSSDLLVLPIVGIGGIGKTALARYVYRDQRIIGHFYFQIWICVSTNFNEERLTLEILEHVCDDREDYRYVTNFNVLQEILLKNIRGKRFLIILDDMWEDRDSSGWDRLLAPLKCNQVTGCVVLATTRRNSVAQMIGTVNAFQISGLDKKEFWLFFKACAFGNEAYEGSWLTEMLSRVWSFEHLELHDSPQINFLLFSRPIEMEDTSSLGSAATHSDSDEQLLKIPSNIIHSLSDLVISNCPDLEFGGEEGALRGYTSLESIKVQGCPKLIPLLVSGKMEVGSLPPSLLYLDIDMGPELSTVWDLKLQELEQGGNQVPPSPLSLCTFLITNLTDKIQSRLLSFLPTITTLVISASPELTSLQLGYSKALEKLIIVDCESLASVEGFGSLINLRSLTVYDLPSFPRCFEILSRQQGASQILSRLENLQIGDGSILTVSLCK >KN539611.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539611.1:38076:39650:1 gene:KN539611.1_FG003 transcript:KN539611.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKHFLSLSVLIVLLGLSSNLAAGQVLFQGFNWESWKENGGWYNFLMGKVDDIAAAGITHVWLPPPSHSVGEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRDDPYGDGTGNPDTGADFAAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADMAKIYIDATEPSFAVAEIWTNATAFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFIDNHDTGSTQHMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEEIERLVSIRNRQGIHPASELRIMEADADLYLAEIDGKVITKIGPRYDVEHLIPEGFQVVAHGDGYAIWEKI >KN539497.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539497.1:12386:12745:-1 gene:KN539497.1_FG003 transcript:KN539497.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAVIVRILALISDACRNAEKLPAAMISCGVVEAAASIFLAAFKAPGGIFLHHGKAPFYLYYGIIGGVAIFGFAEAWAGLWVSGDLNGRRVVGKTILWVGILPLVLVIALGGFVFMG >KN539883.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539883.1:35348:48944:1 gene:KN539883.1_FG004 transcript:KN539883.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEGIYLDRGPHFGWALGHRPYGPAPEPAVRRGARDGAIQPAAAVAGLGAGLGKDRVATAAEGASGARQTVTPGEHVDGPHEPWGELAPQIRAFGYVRVTNSFNAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASVGSGSELTKCLECSERGRKVPGVMGREGKVPDPALQEEKSVEASREEAAVGSNEDANCDPASTGDRSVDLPIPDNETNTQITEMRSNGAEKCDVQLSGGTLCSEAVPASISETVCPILNSDSNTNSDSVDVDPSFVAEKKGSIETVVTEDGKKQAMDVVTEDEKKQDVDYEGSSLEEMPLRSAFSYTHEVKERFNRILKKYVGTHNFHNFTTRTKAEDPAAKRFIISFAADRVVNLDGIDFIRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYSEEAEEFKLKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMDNAGTEASIAAAVESVDEGTVPSDNISSVMASYDA >KN539497.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539497.1:36724:37074:-1 gene:KN539497.1_FG004 transcript:KN539497.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIIRILALIPDAYRNAERLPVALISGGVVEAVAAIFLAFFKPPGGLFEHHGKAPFYLYYGILGFVAVFGFAEATAGFWVSGDLVERRAVGKTFLWVSILPLVLVVALGGFVFMK >KN539611.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539611.1:2483:17694:1 gene:KN539611.1_FG004 transcript:KN539611.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEEEEWRGDGDAGSEEEGEAVSDSFSHSLRECQKQRKLRAEGATLLLSPAKHELTGGGGGSIELLVLSPRCLVGGNVGGMSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGGGTNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPGGIPGAYAAASPFVPCFDGVLAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETAQDDKLQGLRGETPAISSPIIKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSNALKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIVEWRKKTIETRAPSFDEKERESCVSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRTAQKKAQDMRSAVSDVAAHVSQQIRISQEVRFFLAVAAGGDGGLDFVIAEAKKRGLYLILSLVNNWDGFGGKKQYVQWARDQGHNLGSDDDFFRSDVTKQFYKNHAVLTRVNKITGVAYKDDPTIFAWELINEPRCQSDLSGKTLQAWGTEMAGDSELPCQEQQLSGACLLRPVAGARAWIAGDERQPLDAGADRLTTWMKKKDMAWITEMAGYVKSVDPNHMVEIGLEGFYGESMHKNFNPGYTVGTDFIANNLVPAVDFATIHSYPDQWVSGASSDEQVAFMRKWMADHIRDSSAAYMMELEAEVAKLKELNDELQKKQDEMLEQQTNEVLERMSRQVGPTAKRICLRRTLTGPW >KN539497.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539497.1:43328:70365:-1 gene:KN539497.1_FG005 transcript:KN539497.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRHLSSVSLHLCGFGLSRVANDQTYTAGEGCSAAAGNNPGTNYAASSISSSAYQLICSARQKITSWISSDRKRKREEEETTDSTMLPLEIKRDISKRINRIVNNLQKTGNSVIGVLQLEISCRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADRDRIIEMLINEGSSDLLVLPIVGIGGIGKTTLARFVYRDQRIIDHFDLQIWVCVSTNFNEVRLTLEILEHVCKDKQEYKDVSNFNVLQEILLKNIRDKRFLIILDDMWEDRDSSGWDKLLAPLKCNQVTGCAVLATTRRNSVAQMIGTVNALQISGLNKKEFWLFFKACAFGNEAYEGQPSLQSIGRKIAKTIKGCPLAARSVGALLNRDVSYEHWRTVQDKWKSLQVKDDDIIPILKLSYDYLPFHLQCCFSYCSLFPEDHRLFGETLVQAWISQNFVQNEDTGTGLEETGLQYLANLVDFGFFQKVGSHYVMHDLMHELAEQVSSNECAAINGLQRNVIPPNIRHLSIITTGHDNDAREDFPIEKFEEILQKIRPLQKLRSLMFFGRSSRKLLKSILTFCKEAKCLRLLRVYVSSADINSVQNSLNPYHLRYLEFIGVYGDVVLPRVLTSFYHLQVLNMGIYRSHDIPTSMNNLVNLRHLIAHDEVHRAIAGVGNMASLQELNFKVQNVGGFEIRQLQSMNKLVTLGISHLENVKTKDEASGARLIDKEYLKRLSLSWNGCSVSLEPDRSKDVLEGLRPHHNLKTQTIHLENCREWQILRSPEILPLLRKLKLVKMLNLVELSIPSLEELVLIEMPKLAKCIGTYGIELTANLRVLTIKDCPQLNEFTPFQSYSSFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKNLELVDLHVVRELLIPSLQKLVLINMPSLECCSGLTASTVQMSTSQRDTEWLSGLRELTIHDCPCLVLPCPLPPSALMSRFSIKGIPTHPTRRKNMGSASNLILAFHNLRGIGSLFIKDCPNLVSISREGLNQFIDLEGLYITGCPNFTMTSGLVLPSLRSLSVQACAISGSWLMEMLSHVRSLNSLVLYYCVQIKFISFSQPAAIEGASSLGSVAKHTHSDEQLLKIPSNISRRVLYISNCPDLELGGEEGALRGYTSLEFIKVQGCPKLIPLLVNDCESLGSVEGFRSLTNLRFLTVYDSPSLPRCFEILSQQQGASEILSRLENLQIGDGSVSLCKQLTSLRSISFLPGRSKRGATMIGLTEEQERALQLLTSLQSLNLLDLPNLLSLPANLASLTSLERLSIHGCPRIKSLPEMGLPPSLRGLSLRGCSDELSMQCRMAATEKLQGLKREVGSKKAVTSGKEWVHSVLRTTPLDTLSLLLLKSHKTLFPFLCISWLAPHIGVLYCCGWPENLPANIIRSSHKEHFGPKGYDTGAIKGVDGGGVERGGRGDRVAGAERPGDTPRRQAGVLDARGEDVAAAVDSCVEGGNASSFSSTSSSTCRLVWNAATKLTSWASMAVDFVMAHAGSKRKRGQYELTQDDATVVVVVPFENKDDISRRINEIATSLCTISDSVHKAIHLEASYCIAVPKEGVVSNRRLTTSVPVEQKVYGRDSDRDMIVELLVNGKSRDLNVLPIVGNGGVGKTTLARFVYRDRRIKDHFDLQMWVCVSSIFDEVRLTREMLEHACRDRQDCEKISSFNVLQEMLMDSVRNRRFLLILDDVWEDKDKNRWNRLLAPLRQNQTVGCMILATTRSPSVAKKIRTLTSVELKGLDDDNFWLFFKQCAFGDENHGDHPSLQVIGQQIAKTLKGYPLAAQSVGALLGQNLNYEHWWKIRDQWASLQKGDDDILPILKLSYDYLPSCLQRCFSYCSLFPQEHKFDGEKLIFEWISQSFVSCKDTKNRVEQTGREYLDKLVDLGFFQKDGPHYVMHGLMHELAQAVSSNDCATIDGLKSNSIPSTIRHLSIITSAYDEVEHDSFPTDKFERQLEKIICLKKLRTLMFFGHGPYGSRNLLKCLLTLCKHAKGSSSNLVPRRINNLVNLRHLIADKERHSEIANVGRLTSLQELRKFRVENVDGFEIGQLQQMNELVSLGIFQLGNVKTKEEARRARLIDKDYLENLCLVWDDSTTSLKPAMATAEEVLEGLHPNRNVKRIKIRGYNGAICPMWLGSNVSVPLLRSLHLKNCSEWRAIQLEEISSLGKLNLIRMWSLVDVSIPSLDELVLIDLPNLEKCIGTYNRELTSNMRILRMERCGKLKDFTLFLNYDHFRVERKTWQWTILPFEEMHSLKDLKLIAMPGVREVSVPYLKKLVIRNMPNLECCTCANLDLLSSCLEVLKITKCRKLTSFQVLQVLPPHCEEKTWLPNMNKLKVHSCPHFIVSCPFPPSAELSKVSVSIRGVLAPPAIEMRKHWPLFTIKSYEWSVLNDDTVAFNNLTSILNFRIINCPNLVSVSFEALSQLMSLQSLEIVDCPRLLWPQMMLEEACEGKTKFPSITHLSIVSSSMLGDPLLLPCTQSEQLTVNDKPSTFSCPVEEQSNHLSLLYISSTTTTATTESTRNGPILFHMPHLLYTYVKKLHISDCPNLVFCSRKVGFAGFTSLEELTVTRCPKLLMPMVHEGVSDDHIGGRFLLPPLLNQFETDHLSEKLQLYFPENHTSLRRLSVWDSPSLICLQLHSCTKLEELEIFNCKNLCTLGGLTFLSSLKIMKLARNPKLSTSWEFDSQDQQGTGDQAGDLSILSGLEWLETDDFSVLTMSFFKHLNSLRHLTLSSSRSYWRVVRLSEGQGRVLQQLTHLQELRFLCCDDLLVLPEQLHCLSSLKKLEIGYCPGILRLPEEGLPLLSLEELETRGCTEELNRQCRLAATEKLKVLIDGKPRLSVIACASLEHGGQAAIGWLVESVLGSLFTDKLSSWLRRVNLDDDVKELVSEMRNVAVVLEAAKGMKVGDQNEPMAVSLLHLKDLLYDAEDVLDKLDYCRLHEQITKGNSEDVTSTPTSSFFSINRWFTVTGWKRKREENHTLLDNKRQFSATIKQIAGKLRDARGDVSKGLKINGLKSPEASNLSHRSTARATNATTTSYVLEPIVYGRAAEIESIKNLIMSNRSDGMIVLPIVGNGGIGKTTLAQQIYKDSEIGKSAIKIWIHISDKFDLHKDVTTDCWNKLLAPLRANHVNPSKEKVTGNSMVIVTTRKNTTAKLCGTVGSINLEGLKDDDIWSLFKAYAFGSDKHSNNPILQNLGRKIAKELNGNPLAAKTVGSLLRRNLTVDHWSSIIENKEWKSLQRTDGIMHTLKFSYDHLPSHLQQCFSYCSLFPKGYSFSEAQLIQIWIAQGFVEKSSEKLEQKGWEYLAELVNSGFCQQVESEWPSSEDIVLHDLMHDLARMVSKTECATIDGSECEKLAPSIRHLSIVTDSAYSEDPHGNISRNEEFEKRLLKVMSRSKLRTLVLIGQHDSLFFQSFQNLFKEAQHLRLLQMSSTYANFDSFLSNLVNYIHLRYLRLENEECEGALPQALDKCYHLQVLDIGSCTTPNEYVSNVSYVNGYDSDMSSEPSMDMETEGEELPSSDSNGSPSSEYFTDIISNEVIYGLEPHHSLKHNGVTSPTCLATSLTSLQTLYLENCGKWQILSLERLCLLKKLVLIRMSNVVEVSICSLEELVLIKIPKLKRCFCTSIRNLNDNLRVLMIKTCPALEVFPLFDNCQQFKIEQPSWLFRLSKLVIHKCPHLHVHNPLPPSTNVSKLSITGVSTLPTVEWSRGILRIGVLDDSDDPSVIDEPSDQLITLDDKVLSFHNLRFLTELLLSSLVHGNGYDERKNIKLIPLSLEVLELRGYDLPEEVVPDFLRNPIRLKKLSVMDTLSLKYLQLQSCMALEELEIVNCESLATLEGLQSLRSLKNLIIWGCPILPQWLRSSLEQVQELLPRLERLKIQDASVLTTSFCKHLTSLQRLTLFACNWELVRQTDEQDIALQLLTSLLPSLKRLNIYYCKDISRLPEKGLPPSLEELDINDCSEELNDQCRMLPSKLKEDEQRRRFTSRSLNLVRLPEVRLPHAHDFH >KN539883.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539883.1:17626:24265:-1 gene:KN539883.1_FG005 transcript:KN539883.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEGFWNGGSEARRVRRGRTHANLGKALRNGSIPAHLEEAASGGEGGVAAECAGCAPRQSPRQHRRPPSHLRGGSHLGALMCMGSGAGSVGDDDDDEGGLAGAASQWRCYALGAFAFVAVFAFFLLVLWGASKSYKPHVVVKSVVFETYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTSTPFHLFYDDLTVATGHSWIWALYNGSVALSRLFVLCSSLLTQDLDLAFYTQNLLAFTHTETMAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRMAFVLRARAHILGLLVRSKFYRRVLCRLDVREALLGKPVHGVAADCEYHDGR >KN539883.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539883.1:68904:70033:-1 gene:KN539883.1_FG006 transcript:KN539883.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSFSYVLVALCLLGVAAEATQLAPAVFVFGDSTVDVGNNNYLNITKQARANYPKHGVDFTGSTPTGRFSNGYNLADQLAQLLGFPMSPPAYLSLTAKTIVSQMYKGINFASGGSGLGDKTGQGAGDVIPMFQQVQYFSKVVAMMQKLSGSRTTNTLLSKSIFLISTGSNDMFEYSLSGGNGDDREFLLDFAAAYRSYVRALYQLGARKFSVVSITPLGCTPSQRARRLSEDGTRGCYGPINTLSLRSYPTLAASLRDLADELPGMAYSLSDSFAMVSFIFANPRTNAWSNQTAHGHVNVFVLMV >KN539611.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539611.1:33855:34532:1 gene:KN539611.1_FG005 transcript:KN539611.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLRVHLASGAGKELVEKIGFVYQLNISPQKLGFDEVFVVDLKKGVVSKGPYEGKPDATFSFTDDDFLAISSGKLNPQMAFIMGKLKIKGSISAAQKFTPDIFPKPSKL >KN539497.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539497.1:9884:10234:-1 gene:KN539497.1_FG006 transcript:KN539497.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIIRILALIPDAYRNAERLPVALISGGVVEAVAAIFLAFFKPPGGLFEHHGKAPFYLYYGILGFVAVFGFAEATAGFWVSGDLVERRAVGKTFLWVSILPLVLVAAFGGFVFMR >KN539611.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539611.1:61292:83377:-1 gene:KN539611.1_FG006 transcript:KN539611.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVAPGAEATRETRSRRSNRHQLPMPKVEAVHLAHGVGQTAGAFAAACCNHPEPVDCHIMHATAIIMRGGIYAQVRGAGGYRERAESQQREVRSVRSRERAWARGGDGREEGGADAASWSAERGERRRVGRLQREGGAVGMARAGGGGRGREAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFPITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMFFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARAEELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTSANGSSAVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGGWPPRGWVVAEHDARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSFSWPDRVFEAKHIAGAGEQDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNSTRIPSSYLLERLRRQESLQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMLQNKVVGDNTDRSLAGSRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHSTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVVNQTQWCSLPNGSMGGGVGGERQRDWIGDNAVAASCGVLARIVHHTTPSAQRRRYNSNAGAATQAQGLRRERRGGARSGSAAGRLRAAGGGRGGPGALRAAEEEASGGGGRCREAMELRGLRRARRLCHGDGPDDRSIPLARSAADKLLGGLLPEGFDEKSCRSRYESSLYRRNPGRRPSPHLVARLRMHEELQRRCGPNTESYNRAVQRLRDGGAAEADAHSPDDEQCKYVVSISYRGLGNRILAAASAFLYAVLTGRVLLVDPSNEMDELFCEPFPGTTWLLPRDFPLASSYANFSADTAESYGNMLKNKVLGTDGSTDGDMPAAQMPAFAYLHRDHDYGDDDKMFFCDDDQRLLSNIQWLVMRTDTYIVPSLFLVTTFQDELDALFPERDAVFHHLGRYLFHPANHVWGLVARYYRAYLATARQLVGVQVRVFDHRQAKSPHVVLEQITSCAWKEKLLPEVLDAVEDAAAMPTPPTTPHGGSNNPKAVLNPDHLSPAVVLRAHQGYVLGARDSDRRGRERAPAEPRGVPALRREVARRQGVGGDVPPEPVRRAGDQRLVDVRGGAGVLPLSAAALVACAVVLLLLAGGSAARRGQFVGADPTVPLPSRGGGVGDLHLSQSKSNDGENVTIASSEVVNDKLLGGLLTTGFDEQSCLSRYQSVLYRKASSHFPSAYLLERLREHEALQKKCGPHTESYKKAIEQLKAGQGAKVMECNYLVWVAYSGLGNRILTMASAFLYAILTRRVLLVDSDKGTADLFCEPFPETSWLLPAKFPIKQFKNFSNGSPESYGNMLKNKAIRSNPAFLYLHMAHDYSDYDKLFFCEDNQQYLRNIPWLILKSDNYFVPSLFLIPAYQEELTRLFPQRDSVFHHLGRYLFHPSNVVWGMVTRYYDSYLARADERLGIQIRVFDPEPGPFQHVLDQVLACTLKENLLPAINSKQPIVSTRHSRLKSVLITSLNSGYYEKIRSMYWEHPTTNGEMISFHQPSHEEHQNSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLRPWLLFKPENRIASDPPCRQVLSMEPCFHAPPFYDCKAKRGADTGKFVPYVTLYLSYRKAIFDMVNTNAVV >KN539497.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539497.1:96046:100682:-1 gene:KN539497.1_FG007 transcript:KN539497.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGSVVDAAIGWLVQSILQSFFTGQMEVWTREVGLAQDVEKLRFEVRNVEMVLAAAGHAASEGRKIYNKPLTQTLDDLREQLYDAEDVMDELDYYRLQRQIKKAVGSADTGNNPEPSYASSSTPFFPYQLACSAKSQITSWTSFDRKRKREEEDPTDNTILPLEIKRDISTRISGIADNLQKTGNSVLKLLKLEISVLSLRSHQGQSMARNTRLTTSLPTEPKMYGRDADRDRIIELLINNGSGNVHVLPIVGIGGIGKTTLAKIIYHDRRIVNHFDLQVWICVSTNFNEVRITHEILDHVCEDRQKYKNTSSFDALQEILLKNIRDKRLLLILDDMWEDKDRSGWDRLLAPLKCNKAAGCMVLATTRRSSVAVMIGTMNAFEISGLDEKEFWLFFKACAFGNENDEGHPCLQSIGHEIAKSLKGCPLAARSVGALLNSNVCYKHWKTVQEKWKSLQVKDDDIIPILKLSYDYLPCHLQRCFSYCSLFPEDHQFNGVTLVQAWISQNFVQCEDTGKGLEETGMQYLDNLVDLGFFQKANSNYVMHDLMHDLAQEVSSDECATIDGLQFKKIQPCIRHLSIITIGHDNNELDTFPSEKYDKILINLRHLIAYDKVQLDIASIGYMTSLQELKFKLRNVCGFEIGQLKSMNDLVILGISHLELVKTKDETNGARLIDKEDLNELSLSWSGGSMNLEPERTKDVLEGLQPHNNLETLHIAGYSGHNSPKWLSSNFSVTSLQSLHLENCREWKILRSIEILPLRKLKLVKMLNLVEVSIPSLEELILVEMPKLEKCFGAYGTELTSHLRELMIKDCPQLNDFTPFRSYSSFRAEQKSWFPSLSKLTIVRCPHISKWKILPLSEMQALKELELIDLDTVRELFVPSLEKLMLIKMPSLKCCSGLTASLPLQISTIHGIQEEWLSRLCELTIHDCPCLVVSHPLPPSARMSHFSIKGNPTIPTMEKKIGFTIKSDELTMLDNKTVAFHNLKGVKWLNIGDCPNLVSISTEGLNQLTNLEGLGLSNCPHLIMQSGLVLPSLKNLCIQACGISGSWLTKMLLHVRSLQELVLRDCPQIKFLSSSQSAGMEGTSSLSSATTELTPSARDEKLLKIPCNVLYSLLTLIISNCPGMELNGEEETLRRCTSLVSLSIQRCPKLVPLLVSGKVDVGSLPPSIRSLEIDMSPELSAAWNLKLQEHGQVPLSPRSLRRLYVSNLTDKDQSRLLSWLPTITALIIRGSPELTSLQLGYSKALRYLEIVDCELLASVKGFGSLTNLIYLKIYNSPSLPQCFELLSQQQGVSDILSRLQRF >KN539497.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539497.1:39929:40288:-1 gene:KN539497.1_FG008 transcript:KN539497.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAVIINILALISDACRNAEKLPAAMISCGVVEAAASIFLAAFKAPGGIFLHHGKAPFYLYYGIIGGVAIFGFAEAWAGLWVSGDLNGRRVVGKTILWVGMLPLVLVIALGGFVFMG >KN539497.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539497.1:14111:15509:1 gene:KN539497.1_FG009 transcript:KN539497.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSGAAGIPPKLRNDKRPGRLVEVERPHWKPAASGTTADLATGKPNLAARLVINILFRRCGRVRRCERSCGASGSTPPWRSADRLGLHDYHTVIKCPMDLGRTVHTFAGDLLASFEKMYKASVSWFEQELKLLEPPIPVQPTATAPAQVKPRAGNVKMRKPKAREPNKREMTLEEKNLLRVGLESLPEEKMHNVLQITRKRNGNPELVGGEIELYIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTATMVDNGDVTMAIESKDPDKITTQAEQLDEYVDIGDEMPTATYQSVEIEKDTEVASSGSSSSSDSGSSKDSVSESGNAHSLV >KN539611.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539611.1:26325:33176:1 gene:KN539611.1_FG007 transcript:KN539611.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGYIGDRFMCDVAVGSEEPGGELRRHVAVCIHTLIGRGEEEISLCHQPLILEVARGLVGCGAREDEEGRRRRVGWGGGGGVELSIWKGILKSSDSNLLRTKTYLFAYWLMCYYAVTWHKDYKDHYSFETQFIEHMAILERLLPYHPQYEKKIGCGIDYLTVGLHPEFENSRCLFIVRKDGEQVDFSFWKCIKGLIRQKYPMFRALRHTVRSKGTPLLFGEHDLTRERFGEKSDMRYKQIHPYDGSWAVFHSLPVYLDRSSAPEDLIDKKWQRRDYLGVHPQKQEGLSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKNEKVEALLAEPLLQKFSPQPSLLFKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGVYKKSVPCDELAPIVADILVEQFGAVRREREEDEE >KN539497.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539497.1:1968:7772:-1 gene:KN539497.1_FG010 transcript:KN539497.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGLLEGGIGWLAETILENLDADKLDEWIRQVGLADDTKKLRSEIERADGVVADVKGRAIGNKPLARSLGRLRELLYDADDMVDELDYYRLQQQVQGEEVTTTWQEDADGAEQNIASASNRSAGKPRASVWNDFYRILDEKGKIVKASAGEGASAANVNSAAIGNTVSRKRMRTANGASTEVTEHMGANAHSWSKAELSNKIQQMTHQLQEAASEVLRLRGLSNFTSSKLHQNTASDSQLRTSGLLQKKMYGRVEEKNSIIKLMTEAKSYGITIIPIVGIGGIGKTALAQLVYSDPIVTSSFHHRIWVWVSNNFDEVRITRDMLDFVGQENHDKFCSFAKLQEILMNDMKSKRFLLVLDDVWDDKADLRLNKLLAPFNSKNAKGNVIIMTTRIWSVAKRIGIVEPMKLGALKKEDSWLLFKQCAFGDENHELCGSLSLMGQKLKDKLNGNPLEAENVGELLREHHTVEHWNNILKTEDWKSLQLSGGIMPSLKRSYDMLPYQLKQCFLYCSFFPKGYSFGKEQLIHIWIAQGFVEKSSERLEQKGRKYLAELVDSGFYQHVERIWSSSEHFVMHNLMHDLGKLVSQTECATIDGSECEELASTIRHVSILTDTAYSKYEDEEISRNEEFERRLLKVRSRSKLRTLVFIGEYDSHFLKSFQDAFKEAKHLRLLLSITSMYADFDPFLASLVNPLKHLRYVELEVELPFSENDEEFHKDLPRSLSKCYHLQVLDIGSLETPNIPNDINNLVSLRHLVAQKDVCSSIASIGKMTSLKELTSFKVENSIGFEITQLQRMTELVELGVSRLENVRTKQEARGASLKDKHHLERLHLFWKGVRNGYDSDGNYNEYDSDLSSENENVSDRSSENECDGNMIPEPSMHSETEGERLQMTDSNGAPSLDHIPDIASEVLEGLEPHRNLKYLRISWYNGAKAPTWLDTSLTYLQTLRLENCGEWQRLSLERLSLLRKLVLIEMKNASVLSIRSPEEIILIGMQKLHTCSCTSTVDLNYSLRVLKIERCPVLKVFPLFEDCQQFEIERTSWLPHLSKLTIHDCPHLHVHNPLPPSTITLELSIVNVSTLPTLKGSSNGTLTIQPPNDDNDAPDKLIALDDNVMSFHNLRFLTGLEIYGFHNPTSISFHGLRQLICLKTLEIYNCLELLSSNVLSERTSEYVSGANRSALPSLERLHIKNCGITGKWLSLMLQHVQALQELSLEGCQQITGLSIGEEENSQPNLMSAIEDPSLGYTDQDKLLRLPLNLISSLKTVSITRCDDLTFYGSNKDFVRFTSLEKLVIWRCPELLYHLENDGDVEQLNGRCFLPLSLGELEIGYDDSLTTLQPCFPGNHTRLKKLEVRFNQRLTSLQLHSCTALQELIIESCESLDLLEGLQSLSNLRLLQAHRCLSGHGEDGRCILPQSLEELFISEYSLDTLQPCFSGNLTHLKKLQVKGNSSLVSLQLHSCTTLQELIIRSCESLNSLEGLQWLGNLRLLRAHRCLSGYGEYGRCTLPQSLEELYISEYSRETLQPYFEMNLSCLKKLEVSGTANFQSLDLQSCTALEHLKIDGSSSLATLEGLQFLHALRHMEVFGCPILPLCLGSSSEQGYELCPRLERLEIDDPSILTTSFCKHFTSLQRLELNYCRSEVARLTDEQERALLLLTSPQELRFGSCYNLVDLPAGLHSLPSLKRLEIWWCRSIARLPEMGLPPSLEELEICYCSKELDQQCRTLASKLKVKIDKRYVN >KN539497.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539497.1:71871:78342:-1 gene:KN539497.1_FG011 transcript:KN539497.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGSVIDASIGWLVESILRSFFTGQMEVWTCEVGLTEAVEKLKFEVMNVEMLLAAAGHAASEGIKIENKTLTQSLDDLRDLLYDADDVMDELDYYWLQEQIEKGDGFSDNIGKNPEASYESSPTPFSPYQLVCSARSQITSWASSDRKRKREEEETTDSTRLPLEIKHAISERITRIANNLQKIGNSVLKFLKLEISVISLRSNQGQSVARNTRLTTSVPIEPKVYGRDAERDRIIELIINEGSSDLRVLPIVGIGGIGKTTLARFVYRDQRIIDHFDLQIWVCVSTNFNEVRITQEILEHGILLKNIRDKRFLLILDDMWEDKDRSGWDNLLAPLKFSQAAGCVVLATTRRNSVAQMIGTVNALQIVGLGEEEFWLFFKACAFGNENYEGHSSLQSIGKQIVKALKGCPLAARSVGALLNRDLSYEHWRTVQDKWKSLQVNDDDIIPILKLSYDYLPFHLQRCFSYCSLFPEDYQFHGDTLVQAWISQSFVQREDTSKRMEETGMQYLDSLVYFGFFQKVDSHYVMHDLMHELAQQVSHKECDTIEGFHSNTIRPGIRHLSIIITGHDEYEYANIPFEKCEEILKTISPLQKLRSLMVFGSGGTYLLKFLQVVCEEAKCLRLLSVAVPSSYTSFIYNLTKTPHLRYLKIVEVHGSKEHFDFPQALTTFYHLQVLDFGIYKKIYVPTGVSNLVNLRHLIANDKVHHAIACVGNMTSLQELKFKVQNVGSFEIRQLQSMNELVTLEISHLENVKTKDEANGARLTDKKYLKELSLSWNGDSMNLEPERTKDVLEGFQPHHNLESLHIAGYSGPSSPMWLSRNLSLRSLRSLHLENCKEWLTLKSLEMLPIRKLKLVKMFNLVEVSIPSLEELILIEMPKLEKCFGAYGIELTSHLRELMIKDCPQLNEFTPFQSYSSFEAEQKSWFPSLNKLTIACSPQISKWEILPLSEMQALKELELIDQHAVRELLVPSLEKLVLIKMPSLESCTGLTASPPLQICTSQVEQKELLSCLRELIVHDCPCLVVSNPLPPSAMLSHFSIKEIPSIPTMEKTHAFTIKSGELIMLDDKILAFHNLRGFNQLIDLEELNITDCPNLIMTSGLVLPSLRSLSVQTCGISGSWLTEMLSRVWSFEHLELHDSPQINFLLFSRPIEMEDTSSLGSATMPLSRDDKLFKIPSNIIPSLRYLEISDCPDLEFDGEEGALRGYTSLQHLLIQRCPKLVPLLVSGRVDVGILPPSLLRLKIDMSPALSTAWDLKLQEHGQIPLPPPSLVELDISNLTDKDQSRLLSWLPTITSLIIRECPELTTLQLGYSKALRQLEIVDCELLASVEGFGSLTDLLLLTVHNSPNLPQCFKLLSQQQGASTILSRLVFLNALLRTSGGTTVPSRGLLKAQAVLRVSQHSEHPDFCIPREYGPPLQNLFRCKTCEPVKARPCSSNSGQIKTPNGVGVLNSEPPQW >KN539611.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539611.1:41998:43554:-1 gene:KN539611.1_FG008 transcript:KN539611.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHFSSLSLLILLLGFSSNLAAGQVLFQGFNWESWKENGGWYNMLMGKVDDIAAAGITHVWLPPPSQSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRDDPYGDGTGNPDTGADFAAAPDIDHLNKRVQRELIGWLDWLKMDIGFDANATAFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFIDNHDTGSTQHMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGHKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKVGPRYDVEHLIPEGFQLVAHGDGYAVWEKI >KN539497.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539497.1:17127:22368:-1 gene:KN539497.1_FG012 transcript:KN539497.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGLLEGGIGWLAETILENLDADKLDEWIRQVGLADDTKKLRSEIERADGVVADVKGRAIGNRSLARSLGRLREVLYDADDAVDELDYYRLQQQVQGDEWQGAPTSADEQGAEQADRPNSNDGIASGSRGKKRSEAWAHFKEENGKPGKARCIHCHTVVKCSSDKGTSVLHNHLKSSTCNKKRQASDQQPNPSSSTTEATANGMPVELGGSGSRKRIRINGESSTQNNASDTRQWSKAEFSTRIQQIILELQGALGAVSEILKHHGPDSVVCSNHRLITTVNLCRRTSSLNPHKMFGRDAAKNAIMKIITDDSYDGVTVVPIVGIAGVGKTALAQLVYNDPIVKCDFELIWVWVSDNYDELRLTMEILEFVSHEESTQIKESHKGVSSFAKLQEILNGYMDIKSKKFLLVLDDVWDTMDDYRWNTLLAPLKPSRAKGNMILVTTRSLSLAQRMGTVEPIELDALSTEDFLLYFRTCAFGDVDYEAHPSLNIIGQKIADELHGNPLAAQTAGWLLRKHLSIDHWSNILKNEDWKSLHISRGIMPSLKLSYDQLPYNLQQSFLYCSIFPSNYSFLSEELICIWISQGFVHCNASSKRLEEIGRDYLTDLVNSGFFQQVFRRDFFQQVFRRDFFQQVERTEYIMCGLMHDFAKLVSRTEYATIDNVQSNQILPTIRHLSILTDSEHYEGPSNDKLEERIRNAVKAVESQQHLRTLVLIGQHGSLFFQSFKDIVRKVHHLRLLQISATCTDVDPLISNVVNPTHIRYIKLHSKALPLSLSKFYHLQVLDAGSVSDLVLPNGMDNLVSLRHLVAAERACSSIVNIGKMTSLQELCDFNVQSSSGLEMAQLQSMYELVKLGVSGLKNIRTQGELYVASLKDKQHLEELQLFWKDAKDGYFFSKLIKDGYDSESDMRFSFFDSDTRSENDSDSLEHLPDIACEVLDGLEPHHNLKHLRIFEYNGSTSPTWLASSLTCLQTLSLENCGRWQILPLERLPLLIKLVLIKMKNATEVSIPSLEELVLIGLPSLNTCSCTSVRNLNSSLKVLKIQNCPALKVFPLFDNCQKFEIERTSSWLPHLTKLTIYNCPLSCVHGSLPPSSIVSKLSIGNVSTLPTVRGSSTGTLRIGLDYDCNDDFDEDSHQLKTLDDKVLLFHNLRFLTGLIIYGCQNLATISLESFRQLVCLKSVELHNCPKFLSSNFPSELNCEYMSGANHSALPSLERLHIECCGITGRWLSLVLQHAQALQELSLDDCKQITGLSLGEEENSQPNLMSAMEDPSLGYPGRDKLLRLPLNLISSLKKISIKWCYDLIFYGSKEDFARFTSLEELVISQCPKLISSWVHTDGNDEQENGRLFLPLSLGELEIADDDCLKTLRPCFPGNPTRLKKLEVWRNQSLTSLQLHSCTSLQVLIIQSCKSLNSLEGLQSLGNLRLLRAERCLIGYGEYGRCILQQSLEELYISEYSQETLQPCFEMNFTCLKKLEVSGTASLKYLELQSCTALEHLKIESCASLATLQGLQFLHALRHLEVFRCPILPPYLGSLSGQGDELCPRLERLKIDDPSILTTSFCKHLTSLQRLELNYCGSEVARLTDEQERALLLLTSPQELRFGSCYNLVDLPAGLHSLPSLKRLEIERCLFITRLPVKGLPPSLEELDIGYCSKELAQQCIILASKLKVQIDGRYVN >KN539199.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539199.1:62679:78173:1 gene:KN539199.1_FG001 transcript:KN539199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activator subunit 4 [Source:Projected from Arabidopsis thaliana (AT3G13330) UniProtKB/Swiss-Prot;Acc:F4JC97] MASLLSTTGHFIFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSICKTHIFVLKNIKFRFYHPSNGGRWTYSLERFLRYLVLYFERRLQHEQFEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRLFSVLQNLESSSPINEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPITGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYTQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIIFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGSILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVTLIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEASSKRFPFNHPF >KN539199.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539199.1:36817:40353:-1 gene:KN539199.1_FG002 transcript:KN539199.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSFDDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEVRMQFDS >KN539199.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539199.1:80312:91177:-1 gene:KN539199.1_FG003 transcript:KN539199.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFLAKLVMVVGVGEGRKLLSAKKMTMMVPDRTADVMAYWKTVHPNSPIPSAILNLLTQPSGNQKKNLLLTSGSGAKGADEKSSILKLNPKLDNQAKKKFSPYNYNNPADGYDRVYYDGDSDKHMLFEYEALKIKMKNLDMYWYGGTNQINKKPELDLANKKLSRHNNNNPSHGHDHILLDKMKLLRYIYGNPADRHVRVDYDGHNDNHMVFNTESMKLKKEFSYLYQFSAVKGIDQKPELNLVKKKSSRYVYGNPANDHLVHYDGRNDKYMVLNHKAKKLKKKNSDLYQHSEANGIDKESKLNMAKKKLSRYIYGNLADGHHHVRLVTKKFPRYIYDNPAVSRHVYYDGHNDKYMVLNYEAMKLKKKTSGLYQHSEVNGIDKKPKLKLAKNKFSRSIYGNLAHGHDHVHLAKKKFSHYYTFGNPEDGHEHVNHHGGYDNHIVFNKQAVKLRKGDSNWYYYSGLKEINKRHKPDLVNKKFARYIFSNPENERPFL >KN539199.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539199.1:131455:131628:1 gene:KN539199.1_FG004 transcript:KN539199.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVCHLDTSRWRADNPFFVAHGVKPGEVSVCHFLTKLSIVWIPRHEQGGPRAAA >KN539199.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539199.1:14258:21947:1 gene:KN539199.1_FG005 transcript:KN539199.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPPLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILFVGVANCVLKIDTTKVGRGMDFSREEPLKCPLDKLVDGVHLVGKHDADITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAVYSVSFLTAPEHPQHINLITAGPLNREVKIWASTNDEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADNPGFGRDPAISHVYERPPAEVTVVESSKETSLIDSSVVGPTKPASNNQALGNTFETSHPNASINYGTVKFVINISPALFAYAEANVPSQVQSTTPPSSIDLGYLEEGALRRGPSRGPSLGDRDIDPSSLDYSSKKRMDSDGASGQGSFGRKDSFGKEEPRGSQGDGTKTSDPRPMFKVGGNATHLITPSEIISGVLSSPETIATGSSQNVEVDAKHVDGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSTLLKHPSGAGDENTVSEAPEKTSDGYASRNLQLTLATKEEKVLHPQVSGQLSPSTSTYNSADSSHEPPSNVNPPIDNVPQVGIQETLQQLMAMHSDLQKQLSTIVSAPIAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQHITTLITTAVNKDIPVMLEKSLKKEISSVGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSLSTKLEATVARQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIRQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGGSLSHNTSVLQPSNGPVASLPEVDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPASSSSEATNIRLIMHVINSVLLTYK >KN539199.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539199.1:117283:121630:1 gene:KN539199.1_FG006 transcript:KN539199.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAMEYWQKIFPETPMPPAILDLLTPLPTEKLKEVSVAYGKQGEGEAMKQFPVGHSSKNQNEKSMYSTKEGLREVSVSYGSEGEVVTRKAFPIERYVLDKEPKRNLHTNKAELKEVLVSYGSNDEEKPRKAFLRGGLFLDNEYDKSLHMDKEDLREVSVSYGSNVKLSNLFPTGYAHQKYILTSGAGLKEVSMTYGSDGEEEPRKTFSKVGYVLEKERKKSSDVDEGGLKEVSVSYGSNGEEETSKTTPMGGYMVDMKSRKSLQAEKEELKEVSVSYGSDVKLGNLFPIGHKKYVYTNEDGLKEVSVSYGSNGEEEASKTFPMGGYMLDKEREMSLQGEKVSSSHPLVLAYYLLLVPVEHIY >KN539199.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539199.1:1683:8206:1 gene:KN539199.1_FG007 transcript:KN539199.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQGGAVSGVLNGGEAGRSIKDGPRSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEGQVVSQEDGQLQNSFSGETYSELVIRLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCADPYPAAHVSNLEATVMTENTSAVEVEPERLPEHPAAVPNGNVGGDADAEMADAEAEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDATEKSSDPQT >KN539199.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539199.1:43425:45242:-1 gene:KN539199.1_FG008 transcript:KN539199.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MCMKAEYTSSPVDPNVVAEHTEDENQQPTTVSPTNAEIDIDQEAVPQHKGAIIHDFCLGIPFGGILFSMGLVGYLFWRSTVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAAVAAAVAWKHFQAYTTTKKLLPWAFYTALSAAMICFYSYVLLAGGNPPPKKKAAVAQ >KN539199.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539199.1:46510:46875:1 gene:KN539199.1_FG009 transcript:KN539199.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSFHWNLPAALVGRHCRVVPPDVEDASEVVHLGAEVDAAVGMERADLVQILTNKLAEAADVCGVEELDGDAQLRLLLVLDQVEQQMAGAAGHRPHRCRDVTIAVAVSVPVAVSVFVVVK >KN538774.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538774.1:188862:189540:1 gene:KN538774.1_FG043 transcript:KN538774.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRRCLLLLLAVLLPAMAARGDPDAVQDFCVPDAGRGRPVELAMLPAYPCRSPANLTAGDFAFSGVRAAGNFSPETGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGLNVGDTPATVYGAFNSENPGIVRIPATVFGSGIREAVLERAFGLTPAELRRLEKRFGPPKKAEMED >KN538774.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538774.1:260253:260618:-1 gene:KN538774.1_FG044 transcript:KN538774.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGGGGGEAYKEEDEQKYAGRGGKQGRGARRRRCHAWRRRQALKYHAWRREQIARMVYTVVAVPSALPIRTRCGGFHPASTSGAWYPRHLHKSLSSSISPSLAAFPSLYDLRDSDHHLY >KN538774.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538774.1:191935:196966:-1 gene:KN538774.1_FG046 transcript:KN538774.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKKRDGSANGAEKKASPTPKPPSSSTSGFSKNVPLLVFVLLLGLLYRQLQPPAPKICGTPGGPPVTGPRLQLKDGRHLAYHEYGVPKDQAKHKIIFVHGFDSCRYDALQVSPELAEELGVYLVSFDRPGYGESDPHPGRTEDSIAFDIEGLADGLQLGPKFYLIGYSMGGEIMWSCLKNIPHRLAGVSILGPVGNYWWSGYPSNVSTEAWYVQLPQDQWAVRVAHHAPWLAYWWNTQKLFPASSVISFNPAILSREDLTVIPKFAYRTYAGQVRQQGEHESLHRDMLVGFGKWGWSPLEMENPFPAGEAAVHLWHGAEDLIVPVQLSRHIAQRLPWVRYHELPTAGHLFPITEGMPDLIVRSMLLTDE >KN538774.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538774.1:223108:231485:-1 gene:KN538774.1_FG047 transcript:KN538774.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MSSPRASLLLMVCLLLLLLCSALIAGIALALVDPTLGCLAHKYSLSKYSTFGIFLISAAAPSGTSAGRSRTRGARGRAGGRGACRLPQVFADGSHLGDAEEVRCMHESGDLSKALGVCELASPAAAGKGIALDACSSCGCVRLFALILTTHLTGLAMFCCMPTTLSSGVTLTQLVGGNTALALTMTAISNLLGIMIVPLSLAKYIGVGAGVSLPTEKLFKSLVTTLLIPIILGKVARETSKGIAGFVDGNKQGFSVTSAILLSLVPWIQVSRSRSLLLSVQPKAFAVAVTVGVLLHFALLAFNAAALHILSRLEQRGVSVLARNEYARAVILVASQKTLPVLVAVVEQLGGALGESGLLVIPCVAAHINQEMLLMDKSFCRLLSTLSW >KN538774.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538774.1:272566:273939:1 gene:KN538774.1_FG049 transcript:KN538774.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVLKVGMSCQGCAGAVRRVLTKMEGVETFDIDMEQQKVTVKGNVKPEDVFQTERTLVF >KN538774.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538774.1:212019:213702:1 gene:KN538774.1_FG050 transcript:KN538774.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDIFNPTVKMMATYGANKQVFNGHEFFPSAVAGKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPRPNIGIHRFILVLFRQKRRQAESPSIPPAYMPTYYSTAVLRFNSLVLAVYFV >KN538774.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538774.1:137230:140148:-1 gene:KN538774.1_FG052 transcript:KN538774.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGRKVSVAAVQFACSDVESENVDTAERLIREAHKKGANIVLVQELFEGQYFCQAQRLDFFQRAKPYKGNPTIIRFQKLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGESTITFFGNSFIAGPTGEIVKLANDKDEDVLVAEFDLDEIKSTRHGWGIFRDRRPDLYK >KN538774.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538774.1:236438:241037:1 gene:KN538774.1_FG053 transcript:KN538774.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MRETPKSSSVLADRTWKKLRLSSGFLYNAMTTSTCFLFIFTHSAIHCEFKAANLNTAHVDVLFSTVHETRSRTLAASQEEGKAAPKKQKTESKEQEGGQQAPSKNKKTADNEEHDGEQEPSKNKKLKAEESDLNGKATAVKEFSEFCKAIREHLTIEDMRKILQANEQDASGSEDAVVPRCEDVMFYGPLDKCPVCGGQLECKGLKYKCTGTHSEWACCSFSTNNPSRRGGPIKVPDDVKNDFVRKWLKQHEGNKYPKRNLDEEGIFSGMMIALSGRMSCSHGYFKEQIMKHGGKVNNSVIGVTCVVASPAERHQGGSGGFAEALERGTPVVSENWIIDSIQKKEKQPLAAYDIASDVVPEGRGLPLGKLDPTEEAIETLAAELKLAGKRAVHKDSKLEKDGGHIYEKNGIIYNCAFSVCDLGGDINQEWVAVKCIRIQQLKTVLCRLCIMQLIMVPENHLHLYYKKGPIGHDQMAEERVEDFGSRVNDAIKEFVRLFEEVTGNEFEPWEREKKFKKKCIKMYPLDMIIIGKCSISPSVLRNYLPWFLGRNQSLLLELLVTGEETLLEWKQDVESAPESGPAADAFWMEISNKWFTLFPTTRPYTMKGYEQIADNVASGLETVRDINVASRLIGDVFSSTLDDPLSQCYKKLGCSINRVAKDSEDYKMILKYLEKTYEPVKVGDVVYSATVERIYAVESSALPSYDEIKKLPNKVLLWCGTRSSNLLRHLRDGFVPAVCHIPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGKEIQEITGTPGSEDVKRMEEKKMGVKGVGRKTTDPSEHFTWRDGVTVPCGKLVPSTNKDGPLEYNEYAVYDPKQVSIAFLVGVKYEEQNMEVVPDE >KN540231.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540231.1:32:572:-1 gene:KN540231.1_FG001 transcript:KN540231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLKGLAGGDEERERTMAHLHEACKDWGFFWVENHGVEALMEEVKSFVHRHYDEHLEKKFDASDLAKNLHLNKDDGD >KN540231.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540231.1:53867:54379:-1 gene:KN540231.1_FG002 transcript:KN540231.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTVAMEAVAHVGENGQIRLPYGHIQSPLGQIRGVGWLRRGSGSGEATPALAAERLLVSGEMAARRWYGGVGGDRRGRQWAWRCGDFGTCPRGGRRAGWTVIARTLSSWRWQLSMLARSLMEGLLHSCRQPPNLPVELLTSFCKELHWEVGGGILTSGIVLGCQGIAT >KN540231.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540231.1:49757:51569:1 gene:KN540231.1_FG003 transcript:KN540231.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVTTDRRQKEAELKLLEEELARRVEESIRKNVEDSLNSEDIKNEIKRRVEEGIKQLFDEVDAQLQKEKETALREARHKAEQERREREELDRMLEENRRKVEEAQRKEALEQQQKELERFLELERIQKQREDAMRRKKIEEEEDRANQMKLLGKNNR >AMDW01019010.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019010.1:60:209:1 gene:AMDW01019010.1_FG001 transcript:AMDW01019010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RFIGKLEDGTVFAKKGHDGDEPCEFRTDEEQVIEGLDRTVVTMKKGEVAL >KN542747.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542747.1:7618:9349:1 gene:KN542747.1_FG001 transcript:KN542747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRISADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVILPHKEIKSSILKPEIVM >AMDW01040367.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040367.1:568:1367:-1 gene:AMDW01040367.1_FG001 transcript:AMDW01040367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SASQVRCGQFAAGFFDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQVR >AMDW01025342.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025342.1:40:321:-1 gene:AMDW01025342.1_FG001 transcript:AMDW01025342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHRTLGVPPSAGDLRHCPTSCGDVDITYPFGIGTGCFRPGFELTCNTTTKPHKLFFGNTTEILGQDADVGYVMASVVFNIATTPGLLGTYN >AMDW01040217.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040217.1:358:1110:-1 gene:AMDW01040217.1_FG001 transcript:AMDW01040217.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVM >AMDW01037104.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037104.1:41:464:1 gene:AMDW01037104.1_FG001 transcript:AMDW01037104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKTFVKKTRAGRVQKVVREHYLRDDISCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQLCWMR >KN544065.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544065.1:2036:3841:1 gene:KN544065.1_FG001 transcript:KN544065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDRRPRPSLVARVQIVARLDAATTVRCATAGKSIRRAILDPSFRRIRLALRGAANSAGFDPTLFFAVSYKLARLDDPPVLVVEDPQSAAGGAAAPFAVSGKFLRGIQLCICNILTGDTTRLLMSDVVDEYPPALLATGGAGRSYELLVMNKRLQTQTFSSEDGKWGAIRAMEELPHPISSPLYAHRPLVVSRRNAVYWLCPERLGGWTLLPSSSSSSSEGSSAARWSRQVVISRLTIDRQAGHDMFMGVVCFHGLGLVSGAVLMQVRLLDTVYIAVLNLASKQCLILRRWDKMSKPSELCLHEIDLASVLQSMRRF >KN539629.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539629.1:66453:67989:-1 gene:KN539629.1_FG001 transcript:KN539629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARSLRRILPQPPTGGASKSKSIAHESEEMIIRTRRRKAEAVLVLHDPQDWRDWANLLAEMVGEIAGRLLAVDVAEYIRFRAVCGPWRERTADPRATNLISLLDPLTNTITDFPAIFHIAAAAAMPSSLSAMCRDRRFNLRIFNGAGFDNTTSPPTLVLCLRDTVRSIIVAKPGDSHWTLVNPGEASYREYDSRGQLLFHSVLSWRGRCYVASPEGSVYVLELRPLPRLVEIIDQRHMCPPDTHHLNRVLSFLVDGGGGGGTTAMLMVRYWIDIKHFGGAEAYDPAELFTTVGGFTGRLEVLELDDIAKPKSERSLLQSSPTSKFSVYTIDDRRIEPPHQFCLDEEWRLHPCARPCNLDQYLVCYVDRLHSWSGDCINNKLPHP >KN539629.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539629.1:165:527:-1 gene:KN539629.1_FG002 transcript:KN539629.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPAEYDSDDEIIATSRSTSIRQPLIHSQNVPATGVPVATLEQRTSRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >KN539629.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539629.1:30938:36029:1 gene:KN539629.1_FG003 transcript:KN539629.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKGANSFKLAKNWSRAAQVYIKIANCHLKGDSKHEAASAFVEAANCYKKFSPQEAAQALNQAVDLFLEIGRLNMAARYCKDIGEIYHQEQDLEKASDYLEKSADLFDSEGQSSQSNSIKQKVAEIAAQLEQYQKANEIFEAIARQQINNNLLKYSVRGILLNAGICQLCRGDVVAITNSMERYQDIDPTFSGTREYKLLSDLAAAMEEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >KN539629.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539629.1:11611:12597:1 gene:KN539629.1_FG004 transcript:KN539629.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLHNDIVDNLSAELRERLDALGATAPRYVCTKSLKRSDVDLNQNRLLISCKQGEVFNCPITHLFTGEETIIVNKNPDETKETKKKKKKKKKRRRNNDDDEEEEPGLKVKKKKKNKNEEKERPGLKVTMLDHGGDTYATTCRYLTSNGGYRFIGEWGKFLRNNGLAVCVNKGEEWTRSVHVKLLEFRSRRLPQADKSGHPDGALGFVVLHGDDSDSDDDPCKGKAPPPANAKKNKNKKKKKKSNSKEHVNATSSSAASASEAAERVTRSVEQEADDEDERIEGAVNGMLKLHDEGSQGEQQAPLASKEEAGSKPSSDEEEKAEAKC >KN539629.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539629.1:77477:79259:1 gene:KN539629.1_FG005 transcript:KN539629.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEPEPDADGLTDYERRPPPRRSTRITPSLASAILDATSPPAPEAKVRDEGFDARTELVLRPSNVRRLVSADRIPSLRILPLVDRTVVAAGSNVGHVGFWVVDDDGDDAHRVFEYMPHLSSVGAIVAHAAKPHKIYSCSHGGEICLMDLERENFNITRLSEFPILSLCQAPNSPSCLYFGEETDVKHFDDRIGKVSTSWNAHASRINSIDFHPENTYMLATSSRDGTACMVGFENHEKEGSGELGGS >KN539629.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539629.1:5153:7045:-1 gene:KN539629.1_FG006 transcript:KN539629.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNFILTVAGLAMVIPVDKTENFDVMYDFLKENWEIARWVALGSVIFE >AMDW01039279.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039279.1:27:719:1 gene:AMDW01039279.1_FG001 transcript:AMDW01039279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQVDPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASHQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLA >KN539629.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539629.1:37287:40757:-1 gene:KN539629.1_FG007 transcript:KN539629.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDAALTDYERLREENIRRNDAILASLRRKASELSAAIQSSSSSKRPKKQPPPPRATPIPVVLRRSLRTRGLPPSPSTSSSSAASPPAPESPPEAPRSTRLSSSLASAILAAAAASPAAPPPVRDDGFDAGAELVLRPSHLGNIGFWDVDGGAVAGADGVFEYLPHRGPVGAIVSHPATPQKIYSCCYEGEICLMDLEKENFNMIYLTDYPIFSLCQAPNSPSSLYLAEGNDLKLFDERMGKVSATWNLHDNRINSIDFHPENTYMLATSSTDGTACMWDLRNMKEKEPESLKVLEHGRSVQSAYFSPSGRMVATTSLDDTVRIFSVDDFGNSSIMKHNNKTGRWLSTFKAIWGWNDTDLFIGSMARAIDIILVDLKDSSLLAMNNARLESEHMTAIPGRFSAHPYKVGHLACASSGGKVFLWTRA >KN543923.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543923.1:41:925:-1 gene:KN543923.1_FG001 transcript:KN543923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRVVVVVSGVLVLLLAISICGRAAACAEAERAALLSFLAEASPPAGDGIVADWRGSPDCCRWDGVGCGGAGDGGGAVTRLSLPGRGFNGTISPSIGNLTALAHLNLSSNSLTGQFPEVLFSLPNVTVVDVSYNCLSGELPSVATGAAARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLASLNASNNSFHGSIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSRLRVLSAGRNNLTGELPGELFDVKLLQHLQLPSNQIEGRLDQESLAKLTNLITLDLSYNLFTGELP >AMDW01033012.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033012.1:89:425:1 gene:AMDW01033012.1_FG001 transcript:AMDW01033012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYAR >KN543923.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543923.1:2310:3864:-1 gene:KN543923.1_FG002 transcript:KN543923.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVELRVMLLLLLTFASPTSSFTEQEQSSLDFVAQLSPEHNGSLNMSWALGTDCCSWEGINCRGENTVRDISLPSRGLAGSISPSLSNLAKLLHLNLSHNSLSGGLPTDSLLFSSIAVLDVSFNHLDGPLQELQSSNPSLSLPLQVMNISSNSFHRTISISSMGMMTNLVALNASNNSFTGQIPASICISTPSFAVLDLWSFGATNSDAVFPQNGKIPNSIGQLNKKNSIGQLRRLEELHLDNNNMMLKACKNLTYLLAGTNFKGEIIPQDETIHGFENLQAYRRYPI >AMDW01015313.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015313.1:99:257:-1 gene:AMDW01015313.1_FG001 transcript:AMDW01015313.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDL >AMDW01071107.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071107.1:211:442:1 gene:AMDW01071107.1_FG001 transcript:AMDW01071107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >AMDW01038082.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038082.1:7:723:-1 gene:AMDW01038082.1_FG001 transcript:AMDW01038082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEEDDDDHHHRGDGDDDDGEHAMEHGDCVVRAPRALEVGESSGKGHGKPKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGH >AMDW01028766.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028766.1:40:378:1 gene:AMDW01028766.1_FG001 transcript:AMDW01028766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRLVKPELSGGCYCGNGFVLACAESTAGQLAASAPDAARLVQETKQRVDDDYVRSVIDLLEVRRGCLPDLAATFTISSLTRQGLEDIDFGAGTPVHFGPLTSEVYCLFLP >KN547659.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547659.1:221:355:-1 gene:KN547659.1_FG001 transcript:KN547659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDADNEVVAHDYALSVELARFRLVRMPSSAETPLEERERMKK >KN539609.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539609.1:15138:18825:1 gene:KN539609.1_FG001 transcript:KN539609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKACLVVALLLLVAIFSPSEATSTSLRKRQVRSLLKRLNKPPLATFQSPDGDIIDCVHISKQPAFDHPLLKDHTIQVLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLKDHTIQMQPSSQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSLTTFGKKTHNRSSHPHSHLAGVTSGHYVYLSQLWISAGSYDNKDLNTIETGWQVYPAMYGDDKTRLFIYWTRDAYNETGCYNLACSGFIQTNPQFVIGGSISPVSTYGDTQYEYDYLVWKDPAEGNWWLQVQGNNVGYWPSSIFTLLQTGVADSVEWGGEVNSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNNLKPPNGVGLIAPLPSCYNVMTGSSSTTSWGTYIYYGGPGCPQNSQIEVM >KN539609.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539609.1:64478:64924:-1 gene:KN539609.1_FG002 transcript:KN539609.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKIGFSHSSPLSLRLYDSQRRQSLSSQSPSPNARQCNQSCRPEMPDTSASSLHPNFPFPPSGQIQPLQISFVGGPATSMTKRTSSALQMRRMGSGDLGDGRLIWGCRLYFLASSLFFPRLGSPPNGVVASSLLPGPLDPSRMKEGW >KN539609.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539609.1:46358:46558:-1 gene:KN539609.1_FG003 transcript:KN539609.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCISVVIHKGLLSEATTAVDHIVAMFELQLLEKKVYAQFIMQLQLHEAIQDDLSAYKKRNIADR >KN539609.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539609.1:29021:29281:-1 gene:KN539609.1_FG004 transcript:KN539609.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMGGAIWMRWCQSHELHVAPLAAGAQMSDTPATRCCADGRHSKQEFDYVMV >KN544055.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544055.1:176:865:1 gene:KN544055.1_FG001 transcript:KN544055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFDPFFFPTKVSRVVRGGSMAWVDFRNSILLFDSVPGDSPEVSLIRLPPLMPINNVDSGSPDGPCVDHVRDVTCRNDGWFKFIEMGFPHLDPNDARLNRGWEATMFKRRIISDDDSDSCVASCLFPEIFDYEERKLALNNVLSSFPTLDLYRDDVVYMMIKIKDDDPDGWIIAVNTESKRLEGISPFSQESYHLHRIYQQCDFSTST >KN540300.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540300.1:10547:16695:1 gene:KN540300.1_FG001 transcript:KN540300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAVTLFGRGGGVATASGIRRRQMECRCIRPQKVGDGSVSTNRRRSRRKRAVRFPVSTSEAGVEEALVTWEGRARSGWGGGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAEVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDFVAHSNGTVYRH >KN540300.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540300.1:30968:36354:-1 gene:KN540300.1_FG002 transcript:KN540300.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTKYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIYIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVYTIFVELQQKTSESAARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKLGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAAYADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSACLFFLSCRNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSMIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >KN540667.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540667.1:3185:7679:1 gene:KN540667.1_FG001 transcript:KN540667.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVVVELMRANRIGRVKLFDADQAALRALMGSGLQVMVGITNEMLQGIAASPAAADAWVARNVSRYVGPGGADIRTHSSWLHLHDYIFVETISFVVVPNLNRYIAVGNEPFLTSYQGQFQSYVLPAMTNIQQSLVKANLARYIKLVVPCNADAYQSASVPSQGVFRPDLIQIITQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFDGSSHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFTQGLISHVLSNKGTPLRPGVPPMDVYLFSLLDEEQKSTLPGNFERHWGVFSFDGQAKYPLNLGLGSPVLKNAKDVPYLPPRWCVANPGRDFNNVENHLKLACTMADCTTLYYGGSCNAIGEKGNISYAFNSYYQLRKQDAQSCDFDGLGMITYLDPSIGDCRFLVGIDDSRTSTIYSSGDCSRRRFGLWILAFLVIGFLQIGVYL >AMDW01038022.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038022.1:34:516:1 gene:AMDW01038022.1_FG001 transcript:AMDW01038022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDASYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGISSQEITEQMAPLRVFQNGASLFQGYRLLLHLSFRLVL >KN541586.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541586.1:13704:19340:1 gene:KN541586.1_FG001 transcript:KN541586.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILMAFKAQGARLLEASLDDREGLVAAIRQVDVVVSAMSGVHLRSHNLMLQLKIVEAIKQAGNVKRFLPSEFGADPARLGDALEPGRVTFDEKMAIRRAIEEANIPHTYVSSNCFAAYFCPNLCQLKSLLPPKERVDVYGNGNVKAFFVDEDDIGTYTIRSIDDPRTLNKTIYIRPQDNCLTQNELIAKWEELTGKSLEKVHIPGVEFLAAMKDGVESIGQC >KN540667.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540667.1:22236:26321:1 gene:KN540667.1_FG002 transcript:KN540667.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLFLAAALLCLASSAQAFKKAKHKTTQNSFIYSIISDEFLVSFRFSGTYWPYIVYLGSHPYGPDASAEEHARATQSHHDLLGSVLGSKQLAKDAILYSYTKNINGFAAHLEEEVATQIARHPDVVTVMASTMLKLHTTRSWDFMDMERDGQILPDSIWKHGRFGQDVIIANLDSGVWPESNSFTDEEVVGEVPKRWKGSCSDTAKYGVSCNNGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPVATVASFLQEPVTLGSLHAAMSGVSVVCSAGNSGPLEDTVVNAAPWVTTVAASTVDRDFPNVVTLGNNAHMMGMSLETTTLHSTQLYSMIKASDAALASSDPAVASTCPPGTLDPEKVKNKIVVCVRGGDIPRVTKGMTVLNAGGTGMILANGEMDGDDIVADPHVLPATMITYSEAMSLYKYMDSSKNPVANISPSKTEVGVKNSPSVAAFSSRGPSGTLPCVLKPDIAAPGVDILAAFTEYVSPTEVPNDERRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREATAFAFGAGNIHPNRAVDPGLVYDLSKEDYFVFLCSMGFNSSDLAKLSAGNFTCPEKVPPMEDLNYPSIVVPALRHTSTPAALEFGKDGEVKEFKVTFKSEKDKLGKGYVFGRLVWSDGTHHVRSPVVVNALD >KN540667.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540667.1:16190:19693:1 gene:KN540667.1_FG003 transcript:KN540667.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSLPASAGAGENLVLILDFGSQYTHLITRRVRQLGEYGKMEVEVTARSSALYGEGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAVENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIRTIQSMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMLTFESDLHLPVTCVDASEQFLSKLKGVKDPEMKRKIIGREFIAVFDDFAHKLEQKIGKRPGYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFVQAIKDAGLYDIIWQAFAVFLPVQTVGVQGDQRTHSNAVALRAITSEDGMTADWYYFEREFLVDVVNKICNNVRGVNRVVQDITQKPPATVEWE >KN540667.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540667.1:9928:13906:1 gene:KN540667.1_FG004 transcript:KN540667.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVKRKLPSQEPTLSASPSSVSPLGTGKLVEASADLESSEDSTQSYLSDAASRATAQEDVELVARALSRVAAKSPEAICQFVRRLTPAKVARSLDWDLLESDRLRKMAGGGHCHWSEDELLAFLQSCLEEIAARNITSSCPKTQGYANLQAKMLAKVGKHVTKAQVKNFWGSCRRRFVTWTWLESMATGLGRNPYTGSIDASPEWWEAMEAQRRGARSFMHAPLRFIPEHHAVFRGRTAVGNLSSVPGAEPEQPQVPTNATIDVEELVDLPATPPSPEQQPMPPRRRGKRVATPGTNSRGSKKTRSDSTGEALQRLADLRVKSCESKAQKQREREAMGARACIELLKGDGHLFSSDVYHMGIYLFSDPYFCEFFLADAITPEMREYYIRAHYAMKCPGRGFFPPPSCSRGWCPGGGGRMSTDSENNSGSEDGYTTEEDEAIVELVAAAVRRNGPRRAPRRVPRQSGMEWVMETMANPEQCHNMFRMRSDQIHALYNLLVSSYHLTGTYEGGYSMRSVNNRMVRCNATVNRYFHRVLNAVNEMAADIIKPVNPNSFPQHYRLQQEPVFEPFRDAVGAVNGTHIPVMVRRTSSIVHRNRHNETSRNVLTVIGWDERVMFVDVGWPGSVHNQRVLSEAVRCYPLAFPRLPWG >KN538734.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538734.1:247263:249798:1 gene:KN538734.1_FG001 transcript:KN538734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPADVTATGAVVRDNNNNAGGGEAEVYRSELTPLQKHVAFFDRNKDGIIYPSETYQGFRAIGAGVVLSAVGAVFINGGLGPKTIPENTKTGLKLPIYVKNIHKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTDKELKELLQSNREPKDFKGWLGGFTEWKVLYYLCKDKDGFLHKDTVRAVYDGSLFAKMEQEKQSAKKK >KN538734.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538734.1:310411:310851:1 gene:KN538734.1_FG002 transcript:KN538734.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADLFFGGPFRRILYGRPFPPDWASASATAAMDWVETPTSHVLRINVPGLGKDDVKVQVEDGNVLTVRGAAPHAAAEKEREREKEVVWHVAERGRPEFAREVALPAEVRVEQIRASVDNGVLTVVVPKEPAPARPRTRPIAVSSKL >KN538734.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538734.1:198687:220562:-1 gene:KN538734.1_FG003 transcript:KN538734.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGDSSGAMPKDKEIIGSQPPMVGTDASQSSAHAGLWNFPSGSADMARHSASISGRVPAGPNRSDVAGADIHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKAAGDLHSEDNSKSDAMSTGQNIRKGKQPGKAGTQGQLSRTVEHDPSHTLQVGNAQVPPLPSGAPFFRAHQEGPSASSARTIDKTKSSNPFTMAQISNFAEGLASGNIPAELQKSILGGANLLNASFGWNQNAQGPVMKNTQGSIPNLMRPGVNVEGKVNLGSQGTFNSMSASQMDYPTVPPYVSSSFGGGPQYLDKGKDLTSGNTGSELNSSKAGAQLGIMHMHFDTQMRYNFQGSPMQERHGIVRAPQRAGSSQMSQTSPSIPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGVGPPASEGGSAGQRGSESRMADGSGKENGNSQENPAIFGRQSDISRLQSTSTGSVADVDSASKDPEIVKKKIKIAEHEKSFEAENIQQTVPVQGTDSEMHSQETISPMPSGQLHYFQGDTRKTTPEIYKADAENLNRNLGWVGGQGPSPLGGNRHPSMEVGLLAKDEVSKEPFAVLRPHHMPVDGSNHNLSGKDQTPETAGNEIDNGSHMGEMIFERSADEGDEDLSEQDDLPLSPPKYTMTDKWILDHQKRRYEENKRKALELQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLQDFFKPNTTDLDRIKSIKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDSFKVKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGSKSMDGRVSYASDSTANDIEDESYQPQHYLESNEKYYQLAHSVKEVVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEVENELPEKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKIEGYLPRHYLPSILRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGQERGALIDKFNNPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETNLKTFLCCFMESSSRSLCLVEQYNAVNPQKSLFQLTAVFGSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDIFESIDKQRREEEMATWLTVVQNSSTSGLDPSVMPSRLVTDDDLKSFCHAMKIYESSNIKSVKVVRRKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGISRDADVPKVVKLEVPPESSKEPEQAKKEPASSVGDSPPAKRRRGRPRRSDASLSPVTAPPNTGKQEAGTIIDGSSSAPTTTIHSVAPDVTIDSTALSATGNPDVGTEIKGTTPDGTIKPVICTDNKGTTSIAVLEGSNAKEVGIPAHSVHEPVSSSAPHPPTPVTSRGRKTQAGETPRRRGRKPKSLAASAGDVILSPVVAVGSGEAYASSVVSSYPQGNVSSSHANATAGLQKDTIISKPAALLPEGVKGTPTPSGGDKDEMVKTPLAGDIYAGTVTTSGNANSQLPMIAHNENAGLVQGGTDQNLSVATPTIPVVSEGSTKISEVVVADKPAEKQGARRRRKKTSGTGSEDTGVSTRQRAASRRLYGTGTADIAGTDMSTGEKIGIVKEIDGSCQDTSKGLPNIISPSYEKSGYDSQPSTPIAVPINEATLPSGFSEAHATHSEIHPARESISSVGHEKLAGAHLEAPPSVSFQAPVQHETGKDYVGVHSEVTTTHPETITTHSSVNSVIDHKLANVQFESHASLHTSGKDITTMPSEVDSGAPSKAPGRRRKGSAREPRTRSNSATAASERRARLAGSKQPDDIKMAEMSGNPSTAVCSSTQQQEDNTLKAAHATGSVGEEQNNAENRVREVSMPAGILEAKLELTKQTDQAGRNSEQEQEMVSAAKSASANDEEHKVHEVHQIIADHNALPSSAQYTLQDKIDSSADVGLVPCDKIASVVIAVDDQDPSNASDKDAPASTEDDGNGLQSECVHVDLVLAKQDNTKVEDTQESNQPAEQGESLEMTGSKFRSETRLEKTEETVDKSGGDNLPTIEKNDDSPIERSSPSADKIAQVADGGEAGTETTTVEAVSAMNSDGLQDVRNALSTHGLSTNDITVASEEHRDPESHLSGEVSMSGGSSELKLESLNQSESACQSGEVTLEDTHATLDIQIPAFIESEEKKSPGGDVHGSEEQHMHEVLHNTVDGSISPSNGEQDKLQVHIDTNTDVDIPSSDKDHSTDIVLAGCQAPCDASGKDKLSTCDASGKDMAAPTDDDLNCLQSEDTVIPVADAKDETMLVKAIQNDDMSMGSSHGLPATIQSTDSDRLAEEGENAEITGSKFSCGMEQEKMEEPLDKSVADNQTSSQINDGSNNMDSQKVDSSLQAADGGDLLVSRGTSVETTTAINTDASDESISGSTQSVKEASTVEIGASNNDIAPACELRKDFESHVSGCVSKPVGLSELRLEELSQTKSVSQSIVASAEETSTRSLAILSPVYSCTSVPFQDTRDRIDGDTDCGVLSCQRNAAFDSENEVSAEINLTGSQAPCDAPNKAAPAPTEDDHNGQESEDTVIGAEQGTVEVEAMQIDGISKSYSSDSHATLQSSDSNQIVNSDSKFESSKKHDKTDETSNESRGDNPTHSCTNDDSHDKNLIGCSPSEDLNEDNSAQLADGDDLVGSKDTTAAHASGLKELTSGNYVASSSSLVVQDVTSISKMESVQAGSEEIHHGYSDETIHSARIKPVVGTEITENASVASAPVITIQPDIETEAGVTASLTVLEGSIAEEVDTQVESGHDLVTSTAPLSPAPLPGENHACTDVSCPVAVEVSETKLESANHTASQLGAACTETPNALLNTLIPALPESEETKLSGSDTDEDAVQGEIGGSADMDSQIIAGSSEAEINNSTVATIADSQIPFDPSDKDTLAEDGNGLQREGTTVDVTGSKEDDMEAEEKQMDDSHLPAALQSTESSQPTEHAAPTQDDGNGLQCEGTTVDVSGSKEDIMEVEEKLIDDISGSPSSHLPVALKSTESNQPAEHAVPAEDDGDGLQSEGTAVDVVDSKKDDMEVEEKQIDISRGSSSFLPGALESAELNQPAEHTASTEDHGNCLQSEGTAVDVPCSKEDNMEAEEQFDDISRGPSSFSPDTLESAELNQAAEHAAPTEDDGNGPQSEGTSVDVAGSKEDNIEVEEKIDDISRGSSSHLPDVLQSTPNQRAEQECLDNSDDVNTSVVSSHAPLSGPKFTCVKELEKADETLETSDAQVADEVCLQTNDDAHNMASGSCSTLEDKNEDSSAQIADCEDLLLRKGTAVDDLDGCVEGHSGLSTHSNDEVGNLVEIVKGMNDTTAGSEVHVDPESHVSDEVSMPVAPSELKVELKNQSEPACQFGAVIVEESNVSLGIQTPALAESEEMTSGGFMHGKEVHASEQMNIGAAAEPASTKDDHDMHEVDKEIVHCTISSPIGDQENLQGNIDGKMDVGLAACQTESDFVSGNDHSKETDLAGSQAPCDASDKEDTAADLIGPKQATLEIEKMQIDGIPEGPSSVPAVLQFTDSNQPAEQERLENSDSKFASTKDQGRVDGTSNLSGGDNAKCSLTNDDSQTVNLVGYSPSEDSNDDDSVQAADSDGVLGNKEGTDDVISAACTDDVSMLKTESIDRHGSDEVDHSTAPSAAIKQESGTEVTCDAYVPVSESCISKEIGTSPECDDDQVATAAPHPPTPLSDATDVSADVQIPAGISEAKLEQPNETTSPSGAATEENNTVVSTQIPTLAESEDRTPAGTAIQGTEVDSAEPASVSDDENRVTAADDSVLPSAGPEDTAVDKIDSSVDASEK >KN538734.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538734.1:265235:273869:1 gene:KN538734.1_FG004 transcript:KN538734.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSSAAAGNPVLALLFLWVLSWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGVVAIGCDFAFARDLAASVHAGLGPKTSPGKIDPVLFPHSNAQKDAPLPHLSIYINNIYRGMHRSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPNDPQSWGAAIKEWGLIYGLASDKNGYFHKDSVRVHDWEIDRTVISASHDFFGLTGLEEDHAAHLFIHVRDALEVEAVEAVPGDALVDLFADGSMLP >KN538734.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538734.1:286659:288434:-1 gene:KN538734.1_FG005 transcript:KN538734.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSELLRPAFGEASPSLGRFVINPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKAASIALVVTDLVVDVFFAIDIALSFFVAYRDTSTGLLITDRRNITMRYLKRPCFALDVASTIPLQIIYQLVTGKRQGLWGLLNLLRLWRLRRVSKLFVRVEKDIRFNYLWTRLIKLLCVTLFALHFAACIYLWMAFNYKIKELTWIGSQIHSFEDRSVWFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGRMNRLPEAMREQMLASVQLRFRTDEQLQQEMLSELPKAVRSGVMKHMFKSAIESCYLFQGVSDSLIVQLVAEMKAEFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIRSRRLTQVVRISHIHLLQAVRPNTADGYIVFSNFIQYLESLKVQTKDVAFVSDHLWNGNSMVLGRATEVAVDESKEAAHKMLPCKEPKRVVIHEQLPNTTSTALHPSPGKLVLLPDTMQELMKLSEKKFGKAVRGILTVEGAEVEDIEVIRDGDHLFFS >KN538734.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538734.1:194701:195207:1 gene:KN538734.1_FG006 transcript:KN538734.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDNTGGRMVVGCIPYRVRGDGGGVEVLVISSQKKGAAAGDVVMFPKGGWELDESVDEAARREALEEAGVLGEIGASLGRWCYRSRRYDATYEGFVFPLRVTDELDRWPEMAARRRSWVSPQQAMDRCPHWWMREALQRFADLFPQSTPLSLL >KN538734.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538734.1:315568:316143:-1 gene:KN538734.1_FG007 transcript:KN538734.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTTANSSGNRGGYKIIYICREPKDMIVSMWHYTRQLMPDVSFAETLESYRDDDGGAKIYGPFWDHILGYWHASTEVPDNVLFLRYEELLRDPAGNVRKMARFVGLPFSEAEEEAGTVEAIVELCSLDRMRGFEANRTGYVDAQRKIPRETLFRKGVVGDWANHMTPEMARRVDDIVADKFSGTGLTFK >KN538734.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538734.1:279715:286332:1 gene:KN538734.1_FG008 transcript:KN538734.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSSAAAGNPVLALLFLWVLGWGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGIVAIGCDYAFARDFAAPVHAGLGPKTSPKDAPLPHLSIYIKNIYKGMHRSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPNDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSSV >KN538734.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538734.1:225917:228844:1 gene:KN538734.1_FG009 transcript:KN538734.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCEGLGLRGATLEKASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPDLISSGNPPKYRNFTMSEFLGAFFKRDLASKALIEHFKI >KN538734.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538734.1:169037:170092:-1 gene:KN538734.1_FG010 transcript:KN538734.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSRQRKASSEANANVFMPGGPNDISFPASNRAHDWGYGGVGEEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPSEQKQKDSSAIIVLDSDDEDGDTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQVLLTLPTLPNERKRRKTEPTTLVDVDGGTNLGKRKRKNHQNQAAVDSNLDLQQND >KN538734.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538734.1:303711:305956:1 gene:KN538734.1_FG011 transcript:KN538734.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPNSIVSFLVFLLFHVLILSHESSVVAEAAAGGDSDQFRYDGFAGAPLDLDGMAVVEPDGKLMLTNVTSQMKGHAFHPAPLSFVAPPPKPNATATASAAAAARSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNMSAALPSQFLGLFNSENNGNASNRDLVSATRGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKVVSLSHDAEQGMRQFVAERYSFLKSWEVANISFDFPINSRLCDFTTSFG >KN538734.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538734.1:320551:328200:1 gene:KN538734.1_FG012 transcript:KN538734.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL1 [Source:Projected from Arabidopsis thaliana (AT1G10170) UniProtKB/Swiss-Prot;Acc:Q9SY59] MTSVPPLPSSKTAANPNPPATIAFHAYATHRARLSRLLLDGCHDRPKMTYSRGLGRLPASTLRGCAFGHGGAIAALMLFDEMPDRASALPLQLDINNHQSPCVLLSKSMWKTGVIVAVFYCFLKQLAEFSDTDQQTVRGQDARNNETEPILPRKRVVFSYGATEEQPESSMLPSEDTCSENVCKICYDAPRSCFFIPCGHGFACFTCARRIAEDKNQACPICRRLIHRWARSPASAADASDPDSSWRCPGCQSVHTVPARELAYTCFCGRRREPPNDLFLTPHSCGEPCSKPLEKADPAMKGDDAAATRCPHVCVLQCHPGPCPPCKAFAPDRLCPCGKQTIVRRCADRTTPVTCGQRCDRLLPCRRHCCEKVCHTGPCGDCNVLISARCFCGKKTETLLCGEMELKGNLSEKDGVFSCSEACSHMLSCGNHACQDICHPGPCGECELMPGKVTACHCGKTRLLEKRASCLDPIPTCDKVCDKKLPCGVHRCKVTCHEGDCPPCVVRVEQRCRCGSSGQMVECYKVLEEEFRCNKPCGRKKNCGRHRCSECCCPLSKPLAQLEGGNWDPHLCQIPCGKKLRCGQHGCQLLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPTPSCPHQCLVPQPCGHPATHQCHFGDCPPCVVPVMRECIGGHVVLRNIPCGSKDIRCNQPCGKNRQCGMHACNRSCHPSPCDPPPANGDASSSTGGRASCGQVCGAPRRECKHTCTAPCHPSSPCPDLRCEFPMTIACSCGRITATVPCGAGGTANGDNMFEVSIIQKLPMPLQPVESDGRRVPLGQRKLSCDEDCAKMERKRVLAEAFDITPPNLDALHFGENSNASDLLSDLFRREPKWVMAIEERCKFLVLGKTRGNSSGNLKVHVFCHMTKDKRDAIRVIADRWKLSVQAAGWEPKRFITIHPTPKSKAPARILGSKPGVSVAASHPFFDPLVDMDPRLVVAMLDLPRDADVSALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYQGAAVFLPSSSAQPGNVWVAGQKDGVAATKSSANPWKKATASEPDPSSGDWTGVLGQAPGSVWRRGGDTVAQVMGTSNRWNVLESEAATSSRPVEESKPAPRTDAGSSAGPSAVPPVSKMQPEVEVDDWEEACE >KN538734.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538734.1:233185:235679:1 gene:KN538734.1_FG013 transcript:KN538734.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVPHTDPVVLTILAQDGVGGLQVKHTNEDGESYWVDAKPVPGALMINVGDLLQIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPELVSLENPPKYRNFTMPEFLGTFFKRELASNALIEHFKI >KN539565.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539565.1:29732:37288:1 gene:KN539565.1_FG001 transcript:KN539565.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDGAASGGTKRKAPAASSLAAAKGKAAGKGKAASKASASASATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIINALPKKHASKKHKPKMLLLGRRLRRLQLLEGKVGDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNFISFCRLDIDIHKNVPHVHLHEKRENKDRWHGAELQVIIEGNWTTHRGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLSRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGMMGVLHF >KN539565.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539565.1:17700:18934:1 gene:KN539565.1_FG002 transcript:KN539565.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNLRNPGLDEVVMKTGKAAGIGLASGTVWGGVVAMHFNGPHVGSNVKYPELVRIGKVSGNYAASFALLGATYVGIEQSLENCRKKKDYINGAVAGFTAGATVGFRARSLPTAVLSGCAIALTSVLLDVTGMKTTDEEAKTGKAHH >KN539565.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539565.1:41758:46191:1 gene:KN539565.1_FG003 transcript:KN539565.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGLPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKVSPTQIIHRTPLSCRSLDLTIPLQESAEAAAELARDFAPEIQPRWGDAGLEEIMGDASISAVAVVLAGQVQVDLSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMPARKWMRWGLCVCSQREHPRYYGGGGGVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQANKDGDHKAEPRSSYVEGARDVAVLEAMLESSAKQGTMVQVKKF >KN539565.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539565.1:58203:69577:1 gene:KN539565.1_FG004 transcript:KN539565.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLTFEPTFSERYIWPARGDVHSVRRERSKESSSYDMADFDQASLFLYLDSHDQQSIQEQRQTLNIFPSQPMHVADPAHEAKSAGVAMAMLPNGNQLQVLPSHPSKKPDQQGGQKINSSVPTNPPGPNLPLPNSAKDNKNSSLIKGPKKCGLQKEGSSSGKGATTSNDPEREGRRALDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQLEQQVHVARVQGAMLGAGDQHQGLPSGPSAASLFDVEYGRWVEEHSKLIFQLRAALNEQMAENQLQVFVNGAMAQHDELLSLKGAIARADIFHLLCGVWATPAERCFLWLGGFRPSEAIKVMLKQVEPLSEGQLMSIYELQQAAKGTEDALSHAMDGLQQSLSDTVAAPDGAAAGGFMGHMSLAMNKISAMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVAISDYFHRLRALSTLWVARPRPEEGPAM >KN539565.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539565.1:10249:10473:-1 gene:KN539565.1_FG005 transcript:KN539565.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAEEQLLRPITGYLSWPEQEAEEDDDQGEEEEDEKKEIDRLADKFIERCHERFILEKQESYRRFHEMLARSL >KN539565.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539565.1:48430:48962:-1 gene:KN539565.1_FG006 transcript:KN539565.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVPSKGVKIPIDSSYWNDYNTRVVCDIFVDQVATDLGLDDARKAVKTITARWKQLKSDIAGCTKFMKVGLQNEELLEKMFEDIRNTDANH >KN539565.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539565.1:1884:5130:-1 gene:KN539565.1_FG007 transcript:KN539565.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPREQPSGLEGRTVDVGNVRVHVREPVAEGGFSCVYLARDAANPAKQYALKHVVIQDEESLDLVRKEITVMRSLKGHPNVVALVAHAVLDTGGRAREALLVMEFCEKSLVAALESRGAGHFDEQQVALIFRDVCNAVFAMHCQTPPIAHRDLKAENVLLGGGGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDVWFRVNELLPLELQKDLPDGSPSGSAFESHITEDEAPSRATISPSTDNTRSTSSEDPSNLRSQGLSKAAESKGSMGAFWSTQHAQELAFVDDKGPAFDQETVHQISSMQLQSKNHNTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKETKSENKTNVHATNFNSFVADFDNLKVNFQNNRREIQELKQALATASATQSVKEFKENSKAELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKGVHPRSVRTLRASNSNKASSLGQSNTSSSADLFAFGQDSFKAAPSRALPSKMSNLGNGSQSSKMSNLGNGSQSLNALKAEAKQDSSYQPAGWTGF >KN539565.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539565.1:20451:23508:1 gene:KN539565.1_FG008 transcript:KN539565.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MAVLGLSTAFSPPRGSWIAVRIRHGARPARSNLSLTRRRSAIGVRAEVSFVDGDEAKRLAAEEGYTVLDIRDRTQRERAHIKNSAHVPLFVENDDGDIGTIIKRTVHNNFAGLFFGLPFTKRNPEFTKTVKEKFSPESKLLVVCQEGLRSTGAADVLEREGFQNLACITSGLQTLKPGTFESVGKSELQNAGKAGLVTVQGKISAVLGTVLISAYLFITLFPDQAEKLFDLAGIKL >KN539565.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539565.1:76902:80854:-1 gene:KN539565.1_FG009 transcript:KN539565.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSLRTTYSKLESDLSSSKKRFIELVEQRENLKRGREDSDEREASLEELKAVEQHHKKLKEELAAYADSDPAALEAMSMAYSIPFLFLFTPLHVCYLMMMLLRLLMRQLTDGQTISSLCNSGVRPLSLKQKNNSITCTGRTKDPEI >KN539565.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539565.1:25174:26808:-1 gene:KN539565.1_FG010 transcript:KN539565.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVASSSVLEAPEEVAARKLYVGNIPRTVTNDELAAMFADHGTVERAEVMFDKYTGRSRRFGFVTMSTPEEANAAIESLNETDMRTDLFRLYQEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEMLKNFFSEKGEVLSATVSRIPGTAKSKGYGFVTFSSEEEVEAAVSTFNNAELEGQPIRVNKA >KN538711.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538711.1:216046:216833:-1 gene:KN538711.1_FG039 transcript:KN538711.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTVPEPEPHVLAVDDSIVDRTVISRLLRSSKYRVTTVDSGKRALEVLSLESAELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCNRVIMK >KN538711.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538711.1:296018:297676:1 gene:KN538711.1_FG040 transcript:KN538711.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSHQQRLSSPTAVLGHETPPLREVYTVGRKLGQGQFGTTYLCTQVSTGAEYACKSIAKRKLLSPEDVEDVRREIQIMHHLAGHGSVVTIQGAYEDNLYVHIVMELCEGGELFDRIVERGYFSERKAAEITRVIVGVVEACHSLGVMHRDLKPENFLLKESSSSSSLKAIDFGLSVFFKPGQVFSDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLRGSLDFDSDPWPTISDSAKDLIRRMLRSPPRERLTAHQVLCHPWVCDDGVAPDRPLAPAVLSRLKQFSAMNRLKKMALRVIARNLSEEELAGLKEMFKAMDTDASGAITFDELKEGLRRYGSNLREAEIRDLMDAADVDKSGTIDYDEFIAATVHLNKLEREEHLLAAFAYFDRDGSGYITVDELEHACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGAIDIIGNGRLTIGRPTTATSDDPSPTISSSSSR >KN538711.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538711.1:203019:204293:-1 gene:KN538711.1_FG041 transcript:KN538711.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRRSLAAGPAPALLLRRQLLMRFLSTQTQSQTQTPADLATLKNSIRSAAHTPEALADLFISGLSHPAFLADRPIFTLSVHRLASAGRRDLVASILSSSLTSLPSPHPSEGFLIRLISLYSAAAMPDHSLSTFRIVSPPSDRALSALLSAYHDNRLYDRAIQAFRTLPTELGIKPSVVSHNVLLKSLVASGDLASARALFDEMPSKAGVEPDIVSCNEILKGYLNAADYAAFDQFLKDNTTAAGGKRRLKPNVGTYNLRMAALCSKGRSFEAAELLDAMEAKGVPPNRGSFNTVIQGLCKEGEVGAAVAIFKRMPEVPRPNRKGVLPNSETYIMLLEGLVNKGVFAPALEVFKECLQNKWAPPFEAVQGLIKGLLKSRKAKHAKEVAMAMRKVVKGDAKEEWKKVEAEFSFEPTDKKA >KN538711.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538711.1:330198:334697:1 gene:KN538711.1_FG042 transcript:KN538711.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEPPKGWNPNYGVVGSGDRRLAFSRQLSSSTPRLARSDSSISMPPPPLAPTGAITFRWLATRPMRRLALLIALNVAYSATELAIGLLTARVVVMGKVIDMEDYMTLQGKLTKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRKAEDMNYHSVCLHVLADSVRRVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSALTKCFRQ >KN538711.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538711.1:274762:276304:-1 gene:KN538711.1_FG044 transcript:KN538711.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDASSTPLPGGGDDYIRDSIHDSLGLPVSDRSLRLKLLASEDHRRRLQDHVFALEEDLRAAARRIEQLKAESAMNAAGLRRCIEEKETMVSAYNDLSSHSAKLERECTLYERDLERAMESCDDLAKENDEMRARLNDHTTLSALTTQVEALHKDKENLRINLNKAEEEVKLLFEENKILDEENKKLLALLEKERQHRSERKHSSSASITKHKRKSSSLKETSPVGRTIDFNGADSSSRHPLSPLPPNSPDYRAHKK >KN538711.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538711.1:300253:302196:1 gene:KN538711.1_FG045 transcript:KN538711.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVVAAAAAAVGNMLQGWDNATIAGALLYMRRDLPALQAHPALQGLVVATSLIGATIVTTFSGPLSDSRGRRPMLIASALLYSLAGLLMLWSPNVPILLLARLVDGFAIGLAVTLVPVYISETAPPDTRGLLNTLPQLTGSTGMFLSYCMVFLITLAPIPNWRLMLGVLLLPALLYLLLTIFFLPESPRWLVSKGRMKEARTVLQMLRGRHDVSAEMALLVEGLTTGRDTAIEEYVVGPTDEAYGGISSGLAPGSMFGSAVTLSSRQGSMLDHLKDPVVALLDSLHDMNPPAGGTTDVPNLGSMIGVHDRPPIDWDEENSGDDDGDIAAPLLTMDGEAATSTVGIGGGWQLAWKWTEGVAADGTRQSTVKRMYLHEEQAEGVHAAALVSQSALCTKQEAEAEVEGGWRELLEPGVRHALVCGVAIQILQQFSGISGVLLYAPQILEQAGVGVLLSRLGLRDDSASILISAVTTLLMLPSIGVAMRLMDVSGRRSLLLWTIPVLVASLAVLGAASVAPMAAAAHAAVCTGSVVVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLADIAVTYTLPVMLASLGLAGLFAIYAAVCCVALVFVALRVPETKGLPLEVIIDFFNVGAKGTLPTLHDDDDHY >KN538711.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538711.1:278093:282106:1 gene:KN538711.1_FG047 transcript:KN538711.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLGRSDSIADMMPEALRQSRYQMKRCFQRYVSQGKRLMKRQQLLDELDKSVDDKADKDQLLQGFLGYVISSTQEAAVLPPFVAFAVRMNPGIWEFVKVHSANLSVEQMTPSDYLKNKEALVDDKWGAYDDDSQLEVDFGVLDLSTPHLTLPSSIGKGAHLVSRFMSSKLTDNKKPLLDYLLALSHRGDKLMINDTLDTVDKLQTALLLAEVYVAGLHPDTHYSEFEQKFQEWGLEKGWGDTAETCKETLSSLSEVLQAPDPINMEKFFSMVPCVFTIVIFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALEDELLQRIKQQGLNATPKILVLTRLIPEAKGTKCNVELEPIENTKHSNILRVPFKTEDGKVLPQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLTSKLGVTQGTIAHALEKTKYEDSDIKWRELDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNNEHIGHLADRSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGLLDPSQSKDREEIEEINKMHSLINKYQLVGQIRWIKGQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLDKEERQAKQRYLHMFYNLQFRKLAKNVPTMGEQPAQPTESAEPNRIIPRPKERQDCNQLTWTTAPSLQFLNRWSLK >KN538711.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538711.1:229315:230170:-1 gene:KN538711.1_FG048 transcript:KN538711.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPRTGSFSGVWWKLGDDADEQRRLADEEAAVKASIQRRHATARVIRRTIAFASFALEAAGLFVYGLWTARIKTAANKTKKKKKKQQLLLVDAKDQQKLDRLRADRNKAKMGHSRGSHHNMQKLLLTHNTQESDSDSCAEAAATKTASHSRLSFHVGDDD >KN538711.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538711.1:270530:273949:-1 gene:KN538711.1_FG049 transcript:KN538711.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHKRKRPDADDDGAAGAVDLSSLEGTDDVEVLDLRAAKRLLLAFERRLRDNLEARMKHPDDPARFADSEIALHAETDRLRLLAGAPDLFPDLVPLGLASSLSSLLTHDNADLAAAAASLLADLTDSDDPDDLAGLHSLADALVEANALDLLVHNLSRFSEADPDEAEAVHHTLSVVENLLELRPQLADIVCDRTKLLRWLLARLKAREFDANKQYASEILAILLQDSPANQKRLGNINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGGITKGSRRIRLLGKFVENECEKIDRLMEFYTRYSERVKAETERLDSLDLDDLEMDEDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKDDVKDILEEYHDNIGDLDGPEEKERAQGRTKEIIASLSL >KN538711.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538711.1:308787:310433:-1 gene:KN538711.1_FG050 transcript:KN538711.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGGGSRPQSKSQDGLRIHAKEKLPIASNALLQGSRCQAQTWISTLAQVKTLASLAEHRAVFLLSLEIRTKAISSQWHILLFSTFQGSCGTTPLNCALILVSHRVNTMPYFQLRENNWTFNAYMDGKWDVRFDL >KN538711.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538711.1:328231:329262:1 gene:KN538711.1_FG051 transcript:KN538711.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQMMGRSFPMWAAADDPSAQPPPFLPPPNRGWKRKNPSTAAGGYQPPALGDLQVQNRAKARRWFKNQSGGPRKHFFPRPKAAAPRNTTSFIIRAKRAGGIASLVSPSPVTPAVLPTPRLSPSREGLSDMAQAQWGVDGYGSMKGLIRLRTSPHPANPSDDDDDANSSGSDVEEHVEVERRLDHDLSRFEMVYPARGDGVFFEEEDDYEYDQEAHRDDLRTEVAAGSDKASSAQDNAEEVADAAVSEKIVQDNADVVVAGSERTGEEIGVDASEMD >KN538711.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538711.1:200641:201000:1 gene:KN538711.1_FG052 transcript:KN538711.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVESMEAEYDALLDAVAAFIAEPVRTTGAIQDLKNRIEAFYASCDRADDLVRAAADRVAFNATGNAHAPPPPPPSPGTGTTRIDALLRAVEGIAHHDHPPPLQDKAKAAAGDQHHN >KN538711.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538711.1:208730:209695:1 gene:KN538711.1_FG054 transcript:KN538711.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKTTIAWAAILSAYVGAGSFAEAVDVFQEMLRARVRPNRAVILSVLAACGALGALEQGRWVHAHLVAAHGAMAKDGMVATALVDMYAKCGSLETARQVFSGMAERDVFAYTAMISGLSDHGRCVEAIELFGRMQEEGVRPNEVTFICVLSACGRAGLVGRAREILGSMAAVYGVEAGVEHYGSMVDVLGRAGMVEEALEVVRRMPMRADSYVLGALLNACVARGDTEGGEEVAAMMAELGLDDRSGVQVQLSNLYAGRGKWEEVVGVRRGMEERKVVKVPGCSMVEVDGVAREFVAGDRSHEAWIVDVAEQLERMLAHH >KN538711.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538711.1:220100:225862:-1 gene:KN538711.1_FG055 transcript:KN538711.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDPSVGFFSGVWSRLRAAWRRTGAAHHPAGDDDDGQNEETVVRSRLVRRAAAARRLAHKLAFLSFNLEVLVFVYAFWRARRRNLSWRQPIQALPVLVIPALATLIYAAFIRFTRRLDLKDHRRLKRIQEQKQANDGEPRKPNQNDLISAQKQNCGDVDDASNSLQTTDSNSTFLPPTHSENRTSKPKKRRQPSISSRGDGEADMSWGHSKDFQPMPLDGLRKRRFSSEKTYTTTSIATESIEEDTQNTMPSSSCSKEFPELSDSSISQSNNIKPICGSSAPLIRYPGILPRDGNEEVPTASTHLDQQGGAHDSIEDTVFSPLNYRNHSGPAIFVKLTEPPTIHHEAPVGCGEDKVFDRLLDIVNTNFSSCKENLICPVNSYDSSFDRGDSCLTEHGIPSLMTVFREMPVKVSEESSPSQPEKLESYPVSINEAPASPSDYIVAYGSLNDVSQDPSDPVLSALENFEKVPPEGGKEDSLLEPHKLAELQTDTVAPEIVPTSHAIDDNEVIINPDEVTNALACIYTDANIIAAVADIDTGISPRLNLPASQESHCEEFEDPEVRFSSSAELVMKGDEDTWEKEPCGFNRQEGNDVLICSEEEALLGPLAVSTTEQYMTTSGFPLFCQDANMMEVPRIVAVNPELNNPTSGELLTDSDEVSKEELSYDLHLKEPNSLPFDLEKEDFMDPPVVDISEHSLATSDFFLCNEGVETTITEAHEAVKKSLSESQDEGPFNPIKAAVDPSCDDSNTQDMINNVMPAHFVPDINMRENFQGGQEKTQEAFSNQLDQRTCNFEGILLSSGEINNDVFYSSSAAEDNAPSSVQGRLSESEDGTTSAFVDTPIFLDEVTRAENWTNNTGSPQCISDRREILSFHDGKQVPSETIQGLTLGVEGSFVSPEESINSEKYSLYSRSSSCVSEVNMMHATGGGALAEPGNNQIFNLDDKNTMMFQSVNSTENYGNNSRSVEFIPETNMIETLEVAGESIDGLLHEVSSNVVNAFVTPDIGNDMGKSDDYLDLLSSSSVQTVQNCKAENNPYKTTSSLFSADVNLTGCLGSGQQVNQQGEETALCSENLYMALQDANSEDHFTNLGSQDIPGASISYILQVEEKSDRLHDGIFSIEGTLLSLDDGNIADSNNSSFVLHNTQINKNLLGLQKGSFKLQDEHTMTFISRNKVDIVEKSTCYVAGESMVADLQDTNKTLSEPRDGNISSFSGACNILDESKGSTNHPYYSRSMPSGPECSLIETPEAARGESVETDDENCFSFEETLTPEISSNSPRSASYNCTQEAVRSSGKGSTDPLMVDVHNFDMIPAGEERENESLNEIAYNSEQTAIIMEDVKYTESFLNKPGSLPYAPNDNCAVATENFDKGFSEPHYQDGPEVAVCLAGMPLFVDNGTEAEKSHDNTSSSHSELNITEAIQELSIDVGNKVSPKGSELPDWHFMDKEAKDSRLDDVKEDLEDLDKDHENSPINPPEAAGLTPSLKLYAKDASWRDSSMGVSNDFEVARAAGLRQRKQVFTISSGTGSSTMSELTDTQYTELVDDVIDSLNAPLPSSAVTNIKSGPNGMAAYILEQSATEQPRKNI >KN538711.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538711.1:251561:270233:1 gene:KN538711.1_FG056 transcript:KN538711.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGAAKQIVDSLLARFLPLARRRIETAQAQVHHLFQPLRPRDFPPYPMFKVQLLYAAASISAPNHCHPTIVITATSFYMKLPPHACPFEFDLLVLRHAHIVGKCSKSYSTFDGLVTSHDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDAPLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRGALMYWMDKQSKHIAVGFPLVTLLLCLGDSHMFNTHFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNKQIGLDVFQEYGIGHYIPKVKSAIESILRSCNKSYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEELLAKDMQNVKRSSLGGDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNELRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDVTLQSILESADKSRWARYLSEIVKYAAELCPSSVQDARQGLWGLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHMVFPSLRHGSESYALAATAALGHSHLEVCEIMFGELTSFLEDVSSETEAKPKWKPLYTIFHKKNPRSRREDLRTHVANIHRMIAEKIWPGMLSRKPVLRLHFLRFIDETCRQILPPSDNFQDLQPLRYALASVLRYLAPEFIDAKSERFDSRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKLSGRVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRVLLAKTALKNILQTNLDLFPACIDQCYSPDSPISDGYFSVLAEVYMRQEIPKCEIQRILSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDDEEPVRPGKVDTSANVVLEFSQGPSTSQVATIVDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLIGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPIQEQNQKAYYVASNISVWCRVKSLDDLAEVFRAYSFGEIISLEDLFARASPPICSEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQGFGTLMGQGGGSAADTGVATRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIGATAKHADTPLDGLVGAPTTPRTSQQSAPQVSVPAQAPVPNPASGTAKQGVPCYYFQKGMCVKGDRCAFLHLPQATGSPAPQHTTKVFAPASVPHPQLKNSWTKPNSSAQQNAPPAIFDKPKDSAHNGKTAQKQNLTNRAGHLSGIIHDKKGSYMPSGVTKNYRPPPSTGDDLAENGVEMGEFVREPSAGSDVLTGGADDNAEQSLREDRGAYHRTNGEQHIGMLRQTHDSYGFERSHRGSAEKLLSESRVSLREPMPLTADSSDLRQRLLKQRRLNNPRSGQVSDRHNVYPEDERHDRHRRRGEELASHDGVSSSRLRGRIRLPAETTFDRLGLQPEKERDRGPRARLSPPSQTDLRGKLHDRLKAKPNEDVSGNVKSSLAKANEDAESLNFAGPKSLAELKAKKVAGSLMKSSRSLAGPVRMTSEIVTIKDSSDPVLFDGPKPLNAILKRKREADSGNATDFGSKQEEHSGGDEEGSQNDFQNIEDDIVGTNTEGNGEEAFQPEDDVVYGDSLSPADDIVAEAADDASRELEEQQDVETAEEYDYEMDDVNAAEDENDYQEYEDEDDDLEDDDDFARKWLQQVFERPSPLNILGLLFLCVAAAAAGVEVFAAAKAETFAGGTAQGIERDLQRRSFGLFFFKYRAEINHIRFLYSVFGHALSKGKRKGKERLPIATEGDDSADDAVEAKAAAAPGNVEGDEHRGVVVLGTGKVGGGEDEDSHGGGARPRVSGGEVAKAEALQCGGVLEVVNRRVPPSTVKKSSAAAAAHGEWERLGEGCGRKKKEQGPWAESFSTAQGTCRGPCK >KN538711.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538711.1:238550:242880:-1 gene:KN538711.1_FG057 transcript:KN538711.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPSPCLFLVFLIFFMWSSQCHLGAASDADALLALKSALDRSDRLPWRRDTAPALCSSWLGVRQCSPQARRRVTKLVLENLNLTGVLTATLLAPLSELRVLSLKSNALTGPIPDALPAALPNLKLLYLSANRLQGRIPPTLALLHRATVLGAMPEEEEEQQQQPQALAQEEINAAASASVSVASERRGGREFSWEREGIGKLVFCGGVAEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMREPAAGAAELGRRAEELGRLRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLLHGSSSRTSSKGKPLHWTSCMKIAEDVAAGLVHLHQSPPAGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSHADLASSASVLYRAPETRTAHAFTPASDVYSFGVLLLELLTGKAPFQDLMEMHSDDIPSWVRAVREEETESGGESASAGGTEEKLGALISIAAACVVAAPARRPTTPEVLRMVREARAEAMSSSNSSDRSPARWSDAVQVQMGMGVPRDQGELGGLT >KN538711.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538711.1:292762:294181:1 gene:KN538711.1_FG058 transcript:KN538711.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQLEVSAHDAQSQLRAAHERLHGGGGGEVAEDRTPAARKTRARSGDLGGIVVKIGWDGEFAIGWWAIPSHAVSIHLTKGLPLGSGLGSSAASAAAAAKAVDALFGSLLRQDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLIPLSSPPALRLHFVLVTPDFEAPTSKMRAALPKQVAVHQHVRNSSQAAALVAAVLQGDAALIGSAMSSDGIVEPTRAPLIPGMAAVKAAALEAGALGCTISGAGPTAVAVIEGEDKGEEVGRRMVEAFANAGNLKATATVAQLDRVGARVISTSTLE >KN538711.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538711.1:204875:207902:-1 gene:KN538711.1_FG059 transcript:KN538711.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGHGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEVQAEGRAGLAMMNMVSSSSIQPGQIHSIWQRRQGGESSGRYVVMSSGSGRKSSSSRRRVVAVIRALGDGGGGESTSGKDDEEEEKRRREELERLVGGPEDATFSGADLAALIRSKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVAHVRSSLAKLKDRPRIGKAVSIFIDMPTDDSGARSNEWIYK >KN538711.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538711.1:313938:327283:1 gene:KN538711.1_FG061 transcript:KN538711.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKVSLLLNVHIGACVILLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYKVIEKEAERSESSERSERSKTTKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRTEADFFKTPDYAYHDEVSGENRRVGSGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRSMSLAGKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYLAPNMLSAVLFLFPVLRRALERSNLKVVTFMMWWSQIKPLVRPTKDIMKEPIRTFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRRGIRAAFSSKPTKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSEGKDRDLKKRVKSDPYFTYAIKECYASFKNIIYTLVVGAKERDVIQKIFTVVDDHIAQDTLIKELNMSNLPTLSKKFIELLELLQKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNNRRYEGITPLDQQDQLFTKAIDFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPGSLEMSKYRDEWKHFLQRVDCNTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLREGFRAADLLNDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHHAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMMTVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKCFIAVLMSNIVVRTQGYPFFCVIIIILAAQSMTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPAIVRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >KN538711.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538711.1:210253:215052:-1 gene:KN538711.1_FG062 transcript:KN538711.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRGGVGVGVGGGSGVGVAMVFLIVSASSFLIRLPLVARARLPNPTSSSYTSRSRALLLLPASSPLRAYCPASRRPSPATCSASMATDDNPLLADFDFPPFDRVEPTHVRPAVRTLLARLEGELADLEKDVQPTWGKLVEPLERIVDSLEVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYQAFNAIRNSSDWETLSEARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKNEIDGLPATALGLAAQTAVSKGHENASAENGPWIITLDAPSYIAVMQHARNRALREEVYRAYLTRASSGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVKDMQDLKAFAKESASPEANDLAHWDLSFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLANRLFGVSVEPADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHNCFVGARNTLLSIAKHYETGELLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPVAAL >KN538711.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538711.1:282580:288850:-1 gene:KN538711.1_FG063 transcript:KN538711.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRPSELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPDLGRRQPLDQESLERSKGGSYPPWQHEAEVPDIWFDNSANSFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMDFAPEPILPPLNARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKIWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSSSVVSGPGVRGPLSGSSTSRTRAPGGAAVKPLPALKDSVKRVMFYC >KN538711.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538711.1:190981:198191:-1 gene:KN538711.1_FG064 transcript:KN538711.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPPPTAAPSTPSPPPSPATAPPSSTSPTPTPPSSAAPSSPLAPSSAPTTTPPSTSTAQAAPAALNPSRTSDDGGDLAPACMDDAFSVFDHLLDDTPLPVNEVSSSELLVAYSNQHLHTDHASSTACLGSSVPQVDRGFLVLIASDHPGIEVCDPNGQWYLADGISGPGDLLLLTGRALSHVTADLRPTSRYRITNETRASLTFRLMPHANAILDCSPIAAAGHCVPQMYQPISASQFMDDLCAEERAVSNHSEAPSESQGSFISEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIEMARCTICNGEVDPATLFPNLGIFLTTNFLPRNWHYLCLTCSLTAVSILDIIALRAVATVVKMEDDRRLFHNAALRKRRKEVTERMDVLKSTGGSRGNGELVLDAENPTSPRGVQYPFVVGERVLIMGNRRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSELQLQSEMSDCVDVRSWELNWTDDTAIHVHVHGAEPSEVFKRVDGKAAVAAQPISDDHRTKALQPKVYNETGREHRKTWWSTLHVFDPHVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSVGLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVYAKDSAIESLIANYTS >KN540411.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540411.1:8977:13591:1 gene:KN540411.1_FG001 transcript:KN540411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGDTSREDGKCKKPKRKYKAVLLGFSQIKRGKFEVTGELTHICDGLEAHFPFEISAQVYEPSKLMAEILKLQARSLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISLHIGLRTKAGKCNKFYFWGVFRELHRYYNQTGCKEIERHKCKETGKILETQDKETEKGKCGEISNKLDVAVSRVPAGSELVLDTPPGLPHDDPPGLTKAHFLLHTGETTELT >KN540411.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540411.1:26598:28603:-1 gene:KN540411.1_FG002 transcript:KN540411.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSRWVVGTAARIPATIGALVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVVLSNNQFNGALEMTGNISKQLQTVNLMDNRIVSTNTSSYKKTLLRSTCATCHISFDVRMPSMEDDEIIEFRKKY >KN540411.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540411.1:23212:23382:-1 gene:KN540411.1_FG003 transcript:KN540411.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQLEQFTSLMQQKFLSGEDFGHMDYSRIDNDEMLDDHWSKEANYDAGEKYFEED >KN540411.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540411.1:16224:16352:1 gene:KN540411.1_FG004 transcript:KN540411.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAEIAVERMGSIGGRDGCDAMGGWTDEISATRMDEEMS >KN540411.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540411.1:31105:43865:1 gene:KN540411.1_FG005 transcript:KN540411.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFHTIAAARPRLPLAAFACLCPGPHPATRKLSLTSTAASTIDSAPASSSDAKKTTTVFVAGSTGRTGKRVVEKLLERGFGVVAGTTDVGRARRSLPQDPNLQLVRADVMEGTDKLVDAIRGADAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKHIRSSGINYTIIRPGGLTEQPPTGNIVMEPEDTLYEGSISRQQVAEVAVEALLCREESSYKVVEIVTRAEAHNRPLKDLFASIKQS >KN547174.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547174.1:328:441:-1 gene:KN547174.1_FG001 transcript:KN547174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSMAMSVLGSAVGKAASAAADEATLLLGVQKEI >KN541839.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541839.1:90:3451:1 gene:KN541839.1_FG001 transcript:KN541839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KMQMEYLDLYLIHFPVSMRLAEDPESMTYSKDDLVMMDMEGVWKEMEECQRLGLTKAIGVSNFSCKKLETLLSFATISPAANQVEVHPYCRQNKLREFCKEKGIQLCAYSPLGGKGTPWSNNAVMDCPLLKQIAMERDRTIAQVCLRWVYEQGDCVIVKSFNKSRLRENLGIFDWELTNDDRHKISTLPEWRGTLDIFVHKTGPYKTVDEFWDGEITGDK >AMDW01143718.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01143718.1:837:1481:-1 gene:AMDW01143718.1_FG001 transcript:AMDW01143718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIFTGKCGTALDHGVAAVGYGTENGKDYWIVRNSWGKSWGESGYVRMERNIKASSGKCGIAVEPSYPLKKGENPPNPGPTPPSPTPPPTVCDNYYTCPDSTTCCCIYEYGKYCYAWGCCPLEGATCCDDHYSCCPHEYPICNVQQGTCLMAKDSPLAVKALKRTLAKPNLSFLFGNGKKSSA >KN541839.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541839.1:12942:16357:-1 gene:KN541839.1_FG002 transcript:KN541839.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BRI1-like 2 [Source:Projected from Arabidopsis thaliana (AT2G01950) UniProtKB/Swiss-Prot;Acc:Q9ZPS9] MDILIPLLLSSIYVSSSSSSVIAETDAAALLRFKAFVHKDPRGVLSSWVVDPSGPCRWRGVTCNGDGRVTGLDLAAGGLSGRAELAALSGLDTLCRLNLSGNGELHVDAGDLVKLPRALLQLDLSDGGLAGRLPDGFLACYPNLTDVSLARNNLTGELPGMLLASNIRSFDVSGNNMSGDISGVSLPATLAVLDLSGNRFTGAIPPSLSGCAGLTTLNLSYNGLAGAIPEGIGAIAGLEVLDVSWNHLTGAIPPGLGRNACASLRVLRVSSNNISGSIPESLSSCHALRLLDVANNNNLRVADLSSNKISGALPAELCSPGAALEELRLPDNLVAGTIPAGLSNCSRLRVIDFSINYLRGPIPPELCRLRALEKLITGTIRPEFGRLSRLAVLQLANNSLAGEIPVELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNSLDGEIPEEFGDMVVLQVLDLARNNLTGEIPSSLGRLRNLGVFDVSRNRLQGGIPDSFSNLSFLVQIDDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEFMSHGSLEDTLHGDGRSASSAAAMSWEQRKKGDVYSFGVVLLELLTGRRPTDKDDFGDTNLVGWVKMKVGDGAGKEVLDPELVVEGADADEMARFMDMALQCVDDFPSKRPNMLQRACD >AMDW01040350.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040350.1:167:1391:1 gene:AMDW01040350.1_FG001 transcript:AMDW01040350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QSMQEQELLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGDHTYIPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTTDPQKVCSQVGLCMFDGKRSVSNGIESVVDKENLGSDAMCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFVLTPEQ >KN541839.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541839.1:9310:12423:1 gene:KN541839.1_FG003 transcript:KN541839.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRDDLYITSKLWISDTHPGRVLPALRRTLRNLQMDYIDLYLIHWPIRLRVEQETPSPVYDNDFVMMDMEGVWKDMEECQRLGLTKAIGVSNFTCKKLDTLLSFATIPPAANQVEINPYCRQNKLREFCKEKEIQLCAYSPLGASGTIWGSNAVLDCPVLKHIAIQKGKTVAQVCLRWLHEQGDCIIVKSFNERRMRENLEIFDWELTDADRQQISALPEFRGNRDFYVHQSGPYKTTDEFWDGEITGPQLKTC >KN540055.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540055.1:64278:66079:-1 gene:KN540055.1_FG001 transcript:KN540055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSGERGSSSFAMACSLLSRYVRQNGAAAGELGLGIRGEADANKGKETMELFPQNSGFGSEAAAVKETPDAREQEKRQLTIFYGGKVLVFDDFPAEKAKDLMQMASKSSSTAQNSVLLPSSATATVADNTKVSAVPAPASAPPVAQANAPKPVRPNAADLPQARKASLHRFLEKRKDRLQAKAPYQGSPSDASPVKKELQESQPWLGLGPQVAAPDLSLRQESSQ >AMDW01040563.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040563.1:138:1318:1 gene:AMDW01040563.1_FG001 transcript:AMDW01040563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >KN540305.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540305.1:15588:16040:1 gene:KN540305.1_FG001 transcript:KN540305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METKPVLCKAIEGVFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYLEKTELQFFLNDLPSNDFNYVFRSLQQLKQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKARSAYNQIIPKLTKMQEKI >KN540305.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540305.1:45646:46005:1 gene:KN540305.1_FG002 transcript:KN540305.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVHGAGLTNMVFLPRNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVAANETTLRERYPMDHPVFADPVSIHRKGFNHLWSTFLNGQNLTLDVNRFKAVMAEVYTSITAAPV >AMDW01023373.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023373.1:24:230:-1 gene:AMDW01023373.1_FG001 transcript:AMDW01023373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQAAAEAAPQSSQEEEEDWKEAEGGDGDVEVADKGGGGGAANGGIPEGRPIRVYADGIYDLFHFGHA >KN540305.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540305.1:24231:29052:-1 gene:KN540305.1_FG003 transcript:KN540305.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTTPFSSSDAAHQSGLVDATLLGQAAYGMRVVCEACCNDDGDDADDDCPLCLLKRFEIRRPPVFALLQATSRCPLCNTMRRLKEEEQWGGHSTICWAIGELELGDARMVVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANMTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPRASLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGCMEKETLGGNAQYHPKDCCYWYRKFPFYKTQTLFAVSFEKQTMDREACIVNMLSSADSLSDRLPVENQLQVFNNGREAVLCRWLLNLNMVMIYIHMV >KN540305.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540305.1:16960:17877:1 gene:KN540305.1_FG004 transcript:KN540305.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTFLGRKSSQMLAHGDVGTMWELLAQALQILVQKGRVKEEDLTTFNLPFYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDVVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPIVAKHLEKGRAMYPVIVVSLKGRL >KN540305.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540305.1:56279:56698:1 gene:KN540305.1_FG005 transcript:KN540305.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHLLPLLLLALLSPAGAASGEAHASAVVAEKGLDFAKGVLIGEAVRSLTPLRLPGVEKAVRVPFLGAIRVAATNITLFHLDVGDDSAIHPGDTALVVVASGVSANLSMAWSYYYDSWLFPIEISDRGTASILVVLT >KN539852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539852.1:45331:47769:1 gene:KN539852.1_FG001 transcript:KN539852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPTPATTSDSKLTVDFFILPSNSKQADCYGSWRRQSALAVHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDALQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMRLALALARDQMPIPASTVVRIILESGKLPDVDILTMVFLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFFQHYLYFYGSLLDLHFKYDDMDAAAQLLVDLYRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLGTESQFGLLAVIDGNICPSEKALAKFIVGCLKASKVCALSSFLITLHKEDLKGPSHSDLISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMTDFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVEEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFASVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGVK >KN539852.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539852.1:8173:16170:-1 gene:KN539852.1_FG002 transcript:KN539852.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G13560) UniProtKB/Swiss-Prot;Acc:Q9SIU0] MEIVDRFKRSGRPNGFGAAGKITQMRWATQFGGAVEISPCIRRSGREKGVLDMQRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAIIDEFMEAAFARWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQINALSLALTSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFSDVDLGNGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERIRDITKEVAAAVVKEAVAEDLAEGYRDMDARELARLSEVEETVEYVQQNMWSPVYPTIVYKKD >KN539852.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539852.1:54282:54590:1 gene:KN539852.1_FG003 transcript:KN539852.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPAAVQQGLAEFNAKLGSASTELEKAEAQIGVDVHSALNAALAG >AMDW01036454.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036454.1:29:607:-1 gene:AMDW01036454.1_FG001 transcript:AMDW01036454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGDRSEVAKRGCSALFKAGEAARGALANLEVAIEKEPSKATVAGGGVHPLTRYVMNYLVFLADYEGALDRINQQQGSPERSWSIGWLVQVLMRKIEAKAGSYREAALRHLFMANNTHYVARKVAIIPSLGDDDGEAQDAARRHVEAYVRAAWGKVLKAIAAADGVEVEEAVMQAVAKQEKWVAADEEMGQ >KN539852.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539852.1:64476:77650:-1 gene:KN539852.1_FG004 transcript:KN539852.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding LPGFTRSVYKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSILLSEESGNTHTLLVDSYAYLPANMKHSVISDEVTTLVIFERRYTTIEGYHPDLIVGSTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDTIWMAPFVPQWQLITVALLFQVRCPWKNQDEVCCICIIVCKSLCIDKSMLDIAGESESDSEASDVSGSDGEDHSWISWYCSLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >KN539852.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539852.1:3721:5007:-1 gene:KN539852.1_FG005 transcript:KN539852.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLRRHLPVILSLILFLSKATADANFTVSRAAYYPNSDIKGTENGACEYGAFGATLNNGDVSASASLYRDGVGCGACYQVRCTNPYYCSPNGVTIVITDSGASDGTDFILSQHAFTRMAQSTDAGTALLTLGVVGIEYRRVSCTYPNKNIVFKITESSNFPNYLEFEIWYQQGNQDIIAVQLCETVNLTCQLLSRTHGAVWAAVSPPSGPLSIRMLFSSGAPRGGDTWLVPTNIVPQNWTAGATYDSGVQVQLQ >KN539852.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539852.1:56219:59021:-1 gene:KN539852.1_FG006 transcript:KN539852.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFYSREKNKNKNKKQSRSSPTLTVDKDDFRDEMSQKANRSCSLVVASSPRSILELYEEPENLRSATSNFSRELKIGEGGFGSVYKGFLKTSRGHLGLRNDSGNVVVAVKKLNPNGMQYSKKLGRMVWSKLLSLMEWNVNVGLRMTDDKDFSKIILNCRLLRLLVHEFMPNKTLEYHLFNKAYPTLPWKTRLSIALGVAKGLQYLHEGLEIQSCRLIVMTLFMLQVIYRDLKSSNVLLDEEFRPKLSDFGLAREGPVDGQTHVSTAVMGTYGYAAPDYVETGRLTARSDVWSFGVVLLELLTGRRAFDRSRPRPDQKLVDWARRHPAGTRVRNPPASNPNEH >KN539852.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539852.1:39:3313:1 gene:KN539852.1_FG007 transcript:KN539852.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYFETEEDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPPQDEAAAAASSSPPPRCPDSRTGCLASLTIKLLPSANAFRVTDFAAEHNHPLASAAPAVSLALLPPSSSHHTIAAVASLPDPRDGLRTDMHFETEDDAYAFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHESIAIVSNNASTGPSGTPRPGPPPTRTGCQARMVIKITPCRTYRVAKFVPEHNHPLANPDSVHKLRSHKMRARAHELGAGELHRRKHGKGYPDIGNHVVASSSQPISFVGNQLPDHTSQARGVPQTTNGLTSLWGISTVDEFVKSSRQLGLTSGFFVVSQMQEYG >KN539852.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539852.1:21222:24452:1 gene:KN539852.1_FG008 transcript:KN539852.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABH1b [Source:Projected from Arabidopsis thaliana (AT2G44610) UniProtKB/Swiss-Prot;Acc:O80501] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAGGCSC >KN539852.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539852.1:30166:38209:-1 gene:KN539852.1_FG009 transcript:KN539852.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MAICYHVCGWLLDFNFGSKNLGSSVGGFSGVPDLPMLFFADQLRLYRLHRNLMVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >KN540434.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540434.1:38860:41802:-1 gene:KN540434.1_FG001 transcript:KN540434.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDENPIAVERKIFSAVDRILVNIKVLIGDIGSNLKKLPKQSDHSCNTGDDEFDDAVALRHIEVNIHAEQLGAGVNRFQDSIGTKHHAEEESMPSLAEQEDEPARPMSGLHVRRLLDTLDGQLMQCLLCLAIFPPGEAIKKRLLIHWWLGEGIVQSADAGKERFNELFDRGLVQPALRRGHCRRTHYFRVHPVVHNQLVESLGFFRVLGHGKGNVDDPHRLILQKGQSSDQNTRGTNNAFLSVFNLDMEYVKIHIAKSRITTVVQLGRWKRSRKHHIELVGDNDLLKKALACKNLRYLSLRGISLIESIPEAIGTLAELLVLDLRSCHNLEKLPGSIGSLLKLEYLDLSECFLLEEMPKEIGELSQLQVLKGFLVGSSRKKSNPCRLADLATKVQNLRKLNITTGRQSLVCDEDELCQLAKCQHLESLTITWIGEASTEPCLPLPSSLTKLDLRRAPTASLLSIIHPSTSVSLKRLYIRGGKLRTLGQDGGWNVETLRARYLNDLECEWSELHGLFRELRFVEMWRCARLSFWPCDGRGVWDKGSPSLARRSIGVCYGVKGNNLPPWHEVVQLYASNNIPAMRIFYPHHDVLEALRGTGISISLDVEGQFLPSFASEPSVAAAWVKTNVQAFYPAVSFKFITVGNQVALREMRYILPAMQNIYAALSAVGLDHIKVSTSVRRDVLGLSYPPSAGAFSSAMEQYMAPIVQFLANIGAPLLASVFPYFTYVHNQEGIDIDYALFTSPGTVVQDGEHSYQNLFDAIVDALYSAMEKVGGSTVRVVVSDSGWPSAGAPAATKDNARTYVQNLINHVSKGTPKRPVPIETYIFAMFNENEKTGDEIERNFGLFEPDKSPVYPITFS >AMDW01040454.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040454.1:984:1556:1 gene:AMDW01040454.1_FG001 transcript:AMDW01040454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNYLPPRHFLPWDPTLTAAAPGSGVPAVVHLHGGVQHSGSDGHSLAWFTAGFSATGPRFSSPAAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPAEEAALNLPSGEAFDRNLVLFDRDFLADGSLFMNRTGNNPSVHPQWQP >KN540434.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540434.1:2912:4765:-1 gene:KN540434.1_FG002 transcript:KN540434.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEGNLSAADVCPACCIFCEIFNSCKSHKDAYATGDGKARTGGNAKEERKQSSGKKEVELKDQKKLEKLIAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAVLVLGRCGDITIGRAEKQEAIHCKR >KN540434.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540434.1:28154:29059:1 gene:KN540434.1_FG003 transcript:KN540434.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNNLPSRSEVVHMYISKGIKRMRIYYPDKEALNALRNSGIALILDVGDQLSNLAASSSNAAAWVRDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGIAQYLASTGAPLLANVYPYFAYKDKPCINLNYATFRVSPTERDHNNGLTYTNLFDAMMDAIYAALEKAGAGNVNVVVSESGWPSAGGFAASVDNARAYNQGLIDHVRHGTPRRPRPLETYIFAMFNENQKNGDLTERNFGLFYPNKSPVYPLTCFPN >KN540434.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540434.1:16122:18248:1 gene:KN540434.1_FG004 transcript:KN540434.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNGVCYGVLGDNLPPRSEVVQLLKSQGIGAMRIYYPDKEALDALRGSGIAVIVDVGDSGAVANLANNPSAAADWVRNNVQAYWPNVFIRYIAVGNELGPDDMGTILPAMQNVYNALVSAGLSNSIKVSTAVKMDVITNSFPPSHGVFRPDLQRFMVPIAQFLANTMSPLLVNVYPYFAYRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFSAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGPLETYVFALFNENQQTGDETRRHFGLFNPDKTPAYPITPYPRPAVQSIGVCYGMVGNDLPSRSEVVQMYVSLGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASASNAAAWVHDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGVAQYLASTGAPLLANVYPYFAYAGNPREISLNYATFQPGTTVRDDGNGLTYTNLFDAMVDCIYAALEKAGAGNVRVVVSESGWPSAEGIGASMDNARAYNQGLIDHVGRGTPKRPGQMEAYIFAMFNENQKTGAATERHFGLFYPNKSPVYQIAFSN >KN540434.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540434.1:7790:8632:1 gene:KN540434.1_FG005 transcript:KN540434.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSPFTASSLSDVKHIIALHGTKHRCVVRLLSLKELCTARTVEQLLAKQVEQNRWIVKGIAHLKPLVRLHLSIAPAADLLHKLVKSLQLLADMEESSAGEDDDHPDPPKQLAPDHPDHDGDAAAPAGDYYDQDFKICAIELPSGDILDDSETSRSEHSPSPDSHDSDSPSDDDPDDYVLIFPGDGNPIAHIYNRDLDAIDLATRLCQAGEKILQNKVKCSLIDELVDMLGEQVKDVGEAILTNRFPAPGLLDYIQEARSNLHSFWSVSVPSEVFKLL >KN540434.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540434.1:32908:34035:1 gene:KN540434.1_FG006 transcript:KN540434.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKGNFSNLLNQGSSSQATNSDAQNSPSTQFPTSYPQNFRPSFLQNFHPFGPPSNYQPYRHPPIFQGAQQQDYYGQPTPGSLEGFQLQENLVHSSNQAFGFAANRSQFGMQYSTSIGAAANTSSHGSASPCHTRHNEKEVVEVEEASDSSEEGRRGTHINWTEDDNIRLMSSWLNNSVDPIKGNDKKSEQYWKAVAREFNSNMPSNGNKRNPKQCRTHWDNVKRDVTKFCGFYSKARTTFTSGYSDDMIMEKAREWYKKHNNQKPFTLEYMWKDLKDQPKWRRVLEESSHNKRNKISESGPYTSSSNQDTEEEIERKEKRPKGQKAAKQRQKGKGAPSPLGDKPSQNMVLFHEAITTKAAALLKAAEATLIGA >KN541089.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541089.1:26917:27791:1 gene:KN541089.1_FG001 transcript:KN541089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAITILAMSLLLLLPPLELGSLAGGDQRRKEPWIATIYPGDGGRNPPVSPARDRPGTEA >KN543274.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543274.1:4337:6781:1 gene:KN543274.1_FG001 transcript:KN543274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGFQNLYDKACTKIEEARTSLQPEPLFIRPSTQWYAHCAQSQKPSLTEAVLDGCGVWHICFSIHSSRDELEQALELLQPQWVISTTPPCFAMELSYVKKKCFKTRLTADDPLWKIFKNPLRKSVSFPSSPSTLLDSDMHTNEDHSISVDDDHDHSASPSGEECTDFDICTLELKFMPSPPVQEPDITLFGRARFGSEEIDIMREELCNQRIAVEEARAYSTAYLICDGSSEVETCPNSGTDFVIVQASKSQQGYSGYEDEDPSCQRAASPRQLESRSILSLPIGECSLSPVVDNPKKSEVVIESESTNHAESSNLCMVRRGYSGSEDDCQRAASPRQLEKLSIRSSPIGECSLSPVADKPEKSEVVIESESTNHAESSNLCMVRRGYSGSEDDCQRAASPRQLEKLSIRSSPIGECSLSPVADKPEKSEVVIESESTNHAESSNLCMVRRGYSGSEDDCQRAASPRQLEKLSIRSSPIGECSLSPVADKPEKSEVVIESESTNHAESSNLCMDRSVR >KN543274.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543274.1:7203:9012:-1 gene:KN543274.1_FG002 transcript:KN543274.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) UniProtKB/Swiss-Prot;Acc:Q9SDQ3] LFRYGPEPYNPENSFYNQQASPMPYMVTADGHSPPSADNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDDIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNVILPNSPKESESSISCAGSNNGEPRTPKQLLFDCATALSDYNVDEAQSIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALSCKEPPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRVHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLEVIGQRLEKLAEDCGVSFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >AMDW01053625.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053625.1:1210:1575:1 gene:AMDW01053625.1_FG001 transcript:AMDW01053625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAVYKSGEAYRSKKVLVVGCGNSGMVVSLDLFDHSALPAMGVRDAVHVLPSEVLGKSTFELAVLLMAWLPLWLVDKILVLLAWFVLGNLTKLGIRRPTTGLLGRLGATGRRLGGGRAAPA >KN541820.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541820.1:7595:11446:1 gene:KN541820.1_FG001 transcript:KN541820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVMGSLLPKLGELLKDEYDLQRGLREKIKSLSRELESVHAVLRKVGAVPLDQLDELVKLWARDVRELSYDMEDIVDMFLVRFNDDHESDDPWVLRRLRKKMSKLFKKAKDRREIAGAIQSINEKLQEVSTRRARYRVDSFVTKPAGPVSIDPRLQALYKRSTELTGVDGPMGKIINMLSPRDDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVPVGQNPNMKKVLRDILIDLDKQKYIDLDKQKYKHSIIMELEERKLIDKIKDLVEKKRCIVIIDDIWDKKSWELIRCALQDSNYGSRVVVTTRISEVATHVGCYVYKMEPLSHDDSEKLLYATIANAEGKCLARPSAVACEKILNKCDGVPLSIITIARLLANKPEEDWSEVYNSIGFGHGRNSVVENTRTILSFSYYDLPSHLKACFLYLNIFSEDVVIEKNLLIWKWIAEGFVQDEQAAGVGLFELGEGCFNELINRNMIMPVETQYQGHQSKERYNEGYVYGCRVHDMMLDLIRSLSKEQNFVTLVDSYEQVELPPSNARRIPADLIGNLTSLQELCIRPAYAYDRFYDDKANGMRQFVKALGRLRELRVLQTQIDILDDSMEKDLLESLDNLHKIRSLEILGASRGLNVEWTRTGFISPRHLQRLYLECLEFSGLPAWINSSLLPNLSYLNMTMEVVQEQDMETLGMFPKLCYLKLYSRCTKLVSFKHTSNAGYFQKLKSFKIVGSSVRFDLSGCDIESSFMPSLETFETDVHVRFLKDANMFGFDKLGLENLPSSLKRIIVVICCRDASDAEVEEAQAAFEHATDSHANSPKLTIMRYGVQIKRPL >KN544377.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544377.1:2591:3089:-1 gene:KN544377.1_FG001 transcript:KN544377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SRWPARGVERVPKLGGAKAKATSAGGGGGDDVEKKPPVVSKKVPMPQFLLSPEHRNQDDDDDGGFAAFEAKVRTQREELSAFLDKKDDEFAVFQAKVRDEVDENGCYMVDGTYFADLEAAQALSDELFAKLNMSGVLFEED >KN542325.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542325.1:4:346:-1 gene:KN542325.1_FG001 transcript:KN542325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRQEAAVSLGRLESCEQHCWFKSWLPRATLRHTPRSANGSGHLGGGAGDGDGTGVGAGVGGTTRAGAGAGVSAGVGAVARHGLWPCSTSPPLTAEAKTKITDAKNKAEFIA >KN541820.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541820.1:17549:17815:-1 gene:KN541820.1_FG002 transcript:KN541820.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMKAMGRLLPKLGQMLKDEYDLHTGIRKKIQSLSRELEDVHAVLRMVGEVPPEQLDGTVELWAHDLREASYDMDLPGARRRHGTSG >KN542325.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542325.1:16279:16668:1 gene:KN542325.1_FG002 transcript:KN542325.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLSIASFFLAAALLLLAFASGQTTNAPPPPPPPNTAQCPGGVISDLANYTRCITAILFGRPNPEQFCCPAISELPNDVAARCVCAALRATGLSIGITASNNVTGSILKICNKAPLDLLTVNCSRA >AMDW01141154.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141154.1:72:191:1 gene:AMDW01141154.1_FG001 transcript:AMDW01141154.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAV >KN540344.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540344.1:43105:54796:-1 gene:KN540344.1_FG001 transcript:KN540344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TDALKFLFYILECNREEAQRRRPTETLDWYGVLQVEATADDTTLKYQHDKLCPVAFILIKTLFSAVSLSTEHHVPVKLDIHEERNAEHEGDQQNYQKEADFCDLGKFRDVNWIEVDQIWALYDDHDFMPRVYARIDHIDTSNLRVQFTWLEHKAMNAQEAKWTEEELPVARGSFCLGETCIVEGLLELDTAALPKKTIVLLPDEVSVLVPYGGDSIFSKEFPHADSETDNDHREEAFRAREIAVKKLENRDFVGARKIAIKAQRLFPELENISQLLIICEVLSSAEAKISGELDWYGVLQVDKMADETVIRRQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAILSDHVKRSLYDTKRQCASREVAKEATQPPNKTDSNISNICMGTPKIKILGRPLNVAGSMTPSASVLVFWTICPHCQKRSLYYQRNFLARCCDCGKRFFAIKLHEQSVPSRILSTAAKKSQLSPSEMLSFQRSSVPNQHQQGKCLVTRSEAIQFSAMKQTKSHICASDNDKPGTLVPKSSDLKSISVKNLTRESAPAEENAAESSSLQILGKRKLYVTSDSSHGMNSNIKRQRKYTCPSDSDSSNEQICNDDVAVPDNQSTGQNVPIEVDSEEERNARHGGNQQTCKKNVTDTASQKSVNSVIAYPYPDFDFCKSRDAEETDESIKQYGWAGDMEGKVLVTRSDRVQFSEISQAKSHVPPADNDMPGTLVPRSPDPNSTAVQNLTGESVSAETNAPGSSSLHQILGRRKLCDSSDSSRAMNSNIERKMKYNSPSDANWSTEQICNDDVAVTENQFAKQHVPTEVDSEEEGNEKHGDNQQSHRKDDTDTSSQNSANPVIAYSSPDFFDFDKSRDVSQIAVDQIWAVYYGHDCMPRAYARINHVDPSNLKVQFTWLVHNTVNEQNSKSTNEKLPFACGNFCLGETDVLHNPSRYLSHSVSSTGKNGNSCDINPNKGEKRSLYYQRNFLARCCDCGKRFFAIKLHEQSVPSRILSTAAKKSQLSPSEMLSFQRSSVPNQHQQGKCLVTRSEAIQFSAMKQTKSHICASDNDKPGTLVPKSSDLKSISVKNLTRESAPAEENAAESSSLQILGKRKLYVTSDSSHGMNSNIKRQRKYTCPSVSDSSNEQICNDDVAVPDNQSTGQNVPIEVDSEEERNARHGGNQQTCKKNVTDTASQKSVNSVIAYPYPDFDFCKSRDAEETDESIKQYGWAGDMAGKVLVTRSERVQFSEISQAKSHVPPADNDMPGTLVPRSPDPNSTAVQNLTGESVSAETNAPGSSSLHQILGRRKLCDSSDSSRAMNSNIERKMKYNSPSDADWSTEQICNDDVAVTENQFAKQHVPTEVDSEEEGNEKHGDNQQSHRKDDTDTSSQNSANPVIAYSSPDFFDFDKSRDVSQIAVDQIWAVYYGHDCMPRAYARINHVDPSNLKVQFTWLVHNTVNEQNSKSTNEKLPFACGNFCLGETDVLHNPSRYLSHSVSSTGKNGNSCDINPNKGEVWALYKGWSMQLSSDADRYQSYGYDIVQVLSSGSMDDGVTVSPLVRIAGFVSLFAKAKNESCFSISSCEVLRFSHSIPFYRTNGNERVGVAEGFLELDTAALPSDLDSAFTSITLESYMALDNKTNIELISYVCPDSEFYNFEQDRSHDKFEAGQIWALYSDTDKFPNFYGWVSKVEMEPFSMDLAWLEACPQRAQEKLWLEHDVPVSCGTFEIQNMETKFNENCAFSHLIETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKGNLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKN >KN540344.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540344.1:288:3123:1 gene:KN540344.1_FG002 transcript:KN540344.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SLCAHASSHRSNLCEQPFGFLGFTLDSIDLHSLLNHSYYAKSAELRADHLGLHKALCVLMGWNYSVDPVHKKAYQTLSTADAEANQGDLILWPPTVIIENTYKSKNDGQKDGMSNKEMEGKLREMGFAGASVKPLSGKDGQRSMLVKFASSLAGLNEAERLAQSLEKQGHGRAEWYRVRSVPPGADGGSNPLLVKVGAKGEQTWVLYGYLATAWDLDALDLESKQNAVIKSRKELDLSE >KN540344.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540344.1:10304:14578:-1 gene:KN540344.1_FG003 transcript:KN540344.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAAGAVSSLLVVIRNEAVLLGGVRDDVQFIKEEMESMNSFLGHLARSAPQGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYSGNPEIHRAKGRLRRHLWWVYWSLRKMVAQHRAAIQLRQLKDRARDVGERRLRYGVEIPATTKAAAPDATGGYAAGDDEEEDEDDREGQFAVATPTLAHHSARWPVFEPPSLDDYVKAKLLEWIGGVPGNAIVTLSIAIVAPDADNKEVLAIAHETLVAPNYYYRRSIMVNVPAVHLDFLPLRPKEVLYYILRELEREEAAGSQKQPTDQGEWEEEDPDPWQDYYKKCGIYRSKKSVLGKIKRNIKKMNIYEKLDKIKSDIREGQHKSGKLLLLQLQKKGADQVDLHVLLQLLVLQSQQDQAKNKAVDTHKLPEWNDNLIEKLAMRLKDHMEADEKTKKLNEQTGVEEETAVRQGGGGERKEDEKDERGDGEEEGKEERRDMEKGGEERKEQQQEEQEKEGRKEEQNENASSKAQEQDKLVAEQATKTAATTLDEERIKQMINEAKQDVLRELRGRETDKNQATGEPDVPPDKNQATGQHAVVLDQNEEAYFEEVEQKIEEIKQELKEQLKIKWIVDKIKHHLQGQCPLIILKFDQMMDGSRWEEIRKALSLLELSADALIFTTGSTEQAKGYCYPPREPIDHCSLVGLYYYTVLKLTSKHKNEDNDNTQIFRGILEECEGHEFCMKIFTHAVYANPKRSNEELRKLHSTLQSPKKSFDTIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIARWVAEGLTFKEDWPSSVYQANRCFDALIRRWLVYPDDISATGKIKSCVVGDPVHGFITAIARKQHIVETRLSHHLARHFSIFNDLRLRSSDRIGTFFQGLSRSSRVSLLKVLDLEGCQCFASKNQRYLKDICNKMLLLKYLSLKGTDITQLPSEINCLRELEVLDIRETKVPANATVNVLLLKLKRLLAGASQIDPTPRNFVTNVRIPSRIDKMINIEVLSNVKAQHHDDLEDIGKLCQLRKLGVVIDGKKSHLGSLLKAISDLHASLRSLSITIPTTTLEVTPSSPELQDIASRLKHRPEFLESFLESLSISGAKHLFPLLTEGGNKKLAKVTLSNTPLNQDDLKFFAQLPMLQCVRLRHISCTESVLNFKKDDFKCLKYLLIEGSNLTNITFEDGSACELEKMVLSSTCIESISGVHGLPKFEELELNSSSCGRLLSSCFYNVERIAKLTLRGTLLKQGDLRIIARELNICCLVLLENSFDISQNQITFEKEEFIWLKLLSVDCSTITKINFITGSAPRLKKIVWSSFTSLSGINNLPRLKELEFNGYSVPNDVEEAIKNNKSINLKHNKP >KN540344.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540344.1:8992:9342:1 gene:KN540344.1_FG004 transcript:KN540344.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRTLKSTCTVPCIAGDLPSPSSDGGGGGGAAGAGEREGRGLCGSLQGLVRDLEDGAGGRAGFVLPDANDDDDQWLPKVRRLAFSCQFHCSASHGFLMRIWALVLVFNYCPKPWQ >KN540344.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540344.1:3837:5081:-1 gene:KN540344.1_FG005 transcript:KN540344.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDILMSIFSTLEVLDLIRASSVCNSWRSAYTSICSLGHCKPQQTPCLLYTFESDGTKATGLYSLAEKKAYMLTLLDPALPSRFIIGSSHGWIITADERSELHLVNPITGKQIALPPLSIARSGDDKWTWLPPHKDYEDCIFRDGLLYALTSEGEIHEYDLSGPAIARKIVLNKVWRSYDPLDDEDEDASDDLEAEHDDESYVWNTTMIKVYKVDLVARMLVEACDLGENVLILGHNQSLCLRADEYPLLKANHVYFSDDRELYIKGCKNGCRDIGVFNLENNCAEEIVSPQLWSNWPPPVWMTPNARKISLETHSS >KN540344.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540344.1:27278:28510:-1 gene:KN540344.1_FG006 transcript:KN540344.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDVLMSIFATLEVPDLVRAGSVCSSWRSAYTSICSLGHCKPQQTPCLLYTFDSDGTKSTGLYSLVEKKAYVLPLQDLPNRHIIGSCYGWIVTADERSELHLVNPITGEQIALPSVTTIKQVKPIYDDDVAAAKRYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPYCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGEIHMFDLTDPKVAPKIVMGKVKDFLYENIYIVEASCGNLLQIWWSDDLPKGDAPEGDEDDDDHSFDPESEFDSQSYVHDTNTIKVHKVSLTEGKIVEISSLDENLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFEYKDNHRDIGVLDLENFRREEIVSPQIWSNWPPPIWLIPNPRRMKLASH >AMDW01033330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033330.1:67:213:1 gene:AMDW01033330.1_FG001 transcript:AMDW01033330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SIRACKKAALESEEAKFEYYKQAAGDRITPKFVETMESCFAKIKGLEC >AMDW01132351.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132351.1:7:368:-1 gene:AMDW01132351.1_FG001 transcript:AMDW01132351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METSCFLTSNASPVKSMVTPSPAGVVKARPHVLFGGRRAASSSVTTCCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAA >KN541957.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541957.1:10668:21298:-1 gene:KN541957.1_FG001 transcript:KN541957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQCEHIEMKKHEGIATLTTLSYLFHVKPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDRFNILASKFWSTWVGPGARRSKIMFRTWKGDKEFEPQPENAADTAVTATTSGVSDSKTTVEGSAYHPLSAKESFKAAVMYLFRKWYFRVVSFWRNIKQLSDNTFQLMFRSNWNDFLQTIKGIQLPSVDHLVSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKVRHGVRTINITISARNPCFGNRWYGGAVLAFHAWLCAVGQRDRESMCNRGSSCRGGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRDPGAMNEGLGAAREADVLLVPDEPNRNQQEGQPIENAELVANNPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENGQIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSPSKMSGTNLSLIFSLNIADGDSITHTTTNLLSTSLDVGSAVQEGTVSKPPIQKYALSSHITPRLTPDATPKIMSTSAPRRMSTTATPKLMSGTTSPSKTRIEGYMSMTPCYCMEWNIMLGFSGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREDTLKKAEEIFGPDNKDLYLSFQGLLNRSLP >KN541452.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541452.1:56:7914:1 gene:KN541452.1_FG001 transcript:KN541452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EQMLKKGCAWHYTAYDQRPELAKKKVSSHGFNWRMSLTPKPRGVKYELHTLPVDANAVADGDTIAVHVVTADHPGSLNVPQEVQRAAADHAEALMTKNYQRADELQKIILDAGFRLFCLEFNTIPSFLHMVSSCDTSETFQCRQVTDSTGGQVLTKKYRIRLRGIDAPETSMPYGREAKEELTMLVQGERLKISVYGNDRYSRLVGDVDCNGVFVQDHEMLRVFDKSEHMLKKGLAWHYIAYDQRPELARICEVLQKQAYHACLVSDTHMMLILFGYLRFRKRRKESLCINSFWSISFAFVVAKCLKYKPVKKARTTLLEVLLLHSDDKLVCVFKEVYPPVKMMVPIWKALDAFTNYGDFSGSEDLDMETCSWQCYQRRTVTRSSSCQPYTIINTMKLLIITTVHDKLPDPASPSHEDYKTSITFLVRLNSHASPNVSERRRAHMSVTLFVDEKSV >KN540795.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540795.1:32100:39489:1 gene:KN540795.1_FG001 transcript:KN540795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATALVGVTTGVMKPLLSKLTKLLGEEYANLKGVHKQIKFLRDELSSMSTALEMLADSDQQLNPEMRDWRDKLRELAYDLEDCIDDFMSRVDHDGEHKGFNKYFRKLKELKARHEIANEVKELKNRAIEASERHKRYNFDQLAHNSSTFGIDPRLPAFYEEVGRLVGIDGPKERIIELLAMEMKGSLNVVSIVGCGGLGKTTLAKQVYDTIQGQFSCAAFVSEVDRLVGIDGPKNHIIELLAVEMKEPLAKLKVVSIVGCGGLAFVSVSQNPDMKKILKDIAKGVGISPHTLADNDEMQVINIIREQLKNKRYVVVIDDLWDEEAWKTIKLALLNSNCGSRIITTTRSITVGRSCCSSQDGTVYHMKPLSFDDSKWLFLKRAFGYEKSHYPHLEDVLDKILGKCGGLPLAIITISSLLSYQHAIDEWHRVLNAIGCGLARDPNAETMSNILSLSFFNLPHHLKTCFMYLSVFPEDYVIEKQHLVAKWIAEGFIQEEQGQSAYGTGELYFNDLMNRNLIEPVNVKYGQAKACRVHDIILDYIKCKVTEENFVTSLGLAAPGCTTEYKVRRLSVYNYNKEDVNIPTSLNLSHVRSLTIFGDPMQTCLLDLKFLRVLDLECSGSMGDLFANIENLFHLKYLCISSYGVDYLPEKIGELQYLETLDIRHTDVEILPSTITKLQRLARLFINEDTRFSDETTIGQLKSLEELKEFVLSEIKQVTALQEISKLTKLRTLKLTLQSPLSLDDFHSCVGTLLQSLCNLHDLCIVDEFDEFYPLSLDSWHIASPCSLRKLVIRLLLTKVPNWMGVLGNIRVLVLGILCMAPEDIEILGAIPSLLFLKLATYGGTNGKIIIHGNNRFISLKYFSLGIGACGTALEFEAGSMPKVEHLKLKFRLHELECLNGASDLGIQHLSALGKVEVTIKCNCSKHVYNCFDDFELNCLNYDPMEETSDCIVRYIARTTKTAVETLPKHPTISFQTYSNKKCEHFELVRPWPRSKQLDVSTAGSGRLLMLLLDML >KN541452.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541452.1:25173:27422:1 gene:KN541452.1_FG002 transcript:KN541452.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEGRAVAHKSFPLANKKTGLTSANGKLCQLCEQYSTEALFYLQQNETQTEILSILHHACANVAPLKQQCITLVDYYIPLFFLEVSVVTPEKFCESVHLCRKGTMLRLPTRGDTCGICHHVLVEVLIMLKDPDMQLEIVEILLKACSKADNYVQQCKKMVLEYTPLILVKSQKFLETTDVCSAIHACKTGTQASAETMLLSAAS >KN539691.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539691.1:81082:82003:-1 gene:KN539691.1_FG001 transcript:KN539691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKLLGTWPSPFVTRVELALALKGLSHEYVTQDLVGKSDLLLASNPVHKKVPVLIHNGKPICESRIILEYIDEVFPVDGAVLLPTDIYDRAIARFWAAYIDDKVVQFVTPWTPMFRGKTEEEKAEGIKQILAAVETLEQALKDCSKGNPFFGGDTVGLVDVTLGAHIPGVRAIEVLTGAKIFDAAITPLLVLWAESFGELDAAKKVLPDVDGMVEYVKRRQAQWAAAGAEAAADSN >KN539691.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539691.1:8390:9964:1 gene:KN539691.1_FG002 transcript:KN539691.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSVSSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGTRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYWLEERDGVLYLGWKNRVLVVSSAWC >KN538747.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538747.1:45795:47802:1 gene:KN538747.1_FG027 transcript:KN538747.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAAAVHPGVQLRRAGDTKFVFESYVAMKMFANFHRRDFNLSFLDEREFYDRRRFFEEFTELKAAPASAFLDARNARWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFYAFDGGAEEDGASIFQVRTGARFSEVYMESGLYSTQDGTKDPVHEHPFGCLLLVVCDQELKLICTKQQQKKDRDVERENNHTLRPYNPSGVGDFIP >KN539691.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539691.1:54902:60465:1 gene:KN539691.1_FG003 transcript:KN539691.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGANWVEGVTGKEMNPIWTIVNDELKLRTFNSDYDHLANNTYKQNGGLYEEAFVQKIIDRADEVEESGGKLSASLHASGSEDMSLMAMQRLNDHMPWGPSAAVDMVIDYCKYDYEFAEPPRVTSLQNTKPLPTFNNFGDEVVRDITYYPRGVTVKTEDGQIYRADYVMLSAWKIVSIYQFDMSVYTKIFLKFPKRFWPEGPGTEFFLYASGRRGYYPVWQQFEKQYPGSNVLLVTVTDEESRRIEQQSDNETRAEAVEVLRKMFPGKQVPDATDILVPRWWSNRFFKGTFSNWPIGVNRYEYDQIRAPVGRVYFTGEHTSEHYNGYVHGAYLAGIDSADILIKCAQKKICKYIVQGKL >KN539691.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539691.1:33863:37251:1 gene:KN539691.1_FG004 transcript:KN539691.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGCVPDAVLYQTVIHALCDQGGVAEAATLLNEMLLMGCAADVNTFDDVVRGMCGLGRVREAARLVDRMMTKGCMPGTMTYGFLLQGLCRVRQADEARAMLGRVPELNVVLFNTVIGGCLAEGKLAEATELYETMGLKGCQPDAHTYSILMHGLCKLGRIGSAVRLLREMEKKGFAPNVVTYTIVLHSFCKNGMWDDTRALLEEMSAKGLTLNSQGYNGMIYALCKDGRMDEAMGLIQEMRSQGCNPDICSYNTIIYHLCNNEQMEEAEHMFENLLEEGVVANGITYNTIIHALLRDGRWQDAVRLAKEMILHGCSLDVVSYNGLIKAMCKDGNVDRSLVLLEEMAEKGIKPNNVSYNILISELCKERRVRDALELSKQMLNQGLAPDIVTYNTLINGLCKMGWMHAALNLLEKLHNENVHPDIITYNILISWHCKVRLLDDAAMLLNRAMAAVCPVGDRRIMQILPDKNFKLYLHTKGDDFQHSLGCVKPSCPAGKDRCERKTGRCWRAARRRPGQGGEQGNVGTLEDENASHQNYSLSRELYCIVLVARYQFVRAKDCDNLMTAYTCGCELSNKCAYP >KN539691.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539691.1:22197:25308:1 gene:KN539691.1_FG005 transcript:KN539691.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSFSQDSPPISIISAAKVAGVSLSIDPSLAAGSAPVLCFSSGESLRGINPILNYIAQSSPSLHGRDATESGHVVEWLEYAPTFLLGSEFEVACSFVDGYLTSRTFLVGHGLTIADITIWSNLAGIGQRWESLRKSKKYQNLVRWFNGIDSDYRDTLNEVIAAYVGKRGIGKSPAPNLKEKVNDSKDPSAPEVDLPGAKFGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAEKLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLSLWKEMINGSERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKAYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMEMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFKLTNGPEKSFVRILPRHKKFDGAGKKATTFTNRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELIGELHLEGSVKTTKLKVTWLPDIDDLVPLSLVEFDYLISKKKLEEDENFLDNLNPCTRKETLALGDANMRNLLRGEVIQLERKGYYRCDAPFVRSSKPVVLFAIPDGRQQGSLN >KN538747.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538747.1:134985:139792:1 gene:KN538747.1_FG028 transcript:KN538747.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGFSIREYTASMRGAAGAEGRRLYGLGARDLPLMEARRFRWWADELAAAPPLPPRSPSPSPSPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLALPSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLLEEEEEAAGAASTPVAAAAETLDSEGNFTRKEAHDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNNNKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVNDVLGINKQNICKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKNTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSYGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTGELAESHGDCSSGSVKDAMTKGKSPCALLNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPRLDCNASAGVASSSHAEMGVQQECRPSPGQTVRLMGKDLSVSTTRGEYVSGTHSYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTANALSGDRLQYNDRFSYLSGSQHHGNVLLGSPSLTSHGSAALRQNLPYVWSRYSDPSSSSTASPSAPILPTTAQHVTPSSVYHANLPRSYGVVSAGSSVHPHNSPSFTFTRPRRMVEEASGRRRDAACPSRNAENVAARAAIPEMPSSSSGGRHAQRTGPMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSKSGNASATRNMGAELYKL >KN539691.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539691.1:74016:76680:1 gene:KN539691.1_FG006 transcript:KN539691.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVVMVNKQGQTRVAQYYEHLSVDERRALEGEIVRKCLARTDHQCSFVEHRNYKVVYRRYASLFFLVGVDNDEGLIFYNVSLLSLLPLNELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIHLMEKT >KN538747.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538747.1:10319:12850:-1 gene:KN538747.1_FG029 transcript:KN538747.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLLLLFLTAAHAALQTCGDNQTPGPVANVSRVWSSNTSRDVLLCSVPGNGNEKLSFAAGFYCSSPCDAILFAVYITSGSGDIPVVVWSANRDLAAHQNATLSFTAGGDLVLANADGSVVWSTGTSGQFVIGMTITDSGNLVLFNDDYMPVWQSFENPTDSLLPGQMLAEGMMLRPNSSATNWTTSRQLYFTVRSDGLYAFAGSDQPQPYYRFKLYSLYQYNESITQYQYKPTFVTLVNGSLSIPGYDPLLTELPPAHSLQYLRFESDGHLRLYEWQEFKQRWVIAKDVFELNYCQYPTACGEYGICSSEDCSTEGMNCLTTECSCPNTTYFKPIDNMRPSLGCAVETEISCQAMQDHQLVAIPNVAYFHLWGDSRGAPMTDEESCKKDCLSNCSCKAAFILYLNQTQALLYPDLSLSMSYLNTCYLLPKVLSLQAYLDPDYYSKDPVKPRSTLYVKVQSTHLLPPSKKKTTFGYAIGAAAAALVTLTIISMVIRKRCNRQRADERDFADLPGTITRFTFKMLKAATNDFSSKLGEGGFGSVFLGKLGNEMVAVKLLDRAGQGKKDFLAEVQTIGNIHHINLVKLIGFCVERSHRLLVYEYMPRGSLDKWIYYLHSNAPLDWGTRKRIITNVARGLSYLHDECRQRIVHLDIKPHNILLDDSFNAKVADFGLSKLIEREISKSDSSRRPSMSVVVKTMEGERAVDDTLDYNFFDLSPEISVPVEQLNSSLHPEASVLSAPR >KN538747.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538747.1:58069:59050:-1 gene:KN538747.1_FG030 transcript:KN538747.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAAAAVLLLVFLLLTSSAPFLQARMMPGDGGEIVQEAPSSFSIINDRHLEYEQNEVI >KN538747.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538747.1:73980:78116:1 gene:KN538747.1_FG031 transcript:KN538747.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVDGVSPPWSKKEAVVHLLSEFSSPDHVSISITAHQPCLLKNGLEETETTRASGLPNLRKDSINRVLIESLVESWPGLVFHCLCALNSQQIDSFAIESGREMAGREDGAAAGAMEEGQDSKEVKCESSEDGSNSSSSSSSRCHGNDVISVQFMQKILAEILGTYFMIFAGCGAVVVNLSTGSAVTFPGICAVWGLVVTVLVYSVGHISGAHLNPAVTVAFATCGCFPWKQVPSYVVAQVLGSTMASLTLRVIGELAGLAVGATVAVNVLFAGPVTGASMNPARSLGPAMVAGRYGGVWVYVAAPVSGTVCGAWAYNLLRFTDKPLRDIANTASFLRRSSRRS >KN538747.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538747.1:140466:142095:1 gene:KN538747.1_FG032 transcript:KN538747.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDGAAAGAMEEGQDSKEVKCENSEDGSNASRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGSAVTFPGICAVWGLVVTVLVYSVGHISGTHLNPAVTVAFATCGCFPWKQVPSYVVAQVLGSTMARLTLRVVFGGGGARGEHLFFGTTPAGSMAQAAALEFVISFFLMLVVSGVATD >KN538747.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538747.1:4237:4647:1 gene:KN538747.1_FG033 transcript:KN538747.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGSENERSLANNAAAAAAVDVEEETTKPFRFAPDVEKWTAAEKEATLAFFRAANAEAAKYMKMTEEDVVEEYRRAGKLHRYDPDKEWQKRYARAARAHPPPPCAIPRLPHIQQYLKYLEEDDLDDQKQFSLIN >KN538747.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538747.1:72189:73596:1 gene:KN538747.1_FG036 transcript:KN538747.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVGRGMDELGGVCGYKPPERMEVIGCSVSPSPCSSYQPSPRASYNASPTSSSFPSGASSPFLPHPNNMANGVDGNPILPWLKTLSTSPASKKHPQLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESNVQPTWTGSNSPCVVNSTPPSPGRTMLPDPAWLAGIQISSTSPSSPTFSLVSSNPFSVFKDAIPVGNNSSRMCTPGQSGTCSPAIPGMAPHPDIHMMDAVSDEFAFGSSTNGGHQAAGLVRAWEGERIHEDSGSDDLELTLGSSRTRAAA >KN538747.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538747.1:14556:17309:-1 gene:KN538747.1_FG037 transcript:KN538747.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPVSFLLIFFLTTAGLTLQTCCFDSPGHSANLSTIWTCTSPTIDVLVANPLLSRPVPDNHNLHFAAGFYNYPLVNTYIFGVYTVTDAGEFADMTSWRPEPVAVVWSANRDQLIRQNSTLSFTAEGDLVLRHPNGSLVWSTNTSGQSVAGMTLTESGNLVLFNHNNLPVWQSFDHPTDSLLPGQRLVQGMRLKPNASAVNLTASDLYYLTVHSDGLYAFAGSSNSQPYYEFTVSTGNKSQNLPAYLTLANRSLDIFVPSSSSANLEHLSLQSPALSLQYIRFESDGQLRLYEWQADQKGSTWLYVQDVFPFQYCDYPTACGEYGICSNGLCSCPTATESHIQYFRPVDDRRPHLGCTLETPISCQFVQDHQLISLPNVSYLYYDSSRVPELSDEESCKQACLTTCSCKAALFRYVDNKSAGDCTLVSQVLSLKTSYPGYDSLAFLKVQITPSPHLEKHRLVPLVPVLVGVASFFVMLTIVLVVVQIRRQQDKDGEDEFAELPGMPTRFSFQMLKLATKDFSNKLGEGGFGSVFSGQLGEEKIAVKCLDQASQGKREFFAEVETIGRIHHINLVRLIGFCLEKSHRLLVYEFMPKGSLDQWIYYKDSNDTLDWRTRRNIITDIARALAYLHEECTHKIAHLDIKPQNILLDDNFNAKVCDFGLSRLIHRDQSHVTTRMRGTPGYLAPEWLTSHITEKVDVYSYGVVMIEIINGRPNLDHSNLGGGIQLLKLLQEKAQNSHLEDMIDRKCNDMSLHQQDVIRIMKLAMWCLQSDCNRRPSMSLVMKKW >KN538747.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538747.1:613:2758:-1 gene:KN538747.1_FG038 transcript:KN538747.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNQPISSMCFKAGNEDLVYASAGNKILSFDVRMGPQAKPLDTYNYNRDEINQIAVSSKGFLAAADDSGDVKIINTIQKCLYKRLREAHTSVSLCSATGMFNSDVSSFYDPSLTLILISLQHVNQLFLSFLYKICSSVQFIPWRPWTGSPEMLNGSSGEQCSNPPFVHSIAVSEEGILGGSYKVCVHTSGVKGKFIISGGNDSSVKLWGSHSLRI >KN538747.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538747.1:87194:87765:-1 gene:KN538747.1_FG039 transcript:KN538747.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSLVLGRVIGDVVDLFSPEVTLRVMYNGVRVVNGEDLRPSAVSARPRVEVGDRYSWNN >KN538747.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538747.1:148789:154672:1 gene:KN538747.1_FG041 transcript:KN538747.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAFSIRLLEALDKTNLAGGLDTPQPSRRPDGVHHARTDEERSPDSKRRKKVKITNLDKNKKKKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTRHTSVKMVPKGTEVIEICRKSVKRVKFSEVNDVLGINKQNICELFSDALASSSSSSTDMSSEGDKQHIAAESSSSHMPETATKEASKNADQEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEELNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTGELAESHGDYAFNLNLGGSQPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYTAPQNLSHSFPTTNALSGDRLRYDDRFSYLSGSQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVAARAAIPEMQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSRRGNASATRNMGAELYKL >KN538747.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538747.1:21736:26609:1 gene:KN538747.1_FG042 transcript:KN538747.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGPEQARTWLGFAFRLRCNLGLLGFVLAINVHIAWLIHWTMFELLDLFAWQTVFQQLEFVNKHGADLKVIYSVSGWLKKNPTNYCVKLTSGHKLEEARQEFKDSCSVQVYSIQACIPKDTAVLWNPEFVQAEELFNQPFDVENCLRDNRFCGVLNSFVKRTANGKLVSSLPPKPINSVAAAAPLKASTAPKEQSAKGQRQGLPGSSSPKKGTSNKAEKDISSVLDKATNAPVVKEPSVALQGNKNKAQNGKALPSNGGSLATMWGRASAKPKTPATTNPTVLPSVAVTADAQICAKEEANADSSDDEQAVHYKRGSSANNRKRRAVFDLSDDDEDDNVVAIASPEPPEQCITNSIDEVAQESNPKQENLENKQEVEKDVKCCIGRTDSPECKTKSSNTVNHSGITLKEKNNGPPPNDNKQDHAAETASSSPKRRKVLKTRIDERGREVTEVVWEGEASAGDKTEKNVSDTGAANRATLSSKPQSIAKTEKSNASSKTAGNKKPAKAGTKQGNIMSFFKKMLLDEYGNDMACEVCRLYASRRPCLRHFAVWSDSGMGIVA >KN542394.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542394.1:2958:11357:1 gene:KN542394.1_FG001 transcript:KN542394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVVNGALGYAQSAFAEEVALQLGIQRDHAFIRDELHMMQAFLMAAHDERDEHKVVKAWVQQVRDVAYDVEDCLQDLAVRVGKPSWWRNCSPSMLLERRRVAQKMKELRAKVEDVSRRSKRYRLMDGASSKAATDTVLHTINGVTIMSETEETMQQQERAKKDLVRLISTNDKDLRVIAMWGTSGLLEDTSVVKRAYDDLKINKKFKCYAWIKLMCPFNQAEFLRSIIRQFYVNSLQQSAEAQQGAADLVDQIPHQMGNMDEDADLLVNAFKRFLNEKSYLIVVFTDLSTIEEWDKIKKCFPNNNTRSRIIVCTGQVEVARLCVRRDNVPPEHKQLSNDKTIYVYYEKGSQDGKRSAEPSSSSQGTTTSGNSPTDHERLTRIETMVANLEESQLIDREKDKSHIIKLIKNQDSQQLKVISVWGMGGLGKTTLVQDIYRSEEVSKIFDMRACVTVKRPFYPDQLVKSLTDQLGENFKNLKNCLIVLDDLWSIKEWDAIISQLPNTESSCIITTRDVKIANHCSKEINNIYELGGLENNQARDLLTKKVFKEKINLDEQYPDLVEQANLILKKCNGLPLAIVTIGGFLANQPKSALAWRKLNEHISAELEMNPELETIRTVLLKSYDGLPYHLKSCFLYMSIFPEDHKVSRKRLIQRWTAEGYIAEARGKSLIEIAHDNFTELISRSMTLPIAHKSIKLERGIDYCQLHDLMREISITKSMEENLVFRMEEGCSAKSRGTSRHLVISSNWNGDEYEFENMVDLSRVRSLTVFGEWKLFFISKKMRMLRVLDLEDTEGLVDRHLEHIGKLLHLKYLSLRGCDGIWQLPSSLGDLKQLELLDIRRTNIFMLPKTIIKLQKLKYLHAGRVELVKQKSLTTRCIEVLKIGPELCAICCVAQLSLIDGVNRHGACNFACCLAPRVVMMGLHELYDKPVPTLPRGSRKLKGLHSLQHVHLAWGNTVIQEIKRLTQLRKLGVVGINKKNGPAFCSTISKLSQLESLSVHGDRKAGLRGCLDHGTSSSTSPPPGNLQSLKLEGELGKLPQWIGGLQNLVKLRLWEIELEDADAAIKVLGGLPSLAILRLWEYTFNYGVVCLNFRCREQQEATAVLFPSLRVLQLDRIGVRLKSVLFGGGATPKLELLQFIYRPSSCGVIFLSGLDELKSLREFMLDDSNEYTDDFMRYVKEQLANHPNQNKPVLKRFSRFN >AMDW01028099.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028099.1:29:307:-1 gene:AMDW01028099.1_FG001 transcript:AMDW01028099.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEAECAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMCGRA >AMDW01040055.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040055.1:90:674:-1 gene:AMDW01040055.1_FG001 transcript:AMDW01040055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METTQKADPVEPAAKIVRQTSQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINNYDYKRIPRPNEK >KN541264.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541264.1:3891:12683:1 gene:KN541264.1_FG001 transcript:KN541264.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQLQWFHHLLPSICATQPQGCRAYLSKSLFLFGSLGGNDYNAMLFFGFTVDQARNYTPKIVDTIITGKLIAMGAAEIVVPGVMPVGCFPLYLTMLRSSNESDYDEHGCLRPLNDLAIHHNALLQAKLAGLQARLASKLAFADRFAAGSRSKKARASSASESEMGKRKSRVSKMLATAKKAAPKLETAFSCPFCDHGGAVECSIDIKHMIAEASCFVCQARYSTTAHALTEPIDVYSEWIDQLEEATGLAFRFVIGKSNDKSKMAALEREVQEYDDFVLLDLEEEYSKLPYKTLAYFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCSPECTESSIAVWDIPKCSGLCHPEVKMLELHRRKECTGGPSAVSESDDR >KN541264.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541264.1:14108:17946:-1 gene:KN541264.1_FG002 transcript:KN541264.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHHVCHLGNTLYQKPECKVCRQPTHAETNQTPKRWQEISKGETNPSWHGTDHGFSTVFETCCGSGGGKFNYNNNARCGMSGASACSNPASHLSWDGIHLTEAAYKQITDGWLNGPYCSPAILHS >KN539116.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539116.1:70513:78996:1 gene:KN539116.1_FG001 transcript:KN539116.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAAAIASASASPARCLSPSSAALPRRFLHHLLLAATPPRPTPPLRCIPFHRMAQFWTQGSLDKNKALVEYLKQYGAVRTDKVAEVMESIDRALFVAEGLTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDIGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTENVQRSAAAQLLKDGSLSFHVADGRLGWPDEAPYDAIHVGAAAPEIPQPLVDQLKTGGRMVIPVGSYFQELQVVDKNADGSVTVQNDAGLKVLDADGAEDGVEAGGLELEPLLPVEVLDEEVVEAWVLGELLGVEAVADDAAERELALRRQVADPGAHEVQHVPPGAEALAVEVGRAPAPRAEIAVCAAAGSGGTDSCWVLVCSGWRWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGNALYALMLPVLDGGFRASLQGSPENELQFCFESGRFLLDLLDSEVFELKRTATFGISEEYSGGLFLGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKRFAERLVDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLATSDAMKKYNPQLVYPVQSPDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAIARASEDFMPREPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSSTPSGSLSRLQKHQTMGVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDSSEITIQIQCRGPGRFGAYSATRPEICRVDEHEVEFRHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >KN539116.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539116.1:33916:36851:1 gene:KN539116.1_FG002 transcript:KN539116.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAGEELHNLGPAAADSFCAAASRPSWSSTARVGAASSPSRRHPPSGHTESPAAAAARAEAELGEVPAVLPRYRLAVASSKNTARMASNIERRIGLSPEWAEAAKSNMCYEKSTRTRCDVQCPIKSKYCLQDTARHRRHLSCSASSLVLLPPQRQHLSRPRRLGDRTGHHHEPTYDDVCGQGTGHAEVVRVHYDPKACPYAVLLDVFWAKHRPTTLIRQGDEAGTQYRSGIYYYTAEQERVARESLEAKQEEWKEKIVTEILPARRFYPAEEYHQRYLEKGGQSAQKSCTDPIRRYG >KN539116.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539116.1:5964:8183:1 gene:KN539116.1_FG003 transcript:KN539116.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWPVKAVSVGYKGAGGKNEQYNLEDVDSVPSKMSNKLVNGNNKVPATLDDYKRLLVPVIEEYFSTGDVELAASELRSLGSDQFHSYFIKKLISMAMDRHDKEKEMASILLSALYADLLGSSKMSEGFMMLLESTEDLSVDIPDAIDVLSVFVARAVVDEILPPVFLTRARALLPEFSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTHFTVEEAKRRIQDILKEYIESGDIDEAFRCIRELGLPFFHHEVVKRALTLSMENLSSQPLILKLLKESTAGCLISSNQMSKGFCRLAESIDDLSLDIPSAKILFDKLVLTATSEGWLDASFNTSSAPNEDMRNASGEKIKHFKEESGHIIQEYFLSDDVPELIISLQELSAPEYNPIFLKKLITLAMDRKNREKEMASALLSSLSLELFSTDDIMKGFILLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEIGNRLRPNSSGSQTVQMARALLAARHSGERILRCWGGGTGWAVEDAKDKIAKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMEKENEARILALLQECFGEGLITINQMTLGFTRVKEGLDDLILDIPNAQEKFVAYVDLATERGWLLPPFA >KN539116.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539116.1:119748:120744:1 gene:KN539116.1_FG004 transcript:KN539116.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGAVIHRVFLPLLLLLVVLGACHVTPAAAAAGARLSALAKALVVEASPRAGQVLHAGEDAITVAWSLNATAAAAAAGTDAGYKAVKVTLCYAPASQVAYGETASSASFAVAGVTGVTASIEVAAGVLSAFSVAALAVFLVLENKKKNK >KN539116.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539116.1:27:2851:1 gene:KN539116.1_FG005 transcript:KN539116.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVASTATSFSYHKPRFAVECRKKDRDRDRERPEREKEHKYPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLLNLYLDGLLDKS >KN539116.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539116.1:122836:125691:-1 gene:KN539116.1_FG006 transcript:KN539116.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRADEADNWGTNKRFTPALGDSGRRDRFGGPSPAGRSDDIDDWSRDKKPMPSRYPSLGSGGGGDGGGGFRESPGFRDSPGPSDSDRWSRGGSFAPMPHNGERERPRLNLDPPKRDPLATATPPAEVARNRPSPFGAARPREEVLAEKGLDWRKMETEIEQKTSRPTSSQSSRPNSAHSSRPGSPGSQVSAVGSEGAPRSRPKVNPFGNAKPREVVLQEKGKDWRKIDLELEHRAVNRPETNEERILKEEINLLKEKLKESEANKTDGPDQASPEDPEDLSEKITQMEKQLELLTIELDDKVRFGQRPGSGAGRVSAVPPAIAEEPQIVVSIVDRPRSRGGMEPFPKPAEERWGFQGSRERGSFGGSRSSDSYSSCMVIYHVLIISQVALQLWTALNRFCFDSVQANDKAEVVRYGV >KN539116.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539116.1:106602:117778:-1 gene:KN539116.1_FG007 transcript:KN539116.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICGLCGMECRDGNAQILKDGFKVCSKCYANNDNKGEANIHPGDKKERIDNHSSSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTVNGKLDYRLHKIQTTDGKVNKSTVKESSSQPTETVDDMQIDGNEDGADKSVEEHPTKHRRLFSSIDTTVSLMEQLAHLTTSTSPDVVAAAADAAIKALGNENPQARRAFQLSEKEYQTRAFSSNHARQRDYCSDDVGGGDRDVEMHGHPDKKQGKMFISTAYQVRAAVATSIGVAAARAKMLADQEEREMELLMASIIETQVKSLDLFLFTSAATEGHAPPFPPTRRRQPKTPPLLDSRFPLTSRATSPLPVLRLRTRGCSRDVESSMLCCRSKLICNITGDIINKSEEHIWKHINGKRFLNKLEKLEEKMASGEMAEGEAEQSNEGEKKTKSRKKKDKKKAAVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKDRWTSSPVKDKDDAAEDEDGDDDGDDMADKDDEETREIASSPIAAPFSGKLETHEQYCKGLHEHAIAGFRHHQTPACSLALARTPFFFYMERLRTSRIHVYGFIILLLLLLLVQATAAATSRCPAQQAAALLRLKRSFHHHHQPLLLPSWRAATDCCLWEGVSCDAAASGGGVVTTLDLGGHGVQSPGGLDGAALFQLTSLRRLSLAGNDFGGAGLPASGLEGLAELTHLNLSNAGFAGQIPIGVGSLRELVSLDLSSMPLSFKQPSFRAVMANLTKLRELRLDGVDMSAAAGDWCDVLAESAPKLQVLTLKSCKLSGAICSSFSRLRSLAVVDLSYNQGFSDASGEPFALSGEIPGFFAELSSLAILNLSNNGFNGSFPQGVFHLERLRVLDVSSNTNLSGSLPEFPAAGEASLEVLDLSETNFSGQIPGSIGNLKRLKMLDISGSNGRFSGALPDSISELTSLSFLDLSSSGFQLGELPASIGRMRSLSTLRLSECAISGEIPSSVGNLTRLRELDLSQNNLTGPITTINRKGAFLNLEILQLCCNSLSGPVPAFLFSLPRLEFISLMSNNLAGPLQEFDNPSPSLTSVYLNYKQLNGSIPRSFFQLMGLQTLDLSRNGLSGEVQLSYIWRLTNLSNLCLSANRLTVIADDEHIYNSSSSASLLQLNSLGLACCNMTKIPAILRSVVVNDLDLSCNQLDGPIPDWIWANQNENIDVFKFNLSRNRFTNMELPLANASVYYLDLSFNYLQGPLPVPSSPQFLDYSNNLFSSIPENLMSRLSSSFFLNLANNSLQGGIPPIICNASDLKFLDLSYNHFSGRVPPCLLDGHLTILKLRQNKFEGTLPDDTKGGCVSQTIDLNGNQLEGKLPRSLTNCNDLEILDVGNNNFVDSFPSWTGELPKLRVLVLRSNKFFGAVGGIPVDNGDRNRTQFSSLQIIDLASNDFSGSLQLQWFDSLKAMMVTREGDMRKALENNLSGKFYRDTVVVTYKGAATTFIRVLIAFTVIDFSDNAFTGNIPESIGRLTSLRGLNLSHNAFTGTIPSQLSGLAQLESLDLSLNQLDGEIPEVLVSLTSIGWLNLSYNRLEGAIPQGGQFQTFGSSSFEGNAALCGKPLSIRCNGSNAGPPSLEHSESWEARTETIVLYISVGSGFGLGFAMAFLFQVFRGK >KN539116.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539116.1:83724:87163:-1 gene:KN539116.1_FG008 transcript:KN539116.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACPTPLLLLLLLLLLPLGEVVSALARNNIMVILDNQMTTPGWCCSTTDGNGFFGDKYFDPEEWLNGLKTMATMFRKTKNVVGMSLRNELRGPYANVSLWYRYMKEGAEAVHTANPDVLVILSGLEFDNTLNFVVPNQIHLSFTGKLVFEQHWYGFSDGGNWESQNQNDVCGMVVGFIKNKGLFLLQQGWPLFFSEFGFDMSGTHTGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSQEPYNVIFHPLSGLCVVVKSSEALELGPCDESNAWNYTSTHELVLQHTGQCLQAKSVGENAQLGTDCSKSSSKWQLISNSGMHVSTELTKNGTGVCLDATPDGIITTNPCKCLTGDPICNPESQWFKIILSSRHTGTSILQLPSDGPWSPTSSS >KN539116.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539116.1:21651:23336:-1 gene:KN539116.1_FG009 transcript:KN539116.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWADLDALRPAVAADVQVVTSDGKSIAAHSFVLGTASPVLERMIERARRGWNAECTIRVLGVSSDAVFAFLQLLYASRVTPEDEEVVTAHGPQLLALSHAYRIGWLKRAAEASVTARLTPEHAVDMLKLARLCDAPRLYLRHFKGKMRAEKADKTWRLLVKKVTRARAMSRLAAGREREVVPEVVAASWARYSSSGGAARLR >KN539116.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539116.1:9361:11737:1 gene:KN539116.1_FG010 transcript:KN539116.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGRTGEPEATGVEAASAPERSESSRCMPFEDCVAGIKSSLKSTSAPPFYFKLSANETFPNTATVYVQITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQCIHMFAYPVQTKLAMSWEGRGDGDVEDDVMALWVMVCERTESHAGLTPSHHQPIQDTAAVLIGGEHTSHSQLENSRNQGAIGKPIGKPELHTEDSKVVKGWGWSTPAIT >KN539116.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539116.1:41723:45573:1 gene:KN539116.1_FG011 transcript:KN539116.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRSLVAVCAAVTAAMWQRDPTKNAAGSGLGPVTSAVMAALLAAIVSLYRYKERMNPYALLVLYSLHVYLALELVLANSTSRANLLFPSRPIDRTPMMAGGDLRSLVAVVAAVAAAMSYVRFVARRLRPGLPRLAAFVPVLAALPVIPLAFRALHLRVTSGFFLGWLAEFKLLLLASGHGPLDTSLPLPAFVAIASLPVRRRAQRDSENAPRPRLGLVTSAVMAALLAAIVSVYPNKERINKYVLLMMYSLHVYLALELVLAFTAAAARAVMGMDLEPQFDRPYLSASLREFWGRRWNLSVPALLRQCIWSFKTYRFFM >KN539116.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539116.1:79410:81531:-1 gene:KN539116.1_FG012 transcript:KN539116.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWATFMVTNASYSSLTVAQSFQRLNLTESLAAIRVNNPSLVDLKLIDAFKARSCHSTYYLSSIWFDAVVSSLGENGVMVILDNHVSKPGWCCGNNDGNGFFGDAYFDPDLWVDGLTKMATMFAAVPSVVAMSLRNELRGPRQNSADWYKYMQRGAEAVHAANPRVMVILSGLSFDNDLAFLNSRQVNVSFAGKVAFEVHWYGFSDGQAWRAGNANQGSYYLREGVLGLDEVYGVLDWAWCKPRNGTALTRLHALQRPFRG >KN539116.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539116.1:136401:139585:-1 gene:KN539116.1_FG013 transcript:KN539116.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNRLMSLRRDRRRRRRHHRPQIRARSGGLIASTGKRKTSPCQQDDYDGDSQAGKIMRNSIPDLPEDILFRIQSFMSMREAARAACVSRAFLHSWRCHPNLIFNKDTIGLKRNAFGENFHGKIGRILRNHSGISLKTFQLDYSGMCGFDGTSYLDSWLQIALKPEIEELTLFLPETNRQYSFPCSLLSDGVRDSLRYIKLRCCALHPTPELGPLRSLSSLHLLYVSITWAELECLLSNSLALEHLELNHCKGIICLKIPCTLQQLSSLNVVECSGLKVIESKAPNLSILFVRGSRVIFSLVETLQIKKLDMGRAICDARAKLPSIMPNLETLVIESGHEVVDAPMLPTKFLYLKHLTIHMITGSTISRPYDSFSLVSFIDASPSLETLILNVTQVRMVHESIFTDSQLRHIPGHRHGHLKSVKITGFSSAKSLAELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPMIDGVLTEAPRGLAAIRTYIEDKVPSTVNLIVLEPCSRCHVRRRG >KN546148.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546148.1:345:602:-1 gene:KN546148.1_FG001 transcript:KN546148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAANELPDELLESVFLRLASPICLVRAASVSLIRAASTCKPWRRRVVADAGFLRLYRSRNALTIGNYIASDTGIFANWSRPSPSCR >KN539116.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539116.1:88616:92108:-1 gene:KN539116.1_FG014 transcript:KN539116.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWPTYLATNATLANLPLRASLERLGMPESVAGVRVNNPGLLDLPLIDVFQEKPMEVVSALAKNNIMVILDNQMTTPGWCCSEKDGNGFFGDKYFGPEEWLKGLSAMATMFRNTKNVVGMSLRNELRGSKQNVSLWYRYMQLGAEVVHAANPGVLVILSGLNFDNTLDFLVPNQIQLTFTGKLVFEQHWYGFSDDGNWGSRNQNDACGMVVDSIKKKGLFLLQQGWPLFFSEFGFDMSGTHVADNRYITCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINALQSPFQGPGLPNSHQPYNVIFHPLSGLCVLVKSSEALELGPCDKSNAWNYTKGYELILKQTGQCLQAKSVGENAKLGTSCSKSSSKWKLLSNSGMHVSTELTNNGTRVCLDASPDGNITTNQCKCLTVDPNCNPESQWFKIILSSKHIPGGTSILQLPSHGPWSPTSSS >KN539116.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539116.1:95948:98936:1 gene:KN539116.1_FG015 transcript:KN539116.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQAPEFLASCLLLLLATILLFKQLLAPSSKKRAASPSLPRPRGLPLIGNLHQVGALPHRSLAALAARHAAPLMLLRLGSVPTLVVSTADAAAGPDWVKTVQEAAASVVRTGRLQFTDKRMVVPVADRHWFSRRDQVGNAGAEGR >KN539116.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539116.1:39868:40822:1 gene:KN539116.1_FG016 transcript:KN539116.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSLASQEGNDVGTQYRSGIYYYTAEQEKAARDSLAEKQKEWKERIVTEILPATRFYPAEEYHQRYLEKGGQSAKKSCNDPIRCYG >KN539116.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539116.1:15361:15686:1 gene:KN539116.1_FG017 transcript:KN539116.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPASGIVRLVALVFLLIFSSSLQQQAGVGAIRLHDRKQHGEQWEEERTQMRSFMTMDYSSVRRRRPIHN >KN539116.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539116.1:45833:49945:-1 gene:KN539116.1_FG018 transcript:KN539116.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKPSFLRLVRYADAHDRCLMALGVLGSFGDGMMQPLSMLVLGDIVNSYGGAGSARSAFSSGAVDKFALRLLYVAVAVGACSFLEGLCWTRTAERQASRMRRLYLEAVLSQEVAFFDAAPSSPSQAQATTFRVISTVSDDADAIQDFLGEKLPMARAAYEEAGGIAQQAVSSIRTVASYTAERRTVERFRGAVARSAALGVRQGLIKGAVIGSMGVIYAVWSFLSWIGSLLVIHLHAQGGHVFVASICIVLAGMSIMMALPNLRYFIDATAAASRMQEMMEMLPPLEAAEKKGATMKKIRGEIVFKDVHFSYPSRPDTRVLNGFNLTISEGATVGLVGGSGSGKSTVISLLQRFYSPDSGEISMDGHGIDTLNVEWLRSQIGLVSQEPVLFATSIRENILFGDETASLKQVVAAAKMANAHEFIVKLPHGYETHVHKQLRIYSACYNTLNLMVFSSPVQVGQFGTQLSGGQKQRIAIARALVRDPRILLLDEATSALDAESERTVQDALDRASVGRTTVIVAHRLSTLRKADTIAVLDAGRVVESGTHDELLGMDDGGEGGVYARMVHLQKAPPVAAREERHRAVDVVESEMVSFRSVEIMSAVSATEHRPSPAPSFSSVEHSTEMGRKLVDHGVARSRKPSKLRLLKMNRPELKQALLGCVGAVVFGAVLPLYSYSLGSLPEVYFLADDGQIRSKTRLYSLLFLGIAVVCITANIVQHYNFAVMGERLTERVRGQMLAKILSFEVGWFDEDENSSAAVCARLATQASKVRSLVGDRMCLLVQAGATASLGFSLALAVSWRLATVMMAMQPLIIASFYFKKVLMAAMSKKAKKAQVQGSQLASEAVVNHRTITAFSSQRRMLRLYEAAQQGPRRDNVAHSWFSGFCLCLCQFSNTGSMAVALWYGGKLMAKGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPTIKDDDNDNERKKKKRKEIKGAIEFKNVHFSYPTRPEVAVLAGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDAQRGSVLVDGEDIRSYSLARLRSQVALVSQEPTLFSGTIRDNIAYGAAEEHATEDEVARAAALANAHGFISAMERGYDTRVGERGAQLSGGQRQRIALARAVLKDARILLLDEATSALDAASERLVQDAVDRMLRGRTCVVVAHRLSTVEKSDTIAVVKDGRVAERGRHHELLAVGRAGTYYNLIKLQHGRSPCLSPM >KN539116.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539116.1:132397:133035:1 gene:KN539116.1_FG019 transcript:KN539116.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFTISGLREAAMLYASRDDPIKVIVTDVELRQVPALLELLTKASRLPRGWDLVRDHKLRQLARLANEIVVIVDVLVPMLRENALHHDAGRLLLQYGWSLPHIARHVDGPEGPFHAVAVTAGNFLRHHVDHMINGTRDRDWLVANVERVRDKVADLNTMVVFIPELGLDDGPDGGEDDPEFGGDDGSDDGEDGPESGSPQGDNEEADDA >KN539116.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539116.1:19052:19437:-1 gene:KN539116.1_FG020 transcript:KN539116.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVDHGLPIPRTPTNDGGKADKKEARRMGPVVANLSFPVFTAIADEDARMDSVIPPLATAAAVEQFPSSLSQGDPLLRCARAA >KN540325.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540325.1:834:1196:-1 gene:KN540325.1_FG001 transcript:KN540325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTDEAGDSSSTVIAIVGRRIPLPRSSAVVGGGWGFPRSSPSPSTLVARPREVKMSEDLPATSSSGLSQTALLRTPSMEEDEEEDGELLVEDMKGNFVSSPGGGAKMISKIYKMAKMTK >KN540325.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540325.1:38672:39544:1 gene:KN540325.1_FG002 transcript:KN540325.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLKEMTVQLEKITKLHRDFKFNFSSDVQEILPGGRLATSPVVEEAEIVGRIEEKKKIVADLSSKILTHGFIILAIHGLGGIGKTTLAQLIVSDEQFKEYFLVWIYVSEVFDLKKIENSIFSQLSKRIPVMTDLETATANMNIPIVLDDLWEKNDFQLDQLKLKLQALLKLAKVIVIVTTREEAIGKNVSEHIEPYNVEGLTDKMYWHIIKHKSDFVNRDDKEQSELEPIGMEIARKCKGVALAAQSIGYTLHFKGPDEWESLKNSDIWNLSTSEDTSSSTHHVLAYP >KN540325.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540325.1:35433:35846:1 gene:KN540325.1_FG003 transcript:KN540325.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLSAAVVKMIGDKIGSAIVDRAMMQWNLKDDLEDMEMALEFVMALLEDAEARSIKSKAVRLWLKRLKFAAYDISGMFDEFEADLFTKQAAAKVLCCLPSKILIIQFYDFYAAKQDLLFLIYTHFMIHYLEK >KN540325.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540325.1:30832:33243:1 gene:KN540325.1_FG004 transcript:KN540325.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVQWWEEWQLRILVLGSLGVQCYLAIFANARKKHIRPLFRFSIWLAYLGGDALAIYALATLFNRKKKLQYKTGSHDLEVLWAPILLIHLGGQIFSISAYNIEDNELWRRHIVTAVSQVIVAIYVFTKSWSPSADKRLLAAAILLFIIGVLKCFDKPMALMKASFTSLVSNFHPSPMTESSDREVEQEEYIQRAKDFMHPKKDATSSSSKPKSTISEEHLSIPDKLFVDFAYSYANRLTKLESFWLLDADRIYKALCKGLSVTFDLIYSKVWKFDDQNRSEPLFGDGLSILVWLITITVPIVPIGLFHSSQKEAYSVIDINITFILLYVSYFLEFATYITWGYYSVNGWSNLVFQHNLIGFLASKKRHKKLMAILNCLQCKGLLDQYFHLEPCYTSEDITNLLSAHAKEGWLNCIMDVQSYWKFSDSRGHWTIERIECENIVIQSSIEKPFDESIILWHLATDFCFHHKDASPESDECTKLCRQISNYMMHLLFANPEMLLPSSRRVLFTTANEELEDILQGDDVSLLDEKELTQKIIKKAESAGFGFTRDVWILAEELKQLGDEKKMWEVIKCVWIEMLCFSAGRCRGYLHAKSLGTRGEYLTVVSLVMSHAGLETFAERQQRVKLRLSKEERVRIARQRIEAEKNQADGAASAEV >KN540325.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540325.1:50209:52764:1 gene:KN540325.1_FG005 transcript:KN540325.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNVALFTMHDLVHDLARSVMVDEILVSSKQDNNSESSYRYALLNDSSKPLKSFTEFHTKLRALRFVDYTKAGLRDDAFSGVKYLRVLDLSEYSVQKLPCSIGQLRQLRYLSAPGIKDTMVPDCIAKLSNLLYLNLSGSSQLLSLPESIREMDSLMHLDLPGCLGIQRVPQSFGKLKLSYLDLSNCSSLKGVSEYLGNLSKLQHLDLSYCQYVEKLGNLGSLTELQYFHFSTSSCSPGLSQTDVLGTFTKLECFKIKGVPEALSILTKLQYLNLSWCSSNCSRNQSPLRDLEEVVAKLTEFRNLNLSGCLNTLQEGEIEAEVVCQNFLASVCSLSNLEELDLSHNYRIRTLPESIGDLRKLHTLTLRRCLGLSQLPRALREIDNLKHLNIADCFLDMSTVPKSNSSLILLPRFAVQALDDGSGSNLVLLQNVNRATTLEINKLENVVTVREAQRRCEETFGRSDLVGRARTTNKFVEVSATRIYLVDLPKCTCLPPLGQLPQLEILSLDGMNGITKIDGEFCGGAGAFPSLKNLYISNMESLEEWQTKYSCSEGGGVRELMSPMLKLLKIDHCPKLSIKPCLPNKLVDLEIESSDNFCFSKKDGRQIMQVADEWRMLRQLAPQSSLVIESCSDVGSSSPEIAQALSSLKKLTLRGNDDTTELPNWMGQLTCLETLVMSTRCLELKASRGVTSLTVVRVRLLKCESMVSLPEWLGDLPSLRTLWIDGCPSLNNLQGHG >KN539447.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539447.1:96303:97572:1 gene:KN539447.1_FG001 transcript:KN539447.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGAARRAPAAAYYECTFCKRGFTNAQALGGHMNIHRKDRGAGGKSQGGGQHHEGGGSGSDIVNAVENWRFASSFINVLVKNNPFNHPHKKDFSVGSKAC >KN539447.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539447.1:13424:16138:-1 gene:KN539447.1_FG002 transcript:KN539447.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYSKTGLEFWASLPIGLPQPRRKHDGVASMLSRGLRKVQAGGQMGGFGAKRMLVDKGIEVLFYMSYQIMQLPIFQPLREKYQTYFSSWFWDKVFPLAGDVSLKNFGIGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLLKQGIMVEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIRHTMKKIGAQRAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDAVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISQTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >KN539447.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539447.1:84330:85418:1 gene:KN539447.1_FG003 transcript:KN539447.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPVDKPPFTLGDIKKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVGIPALPSILRLVAWPLYWAAQGSVLTGVWVIGHECGHHAFSDYLLLDNLVGLVLHSALLTPFFSWKYSHRRHHANTGSMEKDEVYVAKKKSALPWYTPYVFGNPVGRLVYIALQLTLAWPLYLAFNLSGQPYPRLVTCHYDPYSPLFSDQERVQVVVSDAAILAVLLALHRLTAAYGLWWVVRVYGVPVMIVGALFVLITYLHHTHRALPHYDSREWEWLRGSLATVDRDYGVLNRVLHNVTDTHVLHHLFPSMPHYHAMEATRAARPVLGEYYKFDRTPIIEATWREAKECMYVEPRERDGIYWYNNKF >KN539447.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539447.1:54785:55957:-1 gene:KN539447.1_FG004 transcript:KN539447.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRPTTVKEGKKLEPPRRAGNHAAVQRSPVDKPPFTLGDIRKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVVIPALPGVLRLVAWPFYWAAQGCFLFGVWIIAHECGHHAFSGHALLDDTLGLVLHSWLLAPYFSWKYTHQRHHSNTSSQERDEVFVPRFKSDLPWYSPYVYKYNNPVARLLLLVVQLTVGWPMYLVFNTWGRQYPRFASHFDPSGPIYKGRERVFIAISDIGMLAVSLALYWLAEGYGFWWVVRVYGVPLLVVNAWLVVVTYLHHTHRAIPHYDSSEWDWLRGALATVDRDYGFLNRVFHNITDTHVLHHLFPAIPHYHAVEATKAIRPVLGEYYQFDPTPVVKAIWREAKECIYIQSEDHKGIFWYSNKF >KN539447.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539447.1:101240:102950:-1 gene:KN539447.1_FG005 transcript:KN539447.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMRGASPVVVLVLVASVLAPGAMSAKFVFTNNCHEVLYPGVLTPATAQAFPTTGFELQPGASAAYDGVPDNWSGNIWARRLCSTDASGRFSCESGDCGTGRVEGYYALPI >KN539447.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539447.1:31147:31401:-1 gene:KN539447.1_FG006 transcript:KN539447.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDYGFLNRVFHDITDTHVTHHLFPTIPHYHMVEATKVIHPVLGEYYQFDPTPVVEAIWREAKECIYIQSKDHKGVFWYSNKF >KN539447.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539447.1:86313:86678:-1 gene:KN539447.1_FG007 transcript:KN539447.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWRMTGERGGGRSGRRASVAADRQWARELFLPLINTRWEYETRRRDENKETMLEHSYVDMLLDTNLPDDSNRALTDDEIIKLCSEFLNTGTDTTSTALQCIMAKKRSKEVAGDEVKFA >AMDW01038675.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038675.1:236:553:-1 gene:AMDW01038675.1_FG001 transcript:AMDW01038675.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLEGDFESYVRQMRKPHVWGGEPELLMCSHVLRMPITVYMYTKGSDSPRIIAEYGQEYGKDNPICVLYDGYGHYDALQPSL >KN541106.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541106.1:5:487:1 gene:KN541106.1_FG001 transcript:KN541106.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPISEGTFITVAGKAHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAN >KN541106.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541106.1:26009:26837:-1 gene:KN541106.1_FG002 transcript:KN541106.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFGAVVVCAAVVMAVTAVADGEAAVAVVVGLAKCGDCTRKNMKAQDAFKGLQVAIKCRNGDGEYEGKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVSLLVQNK >KN541106.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541106.1:19835:20261:-1 gene:KN541106.1_FG003 transcript:KN541106.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALACASHLRRLGAGAPARSFHAHPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQSLLHARTLRLKKLGIPCKQIEQRVVIMLVSSAIQHFGM >KN541106.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541106.1:15002:16669:1 gene:KN541106.1_FG004 transcript:KN541106.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRVLALLAVLMAVAAHGEAASVVVGLAKCGDCTRKNMKAEAAFKGLRVAIKCKNGANGEYETKAAGKLDGAGAFRVPLAADLRGADCVAQLHSAAHNNAACPGQEPSRVVQLSERTFVAIAGKTHYASPVCASATICEPIKKHFFDHFHHNKPAPAAPSTKPAPKPHPDQPPHPKPTPTPSYGTPSPYHPPARN >KN541106.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541106.1:31298:31483:1 gene:KN541106.1_FG005 transcript:KN541106.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSSSAECTSAFLCPFFDYFYKRPQGPKPTPANGGGAANGGGAAAPAPSPPAGVSQLNF >KN539996.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539996.1:42565:48505:-1 gene:KN539996.1_FG001 transcript:KN539996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSQIVVLTLLMLVGGEVFVSFLGLMLRLKHKHNPEFSGDKVSSVPIEFDTIEPTRTVMSSEELQIEAAVAEVPSSTIKDLKRSKRMRWFLGFVVFSYFVVIHVVGFLLVLWYISRVSSAKAPLKKKGINIALFSFSITVSSFANGGLVPTNENVAIFSKNPGLLLLLIGQILSGNTLYPLFLRILIWFLGKVTKLKDLNLMIENPDELQYDYLLPKLSTAFLASTVIGIMASLVTLFGVVDWNSSVFDGLSSYQKIVNALFMSVNARHSGENSIDCSLIAPAVLVLFIILMLVHDTSSIYVSCRHPTNTVSFRYLPPSTTFALSNGDEKTANKKKKRKLGLVVRNLAFSQLACNAVFVIVAFITERSRLRNDPLNFSTLNMIFEVISAYGNVGLSTGYSCSRLQKLHPDSICQDKPYSLSGWWSDEGKLLLVFVMLYGRLKAFTKVTVTGLATIQMENLSSSQIAVLTLLMFLGSEMFLSFLGLVLESSKQNKHDPENRRVSSVTVCDQSHLEEAIPQTPSMNSTDIKKRCLKYLVFVVLAYMIIILVTGSLLVFIYIAHVPSARDVLTRKSINKALFSISVTVSSFTNGGLLPTNESMAVFSSNNGLLLLLIGQILAGSTLFPVFLRLVIWALRGLRLAKAEEPDFMMNNSSSVGFSHLLPNLHTIFLAAVEVALVAMTVILFCCLNWDSAVFAGLTSLQKITNALFMAVNARQAGENSIDCSLVAPAALVLFMVMMYTPSLTKLFSACQDHKRIGPKSDDRTSKGKPFLKTMAFSPLAFNTTVIMLVCITERRSISTDPLNFSTFNIIFEVISAYGNIGLSTGYSCSRQLQHQEGISCHDKPYSFSGWWSEPGKLILVLAMIYGRLNSKDSTSTRTR >KN539996.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539996.1:6100:6498:-1 gene:KN539996.1_FG002 transcript:KN539996.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIQGGAGFVAQLVDPFHAEVVAGMEGLKAASANGISHAVLESDSLMLVQALRGSNFRLAPMGGLIHEIKMFIDMHFASFSVVHCPRVCNKLAHEFANLGCNSSLPSCSWDGVPPGLEGLVAGDLAASES >KN539996.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539996.1:33162:34223:-1 gene:KN539996.1_FG003 transcript:KN539996.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSAVFQVCLLPLPIAPCSLSDCPPSSASDLTICDILHLLIVIIGAVGGGAAIGGGAAAGAASGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >KN539996.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539996.1:12925:26441:-1 gene:KN539996.1_FG004 transcript:KN539996.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIYFDYKKQINIFLRYKQAVQKRVKWVGTSKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKEIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTGCENGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAVFSVTKGITAGMHASGKKLQEVLEEAIVHPLHIEGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSEPPLGSHVHTPKFPTMPSKKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTASAVAGNGSGGGSMFVDGGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKATATTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVELAKLLLSEGQSHLFEHWPEPGVDDDKKRNFFDQVRRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFSPSVPSGEVLTFGDDNFVSLEEAGVKEARHAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKLVEGECNTKIPFVIMTSDDTNALTVKLLESNSYFGMEPSQVHILKQEKVACLSDNDARLALDPNDKYKIQTKPHGHGDVHALLYSSGLLEQWKSTGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILEIGPYMEELQKTHVVSPILVLLIMEVVLSYYATRYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDAWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVIDTFNGQEVEVWPRITWIPRWGLIFKDVKAKVHSNSSVSQRSTLVINGKNITIQGLSLDGTLIVNAKDEAKFNVTGHIKNKGWTIQHVDHKDTSEKEEIRIRGFKFNKVEQLELNY >KN539996.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539996.1:55602:57599:-1 gene:KN539996.1_FG005 transcript:KN539996.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYHDFIHNKLQSFGRIGRYFVNFVVLAHRFIALHIHPFWIQLSYFLLISILGSVLLMSLKPSNPEFRPGYIDMLFLSTSALTLSSLITIEMEVLSSSQIVVITLLMLLGGEVFVSFLGLMLRLNHKHNPEFSGDKVSSVPIELDTINSASTVISCEELQLEAAIPEVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVAGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANVGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRLLIWFLGKVTKLRELKLMIKNPEELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMAVNARHSGENSIDCSLIAPAVLVLFIILMYLPPSTTFALSNGDEKTANKKAKRKLGLVVQNLAFSQLACISVFVIVAFITERSRLRNDPLNFSALNMIFEIISAYGNVGLSTGYSCSRLQKLHPGSICQDKPYSLSGWWSDEGKLLLVFVMLYGRLKAFTKGTGEYWRLW >KN539996.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539996.1:7556:9386:-1 gene:KN539996.1_FG006 transcript:KN539996.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >KN539996.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539996.1:38388:41081:1 gene:KN539996.1_FG007 transcript:KN539996.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRSGGSPDFSYGNSDGIAHNRSVILATIHGYYKEALAALPLDDLPELAPLLVAAGVCFGFADPTTNIIANTLSFLPDNPHPPDHGAKKRKRKTNAAPLAAAARSSSETRAIAGRSLEGLVTFLTSYFRYLPTWDALRYLRLVNADLLVAVRLIELNRCCYNTKDERFQISSYAARAALTCAASSARQPSVDGFIAPSFSLASHLEFVTQAVIIAHRGSCVLSVEKILLLSGILNKKKKKPLTLDKSDNQMIFADERRLRNCHTGDANGEKVPGGLTISLRAVLLDKIHTKYIKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIINTLWYDSAFPAVEKLEVDMICISTFVRVESRSLRGLIKQLLTCIPEISEHDAMIYLLKNNLKVRKVVEMAGVEGWESSWDVSAYKAAADASFHPELEAYVQFSTQSLPKGYLYDLQVICVANERVGSQKNFLDFRCPYSHNDSFLYFDANLFFGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNAKIISGGKWAGNRVGMCGDDYIYFDPTRDAKFTQCMNRSASRANISWSDILRVNTN >AMDW01046550.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046550.1:103:499:1 gene:AMDW01046550.1_FG001 transcript:AMDW01046550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYENIKLLKKSFTYRDKA >AMDW01038008.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038008.1:46:204:1 gene:AMDW01038008.1_FG001 transcript:AMDW01038008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATFDCLMKTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPTEKIEA >KN538898.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538898.1:66436:75243:1 gene:KN538898.1_FG001 transcript:KN538898.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGCCKISMVQEATELLKDAVFKGFVPDLVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDIITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKRRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKVSPVWQKVSPQDNIFICELRILTWGDAYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMSSHTYYLGEEACIAQDMVSATLFFTSVSRGLATSVCSRYQVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNFDHFILTEMERENQEHINNYTSVNDYAVASNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSPALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFASQGFDQMQILYPLMHLLQKQRWS >KN538898.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538898.1:143264:145158:-1 gene:KN538898.1_FG002 transcript:KN538898.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFAPHDAFSLCTPLLAPPYASTGLPPSRQAPPSGLSSLDLAVGSQIRLLRGWIRHAKPLRPPVSAQIRAAPPPAPRRSFVGVDFPIAAFLAGCLGSGGGEVARRGEGQGAAARVARMHALVEYESSQQAEKAVEKLNDERNWRKGLRPKSVMRLKRTEFDLNSDDEQSPMSTDLSPTATAGELSAEAAGHDQGGEQQMMMNSSSKKGGGWARGGRGKLQVCS >KN538898.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538898.1:40629:42345:1 gene:KN538898.1_FG003 transcript:KN538898.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTDGTTDHAGKPAVRSKSGTWRACPFILGNECCERLAYYGMSANLVNYMVDRLRQGNAAAAASVNNWSGTCYVMPLVGAFLADAYLGRYRTIAAFMALYIVGLALLTMSASVPGMKPPNCATSSPASSCGPSPGQSAAFFVALYLIALGTGGIKPCVSSFGADQFDDADPREHRSKASFFNWFYMSINVGALVASSVLVWVQMNVVVAAARKSRVELPANAALLYEGDKLACGTRRLAHTEQFRWLDRAAVLVTPTTDKDTSSRWRLCPVTQVEELKAVVRLLPVWASGIVMSAVYGQMSTMFVLQGNTLDPRMGATFKIPSASLSIFDTLAVLAWLQRMGIGLLISVFSMVAAGVLEVVRLRVAAAHGMLDSTASYLPISIFWQVPQYFIIGAAEVFAFIGQIDFFYDQAPDDMRSMCTALSLTSSALGNYLSTLLVVIVTAASTRGGGLGWIPDNLNRGHLDYFFWLLAALSAVNFLVYLWIANWYRCKTITTTEAAAQTT >KN538898.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538898.1:186973:187287:1 gene:KN538898.1_FG004 transcript:KN538898.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKAKCRSLSDNTTLSEMDPGSFLTFDASYYRLVAKRRGIFHSDSALLTDPVTRAYVERQATGHFADDFFRDFADSMVKMSTIDVLTGAQGEIRNKCYAINI >KN538898.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538898.1:13772:14546:1 gene:KN538898.1_FG005 transcript:KN538898.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMFNVLKKKKSARHENLVLDRNSFSQMVHNINTLAFLVYAGRVEITVNDGGRHIVYPRNALAAEDITCGNVKYSQFVFRLDFKDWQMMMKDEVVDGEEPMHSPQLTTHGTNASNTPPIQVMQDVEAAATTMAKTFTIISIEIIAHEVCELYDKKIVFVSTQTIFSCIIAFG >KN538898.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538898.1:118155:120035:1 gene:KN538898.1_FG006 transcript:KN538898.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMEWKCNAEQVVWVTVGILVMLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYILDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSIRSIQLSFAFGLVPAVLLAYAGQAAYLRVYPEHVGDAFYASTPQVLFWPTLVLALAASVVGSQAMISCAFATISHSQAMGCFPRVKVVHTSRQYQGQVYIPEINLLLGGAACVVTVAARDTVVIGEAHGICVVLVMLITTLLLTVVMVLVWRVNIGWVLVFACVFASTESVYLTSVLYKFAHGGYIPVAMSAVLMGVMGVWHYVHVRRYKYEMERTVSTERVRELLARRELQRVAGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSVKHLPVPHVDPSERFLFRQHGHTQVGFLRGEAEGQEMLTPAESFSEMGTMAASGRQLMAVAVKMSLEEMARIEEEQRFIQREMEKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGDKMLAIPRSQLLKVGMSYEI >KN538898.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538898.1:154213:159012:1 gene:KN538898.1_FG007 transcript:KN538898.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLLRRLLFLLWVVALALAVQGLAARPANVSIGALFTFDSVIGRAAKVAIELAVADVNRDDGVLNGTYLSVVEQDTKCSGFIGIIQGLQVMEKKVVAVVGPQSSGIGHVVSHVADELRIPLVSFAATDPTLGSSQYPYFLRATHSDFFQMAAVADIISHYAWREATLIYVDNDYGRAALDALGDHLQSMRSKVSYRAPLPPAADRAAITDLLLRVSMMESRVIVVHANPDSGLDIFAAAQSLGMMSSGYVWIATEWLAALLDSDSSPPRKTTALALLQGVVTLRQYTPDSDAKRSLVSRFAARLQAHNTTGGNGINAYGLFAYDAVWMAARAIDQLLVDGSNVSFSDDARLRAENETGSALRLGALKVFDQGEQLLSKMTTLNFTGVTGQVRFGDDRNLAEPAYEVLNVGGTGVRRVGYWSNRTRLSVTAPGSGNKKKKKRGEEELYSVIWPGETASTPRGWVFPNNGKALRIGVPYRTTYKQFVSKDAGGPDGASGYCIDVFKAAVALLAYPVPVSYVVVGDGVKNPSYGELVQRVAEGELDAAVGDISIVTNRTRVVDFTQPYVESGLVIVTAVRERASSAWAFLKPFTGEMWAVTGGFFLFVGAVVWVLEHRSNTDFRGSPRKQLVTVFWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASSDPIGFQVGSFAKSYLMLELGVPESRLRELAITDYASSLQTGVVAAIAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASQGTDVGADRLNLSSFWGLFLICGVACFIALLIFFFRTLRQYFRYHGHADIDDDDDSENKATPFPVDGGGRMSSRRPTRLASIRDLMTFVDMKEAEVKRRKKMMNEDSSSCGRRLDMDSHSHRSMPTSANAAGAPPSSSFSSV >KN538898.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538898.1:7193:7761:-1 gene:KN538898.1_FG008 transcript:KN538898.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAPLDHVGLALRLGGVLLVLWFLGVVAGTPRSLPLPLVRASAREERLVAALHLARIRTKREVMRNKRENFVSYSPRLNPVFCHNVNVNKHRVQ >KN538898.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538898.1:121445:123887:-1 gene:KN538898.1_FG009 transcript:KN538898.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLGRRLRSSPRRSRPGPSLPAYGGCAKRSAPPLLAGKTTRSILVGCNFDFCPLKLPPFPRPSLLGVGGRKGKAPPSEIHFGIGTGRKQQCCRSHGNCNGILDKIEAACSSSYSYSYCGSMEKATKWDNGKKQSLVKKEIGSSSSSSRRMRSLENALEKARAEIVEMEEEKRLMSRKLRKVAAAREELKLERHHRRELEGANGKLVKEVARARQRVETERKARELMEEACEELSKEVEEDQAEVEALRRECVSMREEMEEERRMLQMAEVWREERVQMKLSDAKAVLEHKYAHLNTLQSEMESFLLRHGHRTHNHAQLRRTVNMLAASVRGANADDGLFPPANTYKSPHAPDDVDKVFDHFRRNNTDTSSSVASPATDLFLEKLEDDDDGGWPWERETPPPPPRDHTSNAACSNSNDHGGRSGVTEEEGGSGRSRRSGNFNTALIRRLWQSAISESRRKTAAAASASASSSGRNRVLHNGFSPSYSDTHRDRDTARSSTVVDQAGSAAANDKKEKEKENENEINSKNKKKKKKSLMEKLMEARMDDHHTADKPCQPQIINYAS >KN538898.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538898.1:82606:84256:1 gene:KN538898.1_FG010 transcript:KN538898.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVLRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHESVPFLLTFFLRAAVICTSLTHAFLSRYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHL >KN538898.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538898.1:114646:115392:1 gene:KN538898.1_FG011 transcript:KN538898.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQGQQGQGAGTTTVAMMSRNPSYYYSGEGELSLAVQRQDSLYRDASRAGQHEQAHGEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFDGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDELHMSKSSSLRRPSVQRLASTAEERAQWVKDLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKDRAPHLNTGTYSYSY >KN538898.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538898.1:159709:162983:-1 gene:KN538898.1_FG012 transcript:KN538898.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVVCLLLVFAIFPLLLWRRRSDAAHRLPPQPLQEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESDTQDDGQGLLHNFVEYIKNQKCVPLEDLAAEFRMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRVSISHLASNSNQFIDLEPKPQYNEESNLDENAAAGTELRGDT >KN538898.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538898.1:189077:191664:-1 gene:KN538898.1_FG013 transcript:KN538898.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNSEESDWETDNVKSAEMENVEGTQYDAKKEGSMGEDSDATDSDYHPESGNSSADDEEASHSLQGAGEGTIHRLGKIPIRNSKKVSLKGKPTGPTI >KN538898.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538898.1:180258:181316:1 gene:KN538898.1_FG014 transcript:KN538898.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHEYDSNSNPPLMSTYKHLFVEQHHLDMEMGAVDVDECELPVIDLAGLIMESSSAEVCRADMVRAASEWGFFQVTNHGVPQALLRELHDAQVAVFRRPFQEKVTERLLDFSPESYRWGTPTAKCLEQLSWSEAYHIPVIMTTPRPSTSIDVRVRARAVIEEVSRAMYELAQKLAEILMRGLPGAGETMVTTREETCFLRLNRYPPCAMAMGGFCFGLCPHTDSDLLTIVHQQQDTVGGLQLLKGGRWVAVEPNPSTLIVNVGDLLQAWSNDVYKSVEHRVMANATLDRFSMAFFLCPSHDTLITSHVHDDDAHYRSFTFGEYRKQIMDDVRSTGRKIGLHRFRTRYDRST >KN538898.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538898.1:34198:39692:1 gene:KN538898.1_FG015 transcript:KN538898.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPTRESEARDEEAEVSRSGEQGGGEASTTQQQQQQPVPLRHQLLGACRADERLRPLLTLNLSCGAAEDRFISHLSQHFEASEVGLLYRCLCVPLVALRVGKVDRHGPLLCPTPIRGKLSLGLLPSSSMCIIFAGDDGHSEQLALLNNDHEVSEVCVEEISADNTGRSFLIRISESKVFYYWCAEKSKKHGMDLLAKMKNLLQGRATLSDLTGISDSRLDAFATHLHAYLVASSIGDVKSLGSLNDFLGASSPQDQYLQPSSVVSKSSRFRTSAANAAKASSVYQTSLSPRCGAFKDGVPRTSCAKIAGRDKLKRRGDWLSSSTGPDDANLLIPKIVSSDSASEKCGGDCSENSANSPPLDLPLSFPLLPSLFPLATQYPLPKDSTEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLSNERPPTSTVSAKMDTTDLPSLNLPSILRDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSSTVPLLPSLKPLIPETESLVERSARETLMRLIASTPSASNPQLVNILPAVLTDVPEMNVRKHLGVHPGDRLSSSCSVAVIGPGFAVTEDDASVGDGAHATFAEYDDIGDQQHFQSM >KN538898.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538898.1:20625:20852:-1 gene:KN538898.1_FG016 transcript:KN538898.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGREQRLKKDMWHKLDLVGGEGRELKLVAEEGRELNLVTGEKVRSWWQGAGSLGRPTGIGGWCRANDVIDLVG >KN538898.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538898.1:53513:53893:-1 gene:KN538898.1_FG017 transcript:KN538898.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGFTSSAANCTLFAWAFRTNNTMQHSQPLDPSHLLTTPPPHRPQPHPHHYYPWLSLLFAAACGAMLTFFLLFVWYSLLATRRPVAPVTTSDSDVVYEKIVLVGAKDDDAPAATTPSPAVAGNNN >KN538898.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538898.1:75732:78694:-1 gene:KN538898.1_FG018 transcript:KN538898.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G39760) UniProtKB/Swiss-Prot;Acc:O22286] MTAAALWSRSVTETVRGSHQYTVKGFSMAKGVGAGRYVSSDTFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRGRHKVHSHFDRSLQAGPYTLKYRGSMWGYKRFYRRSLLESSDFLKDDCLVMNCTVGVVKNRLETPKNIHINIPPSDMGRCFNNLLNLRIGCDVSFEVGDERVQAHKWILAARSPVFKAQFFGPIGNPDLHTVIVEDVEPLVFKAMVNFIYSDELPSIHELAGSVSTWTSTVVVQHLLAAADRYGLDRLRLLCEEKLCDELTAETVATTLALAEQHHCTQLKSACLKFTAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDAASFNRKRGVGGNEGANPVESVEASDRRIRRRV >KN538898.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538898.1:108836:111429:-1 gene:KN538898.1_FG019 transcript:KN538898.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tetraacyldisaccharide 4'-kinase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/Swiss-Prot;Acc:Q8LEA0] MEKWRRVVGRVAATPDSGVPGLPFLHRALLLPLLFAASKALRLLSHLQRRTSTSTSLPVPVVSVGNLTWGGNGKTPMVDFVARAFHRIGVSPLILTRGYAGGDESRMLRRRLSDTSAKIGVGPNRAAVATSMLHKYGAQIGVAILDDGMQHLSLLRDVDIVMINALNPWGNKHLIPRGPMREPLSALTRAHLLLIHHANLVSQAQLKTILSTVHDNGATCPLFFSKLVPSHIFQVNQPMHRLPLHVLHGIIVLCVSAIGCPDAFIHSVQEAFTTSCPKLIGPLKIERLDFSDHHSFSSHDLQLIQDTLKKLVYQHKNNAVVLVTEKDYDRDPDVLRALDAKVWVLSSCLQIIPHEGQGDDEFMRKDWSINSILVAEYALLI >KN538898.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538898.1:103017:103826:1 gene:KN538898.1_FG020 transcript:KN538898.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAKHYWALWGAGVRSGWPAAAHGGGAEPSWEEKAFAQDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQCDDDDDDPIPPTVSICAPPPPPLLPAAPDSPSLLLQISSPKSTTADHHQLQLQGTNSNSPPNSCIATIIKESRNKARLFITTTMPAPATTHDLGLGGGKDDDDDSNSISMEEIRRKRRRVDQPLTPTPSYSSERERRREDDPAAADASNNKVIPSSSILVNQLAMDMVGRQEIDLELRLGST >KN538898.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538898.1:137238:140830:-1 gene:KN538898.1_FG021 transcript:KN538898.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSSSSSSPAVLAASSAAALRGFWEEVNESPAWQDGAFFSLSAAYALVSTVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHLHVFLLSTKARSLPTDKLRIIYIAVNAIIYTIQVCIWVYLGINDNPLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRKKKLYEVGTVTAICCACFLIRCIVVAISAFDSDVSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >KN538898.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538898.1:45642:49844:-1 gene:KN538898.1_FG022 transcript:KN538898.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFNEKGKKKFIRRLTSLVADVAIARSRRRPPGERGDGAAAAPGQSTCKMNIDMANSDDKALISEDTAHQISADPWYQVGFVLTTGVNSAYVLGYSGSVMVPLGWIGGTCGLILAAAISLYANALLARLHEIGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGFIILAGQALKATYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTFLSLIYITIAFVLSLRDGITTPAKDYTIPGSHSARIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPVWVKAMANLSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAIHNVMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSTLQISWHWLNVAGFSLLSIAAAVAALRLIMVDSRTYHLFADL >KN538898.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538898.1:23054:24010:-1 gene:KN538898.1_FG023 transcript:KN538898.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGTGVVTVYGSGTNGAALLEPSNHKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHARSVMGDIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGGATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKVERFAARSE >KN538898.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538898.1:172343:173036:1 gene:KN538898.1_FG024 transcript:KN538898.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESSELPYGSMKFWAAHRVTNNDHEEEDACGEPVDLLEWDSYGF >KN538898.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538898.1:55145:56806:-1 gene:KN538898.1_FG025 transcript:KN538898.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MRMINGCVHMMQVGNLTVERLGQRQVITAVNGQFPGPKVEARNGDTLLVRVVNNSPYNITIHWHGVLQRLSAWADGPAMVTQCPILPGSASYTYRFNVTGQEGTLWWHAHVSFLRATVYGALLIRPRPGVPYPFGPAPHAEHTLLLGEWWNASATLVDVERQAFLTGGQPANSVALTINGMPGLHFDQMHHLRVARGNTYLLRLVNAALNYQLFFKVAAHNFTVVAVDACYTDPYHTDVIVIAPGQTVDALMHAGAAPGRRYYVAAQVYQSIANATYSATARALLRYDDDAKDAAKTIIMSPRMPALNDSATAQRFYGSLTGLLRDGKPTVPQRVDTRMVVTYGLAIAPCLPAQTLCNRTRGSLAASMNNVSFQLPATMSLLEASRSRSSGVYTRDFPDRPPVMFDFTNAAAVNRNMSLMVTSKGTRVKALRYNETVEVVLQNTAVLGTENHPLHLHGFNFYVLAQGTGNYYYLIRKKKIRKNLVNPQQRNTIAVPAGGWAVIRFTADNPGVWLMHCHLEAHLPFGLAMAFDVQDGPTPDAMLPPPPNDYPPC >KN538898.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538898.1:127001:134107:-1 gene:KN538898.1_FG026 transcript:KN538898.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPAGRLLLISCLLCVQPAVLPNSFGFAFAFAVEEEEDGSGLMPQLSPTGSPNPLVPFLAPAPLAPFFNTTPPNLSGKCSLNFTAVGDLITTTAVDCFASFAPFLANVICCPQLQAMLTILIGQSSKQTGSLALDPTVATYCLSDVQQLLLSQGASDELHNICSLHLSNVTEGSCPVSTVDAFEAVIDSSKLLEACHKIDPVNECCSRTCQNAINDASQKISFKDGGLTSYTGSPKVDSCRNVVLRWLSSRLGPSSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECSGTVKNGTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGDKLQKMNVSMNVYSSCQITLKDFSLQESGCLLPSMPSDASFDPATGISFTCDLNDNIAAPWPSSMQASSSSCNKSVNIPERPAATSAQNGVNQNRLELSLLISLGTLVVAIWLQV >KN538898.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538898.1:29146:32047:1 gene:KN538898.1_FG027 transcript:KN538898.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVAQNFYYINTLSFNMPLTAFVSPKQLYTEIYIQLAGEAYVVDYNNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFVGDGPFRAELELMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPATSTMNQS >AMDW01024155.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024155.1:3:224:1 gene:AMDW01024155.1_FG001 transcript:AMDW01024155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KDIDETTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTAKMKKRIKHVKAWRRLALKLQDIKQRLENADKRK >KN540638.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540638.1:565:3980:1 gene:KN540638.1_FG001 transcript:KN540638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSASPTFPTPPSFHFSLSTTASPCSSPPTPSSTSAAPTTSSTPFLLVFSISHSGCIMQVLLRFDISMPVLEVAVLPLPQRECGGMAATPRVALVRWGREREEGDGEERYAERQCKPDDVDGMNDGFNDGSEFQKCLYAHTKKNVQAKAGGSCFTLATRLASANLDFNILLDHHLSAVLVLQSTNPQTNSAYVEQQL >KN540638.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540638.1:42335:42634:-1 gene:KN540638.1_FG002 transcript:KN540638.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAEAMVMVVLAVTVAVAMVAAQAPPPAQCDPGKLSACAVPIFFGTAPSKSCCSNLRAQAKDGCFCQHARDPMYASYINSTNARNTIAACGIALPSC >KN540638.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540638.1:34304:34606:-1 gene:KN540638.1_FG003 transcript:KN540638.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAAMEMLLLVVVATVAVAVVVAQSPPPPQCDPGLLSPCAAPIFFGTAPSASCCSSLKAQQGCFCQYAKDPMYASYINSTNARKMIAACGIPFPNCS >KN540638.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540638.1:14550:21333:1 gene:KN540638.1_FG004 transcript:KN540638.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGWLHGSTMLHCLQDLGSMHGGYAAMKCTSISRDFVYEVLPVIGRVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPLYEATKLPSSGKDKIYQFLVYDTGSTKAALLGEATLNLAEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGCGDDASENGGDVAAVVARTPPRKTLQSQLSRCEDEEAEKARAAAADAMSPMQDGLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGVATSELQNKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCDEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNKELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSSKFEYDNLKILMSTNDSEKHNLASQVLKLRRAIESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKGLEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLRKKSEIRKYGVTSSILRGAPKFWWMMDYGWN >AMDW01037165.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037165.1:163:475:-1 gene:AMDW01037165.1_FG001 transcript:AMDW01037165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIPLDERDEEAVPDLNEPVGQQEEEMLAGEEDDHLGGAVQGAANHMLPFDLNLYASDQQDDMLLDFGGQ >AMDW01037489.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037489.1:110:664:-1 gene:AMDW01037489.1_FG001 transcript:AMDW01037489.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RADGGYSLEGLTNDHRIMNAVLKDIHKTGKVSMKTIFGQKKVRKNGSEGKTISIEPVKKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRAYLSEIEKAAVTERELAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIKN >AMDW01039368.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039368.1:292:804:-1 gene:AMDW01039368.1_FG001 transcript:AMDW01039368.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLVSCQLVQYSLWLLMSVMYMDTRNVNNYSYKNMIYLNYVNYGKIFVDANYVFHINGTCSI >KN540381.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540381.1:26843:28414:1 gene:KN540381.1_FG001 transcript:KN540381.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDEFRDINDNHVGIDINSLHSLRSYSAGYYNNNNGFRNLTLISGEAMQVWVDYDRDTTRIDVTMAPLAVAKPKRPLVSARYNLSTLLKDVAYIGFSAATGGTLRSRHYVLGWSFGLGRPAPAIDITKLPKLPRTVNKDRSRILQITLPLATAAFLLTVGAAVFMLVRRHRRYSELLEDWEIEFGPHRYSYKDLFHATEGFKNSHILGIGGFGRVYRGVLAMSKSEIAVKRVSHGSRQGMKQFIAEIVSLGRLQHRNLVQLLGYCRRRGELLLVYDYMSNGSLDRYLHDEEGQCTLDWVKRIHIIKGVASGLLYLHEEWEKVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGSDPKTTHVVGTIGYIAPELGRSGKATPLTDIFSFGIFILEVICGQRPIKQSREGHQILLVDWVIHHWKNGTLIETVDKRLKGNHDTDEAILVLKLGLLCAHPFSNARPSMRQIVQYLDGDMALPELMPTDQISNQTEGLDQYIQTGPQSTIPVNASYGTMSSLSGGR >AMDW01038684.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038684.1:17:648:-1 gene:AMDW01038684.1_FG001 transcript:AMDW01038684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RNAPAMSLRLFTKLFMYALLGNTITMNMYNVSLKYTSATVASATSNSVPVVTFFLAVLLRLEVIRLRTLSGVAKAAGVALCLAGVL >KN540381.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540381.1:40882:41124:1 gene:KN540381.1_FG002 transcript:KN540381.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRYLLKEGKRCDVLGKRGGGGGTPGGDRQRRRTGKRGDSASNARARKGEDSEEEATPGVRSEGSGTAAGEVTHARAW >KN540381.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540381.1:31956:33974:-1 gene:KN540381.1_FG003 transcript:KN540381.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAFFLLRLISLLHLALALCSGSGDFQFAYHGFTGANLTLDGNATVMPDGILVLTSRKTNLKGHAFFPAPLQFRTSPDGTARSFSAAFVFAIVSDYTDFSAHGMAFIVSPTKNFTTALPAGYLALLNVQNNGNTTNHLFAVELDTVQNTDFQDVNANHVGINVNNLHSLQSSPTGYYDDGNNGVFKNLTLFSREAMQVWVDYDGNTGQIDVALAPVKVAKPRKPLVSAKYDLSTVLTEWAYIGFSSATGGINSRHYLLGWSFAMNGPAPPINIANLPKLPRFGPKPPSKVLVIVLPIATATVMLSLGTIATLLVLRHLRYAQLLEDWELEFGPHRFSYKDLYHATSGFKSKHLLGTGGFGQVYKGVLRKSKLEVAVKKVSHESRQGMKEFISEVVTIGRLRNRNLVQLHGYCRRKGELLLVYEYMPNGSLDKYLYCQEDKPLLDWSQRFHIIRGVASGLLYIHEKWEKVVIHRDIKASNVLIDRQMNGCLGDFGLSRLYDHGTDPQTTHVVGTMGYLAPELIRTGKASKLTDVFAFGAFLLEITCGQRPVNDDSGRYNQEMLVDWVLDHFNKGSLNETVDLRLQGDCNTDEACRVLKLGLLCSHPSANLRPGMKQVMQYLDGDTPLPDLTSTNMSFSTMALMQNEGFDSYPMSYPSSAGTIGTVSFLSGGR >KN543886.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543886.1:2991:4717:1 gene:KN543886.1_FG001 transcript:KN543886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQSKVQRLYDACDAVFSSGSKAGLPTLKQIRWLQDLLDGMEAADVGIEGGGGGGGGERSSSSEDDDERSPPGRRFLSARAFTRITYVHIHECDDFSIGVFCFPAGAALPLHDHPQMVVLSKLLYGSMRVKSYDWANAPPCSGPRKSGLARVVAVDEMREAPCKASVLFPRSGGNIHSLTAVTPCALLDVLSPPYAEDLGRPSTYFSDIPIPSLP >AMDW01033784.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033784.1:41:505:-1 gene:AMDW01033784.1_FG001 transcript:AMDW01033784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFASNPLYIGGDSYSGYTVPVTALQIANDEDARARLNLKGYLVGNAATDNKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINP >AMDW01039455.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039455.1:45:938:1 gene:AMDW01039455.1_FG001 transcript:AMDW01039455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALKEAEATPAAANAGEEKAVVPAASTSPVISKTDDDTEPPADDSKALVVFVEKVADKPHAEKATATATPTRTSNDRDIALAKVETDKRESLIKAWEENEKAKAEN >KN538696.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538696.1:78674:78895:1 gene:KN538696.1_FG113 transcript:KN538696.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPGQSSGMIWRMIERAADTRAWGAVEDNGSVMGELNGTRLGDNWRWQDSVPSSSAQERIWAAKQDDNGQLS >KN538696.1_FGP115 pep scaffold:O_longistaminata_v1.0:KN538696.1:49492:50216:-1 gene:KN538696.1_FG115 transcript:KN538696.1_FGT115 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERATKKRRGGIDDLPDDLIVEILSRLPAKSVCRFNIDGGEEDEEEHRLVPDPSLSFLLGYMSISPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPDIDDYDQLATIRLCFDPALSPYFHVFAILEDADGCITGVEIFSSETGRWSHRENGWVDQDDHMVRDHRG >KN538696.1_FGP116 pep scaffold:O_longistaminata_v1.0:KN538696.1:93832:95260:1 gene:KN538696.1_FG116 transcript:KN538696.1_FGT116 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGVLFLNLQPFYFSMRRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTVSTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETASLPRPKVPPKELFLDQLTAGHESPSSCRSSGPTLYFPFQQPLGYSNECGTGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHGGTGIGNTPFHWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >KN538696.1_FGP117 pep scaffold:O_longistaminata_v1.0:KN538696.1:112031:117093:-1 gene:KN538696.1_FG117 transcript:KN538696.1_FGT117 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPFKKGDFAESKSFIDGYKCAWFRCKINDMRVTETGSFQYLLEYLDYPDEEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYLGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKITKLLSEDMVKVQLLKPPIGEGGSYTAYCKDMRPALDWCLEKEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYLGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKITKLLSEDMVKVQLLKPPIGEGGSYTAYCKDMRPALDWCLEKGWTVPLSQANGRCWHAARLIHHKSDTEMSGSDEESTSDDDEEEAQKSLKRASNSSQEAPGSNLEITSDTTSSSRLNSQTATIATTKGISRSSPVSISTGP >KN538696.1_FGP118 pep scaffold:O_longistaminata_v1.0:KN538696.1:38188:41498:-1 gene:KN538696.1_FG118 transcript:KN538696.1_FGT118 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSEGDDDKWVVSENGDAAPLFAAIRCISALVATLTAVPEGSKFTIRVHRFIGVLHCARANSPRDESDYVVCNPATQRWIILPEIDRADPVSTVRLGFDPALSPYFHVFAILEHVDGCVSGVEIFSSETGAWSHRENGWGDEDDHTVYSDAKSAFVDGMVNFISYNLAIIAVDTEGKKWKTIPFLEEMTCECISNGNLAFIGQSQGHLYYINFRDRDSSILSVWTLDDYCSCGWSFKYNISTSQLFGWTNMKLEREYSLIAIHPECNMIFYVFRDKGQNTLLSYDMDRGKVNSICNMRDPFWKTWDPCLPYVPVFMESLPDHA >KN538696.1_FGP121 pep scaffold:O_longistaminata_v1.0:KN538696.1:108466:110714:1 gene:KN538696.1_FG121 transcript:KN538696.1_FGT121 gene_biotype:protein_coding transcript_biotype:protein_coding MVELFDAKAIEGWIVLVTGVHEEAQEDDLHNIFRDFGQVKNLHLNLDRRTGFVKGYALIEYETFEEAQAAIKALDGTELLTQIISVDWAFSNGPVKRRNIRKRYISEYRSNSFIITIHMITKTLQIPTEEKILSAPHHVMMVL >KN538696.1_FGP122 pep scaffold:O_longistaminata_v1.0:KN538696.1:55027:55668:1 gene:KN538696.1_FG122 transcript:KN538696.1_FGT122 gene_biotype:protein_coding transcript_biotype:protein_coding MAREWWWCGGGGGGGVEGIVGKGNGRWETCLSSGDKGARRCGVVDAGAGAWPMEVTREVVLLELRMLRHVEEDESAGAHRLLEEACGDERGVDEVMIIAVVVGCRRRRATLLPLPDTGGGDVGPTN >KN538696.1_FGP123 pep scaffold:O_longistaminata_v1.0:KN538696.1:22:183:-1 gene:KN538696.1_FG123 transcript:KN538696.1_FGT123 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDGRRESSSPWPPEYGPPDNALHSLGMEFTTITAGEVVGRLLVTATCCQ >KN538696.1_FGP124 pep scaffold:O_longistaminata_v1.0:KN538696.1:124674:125647:-1 gene:KN538696.1_FG124 transcript:KN538696.1_FGT124 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQFPPQKQETQPGKEHAMDPRPEAIIQSYKPANKLKDKVAIVTGGDSGIGRAVCLCFALEGATVAFTYVKGQEEKDAEETLRALRDIRARTGAKDPMAIPADLGYDENCRKVVDEVAGAYGGAIDILVNNAAEQYERPSITDITEDDLERVFRTNIFSYFFMSKHAVKRMRGRAGAGAGGCSIINTSSINAYKGNKTLLDYTATKGAIVAFTRALALQLAEEGIRVNGVAPGPIWTPLIPASFAEEKVRQFGSQVPMGRAGQPSEVAPSFVFLASDDASYMSGQMLHVNGGVIVNG >KN538696.1_FGP126 pep scaffold:O_longistaminata_v1.0:KN538696.1:63750:65156:1 gene:KN538696.1_FG126 transcript:KN538696.1_FGT126 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGRSEARRTCFMVSAAAALSKASPGDGRGGGRNPKREKRSNTAASLESSSLAAANDDDDLKDMNAYLGRRKLPLHRCRRASSSSEG >AMDW01039172.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039172.1:236:874:-1 gene:AMDW01039172.1_FG001 transcript:AMDW01039172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IASFEEATTKDPNAFRGIPEDTKKLFKDIPLLGTDNLIFSNIVSYDDTDNDISALRGKRPAVTARTFASATSEANRDNRCPTMLYPYSDRDLTNTFPIKVEPLDDIVPFDPEIVVLSRKNRNTGTICNDPCSVSKLMFQEDKEAAP >AMDW01012231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012231.1:70:228:1 gene:AMDW01012231.1_FG001 transcript:AMDW01012231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDNKYFQDLLQRRGLLTSDQVLYTHGGEVSDLVEMYATNREAFFADFARAM >AMDW01030128.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030128.1:196:354:-1 gene:AMDW01030128.1_FG001 transcript:AMDW01030128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQKRPYKECAGEKVPNITTECVGLRETYFNCKRGQACLPPPALNHCRNYQLC >KN542350.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542350.1:3255:5037:1 gene:KN542350.1_FG001 transcript:KN542350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDFYSSGTELSIFLCSGLSDYLPCHLSVMHRGVLMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDVTIA >KN542350.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542350.1:12190:14776:-1 gene:KN542350.1_FG002 transcript:KN542350.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHVTIKKATKLRIASFVHGSDGLGNQNFPPPTGKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVLSDADREKLEQSDSKYARYLSKILGLYYDYHKDAYFIKGVYLHDPATLIAAVDPSLMTYTEGVVRVQTDGITKGLTVFDTTKKRYGEITAWTGKPTVKVAVTVDAPAVVEMIMQRLTTDD >KN542350.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542350.1:8340:11111:1 gene:KN542350.1_FG003 transcript:KN542350.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPKKKNKKKKSKKTNLKQKKAAEPKPPRDTDDDEDDEEEADDDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIHTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVLALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLFSALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDREASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEEHKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTVDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRYTAAANFSVNIAVKEYNDGHNC >KN541060.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541060.1:14684:17968:1 gene:KN541060.1_FG001 transcript:KN541060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGINECFNLFQWAKSAMSSLHFRWSNTQEQKLQGELLQLQSGLQCLRDTLPAKYDLIDRAEWRSHERRVAELLPNLKDAVYNADDLLDEFRWYEQKVALEGNAASQSPFMDFFDSVIQGSFNKVNDVIKRLYNISSQLEKMGLHEVPRRFDKSLRPETSSFLNEREIFGRDNELQRVMELLGVPKNGTDARSKRRRKNNDASTSISRCNQDSVPVLPIVGIWGVGKTTMAQHILHDPRVISHFDMIIWICVSDDFDVKRLTKEAIQSSSKKESTTFDGKILTKEAIQSYSMKESTTDHLDSLQHALSEKGSIMLVTTRSLEVAHEVKTMEPVLLEGLKDDIFWNFFKICTFGSSDSSDYSELERIGRSIVPKLKGSPLAAKTLGRLLRTSLDIAHWNNILQSELWELRQHNTEILPALRLSYLYLPFHLKRCFSFCALYPKDHLFEKAGLAEIWIAGGFVEPEGSIPILDIGCQYFEELVNRSFFQKVDGNYVIHDLLHDMAQLVSKHECFILKDKDDFEKVPSSVRHLFILPGTNFDCTLLLSLGKHKKLRTLLCHRSLQDKTLACVMDRWCTELQHMRVIVCPYTKELPASIGKLKHLRYLKISGDCPFKSLPQEFCHLYNLQIFSATKCRLENLPSDFNRLRNLRRFDSCAFRCDPKFQTHFDAINGQEVGAILQNVNHICGGLTIDNIGLIRKDIAAKAALKNKKYVNMLTLKWSSMGQQVQKLTEVLQVLIPPTSLSYLNLTGCPGEFLPTWFHPSNLPMLTSLELIACHGFVTIPISSMSQSIDPNEIPRVLTENNTGRPGIFSSLNHIIIESCNKLSNLDQFLQPAYLPAIKTIKITKCRQLVELPTDRLGEFHCLEELEVSHCPNLNDPQSLSIPTLKKLKLINSWNLLGDIECCSLTSLVFSLWHVTSIPLHVWSSSFPALQKLQIADSGITGESQSSVLTSLSVPGEYSSIRTFSCLTDLKISSCNNLTTLDHLLSPEHQPAIEKIYVALCSSLRTLPCELLKDFSVLKGLKICFCPSLKWHRRLVLPSTLQRLLN >KN538729.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538729.1:334864:338332:1 gene:KN538729.1_FG001 transcript:KN538729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLTSRSLLVQAQYPISRLPSNLRLSLSHHKQPAAVAKRRRAPAPSHPAFSSVIRGRPKKVPIPENGEPAAGVRVTERGLAYHLDGAPFEFQYSYTETPRARPVALREAPFLPFGPEVTPRPWTGRKPLPKSRKELPEFDSFMLPPPGKKGVKPVQSPGPFLAGTEPRYQAASREEVLGEPLTKEEVDELVKATLKTKRQLNIGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHHQGGVIFLFRGRNYNYRIRPIYPLMLWKPAAPVYPRLVKKIPDGLTPDEAEDMRKRGRQLPPICKLGKNGVYLNLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCTLLSFEFEHILMWRGNDWKSSLPPLEENDFKVASDQILNSKEAGSGSALTPIELLNNATSLKKCNLIEGAEKLEDSLKSSFENGMILGSACANPGVCNSEGIDGTESSADAPIEFSPSNSARDLDPSQTSTLYCQSFLLDKSENGELIEMYPDRCGNSEQSPDVPEALTCLMGSSDEIHELETMRRNCKHLNGSDGVNSDSIVPSYMEGILLLFKQAIDSGMALVLDENEFADANYVYQKSVAFTKTAPRYLVLRHTPRKSHGTQKTEPAKNVRINKHLEEHKVSDHVKKKEIVMGGSRMQRNDHAREFLSDVVPQGTLRVDELAKLLA >KN538729.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538729.1:266910:273087:-1 gene:KN538729.1_FG002 transcript:KN538729.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWEIGLGGTINITSLVSTEVHRMRDKNTTDTLAEDVAFIKKDFQLMESFLVDAAEKRRQTATSRSLSTWLRHLRGLSQDVEDCLQEFCLHLERPPRAKSKLLLPLDTITKQIRRLRNEIEHVNKSSAIYCNAVNFGPDAALPMSISVPTGPAFRNVAHIGREMEKSHLIQLVSQNSENHHIISIWGMIGIGKTSFIRSVYESEEITSMFEQCAWVTISHPFNLHDFITILAHELDSHNFSVLRTGLQKNEESIKASKRRCLLFLDDVLSIEEWNLIQPHLPNETNTKIIVTTREANSSNIDLDLDMITQAKLIIKECDGHPLAITNIAGFLARKQRTATEWKKLNDDFSSGSVSKENLEMISTGLEPSYDDLSYHLKLCLLYLSIFPKGHNIRHKRIVRRWVAEGYISKTQSLSAEEVGESYFAELMNRSIIQSSKLVPHNAGNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGTVRHLSIINVGEINKNALKCVDLTHVRSVTIFGEWRASLDFSKMRMLRILDLEGTSGLKDHDLSQIGNFLHLRYLSLRGCADIYHLPNSLGNLWDIQVLDISGTSIIKLPKTITKLKKLHYLRAGNIRKDDATSSIELKESSDLSKMEHKPIDDLEIPDVEAKSVQFGTEVLDRTTSYCTKTTQNTDNVKKRDIFRKYCKVLFPSILQGLDRYGVKAPKGIGQLNDLHTLGVVNVAARKVILRELEKLKKLQKLGLTGVNKKNSQAVLSAIANLVFLHSLSLRSEGEQGLQGCLDHTFAPPSKLQSLKIYGNLVTLPTWITQIQNLTKLKLRSTQLKQDLSLEVLGKLPHLAILRLWTNSFQSKELCFNFQQGTFQSLVVMELKGQDGLKSLTFMQGAMPTLELLQIDNCIHIDKNGFYGVSSLPSLREVMLKGDHNEELMQNLRDQIALNQNQPVLKGA >KN538729.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538729.1:307141:310909:-1 gene:KN538729.1_FG003 transcript:KN538729.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWEIGLEAMIAIATLVLTEVHRMRDKKKVPTDTLEEDVAFIKKDFQLMESFLVDAAEKRSQTATSRSLSTWLRHLWGLSQDVEDCLLEFCLHLERPPRAKSKLLLPLDTITKQIRRLRNEIEHVNKSSAIYCNAVNFVNHSTNRTAFRNAPHIGREMEKSHLIQLISQNSENHHIISIWGMIGIGKTSFIRSVYESEEITSMFEQCAWVTISHPFNLHDFIMILAHELDAHDFSVLGNGLQKSEESIKASKR >KN538729.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538729.1:300954:301448:-1 gene:KN538729.1_FG004 transcript:KN538729.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVEAGGRKGRRRRGGVLGAGVTRCGLARQHWRVVAVGGGPAVVERRDGGEGWGAGGSGRVALARRWLSAVLGKDLGRCWWLDWRRGGDKGVVVEMGGQRRMTAGIGVFYSLCGQRMATEVTRPSRADCPVRRSSSAVRHRRGWDGGQCSGVGTAHAYGMDG >KN538729.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538729.1:292418:292657:1 gene:KN538729.1_FG005 transcript:KN538729.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEFVDTVSARPALPAIFTAAASMSSTTASSCRKPQFAAFAKKASLETEEVALDRLEEFEQCFHESESSCHKVFLSIL >KN538729.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538729.1:314345:315972:-1 gene:KN538729.1_FG006 transcript:KN538729.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARNADKLDLIVFHTAIQLEAVADARGTKEDEKQPPYQYKRAWQLSELGWQTSPLAFESPELLQLDRTEEHKNSQAVLSAIAKLALLHSLTLRAEGVPGLQGCLDHTFAPPSKLQSLKIYGNLVTLPIWITQLQNLAKLKLRSTQLKLAPSMEILGKLPHLAILRLWKNSFLQSKKILFDFQQSTFPSLVVMELKDQEGLKSLNFMQGAMPRLELLQINNCMHIDNNGFSGVSSLLSLKEVMLMGDHNKELMKNLRDQLALNQNKPVLKGA >AMDW01038789.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038789.1:15:867:-1 gene:AMDW01038789.1_FG001 transcript:AMDW01038789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVYKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTP >KN543513.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543513.1:2449:7255:-1 gene:KN543513.1_FG001 transcript:KN543513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] GRGHAVAEFAGDNLESCDVFDGSWVPDGRYPLYNSSDCPFAERGFNCLANGRKDTGYLKWRWKPRGCDLPRFSARDVLERLRGKRVVFVGDSMSRTQWESFICMLMAGVENPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRSSPKRVRAILKLDKMDNISRKWENADVLIFNSGHWWTPSKLFDMGCYFEAGGLLKLGTSINSAFKMALETWASWVKEKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPTAEAKGDDRSEFGDILAGVVVNMSVPATILNVTLMGAFRSDAHIGIWSHPSTILDCSHWCLPGVPDAWNELVISHLLTNDLNGGGGESATITYPLMWVHAVSVTTVVLEIQISEFIIYHSTSKVMAWLNELFRFTRMGMQPKREVSAVHGWAISSIPSVASKDVYYVGFTRQTKAEHVEVKNAIKLQIVR >AMDW01040795.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040795.1:225:2208:1 gene:AMDW01040795.1_FG001 transcript:AMDW01040795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VILSTLDPHMPLPPDIDLCKSSMPEIDQISLIVPNCPPHSCSAEDADGRDTSETTPRADILEQCNSLFAPEELEQSELTKTLEEKKHEKISTDLDQNFPEDTKSNGKLPAGLFQLDNIFATDYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPTVEGHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNCFSEAKHLRAKDIDLMKIYNLENKRDKAVLDLLMQAARYDFEYQGKIPDGKPFPDDVEDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFEKKGHSPNEILLQSLLFLLHSATDLFCPPENVIDIILKSAEDLNGKLVCLYNSVNARNNKLDRIKLHYLRRRWALLQKLVLASSGSDNTRELVSIKRDGFRFKSLVPPSAWIHKISDFSRSFSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMGGVTTQKAKSAKIEQSGCNNYVLLKKEPLLSDQPSMRLFQILLPELHFFFPSMSKKFDAFGQSILEAVGLQLKCLPKSAVHDVLCWFSEMSLWPYLGNIREHLAFANGVNSLKGNIAAKAKAVVFYLLESIVAEHLEVIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGNVS >KN540937.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540937.1:1452:4742:1 gene:KN540937.1_FG001 transcript:KN540937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLHVCCIQLAIVLFLLAQTECSNGTSAHNPNETGIITRCITTERSALLAFRAGLSDPANLLPSWEGDDCTAIKLDLQGSDCHNSTATTKQVLGGYISDSLLDLHHLQYLDLSCNRFNGLQVPEFLSSLHSLRYLSLSDSGFIGRIPPQLGNLSNLRYFSIVSNLGDTYSTDISWLSRLSFLEYLDMSFLNLSTIVHWVPTVNMLRSLEFLSLSFCDLRTSPDSLLHSNLTSLETLEISGNPFNKHVSPNWFWDVTSLKHLDVLDCQFHGRFPDQLGNMTSIVHLDLSGNNLVGMIPSNMKNLCNLEELILMAININESIAEFFERLPNCPRSKLRTLLLPVSNLTGSLPAKQEPFSNLTLLDLSGNKLTGPVPLWIGELTKLTELELSSNNLDGIISEDHFSRLVSLESLSLNDNSIAIVVNSTWVPPFSLTNVQLRSCILGPKFPMWLRWQTRILNLDISNTSISDKVPDWFWKMSSSVQSLNIRNNQISGLLPSTMEFMAAKAMDFSSNQLSGPIPKLPINLTGLDLSRNRLSGPLPADFGAPGLGTLLLFDNYISGTIPSLCEFQFLRLVDISGNKLTGSIPDCSFNTSTKNTSLNIVNLSLGNNKLSGKFPSFLQNCHKLVFLDLANNQLSGPLPVWIGEKLPSLAFLRLRSNMFYGHIPVELTKLVNLQYLDLAYNNISGSLPESFVNFKGMVVTRNYNNDDKIPDPFASATLFDDNEMIDFTDNFKVVTKGQEQLYTGEIIYMVNLDLSCNNIIGKIPEEIGTLVALKNLNLSWNAFSGNIPDKIGALLQVESLDLSHNDLSGEIPDSLSALASLSHLNLSYNNLSGKIPSGNQLQTLDDQPSIYIGNPGLCGPPLSKGCSQTELVPFVQKDYEDESEKVFFFLAMGIGYVMGIWIVLYVFLFQRKWRAICFSFYDSTLGTQYINWEKAFPITSP >AMDW01030575.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030575.1:20:424:1 gene:AMDW01030575.1_FG001 transcript:AMDW01030575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLAQFLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDQENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREVIAEVLLKN >KN545627.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545627.1:20:1260:-1 gene:KN545627.1_FG001 transcript:KN545627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRRLLGLSGEVPGRLRRSLSTGPSRPPWAMVNTVTPLPKPNVPLETRATFRLAEPPLASELVVLYGALWRRPSGPAAGLEGEIRRYGPRYTANSDGLLLLRVVDEVAKLTGQAATNMFDNRGDPSWFVQSGIRYDFDKVVARVVCNPLSGEVLRLPDGGLARQAYAGFLTQSDSGGGGPPDRFAVVEFIGKDLPIHRFLSETGRWDALPDYVFGHDPDFRFLELPIGSVLLNPEATNPEWRHKLRLGDYRRIGVSEGRLRYTELSWDEPFVLSSFALDDADEGSGWTWTLEHRVELSQIWGDGGYPWLPLRGTKPCVGFLDPLNAHVAYPTVGKHVIGVDMERGVVIGSSQVEDPSELMPCVLPSWLGSCQIPPS >KN540937.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540937.1:23606:26485:1 gene:KN540937.1_FG002 transcript:KN540937.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPELGFLRGATMILWLWLLISQTSSICCVHARCVASEREALLSFKASLLDPAGRLSSWQGDDCCHWKGVRCSNRTGNVVTLNLRNSNCRYDVHYTVLSLLGGEMSSSLTDLQNLRHLDLSCNYFNGTSIPVFIASLKNLRYLNLSWAGFSGRISSQLGNLSSLQYLDVSWNDFFHEEQNSSSMSSTYLSWLPHLTLLRHLDMTSVDLSSVRNWVHVVNMLPALQVLRLSDCGLNHTVSKVSHSNLTNLEVLDLSSNPFYTSLQQNWFWDLTTLKELTLFWCGWYGPIPDDLGNLTSLQFIDLEVNQLVGLIPRTLERLCDLQVLRLGFNNINASISQLMERLPRCSRNTFQELSLVVTNLTGKLPTWIGNMSSLSILSASSNMITGPLPVRVGTLGNLTNLDLSGNQLNGILSKDHFAGLLKLEYLDLSYNSLKFAIEPNWAPPFRLKVAGFRSCQLGPQFPQWLRWQTDIDILALENTKLDDVIPDWFWVTFSRASFLQASRNRLCGSLPANLKHISADHIYLGSNLLTGQVPQLPMNISHLNLSSNLLSGPLPSDLKAPLLEELLLANNQISGSIPPSMCQLIGLKRLDLSGNKITGNLEQMQCWKESDNTSSMTNINSGDKFGSNMLSLALNHNELSGEFPQFLQSASQLLFLDLSYNRFFGSLPKWLPERMPNLQILRLRSNIFHGHIPKNIIYLGKLHFLDIAHNNISGSIPDSLANFKAMTVIAQNSEDYIFEESIPVITKDQQRDYTFEIYNQVVNLDFSCNKLTGHIPEEIHLLIGLTNLNLSSNQFSGTIPDQIGDLKQLESLDLSYNELSGEIPPSLSALTSLSHLNLSYNNLSGTIPSGSQLQALDDQIYIYVGNPGLCGPPLLKNCSTNGTQQSFYEDRSHMGSLYLGMSIGFVIGLWTVFCTMMMKRTWMMAYFRIIDNLYDKAYVHVAISWSRLMRKNQDAA >KN540937.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540937.1:16529:18087:1 gene:KN540937.1_FG003 transcript:KN540937.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTWVPPFKQITEIELRSCQLGPKFPTWLRWLTHVENLDISNTSISDKVPDWFWIAVSSVTYLNIRNNNIRGVLPSTMEFMGAVVMDISSNQFSGNKLTGQIPDCIANSRSEISTAWIGQELPSLVFLRLRSNNFSGRIPPNLTSLAGLQYLDLAHNKFSGSIPNSMVKLHGMSLPLYQEVLFRAIRSKSGMADNELVDYIENIPVVTKGQERLYTGEIIYMVNIDFSSNNLTGEIPEEIISLVALTNLNLSWNSLSGQIPDKIGSLSQLESLDLSHNVLSGEIPSSITSLTYLSHMNLSYNNLSGRIPAGNQLDVLEDPTTSIYVGNIGLCGYPLPNNCSINDDPKIEQGELEEVSFHLSIIIGVVVGLLLVFYFMLFSSRWRYTCFVFADGLYDRTYVQVAITCRRLWRRN >KN540937.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540937.1:8238:11147:1 gene:KN540937.1_FG004 transcript:KN540937.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPTLLMQRATASAILVFFFVSLAQSASSFHPASTTTVTRPAVSGSCIPSERKALLSFKESLLDPAGRLSSWRGQDCCRWEGVRCDNTTEHVVKLDLRNTHDWRYGLSLSTGEISPSIVDLHHLRYLDLSNNDFNSTSIPDFLGSLSNLRYLNLSAANFWGTLPSQLGNLSNLQFLDLGHSYYMNASDLSWLMGLPFLSYLDLTMVDLSSERNWVHEVNKLPSLQVLVLSDCGLHNTVSTLSHSNLTHLEVLDLTYNWFSSPLARNWFWDLTTLKNLFLYGCAWSGPIPDAFGNMSALESIDLHNNDLSGNIPTTMKNLCNLQELDLYSININRSISELMGRLPKCSWNKLRKMDLHCANLTGELPTWIGNLASLSYLDLSENMIVGTVPDGMGNLTNLNCLDLSQNMLVSHLPVGMGQLTGLTFLDLSQNSLVGHIPVGIGAFGNLTSLNLGQNSFSGVLAEYHFATLERLEFLDLSSNSLKLDLHEAWIPPFKLKKGYFESCDLGPQFPSWLRWQTDIVVLDISNTSIKDDLPGWFWTVSSNAYELYLSSNQLGGALPEKLELPSMQAMDLSDNYLSGKLPANLTVPNLMTLHLHHNQIGGTIPACLCQLRSLREINLSYNQLTGEIPQCSLDQFGFSLFVIDMKNNNLSGEFPSFLQNASVLLFLDLSYNKLSGNIPTWIAERLPQLKVLILRSNMFSGNLSNQLNKLDQLHFLDIAHNNISGSIYSSIRSLTAMKYSDTSGLDNYTGASISMSIKDQELNYTFQSTNNIMLIDMSYNSFTGPIPRELTLLKGLQSLNLSGNQLSGTIPNDIGILRRLESLDLSYNDLVGEIPSSLSDLTFLSCLNLSYNNLSGRIPSGQQLQTLNNLYMYIGNPGLCGLPLSTNCSTNRTNKIVQNEHDDASHDTAYLYISTSAGFAVGLWIVFCTILFKKSWRIAYFRFFDQIYDKIYVQAAVSKAVLIRKFL >AMDW01039086.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039086.1:264:788:-1 gene:AMDW01039086.1_FG001 transcript:AMDW01039086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVGSPWIQTKTDDADFHRHAENRFMPNMGKMNAFARRDLQSTSLSDSDLSNALSRVNLSNHLEYDERGLAKDLLDEMEMRNHDRHFSSKIANDCRSPLSGNALCMLGCEHLDVHSLPSYGDGLLRRQNSIMDSPYVSRNNNHHMKNVDRLSLADQLALMQLSNLEENNYHSNADM >KN540937.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540937.1:35806:38100:1 gene:KN540937.1_FG005 transcript:KN540937.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSSERNWVHEVNKLPSLQVLVLSDCGLHNTVSTLSHSNLTHLEVLDLTYNWFSSPLARNWFWDLTTLKNLFLYGCAWSGPIPDAFGNMSALESIDLHNNDLSGNIPTTMKNLCNLQELDLYSININRSISELMGRLPKCSWNKLRKMDLHCANLTGELPTWIGNLASLSYLDLSENMIVGTVPDGMGNLTNLNCLDLSQNMLVSHLPVGMGQLTGLTFLDLSQNSLVGHIPVGIGAFGNLTSLNLGQNSFSGVLAEYHFATLERLEFLDLSSNSLKLDLHEAWIPPFKLKKGYFESCDLGPQFPSWLRWQTDIVVLDISNTSIKDDLPGWFWTVSSNAYELYLSSNQLGGALPEKLELPSMQAMDLSDNYLSGKLPANLTVPNLMTLHLHHNQIGGTIPACLCQLRSLREINLSYNQLTGEIPQCSLDQFGFSLFVIDMKNNNLSGEFPSFLQNAGVLLFLDLSYNKLSGNVPTWIAERLPHLEVLILRSNMFSGNLSNQLNKLDHLHFLNIAHNNISGSIYSSIRSLTAMKYSDISGWHNYTGASISMSIKDEELNYTFQYTDNIMLIDMSYNSFTGPIPRELALLKGLQSLNLSGNQFSGTIPNNIGILRGLESLDLSYNDLVGEIPSSLSDLTFLSCLNLSYNNLSGRIPSGQQLQTLNNLYMYIGNPGLCGLPLSTNCSTNRTNKIVQNEHDDASHDTAYLYISTSSGFAVGLWTVFCTILFKKSWRIAYFRFFDQIYDKIYVQAAVSKAVLIRKFL >AMDW01039644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039644.1:198:671:1 gene:AMDW01039644.1_FG001 transcript:AMDW01039644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAITAAVTKIARGGRRRSATDPTCKMPPPPPVVNSIALLRLLPTLFRSGLPAILHELYTKFGSVFTINLAGLLKMTFLVGPEVSAHFFQGLESEISHGNLLEFTVPMFGKEIAHGVDSATRNEQARFFVDALKPARLRIHVDPMVQEVEVSKQ >KN544697.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544697.1:41:1210:-1 gene:KN544697.1_FG001 transcript:KN544697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVFAGKAIATSVITYVINKAFDYLKDNKEAGGLKPTRERLEKLLPQIKVVLDAVDMEHIGDQSDALDAWLWQLRDAVEEAEDALDELEYYKLEREAKKIQAGSKVSGSLHQYKGKIVQRFNHTFNTGSLKRLKNAVKALADVASGVERFFQVLNQFGNKVNFKQEVEFKNLRETSSLPHSLVLGREEESNIVVQWLTKRENSASEQIVGNIPIFCIVGLGGIGKTTLAQVICNDNKVKDYFDLFVWVCVSHIFDVETLTRKILQGVTRTEIGMIGLDALHKALQEKLSSRTFLLVLDDVWNDESLRGWETLVSPLRYGKTGSKILLTTRMESVANLAARAMQGECQSLSLSGLKETELLLLLERHAFFGVNPDDYRNLQYISKKM >KN539481.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539481.1:94993:98589:-1 gene:KN539481.1_FG001 transcript:KN539481.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGYPCAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKKWYRITVFASFLSLPSPYYRATDVALTFQVAVVTVAFPATPLLLARARICISASHTREDLIKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >KN539481.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539481.1:62631:67602:1 gene:KN539481.1_FG002 transcript:KN539481.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALPSAVPDSAAPVAGDSGLSEQQLIQYIHSLRENQVVDGLFEDLKKEKHMSLTKLAHILKITDGLSNINIVESSVEPKTRALLEGIQKKVYDGIGLCPSIGGSFSELLGSLSLSATITKDLGSMEVRHLKSLIHYVAIPLVLCCPPEFSKKLAIKFVQPMLRQCKFVLNFAWFNHLYKGKAEVPFLSEFGETEKLQQKLLLDFTREVSEFLGVLAVTEENYLQDPESMSSISLFRFILTGDCFDWLDMSLFGYFVDDEATSKAIPFLRSLIHLATTDDMSLRLFIVDDLLPSIEFSREGKDNCSAECSFSVWIEKLKKDLELKASSASKELPEGCEWNWEFEEEFQRYLHVYMDILQEVNAMDDCMERDYLDKETLFQKLKFEFRYKHAINSYQHPYMVTISSLRQRQFYTRTRFRCNMQICKFLSELVKLKPYIKVSDCSYDVIENLKQNHEILTEISDCEVQLVPVVGDFPSHLQPYAYAFVDNKFRDSMYYTAVEQIRLHKEFDNYLSSGELDHSMDEFISSKDEFVEDLIRDESTMAQFSDLNHALLKLSLERRADVLENQQQICIYSECLRRLLEDESVKLTVC >KN539481.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539481.1:48320:48862:-1 gene:KN539481.1_FG003 transcript:KN539481.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEAGGGGRRWRRRGRQWGRELVEDSDGSGEEDDEGIGEDRWWQLRGAVRGIESNAVGEDDDGGGEDGSKNRWRWRWGGGGDAGATEDGEAREDRRRPCLWGRTAMAAVRRMERASGRINGGGDAGVTEDGEGEAASMREQGGGWRRREAMDADGWLECDARAWRIDDVWSVDLMGGS >KN539481.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539481.1:948:2043:1 gene:KN539481.1_FG004 transcript:KN539481.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding AVDMLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLWFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKDIFKENNCISAKIWHFCICTGCTGDKHTDWRRLGQLLKNTAVDHKCLTIEIEDLCTKINKGILEGY >KN539481.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539481.1:20598:38403:1 gene:KN539481.1_FG005 transcript:KN539481.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAPVEQDQGLMKINSSDCSGDGASCENETNYTDHGKQSNELGHARISVTGGGNFHQLLAEHTIISEAFLSAATYSWFEKEKDLLSSQLSSLKRIWSQPQWQACLKHLCCHGEFRSAVLKIIKIFEEELSKCREETNMLHQPDQISHSTLMSLVSLIIPPLLKLIRFVHALWTDGAVLRFPEELIEARKMKNVDQILRFRGETLEFLDVWPEELEEGLAQWLQLIRESGFRENERKEIHRYNLLGLCATIKGAFSELLDNSSINNAIMENIRSMEIRHLTKLIDLVIVPFIKHCPHNLWVEWMLKLLLPLFDYCGDVLYYSWFSLLHNGQANVPLFFGYICGSEETVRKMENYLLLDLTHKVSKLLGALASQELNQGVYRAGLVLDMNSASHDFKCTPSTSLLGYILLNDCFTRLSMNLFGWWVDGEAAIDSIPFCHSLVQVAVATNNEKLKRFIKDDMLPAIIRRLYDDLPCAVQKTIRKLSPLMNSINCRKATKDLLVLCQEIYKVYIRCQNLEGEDQDTDNIAYWFDDWLTKQKKELCVKASYAIPDEFPATLWNWEFEEEFQRYLPTYLDVLHEVDTMDCQERKMPGAYSEQRADRISKWTCELIKSKPYIKLSNGWNNAMNRLKENFVINVDTKPDAIDAVNIFYNSILLLWEPQFHPLIREGQMDVLVEITRQLAFAEERKNYEPLEPDSLDFLDHLQPYAELYIYRKKTESGCCTALLIMDSITNYRNLNNIQKEIFEYLIVVNAECETKWNISHSIRFVINEGIYVCVKLEAAKCPKELKSIEQVQLHKEFDRYLASGVLDGDICKFSSFQDDFIEEFADKHIAKSQFVQLDRKLITLSLEQRAQILEKQRQINTYAECLRNILTDLKLKDGLQSLMSELEVEGFFDVNNNCTDWEKGCFLRLIDNFEDLVFRGHCFPRYLVIQGIMDYWEMSQRSDSTWEDSFEQVVEVLCDNWRQDLTQIWMETRYYEGLYYDVLRKPLKKCYFAVKSLFLPV >KN539481.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539481.1:82092:86920:-1 gene:KN539481.1_FG006 transcript:KN539481.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVCRTTVGPVDHQEWSWKSCNCGIQSHASFDLAYSLRGSTYSPTCRSPGCALAARPTCASSGCFPEVEVVNNNVKLICYRDPVPGTTKFNYPFYYASVYDLLNPKTAIDQSIRQYVVLRRKPLQDAYDTFNFLSLCEHPNILKPYGFWEDNDNKGFIAFPRVEGTLGNLPTDELFSVEIDANKVTWLKGFSPSGMQIIRDIVSSVHYVNERYPQAGTSSSGSAIPPLTMFPLELASHKILYEKIPTGEYKIFLGDISTTNHWPKTMKKNFSVEDLKRHNWNCLGKYISTIMAGHKPNTELIHLVDVLIKETVRFEDILWEPALWGSKMKMRFVREIVWCLENDKTGGRKSTLSKLSPLGLQDCITKLGLNYNEAKSLLSSVMLLRNKIVAHQDDPYQNYTGPKEQIGVAKALLEKLVLDSKPAYMIKLIEEIRKLNWIGESPLVRSLTSYVKAFDPNLKIRTS >KN539481.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539481.1:14997:17291:-1 gene:KN539481.1_FG007 transcript:KN539481.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPGVKATVFCFEGDTAKTAHDHLNCLSHPYVIRSLGYGRGLGKHSRYTFLAVPFFDTTLAEYLPKERRLCIHMDRFTVEFIDIVGQIVRAMIALHNAGFCCSNLKGENIAVMKQHNSMCAKIWNFEKCKSDDDKDQDWKNLGTLLKLTGLWTPEARDLYTSLSSGNLKGMDILDHSALLTVRKKFENMLVFDFHAMTHWPKEGIQAQDSTQAASTMQNVQAPEWLDASFNWSSTRPSWIFAASLTDPPNTYRGFSRMIRHLIEHEVDFLSPMLIKRITPHDRLQGEKEVDLEWYMRKAWAEAFLKLQNFVRKSKLTY >KN539481.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539481.1:52024:55776:1 gene:KN539481.1_FG008 transcript:KN539481.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIVLLCCMIQRYKEVLVYSVLLAKFGPSQSGNFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSVPSMCVHALWNREITYDLSKKFAKAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFRRYLPVYFEMTQEVDTMVGCFEGFNVIGRWDMGDNCGNRSVHLLCSEHLLTSLRTAVVLETKYDIDKWCKVRKAIAKKDRRSFNADDILVTWLIWKEHNARVFEGRACSPVNLSAAIEDEWRTWIAAGLIVASLIFLDREVLYKKLRPEFRSMYAIDSSKYPHLRIISNM >AMDW01033780.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033780.1:21:434:-1 gene:AMDW01033780.1_FG001 transcript:AMDW01033780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVGSEYTRDGSVDINKEPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYIT >AMDW01038741.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038741.1:300:581:-1 gene:AMDW01038741.1_FG001 transcript:AMDW01038741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAIYTIKTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEALQEKLESLPRD >AMDW01024303.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024303.1:6:111:-1 gene:AMDW01024303.1_FG001 transcript:AMDW01024303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYKFGPYKIDAREVFHSTPLSYAMVNLRPLLP >KN544318.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544318.1:2140:2996:-1 gene:KN544318.1_FG001 transcript:KN544318.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEVEFEDGSSFHLPAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGIMSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFGLMRSYITTLKKHGLTRDPQRRK >KN541507.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541507.1:19008:20400:-1 gene:KN541507.1_FG001 transcript:KN541507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVVDSHLCKQHAEGPAAVLAIGTANPTNIVYQDGFADYYFSLTKSEHLTELKDKMKRICHRSGIEKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTTEIPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGAGPLVDGERPLFEMVLASQTTIPGTEHALGMQTTCNGIDFHLSIQVPTLIKDNIRQCLLDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLNEYGNLSGTTIAFVLDDLRRRREKERDVHEQPEWGVMLAFGPGITIEAMVLRNPLS >KN544764.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544764.1:148:1601:-1 gene:KN544764.1_FG001 transcript:KN544764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METGGVGVATTRGGGRRPAPLSANNLPPHTPGVSETTSTFAPGTFRGAGGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLHF >AMDW01026041.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026041.1:18:269:-1 gene:AMDW01026041.1_FG001 transcript:AMDW01026041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPTFPAKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLEKDGLIYTRVLGEDDDPSSPIGRGWHSTFLTKDRSIAEE >KN544159.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544159.1:327:533:1 gene:KN544159.1_FG001 transcript:KN544159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLTRSEDDMQDRPNATVSLNELNLGKYLRNQLREQLPNKEATIDLPHLGSGELSLQLVANASFHRLLC >KN539923.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539923.1:1278:1424:-1 gene:KN539923.1_FG001 transcript:KN539923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLDLGMQGYLDMAQGMLIDPPPMAGDPAVGGGEDDNDGEVQLWSY >KN539923.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539923.1:65967:69303:-1 gene:KN539923.1_FG002 transcript:KN539923.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGMLKEEGLRDAQKKYRLAKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEYTERKGLWASPTPSPLLPTLRALVQAGACMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSLSEAAIIISGLGFSGWSDSSPPKAKWDRAKNVDVLGVELATSAVQLPLMWNIQVSTWLRYYVYERLVQKGKKPGFLQLLGTQTVSAVWHVLSFKETLASYQSVYYIGTIVPIVVVLLGYVIKPARPVKPKARKAE >KN539923.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539923.1:53914:55545:-1 gene:KN539923.1_FG003 transcript:KN539923.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMSAGAAGAEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYDLLGVTMQRTVLLLVAASVPIAGLWVHMRPLLLLCGQDAAIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIALHLPINYVAVSVLGLGIKGVALASVLANLNLVLFLFGYIWFKGVHKRTGGFALSADCLRGWGELVRLAVPSCVSVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGPEEASRAATVGLVLGFGFGAFASAFAFLVRNVWASMFTADPAIVALTASVLPILGACELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALILAFWYHYDFRGLWLGLLAAQATCVKVKKFKGNIKDFFL >KN539923.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539923.1:40535:44440:-1 gene:KN539923.1_FG004 transcript:KN539923.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAPFDFDIEFRAADLDRTIEHTRSGLEPFVVAAIPTMKYSYEAFQSKDDAQCSICLGEYNEKEILRIMPKCRHNFHLSCIDVWLQKQTTCPICRISLKDLPSGKPAESPVRSLPQLFSHPESSKRRAFFQQQGSTYTALAQNCKEFVYDRTGF >KN539923.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539923.1:72967:73272:-1 gene:KN539923.1_FG005 transcript:KN539923.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEGMAAAIGVSVPVLRFLLCFAATIPTGLMWRAVPGAAGRHLYAGLTGAALSYLSFGATSNLLFVVPMAFGYLAMLLCRRLAGLVTFLGAFGFLIAW >AMDW01040094.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040094.1:78:532:1 gene:AMDW01040094.1_FG001 transcript:AMDW01040094.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >KN538999.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538999.1:51454:55348:-1 gene:KN538999.1_FG001 transcript:KN538999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEALHEEMPFDLAFHPSSPLVATSLITGELYLFRYAAESQPERLFAAKAHKESCRAVRFVESGNGSADCSILASDVETGKPIARLEDAHENGINRLVCLTETTVASGDDEGCIKVWDTRERSCCNTFHCHEDYISDMTYVSDSNQILATSGDGTLSVSNLRRNKVKSQSEFSEDELLSVVVMKNGKKVVCGTPSGALLLYSWGFFKDCSDRFLGHAQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDRNYLGSISHDKMLKLWDLQDLLNRQQLVQDDKLGEQDSDDSDDDGMDVDMDPNSSKGSRSTKTSKGQSSDRPTSDFFADL >KN538999.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538999.1:110691:112512:1 gene:KN538999.1_FG002 transcript:KN538999.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAVPSPVPSASDDAESLRKALQGWRADKGALTRILCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRRHCLLSLDFWKAMILWTMDPAERDANLVHEALKKKQRDETCYMSVLIEMLVRLVSSYRYEGDECVVDMDVVRMEASQLAEAIKKKQQPQGEDEVVRIVTTRSKSQLRATFQRYREDNGSDIAEDIDSHCIGQFGRMLKTAVWCLTSPEKHFAEVIRHSILGLGTYEDMLTRVIVSRAEIDMGQIREEYRVRYKTTVTRDVVGDTSFGYKGFLLALVGRED >KN538999.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538999.1:28207:32994:-1 gene:KN538999.1_FG003 transcript:KN538999.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MGSSKANHPAASSSKVSSVSSSKPKPKPTPIRNPTPPPPPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVPIDDPRAEVSRHLHFLQILLFGSFTEAETKLWQPNANKTRELSEIQFGSLNFSALNLSKESNSITQGAVYPAKLSDGQNKIIITKDIACSNKKETVAPTLPNGKPVLFNGSPTANANVSPNNGVIENNQKAVAAVPTSVPAKNVSSPAPLSVPEVDHDGIERNQNSSLVPEITENGGPAIDTPTTAAPVAAPVDELVTSLNKNDFRNKPLLPHGLKNTGNICFLNSSLQALLSCWPFVQLLQNLRNQNIPKEDAQEFLSFAMDRMHDELVKLNGNGSNSKEGMIVSSNDDDAWETVGKKNKSAIMRTQSFVPSELTAIFGGQLQSCVKATESWVIVLILYLEGLLHCHSSSFNNKSNLVLKAGLVTARKSVKIHSLSKIMILHLKRFSYGSHGCTKLFKPIHFPLELVLSRDLLSSPSSESRRYELVATITHLGANPSRGHYTADAKSASGQWLRFDDDNVTPVSLNKVSVAVNQFGKLKL >KN538999.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538999.1:61895:65327:1 gene:KN538999.1_FG004 transcript:KN538999.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAASLASLLPQLWHRPVQPPPFLHRALSSSSPLLRRHRDALHSPAARLSAAAVSTSAATVEAPATAAYPVYGRLLPCPPQDDPPRIEHLVAREDEVAVDFISRSLTLPPLYVADLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTEPSVLRRRKSIKGKTVREAQKTFRVTDPNQRLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGMIREKQVNKRYLALTTAPVSTGIITHYMRPINRAPRLVSEDHIKGWHVCQMEILDCKKVPWPSSLIRKVHKVDNCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAIVNPSINPFGRWGQNYDSEDEKAAAVEAWISCHGKEPKSVIGLQASEISWDYEGEHHSYKAGVPWWRQDAVESDLI >KN538999.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538999.1:83896:87012:-1 gene:KN538999.1_FG005 transcript:KN538999.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEVEKEAPTTFAELGVCPELVEACDAMGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFFACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVIGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKTVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQELYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALVLRNLRFKAISISGQMGQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVIINYDFPLNSKVPTL >KN538999.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538999.1:25568:25783:1 gene:KN538999.1_FG006 transcript:KN538999.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDDLFYTPDPPVHHKTTTAPTLDADVLRALSLNSPRLGGAGMVRHAEKPAQFVAAKGGAARHVIHQPR >KN538999.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538999.1:113669:123265:1 gene:KN538999.1_FG007 transcript:KN538999.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAIGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTDRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWNWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEETRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKEVEGDSDAHSLRLRSKHAMLEILLERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLDDSSLGEVIAGQDESVSDSCLPSSQDLKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVTALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQIVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSEAQGINAELGIGASVDIAIESLAEKAEREIVSSSEKNLIGYCAPFLGKLCRNLTLLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDPSASVKGFINEMAVRIEDEDERISSLAKLFFHELSKKGMSSKCILDLSFCCVSDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCKKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEANKQIIGSLDKLMVTNRTGKDNGKSAEVAEETSEVVDPSTDSNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTSCKDQLGDAEL >KN538999.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538999.1:136355:137632:1 gene:KN538999.1_FG008 transcript:KN538999.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRTSSCCSPPVFPWTPRIRKDERVGLVPRTPMLSGLILAFCYNYWSRHASMMFEYAIEMLHALHMASANGHLAVVQYLIQNGANVNATNSEKNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAIGAAVAQAELDGVTVS >KN538999.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538999.1:156084:158315:1 gene:KN538999.1_FG009 transcript:KN538999.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MACWHRHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFHEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRGNREKLLSEGSTDYDINKISTPRLSRGNVDQCLTDPNRWPKIKLGANMPARAELTARKNDLEPQLIGEPTGEYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >KN538999.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538999.1:68109:82780:1 gene:KN538999.1_FG010 transcript:KN538999.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSGQNARKINGPSGPWGTNFLKDCGPTQMAKEEQLASDRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLREDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHRAKKPDKLHQKTAGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHIKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVETKGGEGEEGNELLSAFKACSVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSVDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGRQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYGNERPKRVVICRNGACFGHGDSRAKTEILLVEVFVLEMVWVMLSGWLSSCLAVADDVATALRQSGDDVSG >KN538999.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538999.1:35479:40738:1 gene:KN538999.1_FG011 transcript:KN538999.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLAPLSYLAFVHYPLDAALRRSILICGAMSLGGFVVVLRLVPVAARYLLRRGMWGKDINKRGLPMGEIRVPEALGIVVGIVYLVIAILFQHFNFTADSMWLVEYNAALASVCFMVLLGFIDDVLDVPWRVKLLLPTIAALPLLMAYAGGTSISIPKPLTSYVGVAVLELGSLYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVIISAAVLIHNVMRIGSSTDIEAQQAHAFSIYLVLPFLTTSLALLAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPEVLNFLCSVPQLFKFVPCPRHRLPRFDPHTGLLTGTKDGNLVNIFLRIFGRCTERTLCIRLLIFQALSCLFCFWLRYMITGWYK >KN538999.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538999.1:4201:14840:1 gene:KN538999.1_FG012 transcript:KN538999.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAEAAVTPPQSGSKRKRASGAGRYRSVAKDLEKAAVERLPPKPELPPSSQSLDLEGLPALDVFQVYSCLRSFSRQLFLSPFPLETFVAALRCMYVNPLIDWVHFSLLRAMKSHLEDLANEGDPPAMHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGMKLTDLKLLNTEYYTQPAMVKLELLRALCDDVLEIEPIRSEVVSRMSELDGNDELCKSTRTRRKRRASAVKNLVNSSRAPEDSSDTEDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGNWFCPECLIQKNDGFKNMVKPGRGAEALGMDPHGRLYFGTCGYILVVESTAEDSLDSTCHYYGIFDHHSLFNVLRTCHPSYSSITNMISLFWGTAIDSFDSNGRCENNKEFSIFDAKIDCSYLLPSKQQTEHEQLKSDKNGSCEQLASGKAHASDPDGLDHDTSHHNFSLRSAVISENGNATSAKTQQDVCSYANGLPAENKIDQSSHKKISDCYIHSNPAMYVNYYSFGQIAASAAEELKDKLSENKEGKKAGQDAASFQLKTICKKYANIFALTDQKLSVELRKEKCGWCNSCQISGGVDCIFRVTDGKCMEGLQSEKNMSSHIILAIHIILSIEERLNGLLIGPWKNPQFSSYWRKAVLKASDVSSLKQPLLMLESSVRRVAFSVEWQKPADSVEVVGSAAHVLVRTSNKSSRHGSIRKPGRKPFIVELKVDSRDVGVYWRRGGRLSRQVFHWKRLPKSLTYKAVRQAGGIKIPTILYNDGSQFARRSKYIAWQAAVEMAENVAQFILQIKELEFNIRWTEILSTLPASLATKETQKIARLFKKVIVRRKRVEGTNVEYLLDFGKRENIPPVIAKHGKKLDEPSSERNRYWLSEGHLPLSLLKAYEAKALTRLLKKKDIDHLPKKMTDLKPPKPKKSGFDDLLEKAKKQVLGLCGHCDKEVKTSDAVNCQYCEALFHKKHFKVPRGATDAYYVCNKCLSEKVLNVKSPQKKVVSKKNSLKKKTKKQSRKIVTRSKQIVAKSKKKMGKNKGKRGRPRKYPLNESKNKFPELRVKEPANVPKNEPAKRISKRLYSKYMKGNSNISERSAKRRRTASHYSYWLDGLRWTQNPNDDRAISFRTERVVFPCEDAELSEVFPVCRLCQKCYSGESIYIACEDCGDWFHGDIYSITLENVNNLIGFKCHRCRLKDVPVCPYVQTDNILMAQSDKEDVTSRSIEDKEDRSPTDLVAHDSLEGSHGHIIEKEVDDHSFEKEVGDHICLQAQEDHNEKKELDSHSTEKELGDHNKMEEFDGNMEVLFNLNSTKELDSTSTEKELGDHNKIEEFDVNMEMFFNLNSTKELDSTGESICAGGEAHCLHELNNHEILKECHSLNNNLGELDNQDCQKECHNQNSPKELENHRSSQELDSHKSPEELDNIISPKELDCTENNEHSAAVTQSDGFLDDPFNIRISDKEELIIASENDCPRPPGYQRIPKPLAHRRRGGQASYHPIAPAPPFTRAPTPTPSACNAAAVVRRCCCCPLYVAGAGLRAHCHWTPERDRPCTNPYVVFVLSAGAATPVSRLVYFLITYILLSDCKNKQMTKWGAKLLRDNYASLREDRELKLRLTELEREQGMR >KN538999.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538999.1:98057:109296:-1 gene:KN538999.1_FG013 transcript:KN538999.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDEDVTKHLLQGMIRRQLPKWVNKSYKLDERRYKRPRVSNTPSDRYNTALVCHRWRFLACHPRLWLRVERPIRNTIEPGVYPNLESAVSAARPGDTILIAAGGTHVARNIQIKKPLCIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIEECLLQCEQNPLDYLSFPIISTAIEYDSFSSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQHVRAIYSHSSVFFWFEVGERDSISIGLSMASVTYLDDAHSEVIDPPKSEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >KN538999.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538999.1:127547:130396:1 gene:KN538999.1_FG014 transcript:KN538999.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYQYYTTAKAWRLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKENQAHIIKSGLQCRSQKGSELRCKESFVRWVT >KN538999.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538999.1:41988:45065:-1 gene:KN538999.1_FG015 transcript:KN538999.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMEKEKEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDKGDIVFEDVKDVIMKNGRSLPECFRLFELYHILTTDHDTVTRITKEVVEDFAMENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEAVEVVLFDSNSRADKTPMSELGGDTRKKKIYVRLLLSIDRRETTLAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVANRKEIRAVLDFCPQRLGHVCCLNDEEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFQLAQDAVEFVFAGDEVKRSLRELFERVGKELTT >AMDW01078244.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078244.1:62:382:-1 gene:AMDW01078244.1_FG001 transcript:AMDW01078244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASVADIPVPAAASTGIIRDTLDRVDARELAATPRLYHSLITACVRHRSLDDVRAIHAHLAGSEFAGSVFLDNSLIHLYCKCGGVADARQVFDGMPERDMCSWTSLIA >KN541165.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541165.1:86:3792:1 gene:KN541165.1_FG001 transcript:KN541165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HKKRCTGSYHRVQGSSSGCVEGSKALSVEAPDCKVEESAPGSWHNAHTSIKGGKGNKHELEETSAGFPPDDSIGISGMESSSRDTANKKIKLSKDIGLDRLTATTVHEAPKRPRTTSLKKNQECSRQKKRKISKWDGSYSVIIEWLNYYSVDESDEDEVPLINKRTERRKRQKLLKFALARESNSGSEGASSTSFVENGRNSSSAGSYPLSQGDNDKSENVQANRVDGSSLPDHPKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >AMDW01039953.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039953.1:23:1156:-1 gene:AMDW01039953.1_FG001 transcript:AMDW01039953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMQRSSQPQFISSIERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPE >KN541165.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541165.1:31925:33439:1 gene:KN541165.1_FG002 transcript:KN541165.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEEIVRRAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGMLLEEAEGLLRRSIELALEARDEFWKSTLRKSKPVYNRALVAASIGSYGAYLADGSEY >KN541165.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541165.1:9398:10975:-1 gene:KN541165.1_FG003 transcript:KN541165.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAGYSGSVKLKYVKLGYQYLVNHFLTLLLVPVMAATALELARMGPGELLSLWRSLQLDLVHILCSVFLVVFVGTVYFMSRPRPVYLVDYSCYKPPPSCRVPFATFMEHTRLITDDEKSVRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYWGTRRDMMLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYEEEDEQGHSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLDLSAQHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPADGPWDDCIHRYPVDIPEVVKL >KN540406.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540406.1:5599:7771:-1 gene:KN540406.1_FG001 transcript:KN540406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKIDLPENILRDYIPDVDKVKWILDNNHNITYFTEDDIKRITNNYSTKLGKGAYGEVYKGVIGDNRFVAVKKFIRADKLDEFAKEVIVHTQVNHKNVVRLVGCCKDENAPVIVFEYAANGNLSDRLYCGDTLISLGTRLSIVIECAEALGCMHSMYNPIVHCDFKPSNILLDENFHAKISDFGISRILSTDNTNLTINVRGSIGYMDPTFAREGRLTPKSDVYSFGVVLIELITKTKPTNMEKNVIRRFIQASQKWKGAKELFDVDIAKESNMKILEGIGKIAKDCIKEDIDKRPEMNDVAARLRELMKTLEQGGENTGWEFFSAGQNDLKKENQHERSNSSSNTVLYRRKSLGIFNWIGAINNFKKNGGHILKDISNIRIYTNEEILNITQNFSTALSKSSSSDVYLGDIDDNTRVAVKVFTDVSESREEFVLQLIIQSQVQHQNIVKLFGCCLEMDHPISVCEYVPNGALSNYLVVEKGEETGERSLLDMNTRHFIALGVANAIACLHEKWLDTLNDSVINVTPWDILLDGNFCSKLSKPTPIIINESTIMTTEVVPERLFFSRGFITAKADVYSFGQLLLDIVFGIRDTMYWEELVGRKSFDFVNIVYQEVYLKQRIADCLDPRIIQAEAYDSARSMATAEHMVKTALWCMQFSADHRPSMQKVVEMLQGTIDIDEPPNPRSSNLYDSASYSNYRHNLPHPSSDEGEF >KN540406.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540406.1:50275:53280:1 gene:KN540406.1_FG002 transcript:KN540406.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLVLVLAGAVAVEAILGAPPAPGTSVAKVPAVLAFGDSIVDTGNNNYLPTIVRSNFPPYGRDFPGGKATGRFSDGKISIDLLASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTND >AMDW01009167.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009167.1:23:181:-1 gene:AMDW01009167.1_FG001 transcript:AMDW01009167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEYPAPGAPDVAKKAKELLEQAGFGPVKEDHGRGLDHGAWVPLMFMYPEANVP >AMDW01033584.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033584.1:259:465:1 gene:AMDW01033584.1_FG001 transcript:AMDW01033584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEVKYEDGGPVEAKGVCREFAYDGEKGLFTAGALPQTKHRFVVVMEDASSSG >KN540406.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540406.1:26831:47491:1 gene:KN540406.1_FG003 transcript:KN540406.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSALVAVVVVVAVLALAEAAVAFSGYNTSAGPVAGKLNVHLVPHSHDDVGWLKTIDQYFVGTNNSIQGACVMNTLDSVVDALILDPARKFVFAEQAFFQRWWAEKSPKIQAIVHKLVDSGQLEFISLRKFGNFKFRNGGWCMHDEAAVHYIDMIDQTTLGHRVIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEIFDDFVPVQDDMLLFDYNLKERVNDFVAAALKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLINYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADAKNAYWTGYFTSRPTFKRYIRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGVSQVEKGVNTALSCLTSSKGTCTATKFSQCQLLNISYCPSTEEGISSAKSLVIVVYNPLGWERSDFVRVPVNDANLIVKTSDGTSLESQLVEVDIVTARLRKLYVKAYLGITSDKPPKYWLVFQASVPPLGWNTYFISKSTGTGSNGMGYVSTVVSPSNDTIEIGPGPLKMSYSSKSGQLKRMFNSISAAPLKVFHGPLVDEVHQQFSSWIYQVTRLYKNKEHAEVEYTIGPIPLDEDDDIGKEVVTRLTTNMATNKIFYTDSNGRDFLERVRNHRDDWDLNLSQPVAGNYYPVNQGIYVADGKYELSVLVDHAVGASSIEDGQIEVMLHRRLSADDGRGVGEPLNEVSLDDGTTLLRLAHLFQAQEDTQYSVMAKVELRKLFGKRIIKDLTETSLSANQKKSEMKKLNWRVTGETKTDPAPLKGGPVDSHTLVVELGPMEIRTFLLKF >KN541004.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541004.1:5466:8729:-1 gene:KN541004.1_FG001 transcript:KN541004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITSPDDDAAAAAATLAARPEPVVDKAVLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEADDLTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNGSLRWDEFSLMLKAAHANRMGSASKRTVILDRPKEEDYFYANPQECLQDSNLLHTS >KN542895.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542895.1:4527:5199:1 gene:KN542895.1_FG001 transcript:KN542895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALCIGMVCFVETEQDAGNLEEINRIGKILSKRDMVKQSSESLIFERKKKMGTHNMQDMWKVAGIASSAFLFGTAFISLYAGSLHGEEEEDGILQDKKRLGLEE >KN542895.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542895.1:6828:8504:-1 gene:KN542895.1_FG002 transcript:KN542895.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDMVIIESTYTSYEESLLVHISQGLGVQAAVEMGIGRVMIETDATAVIQAVYTNDFELSDVSFLVAELQSLLRLNFISWSVSHVPRLCNRVAHELVAMGSVCDPAEAPVLAPILAQIMYLVADDSAVS >KN541438.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541438.1:21320:24234:1 gene:KN541438.1_FG001 transcript:KN541438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVVLGLYVRYYSHASQFRYPLWALWALMVAKFLERIVLFKNGNRKYGDGNTSRVADYMKHEHELSNTDAEAGGFSMEDYKYLIVGDSKLETTIVDGMTYEPKLTPPIRQTKEADDTVVVVTVDKVWTCKGELLKTDNRGDKLKDICLSFALCKLLRRKFAGVNASENERSKAQKLVFDGLIPDPERTFRVVRAELGFARDMSFTKYPILFSYGFPVVSVVLFAATLGVSLWIISSAIHHYRVPRKSTPNLVNGKNVDLIITFVIVFMVTAMDICEFFMHLFSDWTKVMVVSEYVRKRYVRCCVLDRILWLVCHGKLAETIGSSLGQFDLLNGAKKGYIPERIVRLYHMVRSFILLTDDKDYRIKKGKNLRPVPESVEKALCETLLSNRKQLTQGLRLLTRSKLQAESEELLAYCQLAEIETIVVWHVATCKLEQQSPHEPVESYQVATALSKYCAYLVFYNPKLLPVGNTSVRHTCKTLARHDSSCDRSCGGADDCMIRKGEALAAALLKGRELNKSSKEPGTWTELAEFWSELLISLAPFGSVGAHEKGLGDGGEFITHLWALLYHAGIDAKAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPRHCLSLSVGFFAFAVLTNVARDALPARYKRLVPLPTAMAVPFLVGASFAIDMCVGSLVVFAWNKMNKKEAAFMVPAVASGLMCGDGIWTFPSSILALAKIKPPICMKFTPGS >KN541438.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541438.1:1675:2934:1 gene:KN541438.1_FG002 transcript:KN541438.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLKRKNLPSSNRRGIKNHFQPISATASLQLQQYTGDRFSVPRLSPLSPVGFHVSRNVSQNQWMMKLIGVPPNVGQPVNVQEATFPRASIPRKRFRSDGSAPPVHLSTNANHLRHPAPNSVLVQPVSSAALQTTQSQPASSAVSQNSVSAARPLRLQTTQSQPASSAVSQNSVSAARPLRLQTTQSQPASSAVSQNSVSAARPLRLQTTQSQPASSAVSQNSVSAARPLRVQTTQSQPPSSAVSQNSVTAARPLRGYSPQNSFSAPVRVQPTSYHQVAPGISQGLQVQSTSYLQVDPGRAVSAELQLSQCSSLQTQGIQHQHDPSADIYQSIWKEALAKVAAELGVSDYNIDPPGRLPSTPQPCQLHAQMRPAHQPTQATARQGVQANRGHVAAASQKRTSNGHHLPNHKQFNPGAN >KN539210.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539210.1:122444:127621:1 gene:KN539210.1_FG001 transcript:KN539210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAVPHLPAEETTPSVDVEIASPDQQQPAAAAAPSMAVFPPAADDDDEEEAVAVLLSGEFFTCWSSLSESTDDETTRRTTTESMFYIPTNGNNDVGRRRKVRSWSRGNFLGRGSFGMVFEGITNEGVFFAVKEVYLDDQGRYDDAQQCIFQLQQEIALLSRLQHNNIVQYYGTDKEDSKLYVFLELMSQGSLASLYQKYRLRNSHVSRYTKQILNGLIYLHDRNIVHRDVKCGNTLVHRNGSVKLADFGLAKEINKFSVLKSCEGSVYWMAPEVVNPKRTYGTAADIWSLGCTVLEMLTRQLPYPNLEWAQALFKIGRGEPPAIPKYLSKEARDFISQCLRPNPDDRPSASKLLDHPFVNRCSITGICRGICHNTIGDYTCTKCPSKRLRQSVGARRNLLV >KN541438.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541438.1:11423:11773:-1 gene:KN541438.1_FG003 transcript:KN541438.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTLSKVFVGGIGPYTGDEDLRRHFQQFGWAPD >KN541438.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541438.1:7657:10270:1 gene:KN541438.1_FG004 transcript:KN541438.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQALVESLPEEQHPDVGGEERRKVGVPVYVMMPLDTVRKDGNGLNRRKAVEASLKALKSAGAEGIMVDVWWGIAECEGPGRYNFTGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYLSLGADAMPVLKGRTPVQCYGDFMRAFRDHFAAFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGGYNDWPEDSPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASGVYTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLARHGAVLNFTCVEMRNHEQPQDAQCRPEELVQQVAAAARESGVGLAGENALPRYDETAHDQIVTTAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTESGVRDVCREQVEREAQGVAHATGSLVHEAAVALSN >KN541438.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541438.1:3694:5411:1 gene:KN541438.1_FG005 transcript:KN541438.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEELRLRKIKNDQLKADAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >KN541438.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541438.1:14439:17972:1 gene:KN541438.1_FG006 transcript:KN541438.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSEKARQHWSSLQKLLPELQSLTEVVVGNGERTSFWYDKWLANEILSSRFSTLFSHVRDKQISVKEALVRGISESLVPRISEAASAELSSLLQSLQTFSLTDEVDFRLSSKLGRLTTKKFYEARLPPAMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTRMSDVVDERDARDVELGDMAAGKELEQGHTASVKEKESDLIKVVEVLHMVRCREVTEYNHKLGRSVPTRFCFQNIALFDLDKESKLGRGPPAKSLTCSEYTWMLQSINILSVKVTESDVGYPIREDTLTLTGPNRALGARNRVYFEFHLKVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVEIAIEACVAVNIQGVVSNFTGKVTAWTTEDHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDETEFELYLGQNDEECTLELGPCKLQVKISWTAAMKKRWWERSMKIGHKFVLV >KN539210.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539210.1:16637:22542:1 gene:KN539210.1_FG002 transcript:KN539210.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTILTQGQRSDEWMRHKADKLKENVRKLLWTSNDVVAKMNLVDAIQRLGIGHLFEDEISCILSDIQKSEFTSSSLHEVALRFRLLREHGLWVSPDVFNKFKADDGKFIDEIANEPRDLLSLYNAADLLVHDEPELEEAISFSRYHLKTMMQHNNLKQPLFDQVSRALHLPLPRTYKRVETLHYFLEYGQEEGHNPILLDLAKLDFNILQRVHFKELKAISEWWKDLYKYIGLTYIRDRAVESYIWSHTMLFGEGLALTRMICAKIIILLVIMDDTYDAHATIEESRKLNEAIQRWDESAIPLVPEYLKKFYIKLLNNFKEIEDQVMDNEKYQVAYAKKEFQKLSHYYLQEAEWLHQNHKPSFQEQVDLSTKTSTAHLMFVSTTIGLGDAVTKEALEWAESSTAIVAVGKIMRFMNDIAAFKARGVCGVGDGGEVVSGGIAGKLRWRGCKAVAESPPSDPCRRPELVGEHSV >KN539210.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539210.1:102165:112010:1 gene:KN539210.1_FG003 transcript:KN539210.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKADKLKQDVRILFEAHNDTVKKMHLVDAVQRLGIDHLFQDEINNAISDIKESGFTSSSLHEVALHFRILREHGIWVSPDVFKKFKGDDGRFIDTIADEPRALLSLYNAAHLLVHDEPELEEAVSFARHHLESIRGGGRLKAPLDNQINRALHLPLPRTYKRVEMLHYMLEYGQEEEHIVVLLDLAKLEFNLLQHVHLKELKAFSQWWKDLYGYVELSHVRDRAVESYLWSYALFYEENLTLTRMILAKIIGFTILMDDTYDDHATIEECRKLNEAIQRWDESAISLLPEYMKKFYHALLNYFRETEAQVEASDKYRVTCMKKEFQNLSTYYLQEFEWLHQNYKPGFKERVALSTLSISVAVLCVTATVGRGDAVTKESFELATGRTSAVIACAKIMRFMNDIAAFKSGRKNKGDATNTVECYMNEHKVTSEVALDKIESMIESEWRTLNQNKGTPLPKAIGSRWQPEQASGAWSLSQD >KN539210.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539210.1:71731:76308:1 gene:KN539210.1_FG004 transcript:KN539210.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAEKLKDDVRTLFETCDSTDGRMQLVDAVQHLGIDHLFKEEIEYSLSQINASEFISSSLHDVALRFRLLRQHGFRVSPDVFNKFKGDDGRFISGITDDPRGLLSLYNAAHCLAHDEPELEEAISFATQHLSSLSSGTDPNPHLIEQINRALDVPLPRTYKRMETLCYMPEYGQEEGHIPIVLELAKLDFNLLQHVHLLELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVVFYEEDSALARMIFTKIIAFIILMDDTYDSYATIQECRKLNEAIQRWDESATAFLPEYMKKFYGALLKTFKEFEIHVEGDGQYRIDHTKKAFQKLSAYYLQEAEWSYQNYNPSFEEQVALSTVTSTVPLLCVSTTVGRGDALTKEAFEWVANDIGAKTACAKITRFMNDIAAFKRGRKNRGDVASTVECYMNENKVTSEGAITKIDSMIEDEWRTINQALCEHRELLPAVQQVLNLTICATFFYGKRKDAYTFSTHLQETVESLFVRPVPI >KN539210.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539210.1:50285:57168:1 gene:KN539210.1_FG005 transcript:KN539210.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRADELKEKVRTQLGTCEDIVGTMNLVDAIQHLGIEHLFKQEIDNTIRDIHTSEFTSSSLHEVALSFRLLREHGLWVSPDVFGKFDGDDARLSSVIADHDTRGLLSLYNAAHLLVHGEPELEEAISIARHHLESMTKDCDLNPVLANQVNRALNIALPRTCKRLETSLFISEYEQEEGWDESAVTLLPEYLKKFYRELLRNFKVLQDQVTDNDKYRVTYTRKELQKLSTYYLQEAEWSHQRHKPSFRDQITLSTMSSVIPLLCVSGTVGMGNVVTKETFEWVASRTSAIVASAKIGRFMNDIAAMKRGKNKGDVASSVECYINEHKVTMEVAIDKIDSLIKDEWRTVNQALFEDRKLFPVVEQLVNLTASMAFFYDDRKDAFTFATRLQDTIESLFVNPVPIQTIYYIS >KN539210.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539210.1:113555:113692:-1 gene:KN539210.1_FG006 transcript:KN539210.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGWIGIGGGCAGGDGGGQSGGGSSGAGYGSGGEERGTGFQQT >AMDW01030499.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030499.1:1:391:1 gene:AMDW01030499.1_FG001 transcript:AMDW01030499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKK >AMDW01025562.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025562.1:11:325:-1 gene:AMDW01025562.1_FG001 transcript:AMDW01025562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDAVDRTGVPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGIGDDGVKEIAHRPVTCSDVKAITEAELNYPSLVVNLLAQPITVNRT >KN538956.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538956.1:67224:69233:-1 gene:KN538956.1_FG001 transcript:KN538956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKVHRNRNHNSSHDPSEAASFQFSLINRVLYPLKMKNNKVHRNRNHNTVVVVVGCKEQDMFSKLPNDILLNILERVDTLHAIRTCVLSSKMIWVQPECPKILAPVFDKLRFVNLVDLPEGCDIAWTMFIVEAARCLKELYCITIRRELGYCKKANAESESSASDLMHENMDVLTIFGFQPDDYHLTYIRNIIETAVNLKEILASCCRMSWKLRLT >KN538956.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538956.1:171099:172806:-1 gene:KN538956.1_FG002 transcript:KN538956.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRGNRNKKSAAHNNPQLVVDRFTRLPDDLLLNVLDRLNTPDAVRTCLLSKRTIHLRHLLSNLDISVDSFVPHYYGYYATSKDAIQIQMNAAVSDATDNILNFRNQEIPLRHLSVCFYLKYYDCLTIGKTVARAMATHNLDSAEFIILTGKRAQHCSIDDLRHNGKQLMTFFGACTDAFAGLTRLHLRNLRLAEADIPNIIATCKRLEYLRLSMCQTEDSVLQMKLEHPRLVELNISSAGLELVELSSLPNLKRLVFSLWNCPQEPLSFGNVPLLSSLSLTDESMRWQKVIRLSQFLPNVLSIRDLHLNFSSEKIWVQPECPKLLAHVLRNLQVLNLDELPEGCDIAWTRFFIEAAPVLKELCITVWDHWCEMETDSVEREAQGFCDKTNVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSGYPETIQEQELLKKQITEGLVMASPHIIHFRS >KN538956.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538956.1:43756:49249:1 gene:KN538956.1_FG003 transcript:KN538956.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAEEEDGGEQWREEEEAEAVYCAVGKEAVKEWKANLMWVLAAFPWRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKSQKVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTREVNEGLNRTGSSTTSTSPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDSALDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKEVEERLARERAAMEQDRRELDDILEQTREVDARAAELELQITSSERMMSDLEAKLSESYGLLHQLRRERRRDGAPAEAMAGSEDGDQRLTFLRLGLPELEEATNHFDESVKIGGDVYRGELRSMAVAVKMIGRDVAVDEVGFCREVEAIGRARHPNLVMLVGACPEARAVMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASPGGWPLEVAREVALVGLKCCGVEEEEEEPAARARRPGELLEEACGVLEAVMSAAPGRSWSSVSSSSDGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRLELVPNHPLRDAIHHWRLRRAMRTNFSTGLDSYYY >KN538956.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538956.1:3942:12847:1 gene:KN538956.1_FG004 transcript:KN538956.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSSPPPPQQQASSEDDKEKPTHLRFLVSNTAAGCIIGKGGSTINEFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVIKAMELILEKLLAEGEESNEAEARPKVRLVVPNSSCGGIIGKGGSTIKSFIEDSHAGIKISPQDNNFVGLHDRLVTVTGPLDHQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLGFPSYPGVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPSTPMRSPANNDAQDSLTIGIADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSERKVTITGTSEAIQAAESMIMQRVTASSERTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLLDADGTQDLHVVCRIFQKNGSGPQNGAQYGAPYLEEDWEEEDDAIENTPASGAFAEMAAVTDTADEESTEEDGNFSLKTNEEPLQTQEYQPEITPVKAQDSNEETNGGGYSCDVFSLDEILQEPENVCKNEEQNAIDDNFTIAELSGYPRQDDGYVGENGPVNWIDPSNGDNTNWPLRAYSTQNHVNGTLSADGFFDTVNGTNSYSGQQQVCPSDNQNLYLQDDGLTSSHQVGDNMPFYDASSNHKWVDGKDDYLNLNDLLYPPAENQPLFDAGDDLMAYFDATEDDFKFDIMGTEDSNSQLPDTSNFVQKDDNNNKFTLDGISNTALYGASSSGSHGNMYPDTAVPDMPMDDTVDKSFGKRLASMLGSIPAPPAMASEFPPSTGKSVVPLSAVNPSSSIRVTAGIIQLGGITFTGSTERLQKNGDFNLLLSFTVEGDVSTKSIGFEPDTQMSTTPMVLRSGMYLFFVSAMILMLSYKVGLCIYSR >KN538956.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538956.1:136723:138569:-1 gene:KN538956.1_FG005 transcript:KN538956.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKEAKEKLAKKLQAKKSKMKIDGDVKRKGGKFKVGKKKVKTKLSALTKAKAAQAMEVDK >KN538956.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538956.1:175899:179867:-1 gene:KN538956.1_FG006 transcript:KN538956.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKPKLGSFSSTVSAAAAAARLRHPSLRLRLRLVSSSASLIEMANLVEVEYEPNKHLNSRKGGLAAGEESTLGFLTYAMPPSAFFDPTSDKKFLEELVRDMKKPSVSVVALQLLVELTGSKRRVCKLGKPMPRFGNEKREGGSAKAQRDCRDKAVRRAMTAYLAQ >KN538956.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538956.1:176:2546:1 gene:KN538956.1_FG007 transcript:KN538956.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVDKAKQQKASSTSTSAR >KN538956.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538956.1:139203:140282:1 gene:KN538956.1_FG008 transcript:KN538956.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQEAKRADVAPPLPATGGEVVKPAAGDAGAVVKTNAILANVELERKLSMIKAWEESEKSKAENKAQKKMSSILSWENTRKAAIEAKLRTREEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEATRHEEIIKYEEMAAKHRSKGTTPTKFLSCFGS >KN538956.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538956.1:89989:97749:1 gene:KN538956.1_FG009 transcript:KN538956.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLGVVAVVVDHVGALRPAHGGELGGEDGVVVEVGGGVEPEVELDLAAEGPGRVAGAEGEDVGLQRVGLPGGGAEELHVHLVVPPGVRLAVGQVEGGDHPRRVPALQPHPRPEVPAPVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSVVAPAVPSKVARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPSMLPGLCIPVVLFVFEDDITDAPGAPTSLDDTNDTSSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLDVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLRDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANVTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMRLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNRKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKEAKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNNEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLQPRVDAETLQPSHWLSDPQNEKKGELSLQYATLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDPKVAAAVSQLQRIFIVTPDFPVLLASCPVVQVEASTIIPTHASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVPALNFRIPASVMAHDVYMELPFALRNRSSLDKMNDAQWMHWSELVMQMGLVYPVNPMFVIDAGNWTCTLIGVSLYWRDERSRLAWQQPLLVAWRLENVETGRKRMNQSCLTSVKAQKESDEITVEPSLCDDACFFFTCKTTVST >KN538727.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538727.1:80397:84941:-1 gene:KN538727.1_FG001 transcript:KN538727.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVGGDTPLGFRGVVQEELEEEPLAGFVRADGDPDTDLETDGVATPSSNGEHNAVHSSQFVFLPVFLLGWSATLQPLKRSSVLAEASAAFAEPHDEEEGDEVLCGVVEGDWAQLQEPTKNPADRATGECLYQRRRSEAVLLQGRKGLKQRPASLDFGSGSPGFNGAPLSPGFVVGGVGLMNKGLVSSSFIRSDVFPSPRTPNYRRHRSSVFGYQKGWSSERVPLASKGNRRYPGSSMAFPFSNGRTLPSKWEDAERWIFSPNSSDVLEKTSFAPARRPKSKSGPLGPPGKFGGQYSSVSLLDNGRVGHLTANSPFLAGVLIPEHYCGEKDNIGRYMSRTAGEEASIGIGGKSCLANGGSHATQYNRVRRRLDTAIESSPSLPSTQASVQDEQVGITEDSASIITPIILRKDAATQTSPNLSRSSSPSVSTPFIHLLTTHQVREKENCFSDVIRDVHMDDRVTLTRWSKKHVTRASSKNSTNVIEVKKKTVESKSSSWELTEAKSISKVEREQEKITAWEHLQKAKAEAAIQKLVMKIEKKRSSSLDKIWNTLRSAQRRAQGTGLKVILRVINTLVLT >KN538956.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538956.1:130593:133789:-1 gene:KN538956.1_FG010 transcript:KN538956.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGSNFSSFYVQHRGIGVPGGSGHPAGLHGPPPGGYRQHLDAVSAGYPFQPPHIGGSHIGQGYHHVDASAPVAQHGGGGGMDIGMGVEMSADAKGDQGSGAGQDEPVKKKRGRPRKYKPDGAVTLGLSPSSSTPHSSTSAMGTMVTTPGSGFGSGAGSGGSGSGALTEKRGRGRPPGSGKMQQLASLGEILQPSKFHDDHVVCLYGKWFLGSVGTGFTPHVIIISPGEDVAARIMSFSQQGPRAVCIISATGAVSTATLHQDSNSGGVVTYEGRFEILCLSGSYLVIEEGGSRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGTKKNKAKAEQETENNEEPIGGEEETPTMALPDHNMPHHTMGGWSAGLMRQMDSRTPNIDINSIRE >KN538956.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538956.1:182700:184492:-1 gene:KN538956.1_FG011 transcript:KN538956.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding VIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSTRGVNYPGVTLVIQVGVPSDREHYIHRLGRTGREGKSGKGILLFAPWEEYFLNEIHDLPVQKSQTPNIDEEMKQKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSLGLEKPPALYRKTALKMGLKDVPGIRIRK >KN538956.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538956.1:33006:38930:-1 gene:KN538956.1_FG012 transcript:KN538956.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGINGDAGDLAAAPYDQWLPFSPAGGSPRPSARYKMPSLRIVGQCRGIGIRTEMADRSSLERILVSDMRVWPHFDDQQVLNSPTCCQYCSHAGDSCCPMLWKTSQVFDFRTLKWSALSAARDSSQLNIENNTADPSFPALAGHSLVNWKNYIVVVAGNTRTSTSNKVSVWLINVETNSWSSVDTYGKVPISRGGQSVSLVGSRLIMFGGEDNKRRLLNDLHILDLETMMWEEVKTGKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFSDLYLLDLQTMEWSQPDTQGAHINPRSGHAGTMIDENWYIVGGGDNASGSTDTIMMNASKFVWSVVTSVPARDPLACEGLTLCSTTVDGEKFLVAFGGYNGQYNNEIFVMKLKPRNLVQPRLLQSPAAAAAAASVTAAYAVITDEKTRDIVATDDLDVKRVQPSGSSKQITTELDALNGEKGKLESRLAEVRDENSKLKDRLDMVKLSHGELTKELKSVQHQLAAEGSRCQKLESQIAAAHKRLESTDSLENELEVLRQQISQVEQTMTTAQRQKSGGVWKWVAGSTEISDDE >KN538727.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538727.1:134104:134748:-1 gene:KN538727.1_FG002 transcript:KN538727.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHTATCGTTALPRDDDGAAGEVGAASLGAMKLGNNNTLQFLRSAGVSCVQEVVLWRLGLMFKVDNRCLARFCDVFGNDDLIAVELELLCR >KN538727.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538727.1:32334:35413:1 gene:KN538727.1_FG003 transcript:KN538727.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFAIVIVISFFISLAFMYYVHYTSRQRRKLHGYGHEKAVRLPPGSMGWPYIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGPLGPDALRALVPDVEAAVRSTLASWDGNVSSTFHAMKRLSFDVGIVTIFGGRLGERRKAELRQNYAIVEKGYNSFPNSFPGTLYYKAIQARRRLHGVLSDIMRERRARGEPGSDLLGCLMQSRAGDDGALLTDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAAIRAANDGGRLPLTWAQTRSMALTHKARFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVSPRPNTFMPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKHGLLAKLWRDDSVSVETDGCQNGDNDDNGVAMV >KN538956.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538956.1:13971:18089:-1 gene:KN538956.1_FG013 transcript:KN538956.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase 9 [Source:Projected from Arabidopsis thaliana (AT3G09920) UniProtKB/Swiss-Prot;Acc:Q8L850] MTAPAVLPNELEGISRSQRVELFRDASCNIEKEVLSSLANGQDSHASGTNPGFRVGEIRLSNGDIYSGTLLGNTPEGSGRYVWSDGCTYDGEWRRGMRHGQGKTMWPSGSTYEGEYSGGYIYGEGTYTGSDNIIYKGRWKLNRKHGLGCQTYPNGDMFDGSWIQGEIEGHGKYTWANGNTYIGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTKGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDMRRQNHGSRILHSSSVDMGNMKVGLTRESSGPSSRRNSSEQPHLKNVSLERRWSLEVAIEKFIGHDASGSSGLERSESINDSDLPMLEREYMQGVLISEVVLDRSFSDSSKKAKRRQKKMVRETKRPGEQIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVQRGGDENSVVVGPHIRGSRLRSTAAGFAEVDLLLPGTARLQIQLGVNMPARAEQNPKEEESKSFREVYDVVLYLGIIDILQEYNMSKKIEHAVKSMQYDSISISAVDPQFYSDRFLKFIQTVFPENS >KN538727.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538727.1:92475:93665:1 gene:KN538727.1_FG004 transcript:KN538727.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSEILVDAGSFRLYKDGHADRTGDMETVPAGFDADTGVTSKDVVIDAVTGVFVRLYLPPIQAATDDDGKTKLPILVFFHGGYFVVGSASCPKHHRHINDVVARARLIAVFVDYRLAPEHLLPAAYDDSWAALNWALSGADPWLSEHGDTGRVFLSGISAGGNIAHNMTIAVGVRGLDAAVPARIEGTILLHPSFCGETRVEGEPEEFWDSVKKRVEGEPEEFWDSVKKRWSIIFPDAKGGLDDPRMNPMAAGAPSLTKLACERMLVCAASEDPRRPRERAYYDAVKRSGWGGEVDWFESEGEGHAFFVRKYGSSEAVKLMDRVTAFLAGH >KN538727.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538727.1:100008:101138:-1 gene:KN538727.1_FG005 transcript:KN538727.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCIWNITPSPPRREGEDEDYGYSDEEEEEEEKKESPKKVASSDKSEEEDSKGSSESDSGESDSLSDSSKSDDTRRKKKGRKGSHRSSKRSRHRRRHHSSDTEGDNNSKAEEDSEGSYDSEDSMDRRKKKRSRRHKKSKRRGRSSRRKRRKSNDTASEGSSEEEAVAAASGSSPSPLRDSKKKSRSSRRKRSKQSDSEDQAPSDADLGVKEIDETNEPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGPSHDPFATADG >KN538727.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538727.1:146851:150171:1 gene:KN538727.1_FG006 transcript:KN538727.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRSLACLLLLLLLESVRDADAGPLAVEIVRPSFTATSYDYVDTGGAFLVSRNGAFRVAVFNPGKQQASFYLAVLHAPSGTPVWSANRDAPTSSTGKVQLSVGGITVSDTNGTVLWSTPPLRSPVAALRLQDTGDLQLLDAGNATLWRSFDNATDTLLPGQQLLAGAYLSSAKGATDFSQGDYRFGVITADVLLTWQGSTYWRLSNDARGFKDTNAAVASMSVNASGLFAVAADGAMVFRVDLAPAEFRMLKLGSDGRLRIISYALVNSSAPNNDSSSGGASVSYIALKQLTSYFATKFDAPTNTGVNKTACRALCTASCACLGFFHDSVSLSCRLLGGKQLGSLYKGASDTNLGYIKTFSSATKAGSNQIGSSSANHTVPIVLPSVAAFLLLAVLGWYLWWRNKMSKNGKKKKGKNSTMKVYMGRQKSPSRDTGYNADADDGDGNDDDDIVIPGMPTRFSYQEITTMTSNFATKVGSGGFGTVYKGELPGGEGLIAVKKLEAAGVQAKREFCTEITIIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVAVGAARGLAYLHTGCEQKIVHCDVKPENILLADGGQVKISDFGLAKLMSREQSALFTTMRGTRGHPLNEEKPVA >KN538727.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538727.1:8577:10498:-1 gene:KN538727.1_FG007 transcript:KN538727.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMAALCGFLLVALLWLTPDVAHAQTQILFQGFNWDSWKKQGGYMPGRLYDLNASKYGTKAELKSLIAAFHAKGIKCVADIVINHRCADDKDDRGVYCIFKGGGPRGCLDWGPSMICCDDTQYSDGTGHRDTGAASAAAPDIDHLNPLVQRELSDWLRWFRRDVGFDGWRLDFAKGYSAAVARTYVQNARPSFVVAEIWNSLSYDGDGKPAANQDGQRQELVNWVKQVGGPATAFDFTTKGILQSAVQGELWWMRDKDGKAPGMIGWYPEKAVTFVDNHDTGSTQRMWPFPSDKVILGYAYILTHPGVPCIFYDHVFDWNLKQEINALAATRKRNGINAGSKLRVLAAESDMYVAMVDERVITKIGPRIDVGNIIPSDFHIVAHGNGYCVWEKSGLRVPEPEGRR >KN538956.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538956.1:57894:59166:1 gene:KN538956.1_FG014 transcript:KN538956.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYTERQPIGTAAQGAEEKDYREPPAAPVFEAEELTSWSFYRAGIAEFVATFLFLYISILTVMGVNKSASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYVVMQCLGATICGAGVVKGFQRGLYMGSGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRAHAWHDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >KN538727.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538727.1:160866:162115:-1 gene:KN538727.1_FG008 transcript:KN538727.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAADKAEDGGYLDCIGLARGLEELRLWGVSLTAAPAWGRLDKLRVLEIVGAPLEDSAVKDAISACPNLTDLSLLGCDCSGAVSIELALLERCRLDFLGAGNCSLSLAAPRVESLEVQGFTWITLRGGHSLRRLSIAKSTGRVYKVDTGKLPDLEHLSLRGVQWSWAAVSSVLQCARDVKHLVMKIEFSGDFDALQPFPEVDLVDFFNSHPNLRKFDIHGAMFAALCQKNSLKNLDARFCIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVRLRSMVIRISQMKNCHDAADDFFEEICKFKYMNNKKVRVE >KN538956.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538956.1:73672:86997:1 gene:KN538956.1_FG015 transcript:KN538956.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPRDSRVASRRDDTAAGERERRGEPPRLRGRQARLDVITRMARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEEERPPSVAARELGQLRQSHRVSTLRSESAVSSEDVSRFDSSVAESVGVLGSDEPQQGAEVRALTGTENTTQIMLEDVGLQEADAENAAIESPSVALDNMVEMHETQVDNRLQDEAGRDARFWQPSLDDSLDRWPNETAEDAERNWEDNAEELHSETMEDDAREHDHLQDEHDEWHDDESHGTENWQDDFQDSPLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGRGPLNWNLDAAIPAVNSPNENQEQERNAETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSTRHREWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGYPTDLSDDGSKWDQMRAHVYLLKMCKRANSQWWQMPTMPSADRGGGSGIFSNVYIFVALLLAAARAAKGVLIDCWKEFAMGRTGVKRERLPIIVGWDVAWFDTRGALALRGACTHVIPSGSGASYADYVLQHHQDALVRAWPLLHEAVLDPSGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLEGYGEGGFEGVSTLVDVGGSSGACLEMIMRRVRTIRDGVNFDLPDVVAAAPPIPGVRHVGGDMFKSIPSGDAIFMKWVLTTWTNEECTAILSNCHKALPGGGKVIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRQLGLAAGFASFRAIYLDPFYAVLEYTK >KN538727.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538727.1:156907:159728:1 gene:KN538727.1_FG009 transcript:KN538727.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRGRQNAAASPPSLALQLQVASLVIVFVFAFSSAITPTRADHVEGHMSHEMFGYENDATGPAKWGSLHKEWAVCGDGKKQSPIDITTVEPQKVKEPLMQAYKAGATTIQNRGHDYMLKWKDGNSKLTVQGKEYTLKQVHWHEPSEHTINGTRFDAEMHMVHEDPSMARAVVSVLLSTKAGQPNAVLTEMAPHFKNLAGKEKAEEEVKEPVDPSTWVDKTSGYYRYEGSLTTPPCTEGVIWTIMSKIGDASKEQIDLLKTVATTVEPNARPAQKLNDRIVSYFEV >KN538727.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538727.1:95812:98961:1 gene:KN538727.1_FG010 transcript:KN538727.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAEILIDLGGFRLYKDGHADRAGGMESVPAGYDDETGVTSKDVVIDAVTGVSARLYLPPCIQPATDDDGKKLPILLFFHAGYFVVGSASWPPVHRYTNSVVASARVVAVSVNYRLAPEHLLPAAYDDSWAALSWAVSGADPWLSAHGDTGRVFLTGASAGGNIAHNMTIAVGVRGLDAVVPAPRIEGTILLHPSFCGETKMEGEPEEFWGGVKKRWAVIFPGANGGLDDPRMNPMAAGAPSLTKLACERMLVCAAALDPRRTRDRAYYDAVKASGWGREVDWFESEGSLSKCITKGYSYHLTTIRNFP >KN538727.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538727.1:62568:65896:1 gene:KN538727.1_FG011 transcript:KN538727.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRLRKGGGVRGVNVERVPDLVAAKFGHLQAHPLDVSSPSATPSSGICTPHTRRSLDAICGGQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSGCPSPPPRSTPLWVDNYLDKLGSVLKKGGWRDREVDEMVEVTASGLFDGEEAPPADADAVLDALFLKTDRCSDSLRRAGWTSEDVSDAFGLDLRRRKEQPRPAVQIPPEIAAKVQRLAQAVARP >KN538956.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538956.1:124243:125829:1 gene:KN538956.1_FG016 transcript:KN538956.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVQALAAAAALLAVFFLSTLYLSPAATARRLRNAGFRGPTPSFPLGNLREIASSLASNDDTDEPNTKGGDIHAAVFPYFARWRRAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGKLWGKPDVFRRDRMPMFGRGLETTAKMLGEWGDMVASGQSCVDVEKGVVRNAAEIIARASFGISADDDATGARVFHKLQAMQAMLFRSTRLVGVPLAGLLHIRATYEAWKLGREIDALLLDIIESRRRRRREGGGGRKTTSNDLLSLLLAGSEASAGAERKLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPEWQAAVREEVEELWGEEAHEFRPERFMREAVQGGCRHRMGYVPFGFGGRICVGRNLTAMELRVVLAMVLRRFAVEVAPEYRHAPRIMLSLRPSHGIQLRLTPLC >KN538727.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538727.1:1166:2657:-1 gene:KN538727.1_FG012 transcript:KN538727.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRIATMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKSIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQRELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAAEGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCIWEKSGLRVPAVRHHY >KN538956.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538956.1:146028:155026:-1 gene:KN538956.1_FG017 transcript:KN538956.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAPVMVVDRFTTLPDDILLNILDRLNTPDAVRTCLLSKRTIHLRHMLSHFQIGLLSFAPDPDYITFKETIVMNAAVADATDNVLNFRAKDIPLRQLSICFYLKYYDCLTIGKAVARAMATHKLDSAEFRILTDYKLHYYTFDGLRNNGKRLMTFFGACTDAFAGLTRLYLQNLRLAETDIPNIIATCKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFYSWRHPQEPLYFGNVPQLSSLSLTNVGLRWHNLIRLSQFLSNVTSIRDLHLNFESERIWVQPECPKLLAPVLQNLQVLTLDDLREGCDIAWTRFFLEAAPFLKELCITVWDHWCNIVTDKVEREEEGYCDKTNVQWESSSPDGFRHCNLVKLTIYGFQPDDNFLGYIRHIMETAVNLEEISLYDRKVEDCCEELDPKIKVAPSKYPQTVEEQELLRKQITEGLVMSSPHKSAASTGSLPVDRLTKLPDDVLLNILDRLNTPDAVRTCLLSKRTLNLRHLLSNFVISAGSFFPDSRFVTLPHLIQANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNLIDSVEFTILTELEPECYTVDDFRHNGKQFMTFLGSYPDAFAGLTQLFIQNLRLAEADIPNILSTCKRLQYLRMLVCDSEDSVLQLQLEHPRLVELDIYDAGFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQEVFRLSHFLANVPNIRNLHLSFASEKIWVKPECPKLLAPVLQKLRVLNLDRLPEGCDIAWTRFFLEAAPNLKKMSITVWDHWCDMETDNVEREELGYRDKTNVEWQSSQPDGFKHHNLVRLIIYGFQPDDNFVGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVAPSRFPRTIKQQELKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTNAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLCLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNSLPNLKHLDFSLWAAPFLKEMCVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDISLYDRKVLECCEDLDPKIKVAPSRYPQTVEEQELLRRQITEGLVMVSSRVIHFRS >KN538727.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538727.1:110736:111442:-1 gene:KN538727.1_FG013 transcript:KN538727.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLFNSYPESQLDLMSTMLQLEQLTALSDQSLFMAAPTSPPLDFAMSSPGSDSGAPQGSSSSSSSEAMREMIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATGAAAHRAAAFGAAYPAALPMQHHAPW >KN538956.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538956.1:113594:116020:-1 gene:KN538956.1_FG018 transcript:KN538956.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRGVPFDGVTVNTMLAGLCRNGQVDAAAALADRGGGIHALDVIGWNTLIAGYCRVGDTPTALSVADRMTEQGLPMDVVGYNTLVAGFCRAGQVDAARGILDMMKEAGVDPNVATYTPFIVYYCRTKGVEEAFDLYEEMVRNGMLPDVVTLSTLVAGLCRDGRFSETYALFREMDKVGAAPNHVTYCTLIDSLAKAGRGKESLGLLGEMVSRGVVMDLVTYTALMDWLGKQGNTDEVKDKLRFALSDNLSPNGVTYTVLIDALCKAGNVDEAEQVLLEMEEKSISPNVVTFSSVINGFVKRGLLDKATEYKRMMNERGINPNVVTYGTLIDGFFKFQGQDAALEVYHDMLCEGVGVNKFIVDSLVNGLRQNGKIEEAMALFKDASGSGLSLDHVNYTTLIDGLFKAGDMPTAFKFGQELMDRNMLPDAVVYNVFINCLCMLGKFKEAKSFLTEMRNMGLKPDQSTYNTMIVSHCRKGETAKALKLLHEMKMSSIKPNLITYNTLVAGLFGTGAVEKAKYLLNEMVSAGFSPSSLTHRRVLQACSQSRRLDVILDIHEWMMNAGLHADITVYNTLLQVLCYHGMTRKATVVLEEMLGSGIAPDTITFNALILGHCKSSHLDNAFATYAQMLHQNISPNIATFNTLLGGLESVGRIGEAGTVLIEMEKSGLEPNNLTYDILVTGHGKQSNKVEAMRLYCEMVGKGFVPKVSTYNALISDFTKAGMMTQAKELFKDMQKRGVHPTCCTYDILVSGWSRIRNGTEVKKCLKDMKEKGFSPSKGTLSFICRAFSKPGMTWQAQRLLKNLYRV >KN538956.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538956.1:50800:56859:1 gene:KN538956.1_FG019 transcript:KN538956.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVRPFPFSTISLAQFLRLPLVRCNPNFRFRAARDGSVRFNFSRSVRSGGRYRACSILSIVDFTDVVCCSEQVKVQTWVNNREDGEFVGVGARFGPTIESKEKHANRTGLLLADPIDCCDPPTQKVAGDVLLVQRGNCKFTKKAKNAEAAGASAIIIINHVHELYKMVCDRNETDLDINIPAVLLPKDAGNDLQKLLTRGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVIEQEKLLKDGHESSLNLEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCIVFAVIWAVYRRMTYAWIGQDILGIALIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQPSPKDTNCDAIDVVTD >KN538727.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538727.1:169920:170779:1 gene:KN538727.1_FG014 transcript:KN538727.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGGDIFEEIGIPNSKVSIVSMRSLTSKYSTNVVFSVLPSPKEASISLPALSVLRSSLIAMMLNQVNLSLTEPLFGHPSSVELLRFPGGITVIPEQSGSVWADPLFNFVLNNSIYQILGNITELKDQLKLGLNLRSYEKVYLQFRNEIGSSVDAPATIEASVLDGSSILLPYRLKQLAQLIKEPNARNLGLNHSVFGK >KN538956.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538956.1:27077:28511:-1 gene:KN538956.1_FG020 transcript:KN538956.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDVILTDDIAEVGKKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIDAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGRQVLIEWIVLYVSVTAQDLVDIIKSQLNRDVDKRLVTVPDIREIGEYVAEIKLHPEVTAQVRLTVYAK >KN538727.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538727.1:152513:155716:-1 gene:KN538727.1_FG015 transcript:KN538727.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEAIVEDWRSRRREPIDPTKYKSTTSAFGVVMREQGARGFYRGWAPTFLGYSAQGAFKYGLYEVFKKEYADMAGPEYAARDFYA >KN538956.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538956.1:63848:65420:1 gene:KN538956.1_FG021 transcript:KN538956.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding METELCSSRVLSPPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSVIEYHKPTKPRVRHTRPWSSCTKSSNRGNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMKPNPSKEQLFHGVQQHFQSQAAKRLKTLYHS >KN538727.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538727.1:73875:77120:-1 gene:KN538727.1_FG016 transcript:KN538727.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNGGSSSSERRAAAEGATLARQGSVYSLTFDEFQSALAGGGGGGGGGSGFGKDFGSMNMDELLRSIWTAEESQAMASRQGSLTLPRTLSAKTVDEVWRNLVRDEPPPVGAADGGDMPPQRQSTLGEMTLEEFLVRAGVVRENPPAAPPPVPPPMPPRPVPVVPKTTAFLGNFPGANDAGAAALGFAPLGMGDPALGNGLMPRAVPVGLPGAAVAMQTAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAEIMEMQKNEVEEMIKDPFGRRKRLCLRRTLTGPW >KN538956.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538956.1:141297:143034:-1 gene:KN538956.1_FG022 transcript:KN538956.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQEWAFGNSGGMGVAKCLAFHDGDFTFPDDLAPLLDLPDPADSSSSTTTTAALISAAPDPDDAITASADSALTEVTAPAETTAMVEEEEEEPLPDQISLALAELRGSRGLSPRSKRLVAALVEAAAAELRPNATTLRLRRAAFWGKVRVWILAATVATVFAIDIALAVALISRRGNDLYDALPPT >KN538956.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538956.1:31440:32183:1 gene:KN538956.1_FG023 transcript:KN538956.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPEKMMASASEQVQVAPDAASAAVIAHIFASLRYINNVFIPSPKPMNTFYFCNTDYRKVPLLKKIQKTVLGDIISKSTANKILREHPEIISKL >KN540043.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540043.1:64752:65135:-1 gene:KN540043.1_FG001 transcript:KN540043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSSRLSIIVVVISVTLVAITNNADVAVASSSPSVEAGWTAVADVNDKSIQQAGQYAVRLYERIIREIYLKYVNVVSGQMQPYNGGYNYRLVVTVVGPGRKTVLFDAYVWGIPGTINWRLRSFTPK >KN540043.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540043.1:54710:54955:1 gene:KN540043.1_FG002 transcript:KN540043.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFERKLKRGAPGEEGAAAESRRNFGAVIKVCSSWVDAAAAAAAGVTVNVALLNVDPKSGPAVAAMDGAFSA >KN540043.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540043.1:40790:44124:1 gene:KN540043.1_FG003 transcript:KN540043.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MASSSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >KN540043.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540043.1:20441:22815:1 gene:KN540043.1_FG004 transcript:KN540043.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAYAVSVQPAEAAQNPPKLQEHGNGSSLIGAIGRDLTINCLLRLSRSDYGSVASLNKDFRSLVRNGEIYRLRRQSGVAEHWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHIVFRYSILTNSWTRADPMKSPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNRARKMCSGVFMDGKFYVVGGVASNNKVLTCGEEYDLKRRSWRVIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDVKKYDKQNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTSIGGTIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >KN540043.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540043.1:36749:37078:-1 gene:KN540043.1_FG005 transcript:KN540043.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLNNSPKFRSKQTAIKPKREAFGSTREAEFEAKKKKEQRGRGCSYLCSPTTRRREQRARTVALVARGKRVTKTSALLDAGRDEELVGSREKVEAELPMAEERGIQR >KN540043.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540043.1:55541:58288:-1 gene:KN540043.1_FG006 transcript:KN540043.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEVVFVQNCVKMADLARSIGEMKSILYGNGEADPIDEACSQLTKEFFKENTNSFHLLVVCLPYMDLETQKDVTQVTANLLRQKVDYRMVASDYLEGNQDLLDVLMSGYDNMDIAIHYSAILRDCIRHQVAARYVLESQHMKKFFDYIQYPDFNIASDAFKTFKELLTRHRSSAAEFFSNNHDWLLGDILLDKSNTTAMVRYVSSKDNLIILMNLLREQSRAIQVEAFRVFKKPPEIVGILVTNKSKILRFLADFTIEKEDQQFEADKAQVVTDISAM >KN540043.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540043.1:32024:32586:1 gene:KN540043.1_FG007 transcript:KN540043.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGGGGRITDEEINELISKLQALLPESSRSRGASRSSASKLLKETCSYIKSLHREVDDLSDRLSELMSTMDNNSPQAEIIRSLLR >KN540043.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540043.1:46159:50036:1 gene:KN540043.1_FG008 transcript:KN540043.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MSSPDPVFPVLRHGDYFTRPSIDELVEREAADPGYCSRVPDFVVGRFGYGRIRFPGDTDVRGMDLNGIVKFGRHSVEVYKDEASKPPLGQGLNKPAEVTLMLNLSVLPEPSALGELLKCQTRKQGARFVSFNHSSGRWKFEVDHFSRFGLVDEEEEDVVMDEVVVRQPIAEVRDPPANGHELELSRSLPAHLGLDPAKMHEMRMTMFSNEEGDEDMEDGFSSDQRYFSSEKMNVDSPNSSAKGSRLRSLSPLHGSSLKVSRRPGVIGRREPQALLEYSVNSSEHGPSSHGILMSGQNKGFPMRMTKVDGFKLPSDQETPVAGNIYSNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAGDKVVRDEKNKVKEELTDLCFSDPLDLHRRLHHEYLETESDLFKLKLQKVVASRFVLPEICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKEGSVAIDLEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLSILLSQAGGSMLNRSDLAQQLDLWKTNGLDFDYIEEDRLKVYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDASLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDENFGMLKTMFSAFSSSYDPLDYHMIWHQCSILEAIGAFSSNDLHVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSKDETQRVYIAELGIPAEWMHEALALYNEYYGDQQSALANYILCGNWKKAHTIFMTSIAHSLFLSSKHQEIWDITSSLEDHKSEIADWELGAGIYIDFFILRNSMQEESTMDDPDQLEKKNESCSTFFGRLNDSLIVWGSKLPVEARACFSKMAEELCELLMNSPGEGLAPDLYMGCFQTMLNAPVPDDHRSSYLQEAVSVFTDILCRD >AMDW01040237.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040237.1:931:1275:-1 gene:AMDW01040237.1_FG001 transcript:AMDW01040237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVPIPPNGGLIWSEKTPWYYDDSMKRIADTVREFERKTIGDVQV >KN539422.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539422.1:74137:75906:-1 gene:KN539422.1_FG001 transcript:KN539422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAVEWGKGGRTSSAPEHQGARQFSLDELAQATKSFSEANLVGLGSFGLVYKGLLLDGSVVAIKKRIGAPRQEFAEEVRKLSEISHRNIVTLIGYCQEGGLQMLVYEYLPNGSVSRHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGYEDVGPSHGFSSSVYQDPEVQSVLQFSESSDVYSFGVFLLELITGREAACLISPDSRESLAQWVISIDI >KN539422.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539422.1:44870:53830:-1 gene:KN539422.1_FG002 transcript:KN539422.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPGITSHGEVDQWYDLLVRTKVFIAQYFRATLMDFVQELIVCTDTRTLVSDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQRSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNFQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDDTDSIRAPRCAKTEKHAVGESADFIAVTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDRCNDQPVTTSSQLQIDPAKKTSLKRDQGKMVCAKDEPSQYKSKELRSLVDAESMGTTTENVAGNSSELLKGKKVSTLQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKSLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASTAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGEKSTVTISSGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPSKHQPPQFELSKSSPSTKGSLKELPKHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKADDHEKSAHSRGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSGSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRTNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHALPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPAQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAALHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIANNPISRSNHHLMGLLAYVEDTNYAFEGTRKSQSAFSSYLSGIEKDQADGIALLTEVLNFSFHNVKGLLQLIRHSLECINHERFK >KN539422.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539422.1:90936:94277:-1 gene:KN539422.1_FG003 transcript:KN539422.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSHALKVMEGTSPKVVAFSPLEAAISKPRSSPLTIESSKVKRSEIATISPWGTRWNFPIICSIPQHSENQIPWKFTTQGRALLSLLLDLKEKRKTCLALQEAAAAASCGRMAASVAVSALQRDAISYYHHHMGDFARVDLRFLQQCSRRTQRKDH >KN539422.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539422.1:106914:107624:-1 gene:KN539422.1_FG004 transcript:KN539422.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPAAVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKREKGKKGKKGKDVGKKRKREDGGGGGAQDAEGKDGKKSKKEEDSKAPDIVYGNVWVDPKEARRRKKRRIKNKKKALEEAKRMQQAKEDPEKATKLAWDLARRRAAGEKVHDDPKLIKESMKKDKKRQQKHAEQWKERQKMVDKQKKERQSKRTENIRERANQKKMRKIEKREKKLMRPGFEGRKEGYVNE >KN539422.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539422.1:22630:28112:-1 gene:KN539422.1_FG005 transcript:KN539422.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAGLNVNGNLGVDEVDQRPSGLFMLLTNPSLLLVSVVYRYIAADTLGTTVQGFDTLGYHARYLRYQPPKHMTVRGYDDREVAQSLAQPRPSRCRPRRSKRRGGSLCAGGSGEDGWKWNVGEKGEERGGLRAWLWRRLYRYRYVSFVGADFFLDSRVLEQTNPDIPGNPEIFGPNFMAQKLYQLSPREDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISSPAKLADLLVRIAAN >KN539422.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539422.1:99438:104197:1 gene:KN539422.1_FG006 transcript:KN539422.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFLLLSSLPLFPHLLFPFYFFLPSLFCLRGLLGLHPWLPKSKLEGGKAFLAVGTSSVAAEFVINGILLGLGEEREVQQLSRLEYLADHGSVPSGMVAPNTRSFSLDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWNYDLELRSSAKRVNQLARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDATGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >KN539422.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539422.1:703:2825:-1 gene:KN539422.1_FG007 transcript:KN539422.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQQNADIPGNPVIFGPNFMAQTLYHLSPQEDLTLGLSLIRPTNKFTGDALMRDPGLLTKERYGSTRRVFVVVEDDRGIPAEFQRRMVALSPGVDVVDFAGADHMAMISSPAKLAELLVRIADEPQEP >KN539422.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539422.1:59550:62620:1 gene:KN539422.1_FG008 transcript:KN539422.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KN542425.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542425.1:4540:8874:1 gene:KN542425.1_FG001 transcript:KN542425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophytinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13800) UniProtKB/Swiss-Prot;Acc:Q9FFZ1] MEVVSSSHSCLAFNRTPSSAWRFPGNGLGPGHAKLTRPRSAILCVRSGTASNPADSGKVHASHGFYVSDVDAALQGIPKKVGEMEKMIIPSLPEGPESSLISTGFWEWKPKLSVYYEKSGTDNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKSTSGELDEDTYWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVSLYLAASCPHLVKGVTLLNATPFWGFLPNPATSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIQGILKQVYADHSTNVDMVFSRIIETTQHPAAAASFASIMCAPKGQISFEEALSRCQRQGIPISLMYGREDPWVRPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSVGVPFLEEPNYAENGVSRELEFVRGGSKKSVHVRLFGSKTSLWSQLRSLLKSNTRI >KN539422.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539422.1:69833:70015:-1 gene:KN539422.1_FG009 transcript:KN539422.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDVETVINVLQPGPIGIVEHKFTDAEIRNAQAVVRRAVENWQKSSTLERNLGSGSFAK >AMDW01037592.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037592.1:131:574:-1 gene:AMDW01037592.1_FG001 transcript:AMDW01037592.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLISDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLTTNSVHCRAIPNPKCSPAVPACR >KN540672.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540672.1:5163:10325:1 gene:KN540672.1_FG001 transcript:KN540672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGPSHEREGAPAHRARRAPTALGKLPRRIVLIRFNRLPEDLCFFSCMMDSKGKVKKETDGLPPRKGGLKFAPKVRPKKAPKIVPKTEPAEESKDETVDKELLMKLKTSQSTDPFVRKFKTEKKEMRTQVAFGQGNSSYARSFPMQSSADGSASKLPKEYVEPWDYTHSDYPVTLPLRRPYSGDPEILNEEEFGESSATGAQDGELTAAEELGLMHRSDKAQLLFFQMPASLPLPKQPDSVAETDKGDGVDAEPTSTTSKEMHAGTRPPKVLGSKLKDLPGGFMGKILVYRSGKVKMKIGDSLFDVSPGSNCMFVQEVAAINAREKHCCTLGEISKRAIITPDIEHLLDSFDKMEA >KN541791.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541791.1:2469:7478:-1 gene:KN541791.1_FG001 transcript:KN541791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLESSVEATLDALRGDQWRARQPFTIFRVPANVRESNRTSYEPRVVSIGPYYHGGAALRTMEDHKWHYLQVLLSRHAGDGSVAAVSASTLVAEMRTLEAQARACYSERPAGLASDDFIVMLLLDGCFILEFLLKWHAKEPDALFDAGRGLTLVPAAADLLLMENQIPFFVLERLYGAVTGGGAQHGRESLLHLFVKYLGSEDEEPMRWPSGDWEFRNSYGED >KN540672.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540672.1:35466:39573:-1 gene:KN540672.1_FG002 transcript:KN540672.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MICVGLCAGARGRGNATVKKELSALKDANGNVISAQTFTFRQLAAATRNFREECFIGEGGFGRVYKGRLDGTGQIVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKERMVAEAKMWGENWREKRRAAATTSSNAQGSLDSPTETG >KN541791.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541791.1:13679:15727:-1 gene:KN541791.1_FG002 transcript:KN541791.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWREGKNWNHHGNRNWGSSGGGFREQEEEDFYHGAGRSEGGRLGFQPGSGIGAQRDFGNLQQEYGRFRQGIRPRGSRSFGARRGGFAGRPGRSGFGGGARFSQGGDGPAGTNRNNRGEVGGLSGKEGHNSKVTREGAGKELVGGARSSNGSGAIHSDIGSEDTKGKKKVVMVEDSGIIGLGYDPTLFEDQKCVVDDVRSVAKVTGCSKCAQKGHVVADCTIEVYCDICNSDSHVNHRCPILKIPKPSVQAVGYAMEGLGFNHIPHMPLQRSKKGTKMALVKRAINFGGADVKEGGVFTGIRVQFEEWFEKEEGYLLPKVWIRVFGLRKRLREYLTLWAVGSLVGATQLVDMKTTRKSDFGRIFVAVLDPTIIPRKLDVVIGDHYFELKFEVEKKDNEKDMRDEEEEEEGRSPKRARNDDMVVEGKEGGNGDSEEAMSNGRGEREDEEVSLGGIADEVIDVAMEKVLGEIFDNIEVEGDGREDGQKRKRREEEGAGKEEKVVQLASVKEVLLTPKRASQRLANSGELPSLEKAERRKAWKNLELPTVDDLRNIGVNLGATRDLMIESVDNFKKSEFVRFNSANLEKSVVLERGGGRGECFF >KN540672.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540672.1:23740:33748:-1 gene:KN540672.1_FG003 transcript:KN540672.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGHRGKGMNALGSADPRLREVKENSLRSFRAAARVAGVSYVEFDVQGEICGRRVTDLRLDEFLSYGPQKDQCKVTKDGYPVIFHDDFIFTEQDVVFGHAKERPIFFSSFQPDAARIMRKLQDRYPVYFLTKGGTQVFADERRNSLEAAVKLCVAGGLRGIVSEARAVLRQPSAIGRIKEAGLSLLTYGQLNNVPEAVYLQQLMGVDGVIVDLKACGQRKRIEHSSQGGMHCRVEEGGQREESANWKLKLGEDTDRDQYSLCDELSGTARGALTLGLIRSYSHARNYSSQLSALIPIGSQSSKLTRRRYYLPNASPYQLWSRSFASDNGDQVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSAAPAETHVAPSEDSTPKETPPKAEETKPKVEEKSPKAEPPKMQAPKPLPPKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVNKHGVKLGLMSCFVKAAVTALQNQPIVNAVIDGDGIIYRDYVDISVAVGTSKGLVVPVIRDADNMNFADIEKGINALAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >KN540672.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540672.1:16833:21246:1 gene:KN540672.1_FG004 transcript:KN540672.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESSSPALDADKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGPLKKRHKISGGADSHGEAQKDFFPKFKRRPGVGAHSRAPRVNPSFRDSSASVAARPPMTRGRGRNGAPWAQHEPRFNTLEMIDFASQMASQGPPTHPSLFMGPALPSGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVAVPNTQGLGIQNEPGTAPVNTSSLGGSKGVPAKDIKSAVTNDVLKLNGTAALAVSDADVYDPDQPLWNNEHPDASAGFAHTDGVWNAESLGYEAAREQGNQVLAADSSQNSKSSVWGRIASKKLGHGKTANATSTSATGNKRNESYDEMAPSTVHVNPASAKDSNGQSNSRIFGDVGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRISAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPSESSTPVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALSSRDSDVSQ >AMDW01068670.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068670.1:180:480:1 gene:AMDW01068670.1_FG001 transcript:AMDW01068670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ETIEALRQIASLNGGEGITTSSLTMLDTCAVEVGDGVAGGGDGMFASLRSICERRWALQRLAAITTATFGVGVVYYGMPLSVGSLSSNLCADPDAAAAST >KN538971.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538971.1:53827:54265:1 gene:KN538971.1_FG001 transcript:KN538971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METFPGKVVHAADYRSAEGFKGKSVLLVGGGNSGMEIAYDLAVGGAATSIVVRSEGRAN >KN538971.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538971.1:146672:153397:-1 gene:KN538971.1_FG002 transcript:KN538971.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSGLTALGSESVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFTVVLEDFNTGKSSANGGSPGNDSPGNDRKRVRRPYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFTLKQRNGDGEPEGVEVSVYDYFVKNRGIELRYTKALSTLQRSSLVEKSRQKPEERMSVLSDVLKRSNYDSEPMLNSCGISIARGFTQVAGRVLQAPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDDMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVPELPRLHNKVRSSMFFC >KN540284.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540284.1:19775:19939:1 gene:KN540284.1_FG001 transcript:KN540284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGTGTMDPKSWKFVIGTAAVVVVAAAACPTIASEVFAADGVDDEPFSIAAK >KN538971.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538971.1:27811:28218:1 gene:KN538971.1_FG003 transcript:KN538971.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMGGDPGVSAFPEGDNIFSWVGTIAGSAATAYEGTSYRLSLAFPSEYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWPNQDEFRKMVEKLYKPTA >KN538971.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538971.1:58343:59734:1 gene:KN538971.1_FG004 transcript:KN538971.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYRYLPVWVIDKVVLLMCAAVFGDTARYGLRRPAVGPFTMKATTTMYPVVDVGTFAKIRSGEIRVLPAAIKGVRGRDVEFADGQRHAFDAVVFATGYRSTTKHWLKSDDGLIGDDGMAARSYPDHWKGENGLYCAGMVRRGIYGSYEDAEHIADDISKQLRSSKPTGHNNGSV >KN538971.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538971.1:101106:102997:-1 gene:KN538971.1_FG005 transcript:KN538971.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGRGSFARGGTGGRRRTRGSRTSSRSTAPRTGTSSPRSSTADQVFVVAGKSCRLRWFNQLDPRINRRAFTEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHEGRKQPRSSDQNQAKMVYLVLFQAIKRNCCCETPRLCFAAFAPA >KN540284.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540284.1:42512:42802:-1 gene:KN540284.1_FG002 transcript:KN540284.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAGIQPDAFALGGLAEYPAGNGERDLLVVRFLRSIAAFLADETCQMQVNNGLSCIIDLAGGVGGGRSAQQLASTFTEALVLRFILLCDGVDH >KN538971.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538971.1:14686:24660:-1 gene:KN538971.1_FG006 transcript:KN538971.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADAKAEAAKMDLLEDDDEFEEFEIDQVSHNAMAAREPFAKQVGRLTRLDAETSIAISRSADLACATLFVAAEDDSLVSHSSVPLPVEAFVSRLDDLSTGFLADGYLPPAGAPPQVFLDHLDRYLYVHKVLTCRLGSALMLSLIYSEILKMLRLYGLLEFNVEIFFPHDLNSLPRGYDKHKSKLGDEPHIMTSKSLLVEILKTLKNTFWPFQSNQSGSLFLNAVSANQHGPGNVGDNQATPHGNISTIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAAVPNQRFVPFIFMAACERLILLNHDPRELRDYAALLYHCGYYEDCLQYLASYQTAVAGQHRNNPLEIMEDEAVNTLRARVSLILAEDGWSSRRSPATSYWTKNSEPW >KN540284.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540284.1:39371:39514:-1 gene:KN540284.1_FG003 transcript:KN540284.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIPRLALGGGGGGGERLPVPPMAAAEEDSPAATSTRKRLVVNES >KN538971.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538971.1:159359:166290:-1 gene:KN538971.1_FG007 transcript:KN538971.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDPSCSCRFLLWLLCFVAYVLLESAASVHSWCPLHPSSRQEAKFKQKTNKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQPERRHGRASISSQEDKDAEIDDNDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISVGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNSEQQFIVLGEKTQSQAMHIRNGIVSYDGRKLFLSITNGSLVEVTELQPLRWTYHGHPPGGDVSYISDAGNARPGTVFTVSSTGDLYEFDRESRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQRLSSITEVQQDESNDATSMYFTTTTGKVFEYQFPKYAGGAQSNKIRGLWVNHMSPENTKAARNVRGVQVQVGRVIFPLDDGRLGELHLPGMGGDDFGPSQHYSMRRKVPNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNVAADTKSNDLSNIPPSRWRKEDKQQYLYVNTHERDEIESYNFLSRSIDINFHMRVMHADRSLFLITDNGLTFEYLNNNGVWLWLRHEHTTSMKGTVGSYNGSLYLVDVHGNLHIRERNGDDLLWINCTAMRKGRQVASGSPWDGIPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKIAFIVDQEVFRRNVIFAVGRNGRLYQYNRITELWHKHYQSPHLVLSRSPGTAMRPSHLSLAGSIFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVAIVGAPGPCFDGSQLFVIGSDGDVYRRHLEDWTWRWTSHGHPSAPSAVAMNAAGGDKSCATPGSAADAHYADGFVGSCDEKVAAARPVPFSEDAVVFELRDGRLAELRRGADGCGGGWEWARIIGTPASACMTSYWTAVAT >KN540284.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540284.1:34429:35816:-1 gene:KN540284.1_FG004 transcript:KN540284.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERGVVHVVDLGGGVDANQWVELVRLVAARPGGPPGLLRLTVVNESEDFLSAAAAYVATEAQRLDLSLQFHPVLSSIEELSATATGSIGSRLVVIPGRIFS >KN540284.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540284.1:50478:50959:1 gene:KN540284.1_FG005 transcript:KN540284.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEALDSIVNTLDSDVVLDFIDMVPNYVDMVPDSIEVLPDTVDMVMDSVEVVQCVLSVVRSALAASSEKPATKLAAKLAEKFDCELYIPDMEMLEMNGDTIILPGHVQMKLDEIYNMKKLEDAKLKQDAKKEHVFF >KN538971.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538971.1:74781:75148:1 gene:KN538971.1_FG008 transcript:KN538971.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAFTRIAQARAGVVPVQYRRVACAKQGGIRFTITGHSYFNLVLVTNVGGAGDNGANLDAQPLSFRVTASDGRTVTSDNVAPSGWSFGQTFSGGQF >KN538971.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538971.1:30144:31971:1 gene:KN538971.1_FG009 transcript:KN538971.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGTKPTSLNPHYLPLLTTGGPYPLAEIWGPPYLDPHVIVTYSRLESVGQAVDDLGAAAAVLLSHANEALRSVDADGEDGAGDGSSHPSDSASASGASWRASLPSKKYTRFEAQVLALRADPATFTEEPEDADGFAKWRDAFRIDERKEEIEGVLKESPGLESFVERLVPSVVDYDMFWCRYFFAVDKLRQAEDVRTKLVTRAMSKEDEEELSWDVDDDDEDDDNGDHKEGANTVVSKKEEQIEEPVSHKTEDEKQGADAQDVSEDKKTTLAAEKDGNGESKDEVATPKSSNDMGREEKIDSSKESEFSAASPPSAQEEDLSWEEIEDVGDEDEKKKPTPRSSPPSKAEDIRKRFSSVEDDEELSWDIDE >KN538971.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538971.1:7722:11649:1 gene:KN538971.1_FG010 transcript:KN538971.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MYYWYKRMQARPEGADMGGFTRVLHSGKPDALMGEIPTFVVDPLPAGKDHFCLLRNVGRSDIVVQQGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVRPLPNLARDDPAAFPFFYITPSEHESVLRKYYPKERGPVTNIDPIGNSPVIIKKTQLEKIAPTWMNVSIQMKEDQETDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQGVLTYGKIGEWRFDKRAYQDRPPPRNLTLPPPGVPESVVTLVKMVNEATANLPGWNDGR >KN538971.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538971.1:133712:142396:-1 gene:KN538971.1_FG011 transcript:KN538971.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGDEGEPSAIAKPPKKLPMSRKGFGTRGQPIQLLTNHFRVSVRRMDGHFYHYHVEVKYEDGGPVEAKGVCRTTTRRSPGGDDGSPGGSERKRMKRPMAVKKFMVEISFAAKVPMSAIAEVLRGQETENSMEALRVLDITLRQHSAKQGCLLVRQSFFLNNPSNFVDLCGGVLGCRGFHSSFRPTDSGLSLNVDVSTTMIVRPGPVIEFLLFNQNIKNPHEIDWGKAKRALKNLRIKTTHTGSEFRIIGLSEDTCYSQTFQIKRKNGNGGSDTVEEVTVFEYYRKNWKIDLKGSAHFPCLNVGKPKRPTYIPLELCHLVPLQRYKKALSTLQRSTLVERSRQNPQERMSVLSGVLRDSDYNSVPMLRECGISIAQEFTQVAARVLPAPKLKSGDGEDIFARNGRWNFNNNRLIQPKRVQRWVVVNFSARCNAHYLAQRLIHCGNLKGLPVDPADHVFQESSHMGRERAETRVNDMFQQLLSGDKPSFVLCVLPERKNCDIYGPWKRMCLVKYGIVTQCLAPTKINDQYLTNVLLKINAKLGGLNSLLQIERNQAIPLLSKTPTIILGMDVSHGSPGRDDVPSVAAVVSSLEWPLISKYKASGVTNGLLQQFSRTQARKNYHFQFCHLNFRDGVSEGQFNQVLNIELAQIIKACEFLANEKNDSEWSPKFTVIVAQKNHHTKFFQTDRSNKVVNVPPGTVVDKGICHPRNYDFYMCAHAGMIGTTRPTHYHVLHDENNFTPDDLQELVHNLSYV >KN538971.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538971.1:173739:177572:-1 gene:KN538971.1_FG012 transcript:KN538971.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKAKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGTKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGKIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTGEQ >KN538971.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538971.1:35942:43504:1 gene:KN538971.1_FG013 transcript:KN538971.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESVLSPSIVREEIEHCDEGLGAPSQTMGCESTESSCSLVGQVIRPSLCTAENVATQFAKDEGKISADGDDSRESKSVFVFGDNSEERGFTTKITETEIKKGDSVEKKIVAFGSEQLDASVAEGNACTESSFQGARHGCGSSMRDHCGVSTRAKASNTLPFGLVVQDSSAKVSSTKLSDERRSAGVPTSELGDLGLVDEQSFTVRDHDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDSSKGNSGTKEEDVNCFSPQATESNHDRTVFTSVTNLENSSHSDFIFAASTDQSKLHSQRRPSKKKIGGMSNHANSVESRPSSAIGLAHSEISRQQYTDLPAQWTKYNKADPKTVTVSVGPAIKEDIGHQEDCETWRIRGNQAYAEGQLTKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLVCIYAIFLYLILVLIMCKYDSDTQEIEHKFQGFPTSGLKLLFASFQAAGNEAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYSKAISRRAGLYELTRDYDQAGNDLRRLISLLERQLQENIYMPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDTANDIRKDADYLFKLIGKAYAILSDPTTNWYAIIAADPFW >KN539520.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539520.1:45221:62501:1 gene:KN539520.1_FG001 transcript:KN539520.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTLAVLPRAPISLHTHCLSGCVVTLKNIYIKTWLTKYYMLFDQRFYLVFEPVIEGNLGLSAEEIETALIANNHDLARIHIALIKGIPPTIKNLKVDDGWIMATAKKLSDWWTWVAEGANPFKINPGKEIDTYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKRGVDISNFRKEKLGSSSNGTIYWYDGDSTIGHRLYSEYVTLDFKRNLKGKNGRLTKPVINIQWETVATNLDEFVEISEKLCSKGRPESAIGEHLKTEIIPDVEKLQKKKERDLKRQQKKDELLAYATSFQTRSLRERRPVSYNYSDYDRSIKEAIKAAAKSKESDPQEAGKKEKRASHQGNKGANGGSDIGPEQKEDGQEDAGGSDVNPEQDKDGGQEDVESLDDLSSDEDEDRDYNGKDDDSSGSDGENDVGSDGENDAYDPNKGDTEEEEVFVPRKRTRLASRRFDKPPQGLRRSQRNMKNDEDVMRPGQLTPRSMTKKTMRQRPTSISKQFSLSGSEDDREMIVADSEEESGSWISTVSTVLWASAVDQLGFDHTGNQDASQARHVEQRALPVKNQTTSNECSSALFLESCNAGDNKAAMLIAEEGSNMTPLQEPLKSLWPSKDDFVRASTLSPELGLDQNLPPHCPKKLPFESSNNNCIGANSSFEEFDVQTPQDTSKTVLWRSSRGADTPLTESDSGQTPLQHPSKFSFVSPNGEYVRGATLFALDSNYTPRRESSEKLSSRPSDLPYIKATKLFTEFDSNGTPSQNHLKKLSSVPKNDKRIGAGAELFSEFDPSPLKPETPVMRAVIPRLKRVQEDQRVTTNDSCSPFWGPNKKVKPAQCSPVENKVHDEMAESARSKFEWLNPSNIRDANRRRLADPLYDKTTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYEVDAEIGQKELDWKMTTSGVGKCRQVGISESGIDVAVEKLLARGYKVGRIEQMESADQAKSRGSNSVILRKLVHVSTPSTVGDSNIGADAVHLLSLKEITLASNGSRVYGFAFLDYAALKIWVGSVHDDDTFAALGALLVQVSPKEIIYETSGLSKETHRLIKKYASAGSVKMQLTPLYGLYFSDVSEIQTLIDSRGYFKASTSSWLSALNSSVNKDAVICALGGLLEDALKNGEVLAYHVYRTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITPCGKRLLRRWICHPLKDIDAINERLDIVEGFIQNCGLGSVTLEHLRKVPDLERLLGRVKSTVGLSSAVLLPFVGEKILKRRIKTFGMLVKGLRVGIDLLEILQRQDHGISALSKAVDIPTLSSLGELIHHFEEAIDDDFPRYQDHRVKDDDANTLAMLVDLLVGKASEWSLVINALSTIDVLRSFAAMALSSFGTMCRPNILLKGKAPVLQMKGLWHPYAFEESVNGLVPNDLSLGQDLSGQNRFALLLTGPNMGGKSTIMRATCLAIVLAQASLFECIIEVASDLQLGCYVPCQSCELTLADAIFTRIGAMDRIMSGESTFLVECTETASILENATEDSLVLLDELGRGTSTFDGYAIAYALMGFMTLLHLSIKVFRHLVEAVRCRLLFATHYHPLTKEFASHPHVTLQHMACMLKPRNGGEKELTFLYRLTSGACPESYGLQVATMAGLPRSIVERASAAGEMMRSKIAGNFRSSEERAEFSTLHEEWVRTIVAIGGVKDAHLDEDTMDTLFCVFHELKAHFRKRR >KN539520.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539520.1:19074:21809:1 gene:KN539520.1_FG002 transcript:KN539520.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAPDNNEVEMTEAASMENATTTQKKEEHVDEEEEEEEEEDPEEMVPASEEEADAEEVEKEEEDPEEVEKEGGEAEAKVADAAKTGEVEKRKVEEDKKASGREVKEGEKGGQAEVQIFLQMVAAFGLKDRYDVDFLRRLLVDNGRRRELARIACILGFEDSLRDVIEEFIKSGNEIEAIHIAHEAGLLERFPPVPLLKSYIKRITNKTQVALRGGRHSNSVVAEEEANNSECNAYKSIIRCVETCQLTSAFNLDGIRKKVARMEKEKADRRKPSGMNRFQNNKRARGASGPQSFPPSKYSRGSNSNYGSSFRNPASHSFPYTDRASFVGPAPGARPHFAPGSSMGTRRAGVLYGGPGATFGAGHGYGAGAGHQSYHH >KN539520.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539520.1:63711:65150:1 gene:KN539520.1_FG003 transcript:KN539520.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRPVLVLLLMAAVWVAGVVVDGRHDPAVAWAWRQRMVGGEGCGDKHSTATISGGGVGVGGGEGGGVGVGGGVGGGTGGGAGGGLGGGGGGGLGGGGGLGGGGMGGGGGFGGGGGVGGGAGAGFGSGGGVGAGGGLRGGGGVGGGIGVGGGMGGGASGGIGGGAGGGMGGGIGGGARGGIGGGGGGGMGGGGGFGGSGGVGDNTGRDFDGGKGNGLSGGDGAGGGIGGGAGGGFGGGAGIGEGVGNGFGVGAGAGTGLGSGFGGGGGAGGGFGTGGGSGFGGGLGGGGGIGGGLGVGGGTGGGSGANEGAGMRGGIGSGAGAGGGLGVGGGGGGAVGGIGAGGDTRGGSGAGGGAGMGGGIGARAGGGGEHKRGGGKHHDGSGGGVLGRFI >KN539520.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539520.1:86044:90799:1 gene:KN539520.1_FG004 transcript:KN539520.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPQITLTEVLAEFSAGLKGSGDVLAPHEKSELSVQANGERVYNASANKCNYVCMGQAQSLVLSRVYGMSLPQGFIRRKRVDFPRIDHQAQTCYKTFKIGRNTVICRPNEAIVDETKDIQKPDEPQPGETQAESPLPEALQPESSLPVTQEQTPGNPLSGLLNAIAVAASGVLAGLYGTSQQEKKALESVVSSMESKLAENEAAISLMRENYEKRLLDQQTAQKKQAMKFQEQEASLLDQLSSTKKTVTSLSEEFRREKTLAEELREEIRRLESSLAQAGDDKDVLEAKLKEKLGDVNILQEKVSLLSQEIDNKGIRIRELSSLLSSKEAAYRNLCSFSDQTKESLELAEAKIQQLEEEVHRTRNDLASKISSIDLLNEELQALNSAKNEAEEKLSELTKDYTDLKASSEARESHDSELLLEKDNMIKQLDGKLSDALSDSSKDREIMAALNKELDATKAMLENEVAAVKSLRESLQSTEEALTDSRSEVSKLSVELDEANRMNQDLVLQISKLQDEFNEMQEGLTNKLGEVESVSKALSDELVSVKEMVHKGQEELEATSNELASIVEARDNLKKELLNVFKKLESTSQELVDERKTVTTLNRELEALVKQLQMDSEARKALEADLDEATKSLDEMNRSALSLSKELEETNSRKDTLEAEKEMLSKALAEQQKITTEAHENTEDAQNLISRLQTEKESFEMRARHLEEELALAKGEILRLRRQISTSRSQKAKTLPNTKASPEVSQAPDEQPVNDNQNTSKVAAGSQYTAKRTTRRRKGGAST >KN539520.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539520.1:14229:16613:-1 gene:KN539520.1_FG005 transcript:KN539520.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRLPYVLLEIVVFFGGRELPDGTWKDAAGIVIGWSRARLLSRREAMEAMEPHPFLADPPQVSSLRMMSPTPAHAQQLGSIRDGDIASTHKGIVVIYAGFYRPGCSDDPGGGCYLLYDAPTNALTAIPPLPDSPRFPTLLHLGRAAVLVDDSRSADDYILADIVTNSGLGLPEATIFAWSSLTMKKSGGGEWVKSSIPRLPLPAHLCGPKHLFQIDLAFSLDSGRICWVDLLQGILFCDRILAPDGPKLGFIPLPTGYCIDVHHRLRHQMMPLARRSMACVSGAVKFVALVGLEDYHCPPNEVMIKTWVLSPDFKEWKEDSRPLSVEEMWASESFKQMGLPCVVPVSPVLSLTQDGVMYTILNVIEQVPAQVDEFGIVVVDDDLVPIANYMIRFDIRRNKVLSSTKISQHGELQWLIPNLIATDFTAYLQDHQRAEEAGKVGASAKGKRKQMEYY >KN539520.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539520.1:82935:84313:1 gene:KN539520.1_FG006 transcript:KN539520.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPCGVFFHGDLKSGPEATRFGGAHPNAKRRSFDLGGGKIPGPGSLPASIGGVRRFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPQTIDASATSAAMDVTEAPTESYWVVKCDAGAAAASDDLAGCIISKKGTLNNSDFALAVQTEEEEEANVLGGSLSLETMSFFLLLDLETCRDLGFLEKREVACLPLVILVV >KN539520.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539520.1:4728:6062:1 gene:KN539520.1_FG007 transcript:KN539520.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQYKHMLGGPSLKVDLHTGAAAELVLTFVITLAVLWIIVKGPSNPIVKTWMLSISTVCLVITGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >KN539520.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539520.1:24707:30397:-1 gene:KN539520.1_FG008 transcript:KN539520.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLRNYVPSPSQRIRTQNQREYRERILSAYQIFFFLLDIFKPLHPELYTNDPSTFILPAFLQAINGNTEESITSIMMEPAPGVFAFPMLKPSFCQMLMSEVNNFLRWAQSANQRIMRPTSLDRHGRGAALSDFGLQEMLDNLMKDFISPMSTVLFPEVGGNTLDSHHTFVLEYGEADGARGFHVDDSEVTLNICLGKHFTGADMYFRGIRCGNHVNSGTHDEEYFVHPNVPGQVLLHHGSHRHGVFSVTSGRRVNMVMWCKSSVFREMKKFMTDFSGFCRECQFQRTARQARISISGQESEDETP >KN539520.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539520.1:37499:42208:-1 gene:KN539520.1_FG009 transcript:KN539520.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSVSQIKRLLGRKYSDPELQRDIAAFPFRVSEGPDGFPLVHARYLGEERVFTPTQLMAMVLSNLKGIAESNLNTAVVDCCIGIPVYFTDLQRRAVLDAATIAGLCPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGYKKGQLKILSHAYDRSLGGRDFDEVLFKHFAAKFKDEYKIDVYQNARACIRLRVACEKLKKVLSANPESPMHIECLMDEKDVRGFIKREEFEKISAPILERVKGPLEKALVEAGLTTENVHFVEVVGSGSRVPAIIKILTDFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDSQNGDNQQTVVFPKGNPLPSVKALTFYRSNTFQVDVTYVDTGDLQISPKISTYTVGPFNPGKGDKAKLKVKVRLNIHGVVTVESATEAKAPADAAADGAENGAPNSEEKSVPMETDAKVEPSKKKVKKTNVPVAELVYGALGTTELQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVTAEDKEAFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEARYKEWMDRGPSIDQLAYCINSFRDAALSKDPKFDHIEMEEKQKVINQCSEAEVWLREKIQQQDALPKHANPVLLSSDLKKKAETVDRFCKPIMMKPKPAPKPQTPPQTPPTETPAGGAQTPEQQPQGAEAAGEASEGGASESTGEQMETDKPEGTEAA >KN538800.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538800.1:81453:82007:-1 gene:KN538800.1_FG027 transcript:KN538800.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTFLILKDIEGYFDFLSIRYPFALPSFFLRYERLMHDFAVAGGITVLTPFIWGALVAIMVGLGADMGPLLELDVLGITDGLQLDGRSVVPEQPEQQVHQPDPDEPEHVHRVVLHGEEFTFRTNIPRAKFYLLMAAVFVVVFLFHPFSAET >KN539710.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539710.1:86666:87646:-1 gene:KN539710.1_FG001 transcript:KN539710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVAEAHRAAMRMEGKRDAVFESWKALNQSFDLKEWRGSLEAVRKLPMMVLWSGSWTDRWIDEGKKVVAALPDAKFVYHSGGRWPQEDAYDELSELIAEFVTSLPTSVRSQHIDQSSEQATAQE >KN539710.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539710.1:34861:36154:1 gene:KN539710.1_FG002 transcript:KN539710.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYGGGMAPGMCLPDHEVEAQMRALQELGAMFSAAGGGCYNGGGGGGGVKKKRDDMVKAKFHWRFVFIPSAVKLPLSGKFHRVLFWPSGSRKAYKL >KN538800.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538800.1:58019:63173:1 gene:KN538800.1_FG029 transcript:KN538800.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSSIEELDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQIANLSLYGIALLIFSLRQSVSVIAQYRWIAYVSIALGSCFVVVFLIGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQDDTVKGTQQRVEYVPKVAWEYNPVKYNISGNSGSNDDITAQSIMEIV >KN539710.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539710.1:65986:77279:-1 gene:KN539710.1_FG003 transcript:KN539710.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTVLPEEVVQDQSGDTGVDAAARCRFTRELMAHAPAVLEFLLAQSENTAAAADGVPLHERNRRILRCLLSWVRVGCFSEMPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVSHHQDLPQAFLSKMPYIREALLLPALANRSEKTIAGLVCLMCEVGQAAPALVTEGSVQALALADALLRCSLAHFILDSDAQTEKRNAAQEIFSPVFSSLLDALLFRAQIDTDEHGTDGELCIPDGLAQFRMNLEELLVDICLLLGAPAYISKLFSGGWGLASQSIPWKEVEVRMYALSMVYKSFGDVIGSYSKLLASSQSNIKPLLLFCASGISKSISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLDKELRKSSLARLLCSSYTAVEKLIDIDRDQSLCQNPAAYTEALNLAVHGLYRMGALFGHLAASITSSLIDDDTVLVLLGIFWPLLERLSRSSHMENVSLSAAACRSLSSAIHSCGQHFQILLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYVALCVRTFEALSSAASISTLNSSYTCDQEPDLVEAYANFTSTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESREHPSDGSPGVVLAQILARCGEGLMSNVLYALLGVSALSRVHKSATILQQLAAVCSLCERTTWKAIISWDSLCRWLQSAVKSMPSEYLRQGEAEMIVPLWLNVLHDAASDYLHSRTGDNVRNNHAYMQGKGGRTLKRIVRDFAESHRNAPMPCPS >KN538800.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538800.1:16310:17634:-1 gene:KN538800.1_FG030 transcript:KN538800.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIRPQQDPSSIRKNPQRMAKGVDTDAISQLPWPIVKVDIVVVVVRGRRRRHCPDQHPILVGQSLHDAQLPVQHVGQDVLVERRVHRFRETGYGERLVSQAEPEESPGSIIGVAAPVHVPEQVATLDGKRGPPSGDGVWRINGGLPPPRDAGLADEVELGESRGEHQAIAVGEVADYAELVAGREVPGIHDPRKEGGTLLPLVEADVGGEFGRPPVGMAEVGADEDGWLLLPSGSRALELDSDEGGLGDDRTPPSADGLEA >KN538800.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538800.1:116297:116506:-1 gene:KN538800.1_FG031 transcript:KN538800.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPTSPTYNLVNPGASTSNSMEIEGTAGRGGAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >KN539710.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539710.1:50765:57154:-1 gene:KN539710.1_FG004 transcript:KN539710.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTTEKCISSSSSVHGGNNRREEYPKEGADDDVEAGVLGRDGEAAASPAAAATTRQRLVSLDVFRGITVALMILVDDVGGIVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKKVPDKMLATKKAMLRAVKLFIVGLILQGGFFHGIHELTYGVDIRKIRLMGVLQRIAIAYLVVALCEIWLRRVSSGGDIGSGSMLITRYHHQMFVGLVLVVTYLVILYGLHVPDWEYEVTSPDSTVKHFLVKCGVKGDTGPGCNAVGMIDRSVLGIQHLYAHPVYLKTEQCSMDSPRNGPLPPNAPSWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHFKKHNERIKRWSTLSLCLLTLGFSLHLFGLHMNKSLYSLSYTCVTTGTAGLFFVAIYLLVDVKGYKRPVFPMEWMGKHALMIFVLVACNIVPVLVQGFYWKEPSNNLLKLIGIGG >KN538800.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538800.1:8087:13329:-1 gene:KN538800.1_FG033 transcript:KN538800.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFNDFALVRKEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNIDMIIARRKEQEFFASSPEYSHLSSRMGSEYLAKLLSQHLEAVIRARIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRYLSLQNVKRVISEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHYVLKELVRKSIGETQELKRFPTLQAELAAACFHALERFREDGRKTTVRLVDMESAYLTVEFFRKLPQEVDKTGTGNPSTPSVDRYADAHFRRIASNVSSYIGMVSDTLKNTIPKAVVHCQVREAKRSLLNYFYTQVGRKDAKQLAQLLDEDPALMERRQQCFKRLELYKSARDEIDAVSWSR >KN539710.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539710.1:49177:49668:1 gene:KN539710.1_FG005 transcript:KN539710.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAVDDSTEYMLLNMMAFERLHPGAGNDVTAYVFFMDSIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEPESALDGVQRQVIAYCRQPWNMWRANLIHTYFRSPWAFMSLAAAMFLLVMTVMQTVYTVLPFYQNKDAAGGGGGGSAAPSPM >KN538800.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538800.1:118725:125730:-1 gene:KN538800.1_FG034 transcript:KN538800.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNMVLVLRYPKVHTLTTRAVRGELTSTSAASDNAYFDAVRMVSRIGQYSSYLFRPEHGELAANGCSTTRPFVCDDGVEGNCAGDLRGGASFCDILTELSPGDHGVLAVVPNWNCNSTDEFCSRLGPFQTGGGATNTTDRMLAGFAIVMQDLRCEPHGGEKPAARVSAVFRAVSPWEDQQLAVRRTGLGGATLSAEGVWRASTGQLCMTGCLGVVDAAAVGDEACHYRVSLHVPTTFSIRHRSIIVGRITAGDGSHFPLSFHQSVPPKHPWNRFGRSEASLRVAYDYTMVKNAGKLLRRSEPSGFRSSSIAKALVSYPRQAGGAAAAADEMMSLSDLADDLSLHFQPGSKLPFLPEQKVWPQWPALHLDMLSVGPLVGSYSPPFRTLPSTPVARAEIDGGVEQQLLNVSAVLSLSGKMFGWSPVMSLEGVYNQEDGRMYLIGCRNVEAPWRIVSTSRDLEDGMDCSIEVRVEYPPKTTRWLFSPTATAYISSTRDAGDPLHFNTTELRTTPISYRGGRRDAPPDTLTEQTIEGLVCIAMLSGTIAAAVGQLRYIASRPDVAPYVSLVALGVQAVGYTATLVTDAKMLPAWPTYNYRISGFYLAGLVFVLAVHAVATHTSSTSKQEVFYDQQKAAAAASHAPPSCMRTRGAVVERYVGLVKEWFLLPQVIGNAVWRVNCKPLRNAYYGGVTAVWMLPHVYRYLRPPEVYIYRPEVQDDAMAFYAKATDVVVPVVAVALALLIYVQQRWNYKIVGWSLLRTVQTKFNPSEEAEHSYLRFADVKRQCRSVLASASELTDDAYRGKRVKRELSFEKGDWLQDAGQAPLVPFDGGDAAEDGRRPTLDPLRLATFMVTHVDDDDERRARNAVNVSGLLVLTISRTSASPEIGYHVPVVSSPEFELSPGSTKLRIVFEGVYTEAARSGNGGGERVLCMVGTGVLPTRGDDGADPWGWAKNSGRAGFQPPVATDESMLLVLRYPKELTLTTRAVVGEMRSTRAMSDAAYFDAVKLVSGPTWNRQYEFRRPGELAPAAGTCRLLTSGDDDGNRAWDLYKGRYLCDVLERYSHGVITARPFEMDRAEDAAIVGIVLHDLRCQGYDLDMAGKPGGVKVSVVFRALSPREHWYTAVQRTALSGETLSAEGVWSASAGEVSMVACRGIGSKACHFRVCLSFPATFSITGRDMMLGEITTVDVNEAGGGARSSLSFRQRMPPPRLQRCVSGILPVVYRYNYTKVKLAGEFLRRSSSPSDLREIIARSLPLSYPNCGGNGDGKRSLADLADRLTLRFTAMPSLFSPPGWMERPVLHLEVFFLGQLIERFMPASDDATTRSSKIPGDEPCLQEQRLLNVSAELTIFGELRVASSAMSLEGVYDREDGRMYLIGCRDVHHLPWRNSSARRELELEEGMDCSIEVKVEYPPTTTHWFVRSTARVLIASMHFDTVKLWAQPVRYPRRWPDFISRAIVDGVLCVVLLTATIAADLFQLRHLKHHADVAPYVSLVMLGAQALGLVMPLFAGMEALLARVTLQPELDTTRQLPPPGSSYMLDYNRPYQAVDRTAKILAVAAFLLTLFIAWKVRRSRARLLARSLGEAARVPGDGKVFVYCSSAHLALFVVVLALNSSRDATVEQHVGLMQDMFLLPQVIGNAAWRVNCKPLAGSFYVGITAARLLPRVYDLVRPTPVADVFSDDVHAPATASASSREGFFPRAGDVVMPLAAVSLAAAVFVQQRWNYAIVSSMGGSSQQQKGHHIF >KN539710.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539710.1:84107:86052:1 gene:KN539710.1_FG006 transcript:KN539710.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKYSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKISQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >KN538800.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538800.1:90971:93737:-1 gene:KN538800.1_FG035 transcript:KN538800.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAVASPHFPPSWAYQIRMAASQGQFLHAISLFLQMRASVAPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTDGPSGEGGLESAAYESMRKVFDEMLERDAVSWNTLILGCAEHKRHQEALSMVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDNDVFVGSSLIDMYANCTQMDYSMKVFDSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPATEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDKGWKYFNTPICHRHMFASDLNAIAISRGSRHSTPLPSTILLSATSKSVKFVELDAFQIDLMKHWQWFQ >KN538800.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538800.1:111974:112656:-1 gene:KN538800.1_FG036 transcript:KN538800.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGEEKPLPVEEIQGINDEAEPHPPSRNKDFLHDEEFQRVMRDVVVGPDYVPGGYALRILTDPATAFEELLEYYRKAGLIEGQVWKRYNIFEGVEGLDNSQDEIKMEEEPMKEEEDATGCRGRDASPDRPDELAKKRRLDGP >KN538800.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538800.1:43897:47348:-1 gene:KN538800.1_FG038 transcript:KN538800.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTSRKRKRKPRSTVMSLVATANLSEEMVMEILAQLPVKSLIWFKSVYAEDAATAVASQSQGRRGRIKTRSDCWRRRAAVPVNMAAVTSSSALSKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQVGFNNSVPITEEFYSANISGGHNDDLARALFPDLDHDKAMKFMDDKEALFRKLAPEQLVAVEGLHDLCRWIEDHKLKRAAVTNAPRSNAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLQDAGASLLIKDFQDPKLLSILEEIKPTGAAVEQI >KN538800.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538800.1:20791:25152:-1 gene:KN538800.1_FG039 transcript:KN538800.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFGGGKSQFFNQTWQLNSGYMKAILRGHFLSVVSVTGRQRKKRKEELRLHAAQARAAVSVAQLAAAIAGIVSVCELRPDNLKCVVAADSKKMGTVLASAAALVATVCAEAAELAGTNRSGVTSAVKTGLESCSSAELLTLTATAATCLRGAAALKLRADVRGIGSNNSVGTSTTSIHKDTTLRVRLPCDEVLDVSSNGGDVVVDGMVLFPLVLRTAAGVVQLLLDSQMHCKALGRGGPKVNVFQVAVALSFFRSDHGGRPRLVTAAQPSKPLHFSRMSGTIHLVDNGGELMLVYRKIRQSNVDEESRQGAAKYEMKYDVYRVDFDAGDLIPVKGLGGRAVFLSLCLSVSLLPAAEDFPSIAADTLYLGFDCGEKTEMNEIDGYSVADGSSEPCHLDSIFIFREMSQQDGYSVADGSSEPCHLDCIFLQMLQQPYSFVNCLSHCIQGIGDHLA >KN538800.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538800.1:66654:67655:-1 gene:KN538800.1_FG040 transcript:KN538800.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVSSPLNIGLTDPEWMCTSMILRFLMFCSVLDLLLQGNFISLKNMALYLFRGRTESENPQARVRDRTNPSDASVWAETIERPPFSCGLRAFGPHGEAEAQHTRSEDFSRAVACGGSKLNAAPHFVLLALINGVSWISEYFVKRDFSANSKIVLYHVMFVKCRVHLKS >KN538800.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538800.1:85732:88048:1 gene:KN538800.1_FG041 transcript:KN538800.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVKNSMNILEPSPLDASGNAREEGQAFDRTGRRLGRGGGYYDTFLMRYQELAKEKGWDQPLLVDYSYGKYTVGLCTLPLGSNWPLNVKNRTKTNGLVLYFEVALSYSVQILEEGIIPVNSTDVPIDALVSSSGIIPISPAALARI >KN538800.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538800.1:102424:103100:-1 gene:KN538800.1_FG042 transcript:KN538800.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGEEKPLPVEEIQGINDEAEPHPPSRNKDFLHDEEFQRVMRDVVVGPDYVPGGYALRILTDPATAFEELLEYYRKAGLIEGQVWKRYNIFEGVEGLDNSQDEIKMEEEPMKEEEDATGCRGRDASPDRPDELAKKRRLDGP >KN538800.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538800.1:100803:101147:-1 gene:KN538800.1_FG044 transcript:KN538800.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRQGVALWQRGHCPLAVPPNGRRHALRIIRDPATAFEELLECYRKAGLLEGQVWKRCNIFKGLEGLDNLQDEVRMEETVKEEEEEATGCRGRDASPDRPDELAKKRRLDGP >KN538800.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538800.1:64026:66287:1 gene:KN538800.1_FG045 transcript:KN538800.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLQCLALFLLLAQLAHSAFIPKPKNRTEHKPDQLSSTYIVHANHLLKPSRFATLEHWYISMARSLSRGAPGVTAVHQARMYHPQTTRSPGFIGLDPEYGLWRDTEFGDGVIIGVIDSGIWPESPSFNDSGLAAVRRSWKGGCVGLGAGLCNNKLVGAKDFSAAEYGGASSPRDDVGHGTHVASTAAGSEARIAMYKCGGNWGCSDAAIIAGIDAAVKDGVDIISISLGGFPIPFYEDSLAIATFGAQREGVFVALAGGNSGPWPYTVTNVAPWMTTVGAGAVDRLFPANLTLGNGEVLVGQSLYTKMATGTTMAPLVLLESCDEWSLSPDVVMGKIVVCLAGVYEGMLLQNAGGAGLVSMQGEEWHGDGVVADAFTLPALTLSYSKAEKLMDYFESAARPVASFSFACETVTGENRAPTAVGFSSRGPNRVVPELLKPDVLAPGLNILAAWPRDIPVSMLNMDTRRSEFNILSGTSMACPHAAGVAALIKKRHGDWTPAMIRSAMMTTAATLDNTGRDITDEGHTRPKPEMYSVAVSAPAGVKVTVTPATLEFKEKNEEKSYTVEFTSVAGGHVNQSWDFGHISWENRKHQVRSPVVFMWN >KN538800.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538800.1:28145:28516:-1 gene:KN538800.1_FG046 transcript:KN538800.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFLPRRWMMLDKAAPQAVGCFRFLFYLSTGECVRTNIPEFEEDHMLVALTPEGLLLLLHHPTLLLRLLNPLTRHLTDLPPVTALLTPKQQRAWHSWEGLGDDPLACCARAWISKIMGNTR >KN538800.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538800.1:71413:72789:-1 gene:KN538800.1_FG047 transcript:KN538800.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSNLGGEGPAGLIAERVLANDVADYIRFPRRVPRVATVRLMLQLWMNSFVRSQRFSVSSQRHEPMNMKKRMQDELQQQEEAHADAGAGLEVEGKAEESFGLLIPSIVGRNGPCNS >KN538800.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538800.1:37494:39802:-1 gene:KN538800.1_FG049 transcript:KN538800.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPEQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVNRQEDEVIGDEDDEAHGDTVRIDGGSRSQVFNQTWLNMGHMRAILRGYLMDSVPIAGSRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRSSTAPGGAGADRKLSTVLASAAALVATVCAESAESAGADRSRVTSAVKAGLDSRSPAELLTLTATAATCLRGAAVLKLRADVSKGISSSSSSSMMMTSTNTASIQKGTILRVCLPCGRLRLRTVAIFPECGTVALRLGKKRLHGAFTTYQHYEVLAVSGGGEAVVDCRKFFPVALSTAAGTVQLLLDNQMHCKVWKASIESMLSGRKLKHTKC >KN539169.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539169.1:70274:75213:-1 gene:KN539169.1_FG001 transcript:KN539169.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEREKVVRLAKLAEQAERYDDMVEFMKTLARMDVDMSAEERLLFSVGFKKTIGARRASWRILESLEQKVTAGEQPGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMFYQTMLRFHRIKDLNIPAMTHVSYLQGLTMLTFFVHSIQKLIDILRFSEMRCYKIILGAYVLPWKGDYYRYLAEFSTGTEKKAATDQSLMAYQHAMVVSSSELSPAHQFRLGLALNFSVFFYEIMNSPERASQVAKQALDEATAEINSAGVEGYKDSMLMMQLLKENLALWTSELTGGETSKDDDVVMELGVVTNPALILV >KN539169.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539169.1:101384:104419:1 gene:KN539169.1_FG002 transcript:KN539169.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLLKYFDYYLTTISTKLAAGQLTDDRERHCFAFMEMELAAIVRSLRTPPTPSHGVDGHDRQTWLQELRRLASEIEHLMANHGEADHHPPTRFVLLRRATRCFRVRRRMGMDLYSQFQEEAHQLHLAAEDPCMCKHLRSHPPPPEGVAGGDHLVGIKGPAKKLLRWLMNAETSLQFMAIVGPIGVGKTALAMEVHSRVRCQPKYFEFCAIANLSRRREPVRTRLFLRSILSQITDLEESSSSHNSESETNEELAAHIWKHFQYKRYFILIDDISKDSDWGIINDAFPTNHCGSRILLTTRNELIANFCNSNYDGEVHTMKPLSDSNSDRLLRTKAFGSMDYCPPDNLKLLCEEISKICGGIPLYVTSMAEWLKQHQPQHESSAVPAEEQARLLLKRFGQKLSFKYNDTLRPSLYLSMFPQGYVFDKNHFAMKWLEEGLAGIHSGLKVDMEQAKMSFTEMVDMNIISPVAENCGLNLDEDELCQWQVNPFMHKFLASKAAEKGYVFTSTTLSSVTDDGNMARTARRLALHNADPRLPAMLQQMDLSHTRSLLVSGVVDRKAVPLNKFNYLVVLDLQGWENLKDEDLLQICKMFLLTYLSIRRTRVSKLPPQIKELRILNTLDVSRTHIAELPSELCELSCLRMLDLRCTQISQVPEQIEVLYLRFRTLLIGGDGMINPDETVVVTKIPHTLMTNLQLFTLATVDLSEYPASFVDALGCQNSLRVLAIIWSLHQSTDEAYREALRSSIKKCMELRSLTIHCARGCSMEFLGSLSDPPKELKKFKVTTGRFVSVPQWIRGLEHLAFLQITVCKLEPDDVKILGSLRCLKCLILGLEFVPEEGMVIESEWFGCLERFSLDCPVPWLTFKRGAMPMLNYLQLKICSGSVNQVSAVPSGLTKLPKITEVVICYSKWCKNSSNVKMTVGAVRKQLARHPGQIDLVINGRQTILTQRYRATESGTKNDVHQLMWTRGQ >KN539169.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539169.1:18340:23673:-1 gene:KN539169.1_FG003 transcript:KN539169.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADELQHTDAMSTDLTFDLIKSITDDFSENNQIGRGGFGTVYKGVLKNGEEIAVKKLNDIASLDDEKFMNEVSNLMNVQHKNIVRLVGYCYDTRRKVEQVNGNGFDWCTRYNIIKGTCEGVNYLHNGPQGHIFHLDLKPGNILLDKNIVPKIADFGLSKLFEETLSHHTTKVPIGTRGYMPPEYIEKHHITKMFDVFSLGVIIIEIMEGPKAQSKRLEMPSQQFIELVHENWIRRFQQTTPTYTSEEIGCLQRQVKTCLEMALQCVEADQHKRPTIAEVVRRLNELDAMFQRTSPSLLPSELPIDPASPGDQPECSKLNNLNLILESGGNICVNKRSKYEKSMTRIYYYLTTAKDSSNASRHNERGTVEQERGAKGEYDNEVGVLRKFGPWGGKGGSAYDIEVPPHRLYNVTICSGEIIDSLAFSYIGPNGQSITIGPWGGNPGPSPYTIQLGPSEFLLEVSGTIGRFANSRSNVITSLTLVTNEDRYGHFGTERGDPFCTTLQTNSSIVGFFARASRYMHAIGVYVNTNQLNLAVSRRRFRTDNLGNGNEKLVKIGPWGGNGGRAHDVNVAHYRLESIAIGSGSIVDSLAFSYIKPNGERLTVGPWGGALPNPYTVKFGSSEFLVRVFGTIGPFRASRSVVTSVTFVTNIRSYGPFGQGGGTPFDVPMQSNGKIVGFFWPRKVICRGTWGLRSHILTMHIA >KN539169.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539169.1:77354:77494:1 gene:KN539169.1_FG004 transcript:KN539169.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKISDQTKEPWKRLRCLRSRDVVFNQIKVPSTMKILHPDEDIPLIV >KN539169.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539169.1:91982:92791:1 gene:KN539169.1_FG005 transcript:KN539169.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQGYLFDKDHFTMKWLEEGLVGVPLDLTVLDFEFWDNHISRIIEEANMSFTEIINKNTFSPAAENCILNLVEDEPCQWQVNPLMLKFLTSKAAEKGLGFASTTLVSATSCLNTAQIAQRLALHHPDPQLAAMLQHMDLSHTRSLLITGAINQTTNPLDRVAYLVVLDLEGWENLKDGDMLQICKMFMLRYLSVRRTGISKLPPQIKELRILRALDVSCTHISELPSELRELQWLCMLDLRSTQIGQLPEHLGRLLDSLRILLIGGND >KN539169.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539169.1:8217:11553:1 gene:KN539169.1_FG006 transcript:KN539169.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELIIPTLLCLTIATSRGLAVVAASTCQRSCGSIDIPFPFGIKGQAGCAMPGFELICNSTRNSIPKLLLRNVELLNISLPEGQARMRMPMSYECYNMTKHDMDCVDKANLSFTGSPFTFSNSANKFTVFGCRMLGYLGPGGQSAVGSNLTIGCATSCGQGDDLVSINGEGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSVIHNWSRCSYGALVEEASFKFSTIYATSSNFSNPFGGEPPFVVDWVVANNTCAEARKHLDSYACASSNSVCIDSSNGPGYFCKCSQGFEGNPYLQGHDGCQDINECEDSNKYPCYGKCINKLGGFDCFCPAGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHCMVYKGILSDQRVINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIQADPSMREFALSWDQCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDKTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDSESGSKKNLSIYFLSELKGRPVAEIAAPEVLEEATEDEINIVASIARACLRLRDQEKNNFADPKAYKY >KN539169.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539169.1:115728:122476:-1 gene:KN539169.1_FG007 transcript:KN539169.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPNRQRLRQAPRRRELQDAKEQVVDSLEPKKKKKRSFIEYHIGRISNIIQQSINRIQRERDERLQMLKILREDGVDANSEQYHLAKELLRSRTRRTVFKRFDSKETRLKWLQWSWQNRKTMLFRWESQEGEGPDNIALRADQEALTELSDAGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSILEEPDTKTSVKDLTFSSDEHFLAVNRSSGPCRVWDLKSAEVVANLPREAGEIFGFCRFSNQTDNSQILFVTAMQGDYGKIISWNTTSWTRIGSNKITREAISAFAVSPDCTLLAIGTIEGSIIVLSSKNMRALVTVKKAHLGIITTLAFSQDSRTLLSTSFDSTARVTSIGSPKSRGISIWTMILVIILAILAYYYMQHKEDLLARQRQATGKCEAWKDSTERV >KN539169.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539169.1:132345:136787:1 gene:KN539169.1_FG008 transcript:KN539169.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPLAEVKWILAQKREPYTNPDDIEGFKISSNPNNDNDDGFPEELKASCRDSIRRSNILRKVADDRFFEYQSEVRAAMESSGRFLFIKPSTFEVGDDYHSPIATINEMN >KN539169.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539169.1:82820:87907:-1 gene:KN539169.1_FG009 transcript:KN539169.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNWAELLEDVLLTIMERLDISDLIRSSAICASWCATSTVVHRARFPLQAKQLPCLFYACEAYSPNNAVVHCPFTGESIRVPFPLSPITEHSVVGAGHGWIVTADEVSNLRLINPITGAQACLPPITGIHHVEKSFTGAGNNDALMYNVFVSSTPRLNPEPLLLTANEARECMYHRVALSCSPSIGGGACVALLAHMECGELSFARPGDERWTWVSPDKHPCFGGFEDFFHNDNDGLFYALRYDGSIYTLDLNGDSPIVRQITGKVSQRWHPSAMYLLWAPWGDILQVRRWRSSVDLMAASSSEHPNNLEVDDDDVDLDPIVDINDDIYPYLELRTTDIEVFKVDFERKKLVKMKSLDDHALFIGYNSTMCISTKDYPMLKPNCAYITDDSSEYVYMYKNSWREIGIWDIGRHAGDPCSGIEVPRGIEELTALHTLGVVNVGVAGGKAFLKELKNLTQLRKLGVSGINWKNIQELCSAVSCHSYLESLSVRLDKDEHGSCDDRLKEDLRSRIAEHPNKLVLKLKME >KN539169.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539169.1:29103:35497:-1 gene:KN539169.1_FG010 transcript:KN539169.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MANESSGFDWCTRYKIIKGICEGVNYLHNGRQDHIYHLDLKPGNILLDKNNIPKIADFGLSRLFCDTLTCHTTKKYIGTVGYMPPEYIQICHITEKFDVFSLGVIIIEIMTGTKETSKRADMSPQQFIELVHENWRRRFELATPMYTSEEVESLRLQVKTCIEMALQCVEAERLKRPTIAEVVSRLNKLDDTVRKISPSILVYKPPTDPLSPGDQALTKFGPWGGSGDMDRDMEVVPHRLESLTICSADIINSLAFSYNDHNGKQHTVGPWGGDGGAAFTIRLGAFEYKGAFWNSRFIWHATKCHNIA >KN539169.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539169.1:3432:5487:-1 gene:KN539169.1_FG011 transcript:KN539169.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPTDLRLRFIEDITNGFSEDRKIGSDETGGLDWRTRYKIIRGICEGLTYLHGLEEPIFHLDLKPGNILLDKNMMPKIADFGLSRLFGGTQTHITRTVKGTIAYMPPEYIEMRQISNKYDIFSLGIVIIVVITGPEGFERCADTPSQQFVEQVRNNWWNRIRETSKYAKENCEQVKRCTEIALNCIEVEKEKRPTIVDVIHELKKTETAVIHETVEEDVMAGLIKFGAWGGKGGRVHELKLAPRRLKSVTIYSAVVVDSLAFTYTDRNGHHQTVGPWGGSGGDRYTVSD >KN539169.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539169.1:96498:99809:-1 gene:KN539169.1_FG012 transcript:KN539169.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLSRLKRDVAHDEQRQDWMKQVREVAYDIEDCVDDVRHRLGGEPRGTGTVVSLKRTWYLLTTLYQRRCIAADIGNLKRGAQHVSERRTRYGVENLPANANGGGNNNSGSPRDHPAPLPRLIGTVEPVGMDDAMNDLQRWFMVSKQNGQQQSQISYLAIVGSGGLGKTTLAMSFYRKFGDEFDSRAFMLASQKFHLPTVLRSLVSQFHQKQVSASQDALHGIEEWGVEALKKKLADQLHGKRYHILIDDIWSVSAWESIRDSLPKNDKGSCVIVTTRFNSVAEACRRQNGHVHKLKQLDLENSYNLFLQIISANDLCPSRPINVSIIMRICGGLPLAIVVVAGLIASKLKSKIDLTLDQHLVDVDEALSAELGNNLTTEVVQIINHCYKNLPPDLKTCLLYLSTFPKGRNISRKRLIRRWIAEGFVTEKHGQTAEEVAEDNFNELIGRNLIRPINNSSNGKVKSCQIHDMVLEYIVSKSGDENFITVIGSHWQTPFPSYKVRRLSVHKSDRQETVLVERMKLSHVRSLTVLESFKALHSTMLKFQILQVLDLEGCKDLSSNQLKKICNMHQMKYLSLRGTEIHKIPKKIGRLEYLEVLDIRDTDVTNLPASVERLQRMVHLLAGNKTKRRALRLTEGITKMTTIQTLSGIEISGRSTKKAAREQAQVTEVIRDASTTDAKDGDITGLQGTHKEGSKVDMPKQLRPLAALEKLTNLKKLAIYRLVNFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTGFLNRSLSSSQAQPEHLYTLELSGSLFKVPEWIDRLHNLEKLTLSLTSLTTDTLVTLSRLPELFSLIFSLDAAKDISNILKTVHKNTLESGGKIFVPDGGFTKLRLLCFTAPVLPPLSFLEGAMPELQRLELRFRIIECVYGLENLSSLQQVFLTFSSQAPEDAKEKVSQIKGLASKIRKADSSNISVVIDEYNELSKEQ >KN539169.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539169.1:125933:128804:1 gene:KN539169.1_FG013 transcript:KN539169.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDNVIAIWQEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDAPVGGEESSVVRKEVGSQRNFNFTIRDHLQLGKELDLFDFDAAAELLYEQLYFFSLVHFTKVVYCHLQVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFVFCRPEESDKCHEELITIEEELYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPPANAKKGKGSSGPTQFVHTLNATAVAVPRLIICILENFQQEDGTVVIPEPLRPFMGGLEVLSPKTK >KN539169.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539169.1:57078:69349:-1 gene:KN539169.1_FG014 transcript:KN539169.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPADVAWFLSLRRENLGHPFGYVFTAPADRGGPAASSEEIAREWLEAGGCFEKVDEVIERIQASVRAQMSSHDINTAHTRFQSAAELHDLSLRPELSSDSRAETSGRLLPPISHTKEEKGTYNILLHLIPKMRQWNQSENFDSELLLDGNSITGGLPQELGSLSSLTTLKLGGNSLSGSIPDSLGLLSKLQILYAGNHLNCGQNLTSCEGGQKNTGSNNKRHLLNIAIFSPIVAFCILFCWALIRRHRKGKQRLRESLKVRNEELFWGIEGANSDFKFFEVSQVVKATSNFSGQNKLGQGDISHRYASYIDKSNNIKITSLIRYFTTFLQGQFPDGMEIAVKRLASHSGQDETRRASLNWIKRLAVIEGIAEGLLYLHKHSRLRIIHRDVKASNILLDSEMNPKISDFGLAKMFSSNDAEGNTRRVVGTYGYMAPEYASEGLFSTKSDVFSFGVLILEIITGKRNSGFHQYEDFFNLLGYAWQSWKEGRWLQLVDSSLVTDNCALGTMRCINIALLCVQENAADRPSMSDVVAMLSSESMTLAEPKHPAYFHTRMTKEEVSTIIESCSVNDVTISTPQVHTVKL >KN539877.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539877.1:23178:23899:1 gene:KN539877.1_FG001 transcript:KN539877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKITREEEQEAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWASPAPGEKEKQQQQQDKSLT >KN539877.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539877.1:53778:54985:-1 gene:KN539877.1_FG002 transcript:KN539877.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAMRGGAGSVGGEVPDACTFFKWYDSYRRMVEGMELDFNEEVATPVAIAAAGEADKVDEGKMDKLTKWMQLLVLINIGQGILVLMGVFVLLMK >KN539877.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539877.1:44576:45399:-1 gene:KN539877.1_FG003 transcript:KN539877.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAATASTTEFHPQWVTNHPTARCRSAASCGAHPMITVPLPLAVERSSNPSGSRSVACDDPTAQTNAAPWPYGAHAPEAQVGERPLQVPPLEPLERVRHERRALEVPEEVALETLVDLVAFLVRAVRPSELPPWIRRRSRDIDESDRTLVVVLVRGPGHRAEQGVEHGGAVNPGRREHDVRDAELVGERLRPSAEEVGQHGDDAVGRARPGARP >KN539877.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539877.1:36201:36764:-1 gene:KN539877.1_FG004 transcript:KN539877.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMEDNHSNSSQSLWRLSLFYPYLESVIADVQSSVLVDPVYICVECSEVHRNQQTMAAHCRSHIRSDGMEKGTVRHIKYNPDHTFSLLCHQSSNKIYYQVTAPNYPNNPNSSEIGVVWASDILKKCVDLGYLQHPASVNASSAVFVPAATPTALDLTLRLGPRSTAGSTNRQIVEALFAGSGGSA >AMDW01040127.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040127.1:454:1014:-1 gene:AMDW01040127.1_FG001 transcript:AMDW01040127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALRLDENHVGERHYSFEESIAANISGISAKQLQ >AMDW01040193.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040193.1:551:1282:1 gene:AMDW01040193.1_FG001 transcript:AMDW01040193.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HNCHPIPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKVDHNSKIDPELIADLNMVRLPFEMDDELLPADGLGSTDTDNKCQQRQNQSGNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWSKNCSGWGSALADSSWGNWNNSNNHHSSNNRASFNGINRNRYQDPSSISGRKRNSGGYIQQRNSRQRNQIEGYQGSRW >AMDW01039360.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039360.1:82:900:-1 gene:AMDW01039360.1_FG001 transcript:AMDW01039360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPVRTYVWVANRNTPIKKSSSVKLVLTNDSDLVLSDSNGGGGGAVWTTVNKVAAAGVGAGATAVLLDSGNFVVRLPNGSEVWRSFDHPTDTIVPNVSFSLSYMANSLDRIVAWRGPNDPSAGDFTMGGDSSSDLQIVVWNGTRPYWRRAAWTGASIFGVIQTNTSFKLYQTIDGDMADGYSFKLTVADGSPPMRMMLDYTGELTFQSWDGNASSWTVFTRFPTGCDKYASCGPFGYCDGIGATATPTCKCLDGFVPVDGGHDVSRGCQRKEEE >KN544336.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544336.1:575:901:1 gene:KN544336.1_FG001 transcript:KN544336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVGTSWYRTSSLKRYRGWEGTFIISHVASVSSSRFLVLSDSREEEIVGLVQIESRALCEVVLDSIIGEHGVSLAAKQSIAARVSQLLKAESTSDVAPAAAAEPALVSA >KN540317.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540317.1:194:2647:1 gene:KN540317.1_FG001 transcript:KN540317.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLKGSLDQPRSEQPKHCSSQTQPTRGFGSSLDELINHSWSSQLRGWVWVRRGSTISESTLGFPARREEIGRFGDQARRIVKVQPRFVDSRSFAEVVRSRDMEKRWGGNPRFGNKRRQEERESERWSSTQMRKEEDLRAQLCREQERWREDPVRKMEEERPREDPQRRAAESWRRDADPATLKKGKWVADARGENPKDISSRLVDLSSRDAGFREGREGKEDELAKKCYKCGRGGHQQAVCTNPPLCYACKKSGHISTNCPDLLKEEGLKLCGHGMPGQVFHCLHIPISEDEVLRQPVVGLLTLESGFCSESKIAVELRHLFEQHQDWDWKVKKVDQKKFLVEFPSKDSRKELTRLKGFDFHNSNVRANVRETERTIDAFAELQEVWVRASSVPSIARTERTMLKLAHLIGDPIEVDTISLIREVVRVKVLCREPGKIFGTTEVFLNRIGYKISWNPEGIQQTTTLPGDPKNERDDYRKRKGDDDSQDNPSDDEEPDFKENSRKNQQIQPAKNKKQDSEPREEEYWDSDKDGEKVDIPDYIPNQSDESEQGESVMLLTEGEESGAQSTEKNGSQALIVYDPSQVVQTRSFSADKSAVMDSGEIESFFNVAKEGDDILLQSAGGENANIEVASQSEKNMVPLQDLMEADIRQLDSMTGGQGIQERDSSDGFTQSNKKKKKKEQGPAIATRQSSRIIRDGVPVAMKAQRRIKAKNDISGINKFSILNSVDKSTLVSIALDAGIDLGKTDEEIDVNISSIQAKEVAQSLLCMAKERMEKVDTLERLPEEVEIDGCVPPPAARNKELCAAGGDNTNSSS >AMDW01012799.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012799.1:12:98:-1 gene:AMDW01012799.1_FG001 transcript:AMDW01012799.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGKLKKEVRTCEYHDVHIMWEMLRKTDAP >AMDW01027631.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027631.1:237:320:1 gene:AMDW01027631.1_FG001 transcript:AMDW01027631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LNIVEGKMQYLFDERGRRYLDAFAGIAT >KN540317.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540317.1:45418:50397:1 gene:KN540317.1_FG002 transcript:KN540317.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMGAAEAVNGGCGGAGKMDRIQVLVRLRPLSEKEVARGEPAEWECINDSTIMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRHSRPPGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQNANGKSIGLDPIEGVSQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNAIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVPLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRRSSNGWRYGIPSFA >KN540317.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540317.1:27368:29119:-1 gene:KN540317.1_FG003 transcript:KN540317.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPLPQLPSAAAALAARKHSSSSQEKLFKAASGKNGWEAEGWSPKAISATSSVVNTSETETTRIPHFRY >KN541944.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541944.1:10388:11587:-1 gene:KN541944.1_FG001 transcript:KN541944.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLESGWVGDGAVLGGIRTVYNAVSILRSGREPETR >KN539519.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539519.1:93758:100107:1 gene:KN539519.1_FG001 transcript:KN539519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMASEPSVPKGTAFADLLLQGPEPPSLWNDLTSMFRKAFRWRGADKRFTLSVYVMSVLQGLFPILDWWKTYNLKFFRSDLMAGLTLASLSIPQSIGYATLAKLDPQYGLYTSVVPPLVYAVTGSSREIAIGPVAIVSLLLSSMIQKIVDPSVDPAFYRKMVFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNRTDVVSVTKAVWVSVHETLSLVRMLPKRAFAPLILIFELPLADKHGVKIIQKVNSGINASSVEQIDLKGGYAAECAKIALVCAVIALTDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDRHIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLCKTIIFE >KN539519.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539519.1:28214:28447:-1 gene:KN539519.1_FG002 transcript:KN539519.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALIGKYGQPEFQWMKTCHLYKRFCAQAGGGVACAIAASVNMVGVALISAFNLFRLYGNSNGGGKATTTTMAGGK >KN539519.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539519.1:62561:66277:-1 gene:KN539519.1_FG003 transcript:KN539519.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGEAIDADIASRTSSHRHMDGGHHHHHHGHKVEFPPKKKLIDEFTDAVKETFFADDPLRQYKDQPMSKKVLISLQNFFPVLEWGRHYTFRKFRGDLVSGLTIASLCIPQDIGYAKLAGLLPNYGLYSSFVPPLIYAMMGSSRDIAIGPVAVVSLLLGTLLQNEFDSKKNQEEYTRLAFTATFFAGVTQAALGFLRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIAKKNKKLFWVAAIAPLTSVIISTLFVYITRADKHGVVIVKYIKKGINPPSASLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAGLKDYKIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLIDYHTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTLYRNIDQYPEATLVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLFKALEKRKIQLILANPGPAVILKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKAVENV >KN539519.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539519.1:54109:57673:-1 gene:KN539519.1_FG004 transcript:KN539519.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGADNLDADMDNGAARQQQHDGYNVGAPPKKNLLAELAGTHVFPVFEWGSQYTLAKFKGDLIAGLTLASLVIPQDIGYAKLANLPPEIGLHSSFVPPLIYALMGTSRELAMGPVAVISLLLGTLLQEEIDPKKNPLDYRRLAFTATFFAGVTQAALGFCRLGFIIEFLSHAAIIGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGAMELADNINRSIIFGIPPGCQGKKNKKLFWVPAIAPLISVIISTLFVYITRADKHGVAIVKNVKKGINPPSASLIFFTGPYLLKGFKIGVVAGMISLTEAIAVGRTFAGLNDYQIDGNKEMLALGTMNVVGSMTSCYIATGGFARSAVNCMAGGKTPMSNIVMSTVVLLALLWITPLFKYTPNATISSIIISAVLGLFDFESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVVISLIKVLLHVTRPRTALLGNLPRTIIYRNVEQYPEATKVPGMLIVRVDSAIYFTNSNYVKERILRWLRDEEEHQKEQKLPKIEFLIVDLSPVNDIDTSGIHAFKELLRTLEKRQIQLIFANPGAAVIQKLWSAKFTELIGEDKICLIVGDAVKKFAPQLTENV >KN539519.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539519.1:50158:50574:1 gene:KN539519.1_FG005 transcript:KN539519.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGSEASPSIIHTSSIALLQERFRNLQKVKEMREAGNKELNRVRPADAHDRAAAAGSASASALGLGLHHAAVNGANEQPRWFLHPDLVRPPSRPLHHGSGVAQASPSTPATTSPWTTMQNSGYRGDVDVDTSLHL >KN539519.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539519.1:86514:90083:1 gene:KN539519.1_FG006 transcript:KN539519.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILATRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVQAGLNPSSASQLRLSGPYSVECAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIVQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARERVQSVVLDMSNVVNIDTSGISALEEIHKELASLSIQMAIAGPGWQAIQKMKLAGVVDQVGGDWIFLTVGEAVEACVTMQKGTALEC >KN539100.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539100.1:104415:106636:1 gene:KN539100.1_FG001 transcript:KN539100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQVVVTAQECNHIIFEGPTSVGKRSMVSALIRDAFASDNLKIEEQTKRFELKGEIEKHIDIRVKISCHHVEAVRHLCEVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKAKLYVIRGKIRKLIEHNVSPYFIFSNLVAELKRDRDEEFQNSIDQLASELNHVSMTTASSVTVVSIHDLIAVY >KN539100.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539100.1:72529:74504:-1 gene:KN539100.1_FG002 transcript:KN539100.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSFPNGLGLPGQALFAAQPTWIATGLSSAPCDRARQAYTFGLRTMVCLPLATGVLELGSTDVIFQTAAVGISVLPFFVSRKEGRKEIIGDMLVLVNY >KN539100.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539100.1:17002:17417:-1 gene:KN539100.1_FG003 transcript:KN539100.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDESPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPELHDPKKVVEADE >KN539100.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539100.1:141014:141787:1 gene:KN539100.1_FG004 transcript:KN539100.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEEEIDAESDDDCCEIDPDEFARKVQLKVSDEVILVAAKGQIKVDANQPEGFGNLLDLSNSDNNLHPHHEYAAGDRMDHPYEIDEDKTTLEKLDDGEDKYRVDDLQVDEGDRCRDEVIPVKISVKSEPEEHGAIGEEDAYDHLPEINGFSEQLFPDERRVFDEEDDDDVVVIGRDSL >KN539100.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539100.1:11347:12375:1 gene:KN539100.1_FG005 transcript:KN539100.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEPLPGDGQRRRYLIGYALAPKKQQSFIQPSLVSRAAGRGMDLVPVDPSRPLPEQGPFHLLIHKLYGEEWRGQLDAFSAAHPAVPVVDPPHAIDRLHNRISMLQVVSELDVPLHAHHHHTFGIPSQVVVYDAAALSDSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGAHVTCVKRRSLPDVSSDILQDASAEGSLSFSQVSNLPNERTAQEYYDDMRLEDAIMPPTAFINDIAAALRRALGLHLFNFDMIRDARAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKDDDTPNLNPNPNDEDVK >KN539100.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539100.1:142785:144870:-1 gene:KN539100.1_FG006 transcript:KN539100.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYCFDALVSHYSGDQPPPPAFEEGIHPLFVTWKKATNGSEPRLRGCIGTLEARQIVTGFKEYALTSALRDRRFPPIQSKELPYLECTVSILTEYETALNHLDWEVGKHGLIIEFTDPDYNMRRSATYLPEVAAHEGWTHLETIDSLMRKAGYNGTITDSLRKKLRVTRYQSTLYTMHYGEYAAYVKKNRGAAPEINGAPIINGFKPGH >KN539100.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539100.1:138803:140341:1 gene:KN539100.1_FG007 transcript:KN539100.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPGQKRRLDEDCCILSADPLSPDVVAASAIAAAAANDDVAVVAERGKVACRDYPHPRSACAKFPFGTTPHDDHCEQCFCYVCDVPAPCSSWKGEKGHCHASDKDKKWKVKRTARQKRTQVVK >KN539100.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539100.1:118936:120507:1 gene:KN539100.1_FG008 transcript:KN539100.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFYFGSRAHAARLVDFLATVAPVQTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTVPIEELIEDLSLGDDDEEDEVREGNTHADMVG >KN539100.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539100.1:56519:70688:1 gene:KN539100.1_FG009 transcript:KN539100.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAASAPPPAPDASSPSSPRASSASSSSSAAAEEPEYLARYFVVKHSWRGRYRRILCIASSGLVTLDPATLAVTNSYDASYGFDRAAPEGNATEFTLSLRTDARGKFKALRFSSPLRAGILTELHRLRPVHPVLDFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMTASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVARVHISHHTVDMEAASMHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPVNIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLTDTRGESHATYMHAKSVLFSQPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFYPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRGGKSMAVPEQGMPSNNNEGDFFGHTNVGPFGADVHQRHANQYPTAYTPSPGISIDPSQAVPHGFVPEAFYENNHQTGAPQLDSHAYLVDSNGNGDLANSAHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDASDSETLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKEGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALAVKVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEDACKILEISLDDLVLGENGSSKQSSELSSGNLTNNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLRAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSERAPLLIAASELIWLTQFESGRAEILKFGGLVEDIVHSTELEFVPSAVDAALQTAANISVSSELQSALLAAGFLWYVLPLLLQYDSTAEENATGEAHGVGARVQIAKNLHAVHATQALSRLCGLGGDGISPSNQSAFDALRALLTPKLADMLRNHPPKELLSNLNANLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESHCFAYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCIALLKFIAELVQKWNSLSLDENMMHQCGTAIETSITENGDISGSTNEGKEEDSLEKHNRGVTDGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRPCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASGQATSRLQAEPSDQENSVDSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPALPSAPANPSGRHSYQHS >KN539100.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539100.1:23786:32322:1 gene:KN539100.1_FG010 transcript:KN539100.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAAAAAAAASDETLAAIFAQLKPHTVALLDLIRSRTPASKSAAASSLRAMASFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKEANASGELDISDAIAEGGLACLEVLLTKCRLTSVNQMVALLKKLTFGAMLSPSEASEEFRQGIIRCFRAMILQLHPCLDRSCSCKQATALSTALSFTSLEVGTIVTPKYSAQPEECLLAFLQSQNASAAVGHWLSLLLQSSELEASRGHRGSADVRKESLITLRVLIGKVGSADALAFFLPGLVSRLGKVLYTSKNMISGAAGSALSIEQAVLGLTEALIVVLKDKENLSELDISSVENVALCSGGNSSSEHVLQMLRQLPAKTLSKQIGSGEATEDVTADGSKTSADRRELHVKRTKKWLEETANNVDKLLSATFPHLSIHSSEKVRRSVVNGIRVLLSSCSYTLRKSKMLLVECLCILACDDAASVSEAAQDSLDYLFIEGERVLTEDDVSDIFTRFVEKLPQMVLGSEETTAISHARRLLALTYYAGPQFLANYLHRSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVAELKSGAYPKDENYGFQHAMPASTATKISVIHDNGLPNTTHSSVDYELPHVPPWFVHVNSQKLYFALAGIVRLVGLSAVSGEETSASLSVFVDILLDQFRRLSTELRSGGQRWYMKSDAGQTLRQASSAVCMLNELIYGLSDRSLSICLQIFNKNSAQMIGAPGQNDQLTAFGQHNGGTNRNIWKISEQMGTKNHIIHCIGSILHEYMAPEVWDLPTEPDSELSLTELNIPLYFFRDTAALHQVIMHLQFASWSFKSSPFACSVLDCLVCSSSNFDLFYQVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEVAKASRHESVSLPDEVESFFMKVRSEGEAIQSLIAKRRDTCAMPERMDVDAQPDFMGLEYWEDLLCKLNEMRRYRRIVGSLVGSCVVASTPLLSSTKEAACLVALDIVENAIISIAKVEEAYKCESRCKAVIEETIQLLSVDELHDDMDAAEDVDENRLLPAVNKLWPYLVICLGNKISVSVVRKCTEVLSKVIQISGGDFFVRRFHTDGSVIWRLLTLSPFQRKRMALMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMLAEISSNKRSAIALGSVLKKVCGLVVGIAYSGLIGLREAAIRALTGIASIDSDLVWLLMADVYYSLNQRDIPLPPKQDLVELSDLLPPPMSSREYLFVLYGGEGVRCDIDPSSVREVFKSMQDTVLT >KN539100.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539100.1:97608:98894:1 gene:KN539100.1_FG011 transcript:KN539100.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEEEEAAVAHGEQWPRWLSPLLSASFFSQCKVHADSHRSGECNMFCLDCAADADAAANALCSLCLAHNHRDHHTIQIRRSSYHDVIRVSDIQRFMDIGGVQTYVINSARVVFLNERPQHKAGKGTVANICEVCSRSLLDNFRFCSLGCKVVGCSPDTATTRRKRLRHAHAGAMASTSDSDNSTSPAKRSFTPSTPPPPPTLPPKRRKGIPHRAPFGSLIVEY >KN539100.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539100.1:107719:108321:-1 gene:KN539100.1_FG012 transcript:KN539100.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRLLLLNHEPTTTPASSSSSSRQSFPTLLPVFILFVLLLCFLSIFLVRDLLHFLSLCLRRRRLLLLRHGEDHDSSSVSMQSSASPINAQAPRKPPGLDPAILASFPTLRFKASAAAAAPECAVSLSDFAAGDALRLLTVCRHAFHTPCIDSWLRAHTTCPVCRSDLDAAPAPAPRHEDPAAVAVDVECDRRGGAG >KN539100.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539100.1:124059:125942:1 gene:KN539100.1_FG013 transcript:KN539100.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLATVAAFNALLFTVRGQMEAVLRSEPGQPLTVKQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAEAAAASGVALPKGPIDVAKHVVREAGMKGLFKGLVPTMGREVPGNAVMFGVYEGTKQYLAGGQDTSNLGRGSLILSGGLAGAVFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMARSVPANAATFLAYEITRSALG >KN539100.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539100.1:127155:128644:1 gene:KN539100.1_FG014 transcript:KN539100.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVSQQVVAGAGAGVAVSFLACPTELIKCRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSIDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >KN539100.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539100.1:88322:91750:1 gene:KN539100.1_FG015 transcript:KN539100.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSAAAADKNTVFRKLRAKSDNKASVTYNVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKDVAKNSTEDGNNSWPSSPVAASQPTNQADAIPDLKLAEASKEVANEKTEPEVIRSPRAPTHSFKKPIVAKKPGNKTGGLGARKLTSKPNESLYEQKPEELAPALPPVTENSMVHSTQSFSANKQLATITCKFAVSYITLWLALVSFHISAKICILQIEESSEARQKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSGSSAISSADLFGHPTNSSNVDLSASDLINRLSFQASQDLSSIKNMAGETGKKLTSLASNIMSDLQDRIL >KN539100.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539100.1:33657:35894:-1 gene:KN539100.1_FG016 transcript:KN539100.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MAMAPPLFAAASHASLLLPSPTIHSSTGSRRPFRLSLRSSRRPPVAAAASGVPDEWGDRSPSAPEPPSQPDPPIDDDEWGRDDPSASGNSRPVPVTDEWGEPGVPEPQSTSAADPPTNDDEWGGDPAPPPPPPPAPEEDNEEERREELKRCLVDTVYGSDLGFRASSEVRGEVLELVTQLEAANPTPEPVQATHLLGGNWILIYTAYSELLPILAVGAAPLFKVDEISQEIDTNSMTIVNASTISSPFASFSFSATASFDVQSPSRIEKWNSVSNTNVKKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLPIPGNNRARSWLLTTYLDKDLRISRGDGGLFILVKEGSPLLDQL >KN539100.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539100.1:102592:102828:-1 gene:KN539100.1_FG017 transcript:KN539100.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPMPPLMLEGSHVEGSGMEVEFQAQGERTTATATMSTTMRVLLEGTLMVTQSAPNDVSRLGLAKLLPPHGASTCST >KN539100.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539100.1:145445:148784:-1 gene:KN539100.1_FG018 transcript:KN539100.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding VLPRALLGGGFQDADAAESDDDDEEDAHGVLRPLDADDVNLDLHAPPSGPERWDVLGLGQAMVDFSGMVDDEFLHRLGIQKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALSRLGSSRSTNYPELRIAMAGSVGSDPLGSFYRAKLSRANLHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLASLVSKSNVVIVEGYLFELPHTIEAIKQACEDAHKNGSLIAVTASDVSCIKRCYNDFWDIVTNYADILFANANEARAFCELSSTDSPMSAARYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCVPVDTCGAGDAYASGILYGILRGSSDLKSIGLLASRVAAIVVAQQEVELAKKCQHQACIFGESDRETLIVLSYIHVNVGKRRKQAMEEEEEEEDNEMVKTQPPLQIKVNTNHFEFTRYL >KN539100.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539100.1:43124:47559:1 gene:KN539100.1_FG019 transcript:KN539100.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSSADALFASPLIPNPALAGFMSSSAAMPFHHFSNAAATLIPKEEGLMGGLHVAKDEEMDLEMDMELSGGSGSAHLDGLLSFADVDDDHKPQHSGHDQPPDAAQPSGAAGGNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENENLKSDNFRLQAAIRNVVCPNCGHAAVLADMSYEEQQLRIENARLKDEAPVMGCGDLIPPPVVPQQMAADGSAAYMGAMMAPVQEQDKQLVVDLAATAANKWMDLFPSIVCKARTIQIINHGAASGHLGSGTLLLLFGDGWGLLKCACAWWYMQMQAEVQFLSPLVAAREVVFFRYCVHNADEGSWAIVDFPAEGFEEGLLQASVVRCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLQPVFRDYVASGAAFGATRWLSILQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGTQSWTALSDSTQDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFTHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATSPSPAAAPTISSSTTTTTTTGNGNGETSSTPPRNSSSNNNNADELLPPNGCLLTVGMQVLASAIPSAKLNLSSVTAINSHVCNAIHQITAALKGSAGGAGGEPASDQ >KN540881.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540881.1:35640:37971:1 gene:KN540881.1_FG001 transcript:KN540881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTPEGLPVSTEGGDQASYVKSSTPTTSSFDSELQKGGNRLDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLERSNEGQNDASGTPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINNAQVHTPQTLLPQYNVYPQCHGVSMMPPFQYNPAGMSIQSNQLPTQNMWPQASSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDISEDEDDDPSSREVEMVSSPE >AMDW01037059.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037059.1:145:348:1 gene:AMDW01037059.1_FG001 transcript:AMDW01037059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARE >KN540881.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540881.1:52:1607:-1 gene:KN540881.1_FG002 transcript:KN540881.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGRRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQFPSWKFSQVLGELPLAAAGEGHDNGTPQDDGDTISAIEFDGGGEHLAAGDHAGRVILFRRIDDESHEQQPPPSRAELERTDYAAAAAPAYAYMAEFQSHEQEVSEHRSRKGNGQSPRRRSTPTPASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYSAKCRRVFARAHVYNINSISNNCDGETFVSADDLRINLWHLEVTDQCFNIVDMKPADMEDL >KN540881.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540881.1:26101:27931:1 gene:KN540881.1_FG003 transcript:KN540881.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRLSSLGILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDVTASSASSTSAETSENKK >KN543100.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543100.1:147:461:1 gene:KN543100.1_FG001 transcript:KN543100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYCPSAPIYTKRGQLDKLSSVCVHKHLRTVRMTGFDSTRGQLELAFQILRSAPNLDRLIVDPMVRVAWSPRLDWSEQADLMLVRRMIAENRLLRSEYRHMITLL >KN540881.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540881.1:21961:22560:-1 gene:KN540881.1_FG004 transcript:KN540881.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPANAKDSVAKALRYRDQLC >KN540881.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540881.1:2538:10634:-1 gene:KN540881.1_FG005 transcript:KN540881.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHDVQITVNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHAEAVKCIDPQLRLAKRAGKKKKEYKISLISDKSYEKIRTLSEAPIEEVFTDSTYGKFERGEALENITQSVKAKLEEECDEDSLKFLHKAVDTVRKQVIRKRIIEKGLRVDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPEGEFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDQTTGDISSYRILTDILGLEDHLGDMDFKIAGTRRGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISSARAFNDGISPRLATLSFSSDSLRKLLFHRKKIEQETGSKFTRLCENGVPLELSFNSYLPYSQGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGVVSSIKEYGAFVEFNGGQQGLLHISELSHEKVSKVSDVVSVGQVLSLTCIGQDLRGNIKLSLKATLPHAHEKKDLASKHTDPLPSQEVVGWTAVENMPSKDADAEPSISKDEDNMIEETPGCSTPAVIIRSAAECDAQDVTNDPKKKRAKVAKSSPKQSKPASERQEVKRASAKKTSGASTAKKNKKEKADSSNDVLDAIPEQNKSNITNYSSPSNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFAENGHKDFEVGEELLVKCSSFNAKGIPVFSLLD >KN540881.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540881.1:32116:33810:1 gene:KN540881.1_FG006 transcript:KN540881.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQSSSSSSRPSDSEQLEEPSKPVMALDKAKEIVASSPVVVFSKTYCPFCARVKRLLAELAASYKVVELDVESDGSELQSALADWTGQRTVPCVFIKGKHIGGCDDTMAMHKGGNLVPLLTEAGAIATPSL >KN540415.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540415.1:17487:19237:-1 gene:KN540415.1_FG001 transcript:KN540415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLGESLACTGGRQEAICGPEASKLAIAVPTISSSSCSYFYRYRCGRYEELKPPSSPSPMPPSAASSASVSASPDTPAAAAASSAALDSSANGTPITGDQLNQQQRPLSPYTRYEELKPPSSPTPSTPKL >KN540415.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540415.1:37564:43537:1 gene:KN540415.1_FG002 transcript:KN540415.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSTPPSPGPASGSAMDSFIHRGAGWHLPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKFEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAAISNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYEAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQHAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVASVIARAKASEFAQKQEMKMWEELEALLTATKKQHEDLVENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKTEKLELVHTDQVESSTVCADDLYNFRELTLSDIKAATCKFSDSLKVQPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDHLWSKCGVPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRKSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQVPSFFLCPILKARTKPKDINRAEARSDA >KN540415.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540415.1:26614:29787:-1 gene:KN540415.1_FG003 transcript:KN540415.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAKATISLSPSYAGCCMAACPYRSTRHLRRGGGCSARSISSLRHAPSARVYAAAAAAATPEPKSTKENDLVFIAGATGKVGSRAVRELIKLGFRVRAGVRSAQRASSLVQSVEQLKLDDDATSPAERLEIVECDLEKQAQSDIVSAIGNAAIVVCSIGASEKDILDVTGPYRIDYMATNNLVQAATAAKVEHFILVTSLGTNRIGFPAFLLNLFWGVLCWKRRAEEALIGSGLPYTIVRPGGMERPTDAFKETHNLVVAVEDTYVGGLVSNLQVAELIACIASNRRTAYCKVVEAIAETTAPLLPTEDQLANIPSKRQPPPEPEVVQQGETPPKPIQQSQRPLSPYTA >KN540899.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540899.1:8186:12065:-1 gene:KN540899.1_FG001 transcript:KN540899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVTEGDLRELVGNLGVAAREPEREGWQQVVAKGNDDVSYRVWCDKPMEGPPRYLSVTTYERCSTELLRDFYMDNEYRMEWDNTVIKHEQLHFDENSGIEIGRTIKKFPLLTPREYILAWRVWEGNDKSFYCLVKECEHPVAPRQRKFVRVQLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFAKGIWNYICKMNSALRRYPQHHISSISILTMQRLTKKFPQALETDVDANHHPQGNTGENVVPSHFARTSSRQQPGKKSSRATIASGLLLIGSIVCLSRGRSNLGAQLAMAFFLKKAFKQDKGSSSQRSISRADVTEPRHLE >KN539468.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539468.1:61084:67881:-1 gene:KN539468.1_FG001 transcript:KN539468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGGGGGAPPRGRNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGTLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMIIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVILIGLFALQHYGTRRVGFLFAPILISWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHSEDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCATTCLMFLVITTVWNRWVVWAAAFTVVFGSVELLYLSACLAKVPHGGWLPLLLSLTTLLVMSTWHYGTAMKQQHEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLAVPKVSPEERWDHFNFENQLLMKVVEFLRHQDGGGGGGGDRMSAAASGEDEAMSVIPAMSSSGGSNQHAFDAGTTTSSCEIDATAGRKKVRFDDGGGGEEEEAAEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >KN540899.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540899.1:39215:39499:-1 gene:KN540899.1_FG002 transcript:KN540899.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EFRPERFLEKESGVDATVAGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVVAFHPISA >KN540899.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540899.1:21299:23596:-1 gene:KN540899.1_FG003 transcript:KN540899.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLYARLAASLTGPGPRRLAALLPAMALLPVLPLALPYYSYRGFSAFVFVWLGEFKLLLLAFGRGPLHPALRPLPFVFTAALPVKLVDAAAAGASTSRPPPAAAILKFVVSSAIKVGAMAAIVRVLHATEEMHRYAAFSLDAVFMYCFLDVVLPALGAAGVALGMEMEPQFDRPYLSASLRDFWGRRWNLVASAVLRAAVYDPVRARSGAPAAGVLAAFLFFHEVARMQQSQIDFW >KN540899.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540899.1:17333:20538:1 gene:KN540899.1_FG004 transcript:KN540899.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRRSKNRKVVRFYATCFGFREPYRVLVDGTFVHHLLSHSLLPADDALQSLLSASRLPPLFTSKCVLAELRRLGKSHADAFDAAALLATAKCEHDKVVSAVDCVLSLIGEKNPEHFFVATQDSDLRAKLREVPGVPVIYGLKSSLFIEQPSVQQRKFAQLDEEKRLHMGKSEYQKLLKVPSDGKAAASENASDDEKNRRPISSLVENALGVANKSKFKKKRPKGPNPLSCKKKKPKPQLSAAQNQINMSIISIYYVAGKACLEELFDVDATQGLMRTCILQGPKADGEAKRKRVRKRKRSHKDSKQTETMS >KN539468.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539468.1:10293:19905:-1 gene:KN539468.1_FG002 transcript:KN539468.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWYLSTNDVPKGKMLHGNVLELIVVGRPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSWVEIRSVLNGKQGKQLLMVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERWSDIVLDAAHYWRPRPTSYAGAVWEESNFLDDLKDGERKALAELRAKVEEAIVDGKLFDDGKVEAKKEKDAEKKAVEEEAAGEKKDAEEKKEEEPVTEEKKEEEQCEEEEEPKKEEADEGEEEEKPAEEEEAAAVVDKDIALWGVPLLPSKGDDATDVVLLKFLRARDFKAGAAFDMLRKTLHWRREWKGFAAGTDDDDDGEALPAELADACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKARFLRWRVRAMESHVAKLDLRPGGVASLLQVTDLKNSPGPAKKDLRVAMKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFMTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDDDTEFSAEDSEVTELVVKASSTETIEIEATEGDTTLTWDLTVLGWEVNYKEEFVPSEEGSYTVIVRKGKKMGSSEEAVRNSFRAGEPGKVVLTVENLTHRKKKVLFRHKAKSACAKKC >AMDW01068119.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068119.1:26:4376:-1 gene:AMDW01068119.1_FG001 transcript:AMDW01068119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEEKKKRKGDSKSQMEEEKSAISDSKRSRGRKKKSTKSSAEEQIEIENLGKNKDLEEQKMKRYKKAAIEGVKEKGKEGKSSKEKEGKNTGVIPRNKECKSSKGIEGKKTGNKDNGQAGRSISMDTNIIGLIQREKESKSSKEIVKKSSGKEGVIQKEKQSKSSMEIEEKESGVIQKEKQSKSSMEIEEKESGVIQKETLSKSGNEIGDVRNIDLME >KN539468.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539468.1:69001:72980:1 gene:KN539468.1_FG003 transcript:KN539468.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYAYGMIKEMFFCVNEKVARLMIKIENLLMECSSLLGFISEFLIHLFVRSHYLTALEGSGCHMSTELISSHAWLMMHDMFRYVQLEKLISGVEEMSKH >KN539468.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539468.1:99839:100744:-1 gene:KN539468.1_FG004 transcript:KN539468.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDVYNFPYLKKMEVSAAIVRVSNYQDEATKAGLNDIQAGWVIDPTTYGDSKTHFFVSWTVLIWMHDIQADYYNKTGCFNLDCDGFVPVNGAPVTPGDTLEQANNQTKISFKIFKDKNDGDWWL >KN539468.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539468.1:7123:9383:1 gene:KN539468.1_FG005 transcript:KN539468.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEDYEEEEEDEEVAA >KN545618.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545618.1:92:1453:-1 gene:KN545618.1_FG001 transcript:KN545618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFEMMDIIVDVENCLQAYVGFASDINAIVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTMRDGVVSGIQKTRKLFGDVPIMVTGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASFFKKYLPHAIRVTHGHDIVPHLPPYFSFFPQKTYHHFPRE >KN539468.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539468.1:78513:81208:-1 gene:KN539468.1_FG006 transcript:KN539468.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANYNQHVLQAPVMHSLLEMDYSYDGNGSENVIAFILPFLMVAAAVGVVGYLLYHLWGAWWQQRISLTRTMTSQFSPEATGTSNDRDCKICLQEYEVGDTVASLLYGHRFHRKCVAKWFFKRTSSCPLCRVAV >KN539468.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539468.1:3374:3589:1 gene:KN539468.1_FG007 transcript:KN539468.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPVLSGSPVVTPAGAPSGTELIAFAGRDDVDAEDGAGDGDDVSLGPAPSSAGVISLDSERAAADDVLLP >KN539468.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539468.1:39187:39570:-1 gene:KN539468.1_FG008 transcript:KN539468.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKLGAGVACAAAAPAVEGTTTPPSGAFLGRAPTKGGCDGVRWSSGGQLCDGASMLVWRCGTVVPRLWSGGVMVRCRWSVARMKEVQRCGGTRTRGRWSGGGPSLDRSEERRHRARMRSGRKAQSE >KN539468.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539468.1:103299:104019:-1 gene:KN539468.1_FG009 transcript:KN539468.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDCDQGTEQKNKGPSYVLKDENTQRGGNNCRSYDLVFDEDGENYTDQQDPGSTDRRKIQRTDDRKSGLPPRGDHDRISRERTHSDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSERHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >KN539468.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539468.1:42309:43607:1 gene:KN539468.1_FG010 transcript:KN539468.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWHYGRMEATALASLEMPRDMFAGGSETTSTILEWAMSELVKNPQVMQKAQAEIRLALQGRSRITEDDLINLSYPKNIIKETLRLHPVAPLLMPKECQESCKILGYDIPKGSIMLVNVWAIGRDHRYWDDAEVFLPERFEGITVDFGDTHYEFIPFGGGRRICPGITFAHATLELALTALLYHFDWHLPPSVTPDGLDMEEEFGMNVRRKRDLHLHPVIHVGVEKVIMS >AMDW01039808.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039808.1:106:834:-1 gene:AMDW01039808.1_FG001 transcript:AMDW01039808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DIVLRVMSKLTLKEVARLSVVSTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDLNCRISSANKFIKRVDAILEKHCGTMVNKFAVKFGLSNEHANHVNGWVAFAIASKARVIILDFSPDWKSHENNYDFPCHIFDKHNGSYLEALRLDSVTLNPPLDFCGFANLKLLALDNVRLQHLEQLISKCLVLEWLSIQSCNQLHNLHVSEPLCRLQYLSIQGCHLQRMELHAPNLTTFEYDGSLAL >AMDW01038445.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038445.1:191:654:-1 gene:AMDW01038445.1_FG001 transcript:AMDW01038445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGRPWVIVLFSLPLLLVFLLSADRRVLIASESQVLRLIKGRGNYKSEIGIKVFLH >KN541168.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541168.1:17125:19416:1 gene:KN541168.1_FG001 transcript:KN541168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWNLLSTRVVVIISSVAHLALILCAEVRRNSVTGLRILILWVANQVSRWAPTTALGMLAVGRTPQEEQLVTLWVAFMLLHAGMPDNITAYALEDGVLSFRQSVNVVLQLVGPVSPGYILYRNMFVSSGDTMLWVSSIICCMAICKYLERAFFALHRGNLEKMRSSSKKEKEGPSRRVTSLQSLRRGGKPDNEQILLVAHGNLHITKGAFIDNLQYEHDTEEQEILPKTWDENKTLYKVVEMELSLMYDILYTKAAMVHTWGGHAIRVAFPFAGATAFLLFWFHSKEGKWRLLRQIIVSLNLCRFLLNKEPTSYRMWSGTMGQYNLLHECTSNDRDQTKTFLLRRIFTSLVKIVPLPEDNWMEYQYHSLKGFRMSSDVSKHLFESIWESLKLAYPPTVPIEKADKAKTAVHLAPPRPAEAAAAPAPAPAPKKAHIHKRELEDALNFSPAFQESILIWHIATDVFLLCSHQYSSSSKEVQAIKEGYHGDLIEKKEKLANRLVELEQTPSSNNAPSSKWRPGVSGHWRRPEKLGPNPCTPQDIDLRYTRYERFIQMIPELKHNNIGLDMSEMLDHIFKAWVRLLVYASVRCTRDSHAKQLACGGELTTIIWILNEHAGIFRIDSDKDDDKDRLTSLYTNEDHLYL >KN541168.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541168.1:32417:32821:1 gene:KN541168.1_FG002 transcript:KN541168.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHTKLQKLDECAQVLHAVETGVEQVNDKLGEALVMLDPTVEDVIEAGVVLAFVVYQPSSVFVLSTHSPRPPLLRYTHVESVVPWQVVDVPLTSSASPVACATADIVTSHTDRCLLSC >KN541168.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541168.1:25558:27840:-1 gene:KN541168.1_FG003 transcript:KN541168.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWITTLLLIPRFFWSIRGMVTFSFIAHLALVLLAGVRRYQATGVCTAILWIANQFARWAPISALGMLSVGSTPQKDQLVMLWVAFMLLHAGMPDNITAYSLEDTVLSKRQKADVIYQLVGSASPVPILIKNMLFGFSNGGDVMLWVSSVVFFMAIGKYWEGAYQALKRGNLENMRSSRKKNNKSPRSTRNSLQIARRGGREPNDEQILLAAHGMLYITKDAFIDYLDQDNDDGDEQAEALSDTWDEKLYRVVNMELSLMYDLIYTKAAMVHSWRGYALRFASPFTGATAFVLFWFHSKEGQARADVMITYVLLAGMVILDIKWLLRAVASTWFYSFLNERPRSWLHHALLCSGKWRLIRRLIVSDLNLFRFLHNNKKPTRYRMWSQTIGQFNLLKECTSEEEEETTINYLMSLLKWSVSEDIWIEDKYHRLRGKRISRHVNEQLFRRIWKNMKLAFPEREPHVKEEEPMAAAHPPQYYLPPPPPPQDPHQEINIALDFTPDLQETILTLHIATNIFYSSVESHKIEGSEWVEATKLLSDYMMFLVAVRPTMLPGLALSSRYEAILKALGDKWKDNKNPSLRSSNARQKRLAEILLEDEGKPGGAPLRAFGWSGGEKTEILSPGSYLSVLYNANYILSEGAQLADLLLHWENRAEYWEQLDETITQKFKRQFPDLMEPEKRIQEMEMPKDVTDAIFREWVRQLINVSIRCPRDNHAKQLGRGGELTTVVWILAEHARILRVKRWTEKKPANHDAHIHVL >KN539509.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539509.1:91238:94918:1 gene:KN539509.1_FG001 transcript:KN539509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVPVHRWPHRGLLRCHAMPLRDPVWAPRHTEAELLELLRALQQLEFTVDDIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGAQQRPSAAAHGPWTPQKMHLEPKISEMQPRRQQDTSVAQRRPKPTMANVFVVANLQRFAENLGYLFFIKRDIFLASFSARQAYPGGLSLLTQYIKVKPVKCSFQK >KN539509.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539509.1:57324:62105:-1 gene:KN539509.1_FG002 transcript:KN539509.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNATSPPIPLTAPSPSKPLVLPSSYPTASSILPAALDTALAVLYHQLLPFPFPLPPPSIPPTVLATTLVVLPHQILPFPPPSLPSSILSAAHDATPAPSLCQTRLIIPPRCSTLAPPQHLPFHSPHPRMLPPPHWHLATAAYLYPLVMPWPSPPTKAMYIRVKRNKTTYFIQCDPTETTLSIKQKLHSLVDQPPDNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKDWLSQFLWDAPALERDFAFYPLTFGF >KN539509.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539509.1:45285:48409:1 gene:KN539509.1_FG003 transcript:KN539509.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 17 [Source:Projected from Arabidopsis thaliana (AT3G04970) UniProtKB/Swiss-Prot;Acc:Q3EBC2] MEIFYVAIIGVTYFIIVQTSFQYIPGYYVSGLHRYLSIVAVAIGALLFVLTSFSDPGTVTAENVSQYLSAYPYDGIIFEEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLLCLYGAVILGFILAGELKERKVVYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLAVFLAIIALLLGGFCAYHTHLCLTNTTTNETFKWQDYIMWRKKVNEEKAAANGEVRKSPPSKWKAFFSRSHTEADETIVKNNIYDRGMIRNMCEVFVPLSERQSFFRKKSD >KN539509.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539509.1:8567:19593:1 gene:KN539509.1_FG004 transcript:KN539509.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVSKNIGRLFSWLQVLSTLACVALSVMRLWRHDFGDQPNKRPALLLFYTLALIEALVFLLEQAYRAWMFSGQNLIKTVNGDCKLGDYGPDSLKRFFFDAYSRCITGSIFDGTKMDLVTFAEELILSEFPDEQLIGVRILQRFTSTLDTVRKVGTSARSIERLMEMINWKSREEEEVRWCAAEVLSKLADKRRNALRVSGIPGAIESVMSLLYTDESAPASAAPHDVSPAARSYDHQQFKLLGLLILKRLARDHDNCGKIGNTRGLLSKIIELTDASPELLHNTWAPESPVRIVRRALKVVKILVSATSSTGKMLRQEVADNVFTVSNLRGILQHGQRHSALQRLATDILSGLARDDKGKQVIVGTGGVVKLLLSIFLNGEKELGAEAGEALAMLALESQASCAAILKQDDVLDHLMSALEGEGGARRLNAARVLRNLCAYAGEKHRRRLSTVTKAMPMVLKATMTGRDRILEVSIGLTVQICKFIDGVRFAGELRGAGIDERSYVERLASGSTGTRTSRCPGCGGSRRPSNCNHHKSSTAASGGDDAAAAKSETRLIAARAAESHQWKPPVAQAEQAAAAEARGLDVLPTTISRFRAGGDEQTAKLLEDVIYPEEITHCAAGVRWFRYLCLRSRNGDPIASSIPQAITQCSELPRDGTSDIHKVEEVEGDGPKAELAQASNGDDKTVQQVEDELAKCKLIDIGDDVEAAVIRTFHSVVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEAFLEEKERGGGFMEGEEDVYKLMRLVRTLVAKERARAAWRVYKAEVRIGGCEVHEYIYRVMARGMKWLGFEAEATKVEADFREWEARILPPAKDVLDEMRRHEVERRKKQPPPPKQEKAKRVVRWKCAAGCGACCKLDKGPDFPSPEEIFAEHPEDLKLYKSMIGADGWCINYDKSTRTCNIYEERPVFCRVEPKVFEEYFGVPSRPSTFDREACSACVDTIKMVYGEESAELTNFKRVIREESKFRRHQWPIM >KN539509.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539509.1:37006:41006:1 gene:KN539509.1_FG005 transcript:KN539509.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MAGRLRRPGSSSATSRSPPVLYVFMFVAVFRIKNRAGFNNSSVRPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQELNHQVIGGREISIVFAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSRSPRSRYHSYSPSPSPARRDYRLNVPRNRDHRDDYSPGESLSPHGQDKRHHRSNGRSASPDELERHARQAFEVWSSWIKSWKLELGSMQITPP >KN539509.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539509.1:71875:76580:-1 gene:KN539509.1_FG006 transcript:KN539509.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVTLDYGGKVQTRDYGGKAQTETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEMPSLKETVTKETADMLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVTGKTKAELEDSISMVDILAVQLSKREAELLQQKAEVTELAKSLKLASEDAKKIVDEERASAHTEIESARSSVQRVQQALQEHEKMSKTTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIKILRKTFAERSTDCVNLLKELELHKRPEGNDIPLFDLEGLQCLGSILLLINYCKYGKPQPGATRSVYAPEPHDVGRYLEAEINYSGEIAIAKTAGPIDPDAGLVDYVETLVRKRETEFNVVVLQLNGIDQPKESVHVLNVGKLRMRLSKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLVFETTRERNTAIMLTRRFAIDCNIILAGPGDKTPW >KN539509.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539509.1:20120:31362:-1 gene:KN539509.1_FG007 transcript:KN539509.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAAEDVESAVVAGAAGGGGEVTAPLLLRQHKQRRGDEEEEKIQDDAGGDGGGRRGGGGGSMWMLMLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISQLSHIFRLSQTMRISATICIFGWLSLHLAKGVNMLYFGRILLGFSTGILSYVLLICSGSSATYIIGALVAWRNLVLVGIVPCVLLLTGLLFIPESPRWLANVGREKEFHASLQMLRGEDADVSEEAIEIKVNFCPNCLYMLEFNVNDFAQTDHFLQEYIESLHRFPKARVQDLFLSKNIYAVIVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFLGCFLTGISFYLKAQGLFSEWVPELALTGILVYIGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLITILFVVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLANTGRVKEFNASLQKLRGENADISEEAAGIREYIESLRSLPEARVQDLFQKKNLFAVIVGVGLMVFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIFQVGFMSFLSMKVSASGTFLGCFLTGLSFYFKAQGVYAQLVPTLALYGISVYYAAYSVGMGPVPWVIMSEVGKRNTVKLILYSYYAPVFLEIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARTIMAREHNNMTIASRHDLER >KN539509.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539509.1:49336:52778:-1 gene:KN539509.1_FG008 transcript:KN539509.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRSSPVLGPRELSSRFGVVTEFSFGNPSVYALKSPKGPLFPLRSILVFLIALFGFYVCYFSFNQIDLENKENLISGEEQIRTLCGRHTIPNELMQYVHFPKPTSYNRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSIRERREDISSILRTLDKLYNLDWHTSAAKNECTAAFGLKWMLNQGIMEHYHDIVNYLNKKGVMVIFLFRRNTLRRIISVLANDYDRKTKQLNGTHKAHVHSKEEADILARFKPKLDVPTLIPNIRSAEQSITTCLDHFSSTRHMILYYEDVIRDQNALSRVQEFLGVPAMRLSSRHVKIHTSPLPDLVDNWEEVSEKLNGTEYARFVDGADYDK >AMDW01007918.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007918.1:83:211:1 gene:AMDW01007918.1_FG001 transcript:AMDW01007918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDDTNSIMFSPPSSSKKARVAAAGDHGDDMVSSFSNIDSQ >KN541801.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541801.1:6575:6952:-1 gene:KN541801.1_FG001 transcript:KN541801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNEPADEGDEQAVPDLNEPVADEGDEHDVPDLNEPVAAEVEMHVGQEEDQLGGDVQGGANHNHPDTMCIDGTAMFLEEIFDKFEKSVRS >KN541801.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541801.1:23018:23224:1 gene:KN541801.1_FG002 transcript:KN541801.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDKRINGGVQGFAASSSSKKEKGIRILDAPAPPEIPTRLAGFSFGVGDGEEEEEVVAPSSALARAR >KN541801.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541801.1:17936:18229:-1 gene:KN541801.1_FG003 transcript:KN541801.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTPASAPTMQGDKGNKTLEVKKSSSFSFAAAATSSYPFSFLLLGQYISWVDNGAGRIQVGQDWGAGMVAIEERVLERRWERKMERGGGGRLERG >KN541801.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541801.1:8770:12047:1 gene:KN541801.1_FG004 transcript:KN541801.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILRLQQEKSEAMMEARQFRRYAEERFSHDAAEVAALRDAVEQRDATVRSLSAQLRACRFRLLHLGFNSPLPSLTSSAAEAHQYNDDDDDEHHPFDEDYPPINCDEQPASSDVGTPRTHHLLNRMPGRASAADKGVISSSSTNLFPDDSGIAMDDEFPLVVDREASDQEDDCHRVYTVDAVHVVPVAEPENPCYFGTPIGGEMSHRGTIGTRAEEEEIQKLSARLQALEADRESMRHAIMSMGSEKQQVVLLKEIAQKLCKEAAPLQVIPFKVRSPPQPVVMAQRKVVKRQSFFAKFSVVAVIKIIAYFIFILIIIAIGARISYRNSMVPNRFVWEQCWPDAATEQVPQAEAQKACLDLDIQYAGSN >KN539567.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539567.1:84113:86222:-1 gene:KN539567.1_FG001 transcript:KN539567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAEEAALGWIDDRGACFFPAPEGGRKRKRERDETGSEVKGEDRRRRQPAAEEEDGDEASSGVEERSGESRPEADEPDRKKARGTLWGKAVRLDEADKFYKVVEKLFVSRMAPVAAARGVAITAVHKVAQGPRARAFHLQGQLLAAARGVGGGSNAKFAWYGAPAADVAAAVEHGFGRTNGQLLGGRAHGDGVHLSPPQYPHASAMLTKPDENGEAHIVLCRILMGRPEAVPAGSPQFHPSSDQYDSAVDNLESPRWYVVWSTDMNTRILPEYVVSFRWPNLPQIEGSSELGSKLKKPSPGATRDMFPMLLTEIQRFVPSPKLQTLQRTYNCFKRGQMKKDQFIRFLRSHIGDNVLTTVAKKLRGY >KN539567.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539567.1:17880:22179:1 gene:KN539567.1_FG002 transcript:KN539567.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSSSSEPGSPDLRWHTRSESTPYWPWPDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGVRLISSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPME >KN539567.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539567.1:71894:72936:-1 gene:KN539567.1_FG003 transcript:KN539567.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEQAKRQWVTVAGDANRRHVVEDHAIAAVAEEEWMNKGVLAANEVAAAEAEAEDVEQREGSSHGGRGHQFIGQWGDIVITLKDGTKVELRSVPRFCKIAYYCRSMDSAEEARN >KN539567.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539567.1:29270:31660:-1 gene:KN539567.1_FG004 transcript:KN539567.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAKRALLPWARDAHHALARALKGCGGGGGLGLRGALPTAGGRWSLLQCRWRSSLPQLDSADRSDEESGGEIDWDNLGFGLTPTDYMYVMRCSLEDGVFSRGELSRYGNIELSPSSGVINYGQGLFEGLKAYRAANQQGSYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPRGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDSIHRAMPGGTGGVKTITNYAPVLKAQMDAKSRGFTDVLYLDAVHKTYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSVIELARDRGYQVEERLVSIDDLVGADEVFCTGTAVVVAPVSSVTYHGQRYEFRTGHDTLSQTLHTTLTSIQMGLAEDKKGWTVAID >KN539567.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539567.1:24601:26699:1 gene:KN539567.1_FG005 transcript:KN539567.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPDRIVGELLQPGGYLKLMELGLEDCVEEIDAQRVLGYALLKDGRNTKLSYPLEKFHSDVAGRSFHNGRFIQKMRQKAASLPNVHLEQGTVTSLLEEGGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRVLCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILCYPISSTEIRCLVDIPGQKVPSMATGEMAKYLKTVVAPQIPPELHDSFIAAIDKGSIRTMPNRSMPAAPLPTPGALLMGDAFNMRHPLTGGGMTVAFSDIVVLRNLLKPLGNLHDAPSLCKYLESFYTLRKPVASTINTLAGALYKVFCASTDQAKNEMREACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPVPSPKRMWIGARLVSGACGIIFPIIKAEGVRQMFFPVTVPAYYRAPPPME >AMDW01062828.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062828.1:3942:6197:1 gene:AMDW01062828.1_FG001 transcript:AMDW01062828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYQEFIHTKCQSFRSIGRYVLHSIVLIYRFVSLHVHPFWIQLSYFLLISILGSVLLMFLKPSSPEFKPGYIDMLFLSTSAMTVSGLSTVEMEVLSSSQIVVLTLLMLVGGEVFVSFLGLMLRLKHKHNPEFSGDRIEAAVPDVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVVGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANGGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRILIWFLGKVTKLKDLKLMIKNSDELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMSVNARHSGENSMDCSLIAPVVLVLFIIFMLVLDTSSSYGSWHPTNTVNFRYLPPSTTFALSNGDEKTANKKEKRKLGLVVRNLAFSQLACNAVFVIVALITETSRLRNDPLNFSALNMIFEVISPSLIDDDDDDGEMTMISSDVELMKLEIDWHDFDELKDVQ >KN539567.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539567.1:74874:79635:-1 gene:KN539567.1_FG006 transcript:KN539567.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGEVSFDEDEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPKLLLLASPEDFCENRKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >AMDW01028325.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028325.1:47:230:1 gene:AMDW01028325.1_FG001 transcript:AMDW01028325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQ >AMDW01020218.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020218.1:3:200:1 gene:AMDW01020218.1_FG001 transcript:AMDW01020218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIEGVFERRAEVADPPVANVDRLVLLFALDQPQPEPATLTRFLVDAESTGIPFVLVFNKVELVDEQ >AMDW01039601.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039601.1:569:1027:1 gene:AMDW01039601.1_FG001 transcript:AMDW01039601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYYIIKGSLTYCSSDSQSQILRNHMENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGMETGSDRQDSMNGLSSRFLLASSHAPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYE >KN538719.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538719.1:237250:240690:-1 gene:KN538719.1_FG037 transcript:KN538719.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G75330) UniProtKB/Swiss-Prot;Acc:O50039] MDRAKQIPKDFLHIDDFDKDTIMKILNRAIEVKAMIKSGDRSFQPFKGKSMAMIFAKPSIRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAPVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLRLAALFPLHFVCACPKGFEPDAKTVEIARSAGSKIEITDDPMEAVKGADVVYTDVWASMGQKEEAEYRKKVFQGFTVDEAMMEMAGPNAYLMHCLPAERGIEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >KN538719.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538719.1:197246:199201:-1 gene:KN538719.1_FG038 transcript:KN538719.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding METVEEEVEEYSWREVLLPRLVPVVSDAAPELERETGERRRGRDLLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEAFKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQRYQTLILQSSSNCVKFR >KN538719.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538719.1:284508:286302:-1 gene:KN538719.1_FG040 transcript:KN538719.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPYVGETFQLYSSKNPNVFFNKKRNKYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRIVSRAFSPESIRASVPAIEAIALRSLHSWDGQFVNTFQEMKTYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERQRGSDLLGSFVDGREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVTEEQLQIAKEKEASGEPLSWADTRRMKMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPAHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMSFTRKNTEQE >KN538719.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538719.1:128928:130325:1 gene:KN538719.1_FG041 transcript:KN538719.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MPETLKGLKQLLSISMYKKMWFPDRFYKDYLKAMFNNRKERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKIFDIELAKKMKEQLGDGCFLHGIPKAGHLLHVERPCAYNRQLQRFLSYVNSEEKEAAGGGAN >KN538719.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538719.1:299281:299760:-1 gene:KN538719.1_FG042 transcript:KN538719.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWSRGLSCRAAICGIVVLLCATAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLSVAQLVGTTAAATTMCAASKRTKSSTTRRRAASVAILVLSWYALWYGHGCQHKELNA >KN538719.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538719.1:270196:272729:-1 gene:KN538719.1_FG043 transcript:KN538719.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKERDGEGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFVLGLTGIFANQLLFLVGLSYTNPTYAAATQPSIPVFTFILALLMGTETVSLSTHEGKAKIGGTIVCVMGAVFMVLYRGPAVLGSGQLEIDDVHSHGVITDTSQPEPVGPLAFLFVAFGLQKWHIGVLCLVGNCLCMATYLALQAPILMKYPCSLSLTAYSYFFGAILMVISGVFATNGREDWSLTQSEFGAVVYAGVISSALNYGLMTWTNKILGPAMVALYMPLQPVLSALLSMFFLGSSIYFGSIIGGSLIVSGLYIVTWARHREKLTGVGVPYEKCASESLESTSHVVKSGNIAVPYISLSRLWNVPHES >KN538719.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538719.1:246102:248232:-1 gene:KN538719.1_FG044 transcript:KN538719.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGTGPSWEEELGVPEESDAILRGGGGGGDGQRRQATRWELVEARLNQTSKWLVAGCYTSAAIWKHDALIMWAMIGAVLNSMFSNLLKRIFNHERPASALRSDPGMPSSHAQSFLYSAVFLILSLFYWLGRTYLSVILGVAILAMCCYLSWLRVSQRLHTLNQVFVGAIVGSAFGAMWFALFNLLVQEAFASSVPVQIAVTIGTAILCIGFVIHVVRHWFKDE >KN538719.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538719.1:193362:195695:1 gene:KN538719.1_FG045 transcript:KN538719.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGGDVSRHRKGHLDTVESLCQGLLDDGESNSVCKRRRGKQQQQQQPCHPDQMAAAVGKGRPERARPGAKKKAEVASPKDSPATSASTVTAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVEDLFGRQLSQAACNPAALPAMALPMAQVEPSCLQMSPLQQMQASAGSSGYGLEMVVSNQYSPPGGPMSVPAGASVEPCLNVNGAAGWDIGSHGLFSGFDAPFQSVQSDCLLDNLKMEMSRSKKASDLSI >KN538719.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538719.1:212298:224478:1 gene:KN538719.1_FG047 transcript:KN538719.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRDLVTKGVALSVCCSMLSSSNGSAQALERLPFKADGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVEYEATIWMEQVYKDATNVDEYLIGSITAPAADPNAGEVYYRLMSRFMANQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPAKAAQIKKFYQDTTVVNLQAGHCPHDEAPEQFNRALLESYQVASTAMCEEATRSRVLVVGATGRLGGCLVRASLAAGHPTFALVRPHHLAVPDSAPLTSLAGATVVKRFIPAEFGLDPTKVQICGMDHGFYEKKIEIRHLIESECIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGLCILLLSFSPVCCLVPEKKKRGSFTFCIFNLNGELFFAGVFVEETDVAKFTICTIDDPRTLNNTLYLRPSGNIYSMNELVDLWEKKINKFLNKIYITEEQLLKNIEVLAFVLPLALQLWMLEEHYTALSERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMESADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSMTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKLSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIELLKQLVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREVSYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREVYYWHAYYDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >KN538719.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538719.1:242477:245048:1 gene:KN538719.1_FG048 transcript:KN538719.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPLLETRSATQTQKAASKPPPAPPLPQEKAAEKPIPVPVAPVRRAQTASATLYATPESTSLPDSPSSFPGTWSPYLINHKRRGPCLVKTLSQGDVGSEGSQQPTLPVTLPPLPKRSQSFEAQEPEFEFQQASNGVLEGDSGVVETLDWQNGMLQKGKGTVSGEYGHDQAEFEFQHGNPDTIVRPVNVGRPANGGTPRNINNDAFFELQDSLSMASNSEAEDAGGHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGATRSSQCMDDDLREMRLSLLMEIERRKQAEEALENRQKEWKKLSDHLSLIALTLPPPSLAEDTDDSSMDPGAELCQQITVSQLVAAAIARGFARAEVETDMETKIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRNIRKKRQKWFWGSVGLAVTLGTAAIAWSYLPAAQPQASQDSNTNSD >KN538719.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538719.1:252247:257108:1 gene:KN538719.1_FG049 transcript:KN538719.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSFQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNAAPMLDDDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTDISYAFEKFMSQFFVVIANHREDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLTDWGESSAPDPRISERYALDASQLKALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIVNGRLEQFRKELERKRNEAGQAIEPSFWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLSGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVGPPCIVISSNTVDKDKYCPQRKCIVNWHFTIDLKIFRKGAFHLEIPGFRCMTAELSDYI >KN538719.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538719.1:268412:269005:1 gene:KN538719.1_FG050 transcript:KN538719.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTAHPKKDPVTGELFAFRYGPVPPFVTYFRFDPAGNKGADVPIFSVQQPSFLHDFAITERYAIFPEIQIVMKPMDMVVGGGSPVGSDPGKVPRLGVIPRYATDESEMRWFEVPGFNIMHSVNAWEEAGGEELVLVAPNVLSIEHALEHMELVHSCVEKVRINLRTGVVTRTPLAAGNFDFPVINPAFLGRRNRYG >KN538719.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538719.1:201155:207077:-1 gene:KN538719.1_FG051 transcript:KN538719.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHLGKSIYEVMTNARVIGSNLRFKRNTCRIQKYFFGQNEFIEPPLAFGRVNSVNIAISNLKSSDEPPYCQAWVHGSAAMMWSVRNPESKEVTKEVVKTLEECGRITFPISLKQPGPREHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSQSSSTYVGKLNKGKEPAIEFSSTSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >KN538719.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538719.1:233647:235859:1 gene:KN538719.1_FG053 transcript:KN538719.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 2 [Source:Projected from Arabidopsis thaliana (AT2G18230) UniProtKB/Swiss-Prot;Acc:P21216] MAGEADGKAPLGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >KN538719.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538719.1:176018:179968:1 gene:KN538719.1_FG054 transcript:KN538719.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDLIRLLPSVMKQFKVLGLKIGSHSSWMENRNKEDMGPSQRNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEEAGKTILEMLRQSDVIEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILPPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYVSDSYHVRDMEEPKDSFSQFSWSADYQECLSFHNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEVSCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFRLIASFIDSELKTEALLTLHELVQHPSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPILSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAEGVIPALVDLSVNGIDEAKAGKPENKVLFILSEVLKKAELLVYTGRGIS >KN541682.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541682.1:806:1660:-1 gene:KN541682.1_FG001 transcript:KN541682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLLPPRVDELGCRIVYLCREPKDVVVSLWHFMNKVGNNGFFLDLDRAFELFVDGFSLYGPIWDHCLGYWNKHAEEPDRVLFLKYDDMMADPAGHVKKLAEFLRVPFTDDEVDAGVVEEVVRLCSFEKLSRLPVNSSGVVDRIGGRPMENSVFFRKEQQ >KN541682.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541682.1:18186:19785:-1 gene:KN541682.1_FG002 transcript:KN541682.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPVITETDSSKACYTNCEDHFHPAIMQLSFLSWNSDLRCHLVAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGETTKFTMYGRMGYVHIDDVASCHILLYETPRAAGSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSVP >AMDW01014150.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014150.1:45:218:1 gene:AMDW01014150.1_FG001 transcript:AMDW01014150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGLQLAAGDLTAHVDVRFVFCRLYKDDQRVLVPLEILRHGDVIVLDECEENLNGGK >KN541682.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541682.1:15838:16839:-1 gene:KN541682.1_FG003 transcript:KN541682.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF6, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/Swiss-Prot;Acc:Q9SZL6] MASGGSSNAGSLTQWLREKGFDEEAIGRMSRRCKNLHGLDAGEASGVWDYLLNVVKIERRKLRYVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLEGSNLQRVIMSFPDILSRDVDKILRPNLAFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLRQMNSRCSLSEMLDCNQKKFAMKFGLLAAV >KN541682.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541682.1:12054:15423:1 gene:KN541682.1_FG004 transcript:KN541682.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTASEVFMETTICCAETPRATHSSSYLQHLHSRSVTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQSSQNSVDFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIVCPRIYIDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >KN541682.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541682.1:20453:22957:-1 gene:KN541682.1_FG005 transcript:KN541682.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQSAPTIPVHHLEHLDNGVEQAATVAVVDPDENSLHVKEEDGELEEDGGAGAEAMGIEERLALLRSQISGKLESIQQRATAVAAKRRQLAGRRRKVAEDVGSAASRHKDLERELEEACEAEDFERAEKISDSLAALEKDKDRLLTALRDAELNYDSVDLELQDVLDSRIAAEEEAASLLEHFAKDATDHTDSVSKEAEEISLKEIEGWRESMELLETKKLETEVESELVLAARSGLEDSIEHLVEDDKREKDMLSKKGDTLAEELTELLKLVRLKEAEIAENNARIQVVQERITAVVSRFYGSQTDIDLKLKTLQEAQTKMDSEAQALALKKNEIDSFISLAEQKDSKLREIINDCSSEAKACQQSVDIRRKLASSILKSREDRIGLLKMEEEILQDIQVLRQQTSDARISLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKRVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAATDLEVIEKDITATTDKIQECEGLIILKEKESAMTSYKRLRLDAAAARAELTAATETDDSEEVEVLRKEAEAAESKAMELKTCYDLELDDNEIMFQPVVPIVFITNSTGQHLLEIASSFGLSP >KN540016.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540016.1:60443:60880:1 gene:KN540016.1_FG001 transcript:KN540016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METSGSDEMQQGVKVDTTRVIENTASTVDSETPVEQKRRKKVETEYVLGGKDLEFILSYEDDELETFDEDMLMDQAMVRQQIENFGYGFLHSWREVICTDSEEEDDPVSDDDDDCDEQDDFFTNDDDDDDQQNVDQMDKNMYAEA >KN540016.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540016.1:38644:44344:-1 gene:KN540016.1_FG002 transcript:KN540016.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIINAIQAVLFLSIRPFSKSLYRRINRFLAELLWLQLVWLVDWWAGVKRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQGLPAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRHAMSEMPKSEDDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLAVWGLSGLKLKTWVEAPSFVRAKSEDQGRCFAGTTVTLFWSCLLLYGAVKLFLWTQLLSTWKGVGFTGLGLALVTAVMHVFIMFSQSERSSSAKAARNRVKKD >KN540016.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540016.1:62187:62612:1 gene:KN540016.1_FG003 transcript:KN540016.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METFSCSEKLQLDADFDTLVVENTATVVHNGLATKVIEKEEENLKKKRKCVKKMVEFVIDGDDLEFTLSYEEDELSFLFEKSNELILKDQEMFQRQIENFGYAFIHIHGMKEVILTDDEDEEGCDILSDDDEDISVARHPN >KN540016.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540016.1:68704:69240:-1 gene:KN540016.1_FG004 transcript:KN540016.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKGTSDQSQIPSTTMDPSDEAPSGKRSHGQIPALAPVTSDEGKAPVMEKKTKMVRFTQAQINNCIAYKEEEMPDYDSMPSIIEILGDDLANCPQDYIDELKAIDDSREEDKAFWIKMQNEIRGEREGILRQYFTKGYAEYEVDIDDDDDDDDKDNKVPARVAAPPSRRRFHCSP >KN540016.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540016.1:31796:33380:1 gene:KN540016.1_FG005 transcript:KN540016.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMIHDDDDGVLDPSMVVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGSGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >KN540016.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540016.1:67325:67640:1 gene:KN540016.1_FG006 transcript:KN540016.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGYKNFSEELLACFLVDRLDDYFARKNKIHDKGVKPILELERMCKEYKEKGYAEYWITDEEDEAATRAPAPGRRRGRPGVMKKKHTGGTKNL >KN540016.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540016.1:17211:21650:-1 gene:KN540016.1_FG007 transcript:KN540016.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRRKFRRKKPSQNVDSIGLQTPRSSALENQEPLLSSEYSGHNSAIQNIPEDLTVWDVVKGDITIVASKMNSMAERLLEELKIALRLLMEDIDDQSHVEQLVCLQKHVEIRPDLTSATLLTAHHVQLEMFVALKMGIPAYLHENVSVPRSRLAEIFAYERCRNISCQSVLPAEECDCDACCCRRGFCNLCMCVVCNGFDFDVNTCRWIGCDGCSHWTHAGCAIREEQIKTVITVEDGVAHYVTVFFCKACHGTSELLGWVRNVFQHCAKIWGTDALARELEYVQEVFSVSEDSKGKKLFEKCTDLIERLKVVQAESMGPEVLLEALQVSISMIIQFEIIFRLHNRIAFVLEFGVTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSCMPSNLTFILC >KN540016.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540016.1:48827:54500:1 gene:KN540016.1_FG008 transcript:KN540016.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYYDDGDEEGDWEDDDAEEGEEMDVEAMEEEALGAVADLSERLNRELRIDDDVRDKRRIIRDKTSASKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDREFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEAAVILFIVDGQAVSYLTEWVSKIFQDGLVAADIEIADWLRRNYSDKRIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDMELDGVEEDGNYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQPTSLWSHLSRPFEIRTAFRFVKFTLGKCFHAFLLFSHQRKLILNLQAAIRPPTFVFFVNDAKLFPETYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKSADNSLQSSVIPSRMALAT >KN540016.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540016.1:57047:59316:1 gene:KN540016.1_FG009 transcript:KN540016.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHDGRDELRGEVPFEPSEVLAAEEEAAMDAAHDGRDELRGEVPFEPSEVLVGEGAAMDAAHDGRGEVRGKLPFERANGDYDGIKLGEEPSLKPAMVGSPEIKMIEIAEASSVARWELLAGTEDCSHEINPKLVDLQEEQQQEATLLPDEVISEADASILAQYSSVTKVTKKDDDAEFLICRPNFGFVTRPQQHTPKKQHPKNKRAMGINLLDREGNICSDDKLPSQGCLVGEYNNLLLQLYQLLFDLKELMELCGQADKIQSEVFLQKAMKKACRDSDDAVADIVFQALKVDGFIVRLLRYLKSQFNFCRTQAWKIRNEILRIGGTPINDPLSKDSP >AMDW01048555.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048555.1:157:361:1 gene:AMDW01048555.1_FG001 transcript:AMDW01048555.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALK >KN545040.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545040.1:2046:2343:1 gene:KN545040.1_FG001 transcript:KN545040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQIEAWKPIVDAVHRKGSLFFLQIWHVGRVSNT >KN542240.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542240.1:5166:7686:-1 gene:KN542240.1_FG001 transcript:KN542240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDAPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVVDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAMTVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >KN543508.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543508.1:1019:3048:-1 gene:KN543508.1_FG001 transcript:KN543508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFEVVRHLIAEAYNYFLFALKDVPLKGIEIERAQTMMRNARVFQSLGISTLASILNQSSAKSKGTAHEDKDPLYEPTGDENSDHGEVDKVSNSVPRKSMTINTCMRSGRTRVSKRVMPSCEQDQAARVTRERTRELSSTEDDTTTNPQDSAIEDVSVAANSPTHTQSDDQILMSDEGKCSSHFLKQITSFLFILIMAIIIVGIISLNILTD >KN543508.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543508.1:4245:5224:1 gene:KN543508.1_FG002 transcript:KN543508.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGRRTSSAADPQIRRSPRLIAEAGKQTVATSSTRYRAGKQTMATSSARHRAGPSSTSSPCHQAGPSSFFPSPQQAGVASSARRRGNYGSDGEDDASDGGSDDVSDCSDGPKGLRYAGGFHTHCWYGNDVTLTKDFQEKLACIVALKEKRSIIPLYVHMINYTNTKGEKKYFNPEFSRRYLHKYLEEPINDFGVMNGEWTTGCNMKIGISPDDRVILTLGWKTFVQANKLTMGATCASSSSNKMNNSTL >KN541008.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541008.1:1389:1682:-1 gene:KN541008.1_FG001 transcript:KN541008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMCFFICAVFLLAVATPGVILAGSVPLGRRWLQDSTLQRFIHPISQP >KN541008.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541008.1:31563:31991:-1 gene:KN541008.1_FG002 transcript:KN541008.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREEAESVGMFRSHCPLHQEVGSCALELWPMERWAPALGVREMEEVLGDRRDNCQRWIAPPRGRGRSLDPMSRDLGEVGSGALGLGEHWIAQPRGMGRSDPMSPNLGEAGSDALGLSDDDSGGGDDGFLGMEAATVVTPW >AMDW01036587.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036587.1:34:237:-1 gene:AMDW01036587.1_FG001 transcript:AMDW01036587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGDHRIREEEELSLQYAHSLHHICKRGIVDFVIEEPLDVEKSEGSETKILIEKMLQEKDRKTRIKMWK >KN538746.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538746.1:80149:80739:1 gene:KN538746.1_FG001 transcript:KN538746.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPELGGARQEPSNFQLHAGEYTPERLRELQKNARPLPGSLMRAPPPPPPPTTEAPRQRLPGAAASPAPATNTTAAAVEPVVILKGLVEPMSQASIGPTNPLQNEDKDEDESEEEEEEEEGPVIPDCATIEAIRAQERPAARARRGRRRRGRRRGRMRRKAPPAVCCCFPFAVVELVVLAAVRVLAALCRRAV >KN538746.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538746.1:173301:177033:1 gene:KN538746.1_FG002 transcript:KN538746.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGDLDKVDDAECVEVDPTRRYIRYNEVLGRGAMKTVYKAFDEVEGIEVAWSQVEIDEVMQSPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRQYRQKHPRVDLKAIKNWARQVLRGLDYLHTHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMLTPRAKSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECTNAAQIFKKVSKGVKPAALAKITNIQAKQFIEKCLVPASERLSAKELLQDPFLCSDNSSVLVGTKFPSSLPKSVDVSLEALHMDVDTNESMCTSTCKRNDLGGPHRSVLEFTRTNKNTELKLTGEKLDDNSVSLVLRIADLCGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGQQLMNDAVMSTSSESKMGESEHVITSQQHPSELTHDYVLVEGGPNSSEGSDISVQLDGSSKSLSEYGVDEYRTLECGAYKGTDKLGCRHPLSNGSSNFAIFQMDQASHHSELVIGASVSITENRDVLNGELGLIEAQYEQWFRELTRMREEALEGARKKWLPDK >KN538746.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538746.1:48460:52275:1 gene:KN538746.1_FG003 transcript:KN538746.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METLVCVAVWAVAMAMVVASVMWAYRWSHPRANGRLPPGSLGLPLLGETLQFFAPNTTCDISPFVKERLNRYGSIFKTSVVGRPMVVTADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLRAVLLDETDRACRASLASWAAQPSVELKDSISAMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMKGRRNAMKVLKKMMRERMAEPGRQCEDFFDVLIEELGREKPVLTEAIALDLMFVLLFASFETTSLALTLGVRLLAENPTVLDALTEEHEAIVRGRKEGCDAAGLTWAEYKSMTFTSQVTLEMVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPEIYEDPLAFNPWRWQDKVEITGGTKHFMAFGGGLRFCVGTDLSKVLIATFIHHLVTKYRWKTVKGGNIVRTPGLSFPDGFHVQFFPKN >KN538746.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538746.1:121005:125063:-1 gene:KN538746.1_FG004 transcript:KN538746.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYICILFFSWLLVLSVFGCTPGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTLAVAVLVVAKLPNMHKVRIFGINAGS >KN538746.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538746.1:157949:159683:1 gene:KN538746.1_FG005 transcript:KN538746.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQVQGSLEWRVTVPEGSSVTVEHEAGVAERAWAWVVRMLVAVRAAVAGFARKVWKIGADDPRRAVHSLKVGLALTLVSIVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATASAGLLALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVVIWPVWAGQELHLLTVRNMEKLAGAVEGCVEDYFAAKPAAAKSEGYKCVLNSKASEDSQANLARWEPPHGRFGFRHPYAQYTKVGAAMRHCAYCVEALNSCVRAEVQAPEHVKRLLGDVCTRLASQCARVLREASASVAAMTSPKTLDFAVADMNTAVHELQGDLRALPPVLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLASFKQVEDDDDKKGQTEMKVHPLNVPDDHDASTHESQTTTKHPEQV >KN538746.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538746.1:27634:31025:1 gene:KN538746.1_FG006 transcript:KN538746.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPAATKLNISPAAARRPSSFLPIATVALLCSASYFLGAWQHGGFSSRSASPSSVSVATAGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGADAYIDDIGKLIPLHDGSVRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYDGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWKKIKEVGDIAVWQKPANHASCKASRKSPPFCSHKNPDAAWYDKMEACVTPLPEVSDASEVAGGALKKWPQRLTAVPPRISRGSIKGVTSKAFVQDTELWRKRVQHYKGVINQFEQKGRYRNVLDMNAGLGGFAAALASDPLWVMNMVPTVGNSSTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDVILLEMDRILRPEGTVIIRDDVDMLVKVKSAADGMRWDSQIVDHEDGPLVREKILLVVKTYWTAKEQDQ >KN538746.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538746.1:177704:185798:-1 gene:KN538746.1_FG007 transcript:KN538746.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] MEAQADAGGDDLAAMREQCRSLEEAIGFRRETQMGLVASLQRLVPDLIEEAMIGGKSMTMVWAIDRNKLLKELPESATLPLLQPPPAPQMPPSETDAGSAMIPRTPQQQQPQPDMWPHSMPPIFPRPRGMTMQGMQRVPGVPPGLMPLQRPFMGPAGVITMGGGVGPSPNQQKQKTEEDELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKVTMAYTRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNAHAGWLSLGNQLNGVRLVDEGLRARYKAAYPDSEHGQILNATFVPVLEKQHIVKKARLVKKEVEPIFSDSDAMKNAPKTTTTCPRCQNGEAYYRQMQIRSADEPMSTFYKCCREECQFDWRED >KN538746.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538746.1:189248:193953:1 gene:KN538746.1_FG008 transcript:KN538746.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGDKPPALRLPRVGLPPPPLPLRLPHHLPHQGRLDTSGGGFVSGFIRVTPVTLKEREKSATKEAISILENSIGHANSYSSEKSETSDTEVSAKKRKICSETPDIENSGDAVTYEKGDASETTGSVEKDSVSPHSKTKAELHTTVSKLFLDFVKSKEDQDEPIKFAVGYNRRGIDETEMKGQKNGNEGSKQQTSMDRDQCFKVVAGAVKSVAENSIVDLRSPEHVYFWMQQMSVAVLVELLPISGVSLGSSVAGVSVLPSELISTKPRLCVKALVPDAKAAKKK >KN538746.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538746.1:196195:198939:-1 gene:KN538746.1_FG009 transcript:KN538746.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGERKGAARQYNRSKVPRLRWTAELHRSFVRAIDCLGGQQKATPKLILQLMDVRGLTISHVKSHLQMYRGTRHGIGQNDMQPQLHLKQHSFGSDEQSPKEFMCPPIKRAKVGTEASGKYRCMEGSSDMRSSAPPAGTRYFIDDCMRLQEVSMDRRRSDQHDAAAAARARAPAAAAASSLHQALGFWVQGRREEPFMVHQIGKPRAHQLNHMVRNMKISCKENHESRFFMRSATRDEPVKKRAPPLSLAVDQKAANAISSWPSEACCVISPSPRNFSTDCSGPPGCSFVGRRVNLELSLSICGS >KN538746.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538746.1:127616:133448:-1 gene:KN538746.1_FG010 transcript:KN538746.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQTIAVIPIADLGVAQFGSMQKISECLEFLDQVKGIFSQREIIPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTVRAFNSTGKLLQHNIGSENQLQIKFCQHPDSNLASATDVFSSLNNLPRIENEMSCPPNKLGYCIQSEKPYSFQSSFSSCFSVGDELKPILFDSATSFVQNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKSNNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLPVEERSLFSESVFEELLGVSGNVNTDAPGDPAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDFPSGSDTSEHVPNGSSKVIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGQTMVCPIIVEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKPSQTVLRLFTDSKTVTMMIGPSQTVLRTFIDLMTTTMVMVKDLHKLFFGLSLIDGDDNFDGELVI >KN538746.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538746.1:62496:68242:1 gene:KN538746.1_FG011 transcript:KN538746.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDTEAENDSRSGSDHLDAISAAGEDDVEDAEPSNSRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPISLLSPPPLLQPHLSLPMPNSSLELAIGGIGGLGSLGTLPGCMNEFAGGVSSPMGTMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDHNSGTAATGGNVKCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLQSGLAFGARRWLATLQRQCECLAILMSSTTVTANDSTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMTQIAKGQRDGNSVSLLRASAVSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPRIGATGYETGGSLLTVAFQILVNNQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >AMDW01044716.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044716.1:58:633:-1 gene:AMDW01044716.1_FG001 transcript:AMDW01044716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPSNYFLLSFWFFLGFWIIYQAFGAFSAVVNIDKPIESSIELVGVSGLVPVLALAPGPGVASRPAFNLLVRIDNDHILDRHREGGSVKVSYAGVPLAYGSIPSFRLGAREALTVAVDATSEAAGVPEDLLRLMAAEQRMGVAQLEIGMQLGGPGRESYSWSVDFLFRWAASWGRSLLLMTICRLISV >KN538746.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538746.1:8367:12414:-1 gene:KN538746.1_FG012 transcript:KN538746.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGGDGGHGNGKRSSPNSKKDIFARLCRPTPFDFGNKEFWIDKNKGGICLSISSKAMVITGIDDRRYWSQLATEESRFHHVAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRICGTEQVHGWEAKPTRFQLSTSDEQHATSEYYLEQEGSWILYHVGDFVVLNSDELMKLKFSMLQIDCTHTKGGFLTDAVPPWLDDLWLLGDLDLSSNVLTGGIPVELSDCSGLLKLSISGFGIGSILPEIAKLASLNILNLQKNGFTGVIPSELRRCNRLYELRLFENSLEGPIPPELG >KN538746.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538746.1:161061:168472:-1 gene:KN538746.1_FG013 transcript:KN538746.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKAEATRDAPEWQMTMPPAGDESSSSSSSSGPPERARARLVYCAAALEAKVSDFAKEVCKIGVDDPRRAAYGVKVASRSPWSPSLFYYVRPLYDGVRGNAVWAIMTVVLVFEYTVGGSTHKGLNRFVGTMSASALALGMHWVASKSGETLEPFVASGSVIFAGIATIAIGVIICLAVCALICPVWAGQELGLLTARNMEKLASAVEACVEDYFADPAAAAARSEGIEGVVHAVGTLATLAGFKPADDADATPVPSSRQEVVDHSIRGIRHTAIVEGRQRWWRWREGRAAWDETKQGNRWGDDGASLAEQYISKEDPLIIKIQKIKFLCVPNHDFSHEKLDQICKEIISGLPMGAELPLARCNHLKDKLDHYIIRKLPLIEERDTSLYMTAKGQLSPTNKTSKPFADDWKYR >KN538746.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538746.1:186640:187232:1 gene:KN538746.1_FG014 transcript:KN538746.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVDAQQEPTEGRRLYSPDRPEGLAFPTSYRALYDLPTSPECLFEEDKFRQTRTWGENLTFYTGSGSVGRAFGNRFGIVAMLFAGTESFVRDQRDGADDWVNTVAAGASAGALYRIASGPRSMIAAGVLGGVLSGAAVAVKPMLQRFAPKLSARLDYLR >KN538746.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538746.1:72589:76330:1 gene:KN538746.1_FG015 transcript:KN538746.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLWIVGYAVQCVLHMACVAIEYRMRRGQGGGPPMAADEETGTDGSSSSSDEDAGERAPRGRNGDYVRIAKHLESANTMFSFIWWIIGFYWVSAGGQVLTHDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCVCIDKWLHINATCPLCKFNVRKNSSSSGSEEV >AMDW01040940.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040940.1:21:3269:-1 gene:AMDW01040940.1_FG001 transcript:AMDW01040940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTSVSSHLRAPPPWPPPKNSSPRTRVRCGVLAPSGHVLEAAAAPRGAKNSPSRAPRTDVGVQIERLCRAGELAEALRLLGSDGVDARSYGAVIQLCSDLRSLESGKRAHFLVRASGVGKEGMDSVLGRKLVLIIADGEVVHGYLEKLGLGVQCAVGNALIALYSRCGHVDGSLQVFDGMPHRDVISWNSVISGCFSNGWHGKSIELFAKMWSEGLEINPVTMLGVLPACAELGYNLVGRVIHGYSVKTGLLWEFESLENGIDENLGSKLVFMYVKCGELGYARKVFDAMSSKSNLHAWNLMMGGYAKLGKFQESLLLFEKMHDCGITPDEHTISCLLKCITGLSGVMDGLVVHGYLVKYGFGAQCAVCNALISFYAKSNRIEDALMVFDEMPQRDIISWNSIIGGCASNGLYDKAVELFVRMWLEGQELDSTTLLSVMPACVQSHYSFIGGVVHGYSVRTGLISETSLGNALLDMYSNCSDWRSTNKIFRNMEQKNVVSWTAMITSYTRAGHFDKVAGLFQEMGLEGIRPDVFAITSALDAFAGNESLKHGKSVHGYAIRNGIEEVLPVANALMEMYVKCGYMEEARFIFDHVTKKDTISWNTLIGGYSRSNLANEAFTLFNEMLLQLRPNAVTMACILPAAASLSSLERGREMHAYAVRRGYLEDNFVANALVDMYVKCGALLLARRLFDMLTNKNLISWTIMIAGYGMHGRGRDAIALFEQMKGSGIQPDAGSFSAILYACSHSGLRDEGWRFFNAMRNEHRIEPKLKHYACMLKNKVGGRGLRENTGCSWIEVRGKAHIFFAENRNHPQGMRIAEFLDDVARRMQEEGHDPKKKYALMGADDAVHDEALCGHSSKLAVAFGVLNLSQGRPIRVTKNSRVCSHCHEATKFISKMCGREIILRDSNRFHHFEEGRCSCRGYW >AMDW01040940.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040940.1:3632:4547:1 gene:AMDW01040940.1_FG002 transcript:AMDW01040940.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGGILLQHHQISPSYLAKAAFPGSFALHKDFANGILLCPPRNSFSCSSLGSIRSEANGSPLPRTVNRRSKEELIEFFKSIQTSIAEESPRTSRRTRKQSSDPFEEVERRKQSYDGEPKVLDLNDMKVAELRELARTRRMKGYSRLKKSELIDRLKGV >AMDW01019404.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019404.1:3:263:1 gene:AMDW01019404.1_FG001 transcript:AMDW01019404.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFESKSHTRWPSGPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGN >KN542249.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542249.1:14432:16694:1 gene:KN542249.1_FG001 transcript:KN542249.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWMGDGFGSLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYTRPVERIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYNKHKKVWEKNMKEQFRFRKKLKKRGKKKSAEGSGNDPNVPTVLL >KN541574.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541574.1:5114:9355:-1 gene:KN541574.1_FG001 transcript:KN541574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVSVDVRPEFNSFDHLRSMRYIATDRPWLTLYGIRVQPVTPFSSLSSRPDLALIHQCLPDELLFEIFARMSPYSLGRAACVCRKWKYTVRNPTLWRNACLKTWQVCTVQYCYLGQILYKSLYVSRNTYIHTGTAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYILSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSVLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >KN543353.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543353.1:4472:4906:1 gene:KN543353.1_FG001 transcript:KN543353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLANLVHWWRLRRFVASGRRQAEVFLPLISRRRRTQHRGEHKFHPYVDSFLDLRVPMGDNAAAGEGKEEHRLSHRALTDDEMVGLVSEFLGSGMECAMSNTFPCRAAACGCTSSSGTSGGTARRGQIRTSSGRTGSWPVARRRG >KN541574.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541574.1:13663:16338:-1 gene:KN541574.1_FG002 transcript:KN541574.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVAASKMEATGESELEDTGSKVGSSSNFRKDVDPPQEVEGVDKLNKINEESNPSNSEVVEQMTNGESTSNNASCIVIDEEQTKAKYLPVPSDDKVSDEKPDDSSVLNEKIEDDETLAEKAEDDKTSDERVPGVKNNLRDDCNNLLSYCSYPNVPTRRRSIVAHRNAAPAHREVAVAEQIDLVSSEDETHMATDVTGHGSSLTNTQEGNKDGLACLEHTDTSTTCNQMVEPVRFQTEETQIGTDDLRGQKNIEQHYAVHESREENMLPPKVGVQQQVEEGMQIYNVDTPPQDEDLIASADKEKVAGVALLPSIKAEAVVAKEEDKFGQSSSFKICDLNLVGSPEVAELRNDPGLGQFSTAGCSMEPQNQQEEFRNTGNSADDTNMHAQIPLHNKVVQVIDLEDDSPIEAGACDTSKAKEENMANPAVTTDVLPGIQDGYNFAISDYLGADIPCYQPMQTDLPNGMSLNDSEGITVMDDSIYGSLSDIGFMEVWDQQPQDYEKFF >KN541574.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541574.1:12064:12934:1 gene:KN541574.1_FG003 transcript:KN541574.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLRLYKKAFLDGNDEALGGIESAIIAIEKERSSSAAQYETIATEITSGKDKFLRINADLENFRKQTEKERARFTSNIQVDVVQSLLTLVDSFEKVNQEITPETDKEQTISTSYQGIYKQLVETLRSLGVGVVETVGKPFDPSIHEAIAREESHQFKAGIVSHEVKRGFLLRERLLRPATVKVSTSSGTQETSSPSSEKPVEDSKEDAAV >KN542121.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542121.1:13745:14554:1 gene:KN542121.1_FG001 transcript:KN542121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKKKKTKLGITSFLFSSSSSSSSYYGSRDTSTTTSGLPYSSSSCSNMSSSSLAAATAWQWPSCKQPRTLSFRQQQQTMMKTMNSAYLSAGCSFASRDSHSSTCRSRTASDASASADAVTRALRSDRLFFDPDASPAAAADLKLNKAKAKKTKKKLEAFGGATAMTIESSNPYRDFRESMEAMVTSGGVDDWRWLEEMLGWYLRANVKSTHGLIVGAFLDLLVSAAASPPASSSSSSPAAKGKYSSCCSACSSSSIKLEEEHQLRHY >KN542121.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542121.1:18848:19375:-1 gene:KN542121.1_FG002 transcript:KN542121.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPNLLHATAAGAELSTFSLALKEWTLSYNLSVGVELTRHNARLALRYHSIAADAYYHDQRFAHALLPDFVQPASTNTTRITPSFQGRHQLLGGLAAAAFRREDTEGIYSIHVTMAAKTQIKLTPSAILRLPGPNIKLDCPLRLRLIIHPSPSNATTNNHNPHHFHPTACHISY >AMDW01040767.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040767.1:25:2088:1 gene:AMDW01040767.1_FG001 transcript:AMDW01040767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVEIEELCRVHYEEFILAVDELRGVLVDADELKGTLSGENLRLQEVASSLLLKLDELLELYSVNKNVEEALGMLKICLQVTSLCQMCNKDIAEARLHSALKSLELIEKDFLQNIPLKLLKKSVQKQIPIVKLYIEKKVCNEFNEWLVYIRRTAKEIGKVSISQASLARQKNEGIRSQQREAEDCSRIGFDEHAYALDLDLIGEEEVLEFDLTPVYRANYIHTCLGLGEKFREYYYNNRLMQLNLDLQIPTTQPFMESHQHFLAQIAGFFIVEDRVLRTADGLLSDSQVETMWGTAISKVTSILEEQFSRMDAANHLLLVKDYVTLLGATMKKYGYQTTSLLEILEKNRDKFYQLLLSDCRKKIDGIFTKDSYEQMIIKKENEYHMNVSAFQLEPIGVVPDFPYVAPFSSSVPDACLIVRSFIEDSVNYLSYDPMMDAYDVVKRYLDKLLIEVLNDGLLNLIHGGCLEITQMVQIAGNIAILEKSCDMFLFHAAQLCGVPRRLLDKPHSGLTARAVLKASQNAAYNGLIALANSKIDEFMLLLTSINWTPEETPEHVNDYMNEVVIYLHTLVSTAQNVFPREALYKVVCGAFSHISDSIMTVFLSDRVKRFNANAVAGIDIDLKKLEEFADDKFHSTGLSDLRKETTFRDCLVEIRQLTDLLLSNQPENFMNPVIREKNFGSLDHKK >AMDW01039727.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039727.1:10:540:-1 gene:AMDW01039727.1_FG001 transcript:AMDW01039727.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYIIPLCLILFIMQASHSMGAQINETTIPQGSEINTAGPQSWVSPSGRFAFGFYPEGEGFSIGVWLVTDPSRFILWTAFRNDPPVSGGSILLTAGGSLQWIPPNQGFQGKVISAAPTSATSAAILDTGNFVLYDAKKQVIWSTFGTPTDTLLPGQNLPPGNQLFSSASNTNHATG >KN541367.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541367.1:9276:12076:-1 gene:KN541367.1_FG001 transcript:KN541367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKDNDATAATMSVRTRGEAAGDEPRPKLKPLHWDKVRASSDRDMVWDRLKLDEDMIEVLFMNNSTAAVAPRMDTPKKVGMPQFKQEERVLDPKKAQNIAILLRALNVTLEEVTDALLDGNAECLGAELLETLVKMAPTKEEELKLRDFTGDLSKLGSAERFLKAVLDIPFAFKRVDVMLYRANFENEVNYLRKSFQTLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEIVRSEDAKSEKAPENHITNIAKVEQLRRQGLKVVSGLSTELGNVKRAATMDFDVLHGYVSKLEAGLGKIKSVLQLEKQCSQGVNFFATMREFLKEAEQEIEQVRHDEKAALGRVKEITEYFHGNAVKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTFVGSARSFRISAANALPILNMQGQKGGRESSSDGDSPSM >KN539308.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539308.1:41880:42458:1 gene:KN539308.1_FG001 transcript:KN539308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNWVSLLLLLFFMVAALSSFVATAHRELPMARKVDEIGDHLQAKLDNQASFVSVSRATAKAENDHQEAVMRKCKNGRKNCKNFRTRKLPTDADGKIHFDVHMPFTADYHSVRRHPPSHN >KN539308.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539308.1:100727:103063:1 gene:KN539308.1_FG002 transcript:KN539308.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAILKSPKILLLDEATSALDTESEHVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGSHDELIANENGLYSSLVQLQQTRDSNEIDEISVTGSTSAVGQSSSHSMSRRFSAASRSSSARSLGDARDADNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAVVFGGIQPAYAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILHLFEQSQDGPRKESIRQSWFAGLGLGTAMSLMACSWTLGFWYSGRLMAEHKITAKEIFQTFIILASTGRVIAEAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPERLKGEVDIRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIRLIERFYDPTRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYGTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSVVVAHRLSTIQNCNLITVLEKGTVVEKGTHASLMAKGPSGTYFSLVSMKQRGNQQVQQ >KN539308.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539308.1:9182:11914:1 gene:KN539308.1_FG003 transcript:KN539308.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTYLEKEPARLPDLPYTAKHIERELDMIHHFLSQVGTKIYSNKVLEGWIVRVRKVAYRVEDITDEYSYSIALEHENHFKRLIHKFFYPTAFHRIAIELKDIEEEIKHLSQLKRDYREMFNELLDNTSDSAHIHLLSSNGSPHAVKGDDIVGMKEDMELLGRWLDPKELDRTVISIWGFGGLGKTTLVRKVYDWEKGMKSFDCYSWIAVSHNYNIDAILRQLIQELSEDQSKIPTDLDTMHHGKLNDELKEVLSNKKYLIVLDDVWDTRAFHELSDSLMDDKKGSRIIITTRNNDVASLAQEMYKMKLNPLGNDDAFELFRRRCFQKSNTECPSHLEELSRQIVNKCGGLPLAINAIGNVLAVQESKEIVWRRINNQFKCELEDNPGLDKVRSALSISFMYLPRHLKNCFLYCSMFPQDHIFNRELLIKLWIVEGFVTQRGHSTLEEVADGYFTELIQQSMMQLVEDDEIGRVVSCKMHDIVRELAFSRKERFGLADINLETQKKDDVRRLLVSNFDQVNQLIRSSMDLPRLRTFIATNKVANYQLLSLLISKCKYLAVLELRDSPLDRIPEHIGDLFNLRYLGLRRTCIKSLPRSIKRLSNLETLDLNSTNFEILPREVAKLKKLRHIFAEKLYDPEEKQLRYLRGVKLPDGAFDLAQLQTLQTVEATKKSVKLLKYLPELRLLFVENVRRADCATLFSSLSNMNHLYDLIISANDLDEPLDFNAFNPKCTKLEKLTIRGCWDNETFRIPVFCEYGANIKYLTLTFCKNDINPLPSISSSVPNLIFLSIRRGCWAEDIILRAGWFPQLKTLYLGKLELRRLVIEEGAIIRLEVMLLLSLPSLREVPKGLELLTSLKKFNVTMQHPEFKVEWERDNWKTKLHHVQEIRV >KN539308.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539308.1:46067:47178:1 gene:KN539308.1_FG004 transcript:KN539308.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHCLDAVWPSATVFTHDDGAAKSLHRPYARVARRKLKSTMMDRCVAHGVTFHKARVAKAVHGEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVDGHPFDIDKMLFMDWRDAHLPEGSEIRERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRAVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAILDLDGTRRFFDAFFDLEPRYWHGFLSSRLFLPELAMFGLSLFAKASNTSRLEIMAKGTAPLAKMIGNLIQDRDR >KN539308.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539308.1:74844:83910:1 gene:KN539308.1_FG005 transcript:KN539308.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGGAGKAKARPVFSSFMTVFMHADAADVALMVLGLLGAMGDGISTPVMLLITSRIFNDLGSGADIVKEFSSKVNVNARNLVFLAAASWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVVLLIIPGFMYGRILVGLARRIREQYTRPGAIAEQAVSSARTVYSFVAERTTMAQFSAALEESARLGLKQGLAKGIAVGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSESDTGEELANVTGEVEFRNVEFCYPSRPESPIFVSFNLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVTVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATAEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGPHDELIANENGLYSSLVRLQQTRDSNEIDEIGVTGSTSAVGQSSSHSMSRRFSAASRSSSARSLGDARDADNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAVVFGGIQPAYAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILHLFEQSQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHISAKELFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDVRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETATEAEIEDAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHASLMAKGLSGTYFSLVNLQQGGNQQVQH >KN539308.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539308.1:2367:5878:-1 gene:KN539308.1_FG006 transcript:KN539308.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHARLATSGRLLHHPPSARHLLNSLVNCLEPHPLHLRYALHLFDRMPPSTFLFDTALRACSRAGSDPHRPFLLFRRMRRASVRPDGFTFHFLFKCSSSSSRPHSLLLCTMLHAACLRTMLPSAAPFVSNSLIHMYIRLGLAADARRAFDEIHVKDAVAWTMLISGLAKMGMLCDAQLLLSQAPVRDVISWTSLIAAYSRANRAKEAVGCFKTMLSHGIAPDEVTVIAVLSACAKLKDLELGRSLHLLVEEKGMPTSENLVVALIDMYAKCGDFGHAQQVFDALGRGPRPQSWNAIIDGYCKHGHVDVARSLFDEMEVRDIITFNSMMTGYIHNGQLREALLLFMSMRKHDLRVDNFTVVNLLTACSSLGALQQGRALHACIEQRLVEADIYLGTALLDMYMKCGRVGEATIVFRRMGKRDVHTWTAMIADLAFNGMGKAALEHFYQMRCDGFQPNSVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPIQPNAVIWASILSACRVHKHIDLAQCAAEHLLKLEPDEDGVYVQLYNIYIDSRQWENASKIRMLMEERQVKKTAGYSSITVAGQVHKFVVSDKSHPQILEIIAMLEEISHRLKSVGYSPLTSQITVDVDEEEKEQALLAHSEKLAIAFGLISLAPNLPVHIRKNLREPQEIHVSENDLIQFSELNGPTLDIESSVFGLLSQLPLNFIFSDLPEDFSQSAG >KN539308.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539308.1:104123:106493:-1 gene:KN539308.1_FG007 transcript:KN539308.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWEISMNHILGQKTHANSPQSYAIYAGPDPLLLIAYVQCSAGPNVDKWVYAESSGRGSGGLAAARDAEKDDLVIQEAVTIEDPKIHLDAGIESSVRACVGGNEIDVKDKEEKHQVDELYTVAVADHLKVRKNSEESSMQWTTGIAEVQPPIKSSPKSMFKTALA >KN539308.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539308.1:48242:57343:-1 gene:KN539308.1_FG008 transcript:KN539308.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKTPRCQGDLIIASDGQTKQNNVNHGGCNSLKSWTVQSGLGNGQSRRIQVSDVQKIGRNWTAAKVPPVVVLVLLPPKLLSWKEDDKTQDYQDQKPQFQTLIILPHLKSPTNCIQQQNCGAWESVFVVEQPVAAGWVVGRRRRRPLRRGVAGDLLLRRWRHRNRFEQQQLAWFNSLPAATESHISESGNQQLIWQPSVLYIQHGFIRVPQCQPQLTVSTDRRFIWKSQFTFRALYPLHLYGNKVYLLIDTNTHALTLVSWIHLILFTLLGRDVSFNKLAGDLPNSLGSLSNLSSLYMQNNQLTGSVNVLSGLSLTTLNIANNNFNGWIPQEFSSIPDLTLGGNSFTNGPAPPPPPFMPPPPRRPRNRPSHPRGSGDAPEGSVSPAGQGDKKQGLQTGPLVGIVAGSTVGALCALLLLVFCIRNARKRKDDTSSNSKDFVGPLSVNVERASNREIPEQNPENTSVATMKISPAEKMTPERIYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSSALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDVLHYSDELSRKLTWNIRVRVALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGYSYRAPEREGDMRDLSF >KN539308.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539308.1:21327:24080:1 gene:KN539308.1_FG009 transcript:KN539308.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTLIEKETALLQDLPYTAKHIERELDMIQHFLSQVGTKIYINKVLEGWIVRVRKVAYCVEDITDEYSYNIALLQEGRFKRVLHKTFYANAFRRIAVELKDIEEEIKHLSQLKRDYREMFNELLDNTNDSAHVHLLSTSNGSPHAVKGDDFVGMKEDMELLGKWLYPMELDLTIISVWGFGGLGKTTLVRKVYDWEKGLKSFDCYSWIAVSHNYNIDVILRQLIKELSEDQSKVPGDLDTMFRDNLNDELKEVLSNKKYLIVLDDVWDTRAFHELSDSLVDDSKGSRIIITTRNSAVASLAQAMYKMELNPLGNDDAFELFRRRCFQKSNMECPSHLEELSKQIVHRCGGLPLAINAIGNVLAVQEPKEIVWRIINNQFQCELEENPGLDKVRSALSISFMYLPRHLKNCFLYCSMFPQDYIFKRELLIKLWIVEGFVIQRGQSTLEEVADGYFTELIRQSMMQLVENDEIGRVVSCRMHDIMRELALSFSRKERFGLADINLETQKKDDVRRLLVSNFDQVNQLIRSSMDLPRLRTFIAANKVANYQLLTLLISRCKYLTVLELRDSSLDKIPENIGDLFNLRYLCLRRTSIKSLPKSIKRLSNLETLDLNSTNIERLPREVAKLKKLRHIFAEQLYDPEEKQLRYIRGVKLPDCAFDLAQLQTLQTVEATKKSVKLLKYLPELRLLFVENVHRADCAMLFSSLSNMNHLYDLIISANDLDEPLDFNAFNPKCTKLEKLTIRGCWDNETFRIPVFCEYGWFPQLRTLHLVKLGLKSLVIEEGAIISLEVLLLQSLPSLREVPKGLESLASLKKLNITMQHPEFKIEWERDNWKTKLHHVQEIRL >KN539308.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539308.1:124:1738:1 gene:KN539308.1_FG010 transcript:KN539308.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding SLRYTSALSVALAVVFVVITAGIAIVRLIEGTVEIPKLFPELDGTNSIWELFTAVPVVVTAYICHYNVHSIDNELEDRTQTKPIVQTSLALCSSVYIATSFFAYLLFGEGTLADVLANFDSNLHIPFSSIFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNRRFAIITGSLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGIATKRDKILAVTMIVLARCTEHHLSQESGGLKLHTSSDKVECTVRMICLVPEKLSKMWQAETDFCADY >KN539308.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539308.1:95425:97643:1 gene:KN539308.1_FG011 transcript:KN539308.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDRSAGKAKAKPVLGSFMTVFMHADAVDVVLMVLGLLGAVGDGLSMPVLLLITGSVYNNFGGGADNVQEFSSKVNMNARNLLFLAAGQWVMTFLEGYCWTRTAERQASRMRARYLQAVLRQDVEYFDLKKGSTAEVVTSVSNDSLVVQDVLSEKLPNFVMNAAMFAGSYAFGFALLWRLMLVALPSVVLLIIPTFMYGRVVVGLARRIREQYTRPGAIAEQALSSARTVYSFVAERTTMAQFSAALEESVRLGLKQGLAKGVAIGSNGITFAILAFNVWYGSRLVMSHGYKGGTVFVVSYAVIQGGLALGSGLSNVKYLSEASSAAERILEVIRRVPKIDSESDTGEELANVTGEVEFRNVEFCYPSRPESPIFELGEMKGLWNKEFTTRCFQ >KN539308.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539308.1:26961:34702:1 gene:KN539308.1_FG012 transcript:KN539308.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAPAAASGERDELADSLAELFTNVSLMVRGELQGTNSQLSLLEKMNRRVAEEYNNYGDVASGLRVFVEQLNEKNQSFGEYVSQIDAIDQQVTEFEAVVSMLDKHVSLLEKKENGVWTRSVRKTLKKLADENVHIPTQIPAHFQFMESIVMWRQCELRIAVAKLSLSSSTGIRIRRLYDYSGYGQSSGKPSEHNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPESSGPSDNTQTNPEGTEESRKSTDCREKTRPSIDHRQSTDRRDKSRGSTDRRDKNRKSVDQPRASVDQPDRPRKSVDRYGYLVDL >AMDW01035389.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035389.1:136:481:1 gene:AMDW01035389.1_FG001 transcript:AMDW01035389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYRGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPT >KN544615.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544615.1:1282:1987:-1 gene:KN544615.1_FG001 transcript:KN544615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CPKREVKRFADLSSDETSDLWVTAKEVGVRLEQYHKASSLTFAVQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRSMEEMAHEANEYRALFS >KN539943.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539943.1:3367:4452:-1 gene:KN539943.1_FG001 transcript:KN539943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGFLSIDCGLEGDKNGYTDDSNGIVYTPDGAPYVDTGVTNNVSAQYMNTWDRALNTLRSFPLTLFGERNCYALPTVPGAIYLVRLRFAYGNYDNMNSESVQFDLFLGVNKWDEVYIANKDKEYSSEAMFVAWASWASVCLVNTYQGTPFMNTVELRQLDSMLHFRKIMGNSSIYLYERRNMGPSSRDNPIIRRKIECDFGIC >KN539943.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539943.1:56736:65616:-1 gene:KN539943.1_FG002 transcript:KN539943.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDEPPEIDEEDEKALAAFMSKDTSSKRSLGDIILEKIRQKDAEISTEGRTPVKLDSSIIELYKGVGEFLSRYTSGKIPKGFKRIPSLECWPDVLQLTEPENWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHASAALMKLAEMEYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEERVMPVIWHQSLLTFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRMSCNRGEKDTNMSIYILTAHADSYLFCIIIH >KN539943.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539943.1:34889:40347:-1 gene:KN539943.1_FG003 transcript:KN539943.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFIPPSVCALGFLSIDCGLEGDDSYPDDQTGITYVPDGPYVDSGENHRVTTVYRNYWGQDYRTLKTLRSFPSASGKRNCYSLPTDVGDKYLVRLEFLYGNYDSMDSSLLKFNLTLGVNHWNTVNLDTTDDQDGYNFYEAVFVAWASWAPVCLINIGQGIPFVSTVELRLLGTLPYPAIIGNQSLSLYVRRSIGSSADDDMSGVQSGPFSPPYLKVLSITTDWSSDTEGKYNFTLMATSTSSLPPILNAYEVYGRIIHDNPMTFSQDFDAIMAIKYEYGIRKNWMGDPCFPPEFAWDGVECSSDGKTMRIISLDLSNSELHGLISNNFTLLTALKYLNLSCNQLNGAIPDSLRRKNGSMVLSYESGGDMCKKPVSPSSRNRAAALAVSVVVPMLAVAILGLAYLIWRAKRKHNNDPPTVLELTGAPGHKTNQWDRLQKPENRRFTFEELQKFTDNFKRLIGHGGFGHVYYGSLEDSTEVAVKMRSESSLHGLDEFLAEVQSLTTVHHRNLVSLFGYCWDDDHLALVYEYMSSGNLCDYLRGTTSMTETFNWATRVKIALEAAQGLDYIHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISASIAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEVTTGEPPIIPGNGHVVQRVKQKIVTGNISSIVDTRLGGSYNVSSMWKVLDVAMMCTTDIAAERPTMATVVMQLKESLELEEAHGDRGDMENQARDNTYLMSTFGPSAR >KN539943.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539943.1:28734:30764:-1 gene:KN539943.1_FG004 transcript:KN539943.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYGLNQSTAPLRPTATASSRLGFAPHRSAQLHPRSSKRPIENEEGTDPVDNDYAGVDLRQDWSAGEEEVDRRCSFGVPVTDFVSNEGSMDGSGYFTNLINEGGSSYDWSAEAAPSGPQNCVSQVKEDPIAADGVQPSGRPAGRKKEKEKQRQHSDQSKIDALDLLWNKKKEVDAEKDRQREERYRAALALEQKRIDLDKEKLDFKRMIQEDRIVRLDTSAMSIEEQEYYKSVKSSILSRRSAST >KN539943.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539943.1:67111:68265:1 gene:KN539943.1_FG005 transcript:KN539943.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDSGFFRFSAAAPVPVRAHQPRRALSNLQTFLGLGAAPAQRLPETSSGQHRVTPMAPPQQRRQVNGGTAVPMASLPVNQVRANGLVVNTFLMTTTRHQQGLFYPNAGVHPMMATIPVSPQTPTVQNTIPATVAPVADQRIINHGTVHFMGATPATWGLWDVVSPVAAHANGNPLACICCARVFALRLWEIPRLLSSLGFSYSEPIGPPPLRLPLPPARYASLTTAMCSSPHHFILTMMHMPRQAIADLIWSSQIGNMQIGVPSPAGGQHVAMALSSTSITGTTVLPTLSVMQMPTIHREQRILSPIMLSSSASLVDITSTTPSMLNMMPMQPIHREQCAPPPTTSSSSASSLHCEYVMPEHEDMVSLTLGQSCTMDLDLCL >AMDW01040901.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040901.1:739:3020:-1 gene:AMDW01040901.1_FG001 transcript:AMDW01040901.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFTLEELKTLKVNQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEMKDPVFINKHVKWDGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHASKLTDSPKIFLIDDFSVRTQDTNQSYWDITSDDYLAYISNYVVGLGPWKDTVVPAAKNYMMAPTDLVARAHAHNLQVHPYTYRNENQFLHLNYHQDPYAEYDFWINSMGVDGLFTDFTGSLHRYQELVAPHAKDETANSLLVKIAQMISQYEGF >KN541211.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541211.1:3561:4195:1 gene:KN541211.1_FG001 transcript:KN541211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWCFSDPEMKRRRRVASYKAYSVEGKMKASLRRGLRWFKGKCSEIFHGWPQPELVFGYLLPQFVCCYFY >KN541211.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541211.1:23860:24519:-1 gene:KN541211.1_FG002 transcript:KN541211.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAQRRRCLLFMALVFAVALAAAAARPQPSFSGTADGDDGNVLVAAAGSRDNRVGIMHDVPSGPNPIHNDHPSPHHPPSSSRFVIMHDVPSGSNPIHHDLPPPHPPSSSRVTIMHDVPSGSNPIHHDLPPPHPPSSSRVTIMHDVPSGSNPIHHDLPPPHPPSSSRVTIMHDVPSGSNPIHHDLPPPHPPSSSRVTIMHDVPSGQNPVHNHQPSPP >KN541211.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541211.1:16368:17330:1 gene:KN541211.1_FG003 transcript:KN541211.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRLRGKRVMFVGDSLGRGQFTSLVCLLLAAVPDPAARSFAMSPDQQHSVFTAAAYNATVEFYWAPFLLQSNADNAAVHRISDRMVRRGSIGHHGRHWEGADVIVFNTYLWWCTGLQFRILKASNDTNGETRREDGPFDAAAGNASTTTWVSTEEAYAMAFREMLQWAREHMDFATTRVFFTSMSPTHGKSQDWGGGEPGGNCYGETEMIGDAAYWGSDSRRGVMRAIREVLDGDGADVPVTFLNVTQLSLYRKDAHTSVYKKQWTPPTPEQLADPKTYADCVHWCLPGLQDTWNELLYTKLFYP >AMDW01004105.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004105.1:92:184:-1 gene:AMDW01004105.1_FG001 transcript:AMDW01004105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVLGSAVGKAASAAADEATLLLGIQKEI >KN539867.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539867.1:61437:61787:-1 gene:KN539867.1_FG001 transcript:KN539867.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWRSSLLRVSYHGMVLAWGIVPSFCIDGQRLRPGGAAASNVAAVYAVADSAVVLQEELHGMVRTEQHVTGKVDFDVEGHVAGLGYLKCKTPFFEGEHKSVVYSCGVYQLLEKCT >KN539867.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539867.1:33127:39805:1 gene:KN539867.1_FG002 transcript:KN539867.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQDWIERVAMNPVDGKEGSPDVCVIELGGTIGDKIFSSHNDSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEENVKAKLSQFCHVPVSSIIDLHDVTNIWHIPLLLRDQRAHEAILKVLDLQFVGKVPREPKLVEWTERASKFDKLKATVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEDSAAKEGAEGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSIMKLPGANSTEFDPDTMSPCVIFMPEGSKTHMGATMRLGSRTTYFHATACKSAKLEDFTVFQVNPEMVPEFEKAGLSFVGKDESGRRMEIIELPSHKFFIGVQFHPEFKSRPGKPSPLFLGLIAAASGQLETLLQPSSNIVNPNPMPRFPIPKKTIYHAKKPLDSLVNGYFANGNVIHT >KN539867.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539867.1:51174:51404:-1 gene:KN539867.1_FG003 transcript:KN539867.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTPTTYESVGGGENRTRTDLRSREDQGAIQIDKVQDKVDEPAARKVDDRAFDAKQVGHGAGAGADAGATGTGA >KN539867.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539867.1:46699:50599:1 gene:KN539867.1_FG004 transcript:KN539867.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAMLYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFVAPQADKVTLVYPMRFQDSIDIVLATSFLQTPFFVSLTHIYAVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVETLIQALDRAKSDAEKLKKLVNGGSFKRLVCANTLNSCLNPSFLSLI >KN539867.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539867.1:68955:73793:1 gene:KN539867.1_FG005 transcript:KN539867.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARASCYLCIVGAIFLLLLGYVAIYPEVLFSTKPVPAPSPSASASNNTGAKEIYNGPPKSKSGPTPPTRRPEIQIVPPPPTSKLIGPPPPPVQNGASKKEKLGMTKPVIIVGAFLSILLYASPFVVYWSSGSNYDVIRSFYTKFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTVSDKICHTTIALLLGITLLLLLFDMFEPIKREQKIAKVLSGLAALMQLTSEALPYAGMRALCCAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLIIFFANVTSAVLSWGSAYVYISWALRPVAGHQAQEGDAEQ >KN539867.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539867.1:41677:45101:-1 gene:KN539867.1_FG006 transcript:KN539867.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-bound transcription factor site-2 protease homolog [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/Swiss-Prot;Acc:F4JUU5] MGYMRAWFSAGVYFSVVALVGISVMLLWDSIGAVYFSGRSFSTWLQNLLASSFGISIMDIAAIIASTVFSIAFHEFGHAVAAASEGIQIEYVAVFVAALFPGALIALNCDQLQNLPLFSMLRIYCAGIWHNVMLCGVCVIMALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSTHNFILSVDGLNITRADEWMKMLTQDNVVQISSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGAKDGRSNFACLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLSCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKRTNRHCRHIFLIN >KN539867.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539867.1:55545:57922:-1 gene:KN539867.1_FG007 transcript:KN539867.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDDEARVKEFNLKQMWKSPNGTIRNIINGDEMTRIFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKDEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRL >AMDW01030548.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030548.1:57:299:-1 gene:AMDW01030548.1_FG001 transcript:AMDW01030548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPKLKRLCLVSCYSVYKEAFIEFIAKCPLIEDIALINCGSVVFHALNVITGKSCPQLKRFELRTAFERPCYGFDVPLGIPT >KN542431.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542431.1:8744:9613:1 gene:KN542431.1_FG001 transcript:KN542431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASASTGPMNLLLSKLSNMLSDEYDRLKGVRKDIEFLHRDLGAMNALLQSLADEEELDPQRKEWRDRVRELAYDADDCIDAFIHQLGRGDDADASIIHKILHEMKKLMDRHQIAGQIHELKSRVAEESERCKRWPIPSPNSSALVKVDPRLAALYVEANRLVGIDSPREKLIELLMAERRHSDGQAQRIKVVSIVGFGGLGKTTLANQVYDKIKGRYDCSVFVSVSQNPNLRNVLRSILKGVQGHTYGVSDDDDEQQLIKSLREYLEDKRF >KN542431.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542431.1:13267:15315:1 gene:KN542431.1_FG002 transcript:KN542431.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRIESLAAACCYGYNGQVYRIKPLDELDAKMLFFIRTFGSEDACPEQIKDNAKYILKKCRGVPLAIISVASLLASQEVASKEKWDYIQNSLRFELEENSSLQWMKHVLNLSYNNLPHALRPCLLYLGMFPEDSVIKKDDLVRQWIAEGFVSDNKYGRDLEEVAGKYFNELANRSMIQLMEFSEGRKCRVHDLMLDFIVSKSIDENFLTIVNGEENTKGFFKAHRVSLQFKSGQERILFGIWSGEESKILNPESMGLMHVRSLSFHGYVDSMPYLLTLKVLRVVDLMGYVSDDLDLTSICSLHRLRYLRISFKCKLPRQIQSLQHLKTLELGHHVDIPSDISHLSSLQHLVISTDTLLPDGIGKMTAILTLKVFNLWKNSERNIKDLGSLTNLRELKFYYSNDSQSFEDKRQKEDLLVSSLDILSSCNILRYLYFSKYFDDFTRDAFVSWSPPLSSLRRLHMFPCPFHRVPAWIAQLSELTSLELNLEPVMSPTDYGLEILTGLRCLIQLILRVECVELEWNIIFSSTAFPVLTCFGFSSRRPSLTFEQGALPKLQKLDIYTSAREGRALVGIEHLQSLEQVNVGLNCWHLWPHEIKEAEDALRSAIKINPRDPNIHIRQYGHTNEMLEKDGVFDCVRPVPQYIRNQWKHSEAMFFSASSRDYSYGLCASASIFQSTYDF >AMDW01011819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011819.1:35:205:-1 gene:AMDW01011819.1_FG001 transcript:AMDW01011819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEVLKRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQIFTDHGPLTVGNTWNHAK >KN540477.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540477.1:48080:50234:1 gene:KN540477.1_FG001 transcript:KN540477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTDPTKLKAKPIIKPKVEPCDDDDELPPPASGSGEDWEATTPLAAGNPFFTALIAKSHLHPKFQMHRLAEPEARTAAVLHSGGKSWATSYCGHLKMKKLDAGWSEFAVDNRLLVGDACVFELIAMGAAGGLEFQVQILRGGLPPEVVTSKGLTSDHPILIVD >KN540477.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540477.1:37141:43629:-1 gene:KN540477.1_FG002 transcript:KN540477.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNLWAYQRMQRDQLQKEMENEGNASIISCGVGSSATNNTNHHADGGLANLQQQQQLKAAARQQIHGIPVYDGHQQQQRRQLHRPYDVVVGARQSDGGRRLFSHHMGVGVTPSRTLSSSSPLPARLLPRLPPGRRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKNTDRPVSNAGQNNDGFDNASAGDISDDSFTDGPLRQNKSMLASEQNDTNIYSGLWSNNSSGKVGLPIREPANEIYRRYLKNTKLSVDLETSMLRLPGRPNLEFTLGIGKASQ >KN544916.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544916.1:1165:2278:-1 gene:KN544916.1_FG001 transcript:KN544916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QLRFIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMMTQEDAKQTAAVDASKRLQDLRAGNDGSSQKALEEWRKRKMERARQRAIEKSGTSSAAKTR >KN540477.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540477.1:28178:30343:1 gene:KN540477.1_FG003 transcript:KN540477.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCRRPADARRVFDRMPARDRVAWNALVAGYARNGLARMAMEMVVRMQEEGGERPDSITLVSVLPACANARALAACREAHAFAIRAGLEQLVNVATAILDAYCKCGDIRAARAVFDWMPAKNSVSWNAMIDGYAQNGDSREALALFNRMVEEGVDVMDVSVLAALQACGELGCLDEGRRVHELLVRIGLDSNVSVMNALITMYSKCKRIDLASHVFDELDRRTQVSWNAMILGCTQNGCSEDAVRLFTRMQLENVKPDSFTLVSVIPALADISDPLQARWIHGYSIRLHLDQDVYVLTALIDMYAKCGRVNIARILFNSARERHVITWNAMIHGYGSHGFGKAAVALFEEMKSIGIAPNETTFLSVLSACSHAGLVDEGREYFTSMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWAFIQKMPMDPGLSVYGAMLGACKLHKNVELAEESAQKIFELGPQEGGCAAASYINTKWLNL >KN540477.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540477.1:692:1258:1 gene:KN540477.1_FG004 transcript:KN540477.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding GKFGTLGCSDRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAALVVSSVGLGAAHVFASSACSVIRMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGNITAPCCWFTVSSMQSFRSFSSDPDMAKELVDMSLDALLEKE >KN540477.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540477.1:14147:18156:-1 gene:KN540477.1_FG005 transcript:KN540477.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFARRTHSGRYVSYSRDDLDSELGNSGDMSPESGQEFLNYHVTIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAINGCDAKATELDDVVGARPTLSLPPPPGGLPASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVDNGGGGGGGGGLGGGDGQPAEFTSKPWRPLTRKLKIPAGVLSPYRLLILIRMAVLGLFLAWRIKHKNEDAMWLWGMSVVCELWFGLSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKRQREAALDDVVEAVKIPKATWMADGTHWPGTWIQPSAEHARGDHAGIIQVMLKPPSDDPLYGTSGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRIALYGFDPPRSKEHSGCCSCCFPQRRKVKTSTVASEERQALRMADFDDEEMNMSQFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVRTLNVTFLTYLLVITLTMCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDEADDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >KN540477.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540477.1:44558:47086:1 gene:KN540477.1_FG006 transcript:KN540477.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDSDDSAPIPAGAISKLLIVIAMQTEALPLVNKFHLLEAEESIFPKGAPWIRYHGDYKGLHIDLVWPGKDPVLGVDCVGTVSAALVTYASIQSLKPDLIINAGTAGGFKAKGADIGDVYLASDVAFHDRRIPIPVFDMYGIGTRKTFATPNILKELNLKVGKLSTGDSLDMSPHDESAILNNDATVKDMEGAAVAYVADMFSTPAIVVKAVTDIVDGEKPAAEEFLQNLVAVTAALDKAVTEVVDFISGKCISDL >KN540477.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540477.1:2449:12142:1 gene:KN540477.1_FG007 transcript:KN540477.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATNAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYKTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNGSLDFRAYLVLKNSTASVEDCQQRKRYKSSQVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERNTVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMKMLYIYSTPSKLLDALFTKHGSLLSSSHEPHPKKGLDISSIIHSSFNPIATSVDDNFPEVKAHMNGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYHTKSLLLDTCSNDRNSVDGSPWILNFCLQKKWSLGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHTFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDMLTGKLAWSIQTDGEVKMQPVVDMIRNLIWCGSYDHHLYAINYKDHCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFRIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSVCNDLVQGFAAIDLPGDIFSSPLMSSELANNGRIAEAAGLPVILQEDGALRRAADAYAALANQAAAPVPPTFWTACAGCRLLHEVDRKYVGFRLMCPSCRRTFLASEVPPPPEAEAEAEPDPPPPAKKKPKTQKHFYNFDADRCEKCFKRGQVWALYGDDDGMPRHYALVEMITPGGRFRAQIRWLDLQPDGGEGKPCGEFKVGRTVTVHSVNIFSHQVAYERVAREVYRIYPKKGSVWALHGGKDADSGRPKYEFVVFLSGYSDLYGASFGYLEKVEGFRSIFTRQDVGRDAVQTLHKGDMGKLSHQIPARRTPKGEGSPLPPTDCWELDPASLPSELLHDNQRK >KN540118.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540118.1:14535:17060:1 gene:KN540118.1_FG001 transcript:KN540118.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRSHGALASKIVKSIKHIKAWRHLASKLEYIKLKIESADRRKVRYDMRGISSIAGNIDDCSTSSGNFAREEDLVGIGKNGELLTHWLKNNLEQQRSIITTVWGMGGVGKTTLVAYVYYAVKTEFDAAGWVTVSKSYLIEDLLKQIMRGFINNDPQGYLYNHIDFSTMTITNLIEHIRNYLHGRLLSFKEPSYDVWENLYKDVQSQLTNNVILDINVVLKVSLEELPHDLKNCFLHCTMFPEDYLISKKRLMRHWLTAGFIRETSNKTMEDVANDYLHKLVNRSLLQVVERNRNGDVHTCQMHDIIRILALAKSEEECFCKVYDGSSAFLAEGTQRLSIQSSNVNQLSRSGAPHLRHLYIFGSGLSIDSLTPFLKSLNLLSSLDLQGVNMKSLPHVVFKLYNLRFLGIRDTNIEVIPRTIGRLRHLEFLDARDTKLTSLPEDIVQLQKLRYLNVDMIPEEANRRVMFFGGIRVPTGIVQLTRLQTLQLVEASTETLRHIGSLTQLRAFAVSKVKNEHCVYLCNAIMKMNHLVKLKIKGIDEKEILRLEELHIPPALSTLSLGGQLSGNSLPHLVLSHKSSCNITRLSLTFSKLSQDSFSCLLNLDSLCELQLLKAYEGNRLYFHATSFPKLKRLLIWDAPCLNKIEIEHGAMPRLIKLVLRDLPKLKTLPHGIEHLRVLEELELRDTSEELIDKLQQKELDIVREAHKTIEHIKRVSIHGARKNVQESIGRLQVSS >KN540477.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540477.1:31514:34270:-1 gene:KN540477.1_FG008 transcript:KN540477.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSSLTRCHLPLLLLFLLAGSSSMALPVHPAMDRVRWQVDKVNRRGHSIGLVMSYIDEATALESSGYFRPWHVLPFVDLYGRRYHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFTVSGIVHYGTAGSSNDSMSFGDVSVPKFVAYTSAWTWKKFKSPKESDTELSFGDFTVPNGGENLLGALKFRNEELYSVGKPMKEVFWLPVDSAWLKIAEGLKVTLERCNDTFCLPTTPKVVCGLKGSSADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGKQKSTMSAGSANN >KN540118.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540118.1:58541:59434:1 gene:KN540118.1_FG002 transcript:KN540118.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKAMKALAAGVCVPDMPSPRVYCIGPLVDAGNKVGSGAERRHACLVWLDAQPRRSGVFLSFGSQGAFPAAQLKEIARGLESSGHRFLWVVRSPPEEQATSPEPDLERLLPAGFLERTKGTGMVVKNWAPQAEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVPLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAVKEGGSSEVAFDEFMRDLEKSSLENGVCS >KN539048.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539048.1:144535:150696:1 gene:KN539048.1_FG001 transcript:KN539048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATGGAPSSSSSPAVSLPEFITSQDISLLHGLGIKFVLVPGTHVQIDKLLSERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKEEKELGLIGRDFVDGYTASFRNGVGFNNGNGLSVGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVRRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDISRGSKYYIKRLRAAEIGQMAVNNFAVR >KN540118.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540118.1:35121:37152:1 gene:KN540118.1_FG003 transcript:KN540118.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRCTQHSEGPATVLAIGTANPENIVLQDDFADYYFGLTKSEHLTELKDKMKRICHKSGIEKRYIHLNAEIIRAHPEIIDKHVPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIVGHGLFGDGAGAVIVGADPSADGEHPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDVFRSVGNTDPNWNNLFWAVHPGGRAILDNIEDKLQLQPWKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEEDMQQQPEWGVLLAFGPGVTIETIVLRNPLSRGLKEN >KN540118.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540118.1:25678:28600:-1 gene:KN540118.1_FG004 transcript:KN540118.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRGTQHSEGPATILAIGTANPENIVCQDNFADYYFGLTKSKHLTELKDKMKRISGSCTEQEMRSLLQFLAGLSQDIGLTASWHNSTDCCSWEGITCSREGTVAEVSLASRSLQGHISPSLGDLTSLVCLNLSHNSLSGGLPLELVSSSSIVVLDVSFNRLTGGLGELPSSTPHRPLQVLNISSNLFTGLFPSNTWEMMNNLITLNASNNSFTGPIPTSFCASAPSFAVLELSSNQFSGRIPLGLGNCSMLTLLSAGHNNLIGALPDDIFDITSLKHLWFPNNQLEGSIIGITKLKNLVTIDLGENRLNGSIPNSIGQLKTLEKLNLEYNNMFGELPSSLGNCTKLMTMNLGGNNLSGDLDNVNFSTLGNLRSLDLIWNNFTGTVPESIYSCRNLIALRLSYNRFHGQLSEKIGNLKYLTFVSLVGISLRNITNALQILQNCRTLTTLFIGYNFIHETMPKDDEIYGFENLRVFSLNDCSLTGKIPRWLSKLTNLEMLFLYNNQLNGPVPYWISSLNFLFHIDMSNNSLSGEIPLALVEMPMLQTGNVAMKTFELPISRSHSLQYRITSSFPKVLNLGTNNFTGMIPNEIGHLKALLLLNLSSNRLSGKIPDSIYNLTNLQVLDLSRNNLNGTIPDALNELHFLSVFNISNNDLEGSVPNVGQLSTFPSNSFDGNPKLCGPMLTQHCDSTETPFVSTKHTNSMIVFMISFGTFFGVGVLYDQIVLSRFF >KN541207.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541207.1:12339:12710:1 gene:KN541207.1_FG001 transcript:KN541207.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGDTNIRAHKRHIMFLGEAQKHRCRFLRPWFQRKEPVQFNSFLQHPSSDTISIGLTLPYIESIMCDNKEKKPAVLCRRKSADCIPKSVVAIPIRMHIGWNIDLSCYLFIQIELKEAGPLLT >KN543956.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543956.1:2692:4026:-1 gene:KN543956.1_FG001 transcript:KN543956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRQLLKRSDEGRVVATCRAPDSAVELQKLRQEHAQRLAVLPLDVTDESTIEAAAASIGETHGSLNLLINATGILSIPNVIHPETTFSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGRSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >AMDW01037095.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037095.1:118:573:1 gene:AMDW01037095.1_FG001 transcript:AMDW01037095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRIKKGIAGAAQVTIPFAIFTDRLVPFFAPAFLSCPDHGQAAAGAAPPRSAPASSPALISSVQDLYDFICSGPLVDRIGYTKEKIAGSIDRWLRCGVQVARLFRLNELHLSEAEKARIYHFYIPVFLWCEDQVTEHRAKYKDGDEIPPLV >KN539048.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539048.1:34741:37721:1 gene:KN539048.1_FG002 transcript:KN539048.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTTAGGLGLDGYPLQGGGGGGGGYYYAEEQQPAAYLEECGNGHQFYMDEDFSSSSSSRQFHSGTGAPSSAPAPPPPSATTSSAGGHGLFEAADFSFPQVDISLDFGGSPAVSSSSGAGAGAAPSSSSSSGRWAAQLLMECARAVAGRDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTTSGPRTLRTLAAASDRYPSWPTLLEALATRSSDDTPHLSITTVVPTAAPSAAAQRVMREIGQRLEKFARLMGVPSKATHLSQKIKQETEKNSTTKQLP >AMDW01044585.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044585.1:264:597:1 gene:AMDW01044585.1_FG001 transcript:AMDW01044585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KDRELGHAYSEIKGLKVTEALKDKAIAELTKELKKQDEKLSSLEKQLEQK >KN539048.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539048.1:99247:105821:1 gene:KN539048.1_FG003 transcript:KN539048.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRDGAAEAVGQRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQKAMPAGLWDEILAALTWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAHRSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEEFPSDPKKQLHLSVLAVFNSWDSARAKKYRSINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGERKLYGEFLVNAQGEDVVAGIRTPQDLDTMKDCMPEPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDRRSAIKMVEPRHLDQLLHPQVVLVADKVLCEGEWLSLNGSTGEVILGKLPLSPPALSGDLGEFMSWVDEVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETIEHRQIALDRLLPYQRLDFEGIFRAMDGGTTPVILICNLFDTSRLGISYPELTAMQARAIFEAAISMTEQGVKVFPEIMVPLIGTPQELAQQVDVIREVAEKVFANAETTISYKIGSMIEVPRAALIADEIAALAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKVAVERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >KN541207.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541207.1:20907:24729:-1 gene:KN541207.1_FG002 transcript:KN541207.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDKGAGRCNWDVLGCRHGRVLVYNRTRNEVTVWDPATGHRSCAAAPPELGDDKEKIVFNGAVLCAAASGEGHVHGDCHSSPFQVVLIGIHTDYKPVYASLYSSQAGVWGDLITLECPPVYDTCLPSTLIGNSLYWLFSGEEEGILEFDLGRESLAIIEMPSEFLHYNSHRSFQIMPAEDGGICLAILSYQIMELWERKISSDGVGVAEWAMLKKIELGVILGLGRMGGSENLIVAYDEDYQLIFVRTINGVFMIHLESMQFKNLGKDNFDGILHAYSAFCTAVGDLPRAERRVGTISEIMGFDEDDSNSADPPKGAGAVEGNAAAPSCETIPDEGMVDNENLDYVWNHGERIGEGFKCKYCKMTRKSGRGTRLKEHLAGRRHNVIACSGVPPKVRKAMRISLNKVKQRTKAAKNRRAKMKKPNTQNMKMEKRGSTFEYGGGSDSRSAPDACCNVAGSCVGGGVVLPQSSSKTRLKLHGMDADDVYRGASAQTEIGTSELRKAWAEWFHNNGIPGIKADCPYFRRAMELTQQLGFNVAVPTGAEIDGAYLDADEEELNVDAINAEKSCEAVLDMPLITWTKKHIGKNHKANKKYHEMANTLTQDLGSPGSKRKRVEVKQGKQPMNNKEEFMGSDDEMGIPSDMSNMPR >KN539048.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539048.1:83608:86839:-1 gene:KN539048.1_FG004 transcript:KN539048.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSSGDPYGDLVGKPLLRIAASTSAPTQLLPQKTNDPTLLQSHKSIDQLRSVPDSAGRASAARSSLGSGRLSGPSPNTVQSHLPNLPLTNPTHMLHLLPRTMPDPLQTPPPPTTQIQHPTTNRSPPSYSTSSPPHDENLPPLTRARSSQASAIVFSNSDSVEVFMPSADMSIAACFAHAYIEPPAACPSTVIRSAIRQRIPNVFFSLHPTCRGALELEFSTPLGRNSVIELGSPLEAFGHRITLVKHEATEACFFAQRDTLHELDITYYPHEHLFPHEIKVAFDVLGEVIEIDEQCTLGKDYSSLRLILETHRDKDLDHDSSHRDFSNEAIALPWHENWDPILGSQAPSVGLFLPAPAAYNTSTESDPALESQPVDEPVAATENVADEPIPGAVAAVLLSKLPADPILDVDLGLEAATLDAFSDAPDISKTAEPTDQSTDKNDLGPSSDPDGPNPKTHSRFQRSKKAATKPAALVEQPRRLSDRLTAKEPATFQSMKDRAVRVKNIKEKLASYSIRLQHTFRKHNLLNDLALHVSPLAIKDLAEVCNLDEAATKELELVLTEDI >KN539048.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539048.1:50298:54755:-1 gene:KN539048.1_FG005 transcript:KN539048.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDFAVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGIIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREDHKVKTVTSAEAKSASADNASISNSEKQEQKKSVPEDGRMKNSKNDHATTTSPSSPWSENMDPIASTSKTGKGKTQGAEATEREGDGAVSVANSKKESRPVFEDNIVLGLALEGSKRTLPIDDGMNPHLSLSETEQDTVEAASSPKDKKGQEKVVVAMKPSHLSRRPDWAASCTIDVILCQRSVTRPESRYWCLVGVEHGRPADMAAARAEAHFRSFHPVNCMPAKHGLYSELKVLQSGRIVQIKYDAELATVSVKNRKLNSR >KN539048.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539048.1:115219:127234:-1 gene:KN539048.1_FG006 transcript:KN539048.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVPDTYGRWLYFLRELCTQYLKFDYHKTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKVTFFLETIMQNKELEKQCEKAKEREEAILQKFAEFEERTREYQSSFDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTLKYIASLEEEKDSLRTKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDEIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRRHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAYEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVELLILAADILKDSFILNNK >KN542258.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542258.1:1681:5426:1 gene:KN542258.1_FG001 transcript:KN542258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLMVSLLLMLLLSTPNLLVAKQLPFCSNANTITHMPEGTYKTNLLQLAKNLIANVNQTQLHSANGTAGAAGPDKVYGAVLCRGDSAGDSCAIRLQRVLDTASINGTSGDDSGYFQNQKNVTLYDHEFQALLSFSDKDFISSFSNAPECTVSAYLNPPPDADRAQFSVLFSELMEKIAAGVVSRPASYLTGRGWFDLKSQTVYALAQCTDAMPPENCRSCLDDIIDEGKKMVGGGLTGGAVLGMRCSLWYQTDVKFFAGDPEVSLHMPTQQARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFSQIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEMTLPKPNQPAYFYVRSSGSDDSSCNNSISITLARQTVRQWTVISINMEGRVSGRAAFFKSKYEQSATD >AMDW01024222.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024222.1:8:331:-1 gene:AMDW01024222.1_FG001 transcript:AMDW01024222.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVWRLSLLLSTYFFLCANVLFVTKSFCAIVVDVYFGALLAYYADHVLGTRIGTVTIYLNSIFTAAFAGYALAERRRSDGTEQSTDNVPAFADEEEEEYARAVLISSAA >KN542258.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542258.1:12970:15408:1 gene:KN542258.1_FG002 transcript:KN542258.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYILVWKYKINMQAASSCLYSKEVAVYYDQYQLRYSDQDFLAGAGAGAANEPETAAFNMNNVSDAGDVAAFDALVAELVNAVADRASNATRRYAAGKAGFAPEAMTVYAIAQCTPDLSPPQCRGCLAGIIDQMPKWFSGRVGGRILGVRCDFRYEKDPFFKIPNDMVVLSPLPDPSSQGRNIVYTRVKREDVVMIIWNGIFLFYDA >KN541906.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541906.1:15604:17905:1 gene:KN541906.1_FG001 transcript:KN541906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEYNSGTREWSFHPWVENMRRPRRGQAMHAAGIIFWKCEDNFVILLDTLTMEFSMLGLPVSLFQPSKYAIGEMEDGVCCLVCLDGTMDNVHMQVWLLMEEDGGGRRWELEKEMPVNEVLDRHSLVRQVRTVASGLVLVSWDDRYPQFAIDLKNMKVMAEFRCSGETYLFQTPWPPALLVDSEVQPADLAIPLQSAEYVEPLQMIATQNMVKHVNLAAERTDVVNSEGPLDLVLEPHGPLDAQQAMAAEAETLVVTADLKLVRSTEAQNQSVAEKPEIMKGPEVPVSKRSMSRLEKRRGERYESALHKAMERKARYMGGVEQLSTSLGRNYRRSEKPIVVDSSYERYYQCRQRREKPIVVDSRYGKYYQRRQRRPAAGVQAR >KN538704.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538704.1:230791:242490:1 gene:KN538704.1_FG033 transcript:KN538704.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQWPRSWMGGGLGSGGPQSQQGTNDSLDSRRRDVGNGRRCDGLVKQSTKGEAAKAFLRVLKYSSGAVLEGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELALWAKENTVTLDNQSSTQDGQEKSVTTQTERKVTVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLQSEPLIDEVLQGLDAASATVDDMDEWLRIFNMKLRHMREDIASIESRNNGLEMQSVNNKGLVEELEKLLDRLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNAKSRSGGNDPDDDLNLMDPDGNDLKPDDTSAELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLHDLESRISTQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLDKIAHSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHEASESYEQACTRHISSLIYLQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVIKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVARIYGNETIMSVADMKDVLANF >KN544956.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544956.1:715:2027:1 gene:KN544956.1_FG001 transcript:KN544956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGNHDYRGDALAQLSPVLRKVDSRWICIKSFVVSAEIADFFFVDTTPFVLKYWTDPKNSKYDWRGVSPRETYIANVLKAHGVDLYLNGHDHCLEHISSRDSKIQYLTSGAGSKAWRGVQTANADKVEFFYDGQGFMSLQLTAAEASLAFYDVAGEVLHTWTVAKPAL >KN538704.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538704.1:292718:294067:-1 gene:KN538704.1_FG035 transcript:KN538704.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLAALLRRLSSHPFDSPAIHANLVKSSSISSPIPATALLTAYANAGLPAAASRLFDEMPTRDAVAWNALLACLVRHARPCAAVAAFRDMATAGFTPTAATLCTMAKACATSRALRPGRQVHARSILACQGDVIMATTLVDLYMSCGLVEEALRLFMCTDCPKDVALYNAVISGCVENGRFREAFFILGRIELNGIALTCALTACSATANLMHGMQVHCKALRGGFTLETILCNALIDMYAKCGRTTAARMVFDRMACRNVVSWSSMIDAYSHHGHGEAALDLFKRMDETVPVVLPNAITFLAVLSACGQSGLVEEGRAMFHLMKRQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSTTRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHAAARQWFELDESRRIIMDKGLRKEAASSHISSTG >KN538704.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538704.1:304093:304383:-1 gene:KN538704.1_FG036 transcript:KN538704.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGQWRLYNAKPNLCASLSLLKKEPMGSNGVFGAHQCVQDSSKVNNFAAISHQKVQDPAMEIVKSYSALPFNTGSQSLCNRTDRGWQEKSSSPHQ >KN538704.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538704.1:296532:299678:-1 gene:KN538704.1_FG038 transcript:KN538704.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLARKVSDFGFATRAITDVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHGGALAGMKKTLVFYRGRAPKGAKTSWVMHEYRLQSKFPYKPAKDEWVVCRVFKKLQCHLAKPRPPHDDVDGDGASPPEMVDASSLGELGELDVSSILLGGFAPPSGELCHGGGGGDGFGAHRLDVGAYMSWLQAAAAANHGAGIKQGCVSGHHVCLLTALHKGDRRLPADGVEGGMARAPGSYSAARRWIGSNEME >KN538704.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538704.1:212214:217896:1 gene:KN538704.1_FG040 transcript:KN538704.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAKELLKKVTEAARRRREDDEEEEDEEEGEEAGRRRVADILLKRQFEESGRKRMELADRILQPDPEDGFKMLVKHRQPVTAVVLSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSENVLVSHHAKPPLSAKRSKQVLALAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGAISCLSFGPDSSELFSGSFDRKIMQWNAEDRTYMNCLFGHQNEVLTMDALSKDRLLTVARDRTMHLWKIPEESQLLFRAPSTASLECCCFIDDKEFLTGSDDGSVELWSIMRKKPTHIIRNAHPVFRNNLNSLENNVEENGIHKPESVSSAQSWVSAVAARRGSDLVASGAANGSVRLWAIEPDSKGIRPLFSLRLDGFVNSLAIPKSGRFIVAGVGQEPRLGRWGRVRSAQNGVVIHPIRLKEESEDL >KN538704.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538704.1:333000:337175:-1 gene:KN538704.1_FG041 transcript:KN538704.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTTGILMKEEVVGQNRRGMMTRPLVHGAGGQRYMGRPTQAPIMEAGGGSGRWPSLSSIQECNRGATPKSVLELMNVKDLTLAHVKSHLQATYLLDLVQMYRTVKGTTDRTCAEGHGQMRDMGFLRRGGGGVDGFDVLGNTSSIAIANIRLVYSTLYLVD >KN538704.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538704.1:220380:223676:-1 gene:KN538704.1_FG042 transcript:KN538704.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRCYYYYEDDDADGDGEEEAITQESLPAAQQQDLSMVINLLNIKQHHARTLLIHHRWKMHCIYDHLDRKGRDRMLSEACIILPKNSMSAASSTSVTCNVCFEDFSMTDVSTMDCGHCFCNDCWTEHFFASINTGNKQIRCMEVKCKAICDEDIVRRLLSLKYPAASKRFDLLLLESYLEDNDSVKWCPSAPHCGRAIQVGTSEKYCEVACPCGVSFCFNCAGQVHSPCPCTIWEKWKAKGHGDSDSVKWILANTKSCPKCSKPIEKNGGCNLVHCKCGQCLCWLCGGPTGREHTWESISGHSCNRYKEENGDKVDTSRQQMQRYAHYWDRYNIHAGSYKVEQKDLGPAIEEQVKKLESNLTGPKMNWDGSWLAMAYQSLLASRQVLSRSYAFAYYMFGGGEVKTHPSERSNLAVAQNLFEDRQEQLERHVEHLSKELATDLPGMPEEEIVLKKVEIANLAKIVQAICGQLYRCIQDDLLPLLVQPMNIAAYQPDGPDKAKEFIRA >AMDW01134575.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01134575.1:115:1627:-1 gene:AMDW01134575.1_FG001 transcript:AMDW01134575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFEGPLVNSLLSIRQGGLKMYIIEDKGGAIALMLASLFFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKPNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGSRSENSHVGSSGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLNGSTNIYKLGENGSVEPNKEVIEKDAPKDLENGASATKYVAKAEAGTAEYLIELEERRSIK >KN544009.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544009.1:124:2977:-1 gene:KN544009.1_FG001 transcript:KN544009.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVEEGLVEALLGGSGEKKGVGEVVAGGSGKTERVLWAFGAPRVQGADDQCVVVAALTLGDGGALQITMFVQFIVGLREYSALQIVVLLDFLLDLPGSQLHEDKP >AMDW01048115.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048115.1:79:504:1 gene:AMDW01048115.1_FG001 transcript:AMDW01048115.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RSASATTRKSLQATNTDEGNASTLTNSKSSNGRTTTVPAGPVFRCTERAEKRREFYMKLEEKHQALEEEKNQLEAKLK >AMDW01019567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019567.1:81:203:1 gene:AMDW01019567.1_FG001 transcript:AMDW01019567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALRMS >AMDW01038021.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038021.1:2:400:1 gene:AMDW01038021.1_FG001 transcript:AMDW01038021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEEAADAGRSRSLHGLISNGGSGSGLRFTIPKASRSKPVAQREQPTAIKVEKSEEDAEAEAMAEVASALRAVGDKFLRMEERRLEISLQIEKERMESEMKRTQTLLDAQQLFVEAFLGKQQHHHHHKKAK >AMDW01037789.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037789.1:138:572:-1 gene:AMDW01037789.1_FG001 transcript:AMDW01037789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CTDNDLNGTDIKGRVVLCTSLGIPPLMLFPVALKNVLDAGGSGLIFAQYTTDILDVTKNCNGTACVLVDLDTAQLISSYISGTSSPVAKIEPPRTVTGEGILAPKVAAFSSRGPSVDYPDIIK >AMDW01022285.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022285.1:49:303:-1 gene:AMDW01022285.1_FG001 transcript:AMDW01022285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVEIVAVASEVFVFAACLRLVDSGGGAVAEGRGVGLAMLTVFAVALAAQVCNEWNALYRQVRLLSSDRRSFVEGAKAAWVGLLLL >AMDW01038139.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038139.1:9:536:-1 gene:AMDW01038139.1_FG001 transcript:AMDW01038139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEEIKTKLHDYKKSDTNISESILVGTNNENTTSDTVVPENSANPGDSAPAEEHHSYDNSASESNQDESTGASTNNENATVDTVVVD >KN540713.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540713.1:43:882:1 gene:KN540713.1_FG001 transcript:KN540713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KRRHLLRPFQKEKEDKKLLLVFEYMENGSLDHHLHGPTLSSSSSSPVVTSWKMRIEILLGVSRAIEYLQSCGEQPIVHRDVKPSNILLDGNWAPRLTDFGIALIWEGPGHEELNPIGSIGYVAPEHIMAGNLNLMTDIYSLGVVMLEVLTGKKTYLSKEEWEEEKREECEQEGKNTEEDKEESEREEDGKTIEEWHEWRKQYEFGGLGLVSFALPLIEAGKLWKVLDRRPAAEPPPRQRNWWCRWQYAACCCSGRSGAHFGSRGQPREGARARPLRRVA >KN540713.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540713.1:33648:34193:1 gene:KN540713.1_FG002 transcript:KN540713.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGDGLAAKFADVNARISNCLVDLQAANGVRIEEKIDRQAANGSRIEKKLDKLAAGSRDQPNQRRANNSSQREIFNTGKNGSNNGGWNKGGGQQQNGGKGGKRRRGKKAAGTTPPPPQPQFRPRSGAGVPFYPSMEDDPTSCSVM >KN540713.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540713.1:13177:18459:-1 gene:KN540713.1_FG003 transcript:KN540713.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTYDKQTDIIQEFVRMLQKQYERIENVLRNQLELQEKFSSLTAAISGVGGYHPQFLPPRVLSYQNCSGQLLRLQFVNSCSKDKYSTHKIEADDESPLQVAIYDHNNRIVTSEPFSSMRVQIVVINGDFDHDHRGQWTKKDFDTKIVHGRPQKGQLLSGELKFRLQNGVGYLSSAKFQDNSSFVPSKKFKLGVMAADERISERIQEGITESFAVKDVRGYIAKKNPNPSPRDAVYKLSKIAKNGDRHKLLEQNGIKTVEDFLSFYQKSPGDLRKILGKISDQDWDLIIGHAQKCNPRPGVYSSFLQESNVSHEHQALFGSNGRYYLQGSCSMHPSHMLQVPYFRGHRNKNLLILQNVTAQLDVQGTQQQISSEYNGLSSGGLPVTVPNSSNFQPETLYQNLMHHGQLEGTQVVGQQVSSVGNEVLPASSLDVSSSQQQQHSLECNRTPDQLDGNGLSHVNLSDWQPLQELWLDGHVDTQWDSSGNALSASAEGLVNEFTGWRQPSIPVTPGSGGSCSAAEQNYWGHSPVSEAGSISYNGISAVSEAAGNRSHGHRGLSPSPSPARGAAGSRRHRALSFSPARGAGNVRRRALSFSPGRGARSSSNGWPPLPPGPPSWWTDNTSNTSADCTDEEYCLDG >KN540713.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540713.1:4038:11636:-1 gene:KN540713.1_FG004 transcript:KN540713.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRPRRGREEDEEEEKGDDGRAGGEGPQAQGTTTPAKRPRRCCSCCQDTKDFYEEQIEMFKKEMQCMSKGLSEERRILQREMQQFYQNSQLQLNEHINEQHWRMEQIWEQFNTLISGTGPPGGHVKKHTNPRVLTSQREIRTYRLKFESRCSNVKFSRHVMTADDGNPIKVAIYDHDNRIITNGPLSSMQVRIVVIDGEFNKENKVQWSRDSFLQNIVHGRPGKPPLFANELYLRLENGVANLYGAKFQDNSSFVPSKQFRLGVMAADDSISEKILEGISESFAVKDGRGFSTKKDPYPSLSDPICKLKRIAGDRKKLLEKMDINLVQDFLRFYNKDKNSLRKACGNIPDNDWNIIVEHALNFFINSLYNIVGATINGIYTSYEELNDTHKDLVEEHRKDAYDNLEVVQYKDKIACHEHELIVGDRGSCYLQGSCSMPTRPTLPTGFHEESSNQGKDLQSGQPQASPHPHQRWVKIVTTVTTLRFWMANGCKTPLRARLRKNTGKELRSLARAISCRHGNERVHTRGLCLWGKKAWSSSGSLEWKQKQESQSSSSPKISLVCLALPIIQAKKLHKVLDRQSALEPTPRQL >AMDW01034042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034042.1:55:342:1 gene:AMDW01034042.1_FG001 transcript:AMDW01034042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLQEAYRGAKVGTDIEDEMEEEDIDESDEDDDSEEGEAL >KN540713.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540713.1:42045:43075:-1 gene:KN540713.1_FG005 transcript:KN540713.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLWLSAGEAPAPLLVWRLSLLLSTYFFLCANVLFVTKSFCAIVVDVYFGALLAYYADHVLGTRIGTVTIYLNSIFTAAFAGYALAERRRSDGTEQSTDNVPAFADEEEEEYARAVLISSAAVISITLLFPTAYVSWMILCPYATTVEDVLRDLSYTILAYLFFATTLVTRHLLRGALLGGGRFYVFLLVFFIVTVLPLFFAGIFGDVAGIIVIWLGIIALAVLFGYSVAIYSYYTQIQTMRSSQPPSEKADAEKADAAKQELDEISRDKLEHTSSPGRSTHVHSNPHSSASSSAEGSPSTHH >KN539530.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539530.1:12142:12511:1 gene:KN539530.1_FG001 transcript:KN539530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCMKPAYQGLMLFCLVLVVCSALPAQIRGQTIRKIGSNIPMGLKNVVSHASLNVCYQEERDFAYCCSKDKKCYSTISECIAKCTNN >KN539530.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539530.1:98466:99024:1 gene:KN539530.1_FG002 transcript:KN539530.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMWHQNKREKKNRLTFEEMFDMFCEGRCVVGPQWCHAGEYWDESQARPEKVLFLMYEHLLRDTVGNLRTLAEFTQLRVLSPGRRRRLNNLKNLNVNKSGTTLLGISKDGFFRKGGTGDWSNHMSPEMAARLDKIVKESLEGSGHPIISRINAKATTSIGSSNHGASEAKYIKE >KN539530.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539530.1:16472:16900:1 gene:KN539530.1_FG003 transcript:KN539530.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHTAGHGTTGTGVHHGAGAGAGLGTGQTAGYGTTGVTGAQHGAGGLGTGHTAGYGTTGAHHAAGGLGTGHMAGHGATATPDTMAYGTTGTGAPHGATTGTGAYPHAGGQFQPAREEHKTGGILRRSGSSSSSSVTFLITL >KN539102.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539102.1:72607:73591:1 gene:KN539102.1_FG001 transcript:KN539102.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAGDEAMSMSWHDVGVVVDPEAAGTAPFAAAVSGYVPSYDQCQYYYYDHHHHHPCSTELIHAGDAGSAVAVAHDDVDCLVHAAAAATSPSSSSALTFDGHGEEHSAVSWMDMDMDAHATAPPLIGYGPTAATSSPSPCFSSGDSGMVMVTTTTPRSAAASGSQKRARPPPSPSQGSELHEYSKKQRANNKETQSSAAKSRRERISERLRALQELVPSGGKVDMVTMLDRAISYLKFMQLQLRVLETDAGWPAADGGAAPDISRVKDALDAIILSSSSPSPKASPPRSG >KN539102.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539102.1:22657:24525:1 gene:KN539102.1_FG002 transcript:KN539102.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFRYPLSFFRLAIPSALMVCLEWWSFELLVLLSGRLPNPKLETSVLSITLNTANCLFMIPFGLGAAISTRVSNELGAGRPRAARLAVRVVTLLATLEGLGMALVLACVRYVWGHAYSDEEEVVAYVAKMMLVLAVSNFLDGIQCVLSGVARGCGWQKIGACINLGAFYVVGVPAAYLAAFVLRAGGLGLWMGIICGVAVQTLLFVAITSRTDWQKEAKMAKDRVFSSSLPTDLAT >KN539102.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539102.1:99502:101931:1 gene:KN539102.1_FG003 transcript:KN539102.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSSAPAPAGEDDAGKSGSSKDGMKIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRAQMERLRPMSNSDLPLMDLASIHAATDSFSKANKLGEGGFGPVYRGVLPGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEFLPNGSLDAFLFSTPRPLLLLLLLLLAAPPLLLPRGYMAPEFALEGVYSVKSDGFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTEGQAAEFMDPALGRGYAAEEAWRCYHVGLLCVQEDADARPTMSNVLLALISDHMNLPEPSRPPMFTRLRRALLLAPPLMTTKTDSTASPLSVNDVSITVIEPR >KN539102.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539102.1:145540:148266:-1 gene:KN539102.1_FG004 transcript:KN539102.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding QMAEAVLLAVKKVGNVLADEAAKAVIAKVSEKVTNLKELPEKVEEIRKQLTIMNSVILQIGTSYLTDIVVKNWIAEVRKLAYHVEDVMDKYSYHAIQHEEEGFLKKYFVKGSHYVVVFSDIAEEVVKLEKQIQQVIELKEQWLHPSQLNPNQLAESGRPRSHDNFPYLVKDEDLVGIEDHKRLLAGWLYSDEPDRAVITVSGIGGLGKTTLVTNVYEREKVNFAAHAWIVVSQTYNVEALLRKLLIKIGSTELSLDSLNNMDAHDLKEEIKKKIEDSKCLIVLDDVWDKKVYFQMQDAFQNLQATRVIITTRENDVAALATSTRRLNLQPLNGADAFELFCRRAFYNKGHKCPKELEKVANSIVDSLFPEDYTMTRESLVRLWVAEGFVLGKEKNTLEDVAEGNLMELIHRNMLEVVDNDEIGRVNSCKMHDIVRVLALSIAKEERFGSANDLGTMLLMDKEVRRLSTCGWSDDTVSTVKFMRLRTLISLSTTSSPLEMLSSILCGSSYLTVLELQDSEITEVPTSIGNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLDIKQTKIEKLPRSIVNIKKLRHLIADRYVDEKQSDFWYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLIMPFLSSLLLSAKDENEELCFEALRPRSTELHRLIIRGQWAKGTLDCPIFHGNGTNLKYLALSWCHLGEDPLGMLASHLPNLTYLRLNNTHSANILVLSTESFPHLKTLVLKHMPNVNQLKIMDGALPSIEGLYVVSLSKLNIVPEGIESLRTLKKLWLLYLHRDFKTQWHKNGMHHKMQHVPEIRV >KN539102.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539102.1:14650:15018:1 gene:KN539102.1_FG005 transcript:KN539102.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAIPLLFSTATACAPLLLLAAAPCAPTLLRCIQRLHLQDGGFIATSWGAVQFGFHELGGGQLDDELKGAPLHFPLRHRSLSIAPLASTSPWITGYGKLGAGSGRELKGDPIYAAAGGPPR >KN539102.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539102.1:116425:120171:1 gene:KN539102.1_FG006 transcript:KN539102.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQRTLDAMDEHNIRDEAERLCLWELQQFAYDAQDAVDEYRYELLRRRMEDQNNQGQSSRSRKRKRKGDKKEPEPSPIKVPVPDDLAARVRKILERFNEITKAWDDLRLNLSDAPIRKDDYDIKISTTPHVGDFDIVGREEDKENIIEILISDEAAQANMTVVSIVGMGGLGKTTLARMVYNDERVSKYFQLKGWVDLSEDHFDVKEIARNIIMSFSRNPCDIEDMGNLQNMITAQVQDMKFFLVLDNVWNVQKEIWDALLSLLVGAQLGMILLTTRDETISKMIGTMPSYDLSFLTSEESWQLFKQMAFGFIDQHMDQQFEGFGRKIVGKCGGLPLAVKAIGSSLRGETNEETWKDVSESDQWELPTGEERVLPALKLSYDQMPIQLKRCFVFFSLLPKGYYFLKEDMINLWMSLGLLKQYFTGRHENIGRMYFDDLIQRAMVQRAESDEKMECFVTHDLIHDLAHFVSGGDFLRINTQYLHETIGNFRNLSVVVSSSDHADVALNSVTIPGGIRILKVVNARDNRRCSRELKLLRYLSFFQTRITTIPESISNLYNLRVLDARTDSLRELPQGVKMLVNLRHLNLDLWSPLCMPRGIGALKRLQTLPRFSIGSGGCHSNVAELNHLVNIHGELCITGLRRVRNVDDAQAANIVSKQQLQILRLDWSDRVCSNNCSHPSSQNDVATPDPELEEVIFESLRPHWNIEELEVVNYSGYKYPSWFGASTFMHLAKIILRQQSCKFLPPLGELSRLRILSMECMTGVEHVGQEFRGNITGNAFPALEELEFQEILKWVEWSQVGQDDFPSLRLLKIKDSHELRYLPQELSSSLTKLVIKDCSKLACLPAIPNLTTLVLKSKINEQILNDMHFPHLRSLKVLLSRSIEHILLDNKNHPLLEVLVISVCPRLHSIMGLSTLGSLKLLKIHRCPYLQLPSDKPLSPQLQRLTITKCPLLVDWLEIQISLQQCQLHESKYTWYEEQQALDELNDESEDEQREEFGLLYEDTDEEDNDEQDHEQSEDEEIQYNSGESSEEDEWIA >KN539102.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539102.1:5937:11465:1 gene:KN539102.1_FG007 transcript:KN539102.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASALDTLCGQAFGAQQYHLLGIYKQRAMLLLSAVSAPVAVVWFYTGDILRLFGQEADIAAEAGAYARWMIPALFAYGLLQCHVRFLQTQNVVLPVMAAAGATALCHLLVCWVLVYAAGMGNRGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAVPSALMLGDVVVRDPCAPVRSSSQPKAADVRAINQPQHCFLGVDDPLWPRLCHKVVKVVARILSDVEQSTSEGRPMPLRRWIGIERSTRVSNELGAGRPHAARLAVRVSVFMAISEGLVIGLVLVWLEAADGRKLELALTLAPTTLWAYLQLTS >KN539496.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539496.1:44174:47121:1 gene:KN539496.1_FG001 transcript:KN539496.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAAQVEEVVDSGESEAMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKRKKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNQKFSLSFECETLKADEAAEEHISKYMPNLKGLDAIVNIGKMSISGINLDEDDEPSCDN >KN539102.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539102.1:29578:34973:1 gene:KN539102.1_FG008 transcript:KN539102.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHCNTTPACVAIALSWLNQAMKHPFTVADVEAKMENRKNTNKPTLSLLGLALICTYPVSSLDDSGITVESSAHVSCQGQAPRILFSLDFPETMASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVELNKAIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVKSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERYHSSDSREQRDRGPPGADRYSRYHSYDYGPTSPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >KN539102.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539102.1:54530:60529:1 gene:KN539102.1_FG009 transcript:KN539102.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVGRCHCVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPRPASTSLPPPASTAADSASHNGQSGIQMPPPLPQFQPGSSLPSWNSSPMPSSANGAPASTTTADPGSHNGFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADALETASAMIPSPKGASSVVSSSQAALAASSQVTSSVVSPSEDQFASSPVPSHQQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKVVDFVYKMLVEDSVTKFPNGQNSCFPPLQQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >KN539496.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539496.1:84515:86746:1 gene:KN539496.1_FG002 transcript:KN539496.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKIGSFTISRKAKQELSAIGGDISRLSSTVEEKAKWVFDKLKGKPNKSLSDLLREYNLPPGLFPRNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYAPRVKVTLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLRQKDAYEVPREGIAVEEF >KN539496.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539496.1:15654:21233:1 gene:KN539496.1_FG003 transcript:KN539496.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSRLEGGAEDGGDGVRRTASRGGVGVRGGGHQGAAALLQGGVRTWVLSPDFKEWKEDSRALSVGEMWASESFNQMGLPHVLPVSPVLSLSEDGVMYAVLNDIKQVPAQVDEFGIVVVDDQLVPIANYMIRFDILQNKVLSSTKISQHGDLRWLTLTLFATDFTAYLQDHQT >KN539496.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539496.1:50015:60058:1 gene:KN539496.1_FG004 transcript:KN539496.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPWNTYSFAYYLDMKSDNANTKAIGCQCSHVEEDKHDYNNFNAPVRGNEPVVTLGGIDLNNTGSVVVKEDRKLLAVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFNTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGTDKTKRLDAMSRVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEEGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVIKRNNSGKASNGNRASSSRAKFMEKSSSRNKSKKTLLGLTSARRDLSAEETDFCSDDETLIEKLESNKTDLQSKITKEVKENSILQASLGKRKEELHKRRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNHVQMSSTSLCDSCNKRLLSTDKLPEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >KN539496.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539496.1:67056:68557:1 gene:KN539496.1_FG005 transcript:KN539496.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASRRLLVLMKSDKVKTTIFHLLWVWWSARNKANVGEEMLSQGEIEHRVQNTAAYLKNPVLQNTVQSGRSVRKGNTWKAPPPGILKINFDGAYREMSRDEAWGFVIRGENGRGVLAGSGRLPMVSDALMAEAEACLAALEAAIDHGISRVIIESDCLNLVSALKTDEFDRSSGGIVFRELRLILSMYFVVTDIRHVHRSCNACADALAHMCLDRDPEQPNVWSAPLPEFVNILVDRDYTDRHVI >KN539496.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539496.1:9536:13755:-1 gene:KN539496.1_FG006 transcript:KN539496.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLVGFYEEREFALYVSSISNELRVPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >KN539496.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539496.1:89446:90578:-1 gene:KN539496.1_FG007 transcript:KN539496.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGGGDGGRSGGGGSGDLASSAKLDHNLEKVDKGRVAGAAADLLHAASQYGKLDGKPAGSYLEKAEEYLHQYGRKEGSAGSGGGKYQDEDGEGKYKKKPGHGGGRYEEEEEDYKKKPTSGGGGGYGGGRYEEEDDYKKKPTSGGGGGYGGGRYEEEDDYKKKPTSGYGGGRYEEEDEYRKKPSGGGYGGGRYEEEEDDYRKKPSAGAGGYGGGGRYEDEYKKKPGGGHGGGRYEEDDEYNKKPSGGYGYGASSGGGHGGRYEEDDYKKKPSAHSGGGGGRYEEEEGYKKPSGHGGGRYGKEEDEDDKKKKKHGEGSEGGMGDYLKLAQGLMKKQGGEGESGGGGMGDYLKLAEGFLKKR >KN539496.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539496.1:95087:102186:-1 gene:KN539496.1_FG008 transcript:KN539496.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding GDRWAAAEVRTAELIARIQPNADSERRRRAVYDYVRRLITNCLSCQVLRIIRLKGFSAWLREQRVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLSGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYAYAVTPRIQESQGQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLEYPKEDLIAEVNQFFTNTWIRHGSGNRPDAPTLGLVHQHHLKVVPAEASNSQRSAMALKKNAENPNIRANQDNLSENANSYPEATSQPLQRSVLHPRNSLRTVNPSDSHTHHQKVHVTHANTKVSEQLERNRCDGSMQNERNKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTGVVEMDKSLKVDYNSRRNNLAPEVSSSHITKSSQDESVSSMNSSSHHSGKAASDSNSVSSSYREDNGFIMNEELPSVSEASDKQQEEQVLVNLMSSAKLHDFNGQVQLPIEMPPHFSVAPSPLLAPAAFPPKHFAGIPPTSLIGAPWSNMHLIHGYVSPPMAHYVQNHTFAPNIEEGNESEKPITPDASRDDGNNWHEYGVGFPRYFNHQGRDPQMRHFNGKEHSSSPNSVSGAPFERQGEIAVEDNGAVEENYTNMFQNQTSRQASINTRIGSGNARIPSSQSGLSRNKAMPENSWGESAGNTTRSLRDKWGKRPAFAAPDTTTHSKNNTGWQTGNASEHIPPEVDDGARNGVIVPNIRHEASDIITGSGSTASRTSQVPNDFEPSQIGMPNPLFAPLFIGSPQQRQSGPAVPFLMLPYAPGNDGSVPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDINATSTASSSTAGDPSEEQKPDILNSDFDSHWCNLQYGRSCQNPRPMNPVLYPFAVPPMYLQGHVPWDGPGRPASTNVNWTQMVPPSQRIYPMMPLQPSSERITGGPQHHMEDAPRYRGGTGTYLPNPKVPFKDRHSGSRNHRGNYNTDKGDHNDKEGNWINSKQRNPGRSYGRSHSERSGIRSDRQAADENQYDRQRRSYRNDSYRHETGAQSSGSTNYIRRPGNMTHGDPSPSASNGIGALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTVDGGDMPRPTRQAMPNEFYGQRHVAFRGGSSHSSPDQPSSPQTRR >KN539496.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539496.1:64261:64599:-1 gene:KN539496.1_FG009 transcript:KN539496.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLVLFAAVAVFGAATAAAGDESWKTIDANDQHVQDVALWAVAETDWASATGGLTLNTVDGAEKRFEAGVTYYRLTLEASSRVAKYLRFQAVVYEEGDEHKLVSFVPIH >KN539496.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539496.1:27533:31660:-1 gene:KN539496.1_FG010 transcript:KN539496.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRKAFQYVAMVLYTPLITSVVVLYIWCAATNPGDPGIFKSQEHPKLKDGRQSQKNSDHGLSQGGKMSSDGFNAVDNSEKLSSMLEQKDSHSWPTFSEILCFPFSCLCKRCFHADNQSSEQHMSEEGMFFCSLCEAEVLKNMAQQLHREKELQRVLPSNDFCCTPCFHGLYTLGQLVMQWLSGALVLILCIVDRGEFSRQIVSKLGSSFSTVVFIIVVGISTYDYIIALREQEEDQQEEIAGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMPQNSGSKKTKEDEGARRRTGTVKISPWTLARLNAEEVSRAAAQARKKSKILKPIARHDVPIGHDINIGGKLVPKADNNRRPDKRGRFPAELSLDPLTRLSASGTESNFSDTAIETSGNLAPLQFEARSAFQPSTAASTRNAASSPESSFDSPDLHPFRLSSCTADEMQGAIPHPAQSGIKFSRSTSDGYEASGGEDSDRIPSRIVHRSSNWANAILSSGQGGGPAADPNMPSSEG >KN539496.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539496.1:2321:8706:1 gene:KN539496.1_FG011 transcript:KN539496.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGVLVDWMADVAYVFNLQEETLHLAVSYVDRFLSKIAFPGHKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSEMELDILRFLNFDVGSPTVITFLRKFLTSCCGGNNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTPPWFGSVSTITPPENIKGGVEKFMAEESRRPIVNYDQEIQGGHINMLMRGKLVNWMAELVYGFNLWDNILYLAVSYVDRFLSRNVVNRERLQLLGTSALFVASKYEDRCHPRARFFSSITADTYTTQQVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNRPINIRLEFMCIYLAELSLLDDYNIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIRPSFLRDLKYVNG >KN539496.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539496.1:70342:80835:-1 gene:KN539496.1_FG012 transcript:KN539496.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQGVAAAAASENPSAGHGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNPSSVPQADGAQGADASVYPADHTPLNGIAGQTVDYQSAGAAENGAATNEMGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRYFHCLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGETQPGDVDMSAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKLFEFLISYMQVIKLYERCIIACASYSEFWIRYVLCMEARGSLELANNALARATHVFIKKQAEIHLFSARFKELSGDVSGARVEYHHLYSELYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESIFPSEKRIEFLDSLVEKFLTAEPTEGEVTSLADKEDISSIFLEFLDLFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARTGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYTQQTYAQPAAMAVAAPAPAGAPAPAPAAAYPQQPVAAQQPYYGTGTTTSKILIDRRLSIVLDMAEIDTRPLGSVRAALTHFQQRADHQSRFSPDRNLQEIENLTKELASCRMQLEVKENEKIQANLRLESLQNAMQESSDNRMIARQQSEASEECKALRDELTVVRGELDAVRSSNTFLLREIELMETRMILEKESIRDSLNHVLQINESVLSSAVAAIRAEEESELMAKTVEIAYLQSQLQQVKEHCISSEIIAGGNQEQQAVEAMVGNGDTEEVVTGGGFVANSLPDASWTFSLF >KN539496.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539496.1:91385:92450:1 gene:KN539496.1_FG013 transcript:KN539496.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVSAPPVTAPSCRGRCSAAPATTSAPEKTAGHVGRLPLAIVPAAAASLSLVLWSTPVHAGIMSGFKGMESVPGPDLPRVEFLEKWNAENQKKYAEFDSRFKSSQVLKDLLEKSKQNKLKNEREIQDKYCLRGAEWGVGDCSTEGMSDQEKEEFIAELKKRTGQE >KN539496.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539496.1:36083:43065:1 gene:KN539496.1_FG014 transcript:KN539496.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MLLLRLRCAAAAARLLDKRVVFITGTDEHGEKIATSAEACGRNPKDHCDTISTSYKMLWADLDIEYDRFIRTTDPKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQHAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVNKAKDQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKTTKGGKKKARSQGLVEA >KN539135.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539135.1:42336:43846:1 gene:KN539135.1_FG001 transcript:KN539135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVHGRRDEQYGGLGLGLGLGLGLGLGLGLSCKGPGDHRGRRSAMMACHDVVEMPFLRGIDVNRAPAAETTTARGPSCSEEDEEPGASSPNSTLSSLSGKRGAPSAATAAIAAAAGSDDEDSGGGGSRKKLRLSKDQAAVLEDTFKEHNTLNPCSWTTNYCQKNDMITTVLMLTIPLVALEISG >KN539135.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539135.1:84067:87138:1 gene:KN539135.1_FG002 transcript:KN539135.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHGHHARSRSQLPTAISAPNQDFQFQFQLLPKVFQFHMDGGGGGGGEGKSSEKKVLAQLEQVRLSIASSEDEEDGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDKAAKPLSNVAVGGGAARAWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVMSSSLGSDPKRGNNAQSCAAQPAACIQPTCFMPKLFGKKPKSSQPRQRYHHHQQQLAAAALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPDHPPTSNAGRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFDGVNWALIRCSTPPGVPRAVEPTVVAAASKHRCRKHYVSKCECPETQKGNRL >KN539135.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539135.1:10056:12524:1 gene:KN539135.1_FG003 transcript:KN539135.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLKRFFPDVYQKKQDTGVSHYCAFDSELLTVFTSSLYIAGLVATLFASSVTRRYGRRTSMLIGGTVFIAGSVFGGAAVNVFMLIMNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANVLNYCVVKITAGWGWRISLSMAAVPAAFLTIGAVFLPETPSFIIERDGDTDKARILLQRLRGTTSVQKELDDLVAASNLSRTVQYPFRNIFKRKYRPQLVIALLVPFFNQLTGINVMNFYAPVMFRTIGLKESASLLLSVVNRLCATFANIVAMIVVDRFGRRKLFLVGGVQMILSQLAVGAILAAEFKDYGSMDREYAYLVLITLCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFFFAGWICLMTVFVYFFLPETKKLPMEQMEQVWRKHWFWKKIVGEEEEKQAEKTALPSM >KN539135.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539135.1:51719:54873:-1 gene:KN539135.1_FG004 transcript:KN539135.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dual specificity protein phosphatase DSP8 [Source:Projected from Arabidopsis thaliana (AT2G35680) UniProtKB/Swiss-Prot;Acc:Q9ZQP1] MRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRCLYEAHGIENLVLPTRDYLYAPSFENLCRAADFIHSLSRVFIYVVLILEGNALCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAEAYEHVRLRRPRVLLASAQRQAVEQFYQLRVKKSGKSICLDSPIMKPPLFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWVRCRAPRKNKEALPVPESNNSVGSESCSLEAEQLAKPHPCLLQGVMVNP >KN539135.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539135.1:67342:68829:-1 gene:KN539135.1_FG005 transcript:KN539135.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHEEASERKPSPPVMFRLFGVEVRGGGGGVDEEYEEEEVEGGLFIKKSSSMPNLTSIDPLPVPADGGKRRASDDSELAPGQQKRRRRKVQERKKGVPWTEEEHKKFLEGLRQLGKGDWRGISKNFVTSRTATQVASHAQKYFLRQTNPGKKKRRASLFDVVAECSDDQLPSPQSVGTKPPTQDIIHTDRGDVPILSYPVARGFRGDSVQVDELTEYVKRLKAAEDMSLSMISGLEMASSSISSLELSIAPPHCAIEAIKVL >KN539135.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539135.1:91902:94551:-1 gene:KN539135.1_FG006 transcript:KN539135.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVIRHRTLAEIVAAIEEYFVKAAEAGNGVSELLEASRAQLDRNFRQIKKTVYHSNSLLSSLSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYQEVKARESVKIEHEKKLSTLQSLEYRGRDSTKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLSMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRALYGWLKLTLFQVDSNIPQEAYTSLISRELTTFCDEWKQALDRLPDASASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRAIEKKYYQSYSMVGLGLPGSGRDGIESHSFDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >KN539135.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539135.1:6920:8881:-1 gene:KN539135.1_FG007 transcript:KN539135.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPLVMGFFFFVLCVAAVRDKAQVNMAHAVDSGQTGGPWGGAALLTDPIRFGGVGGGGGEMDLPASRGRWRKRSARSHAPLLVAVLVLLIPASLLLSSAYSSLLRSILPFSGFGGGGGGGGRRCGRSPELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRIADASDLRAAVWDHSMQLLRERRYVSMGDIIDLSPIKATVRTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGGSLPGDYDRCRSMLSGLGGSENGCVYPVQDDCRTTVWTYQENNDGALDSFQPDEDLKKRKKISYVRRRKDMYKALGPGSEAEDASLLAFGTLFSGPYKGSESYFDIHESPKDHWLETVLEKVEFLPFAPEIIAAGKEFARKMIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVELEMKKNKGSSPIHMFIMTDLPPANWSKTYLADIAKDGRYKLHTLKESDELVAQTADRLMAAEHGVKFGFIPKNIANTKKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCQL >KN539135.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539135.1:125957:130587:-1 gene:KN539135.1_FG008 transcript:KN539135.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGPCCFSPDGRYLAVAVDYRLVVRDVVSLKVVQLFSCVDKISFLDWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAASIRNPTNAAIFKEVDDPWQLDMSELCLSEGFSRNMQGNGAENGTEGGSRVKYAVMDAPITLPSQKPVTDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLDLAAVLVQKDPIRAAAWDPNCPRLVFCTESPHLYMWTPSGACCVNIPLPNFRVVDLKWNSDGTCLLLKDRDSFCCAAIVSPLPEEEEADQSDVTSEDE >KN539135.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539135.1:116908:124960:-1 gene:KN539135.1_FG009 transcript:KN539135.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRLCKDGGKGKDVAADEERELALESLQIGPDPLERISSDALRQVAFCCSRLRRLRLSGLRDADADAIGALARYCPLLEDVAFLDCGSVDEAAIAGILSLRFLSVAGCHNLKWATASTSWAQLPSLVAVDVSRTDVSPSAISRLISHSKTLKLICTLNCKSVEEEQAHNPGAFSNSKGKLVLTITSHIFKSVVSLFPDKVVKENEVFNECNWKGKDNALGDMMSWLEWILSQTLLRIAESNPQGMDDFWLQQGADMLLSLVKSSQEDVQERAATTLATFVVIDDESANVDAARSEAVMRVGGIPMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGITILTNLARSMNRLVAEEAAGGLWNLSVGEEHKVVTPWMLNTLIAMSDVFLAAIAAAGGIKALVDLILRWPAGTDGVLERAAGALANLAADDKCSMEVAKAGGVHALVMLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREGIAAAGGVEALEGGVAPLLTLAQSDVEDVHETAAGALWNLAFYSGNALRIVEEGGVPILVRLCSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSDGSSKSVNVEGARRMALKHIQTFVLTFSDPQVFTTASTSSASAALSQIADAVFIQEAGHLRCSGAEIARFVAMLRNPASILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAARVLRAAAAAMTASIEAKVFARIVLRNLEHHQTGTST >KN539135.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539135.1:59707:61261:-1 gene:KN539135.1_FG010 transcript:KN539135.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQASLLQSFPFRAAVFAACVLLLPLAPPPQAPAAGGDGGGGGGRGEAFLAKRLSPASSLSKETLAKASEDYSSRRRSPYKSSPPAPPPPPPPFLVHGYHPPAAERRTAAKSFKEELQEQTSHSFTTSEFSRSSSNSSSAKPRISIDSSSSSSSYYPVAKSVRTIRGGRESLQSQSQEQPDVAVAGDAPALLHGSDSDDPYGGYRAYQSIPRFQYERGSSDPILGNVTVSSESSDDDDSDGDGDGELSTRGNSPRRESSPEVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRGVKHGK >KN539135.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539135.1:18642:19613:-1 gene:KN539135.1_FG011 transcript:KN539135.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >KN539135.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539135.1:3301:5938:1 gene:KN539135.1_FG012 transcript:KN539135.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVALQLHLQGDAAGRRISGEAAQVLLLGLLLLLLYYKFIIGASPKFVEVGMKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPDLVYRDSDNKIPTYFVKSNVSSWPRENSWLPFELIKYTGRLHRLCVHPGKEAVGVVSAVGPGVTGIKVGDVAGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWANALGATIIGTVSTQEKAIQAAEDGCHHVIIYTKEDFVARVAEITSGKGVHVVYDAVGKDTFKGSMECLMLRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHAAGEVFTGVAAGVLRVRVNHVYPLHEAARAHADLEARRTSGSVVLLPASS >KN539135.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539135.1:81264:81698:1 gene:KN539135.1_FG013 transcript:KN539135.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRQHPHCAAPHTSRLTNLPSSVGIGILVVRLLVFVYVQGVPFLQSFDTWKFMCANECTINRFAADPIHVFSTTLPQFVWMVIKGNAELLMHSLFEFQKEIGAGDHLLGVLRDKMTNVLWITPFRLRLFSGKDIGSNLSNVL >KN539135.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539135.1:134095:143312:1 gene:KN539135.1_FG014 transcript:KN539135.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPPAYRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGAIPGLNQVMTATRGATDAFSGVTRHVNSALRKSGLKNIEAGIGCGVGIGHGFGIGIALKPQELMSKFTSRLKDTPTLSSAPNMAGSVPSNGQAPNGVSIDLKAKTTKSNFHHTSNETSQVKPAPGLQNQHGMQPEMITGSRTEKVVANFLQSPLFQDDTKMEIRDVARNSHGMDNVLELLLKHQRIIDELRDENDKLRQMLIEELKVSPSKLQLDHKNGVKAYNPCSDCFDCRRRNLPEHELRQSQQLIVQSCALVATGEVHQEATQELQDSLPSLNIFTAQRSFEVSIGSNVFSDIEISMPSLPSKIDQFIGCNDGSVLVSMASALVPKEVADMSGCINIFHATFDRESSRIGEPEGSAPLCCSRVEDREELENESTLLTMAVGLAVGKKNGIEQDLNLRPSSPSNCSTKATDDMETIDVISKEAEALQYCSPNAQYPQKILTCGQDSDALVVNAHVAIHENKIEDITFQPPEGTKTEAIVHEMVHETMGSLCQPSPNTKVEHAVLPLQAPTYGCISNDNLNIAAENRASTHQNHVEPSTQNEVAIRLSKKEQDRKIMKQRDKGKKKEALPKEDKDQVAAKVQKGHTEPKQLPNFKNFEIEEEEGSGGYGTVYRARRKSDGRLFAIKCPHANAHSHHVYNEQKMLERFGGKNFVIKYECSLRSGDLECFVLEHVEHDRPENLRKEIGLFDLRWYGFCLFKALASLHKQGIVHRDVKPGNFLFSRKLAKGYLIDFNLANDLHQKFFRHSKSETISRVKDTISQPALKSTPVVQAKEPVADSKQLLGSKRKRSNRSPVGSAPKNDNKSRHGIQAADVSGVTSAKDPTSTKTSLDRSFHQGCKVDVWSAGVTLLYFIIGKSPFGGDPEQNIKEIAKLRGSEELWEVAKLHNCESSYPSDLFDAKSLRSVDLREWCAANTRRPEFFKSIPDSLFDLVDKCLSVNPRCRITSEDALMHDFFAPCHDLIRQHRLARRPAPSNNLLSLPQDKLVKANESKRSSSSVPTTANS >KN539135.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539135.1:109103:114288:1 gene:KN539135.1_FG015 transcript:KN539135.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAYALRGAALVGVLLLVVASPALVPVASAVPFIVLHGIGDQCENGGMASFTEMLGEWSGSKGYCIMAFLAIGSEFDLSQSIADTVCKKVIQNLHHFYFCTVKKMKELRKGYSIVGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGIEVSGIVCVLIDALIKLEIYSNYVQAHLAPSGYLKIPTDMTDYLKGCKFLPKLNNEIPSERNDTYKQRFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFSPVQPPQKTKLYTEDWIGLKALDEAGRVKFVSVPGGHLSISRSDTKKYIVPYLKPDGSSRLGIRRILSD >KN539135.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539135.1:27104:30883:1 gene:KN539135.1_FG016 transcript:KN539135.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDEVENNDPTVTVGLAVSSSKSSKYAVQWAVKNFCTNGMVRFVLIHVLQRVTTVPTPMGNYIPIDKVRADIASAYEKEVECKARTMLLFYKNMCDEKAKAEVLVVKGEDVAETISNVVSMYEIHKLVVGDSSQGNFIRKSKGTRTSSQICRSVPSFCAVYVVSKGGLSAVYSPGFEGHKSSELFLSSDSSKTEIHSDDKPSLSDATPSRSFRSNLTWENLESLSSADHDRPRSLHEYLTESTSASVGDNNSSSPCASGQTPRPSNVLISDKAPMTSSPLQELMLSEDMDESINASQHATDLAAKRAEEEARLSEVYSRINRVNEQAHQEKEQRNALEAQCRHVRDLARKEALQKQILQLRTSKEADKMQRLEKLLELDGMSYSTFTWEDIESATSSFSEALKIGSGSNGTVYKGNLRQTSVAIKVLTSDDSHRIKHFKQELEVLGKIRHPHLLLLIGACLDRPCLVYEYMENGSLEDRLQRKGGTAPLPWYQRLRIAWEIALALVYLHSSKPKPIIHRDLKPANILLDSNFTSKIGDVGLSTLLPLGDALSTTRTIFKDTDLVGTLFYMDPEYQRTGQVSTKSDTYALGMVLLQLLTGKPPVGLADLVEQAVENGHLVDILDKSAGKWPAQEAHELAQLGLSCLEMRSKHRPDLKCKVLVELERLKKIASAVSDPVRPVISGPPSHFICPILKRIMQDPCIASDGYSYDRVAIEMWLCENDMSPITKSRLPNKDLVPNHALLCAITSWKAEARD >AMDW01033741.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033741.1:104:504:1 gene:AMDW01033741.1_FG001 transcript:AMDW01033741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AQLEIEQPTNAKERNVAEPLRLDAAAQANIEKHRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEK >KN543311.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543311.1:6441:8913:1 gene:KN543311.1_FG001 transcript:KN543311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLATLLPMETSSVVSQQNASKKVGIWSESASFSDDGLGPVPSKWKGTCQAGQEFKSNLCNRKIIGARWYDGRLKPKDLEGEYRSARDTNGHGTHVASTAAGALVPNVSFHGLATGYARGVAPHARLAIYKACWGPGGSCNEAAVLQAIDHAIHDGVDILSLSLGGPSFEYYTSLHAVNHGITVVFSAGNDMALLLGLLQMHPPRSCQ >AMDW01024381.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024381.1:18:302:1 gene:AMDW01024381.1_FG001 transcript:AMDW01024381.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKRHLYTSDDLLRSNPSITAYKSTSLTLRQELANHGVPRLGAEAARGAIADWGGRASDITHLVFVTSTSGCLPGADFELLGLLGLPPSTKRAM >AMDW01016755.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016755.1:83:235:1 gene:AMDW01016755.1_FG001 transcript:AMDW01016755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FDGVELLRRWRGKTVLFVGDSLSMNQWASLACMLHAAVPADGRVSFTSGEP >AMDW01038772.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038772.1:93:846:1 gene:AMDW01038772.1_FG001 transcript:AMDW01038772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLNTEAEKKLGELVHAKYDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLARQAEARGIDVGSIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKASLFPRDPRRLNP >KN540375.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540375.1:11850:14655:-1 gene:KN540375.1_FG001 transcript:KN540375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEVRDEISPWFIDRHAIDEEATDKIIKLDTNATYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDTIVPGENVSIVMGPSLSQEIINGHHSLAGALQQWPTKYTHLLQLKANDKYEEIVRGRTTWKKKSYISNVLKF >KN540375.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540375.1:37281:38399:-1 gene:KN540375.1_FG002 transcript:KN540375.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKLGGRASSAALPSRPSSHVARAFGVDTGAAGRITCSLQSDIREVANKCADAAKLAGFALATSALLVSGASAEGVPRRLTFDEIQSKTYMEVKGTGTANQCPTVEGGVDSFAFKAGKYNMKKFCLEPTSFTVKAEGVAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVSSDGIIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKNLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKVPKDVKIQGVWYAQLE >KN540375.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540375.1:20483:23332:-1 gene:KN540375.1_FG003 transcript:KN540375.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVRNEDIWQRICFRVNNFCFLTGKQLWYLFTLSPFPLFCIALWALGGWGMASGVVARTMVLIFVCIHGVRFISIFALHLHGIRMRSIGRRKTAAEHGAASRKTTAVEYGSKIHFKLLNNLVNFLAGDTHQIPLAYPVTCTGILATV >KN540375.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540375.1:18473:19120:-1 gene:KN540375.1_FG004 transcript:KN540375.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTLLYYSKLLVRCSAYEKDGSGGGRVRVNGAAHRVPLQVGAALETSINRSLAGLMRPPVLSQPPTEEEAEGRRSQRQNIPSEKQTVDPFRQAVIVEGGVRYRQTVVVRSYEVGPDRTATLETVLNLFQETALNHVWMSGLLGDGFGATHAMITNNLIWVVSRMHVQVDHYPIWCTIILVYICVRSERPGQENFMLIRQRLVAGERCWRSTRG >KN540375.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540375.1:41605:46827:1 gene:KN540375.1_FG005 transcript:KN540375.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGALLSHSPRGLGGFPPRHHHHHRLSVLRCVPLLPSPAPEPLSCRHGRHLRCAAVDGGAGRETERPSPPEPQREESPSGSLGAALEDPSPQPGVPLILLPLCCRCVAIERGTGQLLAKNHEKKNVTKGMKKLSRTSGILLDLVNHILNSNAISEGPFSMRRARFSGYASAFSVKQTEWPCAATDSKKCYFQNGSFGGITEDEEQSSLYNFLYPSKELLPDDKEMSIFDHLEELRDRIFVSVLAVGAAILGCFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYAEGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR >AMDW01048538.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048538.1:388:483:1 gene:AMDW01048538.1_FG001 transcript:AMDW01048538.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFGMPGDHISLKEVVEYESVITKELRSEVEG >KN540954.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540954.1:18421:19262:1 gene:KN540954.1_FG001 transcript:KN540954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGWLPRSATVLVLFLVLWREGVEAATFTFVNRCTDTVWPGVLSNAGSARLATTGFELPPGVARAVFKSACPRSYSYAFDDPTSTFTCSGGPDYTLTFCPASSPRFRHCNQTPSCFLASRH >KN540954.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540954.1:36265:36859:1 gene:KN540954.1_FG002 transcript:KN540954.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEILAVVSPPPPDALALYGRNSIQLMASPDGALGLAVMDVFSLQLWAREADGVASTSSWVLRKSIDLDVFAPMPLPCAGGRVILVPPVRLLGVDEGGISAFIWTIEGIFMLHLEDEMLMKKVAASRMVDFVYPYSSFYVAEGTTKMTT >KN538862.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538862.1:157611:158890:-1 gene:KN538862.1_FG033 transcript:KN538862.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKADAKARGEVISTKRQPQGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >KN538862.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538862.1:174525:174992:-1 gene:KN538862.1_FG034 transcript:KN538862.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLKDAVARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASGRPGHTVVSSLSLRHVYEIAKLKQSDPYCKHMSLEALCKSIIGTANSMGIEIVKDL >KN538862.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538862.1:159484:163040:1 gene:KN538862.1_FG036 transcript:KN538862.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIISDGGNTTFVYVIIDKQTKTRTCIITSGYPPMIPSDLTMPSLLAALQDVSLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRAQFAVVTLGENGCMMLERGKDGENCETEPVDIENVAESLRLKVHKDDILPTCVSSTFMRLTARGSGNVFARLLIGTAESIPASELVDTTGCGDAFIGAVLHGRHQM >KN538862.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538862.1:165388:167652:-1 gene:KN538862.1_FG037 transcript:KN538862.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVDISSDEEGFAAAAAVAVATTTKKASVDSLGWIADLLREEDERALSDEFDDLEVMSELSALPVTAQQKKGKPDCGGEEDDDDCVVLDGDPDDVVAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCFVCDTPAPCNYWGKGTEIYDHCHATDKEKKWKAMRHTFKSKGLPTSHPEKRQNVVYPTTTSFVQQDTQCEISLVQSHMTTYFPNQSHLANVVNQGLTQTRHTSVRVSPSVGRTVSATRTSPATRAGRGMSIAPSIQIPQSRTRFKRVGATSPGIVTLNDNQFGSAAPNNTQLHQPSSPHASQPAQVAPRTLFGTVQKNLPQRSLSAPIALQGQQDHSASSYQAASNGTHGTGPQFSRCISLTAQRTQLLPEPALDVYSKSWQDIIDSLASDLEVPDYNMGAAESQQPDRTISQPLDSITLQGVGLHSEPVAALANFMPCNGQNVANGMIGSNCPAQTTQILPHLNHQPSLVPDESHLNNSVSSTADGLLMEAAHQRDTQGSDSLDLLFDFEFEDWDSAEP >KN538862.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538862.1:176650:182705:-1 gene:KN538862.1_FG039 transcript:KN538862.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHGKCPVTKEELTMDDIVAVKTNKVVKPRQLQAASIPGLLGMFQNEWDAIMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARALLAQAERQIPISMAGAAPTAVVSNGKRAFEDEVGPDGKKIRPGINPVMIDELTECNTMLSAHRKKRQVPPTLASIDAIEKYTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRPSGQILCTLTGHSKKITSLKFVPRDELFVTGSADKTVKIWQGSEEGNYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNTWCFYDIPSGSCLTQVGESSGQEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTISPYDSDTPTNSVEFDFSGSYLAVGGSDTRVYQVANVKLEWNLVKTLPDLSGTGKVTNVKFGTDAKYIAVGSMDRNLRIFGLPGEDDQMDDAKPSEE >KN538862.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538862.1:199196:201016:-1 gene:KN538862.1_FG040 transcript:KN538862.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KN538862.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538862.1:205009:205275:1 gene:KN538862.1_FG041 transcript:KN538862.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGSRRRMATAKGAGAGRRRSSSAVAAPRPVRQLYWKLRSRLRSSSSSKRHAGGAAARFGYDLQSYSRNFDDGGLVVSGHRF >KN538862.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538862.1:164279:164479:1 gene:KN538862.1_FG042 transcript:KN538862.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYGARQTCYIVRGKVTAAAAEGSPENGRRVEFGAGDIVVFPKGTRCTWHIAAAVDMHYAFDPS >KN538862.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538862.1:170748:173076:1 gene:KN538862.1_FG045 transcript:KN538862.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRFFSCTGATRPPAPENADITLVSGPPCCFPAAVIIDDFADFFSERSCQQRYGNARARDLAVVRILALCQNAVAHANLDIYSLHFISIFEILFDEVMGMDPTYSRALAVQIVGPGKEEQPSTQ >KN538862.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538862.1:195221:195931:1 gene:KN538862.1_FG046 transcript:KN538862.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPWWLVVVPQMGGGGSSPARLPATGTTETTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >KN542682.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542682.1:78:1584:1 gene:KN542682.1_FG001 transcript:KN542682.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGMKLDRPETDEAVAGEVAAAE >KN542682.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542682.1:9775:11456:-1 gene:KN542682.1_FG002 transcript:KN542682.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGISGPTYLNPVNWHLLLQKLLMLTKLVAAIICIFFEEGISHNWNCEHNRRSVAACLVQAVYVLERDRQLGRQSVEALGPLWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKEASANNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSTIWLAGHSLGAGMAILTGRNMVKKAVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSLAEDSFNILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNTSPSPDFKYAHGISQWWQPELNLQCSKYRYSVGA >KN541668.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541668.1:2266:4462:1 gene:KN541668.1_FG001 transcript:KN541668.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAPPGGWNFSPGEAIREEAWRRFRSSVHFSSKFSSSPFRLVVDLPRASFRLTTSSVAVALRAAIGGSPADLVVTHLKDRSYSFVVCSKQVGLWIYRLKSYTCGDFVLRFFLWRHGGPNWQWEFDSWCREQEKEWVLVAPKKRSTSSLRRPDRSYAQVVQHHDIPIKQFFSSLKDSFLRASKPDQQRISVFHRLDKRKTVSVTSPVTSKAADAAQSSIPATELITHAKVLPTKSFPLPPMANLNHNPQRFLRQGHAVNVGGDFHIPRVDLTVPHHHARRHEDFYVAIVEPIPLEQDWDHHRALIANFVQDELHYEVRNSFWQLSTVGFFQMRSAMNRDALVLSPPEFYDGVHSVTFVNHDQGPNWRAANYHREGWFMFLDFPLDFIDRHQVHLAVASFGQLTFWVDADRMLGRVFVRAKYMDQDSVLRKIVLFNPLGAGGGDFDPGQAYPCCFENGQSFLDIGPAKEVHL >KN542351.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542351.1:66:6121:-1 gene:KN542351.1_FG001 transcript:KN542351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRGVEFYTSAAWGGRMRRRHRWIPGCPSADRRPRVRQSVLYSDRPRRSQGRRGQVQSKTKSLDEKNVSEVSSELSTTTADIPKFKALTINDSLSRFDSTERSNESTYDAGTPGSNQRSSKFVKDKPKGDSDDVEECLRQLQEYYSKGHVHTSIEDAWNEVVAYEHVALTALALEWGMEPPPDPFQKPKSSLVDQEAQAHQAPQQTSDAAQHSMMPCKEEPSQQILLIHQPRTELSIEEIVENGKKWMSEEVMVAFKKYIEGKADLAGHQYRLELCHQCFNVESYLQNFHHYNFNVKIKKHDSDEWNESMYFAEVKMILRRKYYFCCPLEPRENGHCYACRNQGMDDLRHPATGGFEMGSPDTVFPYMYTSD >AMDW01037181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037181.1:67:370:-1 gene:AMDW01037181.1_FG001 transcript:AMDW01037181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDN >KN540393.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540393.1:14611:23474:-1 gene:KN540393.1_FG001 transcript:KN540393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDSMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKIKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEARTLDYRPYIGPIYKAILARLANQDQDQEVKECAISCVSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSTTNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >KN541150.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541150.1:141:2198:-1 gene:KN541150.1_FG001 transcript:KN541150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQAHEHAILNIVWLPPDYGDAIACVCADGTLSLWEESNSNFPLTDDQLPTWRKCKVFESGNSHILNVQFGLQLSSLKMVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSRSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCK >KN541150.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541150.1:6121:14836:-1 gene:KN541150.1_FG002 transcript:KN541150.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHAVTPHVVLLPSPGAGHVAPAAQLAARLAMHHGCTATIVTYTNLTTARHSSALASLPRGVTATALPEVSLDDLPADARIETRIFAVVRRTLPHLRDLLLSFLGSSSPAGVTAFLTDMLCPAALAVAAELGIPRYVFFTSNLLCLTTLLYTPELARTTTCECRDLPEPVVLPGCVPLHGTDLVDPLQDRANPVYPLVVELGLDYLLADGFLVNTFDAMEHDTLVAFKELSDKGVYPPAYAVGPLVRSPSGEAENDTCIRWLDEQPDGSVMYVCFGTGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNNRGDDDDPMSYLPEGFVERTKGAGLAVPLWAPQVEVLNHRAVGGYVFFTSNLLCLTTLLYTPELARTTTCECRDLPEPVVLPGCVPLHGADLIDPVQNRANPVYQLMVELGLDYLLADGFLINTFDAMEHDTLVAFKELSDKGVYPPAYAVGPLVRSPSGEAENDTCIRWLDEQPDGSVMYVCFGTGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNDRGDDDDPMSYLPEGFLERTKGTGLAVPLWAPQVEVLNHRAVGGFLSHCGWNSTLEAASAGVPMLAWPLFAEQRMNAVMLSSERVGLAVRVRPSSARPDYGVVPREEVASAVRKLMVGEMGAVARKKAGELRAAAEMASAPGGPQHQALAEMVGKWKGRG >KN541150.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541150.1:4059:4460:1 gene:KN541150.1_FG003 transcript:KN541150.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFAAWVFPRCKGKQDVLLCVVALAGAVFTGPILGFLLTTCAADADDHDAAARVASRYTRCEENVGRSVILAVALLGLYAIYLAAVSCGGEVDRFLLAAYYGVMGVGVIVGHSVSWIMVMPIAFLVVAIGF >KN540393.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540393.1:40984:43879:1 gene:KN540393.1_FG002 transcript:KN540393.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGAGSDPAGSLRDPLVRESRIGEAFLDDETSGFSLPFSTGCPLQFFFVFAVYDRIGAEGVRRFIWYFSAEVEASGTLDGDRGNGGSVDEELWREANYLKSCGAIGETPPEMLKGSNQITEEETNGELKGAAVSEENLSEGFNCDEHSALKYEQSDSPHPTPLVLRGDMQTPGTLNTAYKESLRSGKRARTNKQFIYPVLRPIENKLQWMELRDDSSPILSFNPPKRRYLSTDCSAKPQESITNSMATQTARITPASFSFHDITAGQDQGVISPEEHKSENDSRKLLDDEDQLKYNADSERKGVASLSCWLKPPSSAGGSQSDTEGKVVKQRCYENSVFTDLPIFTASGLNWDNDKPTPVLPKVWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPHHQRSPAYALIILCNLLLLEITTNIDIMILAPGKSAES >KN540393.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540393.1:30882:37836:-1 gene:KN540393.1_FG003 transcript:KN540393.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:Projected from Arabidopsis thaliana (AT1G06570) UniProtKB/Swiss-Prot;Acc:P93836] MHAGVRVLTGPEATPKRIMQLMGAKGVSISHVKSHLQMFRNSNNSSNVNRRHPVTPQIDWTTTAQQDEQQRRQMSSFSFLATRTVPAAGIGSHSHQRPHRRQALHAGDDDGCELTLSISGGAAEESKDGGSSITDDDDELLIQPPAPNIINDDGSTRHAHSTAFHMSIETELYGDVVLRFVSHPDGADAPFLPGFDGVSNPGAVDYGLRRFDHVVGNVPELAPVAAYISGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHIALASDDVLGTLREMRAHSAMGGFEFLAPPPPNYYDGVRRRAGDVLSEEQINECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEMIQRIGCMEKDESGQEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQAPTVQGS >KN540393.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540393.1:29212:29997:1 gene:KN540393.1_FG004 transcript:KN540393.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MERVMECDYPASKKNKVVHPMDCEMKEEPTNAASMNQHSLWSQWQLLDSILPTGGFAHSYGLEAAMQSRMVNNPEELRSFVVQVLENTGSLLLPFVFCANKSPDAATWVKLDQLLEAMLTNEVSRKASMSQGSALLRVAASVFTEIQSLQDLRQTFLGSKIVSFHHAPIFGLICGLVGFDSETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAADAERMVQKWKDRGVEEATQTSPLLDALQGCHAYMFSRLFCS >KN541074.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541074.1:8882:10524:1 gene:KN541074.1_FG001 transcript:KN541074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAETGKVVQWRRWAFANLGSVLSNFGSLWLLLAPLLAAYAPRRLFKTYFNLFLRRHARRLLAVVDPYVTVDVSEPGGASAHYSRYGRVTDSGDSTYEEVKAYLSDACAGEARELRAEGASEGDGVVISMRDGQDVADEFRGATLWWTSVVWEDAQGQQRAHTRRCQRLTFHHRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSGDSFRYDYKAWSYIDFDHPTTFDTLAMDTARKREIIDDLDAFRSDRDFYRRAGKPWKRGYLLHGPPSTGKSTMIAAMANYLDYDIYDRIVVFTTNHVDKLDAALIRRGRMDMRIEMSYCGLEAFRTLAKNYLNVDDHRLFGPVGEILGRESITPADVAECLMTAKRAGSDDESSRLEIVIDELKQTAEANAKAKAEAEAKAKAEAEEEAKAAEMDRDNTREEQNGRKSPEI >KN540393.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540393.1:48730:52599:1 gene:KN540393.1_FG005 transcript:KN540393.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDHVSARHLLQVFVDAPYLARKNLLPDLWDHVFLPHLLHLKVWFTAEADLAADDRSRRMKTLQRLYNDHLNSGTAQFAIYYKEWLKSGGAEAPPPPSVPLPSMPGDFDAWDKHSSSLRRSSINRGLWVKEIAISFFLFFFLNESLLCDFVSRLSEFCRYNAVFGTAMEQEDVKDAKLDDDEMSQLVLETDVELEGNPGCLKMGRIAHKIFVCISSYLSARRLGLIRYLAASKLNTVSFVPHLIYSCQESTQQSNMGLQEKHSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEAVSVEKELECSELTMNLERAVSMVSSSDSLTQCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDLVLECAILIIGELILSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAIVLYLMKPKAKQMLSLDWIPLVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSLGNQKKASSAALNLLSELVCLNRTTQILEFLKELKNGGCLNTMHILLVYLQQAPIAQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHGSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFIDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILATKLVDSLSYDRVLEERVLASLSLLNLVRHPVSHFL >KN538882.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538882.1:75335:76330:1 gene:KN538882.1_FG001 transcript:KN538882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHPLLLLLTLLVAAGADDLVAELQSLRSRSPSGVIHLTDTSVTRFLSAPAPRPYSVLVFFDAASLHSKTDLHLPQLRREFALLSASFLAHNPASADLFFADIEFSESQHSFAQFGVNSLPHVRLVRPEHTRLAGSEQMDQSHFSRLADSMAEFVESRTGLEVGPIVRPPLVSRNQMILLVILFLVSIPFLIKRIMDGETLFHDRRVWMAGALFIYFFSVSGGMYGIIRHTPMFITDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAMVTHLLVRVESLQIQRFTMLAVMIIGWWAVKKVILLDNWKTGYSIHTFWPSSWR >KN541074.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541074.1:23860:25038:-1 gene:KN541074.1_FG002 transcript:KN541074.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCNSWRVALARLKAPAPPPPLPWVALPESDDGLPATVSCVLSGCRTHAFSVLQGARGARYFGSYDGGWLFLAVGGQAQRQALLNLKINGFQTLDLPNLARVNSVNPNRDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVADGHVTRSIAFWRMGDQVVLPVLWALEEDNPLMRLEEVEDLLCHNGAFHFLTRAEDVLACEEPPIFYRDSVSLVPVNMFFLPRVHDEDEMVLARYLVGSGKKLLMVVRLASGGRGPRRTTSAFRVFQKKKFNTGEEDEPSQNRSAHFEYYWSELDELDGRMLFVGRGCSRSYKAGDGRYPGMEMEEGVYFLDDPSIHQMIIGDAPKPPYLCSDNGKWSRAPTDPQGQVERCFPERGPSIHSPPVWILP >KN538882.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538882.1:27888:42203:-1 gene:KN538882.1_FG002 transcript:KN538882.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASRLLSSFRPFSLLLQPLDDAPSLAAAAAAASARRGMSSASALRARDEKEVARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEEEFEGLVDLVELKAYKFEGGSGQNVVASDVPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIQANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVESYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGETIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETITRRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSESDGKFEFDNMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPVMKVELKVPTEFQGTVTGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFSMEYLEHNTVSQDVQMQLVNTYKASRGTE >AMDW01040150.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040150.1:18:1055:-1 gene:AMDW01040150.1_FG001 transcript:AMDW01040150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQPIVGYYSDRCTMKMGRRRPFILVGCLIICISVMIIGFSADIGRHLGDTKEHCSTYTGPRWSAAMVYIVGFWFLDFANNTVQGPARAMMADLSAGHHGPNVGQSIFSLWMAIGSVLGYLSGANGKWHEWFPWLKTAACCDACANLKGAFFTAV >KN538882.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538882.1:78969:80123:-1 gene:KN538882.1_FG003 transcript:KN538882.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNVEPICIHEKRNVKVGAAKGSHQMGIVEIHGSARDCIVDSHSTTARHGISISFAFLASQYTWERQSSRVAAYCRKIQHTAVAGVATKQLGGTEFMEKKLSINCDFVLH >KN538882.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538882.1:148658:152500:-1 gene:KN538882.1_FG004 transcript:KN538882.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVLLPPPRRWFLLSSLLLVVAAAVPVVHGYGGGGGLTVGFYKESCPEAEKIVRKVVAAAVHDDPTTTAPLLRLHFHDCFVRATKAVRQGRWSKDGNLYEVETGRRDGRVSSAKEAVTYLPDSFDGIRRLITRFASKGLSLKDLAVLSGAHALGNTHCPSIAKRLRNFTAHHNTDPTLDATYAAGLRRQCRSAKDNTTQLEMVPGSSTTFDAAYYGLVAERKGMFHSDEALLRNDVTRELVYEYMRSEESFLRDFGVSMVNMGRVGVLTGSQGEIRRTCALVN >KN538882.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538882.1:16427:24064:1 gene:KN538882.1_FG005 transcript:KN538882.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALETGGGIDIVLSEGVDEAWQWRRSDVSGVEEIGEVDQRSYDGAEEIEEASDDGHCDSDLAARIRGGTKESGGGGAEEEEEELSPLSLTPAPRHGDRDRGGRSEMRKLVPSPSLPTATALAGTPEMAAVKLALALRGWWEEVNGSPAWQDGAFFSLSAAYALVSAVALVQLIRIQLRVPEFGWTTQKVFHLMNFVVNGDQLLFTMCHLAVRAVVFGFHAQVFLFQTKAKNLPTDKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLEPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIR >KN538882.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538882.1:83783:84103:-1 gene:KN538882.1_FG006 transcript:KN538882.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTSFVLVLSALAIMTISISVAVTIVISTIVSTILVARLSVSGIVLVLLLPPSAVQLVAEIVSILMGRRLMSMSSWRCVLGDAMLTVVGIGPLAALVSSRTSSF >KN538882.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538882.1:7079:9473:1 gene:KN538882.1_FG007 transcript:KN538882.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAGLPLFGKPVRARLPDALVVDVDALMAVRSALHRSKYKKPSYPGWVQYFLKRQEEEDDETAASAGADLIEHGAFLAMWLSLFVFAAPPFDVVGPQRFLDLRDREEEALQVTAPMHILLLWVWERFPQLRPAMATTTTPATTDACRVPMAARWHGVHKALDLQFVHGVFMSPDKFEWRPYGSRSIALPPKEAKAGTWVLVQDVMTSNTLLSFTRCLRQCELVGMGCIEQYNPHRVARQFGFDQGVPGTIARANSNWKEAWGTYTFGYRKFALVVPHYKLGVTLEYARWWEPYSLACSTDVSNYANTREPHALFIPMKRNTEELSGANSCKKQHVDTSVPLPGTMEDPLDEIPLIERLNNIIMVQCARQDQIADVVKYSVPEFIRGKDNSMIVQQDAEQYLSDSMRVLDSSADESFCGSVTKMEQHISLLQSKQKAQDHASAYEANNCNSGQVMIHHGVESAASTGSNEAIEAATTAGMLPTPEDILVTSDEVMMERNCGYELDAVLLGAAPQQQSTEDMGTCMFALERDNRAKTDKDELASLKGTEKENEDDSTSNQAAAGSLIEDCIEISRKNSGNNGISSDILVNASTELVRTKVSTKTLYYLTKIWLLKNAHERDASDMNRDHGVYQPRREVGTREMIEKSFAAREAQKVELERVIKHLKEQLRDRNPSKLDV >KN538882.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538882.1:52541:55061:-1 gene:KN538882.1_FG008 transcript:KN538882.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTTQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPQRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCASATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVVDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLLVVDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEEQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >KN538882.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538882.1:58462:65923:-1 gene:KN538882.1_FG009 transcript:KN538882.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSLKKEKEKIKNERDGKTRELGVSWDVELYGELLKIGGGKERMTAYFSKTGWPAKAPKTDDERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYLLAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSGYTAEEDFATADAVFDCIGDPPEVRFDLEFCANLLQKQFDYGKRKWSL >KN539202.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539202.1:40629:40973:1 gene:KN539202.1_FG001 transcript:KN539202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGQMLAIVDEIMIPTLYVDLPLVLDLLQQEVDLAVEEEQECLEFLDGKNTDINGLEEKEEMICTNVHGVPAFCHKPLLGVAMALTTACPRRGFASGPAFSPTRSGALCARKA >KN539202.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539202.1:90687:98974:1 gene:KN539202.1_FG002 transcript:KN539202.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPSSTMLNLRTDRHPIVQPPRPIAIAHRVPPPEPVFLDAPVPDEHHALQCRAHQHQEQQLHVKWIPRKANEVADRLASVVGAGFGLLSRHRARLGAARATATYAANLAIVAGCYGGARELARDARASTPDDPMNSVVGGLASGAVLGRLQGGHFGAVKYAVTFAAAGTALDYAALKLSPQINGKWHALKEHFSGDKDWFTLPEWSPIQVLDEEALAKKRAREEKLFAQRALSKLSKEEP >KN539202.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539202.1:27752:29737:1 gene:KN539202.1_FG003 transcript:KN539202.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQTSCLLITYSPEIIDGAPLYVSSNCLPIKACKYEPAGHSFHAAALKLLGLGEQEDTETDDRSVSSDDKSQDFSAASDTFSSKGKKKSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQASLILAEATEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDIPTDCAPQDFYKVFGPAFMRNGRWSVNQTIPSLGDDATPVEEVDKFYNFWYNFKSWREFPHADEYDLEQAESREHKRWMERQNAKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKMQEEEAARAAEEERKRKEEEAKRAAEAALNQKKLKEKEKKLLRKEKTRLRNLVAPVVAESHFSLSEDDVETACSSLDMERLKKLCDSMENKDTTEKARLLSGALSKEGSSGTSNEGKKIQANGVDGSKAKSTSSGEKVTQGNTLSNYEKKEEKPWGREEIELLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTETVGLPTEKAAGNASSKAPAQPASSKTSDEKAAAPAPAPVSNGTPSGTADPEAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTMVQCKKKVAEMQKNFRSKKSAE >KN539202.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539202.1:45237:46465:1 gene:KN539202.1_FG004 transcript:KN539202.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSTPLDQGRQEERKGREEGVCLSTGDRDFLAVLLDQSVFSNYRRCGRTTGLAFGGLSWEIKEERSVWGIWLELKKTMRCRRIWRGFGMREGAAGGPRKCLPMRGGGGEGVGNLTDQMYRTKKGYV >KN539202.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539202.1:64435:68801:1 gene:KN539202.1_FG005 transcript:KN539202.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:Projected from Arabidopsis thaliana (AT1G10840) UniProtKB/Swiss-Prot;Acc:Q9C5Z2] MANPAAAAGPSGGARSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQED >KN539202.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539202.1:18038:23128:-1 gene:KN539202.1_FG006 transcript:KN539202.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQTGNGKLMKNGSMIFTGKTMNQLQLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNADPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKADSPLDIFLKSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMDIGGTKLIWKLKVGVLSGSPEEDHACLGIESVGRAGV >KN539202.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539202.1:99816:101387:1 gene:KN539202.1_FG007 transcript:KN539202.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >KN539202.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539202.1:114029:119171:-1 gene:KN539202.1_FG008 transcript:KN539202.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPALVLLPEWGSGHLMSMLESCKRVLLAGGGGGREFSITLLVMRPPTDEAGSEADCMLQPFVLFNFILDNSAQLAMDKVVGILRGAAGLAVDANAFREFFNWVTPHVLAAVCSQQQQQQQADCMLQPFVLFNFILDNSAQLAMDKVVGILRGAAGLAVDANAFREFFNWVTPHVLAAICSQQQQQQQVKVKASKNLGQDHLHEPFLEFLDNVNFGGKFSKVMAIQERLKHVFDQSNSLGLHKTPKKFDKIVRPETCRVLDEPDEIFGCEKELEDLKQKLRVRGHKRGRPVACSTTAEARRTELLVLPILGMGGIGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKSQDSDTRDTLMVKLRDSVKSKKFLLVLNDMWDDVLKDEKGWRTFHRTLSNGLEGSMILVTTRSSKVANLVSNSNHYELKGLQHGVFWNFFKLCAFGSVQSWSNSCRNRPELERIGEAILPKLKGSPLAAKTLGRLLKSNLSIEHWEDILKSELWRLEQEETDILPALRLSYVYLPQYMKRCFSICAMYPKDHKFEKDFLADIWVAQGYVELENAPSCFDDLLNRSFFQKAAGEHGTFVIHDLMHDTAQLVSKDECFIIQHASDLAKIPSKVRHLSIFTTENISCAELVNICTHNKKLRSLVCNESYRSGKHFAPVIDCLFKELLNIRVLIFNLSTVRKLPESISNSKHLRYLGLFGSSTFETLPSSVSCLYHFQTINAKGFFKRYPQGFSDLISLKKIESQGFMYNKENCDKQCLRWTLPGTSYEDLQMIEEQIELLPHWNLQHLAIDSYRGKSCPSWLQPDCLKILSSLILSCCNNIQSLSFFEPRVPDSEESKNVCHLEVLEIRQCPNINWKGLVALPSSLTKATLRNFGHSTDHFVSCFHGLALLTSLTIQCEFLTSIPLQVWISNLRSLEDLRFCGCSSLTSIGVSEASSRRTVGVFSSLSNVIISSCDALLSLDEFLMPAYMPVVKTIHVKYCEKMALLPVDDLHSFSHLEELRIEGCPKLNMQRRMALPSSLQKLCLVDCPSIECIDNSHLGSSMTLKGLSLQLNSCPDLISIVGAISVSEIKSGYINDCPKLMEITQPFKRGHDWYVLRNHT >KN539202.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539202.1:52489:62394:1 gene:KN539202.1_FG009 transcript:KN539202.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLMSILVLLLKIHTIKSCADQVYADLSHGTVKVMWTFSIYLEAVAILPQLVLLQRTRNVDNLTGQYVFFLGAYRALYILNWAYRYFTEPHYVHWIIFGTGKWRSIARAMLQIMMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGILARRALHIPMIKVVFQETYQFFLSITGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKVKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >KN539202.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539202.1:31377:36862:-1 gene:KN539202.1_FG010 transcript:KN539202.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEAASERANQANQLGPLGLLNGSFDHETLTQDLKLGRKVVDLAELRRLACQGVPDAAGVRPVVWKQLLLGYLPTDHALWAYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTISKGNRHNSEGTGVLPRAEIVHDEHPLSLGKTSVWNQFFQESETIEQIDRDVKRTHPEMQFFNGDSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPEENNALDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPIPY >KN539202.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539202.1:1794:5600:-1 gene:KN539202.1_FG011 transcript:KN539202.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAGKSVAVSAISMIVRKSFDYLEKYAKAEGMKSVQEQLERTLPQVQVVFDAIDMERIRDQSEALDAWLWQLRDAVEEAEDVLDEVEYYKLEKKVKTRGNKVSSSLYKCKRVVVQQFNSTFKAGTFKRLLDAIRKLDEIVVGVERFVLLVDRLDSCTSRHVCHQEVSNPRETSSFSVDEIVIGRDTERDKIVEWLIEQDNVHDHDVCAVNAFSIVGIGGMGKTTLAQAIYNDQRVKQCFDQAMWICVSNDFDVPALMKKIIQEITREGTNVTNFNTLQEIVRENLKSKKFLLVFDDVWNDERRPDWEKLVAPLKFGQKGSKILLTTRMESVVDIVERVLGGRTKSLRLEGLHDKDLLAIFNRHAFFEVNPDDYFNLQEIGKKITRKLSGCPLAAKIMGGLLNNSLDSIYWNRMLRENISNIEHNSEGIMKILRLSYHHLAPHLQACFRYCGMFREDYWFRKDELINFWMGSGLIQLSANENQRPEDIGEFYLGILTKKSFFELQLNKSTNLYEGYDLHEVNVPPKCGFIASELMDLKDLRYLCIRCLENVNADEATLAKLGEKENLIMLSLTWENSQQESDTEERVLNNLQPHMNLTKLKIKGYNGSRSPCWLGNTTIINLTYLYISNCSYWQHLPPLGELPSLKYLYLICLISVKRIDSSFYGCERPFGFPSLEYLFIEHLPALEEWVEMEGEHLFPRLKALVVKHCKELRNVPALPSTVTYLEMGSVGLTTLHEPYVPNETTETQKPSLSRLKICHCPYLETLEQLNQFLSLEELHIEHCENLVQLPMDHLQMLSFLKHMTVLGCPKLMVPPATIRLSLPTKKLHVGSCGTYETWLVNSLCGLTSLTTLMLYGCDIAALPPVEVCKSLIALSCLEIVSCHELADLNGMEELTSLTELKVIGCNKLEELPVVSSQRFQASEHNQVVTACTSYLRKLKRLQISDPFVLQWAPLRSVTSVTNMTINSCRCLPEEWLMQNCNNLQRIGVRDASHLEFLPSIMASLTSLESLEFTRVMLIQSLPELPSSLRRLQILGCNPVLMRRCRKSRGRDWHKIAHIPDLRIVEDIPSSYSWNSYL >KN539202.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539202.1:83410:87384:1 gene:KN539202.1_FG012 transcript:KN539202.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGVRTHVAAVEQALVQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEACGGQAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMVM >KN539202.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539202.1:105409:110955:1 gene:KN539202.1_FG013 transcript:KN539202.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDADGQTHLKGLSRVGVKSTEEFSQLCCCAANQQRHHPAKDSTQLQDWGHQGLIIYVSSIDQQGKEYALAKINFLNLAGYVDPKQKKNEGLAVPTGNKSMHALMNVVQALNSNQKFVPYRQSKVTRILQDSLCKSKTSGSVLIACLGCTKENSYQTNLTLTHAEDCCQDSVSTLGLASRSSQVVNEQYYSLSLSAKKTSKSNMNLPTDAKTLSRTFMHKTMSMQEKNARPEFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMKENYAKPKISGRKLFCPSNNSLKEENAMDVASTVVTQTKLATVRIQAEVGVKSIEEFSQLYCCATNQQRHHPAKDSTQLQDSGHQGLIIYVSSFDQQGKECALAKINFLNLAGYVDPKQKKNEGLALPTGNKSMHALMNVVQALNSNQRFVPYRQSKVTRILQDSLCKSKTSGSVLIACLGCTKENSYQTSLTLTHAEDCCQDSVSTLGLASRSSQVVNEQYYSLSLSAKKSSKSNMNLPTDAKTLSRTFIHKTMSMQEKNARPGFNNSGVKGGQTPTASRRTQPIISSTKKSGSSICTSIKMKENYAKPKISGRKLFCPSNNSLKENVTDVASTVVTETKSATVRIQAEEVQPLVGMEIRAALPNEDYALSNMEPEHSCTTDMGLTCSSITDNLVEKTPASNTQSSPKLSDRLREISNSLKLLSTRPVSVRAEKWDIECVRRINTIAPEPKTPEVHLKFEQAEDPKEILTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGEKRANYILELREESPELFKEISDLRDIIGMNSKEIKKMMSGIIDS >KN539202.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539202.1:38478:40385:1 gene:KN539202.1_FG014 transcript:KN539202.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEVQLFQMREARGKEASIDEPLRQPRQVLQDKNGEHAQEMWQHRQISVRGSRKDGRDRGDETTTCMADYEVAAHMLGECERRVVEAEICLQAKIDALQMEYDLLAKEVPKKEKEEVRLEKIIVEAGARFKAILVGINMLQRRNEEFLVDSQCYMDEVEPNLKSAITVVEVLQNM >KN538695.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538695.1:465052:466709:-1 gene:KN538695.1_FG039 transcript:KN538695.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MASATCISLLVVVALATAASAQLSATFYDTSCPRAMSIIKSAVTAALGGPSWTVPLGRRDSTGASAALALSDLPPFTASLQELVDAFAKKGLSVTDMVALSGAHTIGQAQCSTFRGRIYNETNIDSAFATQRQANCPRTSGDMNLAPLDTTTANAFDNAYYTNLLSNKGLLHSDQVLFNNGSTDNTVRNFASNAAAFSSAFATAMVNMGNIAPKTGTAGQIRLSCSKVNS >KN538695.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538695.1:438441:439466:-1 gene:KN538695.1_FG040 transcript:KN538695.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MRLREILATRKKVHFVLDLAAGGELFSLLDASGRMTEDLARHYFRQLISAVRYCHSRGVYHRDIKPENLLLDDAGDLKVADFGLGAVADGALHHTLCGTPAYVAPEILSRKGYNPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPAWFSPELRCLVRRILDPNPATRIDTEEIITHPWFRQDASHFAMAQLMMHGHDEEAKFKTEFKEDMARDMTAFDILACSPGSDLSGLFGAEPGKERVFVGEPAAAVLSRVEEAGKKEGYMVTREGKKGTGPVYVKGDNGGIVAKVCVFKIADAVSVVEVVKGDGAEAARFWKARLEPAVKPPAAI >KN538695.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538695.1:469656:471212:-1 gene:KN538695.1_FG041 transcript:KN538695.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSAVTAAVNNEPRMGASLLRLHFHDCFVQAPWRSVMECSAREKGLHRSGYRGPGGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNS >KN538695.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538695.1:522173:524776:1 gene:KN538695.1_FG042 transcript:KN538695.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPHRRNNCQGKYIIPSAPHALALPPPLSPSTTHIPQRRPSPAPLPCTQALLDLDREPSSLDQSLRLTAVVGQWRRGDPVYVHCYSCPTTYVYGDVAGSSASTRWMTLSCSGVQCDTGFNLPKKENDSCCGQYNLASKKVGDHIIFMLFSKFDLFTSKEQPKHDYGISDSNGDLPTPTIQVVLPFSAVTEDEFQKQLTQDSMKPLQMRLTWKKIEGMWKPLLPQGEADGGSGGTSEGAIARLRPRSRVG >KN538695.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538695.1:422146:423474:1 gene:KN538695.1_FG043 transcript:KN538695.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHRGNMLMKKYEMGKLLGQGTFAKVYHARNTETSESVAIKMIDKEKVLKGGLMDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRRGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFNTDVRRLLLRILDPNPSTRISMDKIMENPWFRKGLDAKLLRYNLQPKDAIPGDMSTYFDSFNSAPTLEKKPSNLNAFDIISLSTGLDLSGMFEESDKKESKFTSTSTASTIISKIEDIAKVLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYRKVLNQEMRPALKDIVWAWQGEQPKQQQPTC >KN538695.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538695.1:493843:497176:1 gene:KN538695.1_FG044 transcript:KN538695.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKERSGWGSLFRGCLSGGGAAGSRKVRPGPRTTTAAAKHGGGASSAAAQRLSFTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGNVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMAKGSLENHLFKKFPSMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEHMRSWLKDPQKLSRVMDPALEGQYSTTAAHKAALVAYRCLSGNPKNRPDMCQVVKDLEPLLNVTDDVSDESVAPIAPVKEDNAVRKERTARRRPGERDGGKLRQSKMRSPQKVVRRRPGQSEEFWVWHMPGEVKS >KN538695.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538695.1:407838:416323:1 gene:KN538695.1_FG045 transcript:KN538695.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGRGMAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANRSRIKEWLEKHKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSVLGLYNIIHWNPQVYRALNPYYMLKFLRKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHTLNSTYQIGYYISVPAVDGSEELHLSRHNEVTSFLLACAKRRELITVMLVTTCLTSLVIMLCWHRSPALALVFFLFFGSIEALYFSASLIKFREGAWLPIMLALILMAVMFIWHHTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFEAELVESLATFIKLDALCAAAARGSGSDDGRYERENALTVIGTNPLRRCLSYEASHDGVSSVDAARSPNGIVEVPAAAAAAAPVTKKVRFVVEAASPEVEKGVVEELQELCEAREAGTAFILGHSHVQTKPGSSLLKKLALERRRGRAPSSFAMRCREEDAEKHAKVATRKAENRRLRLRLRAVQDGLLCRRPGGVQALCSNFKISVILIESLAGVNLIMKSPWAFQALDVLKNPVKAPRRDWFNLSGGSGYEQVKGQSQLANQEGYRCRAAVGKGPTVGPTDCAISVPFALALINHRTNGSTYSPGESRKHHAFPGTDYSDSDASKVRKAMIAAANRHQNFDSENAVSQQQCADGPRD >KN538695.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538695.1:448597:450431:-1 gene:KN538695.1_FG046 transcript:KN538695.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEAPAGSGGGGGGRAEIDTSAPFESVREAVFGGGAAAVWSSCLLNRMLTLPKEHDQRNGQTLQLEKELIIKESQTLDVLKELETSKRIISDLKLKVQNDPAITGHPGQTEALGAGPEERYSSENVEPDGELGGLDSESLQPPSSVLMQLEQAKAYLTRTTADLAEIRASVESLCNEIAKEKILVERSREKVCSNTSLISSLERELDRTTHKLQTLNDRQRRREDSSHILMEIKKVTSEIEQLKSASNASKSEATMLAAEIEQTKASIATAEVRCLAAKKMEDAARAAEALALVEIKALLSSEASAGDLQGTDGVNLSLEEYFELASKAQECDVSSRKKIEAAMLQVEEANRSKSNSLNKLEEAKLEFEKCKIALQDALKRAHAANRGKLAVEESVRRWLSESGYKRHSFHDSSKLKNAADITDVSKSFLKPTLSIGQILNLKLMGPDGDITSQKVLSGKRKKFAFTGLSVLLAKQAKRQEQEK >KN538695.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538695.1:462404:462693:1 gene:KN538695.1_FG047 transcript:KN538695.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLVVFAVMAAAFASAAGSGELTPDFYSETCPQALTTIKLVVGAAILKEPRMGASLVRMHFHDCFVNILQADEMFW >AMDW01039995.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039995.1:29:371:-1 gene:AMDW01039995.1_FG001 transcript:AMDW01039995.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAVCFVLLSFAMAAAAARPATSSTADAPATSGDCSSDVQDLMANCQGYVMFPADPKIDPSQACCAAVQRANMPCVCSKVTPEVEQLICMDKVVYVVAFCKKPFQRGSNCG >AMDW01023713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023713.1:60:296:1 gene:AMDW01023713.1_FG001 transcript:AMDW01023713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVELQDAYQGLGATKWRDDRDGFLKMMVLDGCFLLEVMRAAAAAAQGDGDGEGVGGGGYAANDPVFSRHGELYVF >KN542968.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542968.1:1532:2173:1 gene:KN542968.1_FG001 transcript:KN542968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFDGLLPLLLTVLTMIPVIQASNRTTSLPSAATLADCQRSCGNLTIDYPFGIGSSHCFRQPDFELICNNTTQPPRLLFKNSTTEIIDSSSTAYFSVAFSRSISVKADVSVYNMSWDAPGKSLTLEYAGLNITGCDFDIYKVLDQSGNVPAKLCNVTCPNRGITEDIAR >KN543652.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543652.1:366:716:1 gene:KN543652.1_FG001 transcript:KN543652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HKSGIEKRYIHLDENLIREHPEIIDKYMPSLETRIDIVTTEIPKLAESAARKAIAELGRPATVITHLIFSTYSGCSAPSTNLKLASLLSLNPSVSRTILSLHGCSGGGRALQLALL >KN540196.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540196.1:2698:3981:1 gene:KN540196.1_FG001 transcript:KN540196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIHAAASAGSLATVKMLLEKRPDCATLRDARGRTFLHAAVEKKMWKVVEYVVCHRKRKEKFALILNAQDNNGDTALHRAVHAGNDLAFQHLISCDMVRLDVPNKEGMRPIDVAWSTMPLKVYYPWDSRILIRTFLLKLGAPYGESRGDLFDEKRHTITVDTKFKGGEEKISENVTTAAQVLALFSVLITTVTFTSAFTLPGGFRSAGDGGGAAGTPLLAGHGSYAFDAFILSDALAFICSFLATSILLYAGVSALELEHRLMHINFAYSLMMNSGRSLVAAVALGLYVVLLPPVGRTIAIAIAAPMVMLVLALSKASEGFEFLYGIAIATNRKRSIRESVVGFTIFVGEHYWSFILIFGLPAIRKWARAG >KN540196.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540196.1:43602:45036:1 gene:KN540196.1_FG002 transcript:KN540196.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAMPVPTSAIRRKRLWISHPTMSRQGSVRPADHRQLRPTLTTGFQCSPLAIVTLPSSICLIAPLSLIGCQPWSPSR >KN540196.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540196.1:27938:32430:1 gene:KN540196.1_FG003 transcript:KN540196.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAANEAGQQAAAPASDPDNARPQVDVDPQLLMAARRGDSDLLNELLGLNFVTSEGDGVVVVDVVPPTPPRTPPAAAAGDVGPVVTSSLPAAAPLDGVTAEGGSLLHVVAACGDSDKFRDCARLIYHSAERLLVERNRNGDTPLHCAAGAGNAEMITCLIHLAAFGDGNTEAEEAEKKVVAYLRMLNNRGETALHHAVRAAAAAAGNKDKKQLALACIDRLIDEDPQLAAIDIPLPNNEKAASPLYLAISLREIGIAKYLFVKCEDNLSCSGPNGRNVLHAAVSHDQADKKYQALQALPMVLKWLALKNKKLKTADVDMQQLTSQRDHDNGSTPLHLAASTAGLPSLGLKYAGPSATRLLLDANVSTAYQPDSQGQYPIHAAASAGSLEAVKALLEKCPDCATLRDARGRTFLHAAVENKSYIVVRHVVRRPSELSSILNLQDGNGDTALHSAVRTADLDVVLCFIGHPQDPSIRIRKLLWILRAPFGESRGDLFDEKHARIIAKSKMDMQKMSENVTAAAQVLALFSVLITTVTFASAFTLPGGYRSTGDDGGAAGTPVLARRGSYSFDAFILADALAFIFSFVATSKLLYAGVPAFTLKSRFQNINGAYSLMMNSGRCLVAALALGLYVVLLPPVGRTIATEIGVVMIMLAIVVFTKASEGSFNPVLIITPISRNSMKLLPRDVRRSTIYLLERYWSFVLIFGLPAIHTWARAK >KN540196.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540196.1:25807:26013:-1 gene:KN540196.1_FG004 transcript:KN540196.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVLLIPSLENAVEWLGVAVWSKRCRRAPLHGAGWRQRRENADAARVHTRCSTGGPEFGARSPGWE >KN541343.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541343.1:3:1137:1 gene:KN541343.1_FG001 transcript:KN541343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SAWAKAGVALLCAALFVDLMGSVYLALVTRLLDAEATDASCRWHVVRVYASAVLLMSMPFCLLMSLNALYAFLAVALVPPIYLVLLLFAKEHRHHRHRGGVLHEFPPPRGERMTVISYEDYDGKLKSQFDASATVNTIATGAGLTGTFFGYSTTTDFSPNHAVTVSESLLFLTIVGAQFVMLELYQEQAGRKTKLELLEKARVLVYFWAFCCCSLDGGGRGKLPLLPPLEELRKQQHHLSLGRARYAVMGLAALDVLWRVARMFLVVAPAKP >AMDW01005107.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005107.1:14:196:-1 gene:AMDW01005107.1_FG001 transcript:AMDW01005107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGP >AMDW01037338.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037338.1:195:695:-1 gene:AMDW01037338.1_FG001 transcript:AMDW01037338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RSTFAFEPAPVDRYHLRSLDFACLLGEENRMLTADNRGNTVVFDADSSSVLAFPNLISPKRYNAISLSIINNDGSNNNGLEPVPEDGLYVMTRNPDVHRIKDGCFEVLNYSSSSADFREMTPHWVSLPPPPFAGCMNAEITSYTVVHGTTIYISCKKPIHSTYAFDT >KN541225.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541225.1:8529:14816:-1 gene:KN541225.1_FG001 transcript:KN541225.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MAILSCPIANSLLRSWLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRGDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNCLIPTGATFGLAMEVMLKAKKYDFVQKFFEKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALSQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSMNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFLADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMDNPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDR >KN541225.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541225.1:18745:21759:1 gene:KN541225.1_FG002 transcript:KN541225.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQPSRDAPPVGVQRLAAQSKLLTFANDVNTYSVIMYTRSQQPPSETWKSETPPSHKRSEAIASSLNYIGGTIKSALEEGRTIVENKTADIIHETRKLNIRRKGAGSTTQGEAPQRFTQRNLPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPSRAGSGLGRSVTPATPKTASSSPSSTSIVVPETCPVVPASPKSLSRTSSKQ >KN540321.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540321.1:15250:16242:1 gene:KN540321.1_FG001 transcript:KN540321.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFPSSRLARAMSSTTLRRAEAFVESLMEFMDRVIREHLEKKRSCQGGEREEDLIDVLLRLQAEGSLHFELTMGIIRAVIFDLFSGGSETATTTLQWAMAELMRNPGVMSRAQAEVREAYKDKMEVTEEGLTNLTYLQCIIKETLRLHTPGPLALPRECQEQYRILGYDIPKGATVLVNVWAICTDTEFWDESEKFMPERFEGSTIEHKGNNFEFIPFGAGRRICPGMQFGIANIELALANLLFHFDWTLPEGTIHSDLDMTETMGITARRKEDLYVHATPFVQLP >AMDW01026401.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026401.1:16:258:1 gene:AMDW01026401.1_FG001 transcript:AMDW01026401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTVPAVHAADPAKSNGPVQAAAKASSPSTVAAPEKKPVGLGKWAVDSWKAKKALQLPEYPSQEELDSVLKTIETFPPV >KN540321.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540321.1:52538:55855:1 gene:KN540321.1_FG002 transcript:KN540321.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPEFDPADVRAGRDLIHRLTADAAGIQRRVLREILSRNSGTEYLRRFLGGAAGDDDDVRDAFKRRVPVSGYEDVKPYVDRVASGGEPSSALLCSDPITCLSRRSLHTDHGEDDDGGGGEGMYLMFAFHGDRTLSGLPIQSALTTYYHSRQFQECDIGGFDKCTSPLEAILCPYGEQSMYCQLLCGLLHRCRVDRVGASFAAGLVRGIKFLENHWEEMCFNIRSGQLSDWITHTPLRDAVTGQYLQGSNPALADEIASECARKPWDGIVRRLWPRARYIRTIVTGSMSQYIPILEVYGGGLPLVSPIYASTECAAGINLRPLDPPSHVSYALLPNIAYFEFLEVMDENGEKVQGTTRLDDNLGEFSPMLGLYRYRVGDLFTVSGFYNATPLFHFSGRHDVILSIDYEKISEEDLLNAIAETDKFHLRPLGYMLVGSTAYADISTLPGHYILFWELTNACDSNVAIDIDQTAMEKCCLAVEDHFDEMYRKIRHRGSISALEIRILSHGAFDALMDFFVSRGTSASQYKTPTAIRSKEAMMVLEERVVGRFFSQATPSCRSAEFERK >KN540321.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540321.1:35643:46956:1 gene:KN540321.1_FG003 transcript:KN540321.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 1 of pyruvate dehydrogenase complex, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/Swiss-Prot;Acc:Q0WQF7] MARQTLRQGHPRRRVRGGEEEQIPGWGVGGGGGQGAPRAPPSPVGTCQGFLGGHWAMRFFFKNYSIVAVLRVNTKFDARLCIAHFLTECLSSRPSYLASLGRHYKVPMEIRWLSSTAIGPARLLWQQLIGLQQQAMGTVSACSSWIRPTARFPPHLVVGMPALSPTMIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIASEAQKVETDAAKESSIITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKGLMTPIIRNADQKTISAISSEVKQLAEKARAGKLAPNEFQGGTFRHSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGGTDILRPVV >AMDW01016246.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016246.1:18:137:-1 gene:AMDW01016246.1_FG001 transcript:AMDW01016246.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQ >AMDW01022065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022065.1:127:225:1 gene:AMDW01022065.1_FG001 transcript:AMDW01022065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYHDYESHTGSFNNILLHPNNSWLQPVVGDMLQ >AMDW01080541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080541.1:140:379:1 gene:AMDW01080541.1_FG001 transcript:AMDW01080541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAAEEEPKFIPFTGPGRRLDGKAPKDKDVSASSPAKRQANATNSVQPSTASTSQSSSSRKTTGKLVFGPGGNRASKETEK >KN539980.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539980.1:53333:54945:-1 gene:KN539980.1_FG001 transcript:KN539980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >KN539980.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539980.1:13583:21284:1 gene:KN539980.1_FG002 transcript:KN539980.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVVVSLFFLDKNERRNGLNGNDDGAQQAAKPPAEVPIPEHGGAAAAAGEVERELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMRGQLLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYTEEDAKVIVEQILNVVSFCHLQGVVHRDLKPENFLFSTRDDHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDAPWSSISPEAKDFVKRLLNKDYRKRMTAAQAISHPWLRDECRPIPLDMLVFKLIKAYLRSTPFKRAALKALSRAITEDELIYIRAQYNLLEPSSTDGRLCIENFRMALLQNSTDAMKESRALDILNALEPLAYRRMDFEEFRAATISPYQLEALSRWEEIAGTAFEYFEQEGNRPITIEELAQVHTVSPKKQPQEKLPQMGAEGRKCRSVFYFCRTMVRRHFMVLRTINKCFQKQQKVVPSGFIRGTFTNFVFVGSLQQPRLSLIPGNLEMLNTTGLAADHKKNKEPMLTIW >KN539980.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539980.1:43136:43891:1 gene:KN539980.1_FG003 transcript:KN539980.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRSLPLRAVFRVWRELALPDDFEVSVVADHPNLFHLAPNPAEPNTHILHLVADPATEEFTPAVDKTRPEKHAFKLQFPPGFRLTKDYRKKVKEWQQLPYISPYEVSIQKGVGSKRVSKMARKKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKQHTVFLREAYDRGKLIEPNDVSEARRKLVELMLLRRRGLGNANSNANMASRACADAKEDTSDFQEEEI >KN539980.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539980.1:35545:38400:-1 gene:KN539980.1_FG004 transcript:KN539980.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYPASPKAQQLQESKKQRLTYILVVSALCVAFYVLGAWQNTTVPKPAASSAITKVGCDPAAAGQSSAVPSFGSASQESLDFEAHHQLSLDDTDAEAAVQPFPACPLNFSEYTPCEDRKRGRRFERAMLVYRERHCPGKDEEIRCLIPAPPKYRTPFKWPQSRDFAWFNNIPHKELSIEKAVQNWIQVDGQRFRFPGGGTMFPRGADAYIDDIGKLISLTDGKIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRSFDMAHCSRCLIPWHEFDGIYLAEVDRILRPGGYWILSGPPINWKTHYKGWERTKEDLKEEQDNIEDVARSLCWNKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKQMEACVTPLPEVSNQGEVAGGALERWPQRAFAVPPRVKRGMIPGIDASKFEEDKKLWEKRVAYYKRTLPIADGRYRNVMDMNANLGGFAASLVKHPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAIAKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADESEQH >KN539980.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539980.1:28943:29495:-1 gene:KN539980.1_FG005 transcript:KN539980.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSGAEATGEAGEVEVDLAVAVVVGGGGVEVEVVGGGGAVEVLDDEVLDVDEAVDAAGDGDGAALREAVLALGLLEQRPEERKPRNQPSDPKKNKNTKKLVIFLEEAEGFDGVRGRRARGRSWRWRGGRR >KN539980.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539980.1:45089:45533:-1 gene:KN539980.1_FG006 transcript:KN539980.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTAASHKWLAWLGVTRAAKKAASPALEKLEELEECIGEMESGCEKGSQFRYPDEKSPTSGKTLINRQIPMKSR >KN541901.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541901.1:5787:8410:-1 gene:KN541901.1_FG001 transcript:KN541901.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEAVGTMGRCASCSSSTGFSHRPVWLTANSGFGTQRAIASSPRFGNFCVYDYGLMVDLLVYIQWLLAPDLETKSLGSQGRDGSCKSWEIEETGLSRANNLFVVGSSLKFRYAGRNLDGGTDPVELFATCCSSPLRSLDQMD >KN541901.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541901.1:15567:18121:-1 gene:KN541901.1_FG002 transcript:KN541901.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEEWNAPKPMHESLLNEALRRTISNEQKRELWSPLPYQGWKPCLKSSSVHGVPLEPTGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDTSSFEEIFDVDHFIKTLKAEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPVHASASWYLENVSPILQSYGIAAIAPFSHRLAFDDLPAEIQHLRCKVNFQALVFLPHIISLGETLVKRLRSPVQGHSGKLIQEVGKDTNQAGKYAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQLTDEELRNMGRCPLTPEEIGLLLAALGFDSRTRIYLASHKDKRSLASEEELANVEGRASVLAALDYYISIHSDIFISASPGNMHNALMAHRTFENMKTIRPNMALLGRIFVNKSMEWLEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >KN541901.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541901.1:13966:14464:-1 gene:KN541901.1_FG003 transcript:KN541901.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAKEVAGAAGDMILLISSDGQRFEVAQAVASMSRLVRNMVEDECTDNGVPLPNVPSAVLAKVDNATLFDLILAANYLNVPCLLALACQRAADLIRGKTVEEIRAEFNIANDFTPEEEAEIRKENAWAFQD >AMDW01061091.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061091.1:596:4789:-1 gene:AMDW01061091.1_FG001 transcript:AMDW01061091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSPLVIAKGGFSGLFPDSSDFAYGSAPGAALWCDVQLTKDGAGICLPTINIDNCTSISNFDPKGMKTYNVNGVPLKGWFPMDYNSTALLQQVFCRFDGLSIVPVEDVLSKYKTPAVWLNVQHDSFYSQFKLSMRSYILSVSKQFVVDYISSPEVNFLNSLLGRVNKKTKLVFRFLDEQTIEPSTNQTYGSILKNLTFIKTFASGILVPKQYILPVTKDNYLQPQTSVVDDAHKAGLEIYAAGFANDFLISYNYSYDPLAEYLSFIDNGAFSVDGVLTDFPITPSEAIGCFSNLNDSKTDHAKPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLGDVTTVATSQFASQTTVVNDIKATPGFYSFNLTWEDISKNLKRLKGRITEVYTTLNCRAGERTLERLREKKNKKKLCTGVKTKAKISNPMSKYQVYRNPRNRNAGNFMRLSDFLDFTKGKDLSGVMISIERAAFMAQKLGFGVVDAVIKALDDSGYSKQTTQKVMIQSTNSSVLVKFKQQTKYNLVYMIEEDVRDAAPSSIADIKKFADAVSVNTMSVYPATDNFLTNQTTSLVQSLQSAGFPVYVYVLMNEFVSQPYDFFSDATQQINAYVKRPDGGVDGVITDFPATAHRYKLNSCMNMGNNTPGFMIPAQPGGLIQNMVGAAQPPSTAPLPPLTESDVTESPLPDVNNNAKAPASSHAAIRVRTDVSAVLVTLMLCVSLMI >KN543042.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543042.1:78:915:1 gene:KN543042.1_FG001 transcript:KN543042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLSKGCLSTQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEHTLHHLVTVIINDFELKIFKVKDDENGDDGDCICMCKALTKLLALPRVSDKAKITVI >KN538742.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538742.1:252776:255482:-1 gene:KN538742.1_FG001 transcript:KN538742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARRRQEKVKKFEDFVDRRLKPDLVNAIAQRDKVFQQQKTFLDLKRNIENLEKNGVTSMRSMVNLGSEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKLVCEGIRELLELPAE >KN538742.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538742.1:229417:230753:-1 gene:KN538742.1_FG002 transcript:KN538742.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNYLQILDEALQQSANHIIEIYVHISISMVKWRKNFNQKDIPLLPCKALVTLIQCNGFKVDLRAAAAPKPDPEARTTPRPLPIKLLGLPPGGGGAPGPLGGGGARPPGGGGGGGPPSLPPGAGGGGGARPPAPGGGGGGGAPGRVPGGGGGGGALARPPGGGGGGALGRPPGGGGGGGGPGRAPGGGGGGGGPGRAPGGGGGGGGPGGGGGGGGAPERVIGGGGGGGGALKCVVGGGGGGGGALKRAAGSGGGGGALECEIGGGGGGGGTDRNKGGGGGGGGALENAREDGAEGGGGGGGGGGGGGHGAPELGFSGGGGGGGGGEIAGTVDLRGGGGGAGGAFPPTPDLGGGGGGGGGGTKVRVCAPKDISGGGGGGGMLDKPDEAGGADPMCIDKELEEAKGGGGGGGGGGAA >KN538742.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538742.1:180246:181104:-1 gene:KN538742.1_FG003 transcript:KN538742.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METLFMLLLLLFLLLLKLKPLNSLHAMIPGPPPPKGSLVEHDKALGLWTIKLPSADTVVVKRTLSTVTEHPEGLPGVEESADFAEHRKSFWSSVKPAHFGVKLTSKSLLGIAQFIFTGLCIGLLGGFSFGRSLLLKFPSFFSAGWFRKSGPTEEQVSSASFKMWFVGRGYSDAARASDRGSKPDKEIITRVSGPEIGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGAVFGPTDIQQRLQENGLSFDLVSTRTL >KN538742.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538742.1:292818:294741:1 gene:KN538742.1_FG004 transcript:KN538742.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVSTTGTALRTPASSPAAGAVKEGDVEKLRFIDEMTTNVDAVQERVLGEILGRNAGTEYLAKCGLDGATDRAAFRAKVPVVSYDDLQPYIQRIANGDRSPILSTHPVSEFLTSSGTSAGERKLMPTIMDELDRRQLLYSLLMPVMNFTRTYKKQMMINGRESLHRYVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMVCGLCQRNDVLRLGAVFASGLLRAIRFLQLNWEQLADDIESGELTPRVTDPSVREAVAAILRPDPELAKLIRAECSKGDWAGIITRVWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDETGAASGDATQLVDLARVEVGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVVEYTSQACTKRIPGHYVIYWELLTKGAGATVVDADTLGRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIVELLDSRVVSSHFSPALPHWTPARRSE >KN538742.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538742.1:174113:177493:1 gene:KN538742.1_FG005 transcript:KN538742.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLCLLLFAGSSLFLVRSGGGGSRRRRQGGARRAEEVSAGEQQDQPGGLPAGDLAQCRGLVHLNLSHNLIAGGLDVSGLTKLRTLDVSGNRFVGGAAASFVPAAGGDLAVLNVSGNGFTGDITGLFDGCPKLEYIDLSTNNFTGELWPGIARFTQFNVAENNLTGGVPAATFPGGCKLRSLDLSANHFAGEFPDSIASCSNLTYLSLWGNDFAGKIPAGIGELAGLETLILGKNRFDRRIPPELTNCTSLQFLDMSTNAFGGDMQGILGEFVTLKYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNQFSGELPLEVADMKSLKYLMLPANSFSGGIPPEYGRLAELQALDLSYNGLTGRIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCSSLLWLNLADNRLTGRIPPEMAEIGRNPAPTFEKNRKDVSVLAGSGECQAMRRWIPATYPPFNFVYTVMTRENCRSIWDRLLKGYGIIPICTNSSSPVRSNTISGYVQLSGNKLSGEIPSQIGAMRNLSLLHLDNNQLTGRLPPAISHLPLVVLNVSNNSISGGIPPEIGHILCLEILDLAYNNFSGELPASLGNLTGLNKFNVSYNPLLSGDVPTTGQLGTFDELSFLGDPLITLQDRGPRRQRAPQAAIRGRGMSPRTIALWFVFSLIIAFIAGTVVFIMANLRARFPVDQDPDPESLSCENPKCGGGKGGAFHMSATSSPPSGCSSSCVTGCSSSSEGVKLATGRRAVDGGEEECLVEWSRRMAQEGWPAREAASSGAVLWDMLMLGMRCTADSPQERPDMPDVLAALLDIAGSGDGASSGDGGE >KN538742.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538742.1:303004:313620:1 gene:KN538742.1_FG006 transcript:KN538742.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDTSHISGSSESTTIPLLEQLAEIFGKLKSHTETSLQLQNDINWEDIKAHFLNLEKSYKSKCDELAEKQKALEEKKAESCRLIAEKEANVSAKERAFLNQFQELKDTAVSALAEVRQKYKVELAGILDANGSKDKKVRTSTNDMNALCASEENTTASGLGEPSEASPVDVKPRPVLKQLCEQMDTAGLLKFLSENWKKLSSLRDELSAALRCATDPARFVLGSLEGFFPSDHTSSPGNKQIILQGQRRSCIILMEAITPALATKEPGDNHPWSSEIMELAKAIAEEWKSKLAEVDLDASDGYSLEAQAFLQLLTTFNVDSVLDEDELCKLVVAVSRRKQTAELCRSLCLNERIPDIIKELVNRHRQIDAVQFIHAFGLSESFPPAPLLKTYVEELKDSLGNNGDGNTASLKDDPKTRELLALRAVIKCIEEYKLQKDYPLGPLQKRVAELKSKGEKRPLEAGRHNAKKPRTFGNSAARRPPNPVGSAGRRPSGPAGTWQRPPPPMPSYPDRYGPADRYHYTAPSATYDPPAYASYSDSYNASKPYQYTPGSVAPASYNSNQFKVAYGGPGAPATAGGYGSYNDAAGQSTSSSYSGYSGYHPSLPRYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQSESPNIIEAHDPRFQPDLSSTYSPVKCNVDCTCDNERSQCTYERQYAEMSSSSGVLGEDVMSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVEKGVISDSFSLCYGGMDVGGGTMVLGGMPAPPDMVFSHSNPVRSPYYNIELKEIHVAGKALRLDPKIFNSKHGTVLDSGTTYAYLPEQAFVAFKDAILFILFLFSSSLSFLKMEIFKMDTPGKVTNKVNSLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHISEVPSSAPSDSEGDMAPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELDIDSRQVRVMNVTSQGNSTLIRWGIFPAGPSNSMTNTTAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSKRSWFRDHVVSILLGILLVVLLTLSALLVLIVWRKKFRGQAAYRPVDSAVPEQELQPL >KN538742.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538742.1:285104:285268:-1 gene:KN538742.1_FG007 transcript:KN538742.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAYDVIRISSLEEERTTHLDVFCGNTAELKIPGPIHANLHKDDETKDTAELQ >KN538742.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538742.1:267328:268476:1 gene:KN538742.1_FG008 transcript:KN538742.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKRGAGEQSSRTTLRRRVHGHVTRLHDFNPASEMYPLEWVAIGPCGHRSVCARCIVQVRFVSKDRRCHLCRAPCPLVVVVKGDCIDGADILAELPSSPSMITRQGRVDNFWFNVDTAAYFADEQQFKVARIACVKKSFYKPKEKP >KN538742.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538742.1:226120:228948:1 gene:KN538742.1_FG009 transcript:KN538742.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFLKKTPDRLLEISERVYVFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEWPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSPSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCCVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDDDEDETDVASVDTGDEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENQEMPKLSNRSDQDDNAVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSSVDEDTRLGTIPNVALQNADVKIITESTVIVDNELVIYEEKTIVDNGNLTQEVKNVVNEENTTPKLDRSVIER >KN538742.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538742.1:190376:193317:1 gene:KN538742.1_FG010 transcript:KN538742.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKGFGKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >KN538742.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538742.1:243627:248100:1 gene:KN538742.1_FG011 transcript:KN538742.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGKKVFQDVAVGLYTPLVSFVFFMYIWCAATDPADPGVLKSKKYLRLYGSGKHKHPKEFRHGISDSGLQVEGTGEKQEHEFAAASEKSTTRYKDNNSSCCSSTSSVFLIIFYPLYLVFSCCQPREWSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYMRFFILMTSSLFLLILQSAVGVLVLVFCFVERKEFSIQIVSKLGSSFSVVPYVIVVASCTILAIVALLPIAQLLFFHILLIKKGISTYDYIIALREQEQEEVGGQQSPQMSRVSSYTGLSSTSSFGGRRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSTSKRKEDEVRRKKGSGGIKISPWALARLNAEEVSRVAAEARKKSKVLLPIRKDEYALGHETDSSYGGTSSSRIDLGPDNKRRTSRRARPHNDFSLKPVAKISADALDTHGSELVPETLSSLAPLQLEARSAFHPSRAASSANGGGSSPDSSLDSPDLHLYRVSAVSSSAAEDLQLTALTAPGSTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSSDQSVASSGIVVPKNRLS >KN538742.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538742.1:265233:266185:-1 gene:KN538742.1_FG012 transcript:KN538742.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEEKWLDDALDQILEKFKQTEAKRMQEEKINHIFQKLEEIEVHRSKASEEMIAAIRATTAIIKGASSPTPMAPPPPAPTNCLMECPNNNITWVATNSSLIGEVLAPTVAQELGDRKDMDQAPYNATKDLPKVTPTKCSTLCSSSDIKPDLIVDVVLTCSTTVVASREFVLANGTIDTINISTPLVENFSSRTVTDVKLDTPMLNTCSSKCPNSDKRPPPWLAEVTRRWEVWHVPWSAFNFFWKRVFFLKEIGKEYIGNHHGLHLMGMILCTS >KN538742.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538742.1:277679:279429:1 gene:KN538742.1_FG013 transcript:KN538742.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLAVLLLTLLAATAAVVGGHGDHGTVFVQRIEMRIEVMGESRRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVSKHQDIEVTDEELAHMLAKL >KN538742.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538742.1:283044:283613:-1 gene:KN538742.1_FG014 transcript:KN538742.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPEVVQHKAANRAAEDDHTTSIPWLKLGVVDALTAEAGKLPESNPKPAVAAPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHGFQQQHRLMVGLSPTAPSSFLHHMRVNPHATILKVNRGDSSADGVVVAKFHGGQMSSSWVPFAVEDGRWSVWPGSFKAGSQEKKKRTEENLDLSLRL >KN538742.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538742.1:231259:235732:1 gene:KN538742.1_FG015 transcript:KN538742.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQEKNGTIAREKLNTADIDGIFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSSQLLDFYMDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQLEYNASESDGPVSEIFREKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTMFRKAHEENRKQAELDKKRAEKEAEAEKSKAQLASKNVLILDLHLWQLQPTSYIHRIYKIQNLLTGL >KN538742.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538742.1:196286:199212:1 gene:KN538742.1_FG016 transcript:KN538742.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPLSGTAVLIGYPQVWTSAAIAFLSLLSGRLWNAVEELTSHYISLIMVRLVQGNFETFPQQDLVPLTAQEVHSKCITFGRAENPSFIPLATSALVSQHTGSSTVNVTPLQEILTLPSQISNVNTESIGVLQGLPASSIVLDRPADDGYNWRKYGQKAVKGGEYPKSYYKCTHLNCLVRKNVEHSADGRIVQIIYRGQHTHERPSKRRFKDCGGISDDLDDFSGTTGTSVRSQPDYDDYCRKPIIPSGTMVAPLVKKIEDGDDQLSGSSDNQDEHDDEVRTADGASGDASANERNVPAPGQKIIVSTTSEIDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTYLGCDVKKQVERSVEEPNAVITTYEGKHIHDVPAARNKSHVVANASLLQNTKSNTYCTEQSYTTITC >KN538742.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538742.1:250191:250652:-1 gene:KN538742.1_FG017 transcript:KN538742.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPPDIVVCAGLLTVLAFSVAYAHTIIVCNLGGVIAVLEDIAGVSALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMGVVFYFTCRSSSMEILDDEGGSIEELEMMVGGNSVIR >KN538742.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538742.1:314152:316805:-1 gene:KN538742.1_FG018 transcript:KN538742.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPLRATTALLATLLVAASFQDLTVAADGGGGGGVVPVPDSVCDAKCQKRCSLKVAGRCMGLCKMCCHDCGGCVPSGPYASKDECPCYRDMVSPKSRRPKCPREKSGAMGALCGLGSHFSTASSCQRLPGKVAVITGAASGIGKATAVEFIRNGAKLKEMVERGMSELHGAVLELEDVARAAVFLASDEAKFVTGQNHVIDGGFTVGKPMNMQVPR >KN538742.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538742.1:213208:216524:1 gene:KN538742.1_FG019 transcript:KN538742.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYQSTLQDVFKEMPANALRLLETLLSVEPYKRGTASAALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKASRGHGPEASRKSRLSRAARETTTVNKQTDGKEESKTKANGTKDNSILDRTKVNGDARLFSDIQPVSVAQVKERSRHVKNDSREEIPFSGPLIVSSSSGFAWAKKPPEDRSFARSRTRSSSRGQFTAELDQDNKMPAKENQNLGLKEQPNRDMHIARANSKVREPHDAAKRAVLKKWSQLEHPDSFDSYDTYHSQNFSNAMYLGDTLSSKNSIKGDHDQGERVEYSGPLLSQSHKVDELLKKHERHIRQVVQKSWFSREYAGKQFYLAILLALTTSGIM >AMDW01020862.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020862.1:11:254:-1 gene:AMDW01020862.1_FG001 transcript:AMDW01020862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ECPDCLSDRSNICSKLPDVHGFMPRDGTTRFSSASTGEPIRHFLSVSSFVEYTVVDVTHVVKLDASFPPAMACLLSCGIST >KN539907.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539907.1:52634:53230:1 gene:KN539907.1_FG001 transcript:KN539907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGIALYSVLRRRQGAGEERRQDYDVVLRERLGEEGVCELGRNPLATFWHHSHGGDGRRWRREQQEQCWGRDLEQLARKARNAALLATESGSAIGRSSRRRATIGTSNRTPSPVTEGTASGRDKVDRDGEQQAGGGREPKVSGRHRRSWRRDLPSRQHR >AMDW01045990.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01045990.1:299:1041:-1 gene:AMDW01045990.1_FG001 transcript:AMDW01045990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTKGMIKGRCPNRAESAAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDLSADRWANFIAVDFYKRSDRGGAAEATDRANGGLICGCGSISACSGNGTCTTARHGGTPKGIFNATSGATALLRPPTATLQWQQLIMLVPSTLAALLLSL >KN539907.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539907.1:4349:5734:1 gene:KN539907.1_FG002 transcript:KN539907.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSPDAEAKARGADPWPAVEHLAHDRHAARDGFTGASFELPQETLAAGDEFSASNIAPAAAAATGDEPPPEEAAPVEKDPFAASELVNKPEEALVGGFKKNKETAIVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLATSAGQGPNAPGGTPLENLLVTKSTEMTAPELYIVEEINAEFKESILARVGLKGTIFLRTLPPKKAAGKETEFSFRLEGTSGMKKAALQSTVLSNLENGMFHVRTPSKEEAIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHIPDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLCPATDGTAQFNEAGHTFSSGSYLCI >KN539907.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539907.1:32010:34571:1 gene:KN539907.1_FG003 transcript:KN539907.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASADDEGNAAAPRATVSHVIFDMDGLLLGLSLSLSLSSDDSVSYAHSMVSFFAFLVAPIWMELDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLAGLLTPEQFLEERESMLQELFPSCAVLPGVLRLIHHLHANGIPMAVATGSHKRHFALKTQNHKEMFTLMHHVVMGDDPDVKTGKPSPDIFLAAMRRFEGNIEPSKCLVFEDAPSGVAAAKNAGMYAVMVPDPRLDVSYHKGADQVLSSLLDFKPGEWGLPPFTD >AMDW01021330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021330.1:6:260:-1 gene:AMDW01021330.1_FG001 transcript:AMDW01021330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CGYLRQAILRCGDGEVVDLPASFPGGSEAFEVIGLYCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALI >KN539907.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539907.1:28756:29127:-1 gene:KN539907.1_FG004 transcript:KN539907.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDRGRRCEGRLWTNRKRRARRRVLTVQGVRAASTHYSMHSRSAGERRVGVPGMLVRQHRRQADGSRRSPPSPTRSAEAEGPPSSGVHGEKPAGGAVVGKAVGGEADVDEVRGESARSKRR >KN539907.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539907.1:62831:65731:-1 gene:KN539907.1_FG005 transcript:KN539907.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLDTAPCVIDNFPLGPKFDLRIILWRSDDSNMEWPLHFNISHTSSLIACGIAMDAPIGIDVEEKKRKTTKSILSLARRYFTPSEVDSLAKIADSDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIQLKTNSRIQITKAPKVCNDSDSGDYLSENWRFALTELNSSYYMAVCIEDNSRGSENGSVPLGLKVWKTVPFIEDTLVSGTDAVKLIT >KN539907.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539907.1:7580:17391:1 gene:KN539907.1_FG006 transcript:KN539907.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGYGHFQGTSACVSGSGNSLDTAALIVGGGSDSSGIVGRKRRRCDLIRERRCCLRLVWCKEAQEVVVPGRGRNGARQRVSVENRICGWGYSTTEVLGRICNSSVEKAEERETVIPAISNTEKMGEKQQKSIPRDRKRKGELDPAADYVKDLWDAFYVTAESTQLDTSEVNNKKQLDNCNHDIHVYEDLGHVCHECGLVVRKADSLFHYQWKKASRKRTNVNEACLKKVGSDAISLSEDFIFSDIAIHPRHAKNIQPHQLEGFKFLVNNLVTDEPGGCILVHAPGSGEIFMLISFIQGFMAKHFTARPLVVLPEGILGTWKREFQQWQVEDIPLYDFDSIKADNRVEQLEVLKSWSSKRSILFVGSKHFTQIVCDDRDENAVAECRDTLLMVPSLLILDEGHTPSIDETDMLQSARKVQTPCKVVMSGTLFHNHVKEVFNTLDLVRPGFLKTETSWPIVTRMMGQLEISSARSITEISESVENTLLNDDNFTRKVNVIRSLGELTKDVLHYCKGSDLDELPGLLDFSVFLELSPKQKDILCKLEEDHEMLKTSAVGAALYVHPCLSEISEANAVDRVDRVDSLVNSINLRDGVKARFFLNILALANSAGEKLVAFSQYTFPMKFLERLLVKEMGWHVGKEIFVINGDTSMEDGQLAMDQFNGSADAKVLFGSIKAFGGGISLVGASRIVILDVHLNPSVTRQAIGSTFRPGQKKKVFVYRLVAADSPEEKAHETAFSKEVIPKLWFQWSGRCTTEDFKLNQVCIDGSRDELLETDVIRQDIKALYQSIDMGLVCEATVCFNNVSSSGLSVHDTGGLPKPAQTWAGPSSASGNTEKMEEKHQKSDQDFHFSDSTMAIPRERKQKGELDTSEVNNKKQLNNCNHDIHVYEDFGHVHSTARPLGHGRGNSSNGKWSIVVDLFTCRISSV >KN539907.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539907.1:37876:43047:-1 gene:KN539907.1_FG007 transcript:KN539907.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding METFEDLSIVFLYGDRLRNLQCSYCSPDLQALAEEAKSRYDKAIFKVVDLDEYAMEDEEYEERLKKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFQYAIFGLGNRQYEHFNKVAKVVDELLVEQGGKRLVPVGLGDDDQCIEDDFNAWKETLWPELDQLLRDENDVSTGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTLASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCLEVVEEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSALVALATYASDSTEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLLPRYYSISSSPSMAPTRIHVTCALVHEKTPAGRVHKGVCSTWIKNAFPSEETKDCSWAPVFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKQSGAELGRSVFFFGCRNSKMDFIYEDELNTFLEEGALSELVLAFSREGPTKEYVQHKMSQKASEIWDMISQGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVWSFFLYLSVLALPASSRGIRKSCKE >KN541643.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541643.1:14788:16617:-1 gene:KN541643.1_FG001 transcript:KN541643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTRQRKNKPGTLVCFPYNMYDELTLQLSPRQKEAIEDSGFGNLLKINKIYIDRNLCNAITRSYDKEKKAFTINGTFVMMTLDDVDCLLGLPSKGEEIFEAPKINKPELFNL >AMDW01017845.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017845.1:17:182:1 gene:AMDW01017845.1_FG001 transcript:AMDW01017845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVHVKEGVYKETVNVPWEKTNVVVVGDGMGKTVITGDLNADTPGVSTFNTATV >KN540912.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540912.1:575:2435:1 gene:KN540912.1_FG001 transcript:KN540912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIKNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >KN540912.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540912.1:28731:29996:1 gene:KN540912.1_FG002 transcript:KN540912.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSRSVRQRSKKKRVHALEVATERWKVLTKVLAVVDALKKEEEHVTPLKRLEILRSQLGLTKPNKVAHFVRRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMISVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANDIASPPGELSLSFPMKFPPNFTSYYKFRGKVHHYVKKGNTEQFQKTTYLSPYAEARGLTPGSPEFDKRAVAVMHEVLNFTLEKRLVTDHLTHFCREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELSDSEDYLFGNDDSSGATDSILDVKSEDSYDIMDDGALADDTEMDVGDLSDCCIE >KN540912.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540912.1:21285:23971:1 gene:KN540912.1_FG003 transcript:KN540912.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIWIVLLPEIQTNRQPSAVVAMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSMAALSLMTIVSVIIGRIFQSVPAQFQTTLPIGEYAAIALLAFFGFKSIKDAWQLPDNANGNLQGNSESGELAEAEELVKEKVAKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPFGVASGAIAGHLVATFLAIVGGAFLANYLSEKLVFGYFTYMNVQFSRLA >KN540912.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540912.1:3434:15832:-1 gene:KN540912.1_FG004 transcript:KN540912.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFDAPQDPPRSLVATLAHHGRLKCCWATVGYLVAQGSEEPRVVAALYSAFQLFKDNNGCASDFLCLSSSLTLEGVRRALEKDMGLEKHSLDAHKKFIKQCVDKVFSGSDDDNTNNNAPEKDEAKDDRSSKEESEDAQPTSDSNKISSNADELVAKSSETDRDQEGDKDHSSGSDISEATIKNAIVKRASYFRENSETITLQGVRRTLEEDLKLQKKALDAYKSFISTELDNILQEPANGTKKTSKTESHKDSGQKTSKNSKRARQDSDTSEINDSHCERGDSDEDARPKKKKAEKGKAVKRQKKTTVEKQLSNSKAKKVAKKDLDKSKERSGSEEDNSNSSAEEDNKKKRQVAPAYGKRVERLKSIIKSCGMSIAPTVYRKAKMAPESKREACLIKELEDILEKEGLSTNPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSNFIPLPTPKIVADSDEDDEEDAEDDNDEEVNVEGGDEGDNDDGKAGDGSADDGHQPEFQIYTELSSLRVLARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVRRYSSFDFLTEVVNKVPDLGGADSCGDDRALPRRRKALPNGSDPENEESRSSKMAVRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFADQGEALPGEETVPETIHGTESIPPSTHPPAEAPSAAEIPAPNPKVEEAKNDDHQPDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >KN540912.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540912.1:33984:37570:1 gene:KN540912.1_FG005 transcript:KN540912.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMHALGAPVHAVLTGYYYHDMLGDDPLNIAYTCYKSAVVSLRRQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIKHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAQHKAERERKEREELEKKLEEERKKAEEAQMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQKQSQMKLLGKNKSRPKLSFALGMK >KN540625.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540625.1:38582:38940:1 gene:KN540625.1_FG001 transcript:KN540625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALYVCFNSKSDLSSTNQVGGVRVTGVAPVGFYRIEILAFKDTVFYSYIHDS >AMDW01054990.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054990.1:118:379:1 gene:AMDW01054990.1_FG001 transcript:AMDW01054990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLS >KN540625.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540625.1:7047:14676:1 gene:KN540625.1_FG002 transcript:KN540625.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTMFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSVSS >KN540625.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540625.1:23711:26098:-1 gene:KN540625.1_FG003 transcript:KN540625.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MDLVRALAALPACLPLPPSSLTKARRHGPQPSTTTVPAGGMLDRRRLLLIPAISISIGSLQYGFDKEAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVCYHLLIYANPDIDLDCVSALKVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >KN540625.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540625.1:22350:22835:1 gene:KN540625.1_FG004 transcript:KN540625.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLGLSLSMQIALESSSSDDDDEFMAATILLVHAHCQARRRRRRRSEGRTRRRRQREELALGGTGYARDEAAELNEAQEDAPPREEVALVGMRQQQMEEPVLGVAGNARDEAAKLNGAQENAPPREEVAARVLEPQLGALLEDGDDHQDGESQGLPDLAT >KN540625.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540625.1:18436:19639:-1 gene:KN540625.1_FG005 transcript:KN540625.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRRKGVRYIEEDRDRSLTLSKRRDGLFKLANDLSLLTDASVAICLHDNNKAQFFGAPSVKPIVDAFVSEAEPFADEQLKAKLTSMQSELVQLENEEEEKDKKTKESIQRFKEAQEESLGMGMAKHLFSRLEDLSHDDMRELLDVLLPLQQDFKKRLPPLRHGSKLQIGGSSAWAHQQPSCSRFLASHRPFTPLLPGGASGVPMIPPPPVPGSPWSHIFPLRPPLFPSPELVPSQQLPPVSPPQNTVAPPPMHAPLVQQPLTNQSLAVPLLTQWQMRFGDQPPAEVQACTPVEQPQNDNAVHTPTFSDSFLSELLADVSDDGIATAEPLCSPPIDDQFLADVDWFADLDPIDGNL >KN540625.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540625.1:30537:33496:1 gene:KN540625.1_FG006 transcript:KN540625.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQKRPTTRIRLKIVVYAGRRGTTCGVGGGSGRLLGKVVIPLDLKGASAKPVVYHSSWICIGKRGRKPSSVSAANAQLNITVRAEPDPRFVFEFDGEPECSPQVLQVKGSMKQPMFTCKFSCRSNSDLRSRSMPADMGSGGRNWLTAFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSKSNPGAWLVLRPGDGTWKPWGRLECWRERGAGAAAGDSLGYRFELVLPDPTGMGVGVSVAESTIPASKGGRFAIDLTATQQFGRSGSPACSPYYAIN >AMDW01039719.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039719.1:426:1005:1 gene:AMDW01039719.1_FG001 transcript:AMDW01039719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSLVACKGLALCAPAIAQRHRNTPLSASAHRRQILITVLTMNSSGINSALPVRGAAQIPAVGSGPPAPSGGNLPIPSMPSCFFNVISSISISSCIPTYAPVRAKWVVGAIIVAIPIYRKIRTLE >KN540482.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540482.1:8671:11680:1 gene:KN540482.1_FG001 transcript:KN540482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVVWFYTGDILVAFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNVVLPVMASAGAAALCHLVVCWALVHAAGMGSKGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSVEAFHDPLSFFRLAIPSALMVWSSAQPEARDVRAINQIYNIMSYNLYSQLSFSFTA >KN540482.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540482.1:27479:28015:-1 gene:KN540482.1_FG002 transcript:KN540482.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRKQLEAIHPDVCEVGVNKGLGEHGGGREDETYLGGPMLRPRGSASDGVSRRPPPWSMTKGRTNGPTETVRLRAPGPKKCTRGCSWCGLKDGHYRNTCPKNQANFKRTAAANNRGKAKRGRPRGESCGGGRGRKTVRRTLMNEWEEGYSVEGDGSGKPSDGEWENESVVLIKNVS >KN540482.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540482.1:22184:25299:1 gene:KN540482.1_FG003 transcript:KN540482.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSEDFGPSSMRSSATTLSTAPSTMMEGSKQGKHKYRADKTKREQRRGSARQSRVSHSSVMGVLTELHGGRENIPFTNRDMENRLHIIDGHSNHLNTIFMRHKDIETEMMAIPIVKTAWPFAEQLSRVYTRAIFKVFEDTIDESVHFRIDGEGEDHTQWIVSHTRHSEQHDWSQRQFKVIADVKNGQFSYLFCPHLLRAFVHVQVEKIPHTYVLRRYSKQAKSEVNFDHRDRPIAGPDGVKESYKTKMLSLDALQLVKWGRRSRVAFERATSVMKGLRKQLEAIHPDVCEVGVNEGSGEHAGGREDETDLGGPMLRPCGSAGDGVSRRPPPRTMTKGRTSDPTETVHQGAPGPKKCIRGCSWCGLKDGHYRKTCPKTPANFKRTTATNNRGKAMKGRPRGESSGGGRGRKTVRRTLMDKWEEGNEVEGCSVEGGVEGDGNDKPSDGELEDESE >AMDW01036448.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036448.1:41:586:1 gene:AMDW01036448.1_FG001 transcript:AMDW01036448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKKLEIGGKSAPAGSILDVAFHGGVLEIPRLEIDGGTWRQMANLILLEQGSPHVGLYVTAYCAFMSQLAGTAEDVALLCESGVIEHQLGGDGDVADGLRRLCDGIIFDADDDAYNYLRPVYQAVEEHCRSRTLRLLCWVRGHANCPNPWLLLGIVAIITLLCFIVQQLQHATLRKNAN >KN543341.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543341.1:7751:8656:-1 gene:KN543341.1_FG001 transcript:KN543341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESTAQLDLSPLWCCCSVLQKEKTAHTEVTTKGSENRTSSMKATHWKTSDSQHPKREKKQRKCMCQTEVDNIITALIRP >AMDW01036989.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036989.1:45:632:1 gene:AMDW01036989.1_FG001 transcript:AMDW01036989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKLEASVLDKWLLINLQSTEEFSSHMFLFLSVSFMKLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAILLIDPITGQKMRGWNGMIHPDRLLE >KN538903.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538903.1:100887:106329:1 gene:KN538903.1_FG001 transcript:KN538903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRALSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAAGSVEEVKNTLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDDDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFAMRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >KN538903.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538903.1:69969:75225:1 gene:KN538903.1_FG002 transcript:KN538903.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKISKDRFDAAIRAMADIGILKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQCNKKLRTKKRSQNPTPKMHGNDNREFVEAPPQQGRGTLSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAELCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGAHHDQKHEGVSGAHERNRAVACSNQEIKMEARCLRKYKSLEPNFSFKNLIKDTCQCIIESSGPRDEGIIQTVPALDILSKPSVPQILQSNQANSSFMPPNNVMSLGGTSSSCAVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKGEERLRIPIINEYGNGILPPPFHYIPHNITLQEAYVNISLARIGDDNCCSDCFRDCLAQSLPCACAAETGGEFAYTADGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEINSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARFINHRCFDANIIGIPVEIETPDHHYYHLAFFTRRIIEPFEELTWDYGIDFDDVDHPVKAFKCRCGSEFCRDKTRHEWFYAAALVWFINEIALADKSLYTATGSKSRARV >KN538903.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538903.1:24893:30784:1 gene:KN538903.1_FG003 transcript:KN538903.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQLEGAPEAVGAATATQKAEELERGVISIHNLVKDVLDKRMSNWEKYCLRHCFAIPEGFLTREDDIPAKKSLNDGNSDSDLDAELVSLRKKLEDANNESEELQKELSSLERQAECQRNLDSSMAELVKVFESKAFQDNFQDLVNVIPLFQRKLEGVKRKIVENIDDQIDWNVNGHHKRLASASAADHDMDDDAITALMDIDDSPRSSGAGAVFLDDEEDAEVFPGHRAARANEARGPLPFAGFYNSFDGADFDDTDLA >KN538903.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538903.1:134341:137128:-1 gene:KN538903.1_FG004 transcript:KN538903.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPAAQYSYPAAAAAAAAVPSYFPVPFHLQNVQQPATWPAAPAAYNAVYPMPQVQQVQQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKPKTQPQKKFKAQKKSILHK >KN538903.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538903.1:7750:12936:-1 gene:KN538903.1_FG005 transcript:KN538903.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKKALGAGLCVRLPAVAGDWEDGVSERRASDALSHDASSAAAAHVSAPNTPAAALPGAGPLRRSKSGTKSAKISGDIYADLGVDSEFEIGFCHSMGMCAICFDPMKSGHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIVPRGRSGLNVNQARLPQQGTYMALLRQLIESGDSRDARCSRAVEIKTYPEFSAIPQSSSEDDFAVLIHLKAPCANPEQVTGRPFNATSIGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNLLGAGGGTNIADALKKAAKVIEDRNYKNPVCSIILLSDGQDTYNISSNVRGTHPDYRSLVPSSILNHTICTVPVHGFGFGADHDSDALHSIAESSGGTFSFIEDESVIQDAFAQCIGGLLSVVVQDMRLTVECVHPSVQLHTIKSGSYLSKVAGDGRNGSIEVGHLYADEERDFLLSLSFPQSRDQTMLLKVACAYRDSVTNEAIKIQADEVKILRPKSPTSEPVCMEVDRERNRVRAAEAIEVARAAAERGALSDAVAILEHCRRILSESFSRKSGDRLCISLDAELKEMQDRMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSTTLVYSYQTPSMVQMLQRSQNQCPSPPGPRPQLRQTRSLLEKPHPRMAVYWKWGGELGGKYVFGGILEKVNGLGGKYIVKGAWEEIVENWSNGVIATRSKIV >KN538903.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538903.1:150802:151933:1 gene:KN538903.1_FG006 transcript:KN538903.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFFPSDEELVCHYLHKKVANERIAQGSTLVEVDLHAREPWELPEVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRGSSSSSSSSSRAVVGMRKTLVFYQGRAPNGVKTGWVMHEFRLDSPHSQPRESVDNIT >KN538903.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538903.1:131034:133341:1 gene:KN538903.1_FG007 transcript:KN538903.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEGMKHVKSENGVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITHGSGRGSKQDCKRFVKLHKWTSVAHEWIIITQLFSVIYALLVNMYKSTSVHNWVKEGLCLSVAMKLAPNREKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVELDDLKAS >KN538903.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538903.1:118933:123897:1 gene:KN538903.1_FG008 transcript:KN538903.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESNRLRSSGRTSGLVGPSAERTERAAGVFWGVVSTARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSLLAPKTAVDLDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRSSLTKTARNVHDDPTLRTFERLSISADRRK >KN538903.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538903.1:55106:56701:-1 gene:KN538903.1_FG009 transcript:KN538903.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MPPAPPLSSHGDFVAALHRCATLAHLKQLHAHAVVTARAAAQTTTFHLLRFASLRLSCLPYARRLFDATPSPNVFLYSAMLSAYAAASSSHAREHARDSLALFLRMLRRGRPAPNQFVYPLVLRAACAIGVQLVRSIHCHACKDGFYGHDVVRTSLLDGYSRYGMMGDARKLFDSLTERNVVSWTALVSGYARAGKVGDAIVLFEQMPQRDVPAWNAIIAGCTQNGLFVEAVGIFRRMVDEGFRPNGTTVSCLLSACGHLGMLKIGKVIHGYAWRSCVGFGSSVVNGLIDMYGKCGNLMEAQWIFDEFSDRGLTTWNSLINCLALHGCSESAIAVFNSMRNEGVQPDEVTFVGLLNACTHGGFVDEGLRYFELMCDEHGIEPEIEHYGCVVDLLCRAGRFQDAMNFINDMKVQPDEVIWGSLLNACRIHRHLELAEHAIRKLLDLNPSNANYVVMLANLYSEGGFWEEVRKVRKFMKEDVTGKKLPGCSWIEVDRKTHRFYSGDDGHPESEDIYNTLDELATAMEMQATFA >KN538903.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538903.1:36397:36806:1 gene:KN538903.1_FG010 transcript:KN538903.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDPPGGGGTLSIAVERNPPESRLLQLGVKSWPKWGCPTGKFPVKFDARETCYLVKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVLAAVDKYYKFDSS >KN538903.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538903.1:80302:82157:-1 gene:KN538903.1_FG011 transcript:KN538903.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHRLGNFKYVVMAPVVAHGARRVMRNGWGDLDIAFSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLVFYAGYLAMPSVRRMPVWRTDGAVVTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITF >KN538903.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538903.1:112227:112929:-1 gene:KN538903.1_FG012 transcript:KN538903.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKSQQRRRQGHPLLRGGGAGKQGRRYTHGFSASQMVALAALCGALAPSLPPDTRDGDDDDAGGGRYGGTGASDAKAVRDFLLASAADPPVPDEVGGGADDEDVPPGDAAGDAGAVRGAVRVVGEVAVRAHVRGDAGGAAGGGAPAVEQGDRAPAAARLLPRRQGLLPLRLLLLGNHSSSSSSPGLSYTAHRVIDQLRVHSR >KN538903.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538903.1:125467:126372:-1 gene:KN538903.1_FG013 transcript:KN538903.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDTSRIKCRPKWRKVAYGGRQPGYDDNHTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTYTLNLVIDEVTLLKLDTSLLLAGFSMLLLTASPFSLKLLSKYVLNTSFFISGLYVLAPIYQTLTRSISSDSIWALAVCLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFAPLVAFCVKKYSLRLHLLFSFALMIMTLGVTYQLHHMFFILLLALLVFISIVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >KN538903.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538903.1:128767:129994:-1 gene:KN538903.1_FG014 transcript:KN538903.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKTAAA >KN538903.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538903.1:76740:78874:-1 gene:KN538903.1_FG015 transcript:KN538903.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGYIAYIDFMNNMGHCNFELVPEWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSDSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWAIPRYNFQYGLTWEREPINDLIEKAILDADMKGVKVISLGLLNQAKQLNGNGELFRQKYPKLGVRIVDGSGLATEVVLKSIPSDAKKVFLHTGTSKIARAIAMALCDRGVQVIMNEKEVYHMLKSQIPENRASYLKLSSDNVPQLWIVHNIDDNEQKMAPKGTIFIPISQFPLKKLRKDCTYMSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDEMMDVEKSWSAAIRHGFLPLTKA >KN538903.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538903.1:43049:44527:1 gene:KN538903.1_FG016 transcript:KN538903.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLDSLGPLLGGAANSTDAANYICNRFTDTSSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSKGFIGKQFFGLKHMPQTGYDYDFFLFQWAFAIAAAGITSGSIAERTRFSAYLIYSAFLTGFVYPVVSHWFWSTDGWASAGRLTGPLLFKSGVIDFAGSGVVHLVGGIAGLWGAFIEGPRIGRFDAAGRTVAMKGHSASLVVLGTFLLWFGWFGFNPGSFTTISKIYGESGTIDGQWFGLLRVAPATEMEGMDPTCHGGFGYVDEDEGERRVRAKSAAETARVEPRKSPEQAAAGQLV >KN538903.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538903.1:86576:89213:-1 gene:KN538903.1_FG017 transcript:KN538903.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVAGNMGIGICKFWVRSLDEEDIEDEKRVDTYSPQIIEFLNSKSNNFALKFASTYKMCYLLQEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCTKGRGRPTNKENRAHQDDDLSDVHIGVGCDSCGMYPIRGKRYKCKDCTELIGFDLCEECYNTKSKLPGRFNQHHTPDHRMELDQSALFNRLMRLQGIHEEGPGSIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >KN538903.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538903.1:185703:196838:-1 gene:KN538903.1_FG018 transcript:KN538903.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding VEELRGKGYEPYAYKWDRTHTTKELQDGYTHLENGEVCADVAVSIAGRIVARRAFGKLVFMTVRDDSGIIQLYCEKDSLTEEQFEQLKTIIDIGDIIGANGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQVVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLRVDYQPVPMAGNGASSLIHDNAVFMLAAVLGTEISLERPWRRETMHSLVKEATGIDFNSFGEDLESAKNAARGIKTESNENISLQACSSVGHVLNEVFETVVESSLVQPTFVLDYPVEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPIDQLVFGGFDKLEMQRPDLVNMQKRGRFENQIKQHNAKRAAMTKEVKSTEGKHEEDDFSYEVTLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >KN538903.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538903.1:50558:52036:1 gene:KN538903.1_FG019 transcript:KN538903.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MATCADTLGPLLGTAAANATDYLCNQFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGSLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGSFLLWFGCVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGVIFTALFARKEYVDQIFGQPGRPYGLFMGGGGRLLGAHIVVILVIAAWVSFTMAPLFLVLDKLGLLRISAEDEMAGMDQTRHGGFAYAYHDDDASGKPDRGVGGFMLKSAHGTQVAAEMGGHV >KN539013.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539013.1:100416:102311:-1 gene:KN539013.1_FG001 transcript:KN539013.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDVTRSTWQSLVVESELPVLVEFWASWCGPCKMIDPVIGKLSKEYEGKLNCYKLNTDENPDIATQFGIRSIPTMMIFKNGEKKDTVIGAVPESTLVSSIDKYIGR >KN539013.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539013.1:152805:153939:-1 gene:KN539013.1_FG002 transcript:KN539013.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLPAVLLTFATAQESRGFLQRGQLQITFCEAADSTVGTACLLILQTSTGRISLAGFHFLR >KN539013.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539013.1:68221:70039:1 gene:KN539013.1_FG003 transcript:KN539013.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADHMAVVGAVSLRDEEMMHGAARCRRIARLGSLIYQSITFHGTSASYLPFRTDADGLLDAIDALLSNDAQTLLVPLHARAARSRKHHHVHVSGCSPANPAAAAADYCVLTREDIVRHLFSYSISLFAPVAARTVASLGLVRRDVHAVHADDDALDVISLLRRSIADGTAVAVVADDDALVGEICPGVLGSCDIESASAAFAALSAGDVMTYIDCSLSPPEFLLRSIRAQLKGRGMDAMADLMDAADDAASSLPLSPSSSSSASSDEDSPFGRARRARRSSSGSFRWRSTEDVAACHAGSSLVAVMAQALAHRVGYVWVVDEVSGALAGVVSFADVLAVLREHLRAGDTQMN >KN539013.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539013.1:3524:8276:-1 gene:KN539013.1_FG004 transcript:KN539013.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKTQKETTPPHGGAEATTSPQVKRKSGKIAAAADDKGKKTRVGRAVEAAGDKKAVFVVKTKSGAVNVEERRPVVVVPTMPVRTSSCTKEEVDAILIQCGRLSRSSSGRAASIETGGGHRRSKRSYDFDQERRAGGGGGNENAGGGQMTAAQTPSLSRSSSPYRRSHMAEIDENSLRNNNGANRHKKISENAHAAAPQKATERSKEKPKVVEETVLVAAAPPASKTTATRTASATAESLNTKARSRRASRDFDQNTNSYATQLLEDIQSYHQQQNTTSVAATAATLPSFSLPACVSKACSILDAVADLNSSSSDSHSCEPDRSANDRGSFIGRYKASNDGKFPTMTTVRQHVGGGHYTVREIVQELEYNQRMLQLDQSKAAELPETAEHSEHLKPKDVHGNAQFNSESFSGNQDIDDLPQKVAATSTEIIDKTETWRLEESQMTSGTSHYTGETEAVKQDLHTADSLQSANESIKSCQTESDSIKNEDSISLGLDTKSDPTDLELGESKSDKIELNSTARFKNVSEPTVSDPIEGDKTVKANVLDSVFV >KN539013.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539013.1:129948:131879:1 gene:KN539013.1_FG005 transcript:KN539013.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIVRQTFIRVHGSLHVSPVAMETRVAVVTGGNRGVGLEICRQLASNGILVVLTARDEKKGSQAVKALEQSGVSGVIFHQLDVTDRSSIMLLVEFIRTKFGKFNILVNNAAIGGTTIDPERLRELLEQDPKASFQEDLMGFLNSYMGSLQQNYEMAKECLEINFYGTKDVTDCLMPLLLLSNSGKVINLTSKISQLQFISNEGVIKVLSDIDNLSDEKLKDVASIFLKDFKDGNLEALGWQPVVSAYAVSKTLVNAYSRLLAKRHPSLEVCCVNPGFVKTDMNYGIGLISAEEGAKAPVRLALQEACSDSCLYFEQCEISEF >KN539013.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539013.1:157245:160036:1 gene:KN539013.1_FG006 transcript:KN539013.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCEKPRPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPAKAARHLPGRTDNEVKNYWNSYLKKRVESGGGKTSQGPPTTPASAASSPADSDDSHSLQQKPHEPANSDSSEPAHESSSASADSSCLTVTTDHPPVSRPHAAVTPKVMFADWLDMEYICGQVAAAPGLDAAGFAVVGAAAGDQQQQQQVMSQDGSVHQTDGLSCGVDDSSLQQQQQQEGFGGNGGCWDFQEQFDSIDQMQASGGGGFCDLLSMSDFDLWAELERTA >KN539013.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539013.1:33:2121:1 gene:KN539013.1_FG007 transcript:KN539013.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGAILADSTPAVPAALRVEPKPKSDIRQQDLLKNIVGIKPKRTKVSSPSQPAENDKPKQSPEDSVNKVSSPQSQSGSRKESSQRDGAVSFEKPLLKPVEPRESKPQNATGSLLGLAYESSDEE >KN539013.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539013.1:133407:134639:1 gene:KN539013.1_FG008 transcript:KN539013.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIVVKKEQNEKDEEEVNGRDDEGYGYSDSDYGMDTDDDDDDDGDRYDECIEKTPSPPPKSTQQHATTLAEMYRRPHELMHDADFHGTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQAIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHDQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAAVEQQHQEPAMADTAAPMDIHNVQEPDTAAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAALFSYCRSVLHDKPQAFKIKRLLGVGGAFHELPQGDHSFEDLGLNCATVSVVLDTY >KN539013.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539013.1:110422:110688:-1 gene:KN539013.1_FG009 transcript:KN539013.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGDGAPLPAVTFLVVVVVLLANEVATFGCGCRALKQADCAMAATPKHGGTVLMRAAAPPGPGEARAAVYGESKRLVPQGPNPLHN >KN539013.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539013.1:126478:128508:-1 gene:KN539013.1_FG010 transcript:KN539013.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPELIMRSLPLILFLSLGSFHLAAAAVDDQFTFDGFAGVNLTLDGTAVVTPDGLLMLTNGTTLLKGHAFYPSPLRFFHEATSGGGGGSSTVRSFSTAFVFGIVSEYADLSSPGLAFVVAKSRDFSSALQSQYMGLANARDNGNASNHFLAVELDTIVNAEFGDMSDNHAGIDVDGLASVAADDAGDDDDRTGAFVSMSLLSRAAARVWVDFDARTSLVNVTMAPLELPKPTTPLLSAAVNLSAVIEGEAYVGFSSSTGVVASRHYVLAWSFKMDGPAPSLNVSKLPALPVTIARAPSNVLKILLPIASAALVSALAIAALVIHRRRRRYAELKEEWEVAFGPHRFSYKDLFRATNGFSDERLLGFGGFGRVYKGVLPISRVEIAVKKVSHESRQGMKEFIAEVVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYAENSKILSWAQRFRIIKGIASSILYLHEDWEQVVLHRDIKASNVLLDAEMNCRLGDFGLARLYDRGTDPHTTHVVGTIGYLAPELGHTGRPSKASDIFAFGVFMLEVTCERRPISQDTNGGHLLLVDMVLEHWRQGTVTDAVDPRLQGDFAVEEASLVLKLCLLCSHPLPSARPGIRQVVQLLDGAMPLPELSQAHLSCNMLALMQNQMGNSCPVASSVAGNISDIPRAR >KN539013.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539013.1:165072:168826:1 gene:KN539013.1_FG011 transcript:KN539013.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQFETYAFPAASCEVSTAISYSVVAITECFLSKYVFICCLGCSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCT >KN539013.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539013.1:60204:62979:-1 gene:KN539013.1_FG012 transcript:KN539013.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKRCFCGSQEDIKELLNLKNVQLFSYREIRAATNNFDDGNKIGRGGFGTVYKGTFEDGTAFAAKVLSAESEQGINEFLTEIESIAEAKHANLVRLLGCCVQRQNRILIYEYVENNSLDNALQGSAAGVTDLSWSTRSDICMGVAKGLSYLHEEHEPSIVHRDIKASNVLLDRNYIPKIGDFGVAKLFPDNVSHVSTRVIGTTGYMAPEYVVHGQLTKKADAWVLHEQGSLLDMVDPSMKGGYPEEEALKFIKVALACTQAKPCSRPTMRQVVKLLSRPVCLEELEMLCPGFVGDGHTHDAAAADTVGSPGVMVVSPALSPKRLDLAAPKLAAAGSGRSTPEHMGRQGQGKGDVISIFFRWEWGRAGFGYPQARGTRARWEAGPREGRRRETALPAKGRACRHAGERFLSSKEGEKNEKVMAEDGLWLS >KN539013.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539013.1:13096:17675:-1 gene:KN539013.1_FG013 transcript:KN539013.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGEDSVDMGPEASAMLERLMLAQAQECCFERALAAGTSSAACSKVAKQAALYYEEAYASLVIPPLQNHFERSWVAHILLKAALFNAEASYRYAIELHEKTEIGEEIARLQFGINAIVDAKKAVRGAPGSLYDAASRLEQDMNQNLERALNENNRIYLLRVPAAKLLAPLPAASLVRSASLSEILDVKTETGNQSSQHGWFIYMPLNILQECYEDVAAEEAAVAPKIGKRVRVTAPVRVYHVMKAPDLDIKGMEGVVKQYVAVWKGKRITANFPFKVEFHLSVEGQDKPVRFFVHLREDEFEFIDE >KN539013.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539013.1:80903:84392:1 gene:KN539013.1_FG014 transcript:KN539013.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGDGREEEGVMATDFFWSYTDEPHASRRREILAKHPQIKELFGPDPLAFLKLTQQQPQQQQKIAAVVPLQLWTATLLRDASWVKILTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNTLSKSVWVVFQLFFYALRPLFLKPKPPGLWEFTNLIIQIALDASMVYFFGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLYKVREIAPEYYNNLKSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >KN539013.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539013.1:104467:107096:1 gene:KN539013.1_FG015 transcript:KN539013.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLVGAKGLENTDYLCKGSNPEWNENFVFTVSDKATELLIKLLDSDTGSADDFVGEATIPLEAVYTEGSIPPTLYNVVKDEHYCGEIKVGLTFTPEDVRQHGLPEDFGGWKQSR >KN539013.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539013.1:120756:121934:-1 gene:KN539013.1_FG016 transcript:KN539013.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAEWWEATIAGYTGLSPAAFFTAVAVAAALYVAVSGLLTRPAPPPPRRQEEERASQPLPPPVQLGEVTEEELRVYDGSDPSKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFELDDLTGDVSGLGPIELEALHEWEGKFMSKYVKVGTIKKIIPVSEGDAATPPTHGGTSDRGIDVGTIESNRVPEPGENGATSHADAVEKSDADVSTHSHEDVVEKPDELPESGVDTMSTHEDAVEKPKEETEDADVQKTISTEVAGEGKGAPDEDERNTSSLKDATEKPKEAAYIDVKDTSGHEVAGEPKEAPDVDGNNTSSHQGTVDEPKEASHEEAKKA >KN539013.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539013.1:138551:140122:-1 gene:KN539013.1_FG017 transcript:KN539013.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFSQGGKSSEKSDQGRAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQSKQKESEEAASVAATEASEKEQEVPPQDAT >KN539013.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539013.1:117863:119836:1 gene:KN539013.1_FG018 transcript:KN539013.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGEHLVGNVVSDDAESGEEAEASSKVLYRASFQELMHNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKIIQEHQSWKYRIYSGEGPSDVTPIGRLNSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCRSVKNLESLLLGSHSRA >KN539013.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539013.1:74780:78948:1 gene:KN539013.1_FG019 transcript:KN539013.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSSSAPMLIRSVLFVSLLSAAFVFDSGEAGAAHRVVDPEWHPATATWYGSADGDGSDGGACGYGTLVDVVPMKTRVGAVSPVLFKGGEGCGACYKVRCLDASICSRRAVTVIVTDECPGGVCAFGRTHFDLSGAAFARLAVAGHGGQLQNRGEISVVYRRTACKYGGKNIAFHVNEGSTTFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMKHIWGATWSLTPGPLVGPFSVRLTTLTTKQTLSAQDVIPKNWTPKATYTSRLNFA >KN539013.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539013.1:40085:44987:1 gene:KN539013.1_FG020 transcript:KN539013.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVAHHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEERGLEENGVFDPESMLVSTATEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKGALVGSHKGKCHVYDISDNMLKHKKQIDLHIKKRKSSQKKITGIQFVPGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNDDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >KN539013.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539013.1:86639:91542:1 gene:KN539013.1_FG021 transcript:KN539013.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIAMAYCRANGDVNRAGDLLTELELPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKDAAVECSSTKGSCLESQSTFRFLLLLFIIGLFLSSHHEGYIYFTFIDTLLCRNGSAYSLRGKRHSSSQISPGELLESIFTVPERSEEEPIGKRYELGANRNRVPDQKPVVEPLEDISSETNCYPVKVILSKELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >KN539013.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539013.1:135670:137362:1 gene:KN539013.1_FG022 transcript:KN539013.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSNNSFPAEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGAGAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKNTIQALLPLLQSSPDGRIVIVSSVLGQLSYFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPASFV >KN539013.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539013.1:48889:57408:1 gene:KN539013.1_FG023 transcript:KN539013.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFGNTSIGEVEVWPSGDASLAAAAWAREIRVDRLSPPSERCQPLAVMHTVAVGARCLVMESRPPKAADEPPQPLVAMHAACLKENKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRINGMIAEIKRYQDDKSILKQYIEGDQVYDDGKMYKVQPELVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVFYEDEINEIPSAPDVGNYLISEDENVAAVNGNRDPLAFDGMADAEVERRMKEASGNAQAFTTTAANFVMPVLPGQNFVSSSVAPVAPSLGMVPLSNNQGPPPPFTQPVAQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAVPPVQVPVPPVQPHGNWFPVEDGMNPNNLNRGSAGFPLESETMHYDKKQPPHPFFHGGESPISSDRFSYQNQRYPSQLPHSEDHRVLQNHAPSRYRSFPGEELATRHVSSSQRSNQIVPGQHFARHAGSSAGILEEIAMKCGSKVEYRSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEAQCQAAEISLRNLANKYLSYDPNKMTDMKENGFGSNTNIFGYPGNSRDDVLPIASTSEETRFVKMGENNSRKAGGSIAALKELCTAEGYNLVFQARTSPDSSVGKEAYAEVEVCGQILGNGVGITWEEAKLQAADEALGMLRSMLGPLAQKRSSSPRSLAPSFDKRFKPDFPRAVQRVPYGRYSRIEGHVP >AMDW01008585.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008585.1:16:165:1 gene:AMDW01008585.1_FG001 transcript:AMDW01008585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEAEAFEALEMHWGSNEIDGLWLVLVCMPHVVHGDYFVAPGVENGAK >KN538919.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538919.1:78504:80673:1 gene:KN538919.1_FG001 transcript:KN538919.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVAGDVLLAFRLLPDGTSSSPLATREEALLSYVDLSNYETLFERTGYALSAANGLLQAVDGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAALFYTFLNKVADYILDIADLAASSTESDDTDSDSENYPKARFWSLS >KN538919.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538919.1:123647:125091:-1 gene:KN538919.1_FG002 transcript:KN538919.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMVLYPGLSVSHFLPMMKLADELVEHGYAVTVALIDDPLQKQIALTATVDRVISSKPSICFHRLPRVDHLPAVTTNDGEFYLPGARQVDIAERLKVPGYLFHPSNASLFAFFLQIPSICAEGKRSFSELGDTPLEIPGLPPMPASHFIGNRPEEPPESEVYKAVMDLVRRYTNKCSNGFLVNTVDSLEARVVNTLRHARRQGGRALPPFYCVGPLVNKAGERGERPERHECLAWLDRQPDRTVVFLCFGSTGIGNHSTEQLREIAVGLEKSGHRFLWVVRAPVVSDDPDRPDLDALLPAGFLERTSGQGAVVKQWAPQVDVLHHQATGAFVTHCGWNSVLEGITAGVPMLCWPLHSEQKMNKVLMVEEMGIAVEMVGWQQGLVTAEEVEAKVRLVMESEAGVELRARVTAHREAAAVAWTDVGSSRAAFTEFLSNADSRQTS >KN538919.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538919.1:54279:58728:1 gene:KN538919.1_FG003 transcript:KN538919.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWLLLLLLGLAVAGVVRGQGGAPDTSGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWMGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALVGYCKDEIHLALVYEHMSEGTLEDKLRGKDRKVRSLTWRQRLRIVLESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPNDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVAQLKECLELEETSFKGDTSSSYMTGSSIDPNSSYNTYTTEMS >KN538919.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538919.1:171188:177511:-1 gene:KN538919.1_FG004 transcript:KN538919.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGITLLLSYEDVKGILWKRTIELFSTLASQVAMDALYEGPNNPTMFHVIVKVTVDGTVPTAKPIRGLLVRSYLRNVATIQKVKFMGSRINKIFQNSNFCSLCRTESNSGKCFDKITRFIAGLGFITSVTFIFVVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRIGEYAFGFITSSVSLQSYTGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQIILGDRTGASRS >KN538919.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538919.1:10803:15064:1 gene:KN538919.1_FG005 transcript:KN538919.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLLLLCFAAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPAPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVTAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISSPARIIGLNLSSSGLSGEVSSYFGNLKAIQNLDLSNNKLTGPIPDALSQLPSLTFLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQATMSNSVKPQNETVSNVSSNGGHGHSSSLRLENRRFTYNELEKITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAGKNNNRIYLTWRERLRIALESAQGLEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYAADIALKCTAQTSTQRPTMTDVVAQLQECLELEYRRCGMEDTYNNFYAGNNNDPNSSYNMYNMDQSTDVSQNNTMEHNFERVPTMATGPVAR >KN538919.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538919.1:105328:107614:1 gene:KN538919.1_FG006 transcript:KN538919.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTGISTPHSSKSSGRVTLTDVTNLNVPEVTRNRAREGEVYASLSAEEKEAKLQKNRDYRQRKKEATTSLTGTLGDITNLTPVELTRKRARERKGSEPSQLVLTPTRLPSTQNIHDLCIAQPSEIMVDNTDDTNMIIDFSATVPETMKSTDEQDDDESFFMRGREIEYESYQGEAMTDHMKARTMADDRIYENLPANPSVLKQVPNCLPLFYNVVL >KN538919.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538919.1:131114:132444:-1 gene:KN538919.1_FG007 transcript:KN538919.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVELANLFPRHGLAVTVVLIEPPAKPPSFAAAVSRSMASNPRIAFHAEINASFGEIGDEPLLFPGVPPFKPSDLPKAALDRNDEMYRWILGVFERLPESRGILVNTFQWLETKALRALGDGACVVGRPTPPVCCVGPLVSRGGEDKNHGCLSWLDAQPERSVVFLCFGSMGSFPKEQLEEIAIGLERSGQRFLWVVRRPHAGEASLSGLLGGRHGTHDELDIDEFMPEGFLERTKGRGLVAGSWAPQADVLRHRATGAFVTHCGWNSVLEGIAAGVPLLCWPLYAEQRLNKVFIVEEVGVGAVMVGYDGEVVSAEEVEAKVRWMLESNEASPIRERVALAKERAEEATRKSGSSHQSFVKFLTDFGVTK >KN538919.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538919.1:72:9586:1 gene:KN538919.1_FG008 transcript:KN538919.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding TQFQIPAVSVGLYIDCGSVYETSSSSGTSHLLERMAFKSTTNRSHLRLVREVEAIGGNVFASASREQMSYTYDALKCYAPEMVEVLIDSVRNPAFLEWEVKEQIQKIKSEISEVSGDPHGLLMEALHSAGYSGAKIKPLMASESAVNGLDVATLEEFVSENYTAPRMVLAASGVEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMHSRLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVTQEQLDRAKEATKSSVLMELESRIVASEDIGRQVLAYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDESDVMASWLSHLPIHRCQLSFPAAQASYATPGIDSALLLDVDGMAGSGAAKPRLRLPKPAAKRSESEFLRSRPLALLMASSHIILPPDDDDEEQRRLEEEEDEDPWARSGPPPAAPEHAVKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLVDHLPDAPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQKVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLAHMYNVSKIYGIQNGYKGFYSSNYLTLTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVFIIGGDGTQKGAYEIFKEIRKRGLKVSVAGIPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYVERRLKENKHMVIVVAEGAGQDLIAKSLSTSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGNVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDEAKETDRTTNKPPLPTGLSHHVANSFDQSASSSSNSQI >KN538919.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538919.1:108965:110364:-1 gene:KN538919.1_FG009 transcript:KN538919.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTFVLFPSLGVGHLNPMVELAKHLRRRGLGVIVAVIDPPNNDAMSADAMARLAAANPSVTFRILPAPASPDPGAHHVKRNLDTLRLANPVLREFLRSLPAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASVLAVFSHLPYYYRNAPSLREMDKAALIRFPGIPPIRNVDMLATVKDKESETAKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPNEPKQRVYFIGPLVDARKKHEAVGAFVPHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVSLDGYEEGGLVKAEEVEAKVRLVMEAEEGRKLRERLVETRDMALDAIKEAGSSEVAFDEFMRDLEKSSLENGVRS >KN538919.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538919.1:90733:91500:-1 gene:KN538919.1_FG010 transcript:KN538919.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAENKNEPARPFAVPSPSVHPAATGVEEEEEEEAQAATGWRSMQYLRKRRRALCCCGCCVTTLVVVGLVILVLALTVFRVKDPRITMNGVWVTAISTGPGTGAGIGSTVATNATLTADVSVKNPNAASLRFSRSETDVYYKGKTVSVAYVPAGSVGADRTVRMNITLDLLADRLASVLNGTGLILGQEYDLTTYTAMRARVSVLGIIKKSLDVRMNCSVILDVAGIAGVLLPGDGAKSGVQTRSVDCVAIVS >KN538919.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538919.1:99139:101196:-1 gene:KN538919.1_FG011 transcript:KN538919.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTFVMYPSLGVGHLNPMVELAKHLRRRGLGVVVAVIDPPNNDAVSADAMARLAAANPSITFRLLPAPASPDVGAHPIKRSHDTLKLANPVLREFLRSLPAVDALLLDMFCVDALDVAAELAIPAYFFFPSQASALAVFLHLPYYYPNLPTFMEMGKAALLRFPGMPPIRTVDMPAMLRDKESEATKVRLYQFKRMTEAKGVLVNSFDWLQPKALKALAAGVCVPDKPTPRVYCIGPLAEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKITVSLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAITEGGSSEMAFDKFMRDLEESRLENGVRS >KN538919.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538919.1:133681:140589:-1 gene:KN538919.1_FG012 transcript:KN538919.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGVFYCGAPVLAQELSDLCHDFNGRCTSKFEFHKEHF >KN538919.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538919.1:181786:182243:-1 gene:KN538919.1_FG013 transcript:KN538919.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYMDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKDQDTFRHVVLVAAAFVLALLFNERFTFREVM >KN538919.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538919.1:167912:170382:1 gene:KN538919.1_FG014 transcript:KN538919.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAALLRRHCLAAAGGANPVLFSGHGLRYRKLEVILTTTIDKLGKAGEIVKVAPGHFRNHLMPKMLAVPNIDKFALLIREQRKQQEEKLKEYQTAAKRLDNALLVLRRFISVGNELRSPVTKDEIVSEVARQLNINIHPDNLHLPSPLASLGEFELPLRLPRDIPRPEGKLQWTLTVKIRRK >KN538919.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538919.1:47724:51788:1 gene:KN538919.1_FG015 transcript:KN538919.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLILLCLVAAATAGVFQARAQPDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYVTPALSARYHNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWAEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYIAVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVIKEKYQVKKNWMGDPCVPKTLAWDKLTCSYDSSKPARITDINLSSGGLSGEISSAFANLKALQNLDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRVQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVIILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRKRLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKAFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLARECLELEEGRCAISNANNNFYTDNNNNSNSSYDMYATDHSIDVSQNSAAFETERNFGRMPSTATGPAAR >KN538919.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538919.1:182916:184846:1 gene:KN538919.1_FG016 transcript:KN538919.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVDENSKKLKKPKPWKHTQAITPTQLSKMREEFWDTAPHYGGQKEIWDALRAAAEAELPLAQTIVNSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIREP >KN538919.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538919.1:17749:24331:1 gene:KN538919.1_FG017 transcript:KN538919.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETSISGTRYQRQTGFRTLDKDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSNPFVRHPQYNITINATANSTMPPLINAVEVYSVISTANIGTDSQDVPAIMTIKAKYQVKKNWMGDPCLPRNLAWDNLTCSYAISNPARITSLNLSTSGLSGEISSSFGNLKALQYLDLSNNNLTGSIPNALSQLSSLTILDLTGNQLNGTIPPGLLKRIQDGFLNLRYGNNPYLCTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVTTLLYCLLRRKKQVPFFTYKGSMNNSVKPQNETMRYGPTNNDSGHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLAEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQGLEYLHKGCNPPLIHRDVKGTNILLNTRLEAKIADFGLSKVFNPENGTHVSTNTLVGTPGYVDPEYQSTMQPTTKSDVYSFGVVLLELVTGKPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQVSDINNGFYNGNSGDPNSNFYTTEQSTGLRQNNSTLEMEQNFGSIPSIAGGPAAR >KN538919.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538919.1:68173:72086:-1 gene:KN538919.1_FG018 transcript:KN538919.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASREHLLLDPAALAVSWADPAAVEIPPELLAALGEYLSARPWLLNSDYTIQLEVSAEFRSKYLTGVHASRGKETVVVPIPSDNAFVMANGTTVQPGCRTLADTDSVDETDTEKTRTDHSRMMTKDIQRLDRVSHDDGLPDDGLPGSGGQGGNRSSAAWPGRQALFDKHQKSSRLELELSV >KN538919.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538919.1:81393:83199:-1 gene:KN538919.1_FG019 transcript:KN538919.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEESLVESVMDKISDKLHGRGGSSSSSSDSDGERTADLKSKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLAGATAIWLLFEVMDYHLLTLLCHCIILTLAMLFLWSNASTFINKSPPNIPEVKIPEDLAVNVARSLRFEINRGFATLREIGQGHDLKKFLIVVAGLWVLSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDQIDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKNKKH >KN538919.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538919.1:97031:98394:1 gene:KN538919.1_FG020 transcript:KN538919.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAKLFLGRGFVVIIAVPTPPASTADVAASSAPAVARIAAANPSISFHNLPPPDYPEPDPDGFQQLLDVIRLTVPILLTFLRSLPPVAAVVLDLFCVDALDAAAAAGVPAYFYFTSSAGVLAAFLHLPHYFATTEGDLKDMGKALLHFPGVPPIPASDMPHNVLDRADVIGASLVYHYRRMPEARGMLINTYEWLEAKAVTALGDGACVPDRPTPPVYCIGPLIVKGEDAAKGERHACLAWLDAQPARSVLKEIARGLENSGHRFLWVVRSPPPEDPAKFSLPRSEPDLGALLPEKFLERTRERGMVVTSWAPQVEVLRHAATGAFVTHCGWNSILEAATSGVPMLCWPQYAEQRLNKVLVVDGMQLGVVMDGYDEELVKAEEVEKKVRLVMDSDEGKKLRGRLEMAKEMAAEALADGGPSCTAFSDFVDDLQRSK >KN538919.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538919.1:93675:95117:1 gene:KN538919.1_FG021 transcript:KN538919.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLNPMVVLHACLGVGHLIPMVELAKLLLRRGLAVVIAVPTPPASTADFFSSSAPAVDRMAAANPSISFHHLPPPEYPDPDPDAFLQMLDTMRLTVPPLLAFLRSLPSVAALVLDLFCVDALDAATAAGVPAYFYYTSSAGDLAAFLHLPHHFATTEGSLKDMGKTPLRFPGVPPIPASDMPHTVLDRADRTCATRLGHYGRIPEARGILINSYEWLEARSVRALREGACIPDRPTPPVYCIGPLMAKGEEAANGERHACLSWLDAQPERSVVFLCFGSLGAVSVKQLKEIARGLENSGHRFLWVVRSPPQDPAKFFLPRPEPDLGMLLPEGFTERTRDRGMVVTSWAPQVEVLRHAATAAFVTHCGWNSVLEAASVGVPMLCWPQYAEQRMNKVLLVDGMQLGVVMDGYDEELVKAEEVEKKVRLVMEFEEGKKLRDRLTMAKEMAAKALADGGSSSLAFTEFLKDLNFGNTTKEKA >KN538919.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538919.1:120040:121813:-1 gene:KN538919.1_FG022 transcript:KN538919.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTIVLYPGVAVSHFLPMMQLADELVDHGYAVAVALIDPAFQQHTAFPATVDRVVSSKPTVRFHRLPRVELPPATATDDGDFLLLGYLDLVRRHNECLHDFLCSMLPGGVHAFVVDSLSVEALDVGERLNVPGFVFHPANLGAFAIFLQLPSIRAEGEPSFRELGDNPLELPGLPPMPASHLFSQFLEHPESQVYKAMMNLREIAVGLEKSGQRFLWVVRAPRVAIDDDDDSFNPRAEPDVDALLPAGFLERTTGRGVVVKLWAPQVDVLYHRATGAFVTHCGWNSVLEGITAGVPMLCWPLHSEQKMNMVLMVEEMGIAVEMAGWKQGLVTAEELEAKVRLVMESEAGSQLRARVTAHKEGAATAWADGGSSRSAFARFMSDMDRTANIR >KN538919.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538919.1:61176:67247:1 gene:KN538919.1_FG023 transcript:KN538919.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLFILCFAVAAAGILEIRAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGIKYLIRGTFMYGNYDGLNRLPVFDLYIGVNFITVVNITRPDGAALEETIVVVPDDFVQVCLVNTGGGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTSNTEIIRYPDDPHDRVWIPWINPIDWAVVSTTMTVQNLENDIFEAPSPVMRTAIKPRNASGNIEFAWEAYAQPKDPVPGYVANLHFTEVQLLPSNTVREFYINLNGKLLYNQSYRPAYLYADAIYNSKPFLRYPLYNISINATSNSTLPPIINAIEVFSIMPTTNVGTNSLDASAMMAIEAKYQVKKNWMGDPCVPKTLAWDSLTCSYATSGRPRITSLNLSSSGLRGDISSSFASLTAVQYLNLSNNNLTGSIPDALSQLPLLTVLDLTGNQLSGSISSGLLKRIQDGSLDLRYGNNPNLCTNGNSCQLPKRKNKLVIYLVVPIVLVVMVVSIAVIFFCLRRRRPGPRSNSAKPQNEKAGYASKNGGHLHSSSLQLENRQFTYRELEMMTDNFQRELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLAEAQILTRIHHKNLVSMIGYCKDGDNMALVYEYMSEGTLQEHIAGLEYLHKGCNPPLIHRDVKATNILLNAMLEAKIADFGLSKAFNRNNDTHVSTNTLVGTPGYVDPEFVNPSTLFNLVFQIPLILVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIQRPTITDVVAMLQECIELENRHRKDYVANSENHNSSYNAYGVDQSTNVIQSNDEFEVAHNIARVPTMATGPVAR >KN538919.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538919.1:29521:29691:1 gene:KN538919.1_FG024 transcript:KN538919.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLILLCLALAATAGILQARAQLDSKVIAS >KN538919.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538919.1:145032:159304:-1 gene:KN538919.1_FG025 transcript:KN538919.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSHQLVWVQGPIVVGAGPSGLAAAACLKEKGIDSLVLERSSCLAPLWQLKMYDRLSLHLPRQFCELPLFPFPASYPDYPTKQQFVAYLESYAANFGINPMYNHTVVCAEFDERLMLWRVRTTQATGMMEDDVEYVSRWLVVATGENSEAVLPMIDGLEEFRGSVIHTSAYKSGSKFAGKTVLVVGCGNSGMEVCLDLCNHNGYPHIVVHILPREMLGQPTFRLAMWLLKWLPIHIVDRILLLVARAILGDTSQFGLKRPSLGPLELKSLSGKTPILDIGTLAKIKSGDIKVRPAIRRIAGQQVKFVDGRSEQFDAIVLATGYKSNVPCWLKFPEKKIVQQSRNSKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLASIL >KN538919.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538919.1:76587:77643:1 gene:KN538919.1_FG026 transcript:KN538919.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVAHRFSLASAFLPRHRRPSPSAAGRRRRHGTVVAYMEPNPNSPSSIAGRLIGALPVVGLVARILSDEGGVGGDMIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAIYVCCFGQDMVEDVDVKLLCKMLNAVFPSVGRQAVERIVTSMAKQVAAGERKGPGVKTVSKEAAQRQLKDLEFLKQNKLDSA >KN538919.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538919.1:113735:115191:-1 gene:KN538919.1_FG027 transcript:KN538919.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMVLYPGLSVSHFLPMMQFADELIDRGYAITVALIDPVFQQHIAFPATVDRVISSKPAIRFHRLPRVELPPAITTKDNDFSLLGYLDLVRRHNECLHDFLCSMPPGGAHALVVDPLSVEALDVAKRLNVPGYVFHPGNASAFAIHLQLPLIRAEGQPSFRELGDTPLELPGLPPIPASYLYEELLEDPESEVYKAIVDLFHRDIQDSNGFLMNTFESLEARVAGERGERPERHECLAWLDRQPDRTVVFLCFGSTGTGNHSKKQLKEIAVGLEKSGHRFLWVVRAPIVVNNDPEKPYDPRADPDLEALLPAGFLERTSGQGAVVKQWAPQVDVLHHRATGAFVTHSGWNSVLEGITAGVPMLCWPLYSEQKMNKVLMVVDMGIAVEMVGWQQGLVTAEEVEAKVRLVMESEAGNQLRARVTTHKEAAAVAWGDGGSSRAAFAEFLLDADGRQSH >KN538919.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538919.1:33095:45949:1 gene:KN538919.1_FG028 transcript:KN538919.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYPDDPRYRVWIPWDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFATDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAMEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLYGNNPNLCTNDNSCQAAKHKSKLAIYIVVPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEDGTQVAVKLRSESSSQGDKEFLAEAQILTQIHHKNLVSMIGYCKDGKYMALVYEYMSEGTLQEHIAASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLDSSGKVLLSSWRFIRRCSSPFESELLACLEGLNLAVEWIKMPVILESDCAIAVDRMSSKEYDRSQWSFLLREMKRKMALLSEAAKHKSKLAIYIVVPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEDGTQVAVKLRSESSSQGDKEFLAEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYLSEGTLQEHIAGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTRKSAVLRDPEPISIIHWAQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTTQVSARRPTMTDVLAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYDTYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGPATR >AMDW01037391.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037391.1:341:655:-1 gene:AMDW01037391.1_FG001 transcript:AMDW01037391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAERRSPTAGLARVKGRFARNSTTGDAADGSQSTGLAEVVSPPLAATNAMCNDDDDGGRSDLPEWWPEMQEALARQEDDDEDLLAAYLGVSSINLYSPRGHSS >KN541527.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541527.1:1203:9106:-1 gene:KN541527.1_FG001 transcript:KN541527.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFSGAEVVMIVAISICLYTFAFVSGIILAWGLEKKPPRASNSAVVDRRRRLSSINNGNAEDHSPASSTKVSTGESSTMERRSAAKWRGGGGDELKLVKDGPWGQRYSYNSIAVHDTIKLSADEQVTSVEGTIGRFRDVDEPVITSLTFRTNAGKAYGPYGGAGDKQAGTPFSIPVDNGGVVPSAMGNVFSGISVVILVIVCISLYTFAFLAGLGLGQNLERTRKRHHRLRDQPAAGEEDEEERSGRVHQPTQLTLPHVECGAIHSLYFDYYIEQQQHGGRDRHGGGQLKLMNHGPSGQASSYNSIAVRDEIKLSAREQVTAVEGTVGNFRDVDEPVITSLTFHTNAGRKYGPYGGNGKQGTPFSIPVGKGCIVVGFWGRCGWLLDAIGVYEYSVLPGRRWSSLVGKKIKLSDDEQVTAVEGTFGHFRDVVEPVITSLTFHTNAGRTYGPYGGGGEPGSGTPFSVPAEEGRIIVGFWGRAGWLVDSIGVYVRRER >KN541527.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541527.1:21367:26965:1 gene:KN541527.1_FG002 transcript:KN541527.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVDCCRWEGVTCNVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNGICGLHQLKYLSLGFNMIQGVINPCLGKLQHLVYLDMGSNFLTGEIGQYLLSNLTQVEEVHLGDNNLTGTFDFSSLANNSELHSIVLSNNYKLEIETELVRWTPLFQLEHLNLSNSIVNKRSSGIIPTFLSAQVSLSGIDLSICSLQGRIPSWMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSDNRISMKMPYNFGSLFPYLKYLDMSSNMLHGGVPSLAEAVSSLQVLDLSFNRLDGEILPEFIGNASILTSLLLSHNDLTGPMPPFHWILGQLIHLSVENNQLSGGLPPLLMNCTNLENLNVRNNRLSGVIPVGLLSFEKLGALLLGGNQFHELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGTIPSPVGFL >KN541527.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541527.1:15165:18943:1 gene:KN541527.1_FG003 transcript:KN541527.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSWNQGSSVCSWAGVRCNRQGRVSVLDVQNLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTLDLSANSITGMIPISFHSLQNLKMLKLGQNQLTGAIPPSLGNMSLLTTLDASTNTIAGEIPKELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGHIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPDSIGNLSSSLENLYIGGNRITGHIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNALGLSGNNLSGPIPTQFGNLTALTMLDISKNRLAGSIPKELGHLSHILSLDLSCNNLNGSIPDTVFSLTSLSSILNMSYNALTGVIPEGIGRLGNIIAIDLSYNLLDGSIPTSVGKCQSIQSLSMCGNAISGVIPREIENLKGLQILDLSNNRLVGGIPEGLEKLQALQKLNLSFNDLKGLVPSGGIFKNSSAVDIDGNAELYNMKSTGFRSYSKHHRKLVVVLAVPIASTVTLLIFVAVMFMLWKSKCLRIDVTKVGTVIDDSILKRKLYPLVSYEELFHATENFNERNLVGIGSFSSVYKAVLHDTSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFTGNDFRALVYEFMANGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHDGSCRVGQVVHCDIKPSNVLLDGDMTAKIGDFGLARLHTQTCARDEEFVSSTHNMKGTIGYIPPEYGYGAKTSTSGDVYSYGIMLLEMITGKSPVDEMFGGEMNLEKWVRVSIPHQADEVVDKRFMIKAGSEESSADGQQQQQVDTVDSKLLLETLLVPMVDVALCCVRESPDSRISMHDALSRLKRINEKFLKSLAVSTP >KN543665.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543665.1:2137:2802:-1 gene:KN543665.1_FG001 transcript:KN543665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVMVYRVRAARNIMHDRDTGRARRMGRRKVMVYRVRAARNIMHDRDTGRARRMGRRKVMVYRVRAARNIMHDRDTGRARRMGRRKVMVYRVRAARNIMHDRDTGRARRMGRRKVMVYRVRAARNIMHDRDTGRARRMGRRKVMVYRVRAARNIMHDRDTGRARRMGRRKVMVYRVRAARNIMHDRDSGRARWMMGRRVVIVYRVLAARNIMHNHKSG >KN546315.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546315.1:499:916:1 gene:KN546315.1_FG001 transcript:KN546315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CAWMGARRATTCREASAPGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGMLSNDQQLNS >AMDW01011076.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011076.1:16:198:-1 gene:AMDW01011076.1_FG001 transcript:AMDW01011076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELVMGAMENLIPKLGKLLKEEYVMQSGVREKIQSVSRELESIHAALHKIGKVPWEQLDDE >KN541519.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541519.1:854:4034:-1 gene:KN541519.1_FG001 transcript:KN541519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGHVGLVWIGLWFSLCSLWIGLVLKCGRFRPSGAWFSGWGVFGFLFWIQGASALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYANLKKLLSLDDDHEDINDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLLSLDLSSLSGGAPGRTRGLKISPVN >KN541519.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541519.1:24343:25607:-1 gene:KN541519.1_FG002 transcript:KN541519.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNGSSVMISPVVQGKEQTDIMKNMQNHNIGNSTSVPVSGSCFNGTSFLSGNSVNAPTPPQMPTYSITGIRGNATF >AMDW01031926.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031926.1:33:459:-1 gene:AMDW01031926.1_FG001 transcript:AMDW01031926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DADNNLRAGDPFPGTPANVTDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDLGS >KN541519.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541519.1:15823:16298:1 gene:KN541519.1_FG003 transcript:KN541519.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGSSVMISPVVQGKFQQGIMNGMQNRDIGNSTSVPVSGSSFNGTSFLSGNSVNAPPAPEVPSYSITGIGGDATF >KN541519.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541519.1:12556:13047:1 gene:KN541519.1_FG004 transcript:KN541519.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGSSVMISPVVQGKMQQGVMNGMQNSNIGNSTSVPVSGSSFNGTAFLSGNYANAPAPARQVPSYSITGIGGNATF >KN539667.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539667.1:72417:73004:1 gene:KN539667.1_FG001 transcript:KN539667.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDREQIKRCVVAFLPPPEEEDAGEEIRVVPCPAAAAAEEEIRRSSKRKGKRCASNGSKPAGTLVPADGEEMILVPPGKLALSKNLVDKILSLERMELPHVADIVDDGNPNPSEADKALRRCVLDLDRDNRRHQDKLAACQAIIRRVRHGKGYAVVDNRLDFRVAVCRAEGVFLLPCHIADLIPVGFDLVSN >KN540458.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540458.1:46195:47818:1 gene:KN540458.1_FG001 transcript:KN540458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSHHLVAPPWSCTGSFACYHGEEGKRPHPDESAIHDVAQIIRSIKRLYDEAARRLPIDEIPELVGCIFEGGHCLGLADPVGNIILNAVAHHASGRLAAPHLALPREEGKSLWGFLAARSYAGLVAFMVAYFRYISDEQARRYLYLAAHDLPLAVRLVLHDRRRRCQRPRLLPDGGKIKAALRVAALKAAHPAPDELARLMTAQFIAGQLSPIMAKLQGSELLTAGDVMDIKNLLGEAVQMLGGCDARGGRRRRGCRRSAELRPIPMRAHTVAEDVTYPQSAMIGSFLISLSGENLDSLRQWLKTARDFGSSSCVISDADWEKLNTMIHEQQQIRGLKRKRSSSENPLHTQALSEISTEPCYKLDMICGVQEPASFYRKMYHANFLAST >KN539667.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539667.1:35291:41455:1 gene:KN539667.1_FG002 transcript:KN539667.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGADGVDRVNSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQGGTDSLGDENNHYIQTTSVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPGKDKITSEPIDTQSEKIINEPIETQSEKIISEPIDAQSEKIIGEPIEAQSEKIISEPIDTQTEKIISEPIEAQSEKIISEPIDTQTEKIISEPIEAQSEKIISEPIDTQTEKIISEPIEAQSEKIISEPIDTQTEKIISEPIEAQSEKIISEPIDTQTEKIISDPIDTQSEKIISEPIDTQTEKIISDPIDTQSEMLDNFQDDTGREMHTREVLSHVIFETHAGETNITIGKSEDISQFVTVKTCQDCSDANSPVYETAGLSSEMHDISSITSAQDACQEKVVIVSSSETVETSYNVPDILVDKVCHAVGNPLDDSVQPKEQTGVSIEEIEHVSFEDKPLSYYGASSNTEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDHSAHIGNDLACNHAFVFPAASSSVEEISNYVPDNHPDDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNSENNGVEPVKSTTSDLPVQEPEDTGDSKENCGPTEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKVTLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFQEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTSSFNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >KN540537.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540537.1:40696:48882:1 gene:KN540537.1_FG001 transcript:KN540537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADVVTPDAEVEEEELCRRRETRDPFLRRGDLRAAAGGAGVVLENLVVAENGGIPMGCGMGYMGNNCKVSGMDEFEEVDVVGVRCKFGGGGGLGGRGDQSLFYPQQISKSNIVICQRLRKISMMLCFDDLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSVPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQELITAPTDNRFQIDVEKNWVESSKTCFVSECE >KN539667.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539667.1:75069:80545:-1 gene:KN539667.1_FG003 transcript:KN539667.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEAHPASVGRARCGSPKSRPAPLTGGSHMTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEVEAVIARFWKPVSNSPLFGPGPVGGGEDAQEERARDGQVQQEAAGAGQAPGHPLEMRVAVCSAEGIFFGEEDIPDLIDEGFHLASN >KN540458.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540458.1:30487:33672:-1 gene:KN540458.1_FG002 transcript:KN540458.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVGVAPVLLLLLLPLAAATAAAESDDRDALMAFKAGVTSDPTGVLRSWNETVHFCRWPGVNCTAGRVTSLDVSMGRLAGELSPAVANLTRLVVLNLTSNAFSGSIPGGLGRLRRMRYLSLCDNEFAGEIPDALRNCTALAVAYLNNNNLVGGVPRWLGALPNLAVLLLSHNSLSGRIPPSLANLTKIFRLELDQNLLEGSIPDGLSRLPALGMLALSQNRLAGEIPVGFFNMTSLRGLALADNAFRGELPGDAGARTPNLQYLFLGGNLLAGEIPASLSNATALVALSLANNSFAGQVPGEIGTLCPLSLELSNNQLTANDDAGGGWEFVDNLTNCSALAEILLDGNKFAGVMPRSVVRLSPQLEALNLAGNRISGVIPPEIESLVGLQTLSLQSNLFSGEIPEAIGKLKNLRELLLEQNELAGPVPSAIGDLTQLLKLDLSGNSLNGSIPPSLGNLHQLTLLNLSGNELTGHVPRELFTLSSLSLLMDLSDNQLDGPIPPDVGQLTKLAFMALSGNRFSGEVPTELESCQSLEFLDLARNVFVGSIPPSLSGLKGLRRLNLTGNRLSGSIPPELGGMPGLQELYLSRNDLSGGIPASLETMSSLMELDVSYNRLDGQVPVHGVFANTTGLRIAGNTALCGGAARLRLPPCPAPGNSTRRAHLFLKIALPVVAAALCFAVMFAALRWRRKIRSSRTGNAAARSVLNGNYYPRVTYAELAKATDDFADANLVGAGKYGSVYRGTLSLKTKGELAREDAVVAVKVLDLRQVGASKTFMAECEALRSVKHRNLINIVTCCSSIDMEGNEFRALVFDFMPNYSLDRWLHRAKHTETGKCHGAAAANTESTIGIRGTIGYVAPEYGTTGMVTASGDVYSFGITLLEIFSGKAPTDGELRDGLTLPEFVAGAFPDNIEEILDVALLLQAEELDGAASSTTSEEESEARVTVRDCLASAIRVGLSCSRRAPYERMAMSVAADEMRLIRDACLRACGK >KN539667.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539667.1:86769:87362:1 gene:KN539667.1_FG004 transcript:KN539667.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSGCSITASRKCKPPWWKGLFGGAPTDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLENTKFAVWGAGSNRTSSASLCIANSQYPFNPRPGATNYKGSDLLYSFPSEDNNIKDDD >KN540458.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540458.1:16429:18582:-1 gene:KN540458.1_FG003 transcript:KN540458.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEMKRIEDATRRQVTFSKCRAGFLKKANELAVLCDAQVGVVVFSDKGKLFDFCSPPVILMELFHRYEITTRNTRLQETNRDDEQMVMEITRLRNEIDQLEASLRRQTGEDLSSVSTVDELSQLQLQLESSLSKVHARKDELMSQQLEDMRRMHQTVHEQNNFLCRMMSENWHSENWQSPGSGEASAMEALTMLPPAAAATTAAEAFNCFFPEEEKGVAASSTLLQLWPQPHDGDQPDLRLW >KN539667.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539667.1:25656:28990:1 gene:KN539667.1_FG005 transcript:KN539667.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRQYWGGGRRPSGGGGGGGGVVVMFAWLSSQERQVRAYVELYAALGWACLVCHSEFLTLFFPDKAAMLADRVLAELVKELKVRPVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLEEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSQPPRILSWMTRGIASGLDTLFIGKFEAQRAEYWDTLYSSVHVGPILIFCSEDDELAPCSIVQKFGRRLLELGGDVNLVKWQNSPHVGHYKHHPEEYRAAVTELLTKASMLYMSRRQLNSYDLGTNEHSDMLASDVHKAGTNSNNRLRRAPDDPIDRFLLPSSMEYHESSNEEPKPELFNMPSVESINNPHGVLGQMLYDVCVPKNVEGWDFKPSASINGRHINSIARQHGTFNPIKCIRRSKL >KN540458.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540458.1:401:3174:1 gene:KN540458.1_FG004 transcript:KN540458.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRSVGTLTESDLKGKKVFLRADLNVPLDDSQKITDDTRIRASVPTIKFLMGKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVQKLAATLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAQGFAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDVVVADKFAADAESKTVPASAIPDGWMGLDIGPDSIKTFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALNDA >KN539667.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539667.1:80714:84217:1 gene:KN539667.1_FG006 transcript:KN539667.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAAEQQQEEGEHGPFPIEQLQASGIAALDVKKLKDSGLYTVESVAYTPRKDLLQIKGISEAKVDKIVEAGKNVLLVIIEQFYFDQTGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFSGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >KN540458.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540458.1:9941:12011:-1 gene:KN540458.1_FG005 transcript:KN540458.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGRLGFSMARRDLEGRGRCRRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSNVVYEDEKVLAFRDINPQAPVHVLVIPKIRDGLTGLDKAEPRHVEILGYLLYAAKIVAEKEGIAEGYRVVINNGPKGLYMLHIILQRIFGVDTHAHA >KN539667.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539667.1:2378:10848:1 gene:KN539667.1_FG007 transcript:KN539667.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEATTESETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDNRRPLFAAHDLTKFYLENGPKIFPQRRVGFLTPVANLIGAVRGPKYDGSFLHDKIKSLTHDVTIADTVTNIVVPAFDVNFLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTTSPSGESREFHLIDGGVAANNPTMVAMSMITKEVLRENQDFKLGKPADYRHYLVISIGTGTAKMAKKYTAPACAKWGVLRWLYDGGFTPLIDIFTHASADMVDIHASVLFQSLCCEKSYLRIQDDSLEGHTSSVDIATEKNMEALIGIGKDLLKKPVARVNIDTGVHEPVNGEGTNEEALARFAKKLSEERRLRRNNLNSS >KN540458.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540458.1:41751:44152:-1 gene:KN540458.1_FG006 transcript:KN540458.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVGSIHCPVQPSPAWAPNENLRLKNHRIPPRTMQRSYKEALLSHPPPPPQPLRPNPTPPKALQITNHCFRCLASDHQVRDCRDPLRCARCRRFGHRSPDCPSGSTMFSRSGWLCCSPESTTSLVHNPTNLHASRSPPLPNPPSRNTLPLAPTQPAPHPPPPPPLPHTLPASSTSELLAAVADQLRSDPGSGDGPTAARSSSSSSRLSNLSPHLAQVWSEIRPGSLAAELLCSSGTTVGFPEATAKSRFIAAGKTKITTELPESSRRAGNRNVSLVPIVDIFVDKRTTASSLHTCFAHAYIDPPAGCPSTIIRKAIRHRIPNVFYSLYPTCRGALVLEFASPLDRDAVIELGNPLVAEGHNVTFVKHEATEVRFYALRDTMHELDITDFPHEHWFPDEIKIALAVIGEVIEIDEQCTLGRDYSSLRLVLETHRDKVIPSHIWVNNPLHDGIATEIHVIHTWDRAESFDDDGHYFRRFPPYQPPRNLQRRPFHQFIQQQRAALLPRVQAGNRNGMENVDSVSANQFQQRDHIALPWLSNWECPPDQTPQAQTQLSDHSSDNEEQSQAPSSQPTVLSQTDDIPQDAPDPNSECEPCHPRVKKAAVKPPAQPAEPRRHSDRLAAKEPASFQSIKDKAVRVKNIKEKLASCSIRLQQTVRKHNLLNDLTQHVTPTAIKDLAQVCDLDETATKELELVLTEG >KN539667.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539667.1:42759:45883:1 gene:KN539667.1_FG008 transcript:KN539667.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQPAACSPKRKKKHSKKPEDSNATVDDSLGAAASPSPKKKEKHSKKKREAIDATMAAASPKKKKKKHSKKQEDTNVTAAAAAPEKKREVVHLTGYALGVLDKASGTLKVVPIAANKILRLEPHLEVQKPAHSQHSGAVGEAGSSAGDADLKVQDITKAFGTQKDKAKDIKWQSLNEQRNDPSAFMDLDLGNADTSVGANESQEPTVRNIPPYDPAADTSERAYLFDEIIPKSIRPHLVDIIGHFESGEISSKGYGSFVSNRVNKLQELQGEDKERLAWILSYITHLLSLLARNSSMSKRHRKENQATSGPVIPQYVYRKMVLMFTEPGSSALSTEKHELLINYILVLTLYADDFRSDPKDICEDLKMTRQMIKPYYDQLGCKSSSAGAFKSSVMTLPAPLKFPKEATRRKRRRF >KN540458.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540458.1:7311:9001:1 gene:KN540458.1_FG007 transcript:KN540458.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASRALWACRAASYLRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGVTDHAQDHLGDVVYVELPEVGSTVSQGTNFGAVESVKATSDINAPVSGEIIQVNDELSEKPGFINGSPYEKGWIIKVKISDPSELNSLMDDEKYKKFCEEEDGKH >KN539667.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539667.1:46926:68282:-1 gene:KN539667.1_FG009 transcript:KN539667.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRAYLKRCVVALAAAAEDAGQKIALMPCTAAHCVEQFAADKAMAARGSKRSGGTLVPVDGEEAILVPRGKLALSKKLVDKILSLERRELPHFNEQVVFEIPKDCISNDPLGVMDLDGDPPLEDEHEVVHSQLPEIATSLEAMTITPTKEIRVRLQQSPETATVQATHNLLMKVGHSGGQQRQIPLEALKKSMAKAWQRVYLDISKVESNLFMAHFRNYEDLSWVWQKQPWSFGSDVFLLEWVSLDEKIKPMSAYTFKHLMVNVRIYGIPPSLRNVKNVKLAAENIGQISKAEPIDPDSLLRNQKFVSVRIRIDVEKPVPDHVILELPDKSELKAFLHYERTPRICTFCGLLFHNVQVCPQRQRIIIQFPDASEEDLKDKLGKWITQIDYMPSEAFLDMEESNKNSIVEKFRQHFSSPQFKNIQEQQKQQERGSGTSQSLMPKLDWKKASEKALTLAGCSTVQGPPSQAVHTTTAGKQCEKKDKEPDIQSTPEVGTEEMLVHGSTEKKKLVSSTIENHVSCNSKNVNTAGKVQGLLAVNQIVNRDETRAAMAEVSELLTTFNEQVVFEIPKDCISNDPLVTERNTKLVKFYEEIAQNRYQRYHLIEAGAGKKKLTKSWENLQTKLKTARTYQQDVRQVGGKAVPIPAHFTDEVGRRRGPTEIMCRYHFLFLQYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGATPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMLMRPGTVNGMQSIPVADPARAADLQTAAPGSVDGRGNKQDATSEPSGTESHKSAGADNDAGGDIAEKS >KN540458.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540458.1:23727:24479:-1 gene:KN540458.1_FG008 transcript:KN540458.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPAIGVVAGGSQVYPAYPPAATVPTAPAVIPAGSQPAPPFPANPDQLSAQHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLADIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDMYDFLVDIVPRDDLKEEGVGLPRAGLPPLGVPADSYPYGYYVPQQQVPGAGIAYGGQQGHPGYLWQDPQEQQEEPPAEQQSD >KN544595.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544595.1:386:1848:1 gene:KN544595.1_FG001 transcript:KN544595.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYKIALVLSLLAAPLLCALAAGDGQHRPPSKPILTRLAKDPSTSLYTASVKNGGGQLVLDLAGPLVCIVDPPSVLFQIDE >KN539727.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539727.1:40894:50116:-1 gene:KN539727.1_FG001 transcript:KN539727.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIGVAEEGDVVFLWTLSAIFKFCSGSMELNKKVCEATEDIYGDCASVCRFSCYFLVYNPITGKRYLVKAASHKRLHLHHSIRCNATLICDDDDADGPFRVAAVYTTISDGGRLFGAAFSSRTGRWTTAPQVFVDLPRGIDLRGEPSAVVGSTAYHSAYSYLVLAFDVEHWTMATFQRPPRCGNARLMKTRGDGVLGLVGALELTLRLWAREAGGWVLRSTVELSDMWFLRDLPSAPVPSSDARFPLLPPVKIIGVAEEGDVVFLWTMLGIFMFCPGSMELKKKAVKKQPNRVDMLQQMSMKIDEGAMKF >KN539727.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539727.1:27359:29565:-1 gene:KN539727.1_FG002 transcript:KN539727.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFGALFSAGIDAATSCRFRDAAREFALLTMTPNVSEFFPDLLMAGSETSSAVIEWAMAELLQNPQTMTKLQEELKKVIGSKTCIDEEDIDQLPNLQAVIKETHRLHPAIPLLMYKAAVPVEIQGYKIPKETTVIVNTWAIHQNSEIWIEPDKFIPERFLQKEISLSSGSTNMELIPFSAGRRFCLGYPVANRMLHVMLASLVHQFQWTLPEVVKKNGGVDMAEKFGITLSMATPLHAIAKNIV >KN539727.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539727.1:55617:57726:-1 gene:KN539727.1_FG003 transcript:KN539727.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWAREVADDGATNWVPRKSVEMDKLLSLPVAAEDSRHRIVPAWIGGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGK >KN539727.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539727.1:73898:79479:-1 gene:KN539727.1_FG004 transcript:KN539727.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEILAVVSPPPPDALALYGRNSIQLMASPDGALGLAVMDVFSLQLWAREADGVASTSSWVLRKSIDLDVFAPMPLPCAGGRVILVPPVRLLGVDEGGISAFIWTIEGIFMLHLEDEMLMKKVAASRVVDFVYPYSSFYVAAQIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGNVVFIRTSAGVFLVWLDTSKFKKVSGSLLMRTVYSYASFYVPNAQIDHPVENHAILKRNIRLVRMEDDDVLGLAFIKDFTLHLWAREVADDGASQWIPRRAIELDMILPLEGHRCHAIPIWMCGFAEDGDVVFIRTVAGVFLVWLATSKFKKVSGSLLMRTVYSYASFYVPNGMKNYASVPLL >KN539727.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539727.1:11532:13604:1 gene:KN539727.1_FG005 transcript:KN539727.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGVLEWWQEWQLRTLVLSSTAIQLLLYLFANRRKHATSSQFRTIIWLSYLGSDAIAIYALATLFNRHKNQDSTSSSTAQGSSILEVVWAPILLIHLGGQDSITAYNIEDNELWKRNVVTMVSQVTVSIYVFCKSWPGGDKRLLQAAILLFVPGVLKCIEKPWALRSASINSLVSSDDLVPRTGKGNEQGDSISPESYVEEVRKFVIGNGDPFEVNVNASDTVGDNHEPYKLFVDLSLPYSIRLLDLKSWWKMTHFDAYIQLTKKLTETFYRLYTKSKMLDKQQNRYLLTGFLRVVSVYLPFAAIGLFHNSHRQTYNRYDIKVTYTILCCTAFVEAFAAHGWNTPMMDNVLPWFYKVSQCRLIGSYAGGSTKSCDSVVDIIELVLQHVKSGWKDYITDFPSYKVFSDHRGQWALQRNNCDQEDLAWSLRVPFDESVLLWHLATDFCFISKRCTNEEATRSIEISNYMMYLLLNNPEMLMAGTRRNLFTTAIHELDGILGDEPHEMQGLARKIIAKVESTNGDPSFIRDACTLSKVLLSLGDEKMWKVIKGVWVEMLCFSASRCRGYLHAKSLGTGWELLTFVWLLLLYMGMEPLVEKLQRAEFSSVVGSGTTTDAPSCFDETLAEREPKTEVPNGGDIGAAAPLSSDETLAEWKQKTEAPSGDEGNIDDVPSTSPDSIAIDIKEDNAS >KN539727.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539727.1:63338:68957:-1 gene:KN539727.1_FG006 transcript:KN539727.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERRRVAVPRRMQGVGRCRATRRYATVFCTAASTSHSSSFHVAVVFTSCGRVYASVYSSRVGEWDDIITRQAPLRFALYDAPPAFVGGSLYFLVNQNSMLELQCGSETQILSVIDWPLEMNTLRQLNMRIVRLEGDVLGVVSIRDMDFILHLWAREVADDGELKWVPRMAIELDKLLLLPTEMEGDQCRVMPVSLCGLSEDGSVVFIQTIDGIFLVWLETLEFKKVSCSLTMKHVYPYASFYLPKVLCCIQLAPSIFLGFCWEGVEGSFHVSMKSQSLTLMKRPLEMLADVRLVRLEEEEDGLGLAFIKDCTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIQTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNGMMTFSL >KN539727.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539727.1:6726:7079:1 gene:KN539727.1_FG007 transcript:KN539727.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDLWLNRDCRIVGPFPRISVGDAFFFRMELCVLGLHGQVQAWIDFVKAGQSSSGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRGPNLHKHCVDQKLEGGNLALERIAWPLWY >KN539727.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539727.1:33127:37834:1 gene:KN539727.1_FG008 transcript:KN539727.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRQQNLGPDVLPHATADGCATAVDVRVSSDMPSQELKKNRAQSRRTRRMMLSPLPLAAAAAAAAAAVEGDEAGKGRRWWRVKVKLSTVAVVAWVLASAALWAGLHWRFRHTALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVVTFDYDKHPPALDQVGHVRRVRREDVLRAAAAERRGVRAAGGARRQGELRAAARMDHQDHEARAVSGARRVSTPAGDLLPESQETISYIEGLDVMSGEVRTRGAPSFLGLQEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTAVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGIPSPSHTYTLDFGDPLRKHQMVCRYRNKLHVSWSAITTPSGVFVICMLVGYIIYAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQDTFAVYAARTSFERPLLSSVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETISYIEGLDVMSGEVRCPHRFLGYSFAAAAAVIAMSAALNCAAGCASACRRTGRTS >KN539727.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539727.1:38170:39145:-1 gene:KN539727.1_FG009 transcript:KN539727.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHEEEHEESSLQAAEAELSSARIELESIKAEGLRYTTSIERTRRETARVTDEIRRLTEQEKAASAQLEDDAEAAEKEKTYADGDGEPARHVRRGEHRRRDRRGGAEDQRVGEGARGGEGVGGRGDGEAEGHRRERDAGHRRGRDVAELFVQERHELTIPRFEYEYLTGRAEVVRAVAEMKAAAAEAWTEARRASEEIAMRAEAIERELGEASAADAEATNTPRRPVEARGSGSAATSRMAKSRRMSSSSKPRAPSSNVKRKRRVLTLNCLKLLAGKCRGQN >KN541937.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541937.1:18940:21633:1 gene:KN541937.1_FG001 transcript:KN541937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLALAVALLFWTVGAGALPGLVPVAACGVLNVPFAKLLQRYQSRFMAAQDERQRATAEALGAMKVVKLQSWEEFFRGNVQRLRDVEVRWLADAQVSKAYGSSLYWMSPTIISAVIFAGTAALRSAPLDAAVVFTILATLRVISEPMRMLPEVLSIMIQIKVSLDRIGKFLMEEEFRDDAVLPLPMPSSDMITMAINNGVFSWEPSKAIATLKSISIAAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAMSGSIAYVPQTPWIQSGTVRDNILFGKPMNNEEYDRAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNGADVYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYSELLQSGTAFEQLVNAHKDSKTILDTDDRREGAKELGAFQYQVPLIQQNSEAEISTGNLKSVQLTEEERRELGEIGLKPYKDYVSVSKGWFLLSMILVTQCAFFGLQCLATYWLAVAIQNQQFSVAVVIGVYAVMATVSCLFAYVRSLIAAHFGLKASREFFSGFMDSVFKAPMVFFDSTPTGRIMTRASSDLSILDFDIPFAMTFVISGSIEIATTIAIMILVTWQLVLRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLVLLPEGAVAP >AMDW01030298.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030298.1:1:327:1 gene:AMDW01030298.1_FG001 transcript:AMDW01030298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPSPDAADLASWCRSTPPAARANPTLLLLDGTWKQAKEMHAASLPFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKEPHKGCVSTMEAVARALRLLEPEGRGEEIEETM >AMDW01022387.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022387.1:48:269:1 gene:AMDW01022387.1_FG001 transcript:AMDW01022387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRDDEEEEVVGEAWDYKGRGAIRGSTGGWRSAAMILCVELNERLTTLGVAVNLVTYLTGTMHLGSAASANT >KN542273.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542273.1:223:6541:-1 gene:KN542273.1_FG001 transcript:KN542273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERELHVRALDGRSTVVALAASASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGGFIVLVPFARKSQQCTPVAMAEQGQIAESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQDIMDDLSSISSSPQDDSASKNFCAPSTIPCYGNSVEDVSTDRRLPTGNSKKRRKPCKENGNAFNEMPTSGVNSAADQPSMDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCREASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRTLLEMKNALHTDIDVNIYDGDTPREDPHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDDVELIQNDGSPCGFKYFLLWNPPLHMTKEGSSKDSSLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFSSSLNSVMMALKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSTCKTNRVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQ >KN542273.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542273.1:12806:14717:1 gene:KN542273.1_FG002 transcript:KN542273.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGPVPFKDADDDGTLAAAEARSPLPKEEFGDLVAALPRKEQYLDGRLYEGFWLPEHYAPGIIAFRRRFTPRPDDVVLASYPKCGTTWLKALAFAAMTRAAYPADEHPLLRLNPHDVIPFVEDVFTDGHEAKLDMLPSPRLINTHTPDPKDMVVSLYHFMRRLQPDLSLAGVVESVADGTVPFGPMWDHILGYWRASVSRPDRVLFLRYEDLLRGGAGGENVRAMASVLSDSTIPI >AMDW01040888.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040888.1:372:3106:1 gene:AMDW01040888.1_FG001 transcript:AMDW01040888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDELFWTKDEAIFSQVTHVVMNDHAVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPQNYKKDVTVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRSFESTFEIGSNHFEDPLWSSVCLPDAQLVPSSCLLDNTNLSTVSNESTTKSILSSVSVSDTTSAEPLFLDQNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLSPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVISTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHRIASTTETVNQLGVMESSASKRWREIQMNPMDRSVAQLLLQKPLHHKSPPDSALRIGP >KN542039.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542039.1:3111:6915:-1 gene:KN542039.1_FG001 transcript:KN542039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQLMSGELDNSFNALMVSGEGESGQAHHEGTGTTLLGWKDLPMELLLRILSMAGDDRMVIVGSGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFTKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCSHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRRETADRIASFVIRGLTEAEEADGHGHRKRAGLRRSHENWAPACCVVDGSRSSIGASPTGPQQATPAQHLPWSFQAQAINFMGRHDDEM >AMDW01028263.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028263.1:126:342:-1 gene:AMDW01028263.1_FG001 transcript:AMDW01028263.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKKSLLGKIMRLDVDGVQ >KN542039.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542039.1:17508:18507:1 gene:KN542039.1_FG002 transcript:KN542039.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGRGSNGGATDEALREWRHHGSKFYDAFTVSGLRVDAIQPGRVLCSFTVPPRLTNASSKRMHGGAVASLVDLVGSAVFFAGGSPKTGVTVEITVSYLDAARANEQIEMEARVLGIGETTGCVTVEVRRKGTGEVLSHGRVTKYLAVSSRL >KN542039.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542039.1:12286:15973:-1 gene:KN542039.1_FG003 transcript:KN542039.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSLLTKSSPVSYFASLSYREMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >KN542195.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542195.1:2725:3968:-1 gene:KN542195.1_FG001 transcript:KN542195.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDLLMMQRLEVEEEESQAEDYEIVMFRRVWTRWHGRNFGSFDDTTYPAMRYTFGRIPKSSFVGCDSGLQIFSIKLLLRNTSTNSTDHQLQWPLHVYGLVATRDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVDSGYLPGPTRHCIGKRSEVEFTISVLDRSIEATIISVQLVGGSSWPDHLQGRLVSRTANTIHQEIVLLDSQKQQDGKMPIDDDGFIQLSRRVVSVELAGQLIVQVLAFNSQQQQQQVVDNDKDNKKDEIEIVFDPKEASLSVETCELQLGGGGPCKLQISVAWSLVDRLPPVGYF >KN541226.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541226.1:6247:6444:1 gene:KN541226.1_FG001 transcript:KN541226.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTQDLSVLLFLTFDDPVWWVALSRGDIKDTIHHQGWFYSVTYTGVVKQWDRRDRPPPASSRA >KN539977.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539977.1:45244:45585:1 gene:KN539977.1_FG001 transcript:KN539977.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSWRWAGKRIHSIATCGDGRVRSSTSKSQAVVRHPDSTTEGDEAVSDKDDADPKEEERGKMMNVAPTVGRHSFRPSKPNTMYIVDPNGSSNKAGPCGGGSMRNVIQTMYKR >KN542195.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542195.1:13249:17824:-1 gene:KN542195.1_FG002 transcript:KN542195.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMKLPLVDGEEQSSCGAMGEKLKTMSGKLPLEERRPVVAELELEDEELTEQIMARDFRISWEHRFTPHYSFNDTTTVCPMRYTERPIPRYACCGDALQIFSLQVKEAKGGLDWPLLVYGLVATRDSVDQRRNLLFKRTRDNCQILTPQDSYLLLTGPSRAVVVIDPVTFEVELKVKGKTEAEDKVLSLTVFMHHTVYPYTKYTYMIRRCLSSKHGELELTCAGLDRAVEATMACRLQLIQSRPRSNRFNSLTPINIWMDAISKMQNEQDIFHTNIWDWKGHDMPTLVIVKYQS >KN542195.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542195.1:6206:7966:-1 gene:KN542195.1_FG003 transcript:KN542195.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTKQRQRGIEESAMMRMRMRDKGRLSDETPSEEGHEIIRFRRGWESLYSHPHRSFDATTFAPMRYTHVPIPKYADCNYGLQIFSVKVNQLLNEEEEERLHWPLHVYGFIATRDSLDPRRNLLFNRTQDNCQILTQKDPFLLLTGPTRAVVLIDPVKFEIQLKAKGRSESEDKVLNFRVLVYHHDYSLADPPFIVRRRRRCKRSELEFALALLVRSVEATISVQVVDGSSWPDDLGVQVVARTASISDEAIKLLDSRSAHGGRVPICPDEGVIKLSRRVVSVELAGGLEVDVLALHNKQLVDGSKQGGLLDVAAADKVSFTPKRASTSCGICDLGFCKLEITVAWSLTASLANERGFIYQPD >KN541226.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541226.1:23450:24419:-1 gene:KN541226.1_FG002 transcript:KN541226.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPPSSSSSISAPRLTPPMAPHAASRMLLSPARDGAGRRCRFVTDCDYSGCEISGDDDSNRRLLLHSIGVRNMMKATTIVIPMEVIFESPILIYANKNMSLFKVAAIFNDFIVKEKFSCLQGEPTTLPSEF >KN541226.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541226.1:17321:20991:-1 gene:KN541226.1_FG003 transcript:KN541226.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWVMGIIWHVLVLARNTIVDVWGGWSMEILLGASFLMQLVLAFSAGFRWRGDSPTLRNVIWLFYVSGDFVATLALGHLSAHTLFHICKNSMVDSSVVAESHIDDAVAAQTKKALFDLEGKELFTVMEIELSLMYDFLYTKAAIIHTWHGYCIRALSPLATTVSLVLVELSNEGGRRHKRSDIVITRVLLVATFLLESASLLRALSSTWTGFLLHSKLRPGWIRHEVLCMRRWRRFHSVITSLGRPAKAQAHRQWLGKMGQLNMLQLVITQKELERPAPKGGQFWDKEYQRCSKKTMIPEDVKKLVSELVSGQMKKLWDRMKEVSMKEEAEALSEDANLLNMAVYLRKKRGQQALEKNNLLFDLRWSLGDELQVGILTWHIATNMFFLLSGKAAKAKGEGASEEGRKVRAIMTLSNYMMYLLAVRPDMLPGMITHKLIELTCEDLARIWSERRPPPPATGDLESSSSSPSFCNVRFFAPSRYFNRHNQWRVPTRLSHGREEELARMLLDDWFRRDSGVALNTYLCYGVELAMRLLHLENSQKEELDIVQVILDVWVDMVSYASYRCRKESHAKQLSHGGELTTIVWLMAEHIGLFLVNKASKGAEKDKWMKRKAVVASVLSSQHHYRLTCGTEVRATNSKEVCTRVIHPNVPRTAELRWGSYRRVLHRLEERAEAAATADGWSSHAALDLAAAVPSPSSSVFQRWIKGYRRPM >KN541226.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541226.1:11465:13661:1 gene:KN541226.1_FG004 transcript:KN541226.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRWVMDIIWHTLLLGGDAVGNLWSSWSMEILLGVSFAAQLVLTVTAGVRGTAGKRRLVAFWAPFFLLHLGGPDSITAYELEDNQLSARYVLELVLRVAGAVYIVYKSTSGSRALISASWLMLFVGMAKYAEKTMALRRANLASMELSLMYDFLYTKAAVIYTWHGYAIRAVSPVFTAVSMVLVELSNAPGHHRRSDVVITRLLLVATFLLETASLLRAVGSSWTGFLLHRGLRHGWIRHEALCASRWLRFHHAMASIGRIANSQAHRKWCGKMGQLSVLQLITGGGRRRRQDDRSWDKECARHSEKNTMVIPAEVKEVVFRRVRQQLLDLRARMNREAADMDLRKMAANLRTKRGQLALQGRNLLGELRWSLGDELQLGILTWHVATEIYLLLSGRTAAAALAVKLLDLEREGKADMVQVILEVWVDMVFYASYRCSKEAHAKQLSQGGELTTVLWLVAEHVGLFLVGKTGRGVEEDNWRRRKDAKRGR >KN543190.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543190.1:9634:9893:1 gene:KN543190.1_FG001 transcript:KN543190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPDPKQFEEEAKGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFH >KN541226.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541226.1:29043:29435:1 gene:KN541226.1_FG005 transcript:KN541226.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLKNAFKDLFELQVFDGKTQRWEAAGDIGDIAILVGINSSLCVSTTKHPELKAGCVYYTDDKIGKASLRRGARCSSWYHRGDESHNNDSDRNVAMYSLKDGTAESIPELGEHLSWPPPAWFIPSFHDR >KN542875.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542875.1:6864:7499:1 gene:KN542875.1_FG001 transcript:KN542875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTAMFLSLAITTTTTTVLLLLTPAAATALQVMMQLRRPSGGCFPGEMDALLEFKEGIANDTTGLLASWRPEDGQDCCRWTVVRCSDRTGHIVKLASRESINPFAMRLFGKISHSLLSLHHLQHLDLSHNSLEGPTGDMPEFLGSLKSLRYLNLSGIPFHGLVPPHLGNLSNLRVLDLSYTANSYSPDISWVTRLRRLRYLNMGDVNLN >AMDW01029943.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029943.1:14:361:-1 gene:AMDW01029943.1_FG001 transcript:AMDW01029943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDK >KN542875.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542875.1:10923:11548:1 gene:KN542875.1_FG002 transcript:KN542875.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGGCAHVHEKEMGDEPVRDAAGVIVAAMTISEPHLRCHRD >AMDW01035934.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035934.1:2:569:1 gene:AMDW01035934.1_FG001 transcript:AMDW01035934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGPNYAVELGRFDGRVSTRNSVNLPHGNFNLDQLTGYFASLGLSPTDMVALSGGHTIGAASCNFFGYRLGGDPTMDPNFAAMLRGSCASSGFAFLDAATPLRFDNAFYQNLRAGRGLLGSDQTLYSDPRSRGLVDLYAGNQGAFFNDFVAAMTKLGR >AMDW01025875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025875.1:21:350:-1 gene:AMDW01025875.1_FG001 transcript:AMDW01025875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AELADACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKARFLRWRVRAMESHVAKLDLRPGGVASLLQVTDLKNSPGPAKKDLRVAMKQVLDLFQDNYPELVARN >KN538885.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538885.1:161825:169047:-1 gene:KN538885.1_FG042 transcript:KN538885.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGRERSVMGKKEVYARLAKAVPFDGGKKELWLEKSKGGVCIAMSSKSLVITGIDDRRYWSHMPTTESRFHSVAYLQQIWWFEVVGELEFCFPAGTYSLYFRLHLGKASTRFGRRVCSSEQIHGWDKKPVRFQLSTSDGQHALSQCYLDEPGSWILYRVGDFVASTTEQPIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFQQEKSLGDIDGFVENEFSKGICLRICPEIANFTSAEEVSRSPPQPPHAESSHGVQRKALERDYRIYSYLSGALVSTSPSMDCILQCIGASSTDNFPDETIENTLIPHDRVKHRPSYWSSGGHDDPDAPETLTYRLNCDMCIVDEIKLQPFKAYFQYGHPIYSSKAVRFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQENVLQSFKLPRPVLCIGGIVKVELLGRVQKQEADDRYYICICHAQVRGRSLSPVFMVDTSDPAGYSVLKYLPDAKILRSEDAMLDDGSESLEWHSLVARYRRMRHLAIMNVLLGPEELMDEDDIIGGVLMDEDDLGDAKEAEIVKGERAQESAKPSAPCFAQSSRNNLSQFLAAAAANSPGRSASGRRRRPLEMHPLHRRPLKAAAFPSIRCGRRAYAPPTNI >KN538885.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538885.1:154726:157061:-1 gene:KN538885.1_FG045 transcript:KN538885.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSMPVPFLLGQALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQLAESGGCEGLLVSEGALPPLIRLAESGSLLGREKAVITLQRLSMSPDTARAIAGHGGARPLIEMCQTGDSISQSAAAGALKNLSAVPEVRQALADEGIVRVMVGLLDCGTVLGSKEHAADCLQNLTSSSDSFQRAVVDGPLPQESAVSALRNLVGAVSPDSLVSLGVLPRLAHVLRVGSTGAQQAAAAAICRISTTADMKRVVGEHGCVPLLVRMLDAKSNGAREVAAQAMASLVGYPPNAREVRRDGKSVPCLVQLLDPSPANTAKKYAIACLLSLAAAKRCKKLMISHGAIGYLKKLSDMDVAGAKKLLERLERGKLRSLFSRD >KN538885.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538885.1:171911:177663:1 gene:KN538885.1_FG046 transcript:KN538885.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G61140) UniProtKB/Swiss-Prot;Acc:P45432] MAASAAQSNSLRPSDGSPESSEAAKRLNTRAALVRATGFDDTTSPLTALIAAAAAARALVLPNVEYTGRTRLARLLFIAERCGVEAVELEALRMAYDEIKRGEDTMFHREVTNKINGRLGPKYALDQAWTDSVNRRAEQRKEKLESELNGYRTNLIKESIRMGYNDIGDFFYAHGHLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFPHVSNYVSKAEQTPDTLDPIIVAKLRAAAGLAYLATKKYKLAARKFVETGHELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNSNFRNFLELVPEVRELVNDFYSSRYGSCLKHLEKLKTNLLLDIHLHDHVETLYMDIRHKAIIQYTLPFISVDLNTMAAAFMTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRVLQTGNEFERDVKSLLLRANLIKHDFNQRAGQRKM >KN538885.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538885.1:193574:193993:1 gene:KN538885.1_FG048 transcript:KN538885.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTIGGSVLPRRPSYKEGGGEGGDGGGASSNKLPPLLNRSAMASKVEGSSDLKRGSGSLLDPSMAAMVWVEMVARGRIRDGGGERPCRCRHGDRGESVDSSPSASTHEVGGIGIGEGQWREERQRRDFILLSEHMGV >KN538885.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538885.1:184404:186068:-1 gene:KN538885.1_FG049 transcript:KN538885.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQGYDGIDAREVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSQLSWANYHELPGTGHFLSAVPGLGDTVLRTIFG >KN538885.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538885.1:152526:153854:1 gene:KN538885.1_FG050 transcript:KN538885.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRSTTLARKVFRLLKVTNDDILPCLLLWVNDLHGLISPPAKGTPLTLVLLGKNKERTDRIVRISLYCWMASSVCAGLVELGELKRLSKSMRKLARELRDTDKYENDQYKSKMKQSDERLLALVKAAMDVVVAVGLLQLSPKKITPRVTGAFGFITSLISCYQQLPSRAPAIKVKA >KN538885.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538885.1:104005:105478:-1 gene:KN538885.1_FG052 transcript:KN538885.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERKDVGILAMDIYFPPTCVLQDELENHDGVSKGKYTIGLGQDSMAFCTEVEDVISM >KN538885.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538885.1:158819:161420:1 gene:KN538885.1_FG053 transcript:KN538885.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTWLPAASRVCTLLPRQVPRMPVPMFGFWHLVAVGLGQPFSVRANLDFGPWVISIIHTIKQKHGYFMPLCSYSAFGKIGRRNAAAKVFCSTQMPPSQSTIKVVIIGATKEIGRTAIVAVSKARGIDSQCVGLDAGEISGMEEALEIPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAEAFGLNSVVYVPKIELDTVTELSAFCEKASMGCFVAPTLSIGSVLLQQAAIQASFHYNSVEIMESRPNPSDLPSQDAIQIANNISDLGQIYNREDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLTFFFET >KN538885.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538885.1:197623:201127:-1 gene:KN538885.1_FG054 transcript:KN538885.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLASGLSRHLSRRLHPSVSHLLPPHHDDHSENPSPPAQPPPWACIALTSLLIRTLTVPLMLNQMKATAMRPEIEAINLEMRTISSTRVAGNEKSSTRVTDEGSMSTDPQSMLEGKRKLGELFLRHGVTPLTPLKGLFIQAPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPVLTSLTFLVTVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVLRKPAVRSFLDLPPIETQFAPAQQPTFNILGASKSVPAAGSSIAESDRSSSVLSQRFSDLENRAKSRRESQD >KN538885.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538885.1:178636:180776:-1 gene:KN538885.1_FG055 transcript:KN538885.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHADVEKGARRKEVGKVPSPLYPQHEGEREWVPWIVPSFLVANIVVFVLTMYANNCPLHTPPRSGKCIARFLGRFSFQPLHENPLLGPSSATLQKMGALVWDKVVHEHQGYRLITSIWLHAGVLHLVANMLSLIFIGLRLEQQFGYVRIGAIYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVITLLFVIAVNLALGILPHVNNFAHIGGFLTGFLLGFVLLMRPHFGWMERYSLPSGSPCSSKKYLVYQWILLAIATALVIVGFAVGMTMLFRGENANDSCHWCHYLSCVPTSRWTCSN >AMDW01029216.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029216.1:57:389:1 gene:AMDW01029216.1_FG001 transcript:AMDW01029216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQGNRLLKTGSSDSDPVPLVDYLNTQYYGVIGLGSPPQNFTVIFDTGSSNLWVPSAKCYFS >AMDW01069833.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069833.1:5:133:-1 gene:AMDW01069833.1_FG001 transcript:AMDW01069833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDK >AMDW01039071.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039071.1:486:782:1 gene:AMDW01039071.1_FG001 transcript:AMDW01039071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVHSSSSSSAAPSAALPNHHTNHLVDDHLPVENGPDPRRDVPDEEPPPPPPPQVALLPQVVVLCEQRHEGFDEAAAAAAGPSTSGPVSKWRPKDR >KN541925.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541925.1:6023:12257:1 gene:KN541925.1_FG001 transcript:KN541925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPMKGEMSKLGLNQYLIDGDWCKMLKKDPNKETILSVSVAGAQNGREAQWTVDRTVYTYANFYDDFKAKELQSERFVKMQGKHQPVGLKNEKNNCFGNVVLQCLAITEPFVSRLFGQQFGEEYMFGGKFRRSKVCSKCGMLSNSEEDFTLLMLNIPHREQVVAATLEDCLDHLVEENVHDSLSRCESCMNDQKSTSKCTFHETRDVVVIVLQRFEPLTLGGYRKIGELINFPTELDISPYMSGVVTDKHIYELFGIIVHEGGVIGGHYRSYVKTNCWYEVSDRDVKRVNENFVLGAQAYILFYNRKEEAKSFDEVLAFAATAMSSSDAVPTSTSKDYTERTLSPEVSSAALSYWIDAARVLEPKSYSDQDILEKYIICMDRDSSDVSERIRNAMRNCNESRQEYHFNLPTTDGPFYDDNLGQYCLLRGARFRTISTSSNLKKVIDVGAIGYLEKFKFGNYSRSALLRRINDACMLLGTNRLSLNISVMSRGSIIGPVLFKVGEDVMIDASLRAVQIPHDIHLVDHIKLKKPVSFVLVVEKLSIFNMLVNLNFHVTHNCIIITGCGKPDMATRGAVFKLSYCLPVKVYILADLDLDGLQIYFTYKLGSKVRAFENLCVASRDLIWLGARPEDSLLLQEPNEFTRVQQKSLTDLRDSLPNISDRDRLNTVLDWNFTLNLHDILDYENIVEYLTNRMAEFEDP >AMDW01040768.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040768.1:681:2206:-1 gene:AMDW01040768.1_FG001 transcript:AMDW01040768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEAKCLKRGVKEVVKSIRRGQKGLCIIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVLTKPAKGELEEDVKEKLKMDYDQVMSEVAEVTSSMF >KN541158.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541158.1:20973:31487:-1 gene:KN541158.1_FG001 transcript:KN541158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPEHGDGRVSYLVDTFERLLSLSSDPGEQSRSATRRRRRKKLPETRKTGSSWPPTTTTRADEIDVSYPSIASSSEVSFPINGVVLRRSIARDEPPRRQKRCSSICSSERSWSRKKIGVTIQRPFNLRTERRGKMKEESLVQRMKNKLLEEERLRNPVAQGLPWTTDVPEEKRGAASHGDYDEQDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWGIEEFEELIRKALEDVVSVDLAMARNHDSSVGKRRLNRGFAFVRFSSHAAAARVLRIGSRTDFLLGGLHPSINWAEKESHVDEDEMAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRQDTGRHLDLGEGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >AMDW01037919.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037919.1:561:686:1 gene:AMDW01037919.1_FG001 transcript:AMDW01037919.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGRGRMRMTQGSSYYAVLGVHPGASAAEIRAAYHRLAM >AMDW01038540.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038540.1:202:732:-1 gene:AMDW01038540.1_FG001 transcript:AMDW01038540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALSLSAPVEFGPHQLTLDGELLHVLISGNKASLEQLLREEDRGGSDGYLQKEGQVAINFHGQASVAAAPARGGTSRLLGVTSNGSTALHIVASYGHAELAALICERAPSLAATRNRCLDTPLHCAARAGHHEVATCLLRTMQAGTETETETRLQARNKAGATALHEAVRYSRLE >AMDW01036789.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036789.1:129:603:1 gene:AMDW01036789.1_FG001 transcript:AMDW01036789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTKKKLVIDTDPGIGPLLLFVASFSVFLVRFDSLARSLTARARARTHADDAMAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHVTIK >AMDW01141728.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141728.1:183:335:-1 gene:AMDW01141728.1_FG001 transcript:AMDW01141728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQLFITGQILLSKVSIGGGMLIFVLLAYNSFFAVIFLLPFALIFERF >AMDW01009351.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009351.1:29:184:-1 gene:AMDW01009351.1_FG001 transcript:AMDW01009351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PDLVLPIPFVPDEKGYAFALKDGSTYSFRFSFIVSNNIVSGLKYTNTVWKTG >KN543454.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543454.1:1842:5493:1 gene:KN543454.1_FG001 transcript:KN543454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAVTDEDEVMTRHVADSLAVLPPLERAYRGDLGGMRLVDVGSGAGLPGLILAVARPSWKFTLLESMQKRCLFLEHAVEVMGLSNVDVVCDRAENVGQSLDFREAFDVAVARAVAELKVLAEYCLPLVRIDGLFIAAKGHNPHEEIKNAKSAVHKLGASMLEICDVESMGPHGQRTAVVYIKERVTPKKYPRHPAGVIQIGHILGLGHSSAADSIMFPTLTSRTKKVNLATDDVAGIQGLYGNNPNFKGVTPPATSSREMDSAGAGELSRPWRGLLDGAAGLLIGFSLAWL >KN539236.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539236.1:45847:46293:1 gene:KN539236.1_FG001 transcript:KN539236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQCDPSETIVHACMVHGSIQLSILCPHNTFTANPWASLQWTKVWLVTRYWPIYVDLSKGSGYSSVYLAVLGQGINDPCLTNVLMYERNTQDDVVTYYDRRPTISVLLIRTWRIHLVKNMAPNMVPPDGDEPQDVATRIYVLLQLTA >KN539236.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539236.1:106692:117033:-1 gene:KN539236.1_FG002 transcript:KN539236.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSEALERYKNAITAASSVVGAAMLLRRIVADVLPDTALGALLLLPPPSSRRHCVVIEEFDGAFYNRVFLAAKAQAKLYSNEWGKWRPVRLRNASTFATLAMDAALREAVVGDLDRFLGRKEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLRFDVYDLELGGVRSNTELRKLLIRMKNRSILLIEDVDCAVVAAPRREPHGGPDGSNTPSVNRKIEALLREVEVAPAEVAERLLMTDDAGAAIEMVAKLLRDRKAGTEEDGGGLGYRWMSQLLALTIYAILLMPVTLQRSKTVLSWFTVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDINRPCPVVAFVTGGAWIIGNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQTVKESSGQSISWSVTQIKAYFGLSGGQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRISPF >AMDW01061778.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061778.1:210:424:1 gene:AMDW01061778.1_FG001 transcript:AMDW01061778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLAPEYATTGKVNDRSDVFSFGVVLLELITGKRPVISTEPFNDETLVSWSYR >KN539236.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539236.1:17060:18773:-1 gene:KN539236.1_FG003 transcript:KN539236.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCEENKHSGSSVALVFYGTTTIHHQRHLPSSQVPTGRNNGNHGSAASPSNPWPSGDGEKWLRRQKATDDDCDLLQAQPARGLERVGQATTVHESRLTSSLSRRDALSYMSSAFIATLLVAGPAEARTSRQENKRKIREKLEKLREKALGPDDKNGAIRKKESLANLLIPPKLVEATI >KN539236.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539236.1:56470:59915:-1 gene:KN539236.1_FG004 transcript:KN539236.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLKGGHAETEAEVAADGRLHVTVRRSSVSRQSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEEYGAVALGGGGGGGENFSFGGGKTVDGAEAVDEEAALPDGLTKMGSSSTAELHPKVVDIDGPNAGGGAAGAGQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAVMAAASIAIGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >KN539236.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539236.1:77697:81538:-1 gene:KN539236.1_FG005 transcript:KN539236.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGALIFPCFLLLICARADDTVSRNRPLSGGQRLISSGGLFALGFFQPVVNNSDDRAPNRWYLAIWYNKISKTTPVWIANRATPISDPNLSQLTASEDGNLALFDQARFISWKSSVDPSPGYYTLEIDPNGGDQFIHLWNNSAIYWETGKWIGNMFTGIPEMALYPKEVLSYKFTVNNQESYFVYRTNASIATAMFIMEISGQVKTVVWMESKKDWVPFLALPKAQCAVYFLCGSFAMCTENAVTFCSCLRGFSKQYNGEGRYGNPSGGCMRNTKLQYDGNSSSKTTADEFYALAVAKLPDKAWGLATGTDGCKQACLNNCSCTAYSYAGGCSLWYGDLINLVAPADGSVGHSIHIRLAASEFSSSTKTRKATVIGASTAGAILVTLIVIIGILLILRKRNLSEVNKVEGSLVVFRYRFLQHVTKNFSERLGKGSFGPVFKGTLPDGTLIAVKKLDGVSQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEFMPNGSLDRYLFGSTPLTLSWKTRYQIALGIAKGLAYLHEKCRSLIIHCDIKPENVLLGADFMPKIADFGLAKLLGRDFSRVLTTMRGTIGYLAPEWISGQLSPQRQMFSAMG >KN539236.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539236.1:14322:16656:1 gene:KN539236.1_FG006 transcript:KN539236.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVASVGGRGLEDRTAPNSSRRSCPRDHREKQSWRPATIIRCGAISKPLRRRILHPAFLRRLRVVDAGDDTGNSRCGFVPSLLLGLYRRAKDLCSPLALVPPDTAGAASIATSLALVPPATPINHGANHSACVFGPYLPASSRRSLIVLRRRCRVIGHQDYLHSGLTVCNPVSGERWVLPPHEVSDETVVLLDVNHNDQAIGTHSFKLLAAQLLVSPARTLIFQVFSSDEREWGWGTPVACPISRICLSDGDSNVVVLRGAVYWLCCEYSGYSILSLKRRGDGAEPKASLVNLPESCKSGMHNMCLALSPAAAADDGTSHAALLSVVVHGSDRILVWVRRLRTTARGRRSRRWELRHVIREDSIRRPMDFRDGWLRGRWLVRFCEGSGALVLEGDEGDSPLLLDLQRRVLNKLETVSWDDSCKLPCPYELDLISYMLFVMKTF >KN539236.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539236.1:74282:75482:1 gene:KN539236.1_FG007 transcript:KN539236.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDREEPHQYAERCSVQWHRVCIYPERLGSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGRLLFLGNDCNAPKLGEAKGVGYF >KN539236.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539236.1:21178:27082:1 gene:KN539236.1_FG008 transcript:KN539236.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREVPVRPRAGRHRAHGEAPADDRGGPGDEDRGGHQHPERAGEEQERAEDDKRDEGDGERDAREDKERREGDSQGEETDEPRGVVRVVLDEPAEREGSGEAEFFTEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVFIGESVCGPRNFLLALYGTHEIRNEKARRYLANMRKKPRVPFSQKFPVADPMALHLLERLLAFDPKDRPTAAELCIKALTDPYFTGLANSECEPIAQPISKIEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPILSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >KN539236.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539236.1:7392:7799:-1 gene:KN539236.1_FG009 transcript:KN539236.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPAPVLVLAILAVAASTAAAAAIEGEVKCGGCSPCGGADCPVLYPSPPPPALPPPPPYYYYSPPPPAYYPGSYCPPPPAAYVQFGGGAQSGRGPLYPQDPGFMPSSAPSSQGSRAVRLFTACAAFASLWFLW >KN539236.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539236.1:104051:104714:1 gene:KN539236.1_FG010 transcript:KN539236.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAGLAKEPAAKLSETATKPAAAKGGVKKAEQKPREPKKKSFGYFHVKSGEEQQAGSSQKLIVATGEMEMDSTRASILCYAMGL >KN539236.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539236.1:42371:43558:1 gene:KN539236.1_FG011 transcript:KN539236.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSSGSEGAGCNNNNGGGGGCNMRDLDINQPASGGEEEEFPMGSVEEDEEERGVGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPVSVHCTLTSYTSLPALPFSLLHFKFSALAYAYYATRSLWFTGIYVLYS >KN542295.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542295.1:30:8172:-1 gene:KN542295.1_FG001 transcript:KN542295.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGKGGGGQGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHLPATGDELHFTRAITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKNRAEEESALIYQEKRTIVMERKQKKAQKEEAENHLRLQQDLKLAKTEHLLWQLYTIEKDAEKIEAELEEDRRSLQQALEENQSSDYELSAKKKEQSGFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKSLQSALVDVTRAIDELNEQGQNKSDKLQLADDQLQEYHRMKLVLGVVDMWTLWPNMSFLLSKKIQYTLALTFCYSKEDAGMSTAKLRDEKEVFDKELNAGVEAKKNLEENMQQLRSRENEILSQERELRAKLNKILHSIPKHEDELAHLREEHNKIAKERQTSGVKYQMLKQRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLRRLESEKSNIEEEIDRLEPVKEE >KN539163.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539163.1:83398:89209:-1 gene:KN539163.1_FG001 transcript:KN539163.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MTSSSNMLPFWNVVGCRGATFVSSRWLHDAQYQVRQDGVSRSEEQQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPLSDSTEVGVLTILANKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMDYYLQKTYYKTASLISNSCKAVAILAGHTADVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLTDIRHGIITAPMLYAMEEFPQLHEVVDRGFDNPANVELALDYLQKSRGIEKTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >KN539163.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539163.1:40780:44832:1 gene:KN539163.1_FG002 transcript:KN539163.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQPRRPDKPEDAAAAEAKAAKLRDLQAQVLHNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHNIGELSEPEAIKSAIDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPEEDELKYTMDKISDNFSNYSAWHNRSILLSNLLIQQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPENPQLIASWPSNGSNLSLSSLSSICCYSLKEGILPIVLYFNEPVKGLSSSSVSLNSDLVLKITNSLVHKESNWHLERLSDEIDLFRELHDDNSKFAKLTLARLLLACAAIKSRGSSLVERKGYCEEALGLFNDLIHLDPSHKRYYEDERSLVLMDQLTCDMEAFKKYCSVKALPKLAPLNHVQLCRLSLTRIGFAERLLWVQMLDLSHNHLRSIEGLEALQQLVCLNLGNNQISSFTALEPLTKIISLKVLDLSCNEIGTHPIDTTRYICPSPFSHRVEACEAFEECRKKNINVEEFWDAILFFKHVNLVQLCLEGNAVTNKENLRTLVVTLNPSLKWLDGKFVH >KN539163.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539163.1:97259:98085:-1 gene:KN539163.1_FG003 transcript:KN539163.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVRCSEIEGESESCAILPEKKVVIGGNGGGGNGEYKVHKVLGKTLTLSKLTHYLSFRRYISPAHV >KN539163.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539163.1:133196:133575:-1 gene:KN539163.1_FG004 transcript:KN539163.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEATITRFLVFFLLLLVAVRGDDPYRFYTWNITFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIIINVFNNLPSPFLLSW >KN539163.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539163.1:30536:38816:1 gene:KN539163.1_FG005 transcript:KN539163.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAEEGQSSVPADSAAPAQDDSVQTASGHNSRRPNLSLQIPARTLDNQIGTSARINISPSPSSTRAGLPPRPNSTRTKSSLKSIIPQQSFRARSSAQEGDRAILLVPGTPSEGQQDNTSTLGSFSFRKVINSLSAKRTHSLPVTPIATSDKTSSPANQINNLPTTDDQDVQARIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPIPVNTTASSDGIEETVDELVHFTEFLTVPGDGGEDIPEEEAVCRICLVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRVTNGAHQRASQQYSYNRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSLTKSYLWAYASFQFAIVILFAHIFYNVLKVNPVLAVLLSSFTGFGIAISANSMLVEYLRWRSRRNQQLAQPVDDGQRQEPGSNAVNENNGDRQQAQHRESGDNINVGFIGLGNMGAHMARSLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGRLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEISFCSYRKLCADGCELKDFSCAFRHYYAGKDEN >KN539163.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539163.1:102539:131517:-1 gene:KN539163.1_FG006 transcript:KN539163.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEATITRFLVFFLLLLVAVRGDDPYRFYTWNITFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIIINVFNNLPSPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYIMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPGIPVPFAPPAGDFTILAGDWFKLNHTDLQGILDSGNDLPPPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSLLLVEVEGSHTVQSTYTSIDVHLGQSYSFLVTADQPPQDYSIIVSTRFTNPVLTTTAVLHYSNSNGALSTVAPPPAPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRASINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFALGSMPDNPTGGGAYLQTAVMAANMRDYVEVIFENSENFVQSWHIDGYAFWVVGMDGGQWTPASRQIYNLRDAVARYTLQVYPQSWTAIYMPLDNVGMWNIRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCDKPASLARPFQIVHFLLEEGAWGWSEAMNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSATNEVIECMWAAKKASEFCCHTICKYLVICTTVQRQCIFYKFSFTAELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGARAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDERVEVLLLQILYLEILTYREQSVRWFIRVLMTVFLCIKACTSETELPNHIKILAKTLQLYMIRTFRMVLVSKPTLLTAFREEGVWDLIFSENCFYFGSSVEDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKYNLVLVFLLCYIPECSALLEALEHCISDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFSCFPSDDVISSGYGSKIENIEMSSSGKRTRYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRVKLCIFQIFSFDLVKVWNYNTLTVYFNQLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEIMPLFTMAELPLETALMSTLSGITSSGASLSIKNLPKNERGNDSLHYRCNAVTDGEQSCHTSVINGKLRAEVIELVASVLDANVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYMFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEYVDCRCAVGSKSLLRTGNKQVVGDRPKIEEICKLRLLLLSLAEMSLKLQVSLADIRALASLFERNQDVACVEDILKMIIRALSEGPVLSSFLEHVNCLGGCCIFINLLKRESEPVRLLGLQLVGKLMADSSARIRILSELIGLLDSNPTNIEALMEHSWNYWLETSTKLDVLKEYSLVSKGELDNVEIDEVILVRKLYALVLAYYLSAVKGGWHQLEDTVNYFLLKFGQGQLSSSYLLRDILDDIVGSLLQTSSEENIILSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSNPSEESLSSIKWKDDIKSTLNEILIDESHSQYKSFAWKSCQFADEDEKSEDWWNFFDKVWDLICNLNGKGPNKLLPKGPNIEVPSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKINRFSEKATLLREEIFPRVFFHLVILYLCKAGLENASKCVLQFMSLLPVLISEDEQSKNKLHFLIWSLLITRSQYGQLDDGARYHVLSHLILETIICGKSMLVPNILGRDDSMEIGNSNKDAGFILNFVQKDRVLAAATVEVKHMKAVQADRLSQLDELQSKLNEHFTEETQLEKMIEDNIHISITSALSADDKRKIAFRLAFDEDQQNVADKWIHISRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSLSTKVPLKLKRFLLKGVRAIFEDNAYEPIEDTNDTGESSQSNLLENQNPNNVSDLSDYRTAVQNKKESASNNGDNDYTKVLCSVRCVLITPKRKLAGQLDITRTVLHFSFEFLVEGTGGSSVFSKFKEIEDSDCKSDLGSVERLDGGRDYVIKTPNGVLMQKQSNKIKHHRRWNITKIKAVHWTRYLLQYTATEIFFDDSNAPIFLNFSSQKDAKNAGSLLVSLRNEALFPKGSTKGKSRVISFVDRRVALEMAEKARDRWIKREISNFEYLMILNTFAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDENRFEQYVIGQVFEDRYVNFDDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVVLPPWAKAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLILSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVAVSSRGNVVATGSYDTTVMIWHAFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVFYSESDLSLHMYSINGKHIASSASGGRINCMELSCCGQFLVCAGEHGQIVLHSMHCLDIIRRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLRRKSMPRTRIKPPTAS >KN539163.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539163.1:133:1737:1 gene:KN539163.1_FG007 transcript:KN539163.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding INVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFIDPPQLNAIDDPPYSINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLRYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVATYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILPLQQPALTSSRVRQSVVHLLVALADDGTATGDLFLDDGESPEMAGPRSRWSQIKFSGAAESGGGVVRVRSHVVHDSYAPSRTMVIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGGGSPEKGALGVAHVGGLSLVVGQEFDLKVVMTY >KN539163.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539163.1:48300:53072:1 gene:KN539163.1_FG008 transcript:KN539163.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHVKLPGPPPPVEEVLQKILQIRSFNKFNQHRHNNYNQQGERPQHPQGSGLPNQNSIDNTTTTTAQPAVGQQAQTTNQQPPQQQQQQQQQQQKPNTNDQVQSVPNGSSNQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSIQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAILIAAEAKKEEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEEGNGQESQGRGRGRGMMWPPQMPMLRGVGPMMGGRGFPPNMIGDGFGFGGGFGMPDPFGVPRGFPPFGPRFPGDFARGGPMPGMVFPGRPPQPGGMFPMGLEMMMGPGRGPLMGGLGMGGPGRPNRPVGMAPFMPPPPPPNNRGTKREQRRPGGERGDRYETTSDQGSRGHDATGNSGAEGARSQSGDRYGRSALRDDDSESDEEAAPRRSRKR >KN539163.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539163.1:55463:60536:-1 gene:KN539163.1_FG009 transcript:KN539163.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQAASPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDSANPGFQSLNFGGLGMNPWMQPRFDASLLGLQPDMYQTIAATAFQDPTKQSSPMTLQTVLPFSRPQSYPDTSMSSLSPSNTSTMHNALRPFSSEAPSHLSMPRPTAVPVPDPWSSKRVAVESLLPSRPQVTSQMEQLDSTAPSIPQSSVLAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPSLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLCSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >KN539122.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539122.1:56641:57070:1 gene:KN539122.1_FG001 transcript:KN539122.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKCVVRRINHLKGEKVIKRQGFLDNASKEVTVLETLPQLPCLPIDITLGFQVKNITKKERELCCPTTKQLLPVCDLHQAGAPPLTSSPFEADLKGPYAASPPR >KN539122.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539122.1:92056:93172:1 gene:KN539122.1_FG002 transcript:KN539122.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSRDGLVRHFFHRPSKAYTTGTRKRRKVHSDEVDGGETRWHKTGKTRPVMANGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAAKEASAAVAAAVVNSNYSVVHGHQGGGDGGGGSFLKEANVVHEFYDPAATMGYRPPAPAAHFAPNFAVHAARNSFGGP >KN539122.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539122.1:36139:37930:-1 gene:KN539122.1_FG003 transcript:KN539122.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYVSLLFGPCCPLSHSHHFLCVIVVATDDERIAECCRGFGADVIMTSESCRNGSERCCEALQKLNKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTALKPEDASDTNRGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ >KN539122.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539122.1:60156:62669:-1 gene:KN539122.1_FG004 transcript:KN539122.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITMQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETPKPPISIPDDASVVKEAPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >KN539122.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539122.1:68811:78876:-1 gene:KN539122.1_FG005 transcript:KN539122.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTGGMYGEPLLPPSSRRGTGRPSAVPRISASEVARRYYEPPQVMLPPLAPMQLTRAEHRVIDSVERLIGEPLREDGPVLGVEFDPLPPGAFGAPIVPEQQRQPFRSYEAKMFSGHDTKHMKASAFLPSIDPFVPSTVSGKRKSMTGSSSHLGSRAVREYQFIPEQSSDIYERTTQSRYYDVSTEASDSRMSTGSRFLHGVEKAPGYTFHGQISGSSHLSLHGRPPVFSSGSTDYEMDPSNINSSSVPNESQYGIPQVAGFENSVAPSDTMVYHDDDAFRMERKRKHSEEAKIAKEVEAHEKRIRKELEKQDMLNRKREEQVRREMERNDRERRKEEERLLREKQKEEERFQREQRREHKRMEKYLLKQSLRAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSEIVRLKVPFSIKPWTSSEDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVALLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGLGPQLRKRNAENVNNHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYGNRRRSRHRLTPGTVKFAAFHVLSLEGSQGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRVFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDEVNIEEKDVKAPLVRAQDGGMPTAVGDIKKETNSIVNSLTTPLIHTKSPESSSLRTLDKSVQVRTTSDPPAEISSDNHEGASDSAQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSIRAVLEERLEAASALKKQMWAEAQLDKRRSREEFSSKMQYDSGMGLKTDVDQQNTLAESNLTPVHNLVKDSNGNGSLVNNELPVDQQSQPNAGSVVHERNGVRQEFSANPENLSGQQYVTSEKTRSQLKSYIGHKAEQLHVYRSLPLGQDRRRNRYWQFSTSASPDDPGSGRIFFESRDGYWRLIDSIEGHIMSSTTSGLHQTFDALVSSLDTRGIRESHLHSMLQSIEPTFKEAIGRKRCASIEPSAGRVLKNGTSEIISPNHSNEFGSPCSTLSGVATDSAMAYSDSFRIELGRNDVEKTAISERADLFIKWMWKECNNHQPTCAMKHGKKRCSELIQCCDFCYQIYLAEETHCASCHKTFKSIHNISEHSSQCEEKRRTDPNWKMQISDDSVPVGLRLLKLLLATVEASVPAEALEPFWTDVYRKSWGVKLYSTSSTKEVFEMLTILEGAIRRDFLSSDFETTTELLNLSTQDSASRNIVPRSGSADVLPWVPDTVAAVVLRLLDLDSAISYTLRQKVGSNKERGAGEFMKLPPRYTPAKTKQETEPMGTGFDRQETWLTPSNGRGGRGGRGRGGRGGSRGGRSRSRGGKVPRGISSSPKIEFRGYSASAVSSEKAPRKYARRGRNRGRGRGLRTVRPRQPSDIGARSIPKPNLLGSFSMLRNAKHTTAMESPQSSGAEEWGLERRPSYVKDDEDNSASQSEESSEENSEPMNEEYDEPLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDEEGHVATK >KN539122.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539122.1:28959:29210:1 gene:KN539122.1_FG006 transcript:KN539122.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVSVLVAGVTTLMCIILLILSYSAVTAEGGRQWEGREPTVAARGRFRKVMREETTLDDGGAAIGESKRRSPGGPDPQHH >KN539122.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539122.1:93834:103055:-1 gene:KN539122.1_FG007 transcript:KN539122.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMEGRLVIVLSDWKLLGRSSGFQVAPTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTASELGHFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSSKNAIRESIRALFPDRECITLVRPVNNEKDLQRLDQLPLNNFRPEFRSGLDALTKFVFDRTRPKQLGASTLTGPVLAGLTQSFLDAINTGAVPTISSSWQFLAVQSVEEAECRRAYDSAIDTYNSSFDRRKPAEEDAMREAHEDALKKAVSVFNASAVGAGLARSKFEKLLQTSLKKAFEDYKRNTFLEADLQCSNRIQSMESKIRTACNRPDAKLDDIVRLIDGLLTEYESKSYGPGKWKKLATFLQQCLAGPVLDLFRRQIEHIDAERNSLRLKCSSNDDKLALLRKQLEASEGHRGEYLRRYEESINDKQKISKDYSGRIAELQTKSSKLEERCVSLSSALDNAKRESVDWKNKYDHNLLQQKADESKLKSQIASLESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKEEEIARLNTKINQTEIHATNLISRLEATEAKLKNHESDSLALKEEIRSLTVSLESFRTEAQSREKEVKILEQEKNHLQEKYLTECKRFDEADRRCKEAEREAKRATELADVARAEAVASQKDKGEAQRLAMERLALIERMERQVEGLEREKNKMLEEIERVGQSEKDAVCKVSSLEQRVDEREKEIDEMMQRSNQQRSSTVQVLESLLETEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEATESVHDMDIDDDNTGRRRKRSKSTTSPFKSNHTEDGGSVFVGEDTNNGSQQAQETETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >KN539122.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539122.1:126893:127942:-1 gene:KN539122.1_FG008 transcript:KN539122.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVNKLTNAAERESSEAEALIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWVMFGESKRMFTMLANQCLVMNKRMFL >KN539122.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539122.1:131895:132935:1 gene:KN539122.1_FG009 transcript:KN539122.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARRFLAAAAADQVSLVQAHIVPKFYSMDAFDTASNPVRTQASGGDGPYTLNITATSTNQVNVSTGVVDTTLGTALRADQPLAVYSVDRVLLPVGN >KN539122.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539122.1:64447:65498:-1 gene:KN539122.1_FG010 transcript:KN539122.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGHTVHLTTLDGRSLTIPITSVINPGYEEVVRGEGMPIPKDPSKKGNLRVKFDIKFPARLTADQKSGVKRLLGQ >KN539122.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539122.1:44129:47536:1 gene:KN539122.1_FG011 transcript:KN539122.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSADPARCSFDQTLRREDFQDNRLLRSLVNIHEQETYSREIITEAIESCMKKQADNLVNTLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANINFRCLEKHVKEVQNSVQVLQDKQEPAETQKELTKLQILHEESAQKSEGTAPSVLMTKEIDSSMPVAKHELALVPLHQVNAAQSPAIQFQSCNGLVLQQLVPVSLSTQQDQQHMNQATMYCMQNQAHVEHRQAQPFQPAPQPVQRHTQNTPQTVVEAQQVTSQAPDFYLQPQQQWAHQTGQQVHQARQPQPQVVQQQHYNNIQQVPAQIVQMQTSSPQAQSAPHVTLLYPPYGSQQPACANSEPRSRSMAMQPSYSTISSSQRNHHEVAPVYVQSNTISVPLAEHSVQPQQPPQIQSLGNGSFKPSKVSLHGVASYTVQGNAQAYNTAYGNPSNNAATVVAVLPQQAQSSAPMVLHHLGPQSLQNHPIDMVEKVACMGYFKDQAESMALRMATAGQNVEFKHLA >KN539122.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539122.1:16579:18130:-1 gene:KN539122.1_FG012 transcript:KN539122.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEHIPLVRCPKGANAGPQAVIPCIDLRAPGAAAAVADACRTLGFFKATNHGVPAGLADALETSAMAFFALPHQEKLDMSGPARPLGYGSKSIGSNGDVGWLEYLLLSAGSGGAALPAALRAAVEAYTGAVRGVGCRVMELMAEGLGLGASEEGRCVLRRMVVGREGSDEMLRVNHYPPCLLPPGRDRDECGVTGFGEHTDPQIISVLRSNCTAGLQILLRGDCSSPARWVPVPPDPDSFFVNVGDSLQVLTNGRFRSVKHRVLAPEGEESRLSVIYFGGPAASQRIAPLEQVMRDGEQSLYREFTWGEYKKAAYKTRLGDNRLGPYELHHAAANDEAATKK >KN539122.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539122.1:82137:82880:1 gene:KN539122.1_FG013 transcript:KN539122.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MACGREAHEQHAPDDADGDRQRREHLPRALGWHRVEARHHVLVEQRDGGEHHHGDDGVHQVEGPQPPVAASRKLSVKLRTKQESAQSAMSAPAMARLASTSQCPWLVTIGDSSWNASTVPVGSSFARCAVLWYVWLIALCTGLMPSPPPPLPPLPSPADRFASSGRLSFSPPSRRDSTSSRCAAAAIDADDDDALALAARILFRFCQCCYCENGRELMRI >KN539122.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539122.1:120271:124678:1 gene:KN539122.1_FG014 transcript:KN539122.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTEPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESPRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGMVNKWQAQLHGRAAFHGPPAPALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRVPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATMVAEYQGDGGTDDSDIFILDVGVGALIM >KN539122.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539122.1:50522:53179:-1 gene:KN539122.1_FG015 transcript:KN539122.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKDSFQATEEGILALVAKHNEKCALVFSSLTEGMQALAAEHGEKCYSAVSAVMDQAVQANPDRSNARLHGAIEGVDTDDMLAATMRRTASHSGKGDLLGPNKLANEARGHTEQQNPAADRANPLSVSRTAPIAHHHLLKSPAQATSAVHTNHRGLDQGDGATLDQNNNGAQDKYQGQSERCETQMTKEAGNHLRPSCMSSFSMRTVHEWWPNSILAGRLFRWLEESCDDDITGVWFKHDKPTPIEISAKEMKMQVIRGGILHADLCSALIRLYQQLDAKMNTNPCGQRWRHFFPPQFAKALMFEPNFSSMKAVNDIFDQHINGYKIQNCQLLISPVQLASDTWACYIWDMEKRQMHILDPVLQHREVSDVSAKHRQTVTMIHTGLLTCINKFFDDWDINPDGWTCTYYTKISLRWNSGFHTLYYAREFYDGKLSNALDSGRVAALRRDLFYKLLTMEGNSAELPSHIARYLE >KN538948.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538948.1:64559:66994:1 gene:KN538948.1_FG001 transcript:KN538948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQCTSLSPFSSLHLFMASLIGVVESKDGTISVASAFAGHHEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >KN538948.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538948.1:128089:136529:1 gene:KN538948.1_FG002 transcript:KN538948.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSQLAAVFLAVLVSVAPLAGAADNLQDACNRTLFPKVCIQALTTNPESRTANARRLAELSVYVAAEVGTAVAAFAHHELNGVKEDALFKCVDSCSDDIEEAVAHLSALTRELTDAKFLEVKAWLSATLGGSSTCEDTCKDAPISEIKNAVVTKSLEFEKLLRVTLDLITEASGSIVLKYLGWHLAMPPLIDQSSTNKLLELTRFDTCDVRINFHDPLFIDPYAAVLLSLDVASEDKDLLALHLMPSAEHYRLLTRLSWPRLSVVYDVSPGRVFITASQQLRESPDLQAGLNKNGFNGNRLSLWVLQGLPLFTFKSLEDLLLVIGNLAMKGSIFIGEASEQDRLENLFFTQGFRVSFVHYEEVAKDVGLGLDSPSEIHGRALFIAEQLRFSDAQRKIPLVYDLLKQLSFASPQLLIFVDAPTVNHIAMCPQLVEMESFRMHFERIEDDADEDGFEEL >KN538948.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538948.1:26614:32975:-1 gene:KN538948.1_FG003 transcript:KN538948.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSTGSDDDGDIRRKPATGAKDSLCSSGAGDVLRLLDALRLPPDEDVYVSLLRDCADAAEVASVHAHIAGKFAVSGIPLPLANRLVLAYAACGDIGGARQVFDEMPVKNGITWATMVSAYSDGCFHHDALQLFAQMCHQVRGLTGDHYTHAIVAVLRSCARVNELQFGEQVHAFVVKKNGVCGDVGSSLLQLYCDSGQLSSARHVLEMMWFSCQEPVPEAAWTSLITAYHRDGILDDAIDVFRDMASSGIARSSFSLSSILAVCAEAKNKGCYGQQVHADAIKRGLDMNQFVGSGLLHMYAKQGQLADAARAFEAIDGKPDAVCWNAMAMAYARGGMYREATRVVYQMKAAGMNPSKLTMNEVKLACFR >KN538948.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538948.1:68691:70953:-1 gene:KN538948.1_FG004 transcript:KN538948.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYCNDLTASVHRPHSVSITEFGAVGDGVTLNTKAFKNAIFYLSSFADKGGAELFVPAGKWLTGSFNLISHLTVSLDANAVIIGSQDSSDWPVIDPLPSYGRGRELPGANGTIDGQGELWWNWFHNHTLNYTRPPLLELMYSDRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSSNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISDVRAEGLRFINSVHGIRIKTAPGRGGYVKNIYIADVSMDNVSIAIRITGNYGEHPDDNYDKNALPVISNITIKNVVGVNIGTAGMLLGIQGDIFSNICLSNVSLSSKSADPWNCSLVEGFSNSVAPEICEQLRPSPGPGQVCYDGNSYPVPAAQQPYKSGATRLQNPFLKFISLYS >KN538948.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538948.1:89687:90610:-1 gene:KN538948.1_FG005 transcript:KN538948.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKISSIGGKSAPSQLLATLSGHYGPVWRVAWAHPKYGTILASCSYDGRVIIWKEGAGGHWSQAHVCTDHKSSVNSIAWAPYEVGLCLACGSSDGTISVMTMRADGGWDTARIERAHPVGVTAISWAPATALGSLAGSGELVYKLVSGGFDSVVKVWGFVNGGWKLESALPSDVHTDCVRDVAWAPVLGLAKATIASASQDGKVVIWSRGKVGDKWEGKVMHDFGSPVWRVSWSLTGNILSVAAGENNITLWKQASDGQWEEVMKVEPTKPEKR >KN538948.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538948.1:143246:145460:-1 gene:KN538948.1_FG006 transcript:KN538948.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVGYEVGVHLFTTLVRALAREGQVADALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMETERSVPCAYTYNTMIMGYGSAGRFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALSLFEVMKKDAEPNSSTYNIIIDMLCLGGRVEEAYRILDEMEHASLFPNLLTVNIMVDRLCKARKLEEAYKIFESASQRGCNPDCVTYCSLIDGLGKKGQVDEAYRLFEKMLDAGHNANPVVYTSLIRNFFIHGRKEDGHKIFKELIRRGCKPDLTLLNTYMDCVFKAGEVEKGRMIFEDIRSYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFALDARAYNAVVDGFCKSGKVHKAYEILEEMKEKCVQPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIELNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLLDALVKAEEINEALVCFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLVPNVITYTTMISGLAKVGNITDAYSLFERFKANGGIPDAASFNALIEGMSNANRAMEAYQGCASEKQVFDLINPVSVIAIPCLTAVLLFGKRTLFCVFVDVDKDQILRT >KN538948.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538948.1:23473:25078:1 gene:KN538948.1_FG007 transcript:KN538948.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLQFLFTLLGMGRRSWCRGTVPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYVACRDLLQPYNPVPKFIIIKSVVFLTYWQMLIAAIGHQFAFSYKEYAGSNARPFGGFRGSLFHALKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSSASVVSTVQDIQLVEVSVVDSKAPLASVILTHEADKTMPSHGMEETVAPSEPYDLSSLVDVELSNYSAEVPAIPDVGKQ >KN538948.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538948.1:169566:179560:-1 gene:KN538948.1_FG008 transcript:KN538948.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPLQELVDWEFKSQEKGKMHACGHDAHVTMLLGAAKLLQSRKDELKGTVKLVFQPAEEGHAGAYHVLESGLLDDVSAIFGLHVIPDLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITKLKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREIIEAQAGVNRCAAAVDFLEEELRPYPATVNDEGMYRHARAVAVDVLGEDGVKVGTPFMGAEDFAFYAQRFPAAFFMIGVGNETTMRKVRTSELVRAELDAIGVPYEWPVARTGVVATIAGGDGAGAGTVFALRADMDALPLQELVDWEHKSEESGKMHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGARYVLQEGVLDDVSAIFGLHVDPRIQVGTVTSRPGPFLAASGRFLATITGKGGHAAGPHNAVDPILTASSTIISLQQIVARETDPLEAAVISVTFMKGGDAYNVIPESVSFGGTFRSLTSEGLSYLKKRIKEIVEAHATVHRCTATVDFMDEERIPYPATVNDEGMYRHARAVAVDVLGEDGVKVGTPFMGAEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHASTATY >KN538948.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538948.1:164207:168823:1 gene:KN538948.1_FG009 transcript:KN538948.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGTRVLNITVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKDVEGSLFVVKRNAQPRFQFVVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKAPSIKSEFEELEAAPTLVEGPLEPQTSNIIQATTHVQEDPLSAFFSGAINVGSASGVSVAGQLNQSFGSTPLSSHAPTSISISQPPAVHHLLPSQTSSVISPDVHGGTGAVVNRSASLLNPSLFSPSTSSQTTMARTNPVAPTAPPQHPRITQQPHSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPVLSREKVRDALLRLVENDDFIDLVYREIVKG >KN538948.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538948.1:81556:85629:1 gene:KN538948.1_FG010 transcript:KN538948.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRARSSSYAAAAVALALALASVATVAGEVFFQEKFEGEWLTCSRSRLDRSDPVRCVVRGLGALDVVEGRVRVARAGVFGDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDPEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTIFTKNDKNHLIKKDVPCETDQLSHVYTLIIRPDATYTILIDNVEKQSGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYVGIELWQVKSGTLFDNFLITDDPELAKTFAEETWGKHKDAEKAAFDEAEKKKEEEEAAKAGEDDDDLDDEDAEDEDKADEKADSDAEDGKDSDDEKHLSVVSFFPPQEKELIAY >KN538948.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538948.1:35139:37058:1 gene:KN538948.1_FG011 transcript:KN538948.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRMPDCHFMASSNGGACYQNTRGTPITMGVPNLGCLVASIGMAPSSSLMPERGLVTANYNLVVGFPEDAAMVPQQQQLQAAASSNNSGLIKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRIGKQCRERWTNHLHPDIKKGIWTEEEDRKLIKAHQTYRNRWSAIARSLPGRSENTVKNHWNTTKRSLSSKRRLRRKNSEQAVPGQPSLLEEFIRSCQHPLPNETAPPASFDIGGYGTGGTIGASPTPPAVHALGGSTPPGLVMFLDLLNQATPHPPQPDLNLLNITPVVPHLNTSGYCLQLDARGNLQYGQLPVQPHGISAQIGPSNVGTSGRTGGMDNNGVIQMASNQFVMPSEDGGILDMARWIN >KN538948.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538948.1:7292:10758:1 gene:KN538948.1_FG012 transcript:KN538948.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATIVMATTTTMAADLSNAEKAQFVKLHNDARAAVGVKAQQQWRAEHLGGAVHVEEQLIHARERRPEPGGLELGDADVGVRLDEHHALAGAVDDELPAFNLPEPPGERVVRHQRGGERPADGVHGISGRG >KN538948.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538948.1:105455:108272:-1 gene:KN538948.1_FG013 transcript:KN538948.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWRSGDAMNSSPYFCLGDLWEAFNEWSFYGAGVPLVLNGKDSVIQYYVPYLSAIQLYADPSKHSTRIRHPWEESDEESMDTSSESSSGTDADQLRGLENGGFQREDSESHFPSTRPLFEYLEKDPPYGREPLTDKASAPPSFDKRKFAICMYCFYAYYKCPIRYPIYRIPTGPTLKDLDACFLTFHYLSTATRSRDADPSTPACPPSGGFNSCMNAAGKLTLPVFGLAPYKLRSSVWSSNGPHEQQLAASLMQAADDWLQDRQVHHPDFRFFLTHYNTVWR >KN538948.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538948.1:183486:185381:-1 gene:KN538948.1_FG014 transcript:KN538948.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding ELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPVLPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEDYHSITFLMTTYRILENYSFFTEFQLRILSSENWTDSGRAGGGEPLMGAEDFGFYAARMPSAFFTIGVGNAATCSAGAAHTTHSPHFVVDEAALPVGAAVHAAVAIDYLSKHASST >KN538948.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538948.1:147879:150965:1 gene:KN538948.1_FG015 transcript:KN538948.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASLESLFCRLIIAGHAKRLIYRAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGKADTALAKSLNKEYGPVIKQHMQYVLDHSEEIEKTLKVQAQVSEVKNIMLENIEKTLGRGEKLSELQDKTSDLQSQAQEFKKKGVKIRRKTWLQNMKIKLVVLGILLLLVIIVWVSVCQGFDCTKH >KN538948.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538948.1:54366:55149:-1 gene:KN538948.1_FG016 transcript:KN538948.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMLSCLDFIEHRTDAVPRPCCAQLNATVAEQPCCLMHVLRGDVARLVGPGFDTARAMVNVTAACLGDASVLMSIARSCTGATRLEGTSNTALLFALGAVAIAMLRI >AMDW01061712.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061712.1:31:456:1 gene:AMDW01061712.1_FG001 transcript:AMDW01061712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNDLTGIASTASTTTTTIISDVSSSSSSHPAPGGKCGYVGIDAATNKIEDWLTDGEHKKLKVVSIVGVGGVGKTTLANELYRKLGHQFEYRAFVRSSHKPDMRSILISMLSQIHPQQPPDNWKVHNLISSIRTHLKDKR >AMDW01016636.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016636.1:9:212:-1 gene:AMDW01016636.1_FG001 transcript:AMDW01016636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTN >KN540794.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540794.1:3661:6087:1 gene:KN540794.1_FG001 transcript:KN540794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPVVISSGKTVEVLALEKWWSENRRLCPVTDEILDNSIFIPNILIMLCTALWRTRNGITDVTTIAEPPKISSEEEALFREINLLALSPSLADKTFDAILRLHELISNAQSSLLHLLGQSPGMIAKLACLLPETCLDPDPGLDDIILKIIAKTASYNPNKVILGDDQYAIPVLIARALLGPVDTRVKCAQILGLLADNYYNKIKIGELGGFAALMELLLLVGDREVKRTVAMAIASLCEAQENWGRFVREGVADAAISLLRDDNLVDEAHSIFLKATGFELAMTQILDKLMSFEDDANCAMMVESIWNTFIRTKLRRRRPNVAHASSSTRASDMFSDTSSDGSVELPMHVELTDKAEDDVRTIVSWLQKRTCYPRTYKYED >KN540794.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540794.1:29059:32090:-1 gene:KN540794.1_FG002 transcript:KN540794.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLVQHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRRLPFIPDGKFILELMVQTIVHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRRLPFIPVTDVAGVVAGVGPGVKDFAVGDQVVAMLNMTDVAGVVAGVGPGVKDFAVGDQVVAMLNSMNGGGFAEADLEFLVGLVGEGKLRTVVDSRFPLGDAAKAWQKSIDGHATGKIVVEMEG >KN540794.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540794.1:24063:28237:1 gene:KN540794.1_FG003 transcript:KN540794.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTTMEAINAKILSMDIVRAEIKTVDAQESLGGGVTVLVTGHLTGSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGGEGDQEVQPEPELELLFPPSQQPDSVPAPSANGTSVPREQEAFSQPEQHVADPAPNAQEADLNGEEVYNPPNNTEGPVVEETPIPEVIDEVPNNIAVAMPTPSAPAPAPVPQEEAPKKSYASIVKVMKEIPPQISAIPSRPAPPKQEKQVAPAPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRSLPLSATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSSVQSAIAGSPVTISDRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGSSRGGDVGYQRVDHSAGRAARAPSGASAVAK >KN540794.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540794.1:12940:15811:-1 gene:KN540794.1_FG004 transcript:KN540794.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >AMDW01038987.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038987.1:44:574:1 gene:AMDW01038987.1_FG001 transcript:AMDW01038987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAKFLNHPPTDAGLGAVTPESAGNVDEAFDTFSASSDLSPGILAAASAQFDPSQDGFGEWSSPASGNDPTSTATTATTSMLCTDASVQAALGELNFAMDQSCFDSLGLPTDVAGAGSLSSWCSIVPSLSTWEEPKYDSLDSFPDDAMSLHECMIGAPDHDWSVDCQGLEALYMP >AMDW01040181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040181.1:29:1020:1 gene:AMDW01040181.1_FG001 transcript:AMDW01040181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNVPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLK >KN541872.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541872.1:2750:5512:1 gene:KN541872.1_FG001 transcript:KN541872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFGQLASCRCRWAGRSGMRVAPRRRMPCVCFVASPSQPENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPKLREIIDSEFSESDSLATAIDLVHRSGGIRRAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >KN541872.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541872.1:7506:19702:1 gene:KN541872.1_FG002 transcript:KN541872.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMRIGRRRAIQQGIAEVRIPPAACVIALFIMDEMCDLASNLEYVVEKAKCGVHRTSSAEEFFTEYGDANRYRIQEVIGKGSYGVVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKLEFEFEQKKLSKEDIRELIFQEILEYHPLLQKNYRNGTERATFLYPRSTTVHSTPIPPKEQPLAASLKSSRPVSDEPCKNPWVMGGFSGNIPTSSQVSQVAKPVAPGRPVGSVFPYETGSTNDPYGARGPVVSSGYPPQQQISQAYGYHQVPARMNCVEQSQAMDAYKMHSQSQMQAYAYPNSKVTADVALDMRGSTFHHSAGSKNGSLDRMVTQTDIYTRSLNGIVAAATSAGVGTNRKVGAVPISTSRIPLGTGFSVAPSAAAIPTNQSVVADHVLAALQSFYSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVTQVTTHADIAYFMGLINGKQKREVEAMQARAVELIKAGRWSEAYVAREGLLKWMENASGVPSLFDVELTTSLEAEAAALAPLLNGAEAKAALGARGDAEWKMYKSIIPFSHGTSFPAVLPHGGPGCSGFLSNFLQLGPYLFAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLAALQSFYSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVAEVTTHADIAYFMGLINAKQKRAAEAMQAEAVALTREERWREASAARARLMSWLENATGVVTLLDVEVQQSAWLRELEWDGLAAFQDAGRAVWRSGGGLAGYVQRHGALVHVAVYGAGHFVPFSQGRVAQEMIEDWVFGKGLFSAGTV >AMDW01040709.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040709.1:217:1579:1 gene:AMDW01040709.1_FG001 transcript:AMDW01040709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSLLNLIAISSTWARVIDIMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSPYLMYISLFLWLSAVVSSFFYFQDHYDMRHGEVALYVAN >AMDW01039956.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039956.1:273:1080:1 gene:AMDW01039956.1_FG001 transcript:AMDW01039956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ECLLGLLASKTVVYVTHHVEFLPPADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSKLFRQDKQKDENEGAEEGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMASMSFFDSTPSGRILNR >KN544987.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544987.1:414:2091:-1 gene:KN544987.1_FG001 transcript:KN544987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FAITCTYDITRCMHNKKVSMIEAYLRANNMFVEHHEPHTERVYSSYLELNLIDVEPCISGPKRRHDRVPLKEMKSDWHACLDSRVGFKGFAVPREYQDKVVKFDFQGQPAEIKHGSVVLAAICSSTNTSNPSVIVGAGLVAKKACELGLEGLPFRFRSKNRSSPVYRKQGHFVKHLNGV >KN540991.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540991.1:15618:18020:1 gene:KN540991.1_FG001 transcript:KN540991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLCFLLFSLYPPTSSATTDTVSPGHALTGSDRLVSNNGKFVLGFFKTESKNSSYTSHNSYLCIWYSKLPMITPLWSANGENPVVDPASPELAISGDGNMVILDQVTKSIIWSTHVNTTTNHTIVVLLNNGNLVLQSSSNSSKVFWQSFDYPTDSLFAGAKIFMNKVTGQKNRLVSRKNSIDQAAGLYSVEFDINGTGHLLWNSTVIYWSTGDWNGHFFGLAPEMIGATIPNFTYVNNDREVYLSYTLTKEKITHAGIDVNGRGLAGIWLDSLQNWLINYRMPILHCDVYAICGPFSVCNDSNNPFCDCLKGFSIRSPKDWDLEDRSGGCVRNTPLNCGSTMNKNGFTDKFYCVQNIILPHNAMGVQTAGSKDQCSEVCLSNCSCTAYSYGKGGCSVWHDALYNVRQQPDGSADGNGEILYIRVAANEVQSVERKKKSGTVIGVTIAASMSALCLMILVLVFWMRKQKWFSCGVENAQEGIGIRSFRYTDLQCATKNFSEKLGGGSFGSVFKGYLNDSIIIAVKRLDGARQGEKQFRAEVSSIGIIQHINLVKLIGLCCEDGKKLLVYEYMTNRSLDVHLFKDNDKVLEWNIRYQIAIGVAKGLAYLHDSCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSHALTTVRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSNQEYCRGHSAYFPMQVAHQLINGGIGNLVDAKLHGDVNLEEVERVCKVACWCIQDSEFDRPTMGEVVQFLEGVLELKMPPLPRLLNAITGGSHSTSLLPIDLQ >KN540991.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540991.1:22688:28622:-1 gene:KN540991.1_FG002 transcript:KN540991.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGNKKLQERVPIRRTAWRLADLAILFLLLALLLHRILHDSGAPWRRAALACEAWFTFMWLLNVNAKWSPVRFDTFPENLAERAPFRYFSSTPEFGPADGKFLEDWTFVKSEYEKLVHRIEDADEPSLLRHGGGEFAEFLDVERGNHPTIIKVLWDNNRSRTGDGFPRLIYVSREKSPNIHHHYKAGAMNALTRVSALMTNAPFMLNLDCDMFVNNPRVVLHAMCLLLGFDDEISCAFVQTPQKFYGALKDDPFGNQLEVSLMKVGRGVAGLQGIFYCGTGCFHRRKVIYGMRPNSVRTGREGTTGYSSNKELHSKFGSSNNLKESARDAIYGNLSTEPIVDISSCVDVAKEVAACNYEIGTCWGQEVGWVYGSLTEDVLTGQRIHAAGWRSTLMEIEPPAFMGCAPNGGPACLTQLKRWASGFLEILISRNNPILTTAFKSLQFRQCLAYLHSYVWPVRAPFELCYALLGPYCLLSNQSFLPKTSEDGFYIALALFIAYNTYMFMEFIECGQSARACWNNHRMQRVTSASAWLLAFLTVILKTLGFSETVFEVTRKDKSTSDGDSNTDEPEPGRFTFDESTVFIPVAALAMLSAIAIAVGAWRVVSVTTEGLPGGPGISEFISCGWLVLCFMPLLRGLVGSGRYGIPWSIKMKACLLVAIFLLFCKRN >KN538868.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538868.1:174872:182582:1 gene:KN538868.1_FG040 transcript:KN538868.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEYVWPQSNPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHAVWSPDAKTVAVLFSGKPLIIGGKPQPGLCLASISQIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDTSPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVRGSSIPARTIAENGFAITGHCEAGRPRCQSVLCTPFATSDSLTFSLSAGFDLYVYLFHISSSHFLVLVTIQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >KN538868.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538868.1:162708:162972:1 gene:KN538868.1_FG043 transcript:KN538868.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVYKAKTKSGGTNYRCIWGKVTRPHGNSGVVRAKFKSNLPPASMGRKVRVFMYPSSI >KN538868.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538868.1:189523:193981:1 gene:KN538868.1_FG045 transcript:KN538868.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPRDVSYADLAARMRELYEDVDIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSQHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGEMDSPWSPAYISPGHYGVHDPRDFPISPRFQVGAEDFDERIPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGDPRYVDPRWRPIQHFDQTSMTNEYSGHPTNSCPDCNRPGERFVLNQDVRLENGVYVKEQTSGHPPPMFYNESHSHDRAWHAHANQSHQRYEDPRLHMPGSARAMEPYIVDNSSVTSLSRSSHESPHYFHGSSEHVSDTYHNQQVGVGGPYVQTPGFEESTGQHYSHSSTYGADPFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGMPAYDRIPNPWPSPNGSIPYRVVGHDIPAVVENRSNPNSGQYVQPLFAPESVQNQPGAPLMEIHPERACGGSVLSSQVDGRVAALPLTDQLSRMDINPLKKLEGPEHEKFTRNANETTSLHAMNDPSALTHHIGVVPEVDPKQRKPVEYETVTSKVHEGGATALQECGDISEDRLNFLPELAASVKKAALEDSEEKEKAQQDAGPTLLPTCDEEGNGKKLEETPAGNTGTDQDSDVHGSGEQQKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKSLASNGCYLTVIPPPFSAQIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAAIIGGIVNNSLRPQIPSWCDPEWKSLMESCWASEPADRPSFTEISQRLRKMAAAMNVK >KN538868.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538868.1:158296:159066:-1 gene:KN538868.1_FG046 transcript:KN538868.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGILTRPDFYERRALLRMAYALQPPPRRAAIDVRFVMCSVDKEEDAVLVALEIITHGDILVLNCTENMNDGKTYDYFSALPRLFPAGAEPRYDFAGKIDDDTYYRLGALADTLRRKPRRDMYHGFLNPCHIDPAWQYMSGMGYIVSWDVAEWIAASPELRGREIGYEDDVFGRWLRSAGKGKNRFGEEPRMYDYLDREMYGVDVNCFRHELIADTVAVHKLKDRLKWARTLRFFNSTDGLKPSKMYHVDLTPRI >KN538868.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538868.1:106622:107158:-1 gene:KN538868.1_FG048 transcript:KN538868.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVRSLRRLGPAAADGRLLLPVAPLSSKAAATPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLSPVVKSLRGLDVDRQDLPRVLE >KN538868.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538868.1:165314:172935:1 gene:KN538868.1_FG049 transcript:KN538868.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MAEEQMEEASTAGAAATPFQLQFDKPIPFQIKMAEWNPEKDLLAMVTDDSKVVLHRFNWQRLWTISPGKCITSICWSPDGKIVALGTEDGLVLLHDVEIDKDGLLSYEDRTARFFPPAPVIPRIGGLNSGDTGLADENEESIQEFSSASCQRFNILCSGDKGGCICFSIFGIFPVGKININEVPIHVQSSGNKNSYRLQDASISKVCLSGNLHQLVLLCPGKLIDIDNLSHSNHISAGLHCLHLDTSIFFNSCPWYDRFSSHNHHIKKSAPFILSLLVNTGMESSSEDEFMSLLFGTRTSPALHQFLVSSLGEAALKRIAKAVDSAGRELRVVVSEHLQPAVEIISFRLAELRGLARWRSRFQNIGLDEKLIDGVTEKIGMLVVQVERFSRVAATVLYLVPSFPACFLAMFSILNLCLNLGLLPEIPLPVSEYDILVHVVSAEFQNFFSWVLKCVKILLSEPTDQVPAANSELVVLFLKFLLDKDPIKQLLDANQRFECDFLEEAFSMPFTTISSQIHCQELLPLYPITSSVDLSSTCTLTSVSFYKDEDSQNNGSSYSLTDYICFKIPDGSLNSKNCIGVIKDFSNSSASGASSSGFLLHIPDEYECVDVSLYKMENFSFVPLSRMFPSNIYSVQELSALELQLDTDYGKKVRSIPYAVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDDDESSDME >KN538868.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538868.1:201571:204283:1 gene:KN538868.1_FG050 transcript:KN538868.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEEGRGRQHSSLWMSATAKGNGDDAPPTGGDDDKATEGIRGSSAGMYTDKRSVSEDTHLCTRSPLLPNTPSPPPPPAASYTSSPSSGTSDPSVLDLSSAEIDDDGDGDDDRAEQQEIKNSKELVMGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSR >KN540595.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540595.1:5085:10879:-1 gene:KN540595.1_FG001 transcript:KN540595.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISFICHKLWWMDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSEFQIYDLENCKICYQVSNLFSFYGALWICLVVFIPFNIFPTAIPQKRRDFTMISSQHKRRPCENKYCREYLVNICNQSAEGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSIHKLLNKGSYVVAVAEMLTLTPLEIWDLRFAQALSSMEIVMAGKACHQCHQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLVEGDQTKLKGNIVVNKYFDGESSLAKDKNGSILGYNSAVSSPNKKVFPRGSLVTNILGADLEVKDVGPAIQLYKFCNSFGEIFQIRVGQPEQILQDIARDQEVEIVPELIADFHKNLLSVIKEDRGEKNSIYTTNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPSCKLHILNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLWAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEFDNENFTGNEFTEDEEKTIENHAAIRDP >KN540974.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540974.1:8129:11407:-1 gene:KN540974.1_FG001 transcript:KN540974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSECPPWIDPGNAVRVVVKCASYVANLEYGLLSMSEQEHDWWVDKCKGYSLDKFCDDMTSKVIWGPSQEIVVWGLDFDSGTEWKVTNNEQFEKWFQSGWKEKVVYLGVEVVTKKGYKPAESYVDRVESTTQVVTSVLSVDLGVPSIAEGSVISAKPTGQSIARCGVINSDSIDICSPVQMTNEEDTGDTCNHAPNVEDSAALVDWSKLAIILDGLDGDAHALLDEDELFDAMGFKKTDERAAATAIQEEAALPVIPIEIQQDMIDAAIVVSDEVPADPELVWDRDDPPMEVGTKKRNKKTTTKVGRKKSKGVSDEQVTTPRTRAVTAREGAAETQVQPSQTSSPGPVTRRRLALELDQSSQVAPAIVEPPKKLTPRKKLASKKKSATPPKLLD >KN540595.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540595.1:40675:41247:1 gene:KN540595.1_FG002 transcript:KN540595.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPRATCSLLAPLRSPHLIAAIDELPNPMRCHALISPLPTAADAVVDPPSSRAPARAASQVYASLSSASRRLGRSSTSAHGSPLPTEYF >KN540595.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540595.1:39167:39683:1 gene:KN540595.1_FG003 transcript:KN540595.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVDKASNCLSTIAATTMMFLHNRDYQRYALLEEVRNSLLKEPALHDAIKIAVTYRKQELLQLEEQNNDPAEPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPPGVEVIDIPSTF >KN540974.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540974.1:32019:32399:1 gene:KN540974.1_FG002 transcript:KN540974.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAWEQIGVYQAGIVPVLYQRVRCWRQGGVRFTVAGLNYFELVLITNVAGSGSVASAWIKGTNTGWIQMSRNWGANWQSLAGLTGQALSFAVTTTGGQYLQFQDVAPAWWQFGQTFSTYQQFDY >KN540595.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540595.1:25344:34204:-1 gene:KN540595.1_FG004 transcript:KN540595.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPQAEMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDIDHIERWTSCMYMALPIFMIPEEKKLDLLKTVAASSPYATAQDARQLLPPVVQLLKKYMPGKKVEDINHNYVECLMYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAVSKRLTQGMADFNKAISSAKTEEEKTKIKSDQQKSTMTMRAYNNILAMAQPLRAKSPLFIGDKKITLSWMEQPKKPAPTTTGGKRSQPATNGNTPASKKGRGEGAARNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGWGYH >KN540974.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540974.1:21861:23664:-1 gene:KN540974.1_FG003 transcript:KN540974.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFMFNTCFVESNMMVLTLDDIDLPWNCRRERFQEDFKIEVFFSEVDVSDNDSHTAEMLGDSHEGNAELFYDFDDISIDSGSSSKYHEQHDEDGENKSSETGGCSSDEKGSNGSGNEVRSMPEADVTKDSLEEETGNLQEKSSNAVQPTLVTSKDPNPDTASDLQENTTQEACIQEGADSSVRTEVDHNSIAGIGALKPQPKRRTWQNLSKQSAIPIVNKKKAKKPDIGPSDVKKPSKGKMLLKQTLQKGILMATSSCKSSTVQANTGPVPRKKHANAIRSNHGTGQATKTPTHSKTKLENSSHQETGDTATQKDDAIEKSRETKPATSIIQTRPPSPPRQGLNQDSSKDSLGPIESAMKSPTPTSGNSVSGGASEQEATTASTEAHSAKVVLKKSLSSPAISRSLTTTSSSPPKRRSNVTSHPSSVLLKAASSSSPRARASPLPPVLLKVASSSSPRARASPSPPRSPLASSKDASPCIIRRVHLHEWAFSVAENIDDYKEPSHWHERQQQTLVTSITKIGKATAITTRGTYYSYHSTSKANKI >AMDW01038689.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038689.1:96:434:1 gene:AMDW01038689.1_FG001 transcript:AMDW01038689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVVHKGDHHGLTITLLLAAAFFFLLLLSSSLVKINEKPPKLDHRKLAAAAGGTNVVEGRIAVCLVGAARRFELTGPSIAQNVLAPQYPHADLFLHDPLDHDSYKFGLLKDAS >AMDW01022047.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022047.1:4:270:1 gene:AMDW01022047.1_FG001 transcript:AMDW01022047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQTDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVE >KN541553.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541553.1:3:386:1 gene:KN541553.1_FG001 transcript:KN541553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAEKTAELQDPEIRAELDRRARDDGKTVIKSGTGGKSLDAQERLAEGPCPFDISCWRKKGGLSRTTESGKERADDDTGAVLIEPDDKMLKEAKKNLGRK >KN540930.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540930.1:4702:10006:-1 gene:KN540930.1_FG001 transcript:KN540930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVVLEIPAATEAEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGAAPATAEEIEAKLREADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAILQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTTSVKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPMLDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTTEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQAVQSMFRVPSSPSESNTAGITMSNPMTVSSTLSEKRPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDALYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSPAKEDEMKRSHDKLLGELTEHSECNNSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHVSSFSALPETDHAQPLVATLRSGHGVPDQRQSTIPVSDDMGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVPETFKLNWLRLSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDNFPDVSTAKIMEVMLRSSSSSSSSSSSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGSGARGRKLADAALRRIGATKLTGRVARAAEILIRAATVSEQVHGPWYNHLV >KN541967.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541967.1:442:9573:1 gene:KN541967.1_FG001 transcript:KN541967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATMLRRMPPMAAMAIFLLTTLLTMGTSSVVAQQNASKKLYVVYLGEKQHEDPEKTTASHHDMLTSILGSKEEAIESIIYSYKRGFSGFAAMLTESQAQQLAELPEVCSIKPSVVHQLHTTRSQDFLGLDYTKPTGLLHDAKYGDGVIIGVIDTGIWPESASFNADGLGPVPSKWKGTCQAGQEFGSNLCNKKIIGTRWYDGHLTPKDLEGEYRSARDAVDHGTPVASTAAGALVPNVSFYGLAAGYARGTVPRARLAVYKACWRSGCDEGAILQAIDHAIHDGVDVLFISIGGPSKEFYHTLHATNNGITVIFSTGNDGPAPRTVGNASPWVISVAFATIDRSFPTVITLGNNTETLVGQSLFYQPKYEDTWYELLEVHNTLHASLIDYNINASYVKYMYVCTCSCIGETSIMRNATGKIVLCYSPDKVDDFPPKTYISSTTTVAREAGAKGIIFPTYALDAVDFVQDCGTIPCVLVDFDVATPMLYALNRSTERVVKVSPAFTWIGNEVLAPRISTFSSRGPSPLFPQFLKGSREHCLLSTKVNELKRETEGVATLLKALHPDWSPAIIKSAIVTTASSDRLGFPILADGLPQKTADPFDYGGGFIDPNRAVDPGLAYDVDPKDYIPFHDCFLAGHSSCKSESRNLNLPSIEIPNLKVPITVLRTMTNVDQADAVYKAVVQSPPGVQILVEPSVLKFSEGKNKQSFKVTFTMKHKVQGSYLFGNLAWHDGGAHYVKIPIAVRPVLSNNYYSDV >AMDW01038604.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038604.1:785:826:1 gene:AMDW01038604.1_FG001 transcript:AMDW01038604.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVRTGGKGSMR >KN541553.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541553.1:20587:22781:1 gene:KN541553.1_FG002 transcript:KN541553.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVANRSNDHPSSSRVAQYSGHRVKNPGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLLNQEGSQRNTSPFNFECFPKYINEKEWTILFYANENYAFSIYIHMYLNDYVGASGMPSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYPPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALREAREAQDPHRAMRLDIDNMSYEDLLALGESIGNVSTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >AMDW01068801.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068801.1:26:574:-1 gene:AMDW01068801.1_FG001 transcript:AMDW01068801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKFPEISLDDYECVMAQVERQYNIRCYNLYRTYRTTKVRPIHVAHEDWQWLIDNLWSDEQFQRNPETGAWPSAVDVWRAIYMKANGTWSIPNGAEIL >KN541553.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541553.1:10764:14372:1 gene:KN541553.1_FG003 transcript:KN541553.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIAPIMATYWEKAEFPFHAIPKLSSLGVAGGTIKSSDWFVQLLHASCTNGVCVYDALIWIWGDGNRDVIDQRVKKGYGCPGLSITASAITMAEIARVDASCSTFILVHSSLAMVTIALCGSEVQKQKYLPSLAQLTAVGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNANTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGVPLVAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >AMDW01031133.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031133.1:95:407:-1 gene:AMDW01031133.1_FG001 transcript:AMDW01031133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EISMEGAVFNLPGRLNELLRRHRSILPKGAEDEIPLIKQDLEEIISILHGHCSEPKLEDHAMVVKCWMKEVRELSYDIEDCIDQYEEFIEQYEHVAAARYYVRR >KN540930.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540930.1:24509:34849:-1 gene:KN540930.1_FG002 transcript:KN540930.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVIRLIRSSSPVVSPSRLSAANLLKNNNGKAFLSEDASKRVEEPFKVEEAETVKVPPPSPDKLLVLGGNGFVGSHVCKEALDKGFTVASLNRSGKPSISESWADKVIWNKGNLLEPDSLKDIMEGVSAVVPFIRLVDLKLPRGCMSTGLISMMEIFPLRVKPFIIIPGTPLIPFEFIMHRYSNKVSCVGGFGSNSYMYKINGTANINAISVAAEKGIKRFVYVSAADFGFVNYLLQGYYEGKRATEAELLSKFTYGGTTNPLVYISAVEPLNGNNYGSWREKIEIALALSDIDLALTEARPTEHVVPVVDASPSSTLGSTVTALPVERVILRPGFIHGTRRVGGVKIPLGLVGSPMQMVLQSVKPLTRLPLVGPLLTPPVSVASVAKVAVRAATDPVFPPGIVDVYGIMRYSDQK >KN541553.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541553.1:1404:1652:-1 gene:KN541553.1_FG004 transcript:KN541553.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASMRVALVSVVLVGLILVSTAHAARPEKLPAVVSPSIAPAVAEVVDAAINAVDLLGVSKMQEANPYTRGCSRINRCRG >KN541553.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541553.1:25080:25820:1 gene:KN541553.1_FG005 transcript:KN541553.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTGAAEQIDNQLNSSRNGLTVFAPTDNAFTSLPSGTLNSLSDQQKNSLVQYHVLSTLIPMSQFDTVSNPLRTQAGSNSPGQYPLNVTAEGQQVNISTGVVNATVGNALYTGDNLVVYQVDKVFFS >KN541967.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541967.1:12304:12837:-1 gene:KN541967.1_FG002 transcript:KN541967.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFVDANGNLVATTRFHGGGSGCRIGSFSVATTWRYLNGGGTSGYQIEGFLAPHQGSWRWYWAEIGASPLSLPLLPIAWVGDGQRWGLALPHDGGPVLVHGDCNGRLVRRRQMKSGLVVTLGAGIVGAKATRRAPMPGTDCRQARMAERWSVTGMVDGVFVVVLHGANEDSGSGGS >KN540930.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540930.1:13129:23048:1 gene:KN540930.1_FG003 transcript:KN540930.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRYLGVNQHGHDVGVVQANRPAPTRRAVYYFEMGVKNAGQKGQTSIGFTTENFKMRRQPGWESNSCGYHGDDGYLYRGPGKSESFGPKFTSGDTIGAGINYFSQEFFFTKNGSLVGSFQKEIKGPLYPTIAVHSQDEEVTVNFGKEPFCFDIEGYIFEEKMKQQSVSDKLDLQPDISHWIVRSYLLHYGYQDTLNSFDMASETDPPSNHQNGYGEPPEMYGLSHRKMLRQLIMSGDIDSAFKKLGEWYPQVIKDETSVICFLLHSQRFIEFIGAGQLEDAVKYARSNLANFLTHKAFDGLLKESVALLAYEKPAESCIGYLLDSPQREFVADAVNAAVLSTNPNMKDPESCLYSCLEKLLRQLTVCSFERRLLVKGIYELWGQGTTYEELERAVMAYPEERKLPYLTPESTFKIVVDSFGKVISFQEQNDIMKSLTYIPFKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMAFQGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNVPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPEEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTEEVEKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAAGGKPAIDRDSKPKYRGKYV >KN544868.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544868.1:9:326:-1 gene:KN544868.1_FG001 transcript:KN544868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLFATLSCLCLCSAVLAAREQSDHAAMVARHERWMEQYGRVYKDATEKARRFEIFRANVAFIESFNAGNHKFWLGVNQFADLTNYEFRATKTNRGFIPST >KN538705.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538705.1:108909:109583:-1 gene:KN538705.1_FG045 transcript:KN538705.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAAAVLGVAVVAAAVLAAEGRAARKDLGVNLGGGLGVGGGGGLGVGTGGGLGLGSGIGVGIGGGGGGGGGGGGSGSASGSGSGSYSGSGSGSGSGSGSGSWSGSSSGSSSRSGGGGSSAGSSAESGAGSNAGPGGAGSYAGSRAGSYAGSNGGDGGSGAGSYAGSSAGSYAGSNGGGAGSYAGSEAGSYAGSGAGPHGGSGAGSYAGSRAGSYAGSGHGK >KN538705.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538705.1:67338:69214:1 gene:KN538705.1_FG046 transcript:KN538705.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCDHAFIFRVYDTDCNGKVAFDDILSILRDLSGSFMTEQQRQKVLIHVLEEAGYTKDSNFTLPDFMKILDNSDVKMEVEVPID >KN538705.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538705.1:9759:15972:-1 gene:KN538705.1_FG047 transcript:KN538705.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDAVAADAGGAAAAAVQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVRGTVRNPGMHTHGRHKLLQLVHDPKNAHLKALDGAGERLVLCKADLLDYDAIRRAVAGCHGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDYCKETRNWYCYGKAVAEQAAWEAARRRGVELVVVNPVLVIGPLLQPTVNASVAHILKYLDGSASKFANAVQAYVDVRDVAAAHLLVFESPSAAGRFLCAESVLHREGVVRILAKLFPEYPVPTRCSDEKNPRKQPYKMSNQKLRDLGLEFRPASQSLYETVKCLQEKGHLPVLAAEKTEEEAGEVQGGIAIRA >KN538705.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538705.1:150536:154939:1 gene:KN538705.1_FG048 transcript:KN538705.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKELLGVRKSPPLTKRRRKVTAGGAGGGSMAKAIAIYLASDSFMYAPLVSNSPPPPSSPPAGAGSSDKMVALVQKYRGSWRGPAEATGWSNCLRRRNSLVMVVRFRFLLITRDTFVKPVKANAEHMLLQPEPCLRWIVQIVEPHKQQAQLLTHGWAPGAAKKAIPLFASFA >KN538705.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538705.1:3960:6087:1 gene:KN538705.1_FG049 transcript:KN538705.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSPDGDSDYGGGGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRANFVNSCRPSWVKHFDRQYSAKKRLTHLGYPVSQVLLDVMDKIGNANTKRRVYLVK >KN538705.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538705.1:143232:143990:1 gene:KN538705.1_FG051 transcript:KN538705.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFPALRPTPPASKLPALLPTPPRSQMLPLLPTPCLVILPASFLSPTPPSDPKPGRADSVERWDARKKASCSSASSSSSSSSGLPSRADSCERWDAHKNKKAGGSAASSSSMASSGSPGRADSCERWDAHKTPGSPASSTGSNGIPGRSDSVERWDSSKRPLSRASSAERWDINKKPRPEEDALCGEKSNSTSRTMKTTTSAQMISKSHTETMVKPPPALLPFAGPAAYFSAPDPSMLPMPTFLLARCR >KN538705.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538705.1:128733:130749:1 gene:KN538705.1_FG052 transcript:KN538705.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSADDARLSGRLLLSQRSTESARPGLIPPLAFDDEAAEPPAFLFLCASQRSQESARQGSPLLELDDDDDAAEQLSFLPASHLSTASARPGLGSEGGVGARKEAGRMMRHGVGSSGSIWLLGGVGSSAGNLLAGGAGVVDVLKRHWKRVAIVNVVFLAFIVVVYSVGCCAFKNSRRDSVHRRSGGWKQAGYA >KN538705.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538705.1:58743:60133:-1 gene:KN538705.1_FG053 transcript:KN538705.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTVFLLLSLVCLASPCAAQRVICEAPPCTASSQVKTTPTNRASHVRLPEGVTGAESLAFDSSNRGPFTGVSDGRVLKWGGDSAGWTTFAYNENYRSNPTCASSSEETESTCGRPLGLAFHLKTGILYFADAYKGLMRVGPRGGQADVLATEADGVPFNYLNGVDVDQDTGDVYFTDSSTTITRRYQENIMRNRDATARLMKYDAKTEQVTVLKDRLPYANGVAVSHDGRYLVVAHTGPAQVFRYWLKGAKAGQYELFADLPGYPDNVRRDAKGGYWVGLNREKIAFNVPTAAAASPAKHLVGVRLNGDGVEVEELTAASRAVTLSEVVERDRKLWLGSVDLDYVGLLQ >KN538705.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538705.1:28944:32310:1 gene:KN538705.1_FG054 transcript:KN538705.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYQSLQEIPFFPFRVPFTLAPPYNFTTELYSAAAFVNVNEAIWSMYFNELLPLLAKNGDDGNYAAAVSSDLVCLQALSRRINYGRYVAEVKFIGDQQNYTTLIRNKDTDALMKLLTSEAQEDVVKRRVQKKAMVFGQNVTLDGPVETGNSNSSQTSFKVDPSLVYKLYDKWVIPLTKQVEVEYLLHRLD >KN538705.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538705.1:78280:93465:1 gene:KN538705.1_FG055 transcript:KN538705.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVNEMKRTAEVAVRDERMHRWTGRFEAHLWDKNTWNESQSKKGRQGAYDGEEAAARAYDLAALKYWGQGTVLNFPLSTYDEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDINLYIRCRRHRVRVVPWVKPPVARKPKDPAKPPRPSIEALAAEWAKEKAASGAPEEECVLPFLQKGAPKKLDIVKVLGLDGFGYMDTVILSLKSYRKNIPITVGNFVIVMQSCIRLVLKKVMDAVLDMMQVQQMEAFRRLPLPHTFQEFNIDPIKKEELDNGTEPPPYKIKIIPTGLYWLAALGLVTAQMSALTNHFADRKRLRSLSGILLQTQYCGWGSRALEAIEKDDFVIEFVGEVIDDETCEERLEDMRRRGDKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGKTRLGVFASKAIEVGEPLTYDYRFEQHYGPEIECFCGAQNCQGNMSVSGKD >KN538705.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538705.1:119579:120340:-1 gene:KN538705.1_FG056 transcript:KN538705.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPSLLPTPPASKLPGLLPTPPRSQMLPLLPTPCLVILPASFLSPTPPSDPKPGRADSVERWDARKKASCSSASSSSSSSSGLPSRADSCERWDAHKNKKAGGSAASSSSRASSGSPGRADSCERWDAHKTPVSPASSTGSNGMIPGRSDSVERWDSSKRPLSRASSAERWDINKKPRPEEDALCGEKSNSTSRTMKTTTSAQMISKSHTETMVKPPPALLPFAGPAAYFSAPDPSMLPMPTFLLARCR >KN538705.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538705.1:132806:133315:-1 gene:KN538705.1_FG057 transcript:KN538705.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVLCVVARLGDLGEPEAERGEDEDVAGLDAREQAVGVHDAEGEHGQGELLPLHADEELLPLLHATTGAIGANNVKAGGEEDVLEEVWKAWCRMEEGGKEVAIVVAGRGREVEDEAGEAEWGELGEEVGEGGGGWATAWWRGGHGGRLRRGGEVLAGERREGEVRQQ >KN538705.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538705.1:135148:136531:-1 gene:KN538705.1_FG058 transcript:KN538705.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFSIAENESIGRERYNFMQRQSYR >KN538705.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538705.1:71564:73184:1 gene:KN538705.1_FG059 transcript:KN538705.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESAKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSGNHGDICSEREMQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLESSNMSNHANFPTGGSVLLLIARPLWPLLYHLNF >KN538705.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538705.1:32905:34975:-1 gene:KN538705.1_FG060 transcript:KN538705.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDALRRELWEEGIRQEVIAAEIAEQRELEAKVQRDIGLLREVPSRFSASFHPVRGDAFPSPHGELWLGGPMAMPAGASMFRVPVKDRIEEWYRPPWHRTADEENASFNGAKMRKKVSSGVKRKRGADTFQMNNKKICVPRSCDGIQVNTHNEFYFEEHSAGHRNEENNALESRKEAIGTKKKVETESLSVTRHYPPTWNCGICKANCSSELDLKNHLRGRRHQENLEALKREDKEMEAKVYAKEVAQFVENNQKFVPRWSCSTCKANCTSASDLENHFRGRRHQQNVGRSSNVVMLRA >KN538705.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538705.1:94274:100139:-1 gene:KN538705.1_FG061 transcript:KN538705.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLFLFFLLVVVSYAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPHFPTRTFNVVGNPMICGSSGSHAGNANAAECATVVAPVTVPFPLDSTPSGSSSSSSRAAAAAVGRSKGGGGASARLPIGVGTSLGASALVLLAVSCFLWRRRRRHRCLLSGPSSVLGILDKGRDVEDGGGGEVMARLGNVRQFGLRELHAATDGFSARNILGKGGFGDVYRGRLSDGTVVAVKRLKDPTASGEAQFRTEVEMISLAVHRHLLRLVGFCAAASGERLLVYPYMPNGSVASRLRGGRTGSVYPTYLAGSNIATSSEKTDVFGFGVLLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKLHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVEYMLCTLGSVMVMAQAIDFLGSRLGQWVIHEESVWYN >KN538705.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538705.1:39034:49127:-1 gene:KN538705.1_FG062 transcript:KN538705.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKTEGRKAAGTVDCALRRPLVPPEKNIAAPAGWRREVASRLKSGVPPAPPAAASSVRRCTSPSLSRASAAEGTASTNRAQSAERRRSSTPSSSLTPSGGGGGAASRPRTPVRVAAATEVHGIPRRAASTKPPDGLWASARSVPPLLQQESVAMATSAKKRHKLADGSSSDQTKVQARSVTETERKRSPLRGRNIGNQCENARPSETPNKRVVEQHRWPAAMMGGRGSAVLTSRSNGVANTPIRSVTPSNPTRGLSPRRICPAEVKANGLNQPLNGLAKRLATHESRREDKTESGSDVSSQTSENSKAATRPSRTLSSPVLHRSSSPNKVLSAASPASTAFQSPLRTRPSAPCRSRCCSTSQSGVAPPVFNYIVDARKGKKSASQIENIHQLRLLYNRCLQWQFVNARSEDTLTFQKSSIESILYSVWKSIVQLRDSVTVRRIDVQLLQQELKLYYVLKEQIAYLQHWPELEGENGSTLIGAIEALQACTLRLPVISGAQADADAVKNSISSAVDVMQALSSSILYLLSKVEGRTSLVSELSDMARQEKVALGECRELLATAAKLQAPASATSAKVVEELGAGDPLLRPSVGRGVLSWTPRMSAIEHNLRGRALVASVLTGRREVSPAMAVAELRRSCGVLHDNVRVKVTRPSDFLISFACEEDCTAVLDLSGRLAVAGARISFRRWHRAIHARSTKMKHIVRLAIEGLPPHAAEYEALKQLLNKMDCQFIESFSPNDACMTEVLAWAVNPSSIPKEFALDTPEPMQEWWNEPETDDPDMFEALLAKGPPAPPTEKRCLTFDLLLHILEMVDPHQEPREGSSPDNDDFAPPRRLTHNTFLGRIDGTGPGPVLGGGHCFAGPGTGSAGGLQRQLQPVPMPASPLQLSAPSVVVPVSPPLCTPEVAPMRELPAPPPAMTTSPPLSATLPLAPAPLPPEATPLPLETTPPPAVPSSPAATPPLAAFLAPMGALTSMLHRSWRPLRGMEFFFNLPGTCVPLSFGPDLLVPGPLTVYRRRKELTGPRAFPLAGSTTKADERPGAEESTGPRPLSDGPVPTSSPRDSALSPRGSALSPLRDCSPSRDGAAIAPDATVAAPAALADLVGPPSSSLLGKRPRQPRHRHRPSPYTPRRSERLAAQNGGKHTHTVTKAQRVVMKRLGVIENENKADERDILRYLELFKAPLAPSHIAYLQHWPELEGENGSTLIGAIEALQACTLRLPVISGAQADADAVKNSISSAVDVMQALSSSILYLLSKVEGRTSLVSELSDMARQEKVALGTGVQPPYSSDATEGRSFRMTLIIQVEETSHAFNMYRHGVKMERTYKILE >KN538681.1_FGP165 pep scaffold:O_longistaminata_v1.0:KN538681.1:699402:707226:-1 gene:KN538681.1_FG165 transcript:KN538681.1_FGT165 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRGGLVSVVLLLLLLASPFFFSANPAATAVGDCPLDFSWANFTLASAACSDPAQRAACCRYINAFVAISMARYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMLQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGIFSYDDIVKCFFGVQGITIFPGPSSVTSTPASSPNVTTPVDAPAPKTKTVLQKHHQPYRITVIPGIGIAVILLAVLLQLVLVILIRRKNRELKNADLHAQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKALSWQSRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPDLRNGTLHTRNIEDNLLISLTESTAGYMDPEYVITQELTEKSDIYSYGVLLLELVTGRRAIQDSRNLVEWAQGHLSSGKITPEFVDPTIRGLVDMDQLHLVVSIVQWCTQREGRERPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRNSKCGVHRNELIPHSGDMSDLPDQEEAREREQRSKQIKKTKKMHAKTDSEVTSLAPSSPPRSPTSRGGRAVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDKSSSGRKGAPAGKGWQEIGVIEEEGLLDDEDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIVVKSITFENFIIQAGTDASLVPTDMATTNSTVKLTYRNTGTFFGIHVTADPFTLSYSQLTLASGDLNKFYQARSSRRTVSVGVMGNKVPLYGGGPTLTAGKGSGSMAPVPMILRTTVHSRAYVLGALVKPKFTRAIECKIVMNPAKLNKPISLDKSCIYL >KN538681.1_FGP166 pep scaffold:O_longistaminata_v1.0:KN538681.1:652844:655286:-1 gene:KN538681.1_FG166 transcript:KN538681.1_FGT166 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSAK >KN538681.1_FGP173 pep scaffold:O_longistaminata_v1.0:KN538681.1:645863:647738:1 gene:KN538681.1_FG173 transcript:KN538681.1_FGT173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MEMCYKILGEGKLVTLRELFYKLLSESPTYFTCQRHVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLVLRGPEEEHVDCSILGPSGHAITGDLNVLSKLMLSSDARYIIVVEKDAIFQRLAEDRIYSHLPCILITAKGYPDLATRFILHRLSQTYPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQSAYQELKPRDLQIAKSLLSSKFLQDKHRAELTLMLETGKRAEIEALYSHGFDFLGKYVARKIVQGDYI >KN538681.1_FGP175 pep scaffold:O_longistaminata_v1.0:KN538681.1:664073:666221:-1 gene:KN538681.1_FG175 transcript:KN538681.1_FGT175 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKAAFTYFLRKKNGQSCSGVNHSWTKKSVSALSVSSNLGVLMAHSHEMTSRKPPGIRLFGGITALRTYQTLVLVLTFVAYSCFHMTRKIPSIVKSVLDPQTKLGSSPWGRLHTKNTLNIGWLPFNTIDGSALLGEIDVAFLAVYSVGMFFAGHLGDRMDLRIFLTIGMFGTAVFTALFGAGYWLNIHNFYYFLVIQMIAGLFQAIGWPSVVAIVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVAVGLLVFVFLPVSPEVMEIDIDDGEISSVKDTTKEPLLEPGQEVKHNAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFMYCAIPALFLYRTYGSMSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSSSWSAVFTMLMAAALLAGLLLTQLVCSELKGKATSNASKDVVDAQGTYSDEV >KN538681.1_FGP177 pep scaffold:O_longistaminata_v1.0:KN538681.1:751930:753913:-1 gene:KN538681.1_FG177 transcript:KN538681.1_FGT177 gene_biotype:protein_coding transcript_biotype:protein_coding PGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIVQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >KN538681.1_FGP180 pep scaffold:O_longistaminata_v1.0:KN538681.1:657730:662616:1 gene:KN538681.1_FG180 transcript:KN538681.1_FGT180 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKSTRHAPARRSSFRAIELHGLPELRHFTCLGDDHAPVVELGFGTIPGDAPPCPRLPPRRRTGVDEAGRPVHRTEEVNPLAPLDATILGLKELLVAYVPPTRDVSWLRLLPEAAPALEALHIHVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVIAPSGVTVKDITGEMFTKESGNKVEEVKLRVTYIAPPQPPSPVPEESEEGSPSRVSESENGDSLGGGFTRALRERIEPQENSLEAGALINKLNEEKNSAIQQNHKIRQELDMMRREISKKRGGFSFIIVIIVALIGIFLGYVMKS >KN538681.1_FGP181 pep scaffold:O_longistaminata_v1.0:KN538681.1:632027:637167:1 gene:KN538681.1_FG181 transcript:KN538681.1_FGT181 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYKYELSQIYVFLAAILIRGFFLAYLTYAQNIFSTPPVQPPMAPEDEELLCDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHESHGDYRGFLQLNKDLDDETEDIQYSWLREYHWEVRGDDKDDPMTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITENLKRQRSSVDDDLYDHPKHSRVEDMDQYSGEEYSD >KN538681.1_FGP182 pep scaffold:O_longistaminata_v1.0:KN538681.1:693660:693890:-1 gene:KN538681.1_FG182 transcript:KN538681.1_FGT182 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLVGTAVGVYAAQNYKVPNLRGLADRGVDAAKQYEEAYRKKPSAGGGGGGSRKKMNKTVEIDDDEE >KN538681.1_FGP184 pep scaffold:O_longistaminata_v1.0:KN538681.1:640501:643002:-1 gene:KN538681.1_FG184 transcript:KN538681.1_FGT184 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNALVVSSCGWLTVWHIPFFSVLLQKVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRSLPQYPKQQFRARFPTMSGGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCPAPFSFDFEQPSLTEEDIKEIIWREALKFNPEPIH >KN538894.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538894.1:153063:157376:1 gene:KN538894.1_FG047 transcript:KN538894.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFSAPALHRRRLLRHAAAFALVLLAVALLFLLLVHPRSLGTPSPSPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWLPGFGDGSNKWLLHLEGGSWCRNRTSCDHRKKTSLGSSAYMETRVEFVGILSDDQAQNPDFYNWNKVKIRYCDGASFSGNVQDEHQYGATFFFRGQRIWEAVMAELLPKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARQQDLRKRFPGCSSDMEPGQCFFPQEVAKGITTPMFILNPAYDVWQVEHVLTPDGSDPQNLWQNCRMDITKCNAKQLEILQGFRKSLLDAISEFKKKRGWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTVAEAVGDWFFDRREFTDDLKQNIPTPMGLSDTFDDILYGYGMPNLAVTRVCLSLLDEAGMERKLSGLSTQFVGRFAHTLVSFPLPPLAKAWLAAVAVSLFDRLINLSGQMMFLCETVKFVQ >KN538894.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538894.1:190837:191707:-1 gene:KN538894.1_FG050 transcript:KN538894.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVGLQCVSHIISIHQIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGEATADCEKFAKYYRSLCPAEWW >KN538894.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538894.1:100531:101421:1 gene:KN538894.1_FG051 transcript:KN538894.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding GKGTCAVLLPETPSEKILPIKEGDALALPFGVVTWWHNLHAATTELVVLFLGDTSKGHTAGRFTNMQLTGSTGIFTGFSTEFVARAWDLPQDAATSLVSTQPGAGIVKLKDGFRMPEGCDKDREGMVLNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPAVLQASFNTTPEMENLFRSKRLDSEIFFAPNSMI >KN538894.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538894.1:198877:200491:1 gene:KN538894.1_FG052 transcript:KN538894.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHKSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGFDASSLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFDE >KN538894.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538894.1:179548:182842:-1 gene:KN538894.1_FG053 transcript:KN538894.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20320) UniProtKB/Swiss-Prot;Acc:Q9LTR2] MATKSFLPPPFIALSSNPRPRPTTLAPAPTPRPRRRNSLAICSASASGDPSPPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >KN538894.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538894.1:164936:173217:1 gene:KN538894.1_FG054 transcript:KN538894.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQESKRVGRQLPPHRPPRSVSLAASISFSSARKPPEPLRRAVADCLSPPAPHTHTHAPPPAASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRRHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISSATEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESSNDFADLQDFHTQSFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPLIEKSETVNHSYMNNEYGSSMDEQRATESEQEQRVSPAIDQFESWLLKIMFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETCLAGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNFCRSETLQDISVNQTAQTTLLSETSIAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >KN538894.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538894.1:157993:158565:-1 gene:KN538894.1_FG058 transcript:KN538894.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MGELEADAKALLEAALMEANREREKRILLGSDINIKGSDHGGGDEEESEKEEEEACNICFEQACSMEVKECGHQMCAACTLAICCHSKPNPKTLLLHPPACPFCRTTISRLVVATTNKSNSRRRSRRSRDGGGGSSSFKGGLSSAMGSFSRIGRGSGRLVVDGSRIGELADKPDHDFSSVAAAAAAICDT >KN538894.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538894.1:174778:175713:-1 gene:KN538894.1_FG059 transcript:KN538894.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCQGGNGCGGCKYSEVEPTTTTTFLADATNKGITVATAPAVLQGIPVGQAKGMHPDHRCLCYLPGGAKDN >KN538894.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538894.1:177171:177815:-1 gene:KN538894.1_FG060 transcript:KN538894.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGIERIRGHRDWVIYAYVCTIDLDVNLYFLGMYVYAIEQKNAMVGGAVTGALVSAASNSHRQNVVKNAITGGAIATAAEFLNYLT >KN538894.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538894.1:150943:152043:-1 gene:KN538894.1_FG063 transcript:KN538894.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGSGFFFFCCLSYDTNETALKDAFSQHGHIIQVKVICHPVTGKSKGYGFVKFASEDEAAAALHKMGGEVIDGRNIRVHYANSG >AMDW01081600.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081600.1:534:1471:-1 gene:AMDW01081600.1_FG001 transcript:AMDW01081600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGEFRGLAAAVARAATDDRTVIITCVNHAFAAPDSLLDIFLEGFRVGDGTPELLRHVLVVAMDPTALTRCRAVHPHCYLYTMPGLDVDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVAVYADMAISSDVFFGDPDNIDNFPNTGFFYVKPSARTIAMTKEWHEARSSHPGLNEQPVFNHIKKKLVKKLKLKVQYLDTAYIGGFCSYGKDLSKICTMHANCCIGLQSKISDLKGVLDDWKNYTRLPPWAKPNAKWTVPGKCIH >AMDW01038469.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038469.1:121:820:-1 gene:AMDW01038469.1_FG001 transcript:AMDW01038469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCCLGTVLEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNALVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMVDTMV >KN542261.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542261.1:3515:4293:1 gene:KN542261.1_FG001 transcript:KN542261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLKLDEDYFVRQFSDRPTTIARFNYYPQCPRPDLVYGIKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHTLLINLGDHMEIMSNGIFKSPVHRVMTNPEKERISVVLFYFMNLEKEIEPALELIDERHPARYKKVKIMDYLAGLFEHFLQGTRVIDTVKI >KN542261.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542261.1:13464:17357:1 gene:KN542261.1_FG002 transcript:KN542261.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESWRIPTLVHELAAAGEHEPPSSKNLLIMIRYEFSILFSSQVPAVINHGIETSLMDDVMKASGDFFSQTLEEKQKCSNLVGNKHFQVEGYGNDQVKTHDQVLDWSDRLHLRVRPEDERNLAIWPKHPESFRNALHDYAVKSEMIKETILRAMAKLLELDEAYFLNQFSDRSPVTVRINHYLPCPRPDHVLGFKPHSDDGVLATLLVDNDLVALHVLRDGIWYNVPTKPHTILINIGDFMEVMSNGIFKSPVHRVVANTAKERISLAMFYGLDPEKEIEPAFDLLHAVDQPARYKTVKTKDYMAGFYEHFARGTRVINAMKI >KN542261.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542261.1:5942:7431:1 gene:KN542261.1_FG003 transcript:KN542261.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRTPAIVQELAAAGIEEPPSRYVLGEKDRSDELVATELPEPVPVVDLSRLAGADEAAKLKAALQNWGFFLVSYQHSDPTLLHKKLATLDQFLQFSWLCVIQLTNHGLEASLMDSVMNLAREFFNQPIERKRKFSNLIDGKNFQVEGYGTDRVVTQDQILDWSDRLFLRVEPKEERNLAFWPDHPESFRDVLNEYASGTKRIRDDIIQAMSKLLELDEDYFFDRLNKAPALARFNYYPPCPRPDLVFGVRPHSDGSLLTILLVDEDVGGLQIQRDGKWYNVQVTPNTLLINLGDTMEVLCNGIFRSPVHRVVTNPEKDRISLAMFYSVNDEKDIGPAAGLLDENRPARYRKVSVGEFRAGIIGKFSRRERYIDSLKI >KN541962.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541962.1:11319:11753:-1 gene:KN541962.1_FG001 transcript:KN541962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRIAQLAKKWRRMAAKGRKRLTMMAPQEAEGCSTTVAGKGYCIIYTADGMRFEVPLRYLGTMVFGELLRMSQEEFGFTSDGKITLPCDAMVMEYVMCLLRRNASVDVEKAFLSSMAISCHYANSTTPSLGVNMQVAICSS >KN539819.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539819.1:60866:61698:-1 gene:KN539819.1_FG001 transcript:KN539819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLTSENDWNQTANMKPTVNPRPEKMNKRIMLSLSCQKLLVYEGADALGDVEPGVSHCLESGLPHRLTLPSKELLLNDPNWLPCSILPVKLLYERFNQAREVREANSGGICPESLFQERSNDSIWVRVLSCDGMCPVKALCDRLSPRSETSFPNDFGIGPPIELFERSIALKIVKILVNVRVFPLYVIVAIS >KN539819.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539819.1:19029:22082:1 gene:KN539819.1_FG002 transcript:KN539819.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKLLFMPLLLLLLHTQLVVPSSSATSATHTNHTGVPPPAVPCMPDQASALLRLKRSFSITNKSVIAFRSWNAGEDCCRWGGVRCGGHDGRVTWLDLGDRGFKSGHLDQVIFKLNSLEYLNLGGNDFNLSEIPFTGFERLSKLTHLNLSSSNFAGQVSIHSIGQLTNLISLDLSFRFKVTELFDMGYLYTGAYSHEWQLVLPNLTALVANLSNLEELRLGFLDLSHQEADWCNALGINVGLSGTLPDFPVDSSLEILLVGYTNFSGTIPSSISNLKSLKKLGLDASGFSGELPSTIDLKKLTKLAVYACNLFGEIPRHIFNLTQLDTIFLHSNSFTGTVELASFLTLPNLFDLSLSHNKLTVINGKSNSSLTSFPNIGYLGLSSCNMTRFPNILKHLNKNEVNGIDLSHNHIQGAIPHWAWENWKDAQFFFLNLSHNEFTSVGYTIFPFGVEMLDLSFNKFEGPIPLPQNSGTVLDYSNNRFSSIPPNISTQLRDTAYFKASRNNISGDIPTSFCTNKLQFLDLSFNFFSGSIPPCLIEVAGALQVLNLKQNQLHGELPHYFNESCTLEALDFSDNRIEGNLPRSLASCRKLEVLDIQNNHIADSFPCWMSALPRLQVLVLKSNKFFGQVAPSVGEDSSCEFPSLRILDLASNKFSGTLSEEWFTRLKSMMIDSVNGTSVMEYKGDKKRVYQVTTVLTYKGSTMRIGKILRTFVFIDLSNNAFHGSVPKAIGELVLLNMLNMSHNSLTGLVPTQLSHLNQMEALDLSSNELSGVIPQELASLHFLTTLNLSYNRLVGRIPESTQFSTFLNNSFLGNDGLCGPPLSKGCDNMTLNVTLSDRKSIDIVLFLFSGLGFGLGFAIAIVIAWGVPIRKWSLLGQGVP >KN541962.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541962.1:17123:17545:-1 gene:KN541962.1_FG002 transcript:KN541962.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKWQRMAALGRKRIMGKAQETEECSTSVAVKGHCVMYTADGRRFEVPLAYLGTVVFSELLRMSQEEFGFTSDGRIVLPCDAAEMEYAMCLLKRNPSVEVVDALLSSMLMRCHCTGSMVPTVGVNQQISCL >KN539819.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539819.1:64375:68626:1 gene:KN539819.1_FG003 transcript:KN539819.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVAQRENEPFLLVLVLLLIQTLIASSLPCLPDQAAALLQLKRSFSATTAFRSLSGLRSLIVINLQHNQLTGPVPEFFANFPNLSILQLSYNTYLEGWVSPLIFQNKKLVTIDLHKNHGISGTLPNFTVESCLENLLVGSTNFSGAIPSSIGNLKSLKELDLSARGFSGELPTSIAKLRFLKILRVSGGSIPPCLIEDANALKVLNLKQNKLHGELPHINESCTLEALDFSDNRIEGNLPRSLVSCKKLEVLDIQNNLINDSFPCWMSTLPRLQVLVLKSNKFFGQVTHSVSKVSTCEFPSLRILDLALNNFSGTLKEEWFTRLKSMMTDFGNETSAMEYTDDQNKVYRVNIVLTNKGSIIRMEKILRTFVFLDISNNTFHGSIPESIGELVLLHALNMSHNSLTGPIPSQLGHLNQMEALDLSSNELSGVIPQELASLDFLGTLNLSYNKLEGKIPESSHFSLFANSSFLGNDALCGPPLSKGCGNMTLPNVIPSEKKSVDVMMFLFSRIGFGLGFAIAIVIAWGFPNRKRSPVVNL >KN541962.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541962.1:15144:15569:-1 gene:KN541962.1_FG003 transcript:KN541962.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQLAKKWQRIAALGRKRIMGKAQETEECSTSVAVKGHCVMYTADGRRFKVPLAYLGTAVFSELLRMSQEEFGFTSDGRIVLPCDAAEMEYAMCLLKRNPSVEVVDALLSSMLMRCHCTGSMVPTVGVNQQISCL >KN539508.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539508.1:30109:36102:-1 gene:KN539508.1_FG001 transcript:KN539508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGDIVISVETAARQAEERGHTLLDEVRILAVRGILRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETSDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAAIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDVEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >KN539819.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539819.1:79289:79628:1 gene:KN539819.1_FG004 transcript:KN539819.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPKLLLILLLLSLYCSIQIVANTTIPVHCHPHQAEALLQLKSSFVNPNLSSWKPSTDCCHWEGITCDTSSGQVTALDLSYYNLQSPGGLDPAVFNLTTLRNLSLAGVKG >KN541962.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541962.1:13204:13629:-1 gene:KN541962.1_FG004 transcript:KN541962.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQMAKKWQRMAALGRKRVMAAAQETEECSTSVAVKGHCVMYTADGRRFEVPLVYLGMRVFIELLRMSQEEFGFTSDGRIVLPCDAAEMEYAMCLLKRNASADVVNALLSSMLTSCRYTGSMIPTVGASQHICCL >KN541962.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541962.1:19420:19845:-1 gene:KN541962.1_FG005 transcript:KN541962.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQLAKKWQRMAALGRKRLTMKAKENEECCTSVAGKGHCVMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFTSDGRIMLPCDAVVMEYAMCLLKRNASAEVEKALLSSMVAPCHYTGCMVPTVGVNQHISCL >KN539819.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539819.1:4723:10436:-1 gene:KN539819.1_FG005 transcript:KN539819.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRTKELRCFQTVTCYQAVVNNLEDAHEQIDAAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKAVKPVLVGGPRMRVAKACKSFVELADACGYPVAVMPSAKGLVPEHHPRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGHGPAFGCVLMKDFLHALSARLKKNTAAYENYRRIYVPPGEPPLSEPGEPLRVNVLFQHIQKMLSANSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKEAIEEAQGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KN539819.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539819.1:73833:76866:1 gene:KN539819.1_FG006 transcript:KN539819.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPRKMLFLLSLLLHLFIPSSAATYTNHTGALSPAVSCLPDQAAALLQLKSSFSITNESMAAFDSWKAGEDCCMWEGVSCGDADGRVTWLDLGDCGLESGQLDPVLFKLTSLEYLNLGGNNFTRSEIPSTGFERLSKLTHLNLSSSNFKGQVPVHSIGQLNSLVSLDISFSSGLMELFDLGVFMNPWQMFVTNLTALVAHFSRLEEIRLGHLGIFSNPEDEWCNALAKYTPNLRVLSLPFCWLSSPICVSFSSLRSLSVIDLQYNYLTGPIPEFFANFSLSVLQLGHNHLEGWISPSILQNKKLVTIDLHKNPDLSGTLPNFSVGSSLESLLVGQTNFSGAIPSSISNLKSLKKLGLGASGFAGELPSSIEFPLYINENCTLEALDFSDNRIEGNLPRSLVSCQKLEVLDIRNNQINDSFPCWMSALPRLQVLVLQSNKFFGQVTPTVAEESICEFPSLRILDLASNNFSGILKEQWFTKLKSMMIEFDNDTSVMEYRDNQSQVYRVNIVLTNKGSTIRMEKILRTFVFLDVSNNAFHGSIPKSMGELVLLHTLNMSHNSLTGTIPTQLGRLKQMEALDLSSNKLSGVIPQELASLDFLGMLNLSYNKLEGKIPESLHFSLFTNSSFLGNDALCGPPLSKGCSNMTLLNVIPSQKKSVDVMLFLFSGIGFGLGFAIAIVVAWGIPIRRRSAARQRAL >KN539819.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539819.1:1492:2600:1 gene:KN539819.1_FG007 transcript:KN539819.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASEITQRTPLPPETNEVSIECFTGDAGNHKTLYIKASISCDDRPDLIAGITHAFHGLRLRTVRAEMTSLGGRVQHVFILCREEGIAGGVSLKSLKEAVRQALAKVASPELVYGSSHFQSKRQRILESHCSIMSI >KN539819.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539819.1:26126:29170:1 gene:KN539819.1_FG008 transcript:KN539819.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPSLLLLHIHLLHHLLIPSSSSATYTNHTGALLPAAPCLPDQAAALLQLKSSFSITNESMAAFRSWKASEDCCMWEGVRCGNADGRVTWLDLGDCDLESSRLDPALFKLTSLEYLNLGGNNFSLSEIPSTGFERLSHVPAHSIGQLTNLISLDLSFRYRTFELFDIGYHYEGNYTYPWQLILRNLTALVANCSNLEELHLGFVYLSNKQTDEWCNALGMYTLNLRVLSLPFCWLSSPICGSLSSLHSLSVIDLEFNRLTGPFPELFANLSSLSVFRLNCNYLEGWVPPLIFQNKKLVTIDLHRNLGLSGTLPNFPGGSSLESLLVGDTNFSGTIPSSISNLKSLKELGLGGHGFYGKLPSSMGMMKSLNLLKVSGLELVGSIPTWITNLTSLVFLEFSDCGLSGSIPSFTGDLKKLRKLALYNCKFSGKIPPHVSNLTQLDTLFLHSNKFTGTVELASLWTLANLSDLNLSDNKLTVIDGESNSSQVSFPHITFLNLASCNMTRLPNILRHLNSEIIGIDLSRNQIQGAIPHWAWEKWKDADLFFLNLSHNKFTSVGYDSFLPSHIDILDLSYNLFEGPIPLPKLSGTVFDYSNNRFSSMPSNISTQLGETAFFKASKNNLSGSIPASFCTTSLQFLDLSYNFFSGSIPPCLMEDANALQVLNLKQNQLHGELPHYINESCTLEALDFSNNRIEGNLPRSLASCQKLEVLDIRNNLINDSFPCWMSKLPRLQVLVLKSNKFFGQVTHSVPKVSTCEFPRLRILDVAFNNFSGTLKEEWLTKLKSMMVEFNNDTPVMEYKVNQSPVYQVNIVLTYKGSAITIDKILRTFVFIDISNNAFRGSIPEAMGELVLLHALNMSHNSLTGPIPSQLSRLNQMEVLDLSSNELSGVIPQELASLHFLTTLNLSYNKLVGRIPESTQFSTFSNSSFLGNDGLCGPPLSKGCSNITLNVTLPDRKSADILLFLFSGLGFGLGFAIAIVIAWGVPIRKRYLARQRAL >KN539819.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539819.1:33111:42405:1 gene:KN539819.1_FG009 transcript:KN539819.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRVISLDLSELNLISNRLDPALFNLTSLRNLSLAYNDFGQAPLPASGFERLTDMIHLNFSGNLFSGQIPIGIGSLKKLVTLDFSSNYELYFDKPSFQTVMANLSNLRELHLDGVSVLSNESSWSVILADNTPQLEILSLYQCGISSSIHSSFSRLRSLKMIDLRANGLTGTNLTYHIPSFSFANLKSLKSLSISTTGTSKELLSLIGELPSLKELKMRGSEWSLEKPVLSWVGNLKQLTALTLGSYDFSQSTLSWIGNLTSLATLEILGCSLSMSIPHQIGSLANLTRLSFADCDFFGQKIPSWIRNFTKLRNLEMNSCGLSGPIPSTIGNLTQLEYLIIRSNDQLNAQHDTAVHCRPDQASSLLRFKASFIGTNLLPSWRAGSDCCHWEGVTCDMPSGRVISLDLSELNLISHRLDPALFNLTSLRNLNLAYNDFGQAPLPASGFERLTDMIHLNFSGNLFSGQIPIGIGSLKKLVTLDFSSNYELYFDKPSFQTVMANLSNLRELRLDGVSVLSNESSWSVILADNTPQLEILSLYQCGISSSIHSSFSRLRSLKMIDLRANGLTENTVVDQKLYKVEEFRDEQLWLIWADTLDNRESDPTRIFDYQGILLNYSQLTDPRTKSFFLLTYCNYLSLESNKLTATMELSSIWRIKSISYLSLSNKLISLIDDKGKIPQLLFAHPVLKYVDVGANQLSGSLEDIPSLLTSPLWVIDLGYNQLSGPIPKSFFQLTNLYYLNLESNKFIGSVELSSVWKLENLDFLSLSNNLISLIDDEGETVSPSLPNIRTLYLASCKLTKIPGTLRYLDAISDLDLSSNQITGAIPSFNRLQGGIPIPVTTSSEIALDYSNNHFSSIIPNFGIYLENASYINFSNNKLSGNVPSSICNASKAIIMDLSGNNYSGSVPACLTGSVNLSVLKLKDNQFHGVLPNNSREGCNLQSIDVNGNQIEGKLPRSLSYCQDLELLDAGNNQIVDSFPFWLGKLPNLRVLVLRSNKINGTIRGLRSGYQNSDYFTRLQIIDLASNRLSGNIHSEWGLKGGYQNSDQFTRLQIIDLASNHLSGNIHSEWFEHFQSMMKNDNDEGEILEYDTRVNAKGSYQDITAVSYKGGMLTFTKILTTFKLIDLSDNSFGGPIPKSLQKLVLLRGLNMSYNAFIGEIPPQLSSLTKVESLDLSWNKLSGEIPPELTSLTSLASLNLSYNNLTGRIPQGNQFGSFTNSSFQGNANLCGRPLSKQCDTPGSTSRNASATSETSSFWQDRLGVILLFIFSGLGFTVGFILAVWFQSFFHIERWTHKHQ >KN539508.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539508.1:75776:78338:-1 gene:KN539508.1_FG002 transcript:KN539508.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGRRGRGRGRGRSALAENDMDFHETSAPSSPSTTSDKEDNIEFTSQQPCLVSPAAEHVSNTLLNPKINHRSDAIFGDQVNPRAIKFCHSCISHDPRGPSMPGKSFLHYKWLKKHFYELPEGADDQTVERHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSRARTQSENATRCLKQYRDELNLQRADQALHRISRRGRERENWEETHHEYIQEWEARRQRIFPESEQYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDLQYQAKAPMIRKAVDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAAAQDMPLLLLEAEQNIVDADQEAQHQEEEELHMVDDATMTLEPMDEEDNGFNNVICPCPSLELEEHSHSATPAIDECDTATPAPGSAIPQQSTSVDQDGHLENPNEMGQIELMVEPMYVDHNDSNNVLSSSTSAQALLENCEVAEAVNENVDPATQVTGSSTPEQGTDVIVDAEQENPATTEGN >KN539508.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539508.1:15574:18020:1 gene:KN539508.1_FG003 transcript:KN539508.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEAGGEGDGIAAESPEDESMEEIGTDGGSSQLVATPSHNLVLSNTPQSCAQSRKRKATGTLTTTTSASQSKATKPTKKAQMEPLQIVRVNARAKISTHSGGSARVDLQANVAGSNSSTSAAVTVTSGKASVSVSAQEPARTTTKNKAKVPLLLLPPWESDKL >KN539508.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539508.1:38891:42312:-1 gene:KN539508.1_FG004 transcript:KN539508.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRISELGECDGVRSEGEEEQQVVVEEASVRGGGVVVARLSAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQYILLGAVPFPSDVPRLKQLGVQGVVTLNEAYETLVPTSLYQAHGIDHLIIPTRDYLFAPALQDICQAIDFIHRNASEGGITYVHCKAGRGRSTTIVLCYLIKYRSMSPEAALDHVRSIRPRVLLAPSQWQAVNVFSTLTTGRLPIQSKNLGHFLEAGDDCITNTEIDDYYSMELDYEDSGLPLCQVMLPRPTSPTVCTDAVLITEADLEGYDTYADTRKDVVSLEVIVSRKPIMRRLSCLFGSLKLTNNCEPTPSRFAEGGNVPCYLAHHSLGTCQSLAPVLPKTTALRQSAFYTVIII >KN539508.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539508.1:59741:64720:1 gene:KN539508.1_FG005 transcript:KN539508.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPKEEEEAALGVAVEEDHDSPAAPGYQHQQGPPVAKALPFSATCVRISRDSYPNLRALRNASAMSLPDDDAAYAKLEEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKDSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKNKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLASKSPVPVNTKEHGENAKKPANGEK >KN539508.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539508.1:10306:11689:1 gene:KN539508.1_FG006 transcript:KN539508.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRISSATLVVAAAVLAMLVLVPEAARAERFVVGDAARWTWGYNYTDWVIKKGPFFQNDSLVFMYDPPNATTHAHSVYMMRNAADYQSCNLKAAKLVANLAYVDLLLLLHATFWEFWFDIRTSLYTALIIPQLEINSMLYALAV >KN539508.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539508.1:82217:82492:-1 gene:KN539508.1_FG007 transcript:KN539508.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLCARPVCFFAHNDKELRIVGDDATPSPRSPFTTSEDSPPPSPMDMKQIVLAMQQMDARKATRSAAPKTDMVQPELEEDAPELGWVSDLLM >AMDW01036134.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036134.1:16:537:-1 gene:AMDW01036134.1_FG001 transcript:AMDW01036134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSWAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEK >KN539508.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539508.1:51911:56960:1 gene:KN539508.1_FG008 transcript:KN539508.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAGSHLRSLKRHSSSRFASTSVVKQSSGGLFSWLLGEKSSQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSIYETPASSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFNWEIKEQLEKIKAEIAEVSDNPQGLLLEALHSVGYSGALAKPLMAPQSAIHRLDSSILEEFIAENYTAPRMVLVASGVEHDELVSIAEPLLSDLPIVKRPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWFEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQIESFSAFNSIYNHSGLFGIHATTSPNFASKAVDLAAGELLEVATPGTVTQEQLDRAKQATKSAVLMNLESRVVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVSQKFFSK >KN539508.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539508.1:18689:19337:-1 gene:KN539508.1_FG009 transcript:KN539508.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQPYGSPIPYRVGPFEYEPAVLCRCELKAARWISWSVDNPGRRYFKCRNARKGGCDFYAWHDGPTSSFLREVLNDLRGAVHSLRREKADAVKEVEELRVKSEEQCRELASVGRELASVRELVSELDVKNAVLIDSKCSAGQELILCGHGLNV >KN539508.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539508.1:2902:9351:1 gene:KN539508.1_FG010 transcript:KN539508.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIGGEIEGRIVIELYASVVPRTAENFRALCTGEKGVGAVTGKHLHYKDFDADFINMHSETFGRLVQKLDTGYQFLWYFTLKIFHVQGSCFHRVIKGFMVQGGDITAGDGTGGESIYGLKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSVEHAPVGEADRPTSDVEIVDCGELPEGADDGVVNFFNDGDTYPDWPNDLDEKPMEVSWWMDAVESAKAFGNNNFKACKLKLGDLKGALLDADFSLRESEGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPSDGGIKRELAAAKKKAFPRECLGGVSVTRRGVHALQAKSVSASQS >KN539508.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539508.1:71225:74780:-1 gene:KN539508.1_FG011 transcript:KN539508.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLNQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDTHKGTEWKKNNKETVSNYSSNREGSKQTTNSVTSYKSKKSERHGRSTTSEAPSSKKGENEDDDDFNPRGFSTSTTPNVSTPAVPEVDLFADAAFQSANAPSEAPTVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKLSSVAHPSTSAFDPFKQSFATSFPSDTEFSVHDPTSKSSQGKTLTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGLKSSDHGPLEDVNFGAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >KN539508.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539508.1:84572:90519:-1 gene:KN539508.1_FG012 transcript:KN539508.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGAYADPFLPPKMALSAYAAPMGEYGQPQPQPAPRPPACPYSSSSSSPPPVSASYHSWPPATSASPLCRRYNLVRQEEAPADRASCITADAAASSAATTKLLSLFRWILANIRLFSAGSHGYPYSPADSAIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRFDENEMYHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >KN543846.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543846.1:2465:4009:1 gene:KN543846.1_FG001 transcript:KN543846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVMRTVRARSGRWLGTTTASGLVRPTPPSCFSIASAMYAKLLISTSHYLFLVVVVFTQNALFSLLGFFVVYGHSVLITCLLPLMVPFLSLLPVHRFFLEFSYHVGASYATAWTNLLCHVLRRWHSQIVQLYWGHWALLLLLESIRDAFSAGFAHGYVYKKF >KN539508.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539508.1:28901:29527:1 gene:KN539508.1_FG013 transcript:KN539508.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGGAAVLPVYREEEDEDLFETSSSISGDSDDEAQFSDSEEAEAQEDQFAQQPARRMNSDSLYDLSSMKAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKENPYKKIKSSKSYVALDGNQEACHIPGANSTSIAKKSGSSCANLMARNNTKSMLYRPPPIPVNKSGYHQ >KN544104.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544104.1:137:804:-1 gene:KN544104.1_FG001 transcript:KN544104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >AMDW01032712.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032712.1:3:341:1 gene:AMDW01032712.1_FG001 transcript:AMDW01032712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVDSKTLAKVIPYCDEHGRANSGTDEERAALGRFDADFVGELDKDKASLIDVIMAANYLNIQGLLDITCQRVADTIRSATAEEIREAFDIEDDLTEAEKKEIREENAWAFDGI >AMDW01040734.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040734.1:55:920:1 gene:AMDW01040734.1_FG001 transcript:AMDW01040734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDENDDGEDY >KN541517.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541517.1:6753:16158:1 gene:KN541517.1_FG001 transcript:KN541517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFTASLGVTYLTCALGVFVMLVGTVPDPAMESTDDQGDRSAKVCYFAELLNATLSVAFAVVVVLITAAPLREQAWLIKKAASLELTKVTFTGFLAVAVPTFSSTPVGISTRGFVALSAAAVMSDLGWRLLMTHRNVRRMAPSRAMVSVANVASLCAHLCVAAAVLPFATLALNAVSSSEPGTATDDDLFFIGKSDMSSVLLDDEEHVDAAAVVANVDMVSMAEKMVVDATMSLASVNTPDTLTSVMVVEEGSCIGLFAALQNDVTSLAWSFAWDFERTAEECLVVSCLYSVVWTSAECCLRWARLVGGAAGLVSQERTMVAWRWERRGSEWEGRLSRSAEELRAKGVSSANQSITAYDELDGGSNEEEDNQAGARSMARLGRSAQPTMANPRYYGPDWTM >KN541517.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541517.1:20321:21583:1 gene:KN541517.1_FG002 transcript:KN541517.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNVVAHIEQFDGTNFQQWKERVRLTLGLLNYDIAMREDALVAPPHDVAPNVKRAYEERVELWEEANRMSLRVIKDSISPGIRNGIPSADTAKGYMANVEEAFKGSSTMYVNVLITKLYQSRYDGALHLFNAVKGERSHLNGNKF >AMDW01039847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039847.1:433:687:1 gene:AMDW01039847.1_FG001 transcript:AMDW01039847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSEGAAAAEKPYITLLYRPGHYDILYPK >KN541013.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541013.1:9219:13394:-1 gene:KN541013.1_FG001 transcript:KN541013.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWRFLALLLPLLVAVMRQVAGGVQTPSPRLFPVAISVSYRKKSPLIPDSLLMQHDPNLYRFYYSQYMRIMELHKMTDPLSCSHDQSEGGRISDHVARERWQQRLERCDGEQGRRPASALSVEFTKSQVVTSIPGFFFCIWYSAKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSAIGVGSYAVHYFSTLVASILENFGLSEEIHNPETSRNDLWGEVGVHPQEDDVRVAAAGAKAEKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKDSSKVIFFNNVNLSFFRFITV >KN541013.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541013.1:28930:30054:1 gene:KN541013.1_FG002 transcript:KN541013.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKTPMGLIPFPKKRAATFARRKETVMKMAKELSVLCDAQVAVVVGDPGGGAAEKAAWESEEGVLDRYRALPPEVRAKRSLTHREYLRGELRKQRAKLAKVREEGAFKPWDDALDGIAEEETRKLHKYLSDKIEAARARMEAMGLQLGDVDDNGVNGDDGSGLDLQQHVPPSASDAKEFDTAGADFINALNNFLTTGVSDYSAQSSGYGIGNQIDNAKQLLYQMQMQYPVGGTGGADPSDTQSKNRGS >KN541013.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541013.1:26166:27416:1 gene:KN541013.1_FG003 transcript:KN541013.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIPIGLIAHRQKRAATYAKRKESLRKKAEELSTLCGVRVALVCAGPTTPAADGINCADLYGAVPWDDTFQPQVMRTGDHFVPMDGYLWQAPGNGWPDLATGCTNESCSCNAAAAAAAMPAMYPPTLDTVHGSFLAAPAQPIPIAFSTGTDFIDAPNDFLTMGLCGGFTNVGDYSAAQPQSSADGGFQLGDTFAAEPGDTQSQNWGSFINVVSDDSAQCNCNAAIHLDQMNYLFGGTGGGEPSDTQSRHWGS >KN541013.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541013.1:16051:22971:1 gene:KN541013.1_FG004 transcript:KN541013.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRISPRKTLPLFAALALALAWAFAAPAFADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKSLRPYRYEGQRSAEALAEFVNTEGGTNVKLATIPSSVIVLGPDNFDSIVLDENKDVLVEFYAPWCGHCKHLAPIYEKLASVYKLDEGVVIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDTKGQLTSEAGRIASLDALAKEFLGAANDKRKEILSNMEEEAVKLSGSAAKHGKVYIAIAKKILDKGHDYTKKETERLQRMLEKEEEQNRHVLCDVPVALVCAVGGGGAVEVWESEEGVLDRYRPLPPEVRAKRSLTHREYLRGELRKQRAKLAKVREEGAFKPWDDALDGIAEEETRKLHKYLSDKIEAARARMEAMGLQLGDVDDNGVNGDDGSGLDLQQHYDILPWDGNTFEAHNADVMLPACGFQCTGDYCVDMDDGYMWGAPGDANADHGWPDQAMWCTDESCSCNAATATAVPAMYHPHTLDTVHGSFLAAPAQPLAFSTGADFTSAPNDFLTMGIGGSLTNVGDYSAQTSADEFHHLSDATNQLDQTHYPPFGGTGGAEPGDTQSQSWGDYYLAAQSSADECQLLGVGGAIHLDQTHYLGGAGGAEPSDTTQCQNWGG >KN541013.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541013.1:35310:35953:1 gene:KN541013.1_FG005 transcript:KN541013.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATGSDVKSQAISGQIQAYSYLMTLPHGIIIGMDVLMILLGHS >KN543211.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543211.1:3739:9095:1 gene:KN543211.1_FG001 transcript:KN543211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CFIVIDDIWDDKSWELIKCALPHSSCESRVVVTTRKLEVAEHVGDVYKMQPLSDSDSKKLLYTRILDDEGECVDSPSALACDEILKKCGGVPLAIITIASLLANKQGEDWSEVYNSIGFGHGSVNKDVENTRRILSFSYYDLPSHLKDCLLYLSMFPEDDEINKNLLIWNWIAEGLIVEQAGEDLFETGEGYFNELINRSMIMAVEERGRISGCRVHDMILDLVCSLSSEENLVTVLEVNEEHGTPKRSVRRLAMNNWEIDDFSYCDLPQLANMVVEQLRSLVAHDCNFSVVLPEFRVLRVLDLEDCNFLEGCNKTSLKNLGRFVYLRYLSLSKTPITELPKEVGNLKFLQTLNLWRSKLQELPKEVGQLTQLLCLHVTSERVPACLIGKLTSLQELYIVVGSLEGMRMFAKELGKLKELRVLLSSFFVYDESMKRDLMESLGNLHKIQSLRIYGKTREENVPLIKLKGRRGMGVRWEAGLVPTRHLRELQLDCFRFSGLLLNSDPSLLRKLTSLELKLEVIKHHDMETLGRLPELCYLKLVSYYTDLVSIDLNKTTAGDGHYFRKLRFFKVPEVLILSDGFDHIHGSQCSSSSSAGIASTVSIMPSLEDVEFAVTVWYLEYFDHLLQSQGFEISDVKICARPDSITARRRGQANRIFSWLLNYPCLEKLTIQINCEDAKLEEVEEIEATIRYLVGAHPNRPTLEMPRRSEDKMVADSLTNITTVQTEDQRRRRRGATSAARRACQAPGAQRQGSVSYDMEDIIDTFLVRVDGRHEGTNYSEAHGLKHILERMVNLYNKIKARREIAVAVKGIHKKLQEVADRRARNLVDGIVAKPAGLATIDPRLQALYKKSSELVGIDGPMVELKKMLAFSLRDDIDVHMPAKKRKMDVHVSIKKTKFVSSDLEDLARLLLPKPSMIRLNQVSTTELLF >AMDW01040679.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040679.1:2:1486:1 gene:AMDW01040679.1_FG001 transcript:AMDW01040679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSGSPATTTAATEDPVLVGVTDEGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTGVLLTGWRALLSLLFSTGQSQQDDVYRRGSPFELFEVRKVLQIIGIFFYTCN >KN541915.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541915.1:8357:10568:-1 gene:KN541915.1_FG001 transcript:KN541915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLGCYTIKSHGTKVARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVSGSELTEGPSFQVYDNVTTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEASRNNNAANSYSVRPTGFETVNVPEGHGGIALRDTNLEAGRRP >KN541915.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541915.1:18394:21119:-1 gene:KN541915.1_FG002 transcript:KN541915.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAEAEVVESVSDLAVQDPPGEEFSAADLRWVKYASSEHQRDDVALIPYERMDAFIAGECSNPECPTRFHIERGRKRDRGTLREVRSDDYLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLVLIIYHERRHINKSGFICHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFYYQDSTDTDAFVLGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLVFDSRQHALPVAWIITRSVTKHDTLRWMKALTERIYSVDSTWRIGGFVIDDPASELDPIRDVFSCPILFSLWHIRRTWLKNIIKKCSNSEVQREMFMQLGKVMYSIWSEKNPMDALEQLFQDFVDQTTFIQYFKSFWVPKLEMWIDTIRSLPLASQESSGTIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYADESGSFPEVKAEYIASTSWQRALQIPDDAVIFDDKEPFSAKVTSQKDTSQMWTVWNPGSEFSLCDCSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSFQSFQRVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATSDGIAQVSNRLPIQWTNKKGRKVAAKRTSPLRVLPHSNGTVQKDFIPKKNRKRKRLSTVSG >KN541568.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541568.1:19366:25741:-1 gene:KN541568.1_FG001 transcript:KN541568.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGAGTKAAAAGWKAPASMVLVQLFITGMIMLSKVSIGGGMFIFALLAYRSLFGGVFILPFALIFERGKWRDMDWRAFGWIFFNAFIGYAVPMSLYFYGLKDTTASYAVIFINIIPLFAFILSLMFRLETFEIGSIVGVLKIVGVLLSVGGTMLVSLYKGKSLHLWNSILQHQNEPATKTATNQLRGTILLVASSFAYACWYLVQSKVLKVYPYKYWSSMITCLVGGFQVAFVGIILRRHKSAWKLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVVFTVVLDSVLMGDDVTVGSIIGTAMVIVGLYLFLWAKA >AMDW01032959.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032959.1:1:468:1 gene:AMDW01032959.1_FG001 transcript:AMDW01032959.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPVPPRERRTTRPESETVYLNFTPSPEMLYFSRRFAYAYITRTTAPADADDAATTIRDAIHAVLPGLQLDLLPPSYGAHKTVRFLTPDDREAAMEKQPFALGGGGEVKLVREGETSNVESVSLECVVHAALLDYPKEQRNERDIGRNCGSFGHLME >KN539467.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539467.1:13910:15235:-1 gene:KN539467.1_FG001 transcript:KN539467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPVAGWLAGSLTAVRRAGAALLKTFPPNFLDQRRHKGVGKTPAGSTSVDLDIPEHQVRPGSMIRQGDFVICCIRLSTGYDADNCAIPMVHVAPRWGLNTHAAKIPFLGDTPGWFYYIGDLKPQA >AMDW01027932.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027932.1:14:107:-1 gene:AMDW01027932.1_FG001 transcript:AMDW01027932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLARILPRVLIVSRRTVRKNKFVDFV >KN539467.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539467.1:72297:72623:-1 gene:KN539467.1_FG002 transcript:KN539467.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTRGEASGRWRAEPTRAVIEDGRVMVIEVAVGMLDQHPIGQIVCPSGRILCVSNERDRSLKATLDDDENDVGGGPMLYRHGVVAGSDMERGVKRCCHGVKGGRGH >KN539467.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539467.1:64108:67797:-1 gene:KN539467.1_FG003 transcript:KN539467.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAARTFDRSLVVALFRAKLLACLHDYDAAEAECRRALAVDNPDDPAAHEIPLGSAIGEEYDDMVSSLRKQLCDLQRKLVLLTVHDWASMESEKQSQILSVSIDELREQYSKIDQIAANTVSEARRFSKAHGSWCFWICPRPSGQCAGKKFLDTASLLEHLRNKHPDDLWVNLKSFLDTKLCGKFKTENASQDGYSCHDEVLQFQSIDGMIELVLNLPPGGMKSETLSEMRRRKCSALAEILDRIKKKLRACPKDLSSSEFDQVRSEMQDLWLKFTEMSVFDYREAVVPLARMYQWKELKKRISEDGSIIAAWSIDDIFGEVPDASEEKNVSAEHGSLDEKVGHQTGENKVTNKSDNLKLGMLMAPTEEDVTVSTVTTTATTGCHMLKIDGYTRIANMHGKVGNFVESSKFTVAGHTWSILCYPNGRTCPGFVSVALKLHHIVDDDVRHPTGLPGPGRGPV >KN539467.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539467.1:20824:59874:-1 gene:KN539467.1_FG004 transcript:KN539467.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVVEIVGSAVVGEAVGRICSYLISRGEEEVAGGDGAEHDEERMEVALLRIQAAVEEADGWHITNRPLVRWRDKLKRAADEGEYVEVNESTGVRLFYYFVKSEKDPDVDPLLLWLSGGPGCSSISGLTHEIGPFEFAAKRYYSGGLPEIIYRPETWTKVSNIIFVDSPVGAGFSYAATMEGSKSSDTKTVKQLYIFLRKWLHDHPQFLLSPLYIGGDSYSGIIVPTLALAIDESNDSGDKPILNLMGYVAGNPVTDSQFDEDGKIPCLHGMGLISNELYEHAKETCRGKYSAPGNARCEQSVQAIRNKASYVVLKIWANDKTVRESLGVHKGTVGKWTRCNYDIDYIKDVYSTVEYHLTLMRKGYRALIYSGDHDCGIPFTSTQAWIRFLNLSVVDDWRPWYVAGQVAG >KN539467.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539467.1:90047:92090:1 gene:KN539467.1_FG005 transcript:KN539467.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSLCADSGGDGGDDGDLLERDAPLRLALSVLHDLLGAAGFVASHPLHAAYALFFARHLLALACFFSPLLATTALLLAVLLCSFVLGPGDAAAVLRVGEIMGELCDTGDSCLVLEHKPAVLLDHTELELPWHQAAIDGQISMDQEVLDEIKDGIEEKKVVLEDLTQLSAPHFSSESCSSRSDTSVQDIQRQSFGSSSDGDGFSDGVEEKRLECDPVSVEIKKCEPPAKSLSSVSRRILQWEAQASGNFKRVLDEMEENSVDFSLEKAPFLDLKECNKLDGGAYTEKCEVEEIASVAESGMHQEEQVFKDVKECVQSEAETCTEKCSKDQQSEESVVVVQSEEEWQEENLKIVLPEPELQDQEYKDVEPVKELQPEEEEEQQEQDSENDAQPEEQLQEQDCDNGVQHEEEEEEEEESQEHEMRLQESHDDQEEEFKDAADQESPREDPLRPSTSIARRVHSRTSSEHLLVVGEGSPRKEKEWKRTLACKLYEERMQLKLCRDRAVVESSSDNMDMLWEAYEVGGGGAAATSTKRGASKAKRKQERAAVEGKQEHAAADEEDDDDDGDEEEGSVRQLCCLQALKFSTRKMSFGGGGGKPSLAKISKVLRRVAALSRSGSRRSTKG >KN539321.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539321.1:10293:15825:-1 gene:KN539321.1_FG001 transcript:KN539321.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAMLVAAAVEVAVPTLAEAAALGAIGAILAGPLMQPTKVRSPVRVRNSNLKCHGTKMRSPSMVSMSQSQRIKIPSCVGLSTMHTPALLTPVISSRSTRTFQKTAKTIQERSHAVMQIPEFTPGTGLRSTNAIQRTTEVLQRHSHVGLRQPHAGRLREMHTASGRPVIGLTPTIVSQKTTKVPKQPRFVARAIDNFSREVMNAIAVAHDEAQYIAHLTIGSTNILLSLISQYICIFLLEIILNKAYKMFRAAVRRATRGAKLATLMEYGTNLTKLAEEGKLDPVVGRQKQIDHVVQILSRRTKSNPCLIGEPGVGKTAIAEGLAQLIATGDVPETIQQKTVISLDMGLLVAGTKYRGELEERLKNILEEIKQNGEIILFLDEVHTLVTAGSAEGAIDAANIFKPALARGELQCIGATTINEYRKHIEKDAALERRFQPVNIPESTVDETVGILKGLRERYEGHHKVKYTDEALVAAAELSYKHIRDRFLPDKAIDLMDEAGSIVRLRNAQCKPSKKVNDLEAELKKTLKEKNDAISIQNFRMAKQLRDHELQLRSNISALTDKKTQMMEPDAIAMPVVTQDDVRHAISRIKNTVTDEMKRHFRPEFLNRLDEVIVFQPLTKLEVGKIAGIMLEEFAGRVREKGIKLQVTDRLRELIVEEGFDPSYGARPLRRAVVRLLEDTLAERMLAGEVREGDSVIVDADSAGNAVVRRSNAMPS >AMDW01032704.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032704.1:124:360:1 gene:AMDW01032704.1_FG001 transcript:AMDW01032704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EQLHEREKYILELEMKLDDKDRELDALKIDHQTVWANQDLLREQTKELATFRYY >AMDW01014525.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014525.1:18:248:1 gene:AMDW01014525.1_FG001 transcript:AMDW01014525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRECREPCQVMGFDVPEGVMVLVNAWAIGRDPAHWDSPEEFAPERFEGGGAADFKGTDFEYIPFGAGRRMCPGM >KN539321.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539321.1:96686:103755:1 gene:KN539321.1_FG002 transcript:KN539321.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCPPSSSDKKSCQNVPPEKLSFTSFCHPQFPQHLLSHPGYAMNFPFPPFPHYPPYSQNFQYAVPPQYAPYSLPPPDGAMPSPYVPATVMPSKAPSDQGTPHSVTGPGQQDDGDAEPERTARRLAWIEEEDVRLISTWLINFKTDKYWDKVAAEYNSATPGARRREVKHLKNRWQRMINKVAHFNDCWYWVMAKYPSGQSEGMQQMDKTWLITMQSESGGYLSSPEDSEDMEEGKCLVDPLDMLTKNREDMTEVQPSISNQKKQSELLTADASWPIEFQLGRHQLMTGTPKLNEHQQGMVVRDELLEIELRPQDYEVLDNERVAREDEPKKETQPHQGFKARKTMLNNDREKMSEVQLRLSKEQLELARIKQDEANVKKETTLHMKYTELLLADTSSFSRCISCQQQQQQ >KN539321.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539321.1:26549:34621:1 gene:KN539321.1_FG003 transcript:KN539321.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHFSSLSLLILLLGFSSNLAAGQVLFQGFNWESWKENGGWYNVLMGKGTDQFM >KN539397.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539397.1:52314:53786:-1 gene:KN539397.1_FG001 transcript:KN539397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTWTLILQLGGPTPEHESVQKEMDKDFICFFNISTDIHDDVKNSIATDVLAKWDLADTKSTRKKIWDIARERYRGWRSNLHATYQAYNSDGSRFKNKPEDLDILEWEYLIHYFGTDKKIQEINQKNSENRKKRKTQHVNGAKSFSQTSYEKRDKETGKEPNMLDLWKATHMKDDKWSNTAAKDIYKNDTP >KN539397.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539397.1:19979:24989:-1 gene:KN539397.1_FG002 transcript:KN539397.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVEAGRRRRAAVVVLGDIGRSPRMQYHSLSLANQGGMEVDIVANGGSDPHLLLRENPLIHIHEMKSVQLTGISKISGALSMLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASGLRVVCSFRSRFEKHFGRMADGAFCVTEAMKHELDQKWGIKTPDEDFSILLEAALMYDRRVAATLGEEDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRMKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKVNNNGLLFSTSSELADELMMLFKGFPEECDELKSLKVGALNTGSSSKWSTEWERYALPLVNQVQHNVWGMNEFKDVKHQILYMLACKNRYNMQIPLPVR >KN539397.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539397.1:62904:69605:1 gene:KN539397.1_FG003 transcript:KN539397.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTCRYLHLSTGERPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGEGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRMLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >KN539397.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539397.1:79921:81845:1 gene:KN539397.1_FG004 transcript:KN539397.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEVRLLLLSAHCSLPFQKMESSSSSSYIPFIRQIAASVSAASCDAVVGGGGDKDEECRDEAAALRLKMILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQLKNFSAFLMAFFFAITTPAGITVGAAVASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVGSYIALFLGAMAMAALALWA >KN539397.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539397.1:12229:19170:-1 gene:KN539397.1_FG005 transcript:KN539397.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEEGRTGIPSLGRRPSPPTSPRIWNPFRLIGWTPLIEMKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALGMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIRPNYHCFNQATNPANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAVISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMSSDLFADLSLNYCLHMEFRMLAGGRDGNGNGHGDGENEGRGELRQAVVAEGDGDGNDDRVWSSGAKAAVVESATSGITGFASAAARSEARRGGGGSTAGMHPCRRQREQRRFQPNDQISPGRFALQCHATTTPTIRSCTNMPYAIMGKSRASFLVAENPFSSFTLGRAPALVLSKRSKGKDGRVMATDQEEEDGATEEEDARKGIPFLLSSQEENIASDILQVDLYITPSRPSPRIPFD >KN539397.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539397.1:73899:77676:1 gene:KN539397.1_FG006 transcript:KN539397.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQNGMNGYEEEEEEEEVEEVEEEVEEEEEEEEEEGADATAAAADAAEEVAEERRGGGGEVEGVGNGEEAGRTAGGGEGGDSSGKIFVGGVAWETTEESFTKHFEKYGAISDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLQDEHTIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHGTGRSRGFGFVTFENEDAVERVMSEGRMHDLAGKQVEIKKAEPKKSGGGDSSSNGRHSHGSGGGHRSSYRGSGGGNSGSSSSGGYGGYGGGYRSAAAAYYGSTGYAGYGRGYGYGGNPAFGSGFGSGYGGSMYGGPYGAYGAYGGAYGGGGAYGAPGGYGAGGYGAYGGAGGMGGGGSTSGRGSSRYHPYGK >KN539397.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539397.1:130:6037:-1 gene:KN539397.1_FG007 transcript:KN539397.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAATAAGEDNDEAKETYCRSSMIEDAEKRGSISPGDTLIEATSGNLGIGLAAVAIQKGYKFIAVIPNSYPPDKQKLIKYLGAEVRITEGPYRNMEKKVEELKKSIKNSYNLDQMVNEANVEAHYKWTGPEIWKDTAGKVDIFVTSVGSGGTLAGVGKYLKEKNQSIRIVAVEPAESPVLSGGKASKHRIQGIEVGFETEILKAHKPIINYEVKTVYSEDAITKARMLAREEGLLVGISAGANIAVCLELAAKEENKGKMIVTMLPSGADRYLSSDLFKY >KN539397.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539397.1:30073:31497:1 gene:KN539397.1_FG008 transcript:KN539397.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGCLPDVVTFNSLIDGYGKCGELDEVEQLVEEMRRSGCKADVVTYNALINCFCKFGRMETAYGYFAAMKREGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMALNEFTYTCLIDGTCKAGRLDDAIVLLDEMVRQGVPLNVVTYTVLVDGLCKERKVAEAEDVLRMMEKAGVRANELLYTTLIHGHFMNKNSEKALGLLSEMKNKGLELDISLYGALIQGLCNVHKLDEAKSLLTKMDESGLEPNYIIYTTMMDACFKSGKVPEAIAMLQKILDSGFQPNVITYCALIDGLCKAGSIDEAISHFNKMRDLGLDPNVQVYTALVDGLCKNGCLNKAVQLFNEMVHKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMPEAREVFSEMIGHGIAPDRAVYNCLISKYQKLGNLEEAISLQDEMERVLPSCTDSDTATDGKT >KN539397.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539397.1:42539:43680:-1 gene:KN539397.1_FG009 transcript:KN539397.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTADEEAAAEAEAACSGDSDAEAEDLNFDLASIDPVREFGFLSMGHEFDNYPKGRIRPPKDWNWFLEEVRKGSDDEDDEEGKLKGKGANKKNEGQREDKDWIGESEDDKDSLSRGSSVKRSKYVTRSKEPKKPRKEKTETKDKNKNSGDEKDEGDEDDGEDETLSGFIVNEEDEPMEELSEEEEDEFGDDDDDD >KN538728.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538728.1:85402:87039:-1 gene:KN538728.1_FG023 transcript:KN538728.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVKVNRLSSQHETKSVVSCSVRVSDDKTRRIEATAEHILPATNDHVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRVQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISHGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRQETTAVLGKFGFVEAW >KN538728.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538728.1:58918:60858:1 gene:KN538728.1_FG024 transcript:KN538728.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLDLKESFQFAKSAQLEPLPSGDLDVPTKVGQEGLVHSETPQDLVHSETQGLVDLGASQDLVHSETSQGLVHSESSQGLIHSKTSEHEDNREISLVSSGAPGRVVQAFTMLQDSPEAQEVVASLASDQNVWNAVMRNEKVMKFYKTYATKLNEDEVEGSESDSVQNSSELGSAGEAFMCYVEKMKALVSEMMTNLSSIMQDLVATSDEGQSKGKLKTMILDSKKDFVNAPSAFVLLAIASIMVVLLKRA >KN538728.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538728.1:21374:22613:1 gene:KN538728.1_FG025 transcript:KN538728.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTLEKTTTIRSDAVVAADAAVDRAAALKSPLLEGKKCGDGGVAKRCCERKYELVSYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFAVHNETINVWTHLIGFFMFLALTVCAATMVPMESSATSMTMANNTGNPMVLMMMSYGSNGAAMAVQALRNVSVESELAAAALSAAGDQVARWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFFRTLYLGFITLFGAAAVAVSLMPVFEKPELRWARAGLFACMGMSGLVPIVHKMLVFGARPEAVLTTGYEMVMGAFYLAGVVVYATRVPERWMPGKFDLAGHSHQLFHVLVIAGAYAHYLAGVVYLSWRDGEAC >KN538728.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538728.1:120985:123669:-1 gene:KN538728.1_FG026 transcript:KN538728.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MATGESQEASRREGRERDEHDASRRERERDHTHGRRPHSSSRSRRDDPSPRRRREDRRHHESDRSHRHRSRAEESAKAVDRDQKRDRPLQDAAQPDDPLRAETKPLDDARNGSPARHERSPRGTKRFPESRDARRPRSFFQCSGDVLSCNVFQFTMNVAVLGKVVGAITARLVLPSFAFACYCFKDRGRQRDEKEHVGDREKNKDEGKAVQDEQQNDGESTWKHDGFFQLEEEAHPAKRRPPFNEMGMPLEGKESVTAVTEPDSRSHKHDQAGPTSAIGEERRNYHPRGFDRHGGPFGRPDGRGMRRGFSDHRNAGQRNGYDSWGRFAGRGRGRDRFNNPYDGRNSMHQAAGDQAEKWKHDLYDQTNRSPTPKTEEEQIAKIEALLAL >KN538728.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538728.1:3275:4178:-1 gene:KN538728.1_FG027 transcript:KN538728.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSGCSSGCQSGWTTYLDDHSSYSCGTARFHGKAQQPYYHCDYSEDAEEDDLSMISDASSGPRQQCSTGNDDGTAAAAAHANAARRRGRRMEPTARRQSKTAAGASLLEDTASSPAFFKYTNASAEGNGYGYGGVASPVMEMGNAADFSCAFSATTGFESPLNGIPLSGYLQMQYSTTHVKAIPARQARRGGVEKKRR >KN538728.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538728.1:124793:134281:1 gene:KN538728.1_FG028 transcript:KN538728.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MASILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGCVARNGLSRVDTGALYDDKESFDLFGHVLGCTSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLMHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECRSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMCEFWVSAVHLEDANVKKMLPLMFAEIVDAVGYLLDIEYKVMGRDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSCLEATQLTSFMMSYRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKYSSWISCKLQVIVATYSLHSPYGKTAAIGNDKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNWDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGGANNDKDDTVLNEVIISPKEVLDYPRCDTWSVSIRGHERISEVIGGGDKGTMEGVMCYTWWGHAEELGGCGNKIAEKWTKSWRTHAHTVATIIG >KN538728.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538728.1:115296:119262:-1 gene:KN538728.1_FG029 transcript:KN538728.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSPPPPPRRSVFDAAYIRAEFAAAGISPHFILLIWKYVLQNPRCGDLDAVPSLPAAAYALLRQKFQPTTSTLTTAAESKDRTTTKLLIRLKNGESVEAVIMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPLNNYTALVEAIQVLIGSPFQLSPKRITVSTVGIIHSINKFNNDLPNINLAVSLHAPDQDIRCHIMPAARAFPLVKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSEHNVKKFQKILRGIYNIRTTIRQQMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDIRI >KN538728.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538728.1:91926:99332:-1 gene:KN538728.1_FG030 transcript:KN538728.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVNAAEAASGMAQPLAYDYSSSSECLPEPMDAHYGGGIIRNGDFGAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFESNATADIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVARGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYAFSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYGDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVCLERVGKGFPLGNAMTKEILDMPEYEKWFASRFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPDDPAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFATPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEYLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSTGVLEVEIFHGEYKATVKHKEFKENCMTLDLDSKAEAKIELRSSTY >KN538728.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538728.1:53005:55308:-1 gene:KN538728.1_FG031 transcript:KN538728.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRRIRTMLAMLALNFNHWYEKELWYVVDAADRENMAIAKSELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTDVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >KN538728.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538728.1:39377:41341:1 gene:KN538728.1_FG032 transcript:KN538728.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYAKEDIGTKLDRPAEDEAMAGQEVAAAE >KN538728.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538728.1:66933:70678:1 gene:KN538728.1_FG033 transcript:KN538728.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYHVQLKRPKILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKAANAVLSALARSPSTLPQASLDVFRSLIELRLHPNHYTFNLLVHTHCSKGTLADALATLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDLRTYNVLAVGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEVGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNELVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAEESNEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDSSTYITLMEGLIKRQKRQTHAAGQSTL >KN538728.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538728.1:88696:91301:1 gene:KN538728.1_FG034 transcript:KN538728.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MLHLAAAVASNAAAGKAQARGLVIVAFDRSPEVYLDFMRRRGLDPNALNRCVRILDCYSDPIGWNQKIRSQQQQESGADLCSANKENVTIFRNVKDLDKLMCSTIDLGRGFAGEGKIYFSIAVDSISSMLRRASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLSTMVASLEPAVVDSVYEEEIPGNISFLEENYSKAKFYLRLKRRNGRVKHLYEELHVEGNDVRFVSAPSVQFNLELSEKERSDKANVVLPFEHQGKGEPIHIYDGRRSLPEAQQDSNLTASALLDEVKFPKSAAPKGEIHYFRDSDDEQPDSDEDPDDDLDI >KN538728.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538728.1:158093:164320:1 gene:KN538728.1_FG035 transcript:KN538728.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGGVKAKHSSDEALGRWRRLVGVVKNPKRRVRFTANLDKRSEAAAMKRSNQHWKIERQQTHVFFFHEFSVIETHRLEVPYQMLSCNCSTVKFTRLTITAHFSVPRFQEKLRVAVLVSKAALQFIQGLAPASEYTVPEDVKAAGYGICAEELSSIVESHDIKKLKSHGGVEAIASKLCTSPEDGLPKSRRRQAVREELFGINRFAETESRSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVSRNGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTEGLFRRKIMDASYLSWTGDDAMELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVESASDTKSLFSDLPESAMTLLSQSIFNNTGGDVVFNKSGSREILGTPTETAILEFGLSLGGDFLAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAMRAHSKGASEIILASCSKYLNDQGNVVPLDDATVAHLNATINSFANEALRTLCLAYVDVGDGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRAKSEEELNELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNILGQAFYQFIVIWYLQTEGKWLFGLKGENSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFVAVLGSTVIFQFIIVQFLGDFANTTPLTLKQWFNCIFIGFIGMPIAAAVKLIPVDF >KN538728.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538728.1:104649:108349:-1 gene:KN538728.1_FG036 transcript:KN538728.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESLEGNSAVDNALVCSRCLDAASVGDLHIFSDHDFLEQSCTKPLTMSWFPLSVKEKPLVSTIGKSTNILWHNCPIGQSERQNLLGQKGCVIWITGLSGSGKSTLACALSRELHCSGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRVLLPEIRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYESPVNSEFKLSLFKLPRKKNVAQFPSSYRFKDLPDADRMGTE >KN538728.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538728.1:110961:114159:-1 gene:KN538728.1_FG037 transcript:KN538728.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKRAKDLLTKDYTYDQKLTVSTVSSSGVYEMLVELKKVYFSSSSFYFQVIKAVKNISMQIGGDRGLTSTAVKKGGLYTLDVSSVYKYKSTLVDVKVDTESNISTTLTVFDVLPSTKLVTSVKLPDYNSGKVEMQYFHDNASFATAVGMKPSPVVEFSGTAGAQGLAFGAEAGFDTATGKFTKYSAAIGVTKPDYHAAIVLADKGDTVKVSGVYHLDDKQKSSVVAELTRRLSTNENTLTVGGLYKVDPETAVKARLNNAGKLAALLQHEVKPKSVLTISGEFDTKALDRPPKFGLALALRP >KN538728.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538728.1:62183:65331:-1 gene:KN538728.1_FG038 transcript:KN538728.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGTPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFTRNDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSKSGKEPKPHQPFSIVDAASASVHGRSAAAAKAATAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNELEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLIHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSVFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAGSTSSGGGVGKYLKARTAGSADVVADDSNKKRKASVSNVEFKDFSGW >KN538728.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538728.1:42089:45266:-1 gene:KN538728.1_FG039 transcript:KN538728.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPESLATRDYSATGSSSRIGNRESTPDDNQEARALLGRLEHQRGNFDAALQVLQGIDIRSLMPRMTTAIADSVKPRGPPRSRKKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWPYGVPEGTSEECKLIDIFHSALEYLPKLWMRSGFCEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAQVKFTQEFDQHKPATPRNNMEEAILLLLILTKKLALQEIKWDPDLVNHLMFALSLSGHYEILASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFNVLERKGKPHIPSLLLGAKLCCKNPKRASEGIKFADKAMKSFRKHDFHFVSVVNHLLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSSVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKSAVESFRSLLAIIQAKKEIWKQTPYDKVKSLQNLEMEAWLDLASIYTKLESWHDSNVCLDKAKSISSFSPKCCHVRGLILQAQSLHQEALTAFSLSLSIDPDYVPSMVCMAGILTILGGKSLSIARTFLRNALRLEPTSHQAWLRLGLVLKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >KN538728.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538728.1:81574:84418:1 gene:KN538728.1_FG040 transcript:KN538728.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLFLLAWITLLQVPCTIAAAAAGAACHCLPEPPEPQYGGGVVRNADFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGVADVRVVVKAAGDFIHAGGVAAKSGCWSMLKGGLTTVSGGRAEIYFESNATADIWVDSVSLKPFSKEEWSNHRDASAITARRKTVRLQATDSAGNPLPGAAVSLEAVRNGFPLGAAMSGEILRNPSYQRWFASRFTVTTFENEMKWYSTEPAPGREDYSVPDAMLEFARSHGIAVRGHNVFWDDPNQQPRWVQGLPYPQLLAAASRRIRSVVARYAGKLIAWDVVNENLHFSFFERRFGWDASTAFYAAARMLDTGSTLMFMNEYNTLEQPGDMAALPARYVQRLKQIIGGYPQNGAGMAIGLEGHFTAPVNIPYMRAALDTLAQAGVPVWLTEVDVGGGASPAYYLEEILREAYAHPAVQGVILWAAWRPQGCYVMCLTDNDFNNLPQGDVVDRLITEWSTAPRAGTTDAEGFFQAELAHGEYKVTVTHPSLNTSVSQSVKVEMGSGSHYFIQV >KN538728.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538728.1:146628:150425:1 gene:KN538728.1_FG041 transcript:KN538728.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEIYYIFERSPSTIETSAVISSVTTYKPDATVHLHYFIFAGLKSSLAQLGSFVGSLWTCNLTTGCGKETKRIGEKGKERDWERRHMAILDETRLQFGALQFGAYTFV >KN538728.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538728.1:48812:51857:-1 gene:KN538728.1_FG042 transcript:KN538728.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSKLLRRRLRGGGHRLLPSRPSTSAASQPPPPPPSAATPPPPGAGKEAGAWSKLFLFAPGAITFGLGSWQLFRRQEKIEMLDYRTRRLEMEPIAWNQMAPSDLSAGVDPAALEFRRIVCEGDFDEERSVFVGPRSRSISGVTENGYYVVTPLIPRPSEHGSSWPPILVNRGWVPRDWRDKNVQDHQGVREVPEYKEADKKTDGKGSWWKFWSNSKEPEQSCEIEKPVKPPVRVLGVIRGSEKPSIFVPANEPSVGQWFYVDVPMIARACGLPENTIYIEDINEDVSPTNPYPVPKDVSTLIHHSVMPHDHLKYTVTWYTLSAAVTFMAAKRIKAKKLKFPLLQKEMFDNLSTASSSLRQ >KN541424.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541424.1:1015:1930:1 gene:KN541424.1_FG001 transcript:KN541424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEDTWYSKLPRSRNRVTDPEEDIQEWAARRDNLAQHIMEKKKEFVKGKEAEPREYITWTDDATRFMLEWYVEVRKDKPYTFKWKNLHHLQCADALNEKFGLGITKNQVERHFRQCKEKWSWIRAALSKSGYGFDATTCKFSIDPSEKDSKKLGNYLGAVPKLMVPLLLISAL >KN541424.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541424.1:24910:25122:1 gene:KN541424.1_FG002 transcript:KN541424.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHFGGGADMVLPVENYMILDGGMWCLAMRSQTDGELSTLGNYQQQNLHILYDVQKETLSFAPAKCSTL >KN541424.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541424.1:3209:18315:-1 gene:KN541424.1_FG003 transcript:KN541424.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRRPFSFLFENLAHAVVGIVNAKTGVNKPELLPKEFTTVIDVAGFLSPGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDQTIVFVADPTFGNIINFNVGAIVDLDIPRSFWSRVSGKYGNMFFWKEKGEDASIEAAVMAVSRCLREPTGANNCSEVL >KN540940.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540940.1:9622:10724:1 gene:KN540940.1_FG001 transcript:KN540940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLQPYEPPEAVAEMINGWVKKGTDNLIDSIISPTDITAVTDLILANAVYFKGEWLEPFNWMYTREDTFRRLDGSCVEATFMSLGLTWLKVSCTDGFKAGDTEYSMFVFLPDERDGLATMVDVITAAPSYLYGILEKTETRPVRINLPKFEINFTWNGLKSDLIKLGLSLPFSPEAADLRGIGPPPDMVEFVADHPFTFFIMEEQSGVIVFAGHVLDPTV >KN540940.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540940.1:28506:29850:-1 gene:KN540940.1_FG002 transcript:KN540940.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESLFSIKMLEGIIATSYSEGDKFYIDPMKLLPLSRFLPQPKGQSQGGGRGGGRGGGRGGFRGRGGGGFRGRGAPRGRGGPPRGGGRGFRGRGRF >KN540940.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540940.1:24431:27351:1 gene:KN540940.1_FG003 transcript:KN540940.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPQGGQDCSKFGAFVDKNSGASSSSAADIELQNMCGTSVARTASLSTGRASPSQFAPTVGRYHRAAGSCQSDVLPDEQSLASAFDMVLSFRNHPVDYPTNPCNVVPSNGLYVSGPMRATSVQSFDPLLVQDESMRPQFGAGHGKLKTDEFTVDQQEQAHMLSQYFGNWPQNYGMNNMGGVASTPYKPSASLYQQPFYMDEQSQMYAPYQQIPSNFLLQHDMDVQNHSSMQPHYVYPQMQHAAGSNVRSNQQAAACTSARGRSTYGHQLLLDGAVYHNGNNQMNSLYMDGFPGMYTDSSFDSSDFHRLLEAEKFAHPYELNSSSKGFLQPQIPDDLSTMKMLMNSAGVNRVRAIKFPPTVNGYSGVGRRTNGYGHNHLDVKSDETLHLNGLNSQFMSLKSEYDLAMKSTQLNYGSVDEVAGRIYMLAKDQNGCRFLQKVFTEGTKEDFEKILAEIIDHFGELMIDPFGNYLVQKLLEECSDDQRTRIICEITRVPGELITVACNMHGTRTVQKVIDTINTPEQISKVVSALSPGAMRLMTDTNGSHVAQRCLKKLLPEYKAFLLDVAALRFLRLAKDQHGCCIIQKCIEHSNDEQKYNLLCKITSSALSLSEDQYGNYVIQFVVNLGIEWATSKIVKELKGHFGYLSMQKCGSHVVENCLKQASELDREMIIHELMADSKLPHIMADPFGNFVIQTALKECKGELHSSFVEAIRPHAPALQNDVYAKRVLSKTYLKNKQYRLGIF >KN540940.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540940.1:11413:13400:-1 gene:KN540940.1_FG004 transcript:KN540940.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRNAMKALKSYAKHLDSKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQVGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCLKALVESSNWRFAAGETVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRSALQILDGDTAESSSQKRLSAIQMINFFMKFVDPRSISSELSKVVDIMEQCQNDRMPFVRGAAFEASQTAKSIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWNAKGMAASPVQFQSPESHVVDSSIMCESTITESPVSIGQSSCNFDQNRRTNRRLWSNDGVDVSLKDGLFFRLCSDGKYLEDDLDEVCDSEVTDTNFECTNSFSGFVSASPNGATSRDKTPSPQASERPISIDDVKIYTTPRKLLRSLQSSYDFDSARNEERSIAKLNNTSSPSEENNELEESSEEMQSQLSDSKIEEGNYENETLDAQSADDTTQILSNEDKSVLCTPEVEDTSSKASSEGECKEQDVFVTRSMGKSRKYKAVFSFLLSIVMIVLAIIAVLIRIESYDDYVGLVPT >KN540940.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540940.1:36957:37309:1 gene:KN540940.1_FG005 transcript:KN540940.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAALLPMPAPKRKWEGQANGSVPGESSGRQQQQKPEEKRVAKQVKVETPDVDAKQLKSSFLKMVKLMNENAEQKKNYRANGKISQLKCTVCGR >AMDW01017743.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017743.1:138:209:-1 gene:AMDW01017743.1_FG001 transcript:AMDW01017743.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVKVDLKFPLKPFVSPAAKDLISQ >KN542427.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542427.1:1395:3892:1 gene:KN542427.1_FG001 transcript:KN542427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METKPVLCKAIEGVFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPEKTELQFFLNDLPGNDFNYVFRSLQQLKQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVFPMKMKNQKFSQAVVDPLVQVPEELSSGVHLNEGNIYIGKATPSHVVKLFQKKFKEDFSLFLALRSEELVSGGCMVLTFLGRKSSEMLAHGDVDTMWELLAEALQILVQKGRVKEEDLTTFNLPFYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDVVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPIVAKHLEKGRAMYPVIVVSLKGRL >KN547351.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547351.1:54:500:-1 gene:KN547351.1_FG001 transcript:KN547351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLAVAAAVALAALRPARAAATEHMVGDGNGWILGFDYAAWAATKQFRVGDTLVFRYKSTNHTVVEVGGADFNKCNKTASANEWSSGEDRVALDKEGRR >KN545091.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545091.1:564:2355:-1 gene:KN545091.1_FG001 transcript:KN545091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQRAKPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVQIHQAKNIYICIETDRLQAYSYGRSKRFNVAPLCAAISVVCSFLMLMVMLLPEELLKLVLNCYSFSMVTVLSFCSAENALYSISEF >KN539788.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539788.1:72805:77829:1 gene:KN539788.1_FG001 transcript:KN539788.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAPGAVSSLLVLISNEAVLLRGVRDDVQSIKEEMESMDSFLTHLARSVPPDGEHNEQVRTWMNQVWLLAKDCKNCIDLYLYYAGNPDIYRIKRGLRRYIWWVRWSLRKLVAQHRAAVQLSQLKDRARGVGDRRLRYGVEVPATTKAAAPDAAGGYAAGDDGEDCEDQLEVFEPLALDDYVKAKLLEWVEEIPMGDIETLSIAIVASPDTDNKEVLALAHDTLVAPGYYYHRSITVNVPAVHAEFLPLRPKEVLFYILWELKCAKAPGSQKQATDQGEPSKAYHSIYCSRKRVLSIIKKNIGKMNIYSKLEKIKIDIQHVQYVSCKQLLLQLQEKGVDQVDLCVLLRLLMLQSQQDQANSQAVGMQKLPEWNDNIIMKIARKLKKHMEEDENTNKLNKQIGVEEETTKQGGEKRKEENEEKEKDKGEGGDGEDWNDKAKERKEKEKKEEGGREERKEEQNEEKKEKEVRKEKQVGGQEEEEEENSIHLHEAQYAQILRDVFPMTTSSKSQQQEKSAAKQAVKTAVRTAAATLDEERIKQMINEAIQDALRGLQGEKSDKNQATCEYEIVLNQNEEVYFEQIEQKIEEIKQELKKQLKIKGIVDKIKHQLQDECPIIILKVDKMMDGSRWEEIRKALNLLECAADALIFTTESTEQAKGYCYPPREPIDYSLVGLYHYTALKLTSKQMNEDNYNPQIFRDILEECKGHEFCMKIFTHALYANPKRSNEELSKLHNTLQTSQKSFDAIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVTEGLTFKEDWPSSVRQANQCFDALIRRWLVYPADIGAIGKIKSCEVGDLVHGFITTIARKQHIVETRLSHHSARHFSIFNDLRLCSSDGIHTFLQSLSRSSRVSLLKVLDLEGCQCFGGKNQRYLKDICSKMLLLKYLGLKGTDINQLPSEINCLRELEVLDIRETMVPANATVNVLLLKLKRLLAGHIDPSQRNFGTSVHIPHKIDKMVNIEVLSNIKAQRRDDLEDIGKLWQLRKLGVVIDDKKSHLMNLLKAISDLHECLRSLSITIPTTTLNGTPSSPELPDDIGSRIEQHPKILESLSISGARHLFPLLTRGGNNKLAKVTLSGTPLNQDDLKVLAKLPKLQCVRLRHISCTESVLIFEEDDFECLKYLLIEGSNLTNITFEDGAARELEKMVLSSTGIESISGVDELPKFEELELNNNSCGRLLSSFHKAKQITKLTLRGTLLKQDDLQIITKELKICCLVLLDNSLDRSQDQITFEKEEFIWLNLLIVDCSAITKIDFTSGSAPRLEKIVWSPSTSLFGVNNLPRLKELEFNGKVVSNEVKEAIENNKRIKLKFFLFLKKEILCNMLDWILKLNDELGKWGKQSFMQIKYA >KN541529.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541529.1:7903:8739:1 gene:KN541529.1_FG001 transcript:KN541529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTSRASPAAQAAANQHAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDNVEEDRLREILRGKNAAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSLGAVRAVLSCGDASAAGPDSQNALHAAVLQNPG >KN540070.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540070.1:16214:18860:1 gene:KN540070.1_FG001 transcript:KN540070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFLRSMGLERSPSLRQADSADVVGDVEKEEGEVAAAAEIGRWSSQSDENECSMSSWSTEETMSYDDGASDDNSVSGSSKASRSFNSLSFIQRLMNRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIGAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNVESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIAVNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRVLDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQVDTFWSMSKAIRYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRSFQNFGLPVHQ >KN539788.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539788.1:53412:55134:-1 gene:KN539788.1_FG002 transcript:KN539788.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPTLPSSASSGHGLAATSSSPGSSSPSAHWRRAASSPGTSPPPRRTEEAAVGGLVRELEDGAGGWTGFVIADAEDDRWLAECWGRGISAHWVSAAGSVKPEPLEMGGGRQDEGEVQWRAHWRGEWEELRSSGHGRKGEERSVTVEFSTSHLYKGGQGCNGWVLIDSNTWWRIRVPNNSSLQFVDIVDHAKTAIDAR >KN539788.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539788.1:27504:28063:-1 gene:KN539788.1_FG003 transcript:KN539788.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRQGGGEAQQRSSLAERMGGTQIKVVMEGKGCNGWVLIDSMVNTWWRTCVSNNPSLQFFDIVDRAKTTIDARTGLPINQGMQLAKL >KN539788.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539788.1:2729:3162:1 gene:KN539788.1_FG004 transcript:KN539788.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLQATEGEGGGGEAKAVTTCFAEFASEANLDVDDDGDEEEEREYQRAKREDELKRRWISSVLAMAGREVDAGVVDPASVYYYKSVEVLYESSRDLRHVRKTMDWHLFYNMHVLSPRSINFIHHHFLLE >KN541529.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541529.1:15322:16654:1 gene:KN541529.1_FG002 transcript:KN541529.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSEGLSALHVAALMGHAAAVRLLLQFSPASVDIRDNHGRTFLHIAAMRGQVSVISYTIKNRMLVHILNEQDNEGNTPLHLAVVAGEYKVISKLLCSGKVHNHIMNNVGHTPSDLAEKSTGFYTMVRIILKLYLSGAPFRPQRQDHIVKWNGQDMIKWQVTTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGDRLYHAFVMLDTIAVTTSVVATILLVYGKIAQSHRSWPSFIIAMYSLWLSLICMLLAFFISIIAVMDKNNSIRIALTRVMYHGLYILMMMLTKAAMPGSIKGILMFLIRGRLEQERREKRRIRRQYPLIVFYIFNIIVFTVVTTMALIAIDVTGNQSLQY >KN539788.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539788.1:22639:26422:1 gene:KN539788.1_FG005 transcript:KN539788.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDSFLAHLARSAPPGGEHNEQVRTWMNQVRLLAQDCKNCIDLYLYYSGNKDIYRAKGRLQRGLWWVYWSMRKMVAQHRAAVQLSQLKDRARGVGDRRLRYGVEGPATTKAAAAPDAAGGFAAGDDGEDCEDQLAVATAIHHSARRAVFEAPTLDDYLKAKLLEWIQEIPTDATETLSMAIVVPNAHNKEVLALAHETLVAPNYYYRRSIIVNVPAMHLDSLPLRPKEVLYYILQELMRAKVAGSQKQATDQGQWEEEEDPNPWKDFVKKCGNYRSKKRMLRKIKRKIEKMKIYEKFEKIKSDIQDGQQKGDKTLLLQLQKKAQYKQILQEVFLKTISSKAQQQERLAAKQATKTATTTLDEERIKQMINEAKQDILRELQGGKPDKNQATGEHASVSDQNEQAYFEEIEQMIEEFKRKLKDQLKIKGVVDKIKHHLQGECPLIILKVDKMMDGSRWKEIRKALSLLECSADALIFTTESTEQAKGYCYPLREPIDYSLVGLYHYTVLEITSKQRNEDNYNPQIFRDILEKCEGHEFCMKIFTHALYANPKRSNKELSKLHSTLQASHKSLDAIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGEKIRRSTLVGRWVAEGLTFREDWPSSVRQANRCFDALICRWLVCPADIGATGKIKSCVVGDPVHGFITTIAKKQHIMHTRLSHHLARHFSIFNDLQLRSSDRIDTFLQNLSRSSQVSLLKVLDLESCQCFGGKNQRYLKDICSKMLLLKYLSLKGTDITQLPSEINYLSELEVLDIRETKVPANATVKVLLLKLKRLLAGHIDPSPSNFGSSVRIPRRIDKMINIEVLSNVKAQRHDDLEDIGKLWQLKKLGVVIDKKSHLVNLLKAISDLHECLLSLSITIPTTTPEGSPSILELPDDIGSCLRHHTNILESLSISGAMHLFPLLTKGGNNKLAKVTLCSTPLNQDDLEVLAKLPKLQCVRLQHISCTGSVLIFKEDDFKCLKYLLIEGFNLTNVTFKDGSACELKKMVLSSTTIESISGVDRLPKFEELELNNSPVPNEVKEAIENNKRINLKCNEP >KN539788.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539788.1:63655:63852:1 gene:KN539788.1_FG006 transcript:KN539788.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRSPGREAHPRQQGADPTATLPRGGLVRRPVVIVVAEREVADAEAGADGEGEGMKRAIGAV >KN539788.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539788.1:18485:18911:1 gene:KN539788.1_FG007 transcript:KN539788.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTARVATEVGQGGSAFTRSGEVDPPSLSLGGAHPLSSGSGGMWICGMCGFGILREILKWEHEFG >KN540070.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540070.1:55433:58263:1 gene:KN540070.1_FG002 transcript:KN540070.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAERVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKLIVMLYWLQVCDQPHPLHVKTMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKSS >KN539788.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539788.1:47916:52079:1 gene:KN539788.1_FG008 transcript:KN539788.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTELASGAVSSLLVVIRNEAALLGGVRDDVQFIKEEMESMNSFLAHLAKSAPQGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYSGNPEIHRAKGRLRRYLWWAYWYLRKMVARHRAAVQLCQLKDRARDVGERRLRYGVEVPATTKAAAADARGGYAAGDDEEDCEDQLVFELDTLEDYVKAKLSEWVYSIPNNAKETLSIAIVAPDSENKEVLALAHETLVAPNDNYRLSIMVNIPAMHHPFLPLRPKEVLYYILRELELGEGAGSLKHVINQGDPGQDYYNIYRSKKRVLHEIKRNIEKMNIYSKLEKIESDIKDGQHKSDKQLLLQLQKKGVDQVDLHVLLQLLLLQSQQDQAKNKAVDMYKLPEWNDDIIMKVAWKLKRHMEADEKTKQGGGERKEEKDKGEGNGEEGKEEENEEEEKDQGDREEEGNEEERKEEQHHENMKQGPEQEKEKEERKDEQNEEKEEREGRKEEQVQIKQMINEAIALRELQGGKPDRNQATGEPDVPPDKNQATVEHASVLDQNEEAYFKEIELKIEEIKQGLKEQLKIKGIVDKIKDHLQGQCPLIILKADDMMDGSRWKEIRKALSLLNLSADALIFTTESTEQAKGYCYPPREPIDYSLVGLYHYTVLELTSNHKDEDNCSPKIFRDILKECKGHEFCMKIFTHALYVNPKRSNEELSKLHSTLQHSQKSFHAIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVAEGLTLKEDWPSSVRQANRCFDALIRRWLVYPGDIGGTGKVKSCVVHDPVHGFITTIARKQHIVETRLSHHLARHFSIFNDLRLRSSDGIHTFFQNLSRSSRVSLLKVLDLEGCPCFGVKNQRYLKDICSKMLLLKYLSLKGTDITQLPSEINCLHELEVLDIRETMVPANATVNVLLLKLKRLLAGHIDPSQRNFGTSVHIPHKIDKMVNIEVLSNVKAQHRDDLEDIGKLWQLRKLGVVVDDKRGHLGNLLKAISDLHECLRSLSITISTTTFEGSPSNPELPDHIGSHLKHHPEKLESLSISGARHIFPLLIKGDNGNLTKVTLSSTPLNQDDLEVLAKLPMLQCVRLQHISCTVSELIFKEEDFKCLKYLLIEGFNLTNITFEDGSACELEKMVLSSTSIESISGVDELPKFKELVLKFNNNSCGRLLSSFHNAKQIAKLTLCGTLLKQDDLQIIAKKLNIRCLVLLGNSLDRSQDQITFEKEEFIWLNLLIVDCSAITKIDFTSGSAPRLEKIVWSHFTSLSGIDNLPRLKELEFNGKVVPKEVKEAIENNNRIKLKCNEP >KN540070.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540070.1:29544:47535:-1 gene:KN540070.1_FG003 transcript:KN540070.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTWVAGYALQCIVHMVCVAIEYRMRRGQRDRAPASADEERGSDGSSSSSDDDVTEDDRRDSRTDCVSIAKHLESANTMFSFIWWIIGFYWISAGGEDVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQTADETGPFGGIMTECGTNQPIEKVLAPEDAECCICLSAYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKFNIRKSGSSSGSEEHKNCCKWAIGPVELSGAQFDSSEATRRYFDERRYPIMMGSPSVNSYFLLSRPERSGSPPNKAAPDIVPQAPAEENPTPIREHVDFFRAAINILGTDNDTKDVSLADIYPKQVNKMDILSLGLPNLSTELSDDDIRETAYEVLLASLFVSGKILFSEEKKEKKPKFLKGLRSKTEVSNPSPQPENHYAHLLDLIRVQMEARQMFSKNYFSSQDRVSMDWVVSVPDGRVEVLTIIERYNAKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLVEEADEILETVKLTWTILGITQKLHGTLFAWVLFKKFAETGEILLLRHTCLQTQKLRLHNDAKEIELYTNSFVCSAEACGRNMALSLVDGAILKINKWCCSQLENYHAYFNKVDNSIFEGMLNLVVISETSRTDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMISQFSSPLILQWLHVQHENVLEWTKRTIEIEDWEPLSAHERHATSVVEVFRIVEETIEQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQGLTMDVKSGIVSQQVNQVSNLLVVPRATLLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVANKLNNLTVPKLCVKLNTLQFIRDQLDNIEEGIKQSWVSVQSAVGLLDYLSCIASGRTLPKNLSSEESIDELFTIFDDVRRTAVSTTDRILNFIVYALIHGMNFKQVYLTFKHTVVSRFHTRDLFGQLGSCIFIIGRAKVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLMQQDLAMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKADTIIDMLINVSDQLPHHLELTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDIPTKNAPSKAPMFSDMLKSTSFNWSETGQQSFRVMKKKLQDTWQ >KN540070.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540070.1:26593:27600:1 gene:KN540070.1_FG004 transcript:KN540070.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDPSTDETISWNDSGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDCFRRGEKHLLGGIQRRKGSREENGEDEEEEEEEEEEGADEDGEDEEVEEEDDDERERHAARRVPVREGKVRRTTELSDLDVLALSVRAAAAARPGGASRDRKSSVS >KN540070.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540070.1:59496:65194:-1 gene:KN540070.1_FG005 transcript:KN540070.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVGDHFGDGVVPAGMQFPYSGAGPGVFASSPALSLALDAEHGNPPKRKKRYHRHTPQQIQELEAMFKECPHPDEKQRAELSKRLGLEPRQVKFWFQNRRTQMKMQLERHENALLKQENDKLRSENLSIREATSNAVCVGCGGPAMLGEVSLEEHHLRVENARLKDELSRMQAELQVLSPLVPIREVKFLRFSKQLADGVWAVVDVSADELMRDQGITSASSTANMNCRRLPSGCVLQDTPNGFVKYLVQISVFSEVYNLSIDQFMDLPPAFMVTWVEHTEYDEASVHPLYRPLLRSGLALGAGRWIATLQRQCECLAILMSSIALPENDSSGKRSMLKLARRMTDNFCAGVSTSSTREWSKLVGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPERLFNFLRNKGLRAEWDILSNGGPMQEVTSIAKGQQNGNTVCLLKASSSAPLTKNFTVQPTKDKQNSMLILQETCADASGSMVVYAPVDIPAMHLVMSGGDSSCVALLPSGFAILPAGPSIGADHKMGGSLLTVAFQILANSQPSAKLTAESVETVSNLISCTIKKIKTALHCDV >KN546853.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546853.1:91:810:1 gene:KN546853.1_FG001 transcript:KN546853.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLIEPAATKLPPGRRGFLAIRASREWKARIQRCIERINFHVSAIELLGFLLMAHVPNSLIGYSVNQELITD >KN540003.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540003.1:23517:24092:-1 gene:KN540003.1_FG001 transcript:KN540003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPAMYFLLEPESQEPVCLLILFTMFTWFISKVQMILPSSCQHCNCAITKVTSTPVLADRKISKNLSKHRDDGIEMTHEDVESVMTKMGLDFDHGRTMVYKAIGSNCMSELFDDDEPSLDEVKQAFLVFDEDNDGYIDALDLYRVLRKLGLREGVGVDECEQMIAKYDMNRDRRIDMVEFIRVLEASFC >KN540003.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540003.1:39208:42550:1 gene:KN540003.1_FG002 transcript:KN540003.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVTDFRALCGFVSVQELKDVLRTVPEVRELVGKEEAVKLMGAKEHDGGIGVRSYLQSAFTNLMTASKETVSEAVSKLKSRLNIESKAYYLFHLPIICVSWDVNVRTLTKKEQLVLSLEMQYPEDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECVECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQNYPEILRGVPVQAYVTRYIPPSEEFEVDRCLLPSGESVTMSPVPGPSIFLVMTGEGKIEADSMLDEGKAKEGDVFFVPAHTEVRILASGPGSMQLYRAGVNSRFFS >KN540003.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540003.1:26678:34577:1 gene:KN540003.1_FG003 transcript:KN540003.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPAVHLAVVLLAFCCLIHASSSAETPLPPALKVMQRFEATPVSSRGDDQLQLQTVCSISTMIHKAAAVNAGEAEAGEKKDGCTFASGGKEFGMGDHVVVNVEGLGKDGGTAEKPSEAVNSSVVAAASFSTTVDTVEEGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQYYCMGALSFKN >KN539073.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539073.1:60474:65031:-1 gene:KN539073.1_FG001 transcript:KN539073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHGTLEATILEADHLSNPTRATGAAPGIFRKFVEGFEDSLGLGKGATRLYATIDLGRARAAQPIGATLIGRAYLPVRELLSGEAIERRLDILDAGRRRISHGPTIHVRLQFRDRPGCRVTLYQDAHVPDAFAPRIPLAGGGYYRQGRCWEDVFDAISNAKHLIYLTGWSVYTEITLIRDGTRQRPGGDATLGELLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETADYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIVDHDMPFHSLFRTLDTAHHSDFHQPNLDGAAITKGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGDKDLLLDLKAMADLIIPPSPVMFPDDGEAWNVQLFRSIDGGACFGFPSTPEAAARSGLVSGKNNTIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIRPEDIEALHLIPREISLKIVNKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDIAVALEAKRIDADPRDYLTFFCLGNREVKLNGEYEPAGRPLDGTDYAKAQKARRDSEIAMGAFQPCHLNTKGQVARGQIHGFRMSLWYEHLGMLHDNFLNPESLECVQRVNKMADKYWDLYASDELSDDLPGHLLTYPDFYLTVTAATVDRNVQHYNVTFWEKVEGAIKKHFSHVIALLQRNLPVRVSPSQMLLVAPNFGADLVFSCNMEVWRKWWPDLLCFGPADASQLSCFCHSLWSFPFLLY >KN539073.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539073.1:111304:117323:1 gene:KN539073.1_FG002 transcript:KN539073.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEEAGFMRLLEAELDKFNSFFVEKEEEYIIHQKELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLDQLLPSNELSVSSEDGRGDSTNEDKPSNPSSSLVNGGAIPELDEIEYMESMYMKGTVAALRSLKEIRSGSSTVSAFSLPPLQGDSSPEEQQELWNKIPHERPIYKLVFAGGDKGMSQTEIRIKTGMPTSTLTKHLRGLTTKGVLKVVNSVHKRAEKIYMDVRIDPSPEITGGTWYRNGQLDSDAVASARRRCLDQIDKLGIATAESIHEGISRDNSTLAYSTEQVRDILRTMALDREVEEVRSTGAGEFGDLRAGRVCYRRGGPVQGGMMERIPCGVCPRIDECSPDGVISPSTCVYYKKWLQMDF >KN539073.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539073.1:8945:11212:-1 gene:KN539073.1_FG003 transcript:KN539073.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCVTAPALIPWVDDDDVTEILLSMEYLPNQFSETYKLFVKMVHDNGGFRENFKNRLTNIERNQGLNCSRSRCLVDNNDDFDFVCTTEEKHIIVHILCAKPTKTIIHIEDGVLMKADLECLVRAYPYDDYKKCISTKVYQTYLDNSSIVSMLTNYVFYDAVEIGNTEQNMYKSVV >KN539073.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539073.1:69306:72009:-1 gene:KN539073.1_FG004 transcript:KN539073.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLMHGTLDATIFEATNLTNPTRLTGNAPEGFRKWWEGLENGLEKTTGLGPGGTRLYATVDLGRARLGRTRVIDDEPVSPRWDERFHFYCAHFAENVVFSVKVALSVDAKLIGRAYLPVRDLLSGEAVERKLDILGDDKKKLPHGPTIHVRLQFRDVAADCGGKWWGGGVGDAAYPGVPCTYFKQHAGCRVTLYQDAHVPDTFAPRIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPARQRPGGDATIGELLKRKASEGVRVLMLVWNDVSSIQALNAIGIKLSVGQTHDEDTLAYFKNSDVHCILCPRQADAAAGSSFIMGTKSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSKLEGPIAWDVLYNFEQRWRKQSGHGDLLVNLTALEHLITPPSPVKLPGTNNDDAWNVQLFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPDDGVRPEDVEAVNLIPRELSLKIVSKIAAGERFAVYVVVPMWPEGHPDSQAMQAILDWQRRTMEMMYYDIAVALKANHSDADPRDYLTFFCLGNREAKSHGEYVPAHHPDQGTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNINGQAARGQIHGFRMSLWYEHLGVLHDEFVHPGSLECVRRVNAMADRHWQLYAGEELHGDLPGHLLTYPVAVEKDGGAVTALPGAEFFPDTEAKVIGTLASSAYMIPYLTS >KN539073.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539073.1:91341:93086:-1 gene:KN539073.1_FG005 transcript:KN539073.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWGGLSVNCGERHNHLLCQYAGTNTEAWTVCTTPEMDLMGVRNQGLNVLINVSKEEAPHLLMNSLSFILSGLLALCQPGRLQGFTFLQVNGGGKSELGGFGYRKRMTMIRPATPLSETKSTRGVNPLANPVPVQLEGTVWPVVSDHSLAKHSTGQMHPVR >KN539073.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539073.1:1765:2037:1 gene:KN539073.1_FG006 transcript:KN539073.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTWYSTSLLLPAYMSMACDSRRRSSRAYMRSSLPMSCCAGGSGAAREGGGGGWDTSCVAGGEGGGGGGGGGGGVCLMSSMASSADHAITS >KN539073.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539073.1:119848:120027:-1 gene:KN539073.1_FG007 transcript:KN539073.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFSRFDNAAAAGGMRHDLTQTVALPCAWPSTTIEAYKKTPNVELQDQVSGVNMLLG >KN539073.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539073.1:140522:143809:1 gene:KN539073.1_FG008 transcript:KN539073.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRYVSVRHHVEGLPSEDDFEVKAARVRWSPESGEVLVRNTYLSMDPYQLNRMKRRSASHLAVDGIVPGERIDAYGAGEVVASACEEYKEGDVVAGVLGWEDYTLFRPSPSVLMSKLAASDDLPLSHHLSALGTSGMTAYAGLYEVGRAEAGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTNAKVDLLKNKLGFDDAFNYKDEPDMKSALKRYFPDGIDIYFDNVGGETLEGALANMNTYGRVALCGVISEYTDAGHRAVPDLLEVIYKRITIRGFFAWDFLTRFAEFTGIIGDWIRQGKVQVIEDISDGLDSVPSAFAALFSGDNIGKKMVKLA >KN539073.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539073.1:145033:147875:-1 gene:KN539073.1_FG009 transcript:KN539073.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVHLDLEAPATERAELAAAVRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGEWDWFINLSASDYPLVTQDDLLYVLSDLPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLILNDFDSMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVAGGWMDLLNTTTVKGSFTVERVQDLRPGPGADRLKKLVTGLLTQEGFDDKHCL >KN539073.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539073.1:82647:85464:1 gene:KN539073.1_FG010 transcript:KN539073.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLMHGTLDATIFEATNLTNPTRLTGNAPEGFRKWWEGLENGLEKTTGLGPGGTRLYATVDLGRARLGRTRVIDDEPVNPRWDERFHLYCAHFADNVVFSVKVSLPIDAALVGRAYLPVGDLLSGEVVERKLDILDEHKKKLPHGPTIHVRLQFRDVAADCGGKWWGGGVGDAAYPGVPCTYFKQHAGCRVTLYQDAHVPDTFAPTIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATLGELLKRKASEGVRVLMLVWNDVTSLQILQSLGIKWGFSQTHDAETFQYFEDTDVHCVVCARHPDAGGSIVMGVKVPFASTHHQKTVIVDHDTPAGAGSGLRSIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSKLEGPIAWDVLYNFEQRWRKQSGHGDLLVNLTALEHLIAPQSAMKLPGIGNNDDHDEAWNVQVFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGEVKRSGEYVPGHHPRDGTPYAKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNIGGQLARGQIHGFRMSLWYEHLGGELHDDFLHPGSLECVRRVNEMANKHWELYASEELHEDLPGHLLTYPIAVAKDGTVAALPGARFFPDTEAPVLGKKAINPLMTPDITS >KN539073.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539073.1:18429:18830:-1 gene:KN539073.1_FG011 transcript:KN539073.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLLTSAKFLTALVSFLTLIPQLLRIKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTNEIRKSLDRHTVLYTSLIELSRVLGLTNCAVVVENTLPDLVVGDERRIFLVLLHMVGNLIGHTEPGHVTL >KN539073.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539073.1:596:1057:1 gene:KN539073.1_FG012 transcript:KN539073.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMNFGRAPIGVLVKSMDFGNVPICLLIESGLALIIPSY >KN539073.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539073.1:93875:94846:1 gene:KN539073.1_FG013 transcript:KN539073.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVARNATGELTPAAAVTGRDGRVGGGNGSSSSMTANGGGNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVELNKDTAVSSAATVAAATAASSSDQPTQSDSTVTTTSTSTTGSPSPPPPTMDDEERIALQMIEELLGRSGPGSPSHGLLHGGEGSLVI >KN539073.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539073.1:134391:137942:1 gene:KN539073.1_FG014 transcript:KN539073.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASGEEGKPFVEEMRAVAMRLHTKDQAKEGEKESQAPPVARWEPSVDGYLRFLVDSKLVFETLETIVDRAAVPWYAEFRNTGLERSEQLKKDLEWFKEQGHTVPEPSAPGTTYASYLEELAEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQNVRNKLNQVASSWTREEKDHCLDETEKSFSYSGDLLRHIFT >KN539073.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539073.1:54447:58275:-1 gene:KN539073.1_FG015 transcript:KN539073.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLALHETEAEREARIREMFAFFDVDGRGQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAVIPEGISKSVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHSIKDIWSQGGMLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >AMDW01040179.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040179.1:132:1281:-1 gene:AMDW01040179.1_FG001 transcript:AMDW01040179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELKLDKCSGFSTDALRLVARSCRSLRTLFLEECSIADNGTEWLHDLAVNNPVLETLNFHMTELTVVPADLELLAKKCKSLISLKISDCDFSDLIGFFRMAASLQEFAGGAFLEQGELTKYGNVKFPSRLCSLGLTYMGTNEMPIIFPFSALLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDP >KN544908.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544908.1:230:2118:1 gene:KN544908.1_FG001 transcript:KN544908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGFEMIDAIAEAEGISVSSKQFKSMVGKGLIGDVPVMLAKPQTYMNASGESVAQLVSYFKIPLSQVLVIYDDLDIPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPTGKLDAIGFVLRSFTKEEQEELNLTINRSLQAVRIMLLEGFNKGATFVNTPQPSEMLNK >AMDW01044579.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044579.1:1676:2092:-1 gene:AMDW01044579.1_FG001 transcript:AMDW01044579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTARSGVGVAHMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIITTGINPTAIPYYHFDGSVHLAAGLATGLCALASGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQSRAH >AMDW01040705.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040705.1:87:1628:1 gene:AMDW01040705.1_FG001 transcript:AMDW01040705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRRLGFLGSCTPTVRQNASEDLPGAGLHPRFHQSVLPIHCVLGSLRLCHSTVLDGSKDVHVSEIVKILKSRDGGSELAEVLNQFSDEMDEDVVLKVLQKQRSNWKVALSFFKWAAGLPQYNHGSRAYTEMLDILGRMKKVRLMRQLFDEIPMESRQSVVTNRMFAVLLNRYAGAHKVQEAIDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRGCQADVATYNTLIKHFCKINRMEKVYELLDDMEVKGVSPNNMTYSYILKTTEKPKDVISLMQRMEKSGCRLDSDTYNLILNLYVSWDYEKGVQLAWDEMERNGSGPDQRSFTIMVHGLHSHGKLDEALHYYRTMESRGMTPEPRTKLLVKAIRMKKDEPATEEQPLTRKNLKLDPISRLFHVRK >KN540505.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540505.1:4004:10168:1 gene:KN540505.1_FG001 transcript:KN540505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMMREVEDKSSPEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEEGSDDASDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESGSSDSGSASDSSSSESESSSDESEKKRSKRRKSNWHGYQGRFVDMQVYGDIVNIMRIFCSIKMQHPKIPSHVHHKPLLPSHVHHKPLLPWTRAKLASLPEESEAATVGEPLETARSSEELEYFIRFSFILEIYIRTTNDNLSLRYWGVTC >KN542820.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542820.1:4041:5640:-1 gene:KN542820.1_FG001 transcript:KN542820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPVQQQGDTVSSLVYEANDRMRDPVYDCVRAISFLQNQAISGPPLQ >KN542820.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542820.1:11242:12182:1 gene:KN542820.1_FG002 transcript:KN542820.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYGDVHESARKLVGKVALITGGASGIGECTARLFVKHGAQVVVADIQDEAGARLCAELGSATASYVRCDVTSEDDVAAAVDHAVARYGKLDVMFNNAGIGGAACHSILESTKADFDRVLAVNLTGPFLGTKHAARVMVAAGRGGCIVGTASLASAVAGVASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEAAMEALANLKGVRLRVEDIAAAVLFLASDDARYVSGHNLLIDGGCSVVNPSFGIFKD >KN540505.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540505.1:40419:44460:-1 gene:KN540505.1_FG002 transcript:KN540505.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGPAAFAFDYYIRLPFHHKSRPFNFESGGSAKSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNTSDYNTGDLKTAADSHTFLLKWFQLYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGLHDGVKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMALISDDIYQEAQTACHGNYWNTTTDKCENALYKVDTSINDLNIYDILEPCYHSKTIKKVTPANTKLPKSFQHLGTTTKPLAVRTRMHGRAWPLRAPVRAGRVPSWQEFARGSRPSGVPCMSDEVATAWLNNDDVRAAIHAQPVSSIGSWLICTNVLDFIHDAGSMISYHKNLTGQGYRAFIYRYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLAGSKL >KN540505.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540505.1:32545:36200:-1 gene:KN540505.1_FG003 transcript:KN540505.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAVRPDDRTFPFALHAAAAAAAFAEDKGLELHASALRRGHLADVFTGNTLVAFYAACGKACDARRVFDEMPARDVVSWNSLVSAFLVNGMFHDARRALVSMMRSGFPLNVASLVSVVPACGTEQEEKFGLSIHALAVKVCLNTMVNLANALVDMYGKFGDVEASMQVFDGMLEQNEVSWNSAIGCFLNAGFYGDVLRMFRKMSEHNVMPGSITLSSLLPALVELGSFDLGREVHGYSIKRAMDLDIFVANSLVDMYAKFGSLEKASTIFEQMKDRNVVSWNAMIANLVQNGAETEAFRLVTEMQKSGECPNSITLVNVLPACARMASLKMGGMLVTASKIFNKITKKDVASWNTMILGYGMHGQIDIAFELFELMKGARFLYTISFDVKFEDSTKTTNLYIRFLGPIPDLSLGHVAHALWLRSDYRLEKLATYSHTPAAIR >KN540505.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540505.1:26273:28465:1 gene:KN540505.1_FG004 transcript:KN540505.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEWRPYGSSGFALQLDKVGIWIHGRDIARSRELLSFAHCLRPCELVGLRCVEHYLPHRVTRQLGFDQDVPGNVPRASSNSSVAWATYKMEPQDVKFTLPRHEPGVTVEYAQWWEPYSSACAAAVANAAKMKQLDGVDCPRKRNAEGFVDGDSGKRRHLEIAEDPEDEIPLIDRQNSITMTVNGSSNHVEIVGIGKDSMASWARNGGNGSPLHKSTQQALSDAEAVLETTVEVCCLVEDAASDDNNKAIGPAASVTRKSIPKDVVVISDDEFDEELSSKDDEMNTIYLSSDTMETTKCTLQALDVKREVVITGNGEQGSPLLKEVRVQSKCYDIIEIDDESGEVTSKGEEAITMHLNSPMLDKIASTLREANEESKPGNTSDKRENSVLKDIMPRNNCDSEDATVLNDITLRKELAEVTHVSSAQINVDTSEASTKEMRACITSGEADKRGKLNKKRLAALEGDEKENEDMSVSNQEIGSHMDCQEVNKKGNNESSSSILVDGNADHVKKTVSAKTPCKNADQEGFHQSREVGMEEMVRGASEAQQAQKAQLEAAIDSLKEEIAMLERQVRDTNPRKA >AMDW01022724.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022724.1:37:315:-1 gene:AMDW01022724.1_FG001 transcript:AMDW01022724.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIGARCARDFGYELFCNHSYSPPRLTFFPPLPTPTSILAGRRLNLASLSIADGEAVALVNVFRQCYSSNESYVSDNSRNYTVYLSLLGSNTYR >KN540204.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540204.1:54302:55889:-1 gene:KN540204.1_FG001 transcript:KN540204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAWLLCAALAAATVVCYLACTTSRRARRRRLPPGPTPLPVIGNVLGLRGNMHHALARLARERYGPVMTLKLGLVTKRRAAKGGIVGARRVGIAGGCGCHQGTRPAVVKRSYRAVDVGEAVYGGVINLVSNAFFSADVVDVGKESAHGLRKTVEDMISAIAKPNVSDLFPFLRRLDLQGWRHWAEKRIDEIYGILDDKINSRLADADADASTKKHGDFLDSLLELMSAGKIARDDVTNIMFEDGVEIGGYAVPKGSTVIFNVWAIMRDPAAWERPEEFMPERFLQRAEVDFRGKDFEFMPFGAGRRLCPGLPMAERVVPFILASLLHAFEWRLPDGMSAEDLDVSEKFTTANVLSVPLKAVPILASSELEAKLAG >KN540204.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540204.1:14317:22293:1 gene:KN540204.1_FG002 transcript:KN540204.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLSLELVSSELEAKTGKKKATVHSYAHKVDDDDSGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGKRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLRSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAMSQRRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTVFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLRPHFRYTMRINALARSRLISAAGIIELSFSPQKYSMELSSVAYDKLWRFDTEALPADLVRRGMAEEDPTAEHGLRLAIEDYPFANDGLLIWDAIKAWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKMDAPWWPKLDTPGSLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDAAAMEKFLDNPDQALRECFPSQVQATVVMAVLDVLSTHSTDEEYLGGEQTRPWNSDAAVQAAYDGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDSGVTGMGIPNSTSI >AMDW01024534.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024534.1:7:285:-1 gene:AMDW01024534.1_FG001 transcript:AMDW01024534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDK >KN540204.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540204.1:36890:42404:1 gene:KN540204.1_FG003 transcript:KN540204.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATKEPLPSHGQHQLLGRDCNISSLPSIASPHQRAAPTSSAEIDMVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGCIDHWPARTKWKDIRYLERIAEDRTVPVEIKELREDIMFPEYCSAGGGELQKLNAWFGPDGTVTPLHHDLYHNLFAQVLGRKYFRLYSATISNDLYPRRETMLSNISQVDLDNIDVNEFPRTGDVEFMDGILEEEDRVKATGNTQFTKIVAKIEV >KN540204.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540204.1:30813:33445:-1 gene:KN540204.1_FG004 transcript:KN540204.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSGGGGALSAQAAAALGEGIGLVFGRWTALQMAVENQWGGRDSRAKADHLAESILSWFANSKGKHYYEDLVDMMYDTVSESFNADFEDGSVEEIRIPLKMQTFAALIGEKLVNDIMTEPRQVAEQLLIMHEECLQSNYSSVEKLRNSRAQGNAVSQSRKMVVDGDDDSSDDEDDDDGEPSMMDNEAGSAEKMAVDEPKPSKPVPDADGWTTVPPRRGRGKN >KN540204.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540204.1:23182:28430:-1 gene:KN540204.1_FG005 transcript:KN540204.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSILTEDLGDTSDFEVDGVENLTENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQQAALEESKTKKMSEQALRKKTARVQDGILRYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKITHRSSREGKSDDTYSSSDEYDVDHLEEPPHSSSSKDDAGRCQPTAQIREERRHNRGRHDQQPNQVLPSNEGTNESRKRKRPSGHLLTAESEVEVTQKDDNQLAIVSNALPNMSRINQTEMMDMANQMTSLSHVNTTGTLQHQYVQGNFVSSPAAVVNNYNSNQITNVNPSRIYMGYQPLACQNNGHTNPWSGNTFQVDVGPPPIGFTTNSSSGGNHSLPMKQSLPESIDDHVPITETGVLADSSPYGYHITATGSRNSTSVAGHGNQLMGDPFDSGTADKFNGNSFDGLPFDFIGSSPIPDIGATLLQLHILLELTSRNVKGGREGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDRETLKRYRKKSSYWFADFLKR >KN540204.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540204.1:51942:53843:1 gene:KN540204.1_FG006 transcript:KN540204.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDEEALSGMNYIRQDNRSLSENWSGIGLDHEGQEDEVAFSDFDNHNTFSSSNSELHFSSSNEHRRNRMGCRNHPSFLQPALSTDSFIKSASKRTDLAEFKAVTTCNTCKPATISRHPEADVDALKNLSSRVPPQSNYHPSICSRPRQKGPHILSWLLPKSKRKVKSDMSPNTVECENMSQLLKEWGVFSLESLKKELAEANENRDAALQEAAEMKSSLGELTTKLVSLEGYCSELKKALKQATSTKNMISHSKRSARSLAVSRDNSMPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQVTLTDKHPKVVLYHLEALMNQAMYQDFENCTFQKNGPPKYLDPKEDRQENFASFVALRNLSWNEVLKKGTKYHCEHFSRFCDQKMSCIVSMLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLVILRVEENRAFDQMYMEDIHLDKQRSQNPCQVKIMVTPGFYVQDRVLKCRVLGRYS >KN538708.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538708.1:390434:394224:-1 gene:KN538708.1_FG086 transcript:KN538708.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFPCPQEERDEDEEPRSRQRVSSVEYSKSSESCPLKTEGSIDMVGIRRNKGHGEATIFTLRELADATNNFSTECLLGRGGFGSVYKAFLNDRQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVKLFGYCVDGDQRLLIYEYMPLGSLEDRLHDLRPGQEPLDWTTRMKIAADAAAGLEYLHDEAIPAVIYRDIKPSNILLGEGYSAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPDEQDLVAWARPLFKDQTKFPKMADPSLHGHFPKRGLFQALAIAAMCLQEKAKNRPSIREVAVALSYLASQTHESQSTAARHTLPGPSVPRVLDNQINQDTSLPSQHGVQMPPHAGTDHMVQEVKENCRGSSHRPGRGRVTPNGADRERALAEANVWVEAWRRQEKTSKMR >KN538708.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538708.1:356463:361073:-1 gene:KN538708.1_FG089 transcript:KN538708.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGEDGHGGERASEDGEQHAEVGGGPGVFPGAGEQACHPVQRRLQSRLPSRHLRLPEVFGHGRGRELDLHLPGLGGRSGQRPRGGDVQGEELAHLLLLRRADAAAVATSDGEVAERGRDADDREDDELGNVAHFVSIIHLLLDASDFHGQELTLKLHRDGKLSSLDSSSGKSYDLVSFAAQQPDATVFLPSGPEAKAGSSKKTRSRFTSGSKNRSSQGSAQSLGQQSAEPARKHNQKRKDEGSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >KN538708.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538708.1:409871:415795:1 gene:KN538708.1_FG091 transcript:KN538708.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MTANKGRCGGWGWEAEAGEVEEEFGGGARNAAALDYPERAGQPICEYYMKTGTCKFGTNCKYHHPKQDGAVLPVMLNNSGFPIRPGEKECSYYMKTGQCKFGTTCKFHHPEFGGVPMTPGIYPPLQSPSIASPHPYASLANWQMGRPPVVPGSYIPGSYTPMMLSSGMIPLQGWSPYPASVNPVVSGGAQQNVQAGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEHGFPERPGQPDCQYYMRTGDCKFGATCKYHHPRELSAPKSGYMVNSLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSPSALPLSDMPIAPYPIGFSIATLAPSSPSPDLRPEYISTKDQSVNQVTSPVAASEPVGSILPKGVFPADTMMRAQTNTTSGGSSSPGGGR >KN538708.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538708.1:428769:432713:1 gene:KN538708.1_FG092 transcript:KN538708.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MCFELVLNGGGKTTHSAEYPERPGQPVCEGEKDCTYYVKTGHCKFGSTCKFHHPEIGGVSETPNMYPPVQPQPISSSHPYQHLAGWQMGRPPVLPGSFLSGSYPPMMLPSTVVPMQGWNPYISPVNQVASAGGHQTVQAGPFYGLSHQGPSAAVTYGSQYAPLSSSTMPSSSSKQEPAFPARPGQPECQYYLKTGSCKFGSACKYHHPQYLNTPKSNCMLSPLGLPLRPGSQPCAYYTQHGFCKFGPTCKFDHPMGTLSYSPSASSITDLPIAPYPLNYAVAPVAPPSSSSDLRPEYLLTKEFSANQSASPGTTCGPAGAMLKAYAPHMLIRPQTSGAGGMVTTHGGEL >KN538708.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538708.1:442542:442871:1 gene:KN538708.1_FG093 transcript:KN538708.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVEKQQATAGTAVAGGRRKALVHTPSGQVVSSYAALEARLTALGWERYYEDPSLFQFHKRGSLDLISLPADFSAFSSVHMYDIVVKNRDSFRVVDA >KN538865.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538865.1:205857:208868:-1 gene:KN538865.1_FG001 transcript:KN538865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSFVGLCIKKVQGIVMEEAILILGVDEELKELQRRMKQIQCFLHDAEQRRIEEEAVNNWLGELKNAIYDADDIIDMAKFEGSKLLANHSSPSPLPIKYISCCNLSVTSCVRNVWTHRKIALQIRRVNYNLQRISIDKTFLALENVKATYRVLAPSKRHTSHLVEPNLVGKEIKYATSRLVEMILTHREEKAFKVAIVGAGGVGKTTLAQNIYNDQRVKGNFSKHAWICVSQEYSELNLLKELLWNMGVHERQGETVGELQSKLASTIKDESLFVVLDDVWQSEVWTNVVRTPFHDAAKATILVTTRDELVVRRVGAEHLHRVEMMPTDVGWELLWKSMNIKEEKEVETLQHIGTKIVSKCGGLPLAIKVIASVLATKEKTKNTWEKVVESSAWSMSKLPAELRGALYLSYDDLPHNLKQCFLYCALYVEGQMMHRADLVRFWVAEGFVEEQEGQLLEDTAEEYYHELICRHLLEPDPFYFDHYRCKMHDLLRYLAQHLSREECYFDQLPLEPTTWSKLRRISIVNKTDMLSSVVEKGHCRIRTLMFCMSPNIDSDVFMRFPHLRVLDLTGSIVQRIPDSINSLIHLRLLDLDATDISCLPDSIGSLTNLQILNLQRCYALHDLPMAITKLCSLRRLGLDDTPINQVPRGISKLSLLNDLQGFPVGHSYVNTRKQDGWNLEELGHLSEMKRLGMIRLENAMPCGTSSLLDKKHLKFLNLRCTTHTKESYTMEDITNIENVFDELKPPCNLEDLSIARSFGQRYPTWLGADLSSLKILRLIDCASWAHLPVVGQLPNLKCLKIMGASAVTKIGPEFLCDKTATPRFLGTIAFPKLEWLVISDMPNWEEWSFTEEVVGASDGKSCTENNKMVLQVMPLLQKLELGDCPKLRALPQQLAQATSLKWLHIERAQALKVVEDLTFLSDSLLLNECEGLERLSNLPQVRTLYVSECPALRWAQKLDCVQQLWLSKDLQMEFPLWLSLLKQRHQQLHGEELDLYTW >KN538708.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538708.1:370490:385643:1 gene:KN538708.1_FG097 transcript:KN538708.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVRGSSDRRLRTKYDNAVYVVQRAFALYPNILNLILRNFIFQVLLHLLRAGYYLHKSSSDGEVEMNTIQNCPVRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVIFLFPCLVALQISIDLLCPILMPIDLSLIDVPRLPINLLLTTVWDYRYTSIGSIYDTVPNALLCDSTTGKSFRPAYMLSDGRLERAGRTKKNISSVSSNGTNSTEVEQTISRSASIIVVGDEILFGTVEDKLGAGLCKKLHAIGWRVSHVAVVSNEIDSVAEEVERCKSTDDMVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEMALLPEGITELLHHKMLPLPLIKCKNVVILAATNVDELETEWGCLLDTQESGLVMAKSFVSKHLCTSLLDVKIAPVVAKLCIDFSDVYIGCYRISRSGPLVVSFIGKTVYKGRKKKSIEYFAVKSVDKSQRSKVLNEVRMLHSLDHPNVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTNPGDVPQPLKGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYSGRPPFVANEFTQLVKSIISDPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHHFWRSRMSIIPLPPQPAFDNMVDLSATPYLVERNGDKPSRQSTPPKPRDGLRKKDENSAKVFTPVKNMLSGKKNNAKPSCKADGLKGVNILRMSRVAKRNLQREKDKENYRRHPTEASENDTEVKIENNDMELDFGENPEGDAPDDNDGSDNPGSAEDEKLSTQGTDGNEENCMSNQMDMLTDEGPVKAETMIKTEHNCSENLDVVATPPSICMRKAQRAKTTSGAAAGSEPSDISAAFWHPTDLAVKPVMPGRKGDKAVETVPMLPFEALPAVDYIKLPREQMNAFNSQILQSLSGTFQVSEKQNIIKYLEMLSINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTILDIELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINAQESPLKDNRATASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDARDDFQMTLLRVLEAATEEPSVILNEHKIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSNEQTVSDLEKISQKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNVKLCFALASAPDMDTDILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGISSDKIVALSKEPALLVDSAFSMSIAVDQQSCVMDICDFGGNMGIFLDLVGSSDPHISDLASDCLVLLLKAAPREATVGLLTNLPKLSVVLDLLKHGTCLRLTRLLYCLAFSCRQYLAQGMIVSISLSALMRVEALVSAFKGSHDGRLADAASYLGAELQRLPRCG >KN538708.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538708.1:417602:418315:-1 gene:KN538708.1_FG098 transcript:KN538708.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSEDTKDMLKNVDWKTVGGSRTVPLSGKWANDLIATSEEFSLLVFSC >KN538865.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538865.1:168161:170315:1 gene:KN538865.1_FG002 transcript:KN538865.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSKMLGTRLNFAGSSRYATAAPTTGAQKIVSLFSKKPAPKPKPAAVTSSSPDIGDELAKWYGPDRRIFLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLSKKPEDFSKYQAYELIHARWAMLGAAGFIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLIVAVAAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVISGAAERTPSL >KN538865.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538865.1:152359:156371:1 gene:KN538865.1_FG003 transcript:KN538865.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTRKPLQSAVHVQFLRSSGRALHGLIDQLCSKCPKHDDGATLQSETASSKFKCLYKFFRPSSEGDTAAVDNVLRNDGNTEVVAIQSKENGCNSNNILEDGEKSVLSSDHSKKLSAHHRLKIWITSGHSGIIGRYGKKLELNAANVPKQFPSEHVNTVWPDWLMKVAPEAVQGWFPRRAESFEKLGKLEGIIASPVSTSLYLVFEYMEHDLTGLAATPGLKFTEPQVKCLMQQLLSGLDHCHSNGVLHRDLKGSNLLIDSNGVLKIADFGLATFYDPNNQQPLTSRVATLWYRPPELLLGATKYGVSVDMWSTGCILAELLASKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIADNFKHFPQPAIVLLDNLLALEPEARGTAASTLQSDFFRRKPLACSPSSLPKFPPSKEYDARLKLEEARRQRKVGGSVRPGRENVRINRGNGSIQPKKHTYISGNLHPQGDPRTLATRVRSPGLNATWNNRGDSMTVVKYLDSCRVKNRTHHSGSLVTPEGNIEEMLKEHDRNIQAAVRKARLNKKK >KN538865.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538865.1:107956:111750:1 gene:KN538865.1_FG004 transcript:KN538865.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSVAAPAKKKKAAVAARWRPPRLVVPAVAGADEAMAAAAKEKEEEEAMEVEGEGFWMASRRGLRHAMEDGYGVITHKIEGHPQMGTRGGACAATALVIDGDLYVANLGDSRAVLGRRVGGYVSCGSNGVWRVQDCLAVTRSFGDGGLKRWVVAEPEVSRTPLAGAGCEFLVIASDGLWNKSLNIEANPYQQQQDFTKFLT >KN538865.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538865.1:147270:149006:-1 gene:KN538865.1_FG005 transcript:KN538865.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDAWCQEYELFGVATKSNYLGRYCLDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >KN538865.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538865.1:187779:189302:-1 gene:KN538865.1_FG006 transcript:KN538865.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASPPPTNVAVAAEQEGSPVAGKKRGGMAVVGGGGGVAHAVGDVTVAFMSDHLTSKIKGVKTEEIKKGV >KN538865.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538865.1:122312:123550:-1 gene:KN538865.1_FG007 transcript:KN538865.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAKSRVTGEDNHNTTNKVNEQAISTGIQEYKDLMKLPHGTITDFVGVVANVGPRDISLDRPTSMRDVALLDIRHVIGFLLMLDDKGDYYRDLLMRAEVERSIVIAKYVEVDHHMKSLVSTTNTTITVALWPDSGMYGPLLGVREDLINDIFLHD >KN538865.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538865.1:164006:167262:1 gene:KN538865.1_FG008 transcript:KN538865.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSKKKSGGGDDQRPLLWRLPEVTSTELGKIGPAFGLGVGCGVGAGVGFFGEISKLASGCSGIIRSLGFIRGIENFQLLALNSLNCAGLGYGFPGLTLGFGVGAGCGVGFGFGYGLGKGIAYDQNKRYSNVGKMFQEAPSLPIFFAMVVRHTVQLALIVFWTSIFVKHTWIKLNLDCATRDTVAGLVDELVVNTKKLVRATSKEIEKWR >AMDW01076847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076847.1:3:802:1 gene:AMDW01076847.1_FG001 transcript:AMDW01076847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >AMDW01038214.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038214.1:276:778:-1 gene:AMDW01038214.1_FG001 transcript:AMDW01038214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YIPIEAIPVKYGGLKRDDDTEFSAEDSEVTELVVKASSTETIEIEATEGDTTLTWDLTVLGWEVNYKEEFVPSEEGSYTVIVRKGKKMGSSEEAVRNSFRAGEPGKVVLTVENLTHRKKKVLFRHKAKSACAKKC >AMDW01017667.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017667.1:2:223:1 gene:AMDW01017667.1_FG001 transcript:AMDW01017667.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQVFFPLGRVSLMIYGGLAALVFCGYIVYDTDNLIKRYSYD >KN542004.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542004.1:13299:19162:1 gene:KN542004.1_FG001 transcript:KN542004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSPKKLKTQQQPAAEADGGAVAKPEEKPHSPVKPKRSSSPTKSKSPGEGKRSESVAAGAKPEAKEKPSPKKPKTLGAKSDTKSSAEGVEKEKRRSPSPTKAKALASESQDIKKPSSPKKTKAMDAPKSEEKDTTLELKKKGSEFNPMAAAYWNPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPEDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRREEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISHVLAKFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSEKHSPPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPAILEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCLEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTISDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATSITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSVSLRTKAIPKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEQATTSEQVADMYRAQKIYHANNNNNDDEDDD >KN542311.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542311.1:3094:4516:-1 gene:KN542311.1_FG001 transcript:KN542311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKEFDHPKLQTDTNGKRFLWNCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALVKVIVQLQTSSCSPEQKLPIDSAISELGGWLSPDGLCNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKVGSI >KN542311.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542311.1:8641:11954:-1 gene:KN542311.1_FG002 transcript:KN542311.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNKYPSIVSPAKESPADGIVVYDNLYLDMNQIVHYSFHPQDQMNTGADVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVAMLAAEAIDRSRKRHPCFGSILQASEEEEMQRISEEVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMDADLIMLALASHEVHFSILREYALDLLIEVYKTTFNKMGGYIVNIDKVKDKHAAYLEVSRLEIFFHQLSMYEERIFLKRYELEQESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKVSDIQFDNISHAVPLQFLTYRLLNYCS >KN540958.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540958.1:3190:6180:1 gene:KN540958.1_FG001 transcript:KN540958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCCEAELAPELGMVTVSMYKQSNSMWETFPCTYAMVVERSWYNFSLQDLYGYDVLGTKFSDGVPVVLDFAVRNDSCPADGKTLPMACRSDNSRCVNATYGPGYLCKCKDGFDGNPYLPDGCQDIDECKLRDEQPELRDQYPCHGICKNTIGGYHCQCKFGTRGDAKAGTCREVFPLPAMVATLGIIGVTFIVVITVLFKLLFEERKKTKDFFIKNGGPILEKVNNIKIFKKEELKPIIQSCNVIGKGGFGEVYKGLLDNQLVAIKKSISVDKSQEKQFANEIIIQSRVIHKNIVKLIGCCLKVDVPLLVYEFVPQGSLHDILHGSNKVSLSLDKRLKIAVGTAEGLAYMHSKTSTTILHGDIKPGNILLDNSFDPKISDFGISRLIAIEKTHTRYVIGDMCYMDPIYLQTGLLTKQSDVYSFGVVMLELLTSQKASFGEDITLVKNFLNAYQDKRVLDLFDKEILLDTDIEVLHELAMLIVECLKLEVDRRPEMTHVAEQLHIMKRSHEKGLYGAKIV >KN540958.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540958.1:22421:27974:-1 gene:KN540958.1_FG002 transcript:KN540958.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGANQQRPITLPGCPDRCDNISIPYPFGVKEGCYFDGSFSVICDERTAFQATLGVPQVYNMTGYYLGNSDDPAVGIVTNKTWSTVDLVDIDVVGGEARVSMPVSSDCSANDNYHALSIFVMTVNFTDTFLFSSTRNALVGVGQSVQARVEGGLTSSNYSASCTSLFDEPSAAQNGSCSGLGCCEADFPPGLSELGVGVRRQRNTMWETFPCTYAMAVDRSWYNFSLQDIYGQRDYHKFPRGVPIVLDFAIRNDSCPADGKTLPMACRSDNSRCVNATYGPGYLCKCKDGFDGNPYLPDGCQDFHKYIDECVLRDEQPELQDIYPCHGKCKNKIGGYDCHCKFGTKGDAKIGTCTQVFPLLAVVTTVGVGGLTSITVIIVLFNLLLAEKRKTREFFLKNGGPILEHVNNIKLFKKEELKPIIQKSNVIGKGGFGEVYKGLLDNQVVAIKKSIRVDKFQEQQFANEIIIQSRVIHKNIVKLIGCCLEVDVPLLVYEFVPQGSLHDILHGSNKMSLNLDKRLNIAAGAAEGLAYMHSKTSSTILHGDIKPGNIFLDSNFDPKISYFGISRLIAIDRTHTTRVAGDICYMDPIFLQSGLLTKQNDVYSFGVMLLELLTRRKAATGENNRLVKMFLDAYSDGEAAIIELLDKDIIVERDMELLRKLVRVIAECLKLEVNKRQEMTEIAECLQGMKRSQIKHPDA >AMDW01027657.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027657.1:16:225:-1 gene:AMDW01027657.1_FG001 transcript:AMDW01027657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >AMDW01008524.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008524.1:10:204:1 gene:AMDW01008524.1_FG001 transcript:AMDW01008524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVDVVRAATRTGAAPNISDALTVNAQPGDLYSCSSQDTAVFPVTSGETNLLRFINAALNTELF >AMDW01033267.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033267.1:34:462:-1 gene:AMDW01033267.1_FG001 transcript:AMDW01033267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTLTVKDNKGRRVTHTMRRLDVICTLFRLYFDMLPSTAPREGVFMYNGREISFYQTPEKCDMNDGDEITFHPFSKPSTFVTLTIKGSSDDGGRSGVVVTRPMRRTDELQRLIDYYFAMVPTDDQNGEWAVTYGGRQVGGE >KN540259.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540259.1:31220:35820:-1 gene:KN540259.1_FG001 transcript:KN540259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCAGGGEGMLARLRRAAARRIGLSCASFFSHAATSPSPPPKTISCSALNAPADSTDEDQEKLEEPTSTRMADKNLCAICLEPLSTGSVDIDNGDRPAIFTSQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLQNNQSDPILRILDDNIATSRFNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPSPVAAHHHALGHYPCGRVMPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALAVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCCVGGTDPVGALQKGLKILEDRAHQNPSSCILHLSDHPIRSCFGVDMNRFNIPVHQFHVGLGFGVQNGFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSDCGFVLVGYSYLEGGREDQFRTGEVAVGFEEKGDNRYCRVGDAGGLSIGGERRSSCCVERWDYLDPFMARRWAKHFNVYRA >KN540259.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540259.1:2444:2752:-1 gene:KN540259.1_FG002 transcript:KN540259.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPALCCQALKDLACPFTAYINDAQTTCAASMFSYINLYGKYPPGLFANTCKEGANGLECPEDTPQMKPGEDKAASSAAAIVAAVAPMLAAVSAFLMLVLS >KN540259.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540259.1:24473:27622:1 gene:KN540259.1_FG003 transcript:KN540259.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKNLVSREYFGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDCVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHGGTQIAVGNKEDELTIVDVRKLKALHKFKFNYEINEIAWNKTGDLFFITTGLGNVEVFGDPSLDDTLHVVGKLNAHTAGCYCIGMDPLDR >KN540514.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540514.1:922:2263:1 gene:KN540514.1_FG001 transcript:KN540514.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAILALILLLLPPIALAAGDLNGFRATLTRIHQLSPGKHSEAVRRDGHRLAFLSYAATAAAGKATTTGTNSSSVNVQAQLENGAGAYNMNISLGTPPLDFPLTEGSIVQSTPLLKNPYLQRSTHYYVNLTGIAVGSTELPVTGSTFGFTQTGLGGGTIVDSGTTLTYLAKDGYEMVKQAFLSQTADVTTAVRVPRLALRFAGGAKYDVPMQNYFAGVEADSQGRVTVACLLVLPATDDLPISIIGNLMQMDMHLLYDIDGGMFSFAPADCAKL >AMDW01021725.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021725.1:37:288:1 gene:AMDW01021725.1_FG001 transcript:AMDW01021725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPGAGGDGRRRPLFAAKEICPFYQEHGPRIFPQRWGKFASTVAALRGPKYDGRYLRDMVREVLGKTTVDGTLTNVVIPTFD >KN540259.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540259.1:14387:18167:-1 gene:KN540259.1_FG004 transcript:KN540259.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMAMGAASWAPIPAPARAAAPAFCPGRDLAAARRRQCFGVDFSMILSAVAFSTLCDPRNGGKMMSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLKLLRENFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETETDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNIQEASRETDGYFIKSGIVTVIKDALIPSGTVI >KN540259.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540259.1:54463:58268:1 gene:KN540259.1_FG005 transcript:KN540259.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMTASLHPCVCKASPAFRPASSLGARTQPKSTATNPKRPLFQELQRRLSFRIDEASKALETAKQGLLDALVDSTFKFSDQPMLPSESNFAPVNEISEAIGILQIEGEIPEDFPEGSNPLFGALHSTVSIFGKSSEIWVEGEGMLHAIYFTKNSSDTWSVSYANRYVQSETLKIEKTRQKPCFLPAIMGDSAAIVVAYILNYMRFGKVNKNISNTNVFEHAGKVYAVSENHLPQEISIQNLDTGDSWDINGEWKRPFTAHPKVAPGSGELVIFGSDAKRPFLMVGVVSADGTQLKHKVDLKLDRCILCHDIGVTVKYNIIMDIPLTIDISRLIRGNQLIKFEKDSYARIGVMPRYGDAESVMWFDVEPFCMFHFINCFEEGDEVVIRGFRAADSIIPGPRISLNKNDLLSDPSKCSVKQGINEEFFSRLYQWRLNTKTKAVSGQYLSGTEFSMEFPVINDHYTGLHHSYAYAQVVDSLESSYGVNEKVILKYGGLAKLCLEEADNAIAETCEDPIKTEYHGFGEDQFFSGAAFAPRVGGSHEDDGWVHIIDAQTFEGAPVAKIVLPQRVPYGFHGTFITSKHND >KN540259.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540259.1:8609:13039:1 gene:KN540259.1_FG006 transcript:KN540259.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNADLGKPRELTGLQQRRALYQPELPPCLQGKAIRVEFGDSTTTIDPTCANMVAQEFPNTFGQPLVHFLKPNKMDAQANDEHPPIRVGVVFSGRQSPGGHNVIWGINDAMKTQNLQSVLLGFIGGTEGLFANQTLEITDDVLSAYRNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFVRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIISKICDGVQARAAQEKYHGVLLISEGLIESIPEMFALIQEINILHSNKVPENNIPSQLSPWATALYNYLPPFIRREIDTEQLLAHLVEAEMNKRMAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYALLREKASSFLLDDFYRTPGGIQFDGSGANVKPITLTVEDQDYLGDIELLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFYAELPLFNLN >KN540514.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540514.1:44983:46295:1 gene:KN540514.1_FG002 transcript:KN540514.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAILALIILLLPPITLAGDLQGFRGTLTRVHDLSPGKFSEAARRDSQRIAFLSRATTNSSSSVSFQALLANRDGGYNMNLSIGTPPLTFPVLADTGSDLIWTHTVNGMDNLTSGIAGLGRGNLSLVSQLGVRRFSYCLRSDADAGESPILFGSLAKLTDGNVQSTPLLKNPAVPLAPFYYVNLTGITNYFAGVEADSQGRVTVACLLMLPAMDDQPITIIGNVMQMNMHVLYDLDGEMFSFAPADCAKV >KN540514.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540514.1:25516:26829:1 gene:KN540514.1_FG003 transcript:KN540514.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAIVALILLLLTPITLAGDLHGFRGTLTRIHQLSPGKYSEAARRDSHRIAFLSRATADGKATTNSSVSFQALLEECGGGYNMNLSIAPPLTFPVVADTGSDLIWTQCAPCTKCFTSAPVLQPASSSTFSKLPCTNSFCKALPSSTRTCNATGCVYDYHYGEGYTAGYLATETVKVGDASFPNVALGCSTENGVGNSSSGIAGLGRGALSLIPQLGVSRFSYCLRSDSAAGASPILFGSLANLTDGNVQSTPFVKNLTSYPSYYYVNLTGITVGDTDLPITSRTFGFTQTGGGTIVDSGTTLTDLAKDGYAMVKQAFQSQMANLNQTTPASGAPYDLDLCYKPSAGGGGKAVRVPRLALRFAGGAKYDVPMQNYFTGVEADSQGRVTVACLLVLPATDDLPISIIGNLMQMDMHVLYDLDGGMFSFAPADCAKV >KN540259.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540259.1:28556:29517:1 gene:KN540259.1_FG007 transcript:KN540259.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELKMILTRILTECVDARWPVRTVSFNHTGEFLAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST >KN547035.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547035.1:378:806:1 gene:KN547035.1_FG001 transcript:KN547035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PRFYLNDLTVVCLNVTTGGSYAGATAANSGYFSFLTVTMQATLAARNGNERVGIYYDRADVYAEYKGLRITVPTSLPPVYQGHPDLTVWSPFLSGNNVQLPPYLAVSITQDETAGYLLVTIRVDGWIRYKAGAFITGSYHLRV >KN543390.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543390.1:918:1647:1 gene:KN543390.1_FG001 transcript:KN543390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRFEAFGSSQSEAAERSVDEQMVRHAVLQAIKKCMDGSST >KN541400.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541400.1:4783:16081:1 gene:KN541400.1_FG001 transcript:KN541400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MDRIYEITVVSQLKLILFGVPQEQLQEHQEGLLIYLEEHKELIPEIAKLVLSVGADLLEARKASNKDGDSSNSEACDEILSWLQWLMFNNEPHAMLDDLERSTAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDTTAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGPPHAEGDGGSSCKRVAEELTTCVANMLLEFCSCSESLLSFVAQRIRECPDLLDALTKAERLLDKKAVKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHGEDENENLSAPFVLGHYLGVCHGSLVKGAFAPPEQHESTDVTICSTATKSIESSENQRLAKVGRVSQNSTVCNLGSKDSSSVGGLPPPAAWLILQCLKAIEGWLAPGIALRGKLSFLDASSSDPHNFMALLEEPLTSNKGKPNINIAEVNAKTNEEPRPGDIADIPESFSSSVQDPDNLMLIDQIGLPHPSNMAGKRKMHESSNAADTQLHPENAISYTMTDGSLLYAHPDSRIEELGIINTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMGKCFGEDVKPGHCSVVQTNEFFSQVLRGCEPYGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQCPEGNNVTRLSRLEQGLESDLFLLQCCAALSSPELFVKTIQERFGLSNYTSLDLAEQNEMLYLSLQLRKKPQPFSFVCGFMADSIPFYSQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKTFWKELDLYHPRWNSRELQIAEERYYRFCKVSALNAQLPQWTHVFRPLHNISKIATSKAVLQIVRAVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKRYANQYGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVPPVSGKVKNESMLTLLVSLMHKYKEENDISFSGSKYCNIPSLIESLLKKFANLSKECLSAIRQMAPHIVPSMPQDTSCKQNPGSSDSMDKKAKARQRQAAIMAKMKAEQSKFAESMKSSGSEGQDVPMSEPDVSCSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQRSRLATFVEMGHPSWENPTKSNKISGSIRREESADPSGASSFSSEEFIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPGVYSNSNAGDTTSLETIEDDVCKTILDHMFGPNNVETKDDEQTVNTSNLSIGSKKNRSPRSSVLGTYVNCLSTKHLHPSKSSGSVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFETGHIVDPDLGELLCPVCRRFANSILPASPDFSSKSLRKTMPSVQIPSEAPPASSWMTTSNLQFPHALWLLETAGKIVGQSKFLKALSGKVNDTTEPALDPSLRRLCMLYYRRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRIASYSESKSCIESLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGLQLLASSICSSTSGDKDLLNATKRKGILPPMGDPTSEGGVFPDIQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSTEFFIPFVHLFYVVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTIDIAREYFVSKHIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSSSAPLYDSSNIWEGSSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFSEDYKTRKYRGVHFSTPAVPFRLMELPPVYQVLLERTGKCLNHASQCGAGVGIFLLVRKTTILLQRSIRLAFWPSPYLDAFGEEDHDMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISIYGSD >KN543390.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543390.1:1975:3500:-1 gene:KN543390.1_FG002 transcript:KN543390.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASMYRLPRNAICAACYEGAKAIIAFFNDDDDEQGDADQGSVKSRRGLAKLNSTIKGLRDAWEEVKQMRCREEETKQRASFLQQGFAAAWKDGIHTDIAVRPGTGPPIQAHKAILATRSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCTEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALKERAMGAVVEHAEEVVFSPEYEEFAVRNAALCVQITRALLANKAFPAKTP >KN541400.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541400.1:27006:28847:-1 gene:KN541400.1_FG002 transcript:KN541400.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding TRAEWESIRDSKWSIHGLPRELGGPLYLSYSNLPPELKQCFLWCALLPSNFVIRRDAVAYWWVAEGFVTEVHGYSIHEVAEEYYHELIRRNLLQPRPEFVDKGESTMHDLLRSLGQFLTKDHSIFMNMEYSKALPNLRHLCISNDVEEIPAIEKQKCLRSLLVFDNKNFMKINKDIFRELKHIRVLVLSGTSIQIIPESVGNFLLLRLLDLSYTKIQKLPESIGNLTSLEYLSLHGCIHLDSLPDSLMRLSNISFLELEQTAIDHVPKGVAKLQQLYNLRGVFDSGTGFRLDELQCLSNIQRLRIVKLEKAAPGGSFVLKNCLHLRELWLGCTIRGHDKTYYQANEIERIQQVYELLIPSPSLLYIFLVGFPGVRFPDWLCSEPERKMPNLGHMHLNDCTSCSVLPPAGQMPELLVFKIKGADAIVNMGAELLGKGINNAKCITVFPKLELLLITNMSNLESWSLNTWNLCGKSEQLVLMPCLKRLFLNDCPKLRALPEDLHRIANLRRIHIEGAHTLQEVDNLPSVLWLKVKNNRCLRRISNLCNLKDLLAQDCPALYQAENLISLKRLYMVDCHNAKQFRMSLLEDQQLAVHVVTVGADGRDIFPDESLYN >AMDW01039828.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039828.1:61:993:1 gene:AMDW01039828.1_FG001 transcript:AMDW01039828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMTASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYE >AMDW01033014.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033014.1:83:415:1 gene:AMDW01033014.1_FG001 transcript:AMDW01033014.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPEKRQFAGELIAYANAFTKALYSPLISKADLSAETVAALDKIEAALSKFGDGPFFLGQFSL >KN539934.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539934.1:62533:68406:1 gene:KN539934.1_FG001 transcript:KN539934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNACWRELEGQAVSTTCGHLLCTEDAKKILSNDAACPICDQVLSKSHMRPVDTNPNDDWTNCRQKCELMQAKFTEKLEEVHTAYQKMKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGMPNIMDSSDPLRQGLAGLPETPGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTTMNNTSAALRNMIISPVKRPQLSRNRPHMFTNMTYLCLPLPILIHGNVTCQLVELEELMGHPASESVTILSWTYLLTVNAPKGYLTPSPIDDQLTATDREGERQWDGRKARAYVGVELADEAGEVGVLEVGGEEGLGEGEGVGDDEAVAVPAPGDDAVGGRVVHHPWRKGKAGMKKMGNVGCRGWWWGDANFIRG >AMDW01030218.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030218.1:34:375:-1 gene:AMDW01030218.1_FG001 transcript:AMDW01030218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFGTYLFGMSETIAKQATEANNAQNIKNPHIGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAK >KN539934.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539934.1:12775:15050:1 gene:KN539934.1_FG002 transcript:KN539934.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDNNSQTTNGGSGHEQRAMEEGRKQEEFAADGQGCGLAFSVPFIQKIIAEIFGTYFLIFAGCGAVTINQSKNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTLAFATCRRFPWRQVPAYAAAQMLGATLAAGTLRLMFGGRHEHFPGTLPAGSDAPSLIGELAGLAVGATILLNVLIAGPISGASMNPARSLGPAMIGGEYRSIWVYIVGPVAGAVAGAWAYNIIRFTNKPLREITKSGSFLKSMNRMNSST >KN543044.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543044.1:8160:8792:1 gene:KN543044.1_FG001 transcript:KN543044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKVDHNSKIDPELIADLNMVRLPFEMDDELLPADGLGSTDTDNKCQQRQNQSGNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWSKNCSGWGSALADSSWGNWNNSNNHHSSNNRASFNGINRNRYQDPSSISGRKRNSGGYIQQRNSRQRNQIEGYQGSRW >KN539934.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539934.1:45312:46767:-1 gene:KN539934.1_FG003 transcript:KN539934.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLATGRVIGDVLDPFISTVDLTVMYGDDGMPVISGVELRAPAVAEKPVVEVGGDDLRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDATYGREVVCYESPNPTTGIHRMVLVLFRQLGRETVYAPAVRHNFTTRAFARRYNLGAPVAAVYFNCQRQAGSGGRRFTGPYTSRRRQA >KN539934.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539934.1:33860:40081:-1 gene:KN539934.1_FG004 transcript:KN539934.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNRHRWQCGSVRWVEAYEQRDDDFGGGEGSRVGSCSELILFDRQITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPTNNLSYSENFLYMLDSLGDKEYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPASQGEQLGQIATSNATRRRRAGSAL >KN539934.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539934.1:17045:26399:-1 gene:KN539934.1_FG005 transcript:KN539934.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAGSCVWRDIIYECLTSLASEDKDRVLGVEALKGIAHKLNKMDWDFSVDPCAGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSISLEGNQLEGPIPPELGNIISLERFFISANDITGELPSTFSRLTNMTDLDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIHGEIPPYLGQMQYLILMDVSYNNFTGNPPNQCQQANVNMVSSFSSSNDNLKWAYSSTGDFVGNPDADYIARNTTELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVKLHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLTSAISVIQLAACLIVILALILCYIKFFRKKNLKGNGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKHFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWSTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLSDDRGRVSTRIAGTVGYMAPEYATRGCLTYKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQDEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKL >KN539934.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539934.1:53875:54135:-1 gene:KN539934.1_FG006 transcript:KN539934.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVMEKDKGEHDKVLGGEAEAIALDPTLARSHRCAREGEVHASTPRERNGTTAVERAAPRCIATIASIAEEPPCRCPNSRSGCP >AMDW01053409.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053409.1:127:548:-1 gene:AMDW01053409.1_FG001 transcript:AMDW01053409.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKR >AMDW01072678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072678.1:4:479:-1 gene:AMDW01072678.1_FG001 transcript:AMDW01072678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFYGPPKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRCDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIE >AMDW01050673.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01050673.1:249:710:1 gene:AMDW01050673.1_FG001 transcript:AMDW01050673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QLRFIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMMTQEDAKQTAAVDASKRLQDLRAGNDGSSQKVTSL >KN540028.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540028.1:43387:43765:-1 gene:KN540028.1_FG001 transcript:KN540028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLLSLVALIFLLSFRSLIHQQVLVGEGAAASGFLHGSGGDGRRQHAREWEEERKKMRWFMVRDYAHARRHEPRNNRLDP >KN540028.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540028.1:20058:22125:1 gene:KN540028.1_FG002 transcript:KN540028.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVALCLVLFAFAGLHAAAVEAVTLSTSSRWIVDDEAGGRRVKLACVNWPSHLEPVVTEGLGMQPVDAISKKVASLGFNCVRLTYPIALATNASLSSLTVRRSLLAHGLAGAVAGVEANNPGLLDLTLIESFRAVVDSLGESGVMVILDNHVSRPGWCCADDDGNGFFGDRHFDPDAWCA >KN540028.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540028.1:45564:49395:1 gene:KN540028.1_FG003 transcript:KN540028.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFISPTVVAVNDTFAGQTRANTTKGNWMAQHSAGVMADLDFFIGEDALARSRSSNTYNLSYPIHNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIACQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKMSLILHYCGSMPLRVQMSIITAQGNQRFMKITATNNEREKMHEIEERGEHIPPEESFDVARRVKEMYCYTCSDIVKEFNKHDREPNKYIKHWSGIKPKTGAKYTCDIGYERFLGPEIFFHPEIYNNDFTTPLHVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASNARLGGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >KN540028.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540028.1:60671:62210:1 gene:KN540028.1_FG004 transcript:KN540028.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSCSSSKATACVGGGGGHGNAVAGGGGGAGEIQWEVRPGGMLVQKREGRGGEEVILVRVSTGFAWHDVSIAATSTFGELKVRLSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKVRAALAAARVMQSPCQPFIQV >KN540028.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540028.1:9824:17366:-1 gene:KN540028.1_FG005 transcript:KN540028.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEEEDDTDEDDYDSDGESRALVPKANGARFSCNDLESSDAEESEDEMDGRIAPYHLMEKRSLEKSILLELEREHHLKVQEEVRSKLSALEVCHQSEIQRTVSAFARLQKYAESRKEIDRRLDVHFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKIRQERAKQEAEARQKAAAKLAAEAQKAAAEAAAKEAAEAQKAAAEASKSSQNSQNNVAGTMRASKSEIKSELPGIKVFADHSALEAELRRRALLDQVPANIHSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTSQVPDAMDYLLAEFHRVCMYTVPKHLHALNAQVRNRDYYRLIGYQEENGQLESTESYLTYVAAYVKLYAAMIQTEIRGVRHPHGLAEGWKWLAMFLNTLPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVISRCFLPALKEQGSRIQAEAASNLQNYLTDKVYLEEPEGQYLAQQLLSKELFT >KN540028.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540028.1:50577:52560:-1 gene:KN540028.1_FG006 transcript:KN540028.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDAAASGTSPVMQFHGIVDEPPSHSSPLHTALERSQRHCYGHETPGEFPLAATCTFFSKPANFEPNFALSLPEVAAFDMCHKRPMVKLMAQQEREQLKQRCGGSWKLVFKYIVARERNYSRIVAGPGHSIVVTTKGDAYSFGANCWGQLGLGDTEDRFKPCLIRSLQGIKITQAAVGSRQTMLVSDTGSVYAFGKGSFVWEELSDAADHITTPKIVESLKGLFVVQAAIGGYFSAFLSREGQVYTISWGRTERLGHSSDPSDVEPRLLFGPLEGVLVAQISAGNCYLLMLAYQPTGMSVYSVGCGLGGKLGHGCKNNKGTPKLIEHFLTLSFNPVSVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYRVLPTVVQGLSNVKAVHVSTGEYTTFVVSDNGDTYSFGSAESLNIGFQEDEEAADDADFSTPSLVESLKVLNDKAVQISTTNSSYWLNSEMGYPHTFALMESGKLYAFGGGIKGQLGVKLSEGQERAQNPERVPIDLC >AMDW01039305.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039305.1:13:942:-1 gene:AMDW01039305.1_FG001 transcript:AMDW01039305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVIMQYGV >KN540028.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540028.1:4548:7333:-1 gene:KN540028.1_FG007 transcript:KN540028.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MAAPPSPLGLARRVSVAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKAWVKGSTWIPVFDVDTSFDLGGAGKKFTNFVMDQIARNFVQQVEEKFSKDTDIIVVCQKGLRSLAACEQLYGAGFQNLFWVQGGLEAAEEEAWIIAIKFQGN >KN540028.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540028.1:24425:24646:-1 gene:KN540028.1_FG008 transcript:KN540028.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAAAAVLSRFAVEVVPGQEVKPKLTTTLYMKNGLMVRFRRRSPPPHHVVADDDDVAAGRHVAVGSCNSNHL >KN540028.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540028.1:30384:33747:-1 gene:KN540028.1_FG009 transcript:KN540028.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILTLKTQRRKLAQFQQQVGRFTTSYLYSMMYSCLLDSLTPISVSKRWAQLDTKEGLLEKVIEAEKEAARQLVQQKKKDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEKSETPAITEAAEDIDEVIELPDVPTKAPERPEAAEKTKVINSWKVGLPLSV >AMDW01012971.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012971.1:62:190:-1 gene:AMDW01012971.1_FG001 transcript:AMDW01012971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLDSVWKDVLIEHKNKGSRECLIAALDKMADAAGLPPYGAVNQ >AMDW01037915.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037915.1:7:612:-1 gene:AMDW01037915.1_FG001 transcript:AMDW01037915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SIYLYSTGSIQVLNVTDSNMVKELANYKSLDLGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKGMMNLMMEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADVISRACFGSSFSEGKEIFIKIRQLQTTMAKQSLLIGVPGS >AMDW01029460.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029460.1:99:365:-1 gene:AMDW01029460.1_FG001 transcript:AMDW01029460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPCLAIAVLLLGVVVAAIGAFADEGAASGWGLGHGARFLSRRGRAAYEKSSEPEAKPASQQEPKSDSYSQAKPGPKAEPKPEPEA >KN541187.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541187.1:17091:20844:1 gene:KN541187.1_FG001 transcript:KN541187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDMNDSSATASLNNSAELGGQDELDNGEGRGRGRGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNLCGAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEAPLEEKARKGAEIRAETMTTMNGIAGTEIEAVMEGSGAEVETVRRKGGGTRAEITAMRGAGSEEPSVTMITIDEYDGRFSHVACRFWFYDTSVLLLDSYAASASAVRWVCTLFVLA >KN541187.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541187.1:27756:30856:1 gene:KN541187.1_FG002 transcript:KN541187.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDLQAKDMIAAIVDAKPLFFPQLKIQRRKDVFFAQSKLLASTKTFASLIAAKSIPSCSTASQYYPFPSSAPAASTIKPFLTSTVFKNIRMGIWDAFLDGGQVWIVDAIILILMQPMHLSYRVFYSLSLSSFPMERYGEITSFLLNIIYRYGKRFVLCYAPIQDEHYYWISRETLFQSISREKNWIG >KN541347.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541347.1:1497:4919:1 gene:KN541347.1_FG001 transcript:KN541347.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLSAFRTKEKEAYDGENEELQQIRMMASEPKKQPELVDGPGGDIQVVQDPVWQQEDIEEAFALAEQEQANAEQAHVLAEQHKNPVVKQQDDALSEQNNNPVVEQQGDALAEQNNKPVVEQQGQNNNPVVEQQDDDQARLSLETLIGENRWEGALRRLERMVRDGEANNGPVPSAPSHDIYRAHPELVLLLRGEEYLRIKRLPNAAADATRFYHEHIQNLYRSGTTGSSFVDTGVLKDIQDWENGSRATPSGHRSEIHMQETRQAVNDYLKLYFPAYRICMGDEMGDNFISLEEQEEYRTMTAMRFKTEK >KN541347.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541347.1:24685:25682:1 gene:KN541347.1_FG002 transcript:KN541347.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGRERDPTGARTALRIVREAFAETRGKRWRLLLVAFGMLCVNFVLMILWVKMASQAVNLDAMHPFYIYEVEENSTKSAAAGGGEGKEALLLWELFLAGVLFWDVSTVMAILLVSKAMFFLQGAGQRRRGIRSLWKECLSVAFAIVVWEVMGNIVLGTLQAYGFQDLFGYGYLLTAVVISQEDVHYFSAVERAWELAGQKLKNVYVVGVMIILVRAAFEIVYHLLLKYRLVYHQHHVVTAEVSRHDDTTADVVRFSLVAALLHVIMQSFVCTMVLALYRETRNNNRQDIRRNDAAAHND >KN541347.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541347.1:20021:20529:-1 gene:KN541347.1_FG003 transcript:KN541347.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAWAYDKATMVIHGDKAKTNFPPVLMPTPIEPMMRLMLVYHSLVCLIMMGNDNYVENASILWRKIEAIPKVDEALRRL >KN541347.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541347.1:6169:6909:1 gene:KN541347.1_FG004 transcript:KN541347.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRYREDELDCKASQSIPFISNDASLIEKDATRIFTPVVFKKLKLVIAKSMDWEVIDCIEEDNFVKYVISMKGDSEMVKFLNYTYVESTMNSLNFPCRKMDRECLPCEHMVVIMHHLKLDAIPEACIVYRWTIKAKHMFPSDRYDEVCTWSDQMERYHRLHSMGTEVFFKCSVSKETTLMVMEMLEKLDLETDECETNNSDLSLCGHVLAQSLRTDIESHDMVHDSMEIVPKGAPTKRLRGFMEKRV >KN539043.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539043.1:34055:37220:1 gene:KN539043.1_FG001 transcript:KN539043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEDGVYFRMRFFFFFFLVVLCLLDSNISMSHGCFVEERTALMDIGSSLTRSNGTAPRSWGGGGDCCLWERVKCSKITGRVSHLYFSGLHDIVKVPAGGAMFWRFNTTVFSAFPELQFLDLSNIYPSSLGSDGLVGLKLPKLQHLNLSDNWLPESIFAPLGELFSLEVLDVSHNYIQGVLPTAVLKNLTNLRELYLSANEFNGSLPKSLLTLPHLRILDLSSNSLAGGIPISSSSEPVSIKVLNLRENDMSGALPTEQEFGYLRNLRELDLSSNTFSGNIPTFLFSLPQIERLDLSGNQFEGSIPITPSSNLSLSLKGIRFSQNNLSGKLSFSWLRNLTKLEEIDLSGNTNLAVDVNISGWVPLFQLKRLALSGCDLDKGIIAESHFLRTQHRLQELDLSSNNLSGSMPNWLFTKEATLVILNLGNNSLIGSLDPIWHPQTALESIIISANQITGKLPANFSSIFPSLSTVDLSGNNFSGEIPISLCHINQMQNLYLSNNNFSGQMPACVFTDFPELWILRASHNQLGGPVLGGMKNLSVGFTIDLGRNKLEGTLPRDLSGALRFMDLHDNNLSGELDTSFWNLPNLVVLNLAGNHITGNVPKNLSNLASVEILDLSGNNLTGSLPRCSSASLSSLNLSGNSLSGDISDDLFNTSNLMALDMRYNKLTGNLNWLSHFDSIKILSLGWNEFEGQITPNVCKLNCPRIIDFSHNKLSGPLPSCVGNISCVSNTESQDLSPFFLLGLLLTESSISVYNPRVFTFATKGAQYTYGLNFFNLMSGIDLSGNMLSGEIPWELGNLSHIKSLNLSNNFFTGQIPASFANMSEIESLDLSHNELSGLIPWQLTKLSSLAVFSVAYNNLSGCIPNSGQFGTFGMDSYQGNSNLRSMSKGNICSPDSGAGDLPSEGRDSMADDPVLYAVSAASFVLAFWGTVAFLFFHPLGRRAILATGNLVFWRGH >KN539043.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539043.1:89853:92250:1 gene:KN539043.1_FG002 transcript:KN539043.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVSEVRKDAAICQCVGDELSDVHVLASPMFFVSTYFDKACIIKKHGRAPIGFDQAAHDVVTVNAIRDRALRLLVAHLVVMAVLPGSSSLLLLYRCLPGHKWRGRTEMVRLLTRLQQPRNRSSPFKPPMLTHSTEDAEIVRCKQPSAAAKCLFGSRLTMAKIRRGIKSVQRKRVSQATDSESMMRETRC >KN539043.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539043.1:17827:18320:1 gene:KN539043.1_FG003 transcript:KN539043.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVIGVSALTLIVLFVTSSLIDGIASRATGLPVDTNDDSSVAPMTTINVQTAGKNDIPMEEPIRFNSKLAIIWRVLLL >KN539043.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539043.1:40654:43482:1 gene:KN539043.1_FG004 transcript:KN539043.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFPWGFFCFFLVVLCLPDSNISTPSHGCFVEERTALMDVGFSLTRSNSTAPRSWGRGDDCCLWEHVKCSNITGRVSHLYFSNLYDSNEVLDAHGHSFWRFDTTVFSSFPELQFLDLSMNMLLSRAGMVIFESLRNLRELDLSSNRLNGSIPSSLFSLPRLEHLSLSQNLFEGSIPVTPSSNITSALKTFNFSMNNLSGEFSFFWLRNLTKLQKIDVSGNANLVVAVSFPSWSPSFQLKVLVLSGCNLDKNIVREPIFLRTQHQLEVLDLSNNSLSGSMPNWLFTEKATLVYLNLGNNSLTGSLGPIWYPQMNLQAISLPMNRISGHLPANISSVFPNMSFLDVSSNTISGEIPSSLCNITRMEYLDLSNNSLSGELPNCLLTEYPILTTLKVSNNKLGGPIFGGTNHLSIKHALYLDGNKFEGTLPRYLTADFDAHGTLDLHDNNLSGKLDFSQWNLSTLCTLSLAGNSLIGEIHPSICNLTRITLLDLSHNNLSGAIPNCMTALELDFFIVSHNSLSGHIVPFSFFNSSTIMALDFSHNQFNGNIEWVQYLGEIKYLSLGSNKFEGQISPSLCQFQSLRILDFSHNSLSGPLPSCIGNLSFGQNPVGIPLWSLICENHFRYPIFDYIGCYEERGFSFRTEGNIYIYRHNFINWMSGIDLSANMLSGQIPRELGNLGHIKALNLSYNFFDGPIPETFANMSSVESLDLSHNKLSGAIPWQLTRLSSLSVFSVMYNNLSGCIPDSGQFGSFDMDSYQGNNLLHPASEGSECAPSSGHSLPDDGDEKANDPILYAVTAASFVVTFWITFAFTSFRM >KN539043.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539043.1:58134:65448:1 gene:KN539043.1_FG005 transcript:KN539043.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKDDAGRSTGLVFSHRGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHVIQPCTTSCTDKVENATSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKESDPFINDHCPQEENVLPIEERKKKQQETKKKKEAMKAELAEMKKLEKEKKKWESGKFATKCIVAEIDSSVIESGSVGGVLNKSHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLCIEQNQYNKTTSNSNSWKRPPVEEALCKLVTHYARVRSRHCTDEAEVTEHIVGLTYSLANCKKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPSMRSLLNVYMDDSKSTLLQSIRLPCPEFPERRDSAMYPRIYTVQANVPPQPKGGIILQKKRLKNGEDRMELMHGNHKTVHEILVLLQPKRSSHQHIANAK >KN539043.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539043.1:114705:116493:1 gene:KN539043.1_FG006 transcript:KN539043.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVTTEFAGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNLDGGGDDEITGGGGGGGAGSDQGVPMAFDLDWQTAAARSTKAKFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNASGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >KN539043.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539043.1:14613:16151:1 gene:KN539043.1_FG007 transcript:KN539043.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELDFYQSQYDLLEKGSNSCVIYRVQQHIRDVSRYSYEPLVLSIGPYHHGSASLQRLEKYKWGYLDEVLKLNCKKSLRDYLMTINELARETRMCYAEDVNMNSEEFLQMLLLDSCFILVALGGSNMILRCKQQETNEPGNNKEIIVDNQKELGNDETSTLNTESPGENQKVETEVMEDTQCQSGEGQWSIIFINHDLLLLENQIPFSVVQRLYDAICGEDSDPTLFPEEIAKFIEAALRFYPIAVRESGRPKHFQHLLHLCHMYFRPTRKVHDDHHYLVAPWYLYKLLSFGCKYLKLGHHIEGNDHHQRVDHIEDGLQLNRWRRAVQYIEAGVQFMKREFDNLDPHSLLDIKFSNGALEIPCLFVDEYTEFLFRNLIAFEQTCPQFGDDFTAYIVFFSQLVSMPEDVVLLSKKEIIVHHLDSDAKVADLLTMLSKDVVFDFNGNYYLKTLCQNLEQCYQSNLNRWMAWLWLNHFRNPWLALAALATAVVLICTVVQTIFGLLVYFDEAPT >KN539043.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539043.1:152952:157577:-1 gene:KN539043.1_FG008 transcript:KN539043.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGLVGIQHAVEKGTVEKGHDSVCKSRGRLVAGRRVRSADGQITRLVLESSGLNGTFAPATLSRLVELRVLSLKSNALHGPIPDLSPLENLKALFLAGNRFSGPFPASVASLRRLRSIDLAGNRLSGALPPGIEVAFPHLTFLRLDANHFNGSLPAWNQSSLKLLNVSYNNFSGPVPVTPVMAQMGAAAFAGNPELCGEVLRRECRGGKKRRRPSSAASPSPKKSAAMSEVSRDNTDLGYVECVPDEETAAMMMPEEKARRLERSGCLTFCAGEGASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGAAALEAEAFEQNMDAVGRLRHPNLVSLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDIGQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLAFLLESSEVKDDAAYRAPENMKSNRRLTPKSDIYAFGILLLELISGKPPLQHSVLVATNLQTYVQSSRDDEGVDVERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTAGDNDSDLTSNS >KN539043.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539043.1:137881:138762:-1 gene:KN539043.1_FG009 transcript:KN539043.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 4 [Source:Projected from Arabidopsis thaliana (AT1G46480) UniProtKB/Swiss-Prot;Acc:Q6X7J9] MRLHHLHVAYLDHKASSSSSSPAPPSISPSSIPGSAAFPAFSFKCLRPLAPKISLPEPRKTMIAPPDFVVPRARNASKLLNYTVQVPAAGTTRWNPSAEQIKVLEMLYRGGMRTPNSVQIERITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDSSLLPPATANETKEAAEKKEKEVDDGLASCKRRCKAWGDGAGDGDAVVATEAAGGCTDDVTLELFPLHPQGKA >KN539043.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539043.1:48149:52415:-1 gene:KN539043.1_FG010 transcript:KN539043.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTAPGELSFSLKQFVSDSAREAMVLHMCCVGRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTKPLVTPPVGSTELPTCPVCIERLDQDISGIMATTCDHSFQCSCVSMWVNSSCPVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKETQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHSKFKSKCKYSGDKCANCSCNDEEDIGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKKEKEQHISDAVDKAVNDKSKEILQKIENAMLEKKKLADMNEKLTKNQDIWRRTLKEIEERERAQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNKNADDLKGGLLVPLPMVPDSGTKDRPYEWQNENATVAQNTNTNEAALTV >KN539043.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539043.1:18882:20513:-1 gene:KN539043.1_FG011 transcript:KN539043.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSSSRPDIVDMDKMVSRMTREIDSFWSAYECENTNKSFSIDKVPQHIVMDDRNAYEPIILSIGPYHHGAPNLTDMEKEKWRCLDYILKLNCKISIHDYIKATSKLEKQARNCYSEDIKMDKKGFIKMLLLDACFILVKIDGTVSAATDERTEEIGMEFPEASLDQTSKHELVVGTSVPEIELAEVQNNAMEPNIKGRNSDHDDKHSHANNSAGDWYSNCAWHDLFLLENQMPFFVLETIYKLAVSSSLTMPALKDTIIDCVEDILRQFPKGIRESDKSKSFYHLLHLCHMSLRPTQKIAVTHHRLPKVRYFHRLLQKVYKYFVRSMMDECEQNLLPTRKEDGFQHDNQPNRWRQATQYHEAGIQFRSREYRADDRHSLLDIKFSDGIMEVPCIPIDENSQSLFKNLIAFEQTCPEYGNDITSYVNFMSQLVDTPADATLLVQNGVIVHMLDSDDEVCALFVSLTKGVAFEFCSNYYLKSLCRILESHYQNRLNRWVAWLRQNHLSNPWLALALLAAVIMLACTVIQTIFTVLAYKYPSAR >KN539043.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539043.1:3384:5201:-1 gene:KN539043.1_FG012 transcript:KN539043.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLAAAKDSVQVCGSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTHCGFTSTTFEPCMDISLDLDAGYNNSLGVANPKVHVRNGERSSGGTNTKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDHCLQFPFSLDMAPYLSSSILRSRFGNRIFPSEASDADSVSEFSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERATAV >KN539043.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539043.1:75213:78915:1 gene:KN539043.1_FG013 transcript:KN539043.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGASTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHQPPPFQSTFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVASSFPDAVPPAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEASVEEEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKS >KN539043.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539043.1:160606:160872:1 gene:KN539043.1_FG014 transcript:KN539043.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFTTAVLARTGATAAKGPTDVLVHDFQFELEQVLSKEFLCDENRVAGSGTPSLGHFVVRPDGRRDAFCSGQDSTAAGTSSEKSGK >KN539043.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539043.1:121516:128215:1 gene:KN539043.1_FG015 transcript:KN539043.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRDSTDAAGWTGGPKSLVSLVWLWAGGPADWHRAGLHGLDQDVQLSLLLSYPLSGCRHRLTVRQRQFILGELQQSHHLLSLEKEKIARLERSLQCLNEQLSFAQAECVEKDAILAKQAKVAEEAILGWEKAEGEAIAIKTQLDDTLDQKAAIEQRICHLDEALNVAMVERELLIKDTAKLISHEQVKVERLEGDVVEKINIIASLDAENRKLSEMLSMKEKMISELTEAKGVIESNFKDLEVKLESADKLNSSLRYEVCMLQKQLDIRSEERKFNLKSADAAHKQHLENVKKITKLEAECQRLRSMVRKRLPGPAAIAKMRNEVETLGNNAVITRTRRFNSTTSFNSGNLVQNSYDASHESSSLLARLHAMEDENKTMKESLSSKDGELQYSRTMLARTTSKLSQVEAQLEELSRGRVATDLVKSSPTVVENPLSSISEDGCNEDNVSCSSSWASALISELEHFKKGKLTTPSCKSTGVSDLSFMDDFEEIEKLALACDAKPTESYDSRRESRESSGKELVTVDGPIETSDQLRQHKIEKAVLKLIELIEGVVQRSSKDHSSTVVLSGGSEGDRSNTLTGYVARAFLWKTSELTSVLQNFVLGCNELLYGSTDVERFVLEINITLDWIISHCFSLQDVSDMRETIIKNLEINSSSGLEVVPVIKHTGIQTADGMCEPRTPNKMQMAIVSVSSLMDIGYKADDDSETFRNKIPVSKCEESEGKASSLRAELNALKETGKIMAHGVDGESTVNELGKPSNSDINKGNQHGVSSLESKLQLECFPAKEGPKCVSRNEDQHVQMQLEISTASEKLIECQETILNLGKQLKALASPKDAILFDKVVHTKIQSERKPRSQSLNEMLAMDDGGFDYLSSPKTKEIICAEIRSRHERSCSVDNGGDDSVTCSSHPTPVAPPMRPYDVNGTCKDEAALKVVALMPSKQKGNTNLLKRILAGRRKEAMTKPNVVATA >AMDW01005187.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005187.1:2:172:-1 gene:AMDW01005187.1_FG001 transcript:AMDW01005187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLKFNRERVGCYLLVILVVALLIGVLFGLGVFRHGYERFKDLGRNHTCYDCNTG >KN539043.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539043.1:27455:30756:-1 gene:KN539043.1_FG016 transcript:KN539043.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVYVASIDQGTTSTRFIVYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIMESVKICMAKALDKAAADGHNMDAGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPICRRLESELSGGRTHFVETCGLPISTYFSALKVLWLIENVDAVKNAVRAGDALFGTIDTWLIWNLTGGIGGTDRDGNKVFGQHVTDCSNASRTMLMNLKALDWDKPTLETLGIPAEILPKIISNSERIGVVASGFPLAGVPIAGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEVTLSSHGLLSTIAYKLGPTEPTNYALEGSIAIAGAAVQWLRDSLGIISTASEIEKLAETVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQANLLGSPVVRPADIETTALGAAYAAGLAAGVWTKEQVFAGLHKENTTVFRPKLDETHRKKRADSWYKAVSRSFDLADLSL >KN538740.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538740.1:129240:131908:-1 gene:KN538740.1_FG043 transcript:KN538740.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSAKESLLLAFRDAGGFESLVSGKTTDMQKIDVNERIVGLERLNPTPRPTTRSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFIGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >KN538740.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538740.1:85346:93367:-1 gene:KN538740.1_FG045 transcript:KN538740.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKINAMKKVDFQQLCQLDSTNYSGTSYTHTIHGYTPFSRRAVNSIKMEEQQQDIKNVFCMEGGQGESSYINPSQSQSRNLRMMLYALEETLDKIQLPRRHRPGNKPLLTAADLGCSCGHNTLLIADVIVDHMTKLCGTSLGSEDDDDGGQLEFCFYFCDLPSNDFNTLFHLLPQQQAAAARRYFAAAIPGSFYDRLFPERSINVFTSTLSLHWLSQFPISGERRILSRVGIKVPEGVADKRSPAYNKGKVFVHGASKETGTAYWRQFRSDMVHFLHCRAAELKPGGAIFIVSLGRLSSTCGPTEQGYIYEVYGSMFEDSWRDLIKEGMVDGEKMDSFNVPLYAATVEEFKEVVDADGLFKVNQLELVMGNPPVVDDPTNRGMAGRMVANYVRALLGPLIDTHIGGAMADELFIRMQRHAEIRAEELVNEIPPVTHVPRVIADIRASGDPAHQMQKVLMKDVFCMEGGLGESSYIKNSQVQSRNLQMMLPTLKEILDKVQLPRRPGKHLLTAADLGCSCGHNTLIVADAIVEHMTRKLRSSIFDDQDDGDAADPEFCFYFSDLPSNDFNTLFHLLPQHATAAAGDGSERRYFAAAVPGSFHDRLFPRRSIDVFTSTFSLHWLSQVPESVADKRSAAYNKDKVFVHGASQATGAAYRRQFQSDMARFLRCRATELKPGGVMFLVCLGRPSLHACPTNQGRVQLLYGAMFEESWGELVEEGAIGRETMGSFNVPVYAATLEEFGEAVGADGSFEVNRLELVITSPLAVDDPIRGRRAVGRAVANYVRSLLGPLVDAHVGRAVADEIFARMQRRAEARAEELVDEMRFPHIVCSLSLA >KN538740.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538740.1:36582:40667:-1 gene:KN538740.1_FG046 transcript:KN538740.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G17980) UniProtKB/Swiss-Prot;Acc:Q9LMT2] MAKSNNGNGYLGVTEPISLSGPTEKDVVRTQEVEKCLADAGLYESQEEAVSREEVLGKLDQIVKAWIKKATRASGFGDQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLVDMPEVTELHPVPDAHVPVLKFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILRLVPNILVCTSCIVYFSDMLNALWWIRYDGRLFASTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMVQEFTRASDICQAIDERKADWDALFEPYPFFESYRNYLKIEITARNEDDLRNWKGWVESRLRTLVLKIERFTREMLLSHPNPRDFIDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFKSNIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPSRTVGKEARAVSRSNISANVQERNVPSMAQPMPYKSSEVNKIPSDPHGGYQSQERNNAVVSSLPCEETGHMFNGYANLHTESVELEHLRSYKGSTSVPDNHVVHDLVKSPESMPPNSIHVYPSPTNGLGHLLDSSCKKPADIVVNKTTNFSSAVLAVPDELDELDSHQVKVNQKDLTAVDQGLSLEHKVGSNGGKAGTTGSPDNNHLKRKAEEELEVFSLTSELLL >KN538740.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538740.1:3351:5282:1 gene:KN538740.1_FG049 transcript:KN538740.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MALVILPFLIIMASVGVIPAPANAAAASQTDALLEWKASLSNVTALSGWTRGAPVCTWHGVACDAAGRVATLWLMSSGLRGSLDALDFAALPALVELELNGNNFTGAIPASISRLRSLTLLDLGNNGFHGSIPPQLSDLSGLVDLRLYNNHLKGSFPEFVLKNSNITFLNLSLNNFSGPVPESLPEKLPNLTFLGLSGNAFSGRIPASLGRLTKLQELWISSNLLSENKLKGDIPPAFFTNWPELTVFDVRSNMHTGSIPPEVGKASQLEVLSMGDNTLSGGIPADLGSLTSLVYLDLSDNNLIGGIPAALGSLTSLVYLDLSANNLTGGIPSDLGHFSNLGFLNLSYNYISGPILGNLGNNFKLQGVDSSGNSSNNSSGATFCRPQLSLMILDLSNNKLTGKLPECWWNLPNLQFMDLSNNYFSGEIPTVQTSYNCSLQSVHLAGNSFTGVFPSALRGCSTLVTLDIGNNKFFGDIPPWIGKGLPSLKILSLKSNNFTGGIPSELSHLSHLQLLDMTNNGLTGIIPRSFGDLTSMKNPKIISSRGSLDGSTYQDRIDIIWKGQELIFQKTLQLMT >KN538740.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538740.1:147183:148772:1 gene:KN538740.1_FG051 transcript:KN538740.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAKPILPVMEAQNQMENQLTRNCIGAATPVTPTGNLAGSAGAGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVVEPQEVDDVVAFAAGDGEDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >KN538740.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538740.1:159773:162806:1 gene:KN538740.1_FG052 transcript:KN538740.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGILCPSPQEQGSSAPTLGFSSHLNEEKVMVNHLRLPGLLDACDIRESWRKKEREASDGQYAAALRRDTPTMLQLRQQQVSHVSKYSSLLSLWKDDCSSHFNEVAWSILACMVMRAEEKTIHLKASIDACGASGGALLTPTKGLAVAHAWYAELFCSFALKLSGDIHGVRMNEACKTESRVKIRCDFTLMLANTDPQSRAN >KN538740.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538740.1:81444:83463:-1 gene:KN538740.1_FG054 transcript:KN538740.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAAAIAEGFVAETRLSGSPTSLCARWNQDKMQAQDMKNVFCMKGGQGESSYLKNSKVQFRNLQMMLRALEETLDKVVLRRHGPGRLLLTAADLGCSCGRNTLVVADAIVTKLCRRRGKGEHGDYAAADPEFCFYFSDLPSNDFNTLFGLLPHRGAASSGEGGGGWRSYFAAAVPGSFHDRLFPERSVDVFTSTFCLHWLSQVPEEVADKWSPAYNKEKVFVHGSSEETGAVYRRQFQSDMARFLRRRAAELKPGGAMFLVFLGRPSSAGPTDQGRSLSLFGAMFEESWRDLVGEGLIDGERMDSFNVPSYAATLEEFREVVDADGSFEVNRLELVMGSRLAVDDDDDDSHDRRAVGRTVANKQRSVFGPLVEAHIGKELADELFARVQSRAEALDDELVDEMRVHIHIVCSLSHV >KN538740.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538740.1:58517:62770:-1 gene:KN538740.1_FG055 transcript:KN538740.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRVLCKFFMHGACLKGDYCEFSHDWNDQPNNVCTFYQKGSCSYGSRCRYDHVKVSRNPTVAPPPSSSTTTRASSSLQPLSFGRPHHVGYQADSSNPRQQISMDVLAHSGSKPVWRNDFQHESVLEDGIDWSISPTVQNQTTLSPADLPICSFAAGGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHTKLCEKNHKRLESLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIDNYKAKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGRLEEVILRHLDADDGSTVIAKNIRLSDFLSRLHL >KN538740.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538740.1:109217:109660:-1 gene:KN538740.1_FG056 transcript:KN538740.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGTHKITPLRTPASSAFAVKPRGTRCTKRGIVSARAIGRQMALEFVSGAAPGARAPTAVRNKFACLQHHDDDDDGDDGAPEEVDDADAAGVEPDHDGDAPAEEVDDADAAGEEPDNDDDAPAENQLDDSDMAEEVDEAVGNGDA >KN538740.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538740.1:132667:136830:-1 gene:KN538740.1_FG057 transcript:KN538740.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFHMEALLPSSPKLNSILHSHIYPQDLELHYHPYYYDYDDSTWNVVVPADGAAEEPRGGGGDDGEDCGYLCWLEEETSADALPAAGEGDDGDGNGAGNEIDRLAERFIARCHAKFLLEKQESYRRYQEMMARSITIGCPFGEGCHFSHFVPGGYQAVAKTLNLGNPAVPAPARAPMDHAAGGNSHPASSGKTRMCTKYNTAEGCKFGDKCHFAHGERELGKPAYMSHESAMAPPMGGRYGGRPEPPPPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISASTPAKKPAGSAAGAAPAGRGGPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRKGAA >KN538740.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538740.1:154834:158231:1 gene:KN538740.1_FG058 transcript:KN538740.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55280) UniProtKB/Swiss-Prot;Acc:Q42545] MASAACSSSASALVRLTGPIHLCSAPPRGCARRQRRPRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAVQGLALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTHKAVAAATMRFRSSGVQQSRTFINALPEQYKTSAKAAVERQAAAQWAQSELFFRLLLKNTVCSLQIAAYSNFLRGFPAFGEPQQF >KN538740.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538740.1:149976:152987:-1 gene:KN538740.1_FG060 transcript:KN538740.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVQVQAQLQQMQQQQLAVLQPQLIFQAMPQLPAGVPGGAAGAVSPQPPVPAMAFYPPPPLAFRVTSGLGGVATGGTVSFQQPAPGTGGTASPTAAVQAVGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETVMSRTQIVKQLWQYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIREAKKFKPSNVATQPMPLINQPSVVISDALAKFIGMEGTVPQDDALRYLWDYIKANQLEDAITGSILCDSKLQELFGCESIPSSGLSELLAHHFIKET >KN538740.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538740.1:94298:96160:-1 gene:KN538740.1_FG061 transcript:KN538740.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQQQEFKNVFCMEGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGNRPLLTAADLGCSCGQNTLLIADVIVDHMTNKSFGSKDDDGLEFCFYFSDLPSNDFNTLFHLLPQQAAAAGSDGRQSRRYLAAAVPGSFHDRLFPERSINVFTSTFSLHWLSQVPKRVADKQSPAYNKGKVFVHGASEETGTAYRRQFRSDMMRFLHCRAVEMKPGGAIFIVSLGRLSSTRGPTEQGYIYEVYCGMFEDSLHDLIEEEMVDGEKMDNFNVPLYAATVEEFKEVVDADGSFKINQLELVMGSPPVVDDPTNRGMVGRMVANFMRALFGPLVNTHIGGAMADELFIRMQRRAEIRAEELVDEMCFAHILCSLSLA >KN538740.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538740.1:66102:71274:-1 gene:KN538740.1_FG062 transcript:KN538740.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MAIFQDVADGGSAGGVVVVDGDRRWRGRGRRAGDGRWGSAAREAAARGRGSVWSTGISGVVAAEEVQVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKISGMLSTLGDPFTKIISPKEYQSFRIGSDGSVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGLHGGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKVLDGTENERNGRIRQKEVQLSREVINLSPLSTAIISHRSDDGRECKTGYVRLAAFSQGGLVKAGLDVAQMWLDGNETLVNTVDREGNVLPINMARGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPSLKEDDKATNLEMDSCIMVAEQALEIEKSKGSAS >KN538740.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538740.1:120354:120602:-1 gene:KN538740.1_FG063 transcript:KN538740.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQQQDIKNVFCMEGGQGESSYINNSQSQTKYLVRLLRLIEQIKTAGAVPKPENDAVRP >KN538740.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538740.1:74661:75861:1 gene:KN538740.1_FG065 transcript:KN538740.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGSPVKGRIVFRDITNRLNVRQTTPSNEVENKKGETSSKKREYRARKKAEANNPYHEVAIPDKHVAERNRKQREYRARKNSVVEDAHNPITPAIPVEPTASVSVNHETSNSHPAKMSNEQREERNKKQREYRKRKRDEMNNVDISVLSNTPMQPVTISSSMQIDGGNICRDEKFEKQREDRNRKQHEYRARKKAESNNVILPNSDATTPIIGSSFGTTYSTILQSSSVTDNKNGNIDDTTVWLHRNGTYQRQYTKRKPVIIEEDKYSPTVDGVMQEETIHVQDAKVHIPEDTYIEFDSALFEPPLIDFVDKGEF >AMDW01014541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014541.1:65:229:1 gene:AMDW01014541.1_FG001 transcript:AMDW01014541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLAPCASAAKDPKSTPSSGCCTAVHTIGKQSPKCLCAVMLSSTTRNAGIKPE >KN539235.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539235.1:103943:105487:-1 gene:KN539235.1_FG001 transcript:KN539235.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSLLLMSSLLFPLLLVLLVMRSYVRRAGAKLLDKLPSVPGRLPVIGHLHLIGSLPHISLRDLATKHSPDMMLLHLGAVPTLVVSSSRVAQSILRTHDDIFASRPYSPIANILFYGATDVGFSPYSEYWRQIKKITTTHLLTVKKVRSYVSARQREVRLVMARITEAVSKHVVVDLTEMLSCYSNNIVCHAVCGKFSQKEGWDQLLRELVKVNTSLLGGFNIEDYFPSFTRLAAARRLLLSCAKAHNINKRWDQLLEKLIDDHTTKHIRSSSMLNHYDEEAGFIDVLLSIQHEYGLTKDNIKANLEAMLMAGTDTSFIELEYAMAELMQKPHVMGKLQAEVRRVMPKGQDIVTEEQLDCMPYLKAVIKETLRLHPPAPLLMPHLSMSDCNINGYTIPSGTRVIVNVWALARDSNYWENADEFIPERFIVNTSGDYNGNNFHFLPFGSGRRICPGINFAIATIKIMLANLVYRFDWELPVDQAAKGGIDMTETFGVAVHRKEKLLLIPHLHLR >KN542128.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542128.1:2905:9538:-1 gene:KN542128.1_FG001 transcript:KN542128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGGSGREVGGNVVPAGAVVKSLADIVAAVMVAVAAEEEELPPWSTALFVCIQGQLHDGLEVAVKRCFELPSSRARTRRLHLDWSTRSRIIHGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLVSGDSGDDYRFLNKRYNLFAVNLVIHAAWNYNGSVRV >KN539235.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539235.1:54158:62933:-1 gene:KN539235.1_FG002 transcript:KN539235.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSALQKARALYRPDLPPCLQGTTVKVEYGDAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPVVRVGVVFSGRQSPGGHNVIWGLHDATKAHNANSKLIGFLGGTDGLFAQKTLEISDEVLSSYKNQGGYDLLGRTRDQIRTTEQVNAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFEFLPPFIRKQIETEKLLAQLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVHMASVDLKGKPYELLRQNSSSFLMEDIYRNPGPLQFEGPGQKYCEAWMLPGCPEGSVKRHGLCDRDANHHVFSLFQWPSNHLRASEAEACHILRCVEDEFWNSNNCSNRSLHCEWFVFDYIRFELTVFFGGTIMKRELAPLIPLGIVAILPAQDIQVGVLPITTSSTRPRCIVVLGGRQCTGFLRVSFNPSIPDLLFFCASYTHILDLFEAFQASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLYVGPTFQ >KN539235.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539235.1:115038:119422:-1 gene:KN539235.1_FG003 transcript:KN539235.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFASDNPRKATPVEIQWIDLFLNSVPSFRQRAENDPTVPDAPAKAEKFAQRYTAMLEELKKNPESNGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRVDNLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFQNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVILAANDMPSINDVTYPELVEIINKLKDENGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAYLANDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >KN539235.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539235.1:129394:130709:-1 gene:KN539235.1_FG004 transcript:KN539235.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVGGSTRAVAEGLLQDVIYYCSENNYFFNAFISQVVDVEVSGLRQKSSADKEMQAPIATAVDGAFSARCSLVFYYILPLCQQPLSSIKPAAENEKRLLPPYQQSCALSAMLCSGILNTDPASDH >KN539235.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539235.1:45626:48718:-1 gene:KN539235.1_FG005 transcript:KN539235.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIDVKDLTTLDSDGHNAKFAGTGSNTVVAFQLLFMLIPLNDKDKLGRKIARNVAFFPSNCSKFLSSFLGCWTDREHVPCCRFICRYAMGYFPISLHVEDYKCFDPNRAYVFGFEPHSVLPIGVAALADLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLIPATRKNFQSYLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPKGKLFVKIARAIKFTPIVFWGRYGTPIPFPTPMHVVVGRPIEVEKNSQPTIDEINEVHEQFTVALQDLFDKYKTETGYPGLHLRVL >KN539235.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539235.1:125218:127900:1 gene:KN539235.1_FG006 transcript:KN539235.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKIVGRVYYSDGGGGGGPGQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTFVFTPETMMRSLEENESDRAQTQVGDGGSDTEAAKSPASMASSHLSMSPILPARVSV >KN539235.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539235.1:6741:7334:1 gene:KN539235.1_FG007 transcript:KN539235.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGADGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSEYDAHLWRLEHIDFDKSALQLLGVSAFRSKTFTGSMLV >KN539235.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539235.1:65423:65689:-1 gene:KN539235.1_FG008 transcript:KN539235.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFIYMLSACGREGLVGRVREILGSMAAKYGMKPGVEHNGSMVDVLECAGMVEEALDVVPESGARRYSSQSAVAVAPEGRHCFPCR >KN539235.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539235.1:74337:97532:1 gene:KN539235.1_FG009 transcript:KN539235.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAAAVVVVLAFMAVMVVVPVFGADGGDGRVQVQSLERPVGGGGGGNGTSYNATSVAGRKDGGGGGGGGGGSSGGSSWSYGWGWGWGTDGGGGGSSGGGGATRDGSLSASPIHGLGPLDLSKMEVTYATSFYDEWKIKAVVGGEMEGSSVIGLKPSVITVQVGEDVVSRVMSFTKNGWAVCVLSANGAVSNMTLRQAGSSGATTVNYEGHFEILSLSGSYLLSESVGLSSRAGGLSVSLAGPDGRVLGGGVAGPLNAATPVQVVIGSFLADVKKGHKQAMPSGAPYPGVSTPTSRETPSGSSGGPGSPQNQSASGSFNTSNQQALADFPWR >AMDW01027658.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027658.1:167:340:-1 gene:AMDW01027658.1_FG001 transcript:AMDW01027658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDDGYKNLAWLAGGFSKCVDGDFADVEGESKLQYATVGGVSYIFLQILLLLRVVK >KN541132.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541132.1:68:11691:-1 gene:KN541132.1_FG001 transcript:KN541132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWSSVDPVATVAQIAGVDAYGLIRMVAERAEKVRRNKYECRQLAEHVETVGGLLHHVERDDPRIAAPLEKLEGTLREAVVLVSSCEASSYFRRFFRGAKIAEQFQRIKEKIDFYLQLFPVITCIYTTSVFSRHLDSAPHTQNLRRSPSNRSPRASRWSNGDEDYTYSERPQARTEPIAVATREDKSGHHNLNKHGVDKASSSNDDIGHLLTAAHQGFSLFDLFRIEDATDNFSLENKIGEGGFGRVYKGQLNGLPVAVKRCFVESSPERLSDFENEIKFIPRLQHRNIVTLKGYCIEGKERILVYEYMQNKSLDKFIFGPRTDWSLYWDRLFAIIEGIAQGIVYLHLHSGLKIIHRDLKLSNILLDSEMNPKISDFGTARSGFPNKGRRTDTVSGTYGYMAPEYSTRGIFSGKSDVFSFGSLLLEIAWKLVFEEKNPERLIRSSLRISVAADAPHLMGQIVGCAHIALLCVQ >AMDW01026443.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026443.1:6:236:-1 gene:AMDW01026443.1_FG001 transcript:AMDW01026443.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADASKKGEGRLKAAGGAGKRKKAAASGKPKRP >AMDW01029656.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029656.1:3:189:1 gene:AMDW01029656.1_FG001 transcript:AMDW01029656.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTVPVVLNFAAGSETCNDARMKDTYACVSNHSACVDTTDGPGYACTCTSGYKGNPYLPGGCT >AMDW01039906.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039906.1:122:739:1 gene:AMDW01039906.1_FG001 transcript:AMDW01039906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSTGNHAVNRSLEVAWPYFVAASNRIALVSCNARVDVRAAAGRNNNKTSTQLISSCTAVCPSDGVGTTTFLNIGPEGPCSGIGCCETNMLLGSSTAAYSIQVQNYLQERVVLNRTDDLVYLVDERFNYTLDMSFGDSSPEALPALLRWYINSSSACPLPASAPECRSAHSYCDSSYGKNAYICSCSDGYEGNPYVPDGCHGN >KN543644.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543644.1:1089:2493:1 gene:KN543644.1_FG001 transcript:KN543644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRCCEAQQAMAKKSKTSAPCFLPDDVVGEVLLHLPSRSLARFRSVCRSWERRISSPAFVESHHALAAPKLAFAPTAPPHRWNLFEDHGVRCRECPRVIGPKACRGLLLLGQRCTRTYSRAGVHKVAVIAAPIELELATRCEVITIGDPAGWRAPAGEGSSSSMPAASFLVQNMDPVLANGCLHWALRGASRVPENDDDSAVLSLSLAAESFRRLPLPPFAKDDVRRCFMDDSKPYPAANPRKPGYIKGTTPTGPVLAELGGRLCMVRDLRHRHDMGGTFEVYRLDDYDSGAWSLCYRVDLAGHAAKRLMTTWFVVPLCYLAGDGDGDSSDRIVLATTMQEVHVYDPKTRTLETLVSAVHADEAMTSSQRENSTASQQESPPFSLCNHSEDLPTSKDYPRFVMFHESLVHLEGMEYGNGEFEILDA >AMDW01040866.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040866.1:269:2762:-1 gene:AMDW01040866.1_FG001 transcript:AMDW01040866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFMERAAADRSGVAEGESEWREELRQQQSQVDALRERLVEVKVGMKCSEEDSRKELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRNGVPLADWSKGGESASCEGLDDETSADSSRVAEHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVVEENVRLRAMIDKKEAQLQAMSEQCKFMALSRPN >KN541237.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541237.1:10040:14725:1 gene:KN541237.1_FG001 transcript:KN541237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGRIELLGEEFAARARGRALYKRPVQLWDGATGEEASFAASFNFTIRSVAGKGNALAGHGMTFFLAPFMPDMPQECYEGCLGLFDQSLTRNTASATMGNASGAASFVAVEFDTHMDGWDPSGRHVGVDVNNVDSRRGNCVVLPEDSLVDAGVMSATVSYDSGARRLDVALAVGGGAATVTYNLSAAVDLRSVLPEQVAVGFSAATGDQFASNHTVLSFTFSSTLPTRTTNPPPPSTSSAKTAHLSAAVAAAGIALLLLVLAITILIRRARKRRRRDDGDSYDDSLDDDDEEDMESGTGPRRIPYAQLAAATGGFAEIGKLGEGGSGSVYGGHVRELGRDVAIKVFTRGASMEGRKEYRSEVTVISRLRHRNLVQLMGWCHGRRRLLLVYELVRNGSLDGHLYSNKETLTWPLRYVFRLVEWAWELYGRGGDQSSLDAVADARLGGAFERWEMERVVGVGLWCAHPDPKARPAIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFAASTMKYYGDSMTSVGSEVVGYSSTSLATATLSSSSSLPSAMANNDSLCPRE >AMDW01003738.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003738.1:2:196:1 gene:AMDW01003738.1_FG001 transcript:AMDW01003738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KGEVFVFPRGLVHFQKNNGNTPAFAIAALNSQLPGTQSIADALFGAAPPLPSDTLARAFQLDGGM >AMDW01038590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038590.1:431:769:-1 gene:AMDW01038590.1_FG001 transcript:AMDW01038590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLRSESQLETVQFYLVQSTL >KN540529.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540529.1:45569:45835:1 gene:KN540529.1_FG001 transcript:KN540529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDIAEARDGLSVAAARAGGVVAGIAVTMVGARDDFAAGVGGDVAGLTVNTAGAGGDVASIAVTTAGVRGDVMAIVGWSRRVVERLG >KN540529.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540529.1:377:1476:-1 gene:KN540529.1_FG002 transcript:KN540529.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRGEEEVDDDDQDGSSGSAEEHQQRRHGARRLRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQYGHDLPHRYGEIKFLNLSLSLKINCEVLN >KN540529.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540529.1:12896:13528:-1 gene:KN540529.1_FG003 transcript:KN540529.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHLQQQFRGEPRKP >KN540529.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540529.1:9180:12149:1 gene:KN540529.1_FG004 transcript:KN540529.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQELAGVRIVEPLRRCMDRARDILEIKTGGLVVWTRGDIHFVYRGSSYLENAKRHRDFVNYNEGLSPVTSNNPTSQGKYSSKDETLTNDNDEADDKDDKPIKGTLYEREVNRLLDSLGPRFIDWWWNTPLPVDADLLPEVVPDFKTPFRQCPPGVRPTLADEELTYLRKLARPLPTHFVLGRNTKLQGLAAAILKLWEKSLIAKVAVKVGIQNTNHEQMARNLKRLTGGTVILRNKDYIIIYRGKDFLPGGVAESVIERESQVHDQQAKEEEARLRMADSLQMIVGLSSERSYVGTFREYQDFHDSHARRTTENNFRIQLEAKKHRLEKELKDQEWRLSMLTKKIERSNQVLAKLHSSWSPSKKDGDRELLTEEERRIFRKIGLKMDEHVLLGRRGVFEGVIEEIHQHWKHKEVVKVITKQNQASQITYTSMMLEVETGGTLIAIERFTTSHAIILYRGKNYRRPTKSAPSNLLTKREALQRSIEVQRRGSMKYFARERKKSIDELKRELRNATWEIRKLNHDTEQSWTA >KN540529.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540529.1:7187:7745:-1 gene:KN540529.1_FG005 transcript:KN540529.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPVPAMLLRSLFLFLLRPTSSPLLFSQQQAADRGLRTPTISSRALNYDPDIYWRDTDVVFVPFFAMLFAKMTLGWDAKGAFATSNLYLFTTGDGQCTNSLGSSVYCMDKECGWRMDESPSPGYECVAGVDAVEERAKEDEPDAEEHDSDG >KN539729.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539729.1:30298:30858:-1 gene:KN539729.1_FG001 transcript:KN539729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEEVVAKKSNKTGRRRASKHGFRGIHQRPYGRWGAEIRDKVIEGARVWIGTFDTAEEAALAYDATARRLYGCNAKTNFPVAGAGDDDDRQAAEPAAVVVAAPAFTGEEMGKQTAPAAAADPGAGELGPVLLCQALEATNGWQYEAYGYGYSMGLERLDNYAYAGDVQPLGLGGSTTTDCLWMF >KN540529.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540529.1:34693:43465:1 gene:KN540529.1_FG006 transcript:KN540529.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKEKDIKVFLYPVKLDPSRPLSKRKHWVVAEILRRAKGDDWALAGPRAVHQCSAEEAGGGGDLVNEKGCSGAGEPLGASGRMGSRCPSGPLLSTPQPRPWALEKITPQTPLGNHSIPRCCIPFLPLAWPPCYHPRLPNHHRRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTDGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDVDYDLARNTFIRNVEHTGFVIGADVNVDGMDFSNFGGMGGMGGMGGMGDMMGGMGGMGGMGGMAEMMGGMGGMGGMGGMGGMDEFEDESDDEEEVSKPQDAEKAAEAGKSQESEAKAETS >AMDW01003192.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003192.1:22:141:1 gene:AMDW01003192.1_FG001 transcript:AMDW01003192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALLLLFLVQVMSVIGGGAAAARPLLLQADGGAVIGM >KN539729.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539729.1:67232:69475:1 gene:KN539729.1_FG002 transcript:KN539729.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMPAAASPTTTMNKDETSDDSGERKKKKASSAAGKASKHHHAAAGAHNLTEKRRRFKITERLRTLQRLVPGCDKG >KN541720.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541720.1:3688:22570:1 gene:KN541720.1_FG001 transcript:KN541720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKKAYVFFSSPIQKELVAQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEASERLHDKMSNFVSKNKAAQLQQTRTGGEISNRDLQKMVQALPQYSDQIEKLSLHVEAYHCNGLTVAGVQTTVAGATVLAQQYYLWCLQCEPQWHGQKDVLYRLQGVSRENKLRLLMIYAAINPEKFESDKGEKLMQLAGLSTDDMIAVSNMRCLCGPDTKKSSGGGFTLKFDVHKKKHGLRKERTGEESAWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >AMDW01039373.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039373.1:472:931:-1 gene:AMDW01039373.1_FG001 transcript:AMDW01039373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLM >KN540845.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540845.1:2540:3106:-1 gene:KN540845.1_FG001 transcript:KN540845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPKPDVPTITPQELTEADGILFGFPTRFGMMAAQMKAFFDATGGLWSEQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDEVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >KN544569.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544569.1:64:2551:1 gene:KN544569.1_FG001 transcript:KN544569.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGARAEELQVQRCRARLNRLASASSGDDAEWEELRLKRILVDYMLRMSYYDTAANLAETSGIQDLVDVDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLSPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDSLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCFDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDICNYTDLVKAYIS >KN540845.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540845.1:34915:37083:-1 gene:KN540845.1_FG002 transcript:KN540845.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLSATSIVSNANEWFEFLGTAFSAASLLLSRWRRRRQGDEAERRQLQWKGQDAKMKQLHYCMLQLPDLIHHAEWLSFVKDDKEVAKLLPELKARVHDAYDLLEEFNHHHHHQQQLQLDLGQDAAAEKAGDDFLQSIAGGNTVREILDDLNCLRNTLEGVIDRHARSEPHQIGKLLRPAMTSFYDKSKFRSLEDEVNELLELLGVKICSARPHKRRIRGETASAIKRNRRNSGVASCSNQEIASDNVTVLAISGIGGVGKTTLAQQVYNDERVKGYFDVRIWISVSDDFNVKRLTKEFIEFALANWMQSDNLCNLQQSLTESIVKFRFLLVLDDVWDDVYANQDNRWQNFLEPLKSAQQGSAILLTTRSQRVADLVNENRHFRLEGLPPTIFDEFFEACAFGSDRCGVNPELNPIGKRIIPQLKRCPLAAETLGRLLKPMLDREHWNQIAGSELWELKQEKYDILPVLRLSYLYLPSHLRNCFLFCSMYPKNHQFDKDTLVNSWIAAGLVESCKGGKLESDGYQYFEDLLHRSLLQKESSSPTDSKYVMHKLIHDMAQLVSEHECFIVKGETDLTKIPQGVRHLSIIGSSSLSETNLIMVCKYKTLRSIVCHGVETYILTAVAKYWFEELTKIRMLGFLSCELNSLPENIGNLKLLRYLNISDCTFEELPRSFWRLQNLQIVDAQKCRVQQIPDDFNRLGNLQRFKLRGRIIKQPGTYEL >KN540845.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540845.1:26253:29399:-1 gene:KN540845.1_FG003 transcript:KN540845.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSCTTPEMKMETDAVQLKCDWPMQTLQGHGNSEPSEKSAVQQSDDTNLRLHRDKQWAVQDKRQVLQRTLTEMHTFIKHAEWWFHKDVFAQLLQDAKDAVNCAEDLLDEINYHELQNKVEGHAILFHVQDYHETKIDKIQGKLEHLVRQMEQLGLYDERQQFIIESISREDDLFAEEQTIFGRQKEMSELIELIVLQENSPTDKQVTEVHAVSDSKRAKLENVSVLPIVGSGGVGKTTLANLVFNERRVRDHFDLLIWICVSDGFDEKKLMKRLAWSVAESEMKTDDLGCLQRILTNGIIHHTRRLLLVLDDVQMDACREDCHGWKNFLAPLKYARSGSMVLVTTRYQRVADHVGTLKHMFLEGLPEETIWEFFRMLTFGSRNSNSNAVLEPIGRSIVARLDGSSLGIKIIGRLLSLKLDAKYWKIISESELWGWPLQEEAGIFTALQLSYQYLPFHLKRCFSFCSLYPRGYEFDAETLVDSWVAVGFVVPSRSMLAVDIGHVYFNQLVSRSFFQRSPTSSRYVIHDLLHDMAQSIARNDCFMIKSRCDMLKIPPKVRHVSIVGNGELSSTDIECLNTYKTLRSIVCIGVGCDIITNSVLETWFDHLTSIRMLRFISCRLKELPCNVGKLIHLRYLDISACDFDKLPTDLFCRLYKLEILDAQNCTLHAVPKDNKLEILDAQNCTLHAVPKDIIKLVNLQRLRLKNDLIRQLGREPEIGKLTLLQNMPYYAVDDKPGRGIQELKNMNHLHGGLEIDGLRNVTSREEAAGAELAKKIYLDTLVLKWHESIRPQKHNSTQEMEVLEALRPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLASFSINSCPSTTTLFLIEPSETGSSRSSSVSFQSLTKLSITWCRSLTSLDNFLQPECLPMIKVIQISNCEELASLPTNNLVHFVHLEDLEICHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTTLNLRFCPSIESISAQIWSGLWSIESLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRSGQADSQ >KN540845.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540845.1:13405:13743:1 gene:KN540845.1_FG004 transcript:KN540845.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLAANSSETHPWHLHGHDFWVLGHGAGRFDPAVHPAAAYNLRDPVMKNTVAVHPFGWTALRFRADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGELPPEIMGCGKTRGGH >KN538763.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538763.1:255558:255908:-1 gene:KN538763.1_FG033 transcript:KN538763.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRIEGGFGGRARPVTMLFAVRREGENRRGVAMAAPLITILNVIVVGHLGYLQAIAISPSLEDEGSNLAMLIMPSLEEVAPILGPTIKQPHDHPTTFLTTLHQWRPSRSGWSQI >KN538763.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538763.1:209465:212501:1 gene:KN538763.1_FG034 transcript:KN538763.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDGKVYTVAGRGDLFAHEITTHRKTKQPMVSDLATQIIQVGLFESFFLDGTYAAVRCKRLHYLVVSQQSKLWMVRWIIPYGYAHDMKMGFSVFEADMETRKWTDVDGLDDEAIFVSTSCSMAVRASSYGGYVEAGKIYFADYERRQEWPADSTCGVYDLRSKTISPGGPHISHRSSATWFFPSFRAVCGQWRVGALRQHPLPPPLPWLLVLRSPCVYQSLPDGELRPVPGANSSRAIPYFSSYDDGWLLEYQCFGRRDRIRNPLSRAAIDIPRCFDRRIESLDYFLGDNDGSHSINPAEYTLPKIIVCSPRLVVAAVVHSTCIAAFRPGIDRSCGKLLMIRCTTKYSPDGSSSMGGTTIKFKVLEADLEGGQWLEVNSLDGQIIFLSKACSKAIPSSPGNGDPRFAGNCIFFLGEDITWRWYHIQQASITSGMPMYGVYDLSTSATSLIYLGREYKHSKLLLHVELAMVTIMRLHEAAIVELSIWP >KN538763.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538763.1:286955:289503:-1 gene:KN538763.1_FG036 transcript:KN538763.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSKSDKKKVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAFIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFGFTSIALFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKEWRSVLPVRSEKPSGALDEKDGSEK >KN538763.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538763.1:147430:147636:-1 gene:KN538763.1_FG037 transcript:KN538763.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAALVYVLYSVHASYDADEGAAAAALDGAKVLDEDCK >KN538763.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538763.1:291728:294408:-1 gene:KN538763.1_FG038 transcript:KN538763.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKESVRVAALGHGYTESQLAALMSSFIIRKPAPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDLHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVITFSVLFLDWYSK >KN538763.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538763.1:284783:285591:1 gene:KN538763.1_FG039 transcript:KN538763.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFFLLTAFIALVATQAMASDPNPLQDFCVADRNSQVRINGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >KN538763.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538763.1:274311:275111:1 gene:KN538763.1_FG040 transcript:KN538763.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNFFLLTAFIALVATQAMASDPSPLQDFCVADKHSPVRVNGFPCKDAKDVSVDDFFLAANLDKPMDTTKSKVGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSHPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >KN538763.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538763.1:229478:232380:1 gene:KN538763.1_FG043 transcript:KN538763.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPAAMSVLLLITCFFLSLLRAAAGGNTASASASCLPHERDALLAFKHGVTSDPAGLLDRLVAPRRGLLLPVERRPVQQPDRTRARASTWQQQQHSFATTGRIPEFLGSLKSLEYLDLAAIQFSGELPPQLGNLSKLQHLDLSSTSGGRTRSTDLSWLTRLPSIQYLNLNNVNLKTVMDWPRVMNMIPSLRVLNLSGCSLASENQLLLPRLNLTNLEVLDASSNSFDHPMSASWFWNITSLQYLDLSSNRLYGQIPHKLMMTSLQFLDLSSNGDGDKNMGVMATDLSNLCSLEVLKIRWALLYGDITEMFKNLSSNCSPNQLKELDLGVNQLTGTLPKWIGQLTSLVKLDLSSNNITGPLPASVGRFTDLKFLDLSDNHLTGNVPHEIGVLTNLTKIYLNNNDLDGMITKEHFANARSLQVIDLSYNALTIDISSEWQPPSKLSIAHFAACQMGPHFPGWLQWQIRLTDLDISSAGIVDKLPQWFSDAFSNVKYLNISNNQLIGGLPASLSSMSLSELYLSFNQLTGKIPALPPNISFLDLSNNSLSGPLPSGSGAMNAMEFSLFSNKLSGQIPESFCKYQGLAVLDLSNNFLEGEPPPCLDVMEDMEYIALSNNSLSGEFPSFLQNFTTVLFIDLAKNKFTGRLPAWIGNLMLLRILRLSHNKFVGDIPMNITNLACLQYMDLSNNEISGSLPSYLSNLTAMRKTNMTGMCYVGDIDNFHLISLSAVLKGQERNYGSISRVFDTNMTSIDLSSNNLTGEIPEEIIALNVLVYLNLSRNHFIGVVPSKIGEMQSLESLDLSRNKISGEIPATLSNLTFLSYLDLSYNNLTGRIPSGTQLDSLYAANPFMYIGNIGLCGHPLQNNCSRDNASKQGRHQGGTKEGHGIDFFYLGLGCGFITGTWMAFGVLLFKRRWRIAFFQLPDKLYDKVYVLVATWARRTQTDRLP >KN538763.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538763.1:260074:264559:1 gene:KN538763.1_FG044 transcript:KN538763.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALFRGPTNLASPASRSSSSSSSSLRYLATADGDVLPRRSSSGSPGSTGSLGVQERREEEGEESEEEEEEWSFLALLLALLRKSLLGCSADGGDGGGCGGGEGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNGGIVPEGIDVHCLAGLIKMADPLTALMYAVQVMNFLKMLIQKTLKDREESDLDDLSLPQKDPSDENGHQTTGLSLDSHPDEGSRRPSFVSEEPLLNSPVHSTEEKPNKTNLAEGKFADSSCPENVALTSMETEGSTSCSQPALAAAAAAPRAIAMNLLQGKGSRSLNSRRTRKGKVQFGPRAAPASEKSKGASIVSRINSKVERIEAWR >KN538763.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538763.1:277365:278172:1 gene:KN538763.1_FG045 transcript:KN538763.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFFLLTALIALVATQATASDPSPLQDFCVADKNSPVRVNGFPCKDAKDVSVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVIEGSLYVGFVTSNQANGENKLFTKTLNKGDVFVFPEGLIHFQFNPSYDKPAAAIVALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >KN538763.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538763.1:281113:281922:1 gene:KN538763.1_FG046 transcript:KN538763.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFFLLTALIALVATQAMASDPSPLQDFCVADRNSPVRVNGFPCKDAKDVNTDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >KN538763.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538763.1:214008:219151:1 gene:KN538763.1_FG047 transcript:KN538763.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSGSSSSPPPPPQPAASPPGWSDLPADLAGQIVSLVPSHHDRLSFAAVCSQWRLGVLRQRPLLPPALPWLLTLTHPRAYHSLADDGVARRIRRSNDALSCVSCYDDGWLKDLDVFTPCIMACDNRHFRNFLKNSFSKATIDIPCRFDQPINPFIVDNRYSTWPDNFTKIIVCSLDLVVATSRFIGNNIVSFRPGIDTSWSVLPCDDYGGDHKRIYEDIALYRGKLYALTRNEDLLVHEIRDNNTLSRAELAIRAAAEPPLSHLQGQCSIDDIVRQYRVISCKYLVISCSGNMLMFRCTISPMLGTSANEDDYEIKFKAFEADLEGGQWLEVKSLDGQIIFLSKACSKAIPRSPGNGDPRFAGNCIFFLGEDITWRWYHIQQASITSGMYKENEGIPMYGVYDLSTSATSLIYLGREHKHSKIVSSLPFHHDRLSFAAVCSQWRLGALQQRPLLPPALPCLLTLTHPRAHHSLADDGVAHRIPMSNDALWCVSSHDDGWLMHMDVFKPCIMACDNRHFLKNSFSNATIDIPCRFDRPINTFVVDNRYSTWPERFTLHKIIVCSPDLVVAASRLIDNNIVSFRPGIDTSWSVLPCDDDDGDNKRMCTISPVLGTSANVDDYEIKFKVFEADLEGGQWLEVKSLDGQVIFLSKACSKAIHSFDHGDPRFGGNCIFFLGGDLTGQWGDIHTRITNSYVYQQKEGIPMCVYDFRTSKISLSTLGQHIFSSMVQWFFPRM >KN538763.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538763.1:240188:244152:-1 gene:KN538763.1_FG049 transcript:KN538763.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQVQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPHAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHAPHTPQGQQPTMMAQGTQQTPQHQHVGHHALWPEIHASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGLSNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEIAPRVLGPNQNQMQISQSIRPDGAMVRPPMGAPMPGLHGSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSFAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESSHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKK >KN541521.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541521.1:2596:2901:-1 gene:KN541521.1_FG001 transcript:KN541521.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPVDDGQEELQGEVDVPGVEDEELVPAHGVLELVRVDDEGDDGAGDEDLARRALVGRSWIYTFGRLGGCEVPELDGEGGREDEVVEGMRGVEGPSARGE >KN541521.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541521.1:20877:21449:-1 gene:KN541521.1_FG002 transcript:KN541521.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGADAHAVKREERRDLPGGHAEGDVVRDHLVHQPRHDERGEHAAWCTEAGPNAVVHYWAEHRRRHKVPPPSPEVMQQGREVRPVELRLQLHAKRGAHRGDARHEEVEEAVREDNVDGEGRITVDLVLFAEEVEDGHRHDGELDGQRHDRHRRKLRDHGVIRGHSDELNWESGAEDERERMEGAIQRATA >KN545639.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545639.1:197:1439:1 gene:KN545639.1_FG001 transcript:KN545639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KQGGLPLSSRATLAAWPTNANATNDAEQGASVPRTVNTPHPTGLGNASHASQVGRTTQPMVDNLIPHVVVNNAQEQSRHIQEITMQGQSTSLNRHNKEASASTYRYNAQFSISGTTRAMADEHVLVSNAQEQSPHIQEITMQDQSTSLNGRNKEASASTSYRYNAQCSISGTTRAMADERVDPSFVGNNDHTSASSESKRELSVTTPSGYDSRLAPHGVGNTGTRSGGATRSSNVDDGINNIGHPISLCGENEKPFTYIFNMLADWGVQQDTVPYIQGKIK >KN544841.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544841.1:1303:2205:1 gene:KN544841.1_FG001 transcript:KN544841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCFFFLLPLLVAALAGSPVVTAQRNAPAAAASVRVGVILNLTSAIGVRRRVGIQMAVEDYYAANPGSATRVELHFRDSAGDVLPAASAAFAIICSHAKGLVVFLDADPTDVEHHEKMPSCLQIKHRNRRTD >KN541632.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541632.1:425:1762:-1 gene:KN541632.1_FG001 transcript:KN541632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIK >KN540730.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540730.1:13732:16657:-1 gene:KN540730.1_FG001 transcript:KN540730.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSNICHNESYSCYCPLLYMSGIWPESESFRDDGLGPLPSKWKGKCLAGQAFGSNLCNRKIIGARWYDKHLNPEDFKDEYKSARDANGHGTHVSSTAAGALVPNVSFHGLAAGYARGVAPRARLAMYKACWGQGGCNTAAVLQAIDDAIHDGVDVLSLSLGGPGSEYYGSIHAVKNGITVVFAAGNNGPAPRTVENSLPWVISVASATIDRAFPTIITLANSTTNFVGQSLFYEQDANDNWYEIYQSRCTFLSAATANVTLAAGKIVLCYSPATVSIIAPSYTIPIAIKALKEAGAKGIIFATYALDGLETLEDCGNMPCVLVDFEVAKQIKESADENTAMVLKVAAARTWIGGQVLVPKISTFSSRGPNSYSPDFLKPDVAAPGSTILAAIKDSYKFLSGTSMACPHVSGVAALLKALHPDWSPSIIKSALVTTAINDKYGLPILADGLPQKTADPFDYGGGFIDPNRAVDPGLAYDIDPEYFDCILGSNSSCEFETKNINLPSIAIPNLKEPTTVLRIVTNLGKADAVYRAIVQSPAGVQILVEPSVLQFSKAKKKQTFNVTFSMAHKVQGSYLFGSLAWCDGGSHYVRIPIAVRPVIYDNYVDV >KN540730.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540730.1:28338:28637:-1 gene:KN540730.1_FG002 transcript:KN540730.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPQKGPREKQDLQGEASNEGNNDRSRCRHLPINWTGFSPKGGESPQSNTSKEDTAPASVDVADLRRPDRAFAQDSLQRWIYNEAEQKCCVIVARLIG >KN541632.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541632.1:19211:22426:-1 gene:KN541632.1_FG002 transcript:KN541632.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRSVEAGDDAGSGPGKVDPRQKLQSKPFPHSIAVDPKQRLQGKPYRRLLEAKLIQQDYAAMEDWQARYGYAVGYAAKSGQCFQEEHPHGSSPFEMLLQAAPFIWRIQQVSKGRVTLSDLGS >KN541632.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541632.1:17939:18594:1 gene:KN541632.1_FG003 transcript:KN541632.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAGQISFGRENKLEQEIQSEVQSDHLCPRRHGPNGKLAGVEAAGARISGYAFSSEPLALGTWIPSIFSKAPLASSSCFMYAFLEAQLVEIMDRVTAGGPRGQEVGGVW >AMDW01017062.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017062.1:79:187:1 gene:AMDW01017062.1_FG001 transcript:AMDW01017062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSREDEEAKKMEAGGDTVGQKLDAGALFVLQSK >KN541204.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541204.1:1268:2278:-1 gene:KN541204.1_FG001 transcript:KN541204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLVSKEVELSLALLSLERKSQKHLLFDLSTNQTRGICSAVLSCATCVFQNSGWLLMIQHKPPHSEEMTIFLVHPSTSKRVNLPVLHSAKEGFFAFYVGSRGTPLVVAFIENKLTCDLLPTIHTVCPGDIYWSVYEHSGYHSQLKESYRFLEDIIIVDVALVGREVVCADYYGKIMVFNITEMTWRMAFAPEWSIADEHFVVAMKGQVVLVSCRHHPCSHPFRFLKLDMKSLEWLPLDESELDNSAWFLQKSFSLYVRQEGKRKVYMFGSDGSTRREVDYREKSPAEKSIMNIFAHDLVDGTTEKILPASIVTETCNWVLPGMFDAAASQWPAH >AMDW01039628.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039628.1:35:985:-1 gene:AMDW01039628.1_FG001 transcript:AMDW01039628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQDINDNHVGIDINDLRSVDSYNAGYYDDKNGTFCNLTLASFDAMQVWVDYNGERKLISVTLAPLNMAKPARALLTTTYDLSQVLKNQSYVGFSSSTGILDTHHYVLGCSFGMNQPAPVIDVKKLPKLPRLGPKPQSKLLIIILPIATATLVLAIVSGIVVLRRRQMRYAELREDWEVEFGPHRFSYKDLFHATEGFKDKHLLGIGGFGRVYKGVLTKSKSEVAVKRVSHESRQGMREFIAEVVSIGRLRHKNIVQLHGYCRRKGELLLVYDHMPNGSLDKYLHNHDNQQNLDWSQRFHIIKGVASGLLYLHEDWEK >KN541204.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541204.1:4273:11769:1 gene:KN541204.1_FG002 transcript:KN541204.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPPQRHLVFAFYLTGHGFGHATRAIEYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQHYQSSIEMTRRDFLHGHWKPYLLRALILQPCYDGPTNGGEVAARILEDTAVGKKCISDKFNSGRCFEDFEILHGDLQGLPDTMSFLKSLSELNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVQIVSFGSELSNRSPTFDMELSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSDVPEGKGVSSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYEAIYAKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >KN543540.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543540.1:84:643:1 gene:KN543540.1_FG001 transcript:KN543540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGSEITSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKEVAPHLPSSLNERKQAEIDALSRRLQGITRSISRYPTAKRRFDDLVRSLSEELEKTMGGSQSGSVSQMQKLRSGISRMLSQRSMGKRTSNRGDDREAQLTIDP >AMDW01050749.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01050749.1:2410:2748:-1 gene:AMDW01050749.1_FG001 transcript:AMDW01050749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLAMAIVLSLGCAMVGAPEALRLLLDLAGQSSPIAGVVVIVGVICAVTAATVLGAMLLVRFIRVAGNAPDPTTERFARMTLTVAVAVAFLVAACLVAVPTVPSATFARA >KN543540.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543540.1:1267:6822:-1 gene:KN543540.1_FG002 transcript:KN543540.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDTAIDRLRRAPESSRSRGAAAATPAWDGIIGALHARKALREAIRGLEVGVLVNNAGVSYPYARYLHEVDEELMRTLIRVNVEGLTRVTHAVLPAMVERKRGAIVNIGSGSSSVMPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKAQ >AMDW01036233.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036233.1:169:525:1 gene:AMDW01036233.1_FG001 transcript:AMDW01036233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQKARGANARPAAASAAEQLLQAQLNAVQEDLKNAREHLAAIDRDKAQLLHDLSLARRLADDAHAAQSAAEEALDLERFKSIEREQLAIDLAQTKERDWNARCHAIDQRRAELAAELDR >KN538768.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538768.1:209215:210523:1 gene:KN538768.1_FG041 transcript:KN538768.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVDGRWTRVRTLGRGASGAVVPEWLSTEAKDFLARCFARNPRERWTSSQLLEHPFLASAGCSVKTGEAAPQWVSPKSTLDAAFWESDTDDEEDDMPASPAERIKALACPCSALPDWDSDEGWIQVLNESSEACDTAVAKVEAEGKGRVLIEALETINEFSGVDAECVDPECTVRLITVASIGQQEVFCLGLINDPLVFSVNKSELTMSLFPQIASF >KN538768.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538768.1:256395:260388:-1 gene:KN538768.1_FG044 transcript:KN538768.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLQCPDDPAPSMNVEAVLHMKEGVGETSYAKNSTLQKKSMDTVKSLVTESARDVYASLKPERFTLADLGCSSGTNALGMVEEIVRSVAEVCRGSSPPPEFSVLLNDLPTNDFNTIFSRLPEFTGKLKADAGDDPMVFLSGVPGSFYGRLFPSKSLHFVCSFSSLHWLSQVPPGLLDETNGPVNKGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVAGGRMVVSMLGREGERHADRNTTLLWDLLSESFAALVSQGVVEQGKVDAYDAPFYAPSIGEIEEEVRREGSFRTEVARAYEASLSGSGDARKDGRTVSMAVRAIQESMLGHHFGTEIVDALFAKYTELVTATMEREEKKSMDTVKSLVTESARDVYASLKPERFTLADLGCSSGTNALGMVEEIVRSVAEVCRGSSPPPEFSVLLNDLPTNDFNTIFSRLPEFTGKLKADAGDDPMVFLSGVPGSFYGRLFPSKSLHFVCSFSSLHWLSQVPPGLLDETSVPVNKGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVAGGRMVVSMLGREGERHADRNTTLLWDLLSESFAALVSQGVVEQGKVDAYDAPFYAPSIGEIEEEVRREGSFRTEVARAYEASLSGSGDARKDGRTVSMAVRAIQESMLGHHFGTEIVDALFAKYTELVTATMEREEVKSVQIAVVLTRL >KN538768.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538768.1:143039:143919:1 gene:KN538768.1_FG045 transcript:KN538768.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSLRLGDAGGVLVDVGARGVEQDLDVVDVVEEVKADAVEHLVDQVVVLHWCAWVLAVRRVRVWSVFCGLWVGGCVWTKACEPEFTEYQGKFSHSTPTHIRIHPSILRRAAESQLHGCARTFTPPGANHNRTARRGAQDGEEPDAAGADRDTARTRTSHAARRTTSLRSMTSCRSTRRRGGSGVDDVTRVRGWRPPKYHPPFGARQWRERGLRRRGRRGRGGDKD >KN538768.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538768.1:266660:268026:1 gene:KN538768.1_FG046 transcript:KN538768.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAGDAVSFGGAQNIVGNPLGPMWRLLRRVCVQEMMSPAGLASVHGLRRREFRSTLRYLHSKSGEPVDVGEQMFLNTMNVITGTMWGGTIGSESERSAVGSEFRGLVAEVTELLGTPNVSDLFPVLKPFDLQGIRRKMERLRSRFDLLFTKIIQQRMRSQQDGGEMTTDFLECLLKMEKEGSDGKTPFTMDNVKGFLLDMVVGGTDTTSNSVEWIMAELLQNPQVLNKVQQELDSIVGRDAVVEEYHLPQLHYLRMVIKETLRLHPPVPLLVPHSPSAAATVGGYHVPEGCRVLVNVWAIQRNPLVWNKPLDFNPDRFARDGGHKGDFTGSQLDYLPFGSGRRMCAGMAMGEKVMVYSVAMLLQAFDWKLPQGVQPDLSEKFGIVMKKAAPLVAIPTPRLSKPELYYS >KN538768.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538768.1:247308:255100:1 gene:KN538768.1_FG047 transcript:KN538768.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYDISCFAAGLAGNVFALALFLSPVTTFKRILKAKSTERFDGLPYLFSLLNCLICLWYGLPWVADGRLLVATVNGTGAVFQLAYICLFIFYADSRKTRMKIIGLLVLVVCGFALVSHASVFFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRSESVEFMPFYLSLSTFLMSASFALYGLLLRDFFIYDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEFCYTVTILEGKNDSSIVDMHITHKYHNTEVTYYQDRRSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGSRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVDSLKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >KN538768.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538768.1:221598:222263:1 gene:KN538768.1_FG048 transcript:KN538768.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSETSDGSLCKSIQPSSESQSGNAEQVIANALILLVALCDMSSSSSSSSDSQHSALSVLLGDTQPCFAAVASMSLEADARNGCSSSCAAVGRSQGFLVKHLDKKFFASGERHSGISGTASVYPIRWTAEKTSSMSLHGTRPVAISMTVQPRAHTSAAGPCSSPRATSGAMNAGVPPIGRSESVLLAHPKSASLARPSAPTMMFLALTSPCTSEFRWR >KN538768.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538768.1:191582:194329:1 gene:KN538768.1_FG051 transcript:KN538768.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAPHHQGNTGRHVPSEVLHHVLLLLGSSTESEPTRHVQGNFVPRGNRRALGWAVDADKVAGAVVGGGEMARGEDERAEPETRKVRRESNELGELKLALGVGMDVGGRHPYWGPRGHWDSLSCIIGSLLLLKHHPVPQSFNRTSSILEVISVIK >KN538768.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538768.1:214349:216296:-1 gene:KN538768.1_FG052 transcript:KN538768.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLRRVRTLGRGASGAVVWLASDDDSGELMAVKSASAGGAAAQLRREGRVLSGLCSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADEAARNGGCLPEPAIRAYAADVARGLAYLHGNSLVHGDVKARNVVIGSDGRARLTDFGDMDDILAAVHRIGYTNAVPEVPGWLSAEAKDFLDGCFERNASGRSTAAQLLEHPFVASAAALDRWPEPAKQERASPKSTLHDAFWDSDTDDEDDEMPTGAAERIGALACAASALPDWDSDEGWIEVHDEGSFAAVTPPASDADYFVWAELSDPEMEQFAVAADGVNHVPRNEAEAIESSIRQGSYLHVHLGSGKNEIFHPFDTDGTESGLDAHRLTALQKHQWNLSSRLPHSSWIDRTFGSDLDIGVQLATTC >KN538768.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538768.1:278346:283996:1 gene:KN538768.1_FG053 transcript:KN538768.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYAVTLVAMAVGLLLVSYLYEPYWKVRHVPGPVPLPIIGHLHLLAMHGPDVFTVLSRKYGPVFRFHMGRQPLVIVADAELCKEVGIKRFKSIPNRSMPSPVANSPVHQKGLFFTRDLRWTAMRNVIISIYQPSHLASLIPTMETCIERAAKNLDGQKEITFSKLALSFSTDVIGQAAFGTDFGLSKKNSASPDDDKIAADTTAEAKASSEFIGMHLHATTSLKMDLSGSVSIIVGQLLPALTYEHLLAGSATTSFTISTVVYLVAKHPEVEKKLLREIDGFGPRDRVPTAEDLQTRFPYLDQACVVKESMRFYIVSPLVARETLEEVEVGGYKLPKGTWAWLAPGVLAKDPKNFPEPEVFRPERFDPNGEEEKRRHPYAFIPFGIGPRACIGQKFSIQEIKLSVIHLYRNYVFRHSPSMESPLEFQYSIVCNFKYGVKLRVIKRHTA >KN538768.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538768.1:229627:230478:1 gene:KN538768.1_FG054 transcript:KN538768.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAIHTGYFIGSGMALPANFSPIYFPMGNAATGFFVIFAVIAGVVGAAAALAGFHHVRAWSHESLPAAASSGFIAWTLTLLAMGLAVKEIDLHGRNARLKTMESFTIILSATQLFYLLAIHGGR >KN538768.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538768.1:242150:243349:1 gene:KN538768.1_FG055 transcript:KN538768.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGDHPAGSDDGGNNNNNNNKNNKAAENGSNDSSHRRDAAASDGNHHLPSRPNVPHVDVSEDSMESSEEMVTPRAAASEADEEERKAATSEVPVEVVEAGEEVMVDALPPEAAAAGAQEQQGKAEALVVLQEPEVKREELVAKVHPVHDPEPKGEEVLVVEAAAVSAVQEPEVKRDEVVVMETAAPPAVQESETKSGGVVVNDVVEVSRSLGAADTTEVARGPAVAVAAAGQRATWWNCCGVFDAFSGSER >KN538768.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538768.1:199030:200025:1 gene:KN538768.1_FG058 transcript:KN538768.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHGDVKADNIVIGVDGLAKLADFGCAKTMDSERPVGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTDAVPEVPVWLSAEAKDFLAMCFARNAGDRSTAAQLLEHPFVAFACHEVKAAQPKPRWVSPKSTLDAAFWESETDDEEEVDEITESLCDRIKSLACPVSALPDWDSDEGWIDLLGEQCEACDSEAARESIDVARSAPSKVSSAATVPAAEVVLVGGGCCPSNEADAFDQSIGGDIQAADRSIERRNKVCAGSDNDVLPFRLLCNGICAMEFFQFFTNLAVLLCLIPSVVRSHYVFVKL >KN538768.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538768.1:269390:269692:-1 gene:KN538768.1_FG059 transcript:KN538768.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDANGDGRIGREELERALRSLNLWFARWKAREAMREADANRNGVVDRDEMVRLYAFAQRHLHLKMNDLDDVASY >KN538812.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538812.1:193449:196892:1 gene:KN538812.1_FG041 transcript:KN538812.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPHNVFLSFVLREQILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRTTITMKMRTIDGGRARCKEGRVVRWGPASHRAHATTTTTCYVPYGSAAHGRFGGVFGLATGDLGGAEAKGS >KN538801.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538801.1:234370:239884:1 gene:KN538801.1_FG001 transcript:KN538801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDLRRHRSDRQENNRLARVLLAPPAAGEFAPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQNGGVGGVLHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWILNHRGDLEFTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADRDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYSSGKDSCGYSVVVDDLLWTPKMAVKTDPRLLKLLRGGGTDEETKLVLEFFLALAACNTIVPLVLDTRDSKQKLIDYQGESPDEQALVYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSLFGITKNSLDLDIVRATEAHLHKYSSFGLRTLVIGMRELSQAEFEEWQLVYENASTSVLGRGNLLRSVAANIENNIRILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCKRSLEEALATIKKLRIASTGTQSPELASESAGVTLALIIDGNSLVYILETELQEELFKVARECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFW >KN538812.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538812.1:228429:228830:1 gene:KN538812.1_FG044 transcript:KN538812.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLGVWLCYLFQAVARGPPPDPPTPPCTPETEEDDKNGLSEEELRMLGGICAAVAGDGEEEEEEQLCPICLDGMEAGRAVRVLPGCSRAFHQDCVDRWLTISPRCPVCNAWVTPQSPGISPPPPAKTALDS >KN538812.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538812.1:118881:124070:1 gene:KN538812.1_FG045 transcript:KN538812.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding SSLADLPLKNYYRFVLPSMDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLMHIEVQKRGGKEHEDLLNADDDNHFQEKMDNKGWNNNLLKWASSFISGDSSSKKKDEKISLIEIEDEMVSLNFEYCINLNACLMMDLKAARQGETINIFSVASGHLYERFLKIMILSVLKQTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQLFANSLLLSLCERVSGKIICEEDHTTSGGCDLCICALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPGTTSPPSDTPKSDDKGAKHDEL >KN538812.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538812.1:234220:234597:1 gene:KN538812.1_FG046 transcript:KN538812.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGTGTHRRRKQQGDGTAARAMYKRSAQGADNLARRRESRPMERGDWLARKESEARICGGSSTTPAPLLAVMEIGATAQAAGEGDGTGAGGGEARVQGAGSQRKETRGPLRCWVSGVLLQVWAF >KN538812.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538812.1:224941:228021:-1 gene:KN538812.1_FG047 transcript:KN538812.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVKKTRDANKKRMDLILRLILAANVIYIVVRMAVMHSSFTWKHWIGLAVTSAAYFLPYKQLANMSEPEYSENGELINAGYDLNAGGMSEYLQDVIYITLFVQLMSIFSDKFWWTYLVIPAYGGYKISGLLRGAFFGGSSEGEEEDEKTRKKREKMEKKASRGKIIKTRTR >KN538801.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538801.1:123953:136557:-1 gene:KN538801.1_FG002 transcript:KN538801.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHFVILVLAYRLLVPLSAEPDQTRESYVVYMGGGGGGGGAGVEEEAARAMHMEMLTSAVLKAIDDAVGDGVDVVSISIGMSSAFQSDFLTDPIALGAFHAHQRGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFHSTIVLGNGTVIKGIAINFSNQSITVGQYPLVFGPQVAGRYTPVSEASNCYPGSLDAQKAAGKIVVCVGTDPMVSRRVKKLVAEGAGASGLVLIDDAEKSVPFVAGGFPFSQVATDAGAQILEYINSTKNPTAVILPTEDAKADKPAPVVASFSARGPGGLTEAILKPDLMAPGVSILAATIPTADKEDVPAGNNPSPFAIKSGTSMACPHVAGAAAFVKSAHPGWSPSMIRSALMTTGFKGEKDRLFMGEPNQRRLYQVWQGSNKFLCGGRLIFGPDAGSLFLSTVLIVAPLVGLCCQCITKMNSISSEKQVLGLPVLIATIVLGLADLAFLLMTSSRDPGIVPRNARPPESGGGDGDEEGVAGDVTTPSAEWVTAASPHLRLPRSKDVVVNGCVVKVKYCDTCLLYRPPRASHCSICNNCVRKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCVYVFVVSWLNIVAHKDGNGGSLLKSMAGEPLSVVLIVYTFVSVWFVGGLTVFHLYLMSTNQTTYENFRYRYDKKENPYNRGALSNIAEGPDDVGGQLPPRNGADLTGGVKEKVDLEMGRNGGIIPAILRGLDYDEMEKNDVSVHIKDRGAAPAAPDPFMAGRWHNGDCESTLTALQRLQQQAAPNRAIKDCLTVLVAATMLGISVPQPVSRLEDTHTQTSYMNAVLRTTPTYTICVTRQFLKILI >KN538812.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538812.1:209114:210667:-1 gene:KN538812.1_FG048 transcript:KN538812.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFWRMSRGLSGPRVWPVVGSLPGLVQHAENMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILDDAAAGKAHVDLQDLLLRLTFDNICGLAFGKDPETLAKGLPENAFASAFDRATEATLNRFIFPEYLWRCKKWLGLGMETTLASSVAHVDQYLAAVIKARKLELAGNGKCDTTVAMHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKVVHELCAVLAASRGAHDPALWLEAPFTFEELDSLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGSKFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAQGHRVEQKMSLTLFMKNGLRMEVRPRDLAPVADELRGADVRATAPCA >KN538801.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538801.1:155051:160214:-1 gene:KN538801.1_FG003 transcript:KN538801.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRTGEATPPPQMAHAEEEPGRGKRRRVVARETRLQQQEEEEEEEGASSEPETRPRPPPATPAAAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVSLSSFAGRKRIRVCSGFVIRWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISNCQISMVDFHHNIALVEVTSNFKLQEAVILKDIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMILLLKHTQSLHNSYSINTEMMLYASLCLSVLNNSGFIFADVSIIEQVAEGSPADIAGICQGDILMKCGGKLLSTAPEFGAMLTDKCKETMEEYGQETNGDFSAKRITVEVAYTDPQL >KN538812.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538812.1:175821:180437:1 gene:KN538812.1_FG051 transcript:KN538812.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGVHRRWDTSGSGSQYSFRTSVSSVAEIPTEVGVENLAKDGSKVVIAHVHCPAQMIPMMGAKVHYTKMNTKQVNDYRNKEREKAEEKLDEYLLICRKLKVSCEKLIIEEDDIAKGLTNLVALHGVTKLVMGAAADKHYSRKMNTPKSKTALKILEAADPSYQDVNDRPTRTTMGSIDSWDEFGRSQNSCYDSSRNSDTVRVSGSAMQQPMYEPGDHFASPHKLDNSGDDEDIYGRLQEALRETQDLKKETYEESTKRRNAERNLISALQKAKLILQVKELENLYQKEVMHRKITEEALEKQIQETEETERHCNAIYDKLHDVEEQKLVVEQHITEMEAVLKEREDRLHDVEEQKFTVEQRITEMHAVFKEHEDKLHDVEEQKLMVEHRITEMRSVLKEREEKLAESKYLLQVLQADKEKLQQERDAAVSEAQDLRLKNKQRISMPGEDLNTEFSSYELEQATRGFDQKLKIGEGGFGSVYKGMLRNTTVAIKLLHPHSMQGQSEFDQETNTGAAAAATTRLYRTTTPKGTFAYMDPEFLTAGELTPRSDVYSLGIIILRLLTGRPPQKIAEVVEDAIESGELHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLATDEEMNDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLTLEHRELIPNRALRSAILEWQQQRQRHQQQEEDDDCT >KN538801.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538801.1:143159:150697:-1 gene:KN538801.1_FG004 transcript:KN538801.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTRRHVRCPKCFSVLQEPPNAPVYQCGGCGISLRAKIRARDAHALNVATKVQDRDDKLVTGEAVDELSSTGGTPFSDELSDTAPSSSSMQPGDSQIIVPFSSYWNLIRSTRGCLEDLHYQSLLAMIGRNRNKQTTSSMSTTSFQFACGVDTNKIRYMIPTLDELVRFRSRLSSEPFQYSSAVLAVDSSVARCIRLRRGIMGVLSNENMEEEHRKLPVHAVDDLDYSWHEHDKVTRMNGQTTQSCLDYLLPTAIRNLLYLDLSNCSNLVQLPDPIYLLPTAIRNLLYLDLSNCSNLVQLPAPLGSLHNLSALNLSCCYSLHALPESLGRLHGLQILLLSFCHKLHNLPVSFGHLSNLRLLDLSGCCSLEMLPDSLVNLSNLLEKLNLSDCIRLKDVPQPFDNLRKLEYLNFSGCHRMNLNVDCLRKLVNLKCLTLSHHTDIKDFPYCYQDLADCLDLSRWLKNNWVHHQCNPKATLLQSYRCHHQSMINRLLSYGSASEEDDITSEKILTSICIVGESGMGKTELLREIYNDEMIFQGFHLRIWINMCDKKGLLEKIIEFITCAYCYDAPSSILEETVREELNGKRFLLVLDDADIENRCFWSDLWKLANLGAVGSALIVTTRSKEVAKLFGAMQTYYLRSLPSEECFMVFQEHAIIGGFHINDYPQFTKFGWMIVEKCGGNPLCMKALSGLLCHSETGLFEISSLLFEINSLGVGGGILPALRLCYDLLPSRLKQCVKFCSLFPRNYVFFKHHLVQLWISQGFIYPEEDGQPEDTGLQYFNELFCRSFFQNGPSRDDDHKDKFVMHELFHDLACSVSKDECFSSGEAFCSLPENICHLSVVLPDSKSVVLTKVQRHLQSLMVVKRSASEYPGSFVPLLKILGLNDLLMKCRFLRALNLSCTTIRELPSSIMKMKHLQFLALNNTKIQSLPAEIGQLQTLQTLELKDCCCLIELPESTMNLTKLRHLDVQKEPGNVHVSMPHGIGQLTDLQTLTVFNTGDDLSHCSIGDLKNLSGLRGHVHITESFGQRTNPLTTEGKHAPIFPSLEILNLWEMYSLQFWNGTNNGDFPRLSHLSISRCPKLTNLPPLISLLYLSFHCGDQLPAYSELPSLKSLKIESFQKLKSVRFCPEMPMLQKLEISDCKELLSVDGPLLSISNLKVVRCPKLRFGSSSLESCLMWEKLQRDKYTVKYLLKKTTTDDGWNWRKYSEKDLLGSKYKRSWYRCNQKQSTGCMARKIVEPNNDDPNTWLVTYLYEHNHKQEARPCDKPSPSDLHVQLASGNT >KN538812.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538812.1:198921:206063:-1 gene:KN538812.1_FG052 transcript:KN538812.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEVKKTAKENLIDTFHRLLSPNEQKGRTKSRGNRRHSKDPTAEKGCWSTAQSRSASPSKEVSRCQSFAAARAHAQPLPLPRSRAMVARTASDITESKVVLEKRGKGQQLPLPTTNWVKERPETTEPVAELSTASISSHGSIDSDDPGDLRLQGPVANDTDNVAKVATTGNSSVVHKECSSAITRKGTKEVTMPTNAFLSNQILSTSPRGTVVADSYQSNLQNSRQVVLDSAPNSVMSSPSRSPRILCPDQIPSSAFWAVKPHTDVTFVGSAQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGTAPESPTRRLDEGKRKQTHRLPLPPLSICNNSTFLPNNSTPTSPISHSPGRVENPTSPGSRWKKGKLVGRGTFGHVYIGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLACLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCAFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGRDFIRQCLQRDPSSRPTAVDLLQHSFIRNASPLEKSLSDPLLQLSTTSCKPDLKVVGHARNMSSLGLEGQSIYQRRAAKFSSVHSDIHVRSYISCPVSPCGSPHLRSRSPQHQNGIMSPSPISSPRTTSGASTPLTGGNGAIPFNHARHLAYNNEGFTITSRCLDEHLPNQPPDPVLGRFVRVKQPSLGFQERAVPEADILSPQFGRMGHVSVWNLHDKPLPSEHASQKGFEDRVKLKPPLDLRSGPPHLGCNHGH >KN538801.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538801.1:242653:246063:-1 gene:KN538801.1_FG005 transcript:KN538801.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRQGDDPAIKLFGRTIPLLLDPAATAADEVMPNLGNGVKTNNDIPLVSDKLLTVKGIPFCPNNSKKNDLQGISRPDGRIEIDSMTEDVKTQPDGSVPEKILKKPDKILPCPRCNSMETKFCYFNNYNVHQPRHFCRNCQRYWTAGGAMRNVPVGAGRRRNKHVSQYRQAMMTCNTTVAPGDVSDVVHHQVITHGSSLLPATLKENETPTEFISEVPPCKSSASILDIGEPNDTDLVPLASGDNKEEKSCASSVVVSSCSENLMPDNAIMKEPNNRSGCCNGVALPFPTGPALVLPWSLGWNSVALMPATQFSTQPVLGLKDGIPCPPSWPPQLMVPAPGICTPVVPIPLVPPLWSCFPGWPNGMWNAQCPGGNSTVVPSTAPNKISCSGSSSLVLGKHSREESLQEEEKTRNNLWVPKTLRIDDPAEAAKSSIWATLGIKPEDKGIFKSFQPNVAKNGTAPESPQALQANPAAFSRSQSFQETT >KN538801.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538801.1:139231:140377:-1 gene:KN538801.1_FG006 transcript:KN538801.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56910) UniProtKB/Swiss-Prot;Acc:Q9LER7] MALLLSPTVSFLASSSASPPRARALPASANVASTIPGNPRERLLRTGTFAHKLLGLGAVGSWIGFMLSVASRLQCKNLSSLQSPLNASFTKKRLVSVHASAEAGAEEAGTDQPEEPKPAVSIETMPLETKQKMIMEQRAKMKLAKKLRQRRRRLVQKRRLRKKGRWPPSKMKKLKNV >KN538812.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538812.1:218787:220625:1 gene:KN538812.1_FG053 transcript:KN538812.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGSTTRRPRPTTSKCIAAALLAIVVLLVIIVILWLTVRPAKPLLVYVDHAAVTGFNFTSGGALNGTFDITLRAYNQNKHAAVWYKSVEVGVWYGGTYLAGAVAPGFDLPPLNWSETMF >KN538812.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538812.1:222756:224348:1 gene:KN538812.1_FG054 transcript:KN538812.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine-uracil permease NCS1 [Source:Projected from Arabidopsis thaliana (AT5G03555) UniProtKB/Swiss-Prot;Acc:Q9LZD0] MAMSMAMSRALAARHRSHLGHRIEVKSHHASPPRLPLLPRSPGLTLASRPRMLPARPRMSSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLASLVLTAAPAVTHGLPFPVLARAAFGLGVIMHGMEGIRKLEKYSAPVLIVLTSALLAWAYVSAGGFGRILSLPPRLTRAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQLLGRIGGPVTTVLAIVGISLATITTNIAANVVAPANALVSMSPRKFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIILADHYIVRRTALDVDALYSEDTHGPYYFQDGFNVAAMAAMAAGVAPIVPGFLHNVGVLPSVSKAFETAYNNAWFVSFFVAGAVYCLLCRRNRNELKHQHD >KN538801.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538801.1:176036:187715:1 gene:KN538801.1_FG007 transcript:KN538801.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTVETRLAFCLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQLHKFVNDDLGAFFAEISSIKRSYVGDQAMHSSKQLYARLSELIGLNDHLVLLNVIVGKIATNLKCYAERDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRDSFHVWYDLWISLFKRILIYLNLSDRALADALDISLKTSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKMLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >KN538812.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538812.1:170490:172865:1 gene:KN538812.1_FG055 transcript:KN538812.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGAVLCIRVALVVVLLPSLLATVAVAHNDTGEHKNYLIIVRKPYEYDHNVYKTVSSWHASLLASVCDTAKEELATDPGAETRLIYSYRNVVNGFCARVTREEVYEMAKKDWFVKAIPEKTYKLMTTYTPKMVGLTGAPAAYHGGLWNKSNMGEGMIIGVLDDGIAAGHPSFDAAGMGPPPARWKGRCDFNSSVCNNKLIGARSFFESAKWKWRGVDDPVLPVYELAHGTHTSSTAGGNFVPGANVMGNGFGTAAGMAPRAHLALYQVCSEDRGCDRDDILAAMDDAVDEGVDVLSISLGDDEAGDFAGDPVALGAYTAVMRGVFVSSSAGNNGPNPLTVSNEAPWLLTVAASTTGRKFVATVKLGTGVEFDGEALYQPPNFPSTQWPLIADTRGDGTCSDEHLMREHVAGKLVVCNQGGNLTGLGKGSYLHDAGAAGMVLIGPEFMGSMVQPKSHILPVAQIVYLSGEELKAYMKSTKSPTAALIYKGTVFGDRMTPEVAPFSSRGPSRQNQGILKPDITAPGVNIIAGVPVTSGLATPPNPLAAKFDIMSGTSMAAPHLSGIAALIKKAHPKWSPAAIKSAMMTTADTLDRRRRPITDQKGHNANLFGLGAGFINPTKAMNPGLVYDLTAQDYVPFLCGLGYSDHEVSSIIHPAPSVSCKQLPAVEQKDLNYPSITVFLDREPYVVSVSRAVTNVGPRGKAVYAAKVDMPATVSVTVTPDTLRFKKVNQVRKFTVTFSGANGGPMKGGVAEGHLRWVSPDHVVRSPIVVSAQKFLNGNTSSSDHAGH >KN538812.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538812.1:181461:190993:1 gene:KN538812.1_FG057 transcript:KN538812.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGEEYDAMWSARSSVSSSAGSLRDAGDQWDEQSLAAGEDKVFVAVDEDVEHGKSTFLWALQNLATDGSKIVVAHVHSPAQALSKIHCTRMKPEEISEYLMLAKEEAEKNLDEYALIAKSTGKDMKIDCQKVLIDMDDVAKGLEELITLHGITRLVMGAAADQHYSEEMKEPNSKIALKLMETASPSCKIWFTCNGHLICTREPNENLLAIYVPPAQSNTVPLSMCSISSQMSSIELKNEAPSSEEYTLRSLAQSAQQEEEEPNLDEDMHDILNEACTRAELLKKEVDGESSKRRKAEMDLLIALQRVQESEKSYLQEVNQRKETERTLARQRLEIDEMKRRHNALCDELQDTKKQKLLLEQHISEIKSAAKDYVQEITEYFIQESCEEAKKFQKIKMDLLVVLQRVKDVENLNRNEKMQRKDMEEKIARQRMEIEETKRQRDELYHELKDVKEQKLCLERLDSSEETKRRRKAESEMLSALKKVHDLEHQYLNELKRREAVEETLARQKEEIQETKKELNKIRSRHMTEIKAHEEKLAESIRFIQKIQAKYDKTLHERDTAIAESEKLRQMNRDGASMIATTQIADFSFFELRQATQDFDTALKIGTGRFMNVYKGFIRNTTITVMLLHPQGLQGQLEFHQEVVVLSRLRHPNVMMLIGACPEAFGMVYEFLPNGSLEDQLSCKKNTPPLTWKMRTRIIGEICSALTFIHSQKPHPVVHGNLNPMNILLDANFVSKLHVCQLLRKYNTRNNTSGTSSYIDPEFLSTGELAPRCDVYSFGIIILHLLTGKSPQNITTIVEDAMEKRQLHSIMDTSAGSWPFVQANQLAHLGLRCANLSGRHRPDLTGEVWGVIKPLLKDASHNFGCKQAFEALSDDTQAPSYFICPILQVDVLVMLYWFIQLGACIELTRTFALMDGEQEVMTDPHIAADGSVPLKSQSPSIGVHLLYYLRSQKSARSSGCTENLHGRTLELNGQARVHSANLLYIVFAHLALGSTLALFSPYAEEGSYRYFYCGSRIQNSQNYVRETGQDSRETTMALSSSPCTKAATYTLANHARSQDTESPKAHANPNLFPNSKPMILHLPLFACHMWFGFAKLLDCFSSEILENDLFFGGKIEVVQSSGPKLTCMIVVIEIQQRNICKKILASIMPCLGVKDESFHA >AMDW01039427.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039427.1:111:955:-1 gene:AMDW01039427.1_FG001 transcript:AMDW01039427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLE >KN540720.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540720.1:698:2707:1 gene:KN540720.1_FG001 transcript:KN540720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLRKSLADREYDALQDRISGKMINFQRVSCINGTVPLLPSLVSAEELCSTCKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYNKCGFVYESEEPAWKARFLGRPRRLLLWLDLKKDAL >KN543680.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543680.1:5104:5394:1 gene:KN543680.1_FG001 transcript:KN543680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLNSWGLRAVALLALPQDPACLAPTLEQLLDITKSQSNPMLGETGSGAPNITAVRSSARIKCTKVTVAMESGRSNRSGAWEVEERISQVNIVRP >KN540720.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540720.1:37330:40116:-1 gene:KN540720.1_FG002 transcript:KN540720.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILFAVRKIGVALGNEATSQAVSYFRKFVTQLTELQGSMGRIRRELRLMHEFLCRMDVRNRNNQTYEIWVEEVRVLVHGIEDIVDEYLHLIGQKLDTGWSTYLKKGIKRSNVVVSLNRIASLVKEAEVNLVHLFQAKDRWVLLPSDSSDNSSYIVERSQHLAATSRSISDEDLVGVDDYRRDLEKWLEDDEPAHLVVALLGMGGLGKTALAANIYRRAKEKFECHAWVSISQTYSRQGVLRNLIGKLFKDIEDVPTDIATMDITSLEEKLHLFLVEKKYLIVLDDVWSTEAFTDLSNALVHNGTGSRLVITTRDSEVAGLASKNYVVELKTLPSDKAMELFCKKAFRSDTDDKCLAKLNDISVEIVSKCKGLPLAIVSVGSLLFVREKTTEEWKRINDQLSWEIINNPRLDHVRNVLLLSFIYLPSYLKSCFLYCSLFPEDYPLKRKKLVRLWVAEGFIVEKGESTLEEVAEGYLKELVHRNMLQLVQKNSFGRIRRFKMHDIVRELAVDLCRRECFGITYEDGNHGRSLEENDERRLVIQKFHEDVGQSVLGVYRLRSIIVLDKSTPSSIILSSVLDNSRYMSVLELSGVPIETVPNAIGNLFNLHHLGLRGSKVKFLPESIEKLSNLLTLDLSGSDIRCLPRGIVKLKKLRHLFAEKLHDATWRNFRCCTGVRFHKGLGNLTSLRTLQGLEAQEESIRHLGELRQLRSLRVWNVKGAYSGRLCTSLVKLQFLSNLYIVASNENEALQLEEMNPPPPNLQRLFLRGRLADDILQEESPLIHHAARRNLYELRLYWSQLEQDPLPSLSLLLNLTDLRLTNTYIGEKLVFLSEWFPNLRILFLRDMPNLKWLVVQKDAMKKLQRLTLVNLKNMRDVPLGIQFLMPLKYLGFLEITKEFLELLQSSSIKDFRWQYSLRDSEASVDI >KN540720.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540720.1:25279:27635:-1 gene:KN540720.1_FG003 transcript:KN540720.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCSNAVFAAFNSGCCKPPTACNFTYQNETYWIKPPTPSNYSDPDCNSWSNDQSELCYGCQSCKAGVLGNLRSSWKKIAFVNAAFVALLLVVYSLGCCALRNNRRHKYSLVGK >KN540160.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540160.1:13689:16044:1 gene:KN540160.1_FG001 transcript:KN540160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAINSFVGLLDIKGSKTFFTFLDAVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCAILMITLQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRAKFEKKNE >KN540720.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540720.1:13510:14793:1 gene:KN540720.1_FG004 transcript:KN540720.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSFRAEESGEIYLRSSELDTGKIPASKRVPFRHNTNSISVPNPGSKTGKSDDYRCEMGTLLSLYLQQECDKHRKEQEEGDSPILLQMTVFIISLIDRP >KN540160.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540160.1:56807:57372:-1 gene:KN540160.1_FG002 transcript:KN540160.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLHHKQKHTKDLKELSIGSMCVRAVTIMHNALLSNRRKDERYEDNLTSVLPLKLAAEEVLETSSFASN >AMDW01126973.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126973.1:80:779:1 gene:AMDW01126973.1_FG001 transcript:AMDW01126973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDGHDGDEDGDDEEDGDDGEEEEEDDDEEDDDDDSTPDASPRAEAKAEGESSTGMAGCANQPAEPDPFLDGDDSGTEEEQAAFMVELERFHREHGLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPP >AMDW01040057.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040057.1:744:1202:1 gene:AMDW01040057.1_FG001 transcript:AMDW01040057.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPYLLLTGPTRAVVVCDPVYLEAVLRVKGSTESKDEDLSFFTVPLTDVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKNNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVELEGELEVSV >AMDW01039903.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039903.1:493:1023:1 gene:AMDW01039903.1_FG001 transcript:AMDW01039903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVENGTLTPGFIQFIVALTTLLMVIRFGLDALRHRSRGNVTQIVLATLDTVTHTMITYSLGIMQHRSATTSYYQLWAVLLVTLRYSVKIGRPAGIAMKQTPLFDLMSSFWAAHILRSHSVSMLLKVPGWLLWSINSARIIHGFISSADASNVHRENMRLLTDYMRHEHTTTVQ >AMDW01039892.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039892.1:7:1044:1 gene:AMDW01039892.1_FG001 transcript:AMDW01039892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSSCSKNKVFGSLPVDSIPEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFLRYAQDHASNGYANAKSGTNITKSSLRRSHCNQNSEGPGVTSDKAGSANAGHCFSLGTSFAMETSEIDESYATFLRLLKIKDGLMVIEPEPGVTIVYGQAEETPVGYEELRIATCTNGRDSLMTAFENMGEENAMNTDGDGLGKINNSASEREMDGLALEDMGGQDLVCIDEHGLPPYTELSDLNVCGDEQGEPLALSCGIPSTFDEKLNDVLSKPYDLNEYKELLRKATDRKLVSRQRHLRNASKPYATRAVGLSFLDHYP >KN544167.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544167.1:831:1443:1 gene:KN544167.1_FG001 transcript:KN544167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQGLVQGVSVPWLSCPRCERPPPTASRSRRRSSSSPEEREMATRSVAGSGGMATSPTAAQSQGSRPSWQRGEGDDIKLLGGFSLSLPRRHGQRQAMPSTTRLLHSVPMPQTLEDAEEQVTIFGSAFFMGPARGGCGSESLMCMAKAERQLYAICIVIGLNRWQAVPR >KN544620.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544620.1:466:2939:-1 gene:KN544620.1_FG001 transcript:KN544620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLALAGVGVGDAEADEAAAGIELLEPGGRRERGDLGHRGRIRGGGGRARDLEARAKQRSEWAESRSARASSSVPRVARLQQVRPSSAASTSNNANANALLDKSSMDIPKPERRSFKASRATTPDRMQKVRGANARPAASAEQLLQAQLNAVQEDLKNAREHLAAIDRDKAQLLHDLSLARRLADDAHAAQSAAEEALDLERFKSIEREQLAIDLAQTKERDWNARCHAIDQRRAELAAELDR >KN542335.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542335.1:1483:13523:1 gene:KN542335.1_FG001 transcript:KN542335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKVLELEASRDLSKIWLHTDMDAFYASVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRCITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKAAFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRATDDCSMLFEKLDSLAENLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLRDEKDDSSTQTQNTLDRFFRTPDNSNVDGANSPSIANTTGGDNYYANVMTKVDYLEHDSMDDQALFSHEKSLFVPEGRSSHNYSNDVASSNPLMCDGVGGKELDDDFSLKGAHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSLCGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKEKLQVAALPSKATLEFEHGVSLRSAYIVPEDVQAAGFQIDADELASIVESRDTKKLTVHGQLNGIADKLRTSLTNGIVTDKDLLNQRQDIYGVNKFAEIEIRSFWEFVWEALQDATLIILSACAIVSLVVGITTEGWPQGAHDGVGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLFPGDVVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVFVNEDNPYLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAVLTDGGDDGTPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLGWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTIQVNNPQTPSSMSFNFPEVAVETLLESIFNNTSGEVVTNQDGKYQILGTPTETALLEFALLLDGDCKEKQQGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEIVLAACDKFIDERGCIVPLDDKTSSELNDIIKTFSSQALRTLCLAYREMEEGFSTQEQIPLQGYTCISIVGIKDPVRPGVRQSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKSAEELHDLIPKMQVLARSSPLDKYTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGTAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKPPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIPVELHEKADSRRTP >AMDW01011766.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011766.1:14:220:1 gene:AMDW01011766.1_FG001 transcript:AMDW01011766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTATVVSTLVNDVMEMSADSRERFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLV >AMDW01016049.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016049.1:61:261:-1 gene:AMDW01016049.1_FG001 transcript:AMDW01016049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSGRRDGNVSSAFSVFSSIPSPFFDADELAQSFAAKGLTVDDLVALSGAHSIGTAHCSGFKNRLYPT >AMDW01025508.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025508.1:68:232:-1 gene:AMDW01025508.1_FG001 transcript:AMDW01025508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLSRRWINLWTQVDILILRYDKPPDSRFVQEALAAHAHATEGSETTAIRLLE >KN541616.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541616.1:419:10147:-1 gene:KN541616.1_FG001 transcript:KN541616.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGAPAASRGKGSAIVVVDSHLHVWASPQQAAERYPYFPGQEPPIRGDVDLLLQCMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWLSGQKMTNEVGRSLFSKAGELGAPVGIMVMKVINAESLNYSSPFRIQLVPPLPFYNKACIVTLARFEYSKRHVLLLYKAYGISSYIQEIEELCTDYPKTTVIFDHMAFCKPPTNIEEEKAFTSFLELSRFPQIYVKYSALFRISREAYPYEDTSQLLSRVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVAQLFQARLFGNLFGHPTKHFKSSGFYCKIVQKYSACENDLKKMSRHQGASSMSRLPKTSSPDTYQHIKTRQPQRKRKQRTLAPPQQKNQGIGVSGAAAGAGAAPVSSPPSGMLAAVEMALGDMAEDARKACNPDITTPFASVEDAISRLLPYNVYAEDEIYVEDQPPAKDKSSVQEWDDDREAEAIRMAEEFEKLVLTYNVAVRKSGAGAARGEERLMNLFREIILFLKFPT >KN541616.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541616.1:18581:20332:-1 gene:KN541616.1_FG002 transcript:KN541616.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAAAAAGGDAHDDEYAKLVRGMNPPRVVVDNEASDEATVIRVDSVSSHGTLLAVVQVIADLGLVIRKAYFSSDGSWFMDVFNVTDRNGNKVLDAQTISYIQTTLEADDWYYPEVRNTVGIVPAEEYTVIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHNYDDDEDDVGWVV >KN542067.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542067.1:8646:10916:1 gene:KN542067.1_FG001 transcript:KN542067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGVKIYSVFFKLLLRHKLQRVAKLCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIANFVTRKAVEDAKLFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLFLSEKEFSLDHPAANPLAPDRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >AMDW01040568.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040568.1:183:1643:-1 gene:AMDW01040568.1_FG001 transcript:AMDW01040568.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AALEYLHSLSRAQPTRSLTGAGLYTAGKSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >KN542067.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542067.1:11907:18700:-1 gene:KN542067.1_FG002 transcript:KN542067.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADRIIVKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPIMVSPSDDVSRQREDVYRVIKAFTQKGERIGLEATRFVQCMVREFERNGAKLTQSKKMEMEKLKSHIDDLSLKYIQSLNDSTKFLLLSEEELAGMPLEFLKELENTNGKRKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRFARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNDLANRELSVLKDLKMKEEGDAQFSVEDLLYYMKRAEELKVDLDIGEIKQYFPVDLVISGILKMFQDLFALRFEEMKDAETWHDTVRLFSVWDASSSDLLGYFFLDIFSREGKYAHTCVVALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLQLEGDFAEIPSLLLENWCYESISLKMMSGFHQDITKSITSEACQSLKRRRDIFAGLKLKQEILLCLVDQIIHTGENVNIDDLIKDLHPKVMLGIPLLEGNSPASCFPRIAIGYDAVCYSYIWSEVFAADLFASKFKDDLLNQHAGLRFRNKVLAPGGSKNPLDIISDYLGREPSLQAFIQSRTRNSL >AMDW01019013.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019013.1:12:282:-1 gene:AMDW01019013.1_FG001 transcript:AMDW01019013.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSGSVEVTTEAATSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIP >KN540784.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540784.1:2830:5924:-1 gene:KN540784.1_FG001 transcript:KN540784.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPEVGHAAAAAVAGGKKEVRALDGASAISEEEEVEVDEEEEAEEEREDEEEGEEDGGDEEEEEEEEEGVKWLKHYSSMQSILVVGDGDFSFSRALAVAFCSGENLVSTSLDSYEALRGKYANAESNIMVLKLMGATTLHGVDAKTMKHHTDLKMRRFDRIVFNLPHAGFKAKEGDMRMINLHKDLVRGFFRNARCLLRPSGEIHVSHKRGKVYENWEIEKLASESSLIMVEKVDFHIEDYPGYNHKRGDGPRCDEPFPLGPCCTFKFSIRNLKKQKKCHSKKIGSIPSLGGSHVHPEILASDWSPSQPFRPVNVVNMPVTFDPYSLRIAQSHQPGFPVNFVGLWTAAASSLQHRNIHPMLNIVRPSPHLLPVASSIAPRMGRITSTSLFAPQEQPKPVLRPLQSVSSYDLAREHQMNLRREFEMRRRTMPAGTSLDYFEFLEYLFRDPAEKEKWLQTMITLHATRW >KN540784.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540784.1:17701:26777:-1 gene:KN540784.1_FG002 transcript:KN540784.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGVHLIVLPFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFDAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVQHLELSKSELTCLSASNQEGGDLLRMITSYLRDQMTDFPNVFIVPSWYVASHIWYFSGFDLQHFCSVVAMRDDGRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPQWDGIIRDPQFAMAHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPSKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEIDSNVSVSYYETGKLHIWTIDSNIEQSWSQKYNIRLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVENADKMGMEINLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTGAAHNKESHVADQMQTQAQSGSRDER >KN540784.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540784.1:7843:14374:-1 gene:KN540784.1_FG003 transcript:KN540784.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFVRELTEELAVKELHHSHSKGPLPAASLLPLARSLRTLVLSGTNLTGEIPPELGEYGELATLDVSKNQLTGAIPPELCRLSKLESLSLNSNSLRGAIPNDIGNLTALTYLTLYDNELSGAIPASIGNLKKLQVLRAGGNQGLKGPLPPEIGGCANLTMLGLAETGMSGSLPDTIGQLSRIQTIAIYTTLLSGRIPASIGNCTELTSLYLYQNSLSGPIPPQLGRLAKLQTLLLWQNQLVGAIPPELGRCKQLTLIDLSLNSLTGSIPATLGDLPNLQQLQLSTNQLTGVIPPELSNCTSLTDIEVDNNQLTGAIAVDFPRLRNLTLFYAWRNRLTGAVPASLAGCPSLQAVDLSYNNLTGVIPKQLFALQNLTKLLLISNELSLEFLDLHSNALSGSLPETLPRSLQLIDVSDNQLAGALSSSIGLMPELTKLYLGKNRLTGEIPPEIGSCQKLQLLDLGDNAFSGGIPPEIGTLPSLEISLNLSCNRLSGEIPSQFAGLDKLGSLDLSHNELSGGLDSLAALQNLVTLNISYNAFSGELPDTPFFQRLPLSDLAGTRHLIVGDGSDESSRRGAISSLKVAMSVLAAVSAALLVAATVRVDAADHGEERRVQLRGGDAGDADGAAPAGPHAARWGPPGAIDGG >AMDW01037303.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037303.1:80:502:1 gene:AMDW01037303.1_FG001 transcript:AMDW01037303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNKKAIIALALGVFFGGIAILFLLGRFLISIRRTSSVHQNKSSNKGDIEAASLSSVSEHLHDMIKGTILVMVPQGKGGSNNLKFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSKLAIKKLNGEMCLMEREFTAE >KN542516.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542516.1:5158:9484:-1 gene:KN542516.1_FG001 transcript:KN542516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARAALAHLQLPLPPAPAGSNMDHHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQPQAQLHLLLCTAFDSLAHSLQEVLDKHKSKLHLDTLFLHGNKPHAFLSNLPFAKMVGVPPPSPPPAGILSTPSVSAHHQDQPPTPTQTEDNEEIDNHSPPPRIRLLNIPVDRLRSTLSTLSLTELIDLVPHLLARSLPSPDTHPDKKKLFSVHHFFRYAEFEDGGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSTDCT >KN538872.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538872.1:141650:145619:1 gene:KN538872.1_FG001 transcript:KN538872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRSATPRAACGRQHHRGGVRGRGFSGSGGCRRGPGTYSAVRGLNWRLHVIDADEGECAYCYESGEIVVFSWYLRRFCRKDADPAATVLGHEAPPSRYFYTSPQRQKVVHFNRRRGSRWYHDPRKLTAVVVVSGGAAVAVYFGNLETVPYTNRTHLILLSPPLERQLGESQFDNLKKELGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGRHHDAFAADDASYGDISTDVVIKNHEADAEDVMLGRSRGNKNASVAAAAQRDEEVLDDRWVTESRDRGKARGAQPETRHLDGLNWEVIVVRDDLVNAMCLPGGKIVVFTGLLNHFKTDAEIATVLGHEVDLGFPGSYVILVGHAIARHAAEMITKNLWFWILQIVILQFIYMPDMINAMSTLLLKLPFSRSLHVAHNLKMYIPAIQGLRANIVSVVIVCLDLIRCCYLCRMEIEADHIGLLVLGAAGYDPRVAPSVYEKLGKIAGDSTLSNYLSTHPSSKKRAQLLRQAKVMDEALRLYREVSSGQGTEGFL >KN538872.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538872.1:178785:181841:-1 gene:KN538872.1_FG002 transcript:KN538872.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAKAAAAAVAEAEEEATVGVQPPLPARSPGKGTTLLDVYEVEWITRELERLLVRESGCRGGGGGGRAGGDGRRRRKGTTTKAAAGSYTRPATDKGGFLTELLGRHAVSCLVHVGLVCSDSRRRPAHARSSDVESADGDAAGAGTDSSDSDDDDDEGREQRSSFAKRCESINTMVSFLWWIIGFYWVVSGGDVLEHDAPRLYWYCGPKPVPITDYFMIVQSNVHMLSVVFLAFDVFFAVFCVAMACFIGIALCCCLPCVIAILYALAGQEGASDVDIGFLPRYRYSDPSEDGQKGTDEGVMIPVLNNSGTSTSERILLHEDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSESA >KN538872.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538872.1:127886:129526:1 gene:KN538872.1_FG003 transcript:KN538872.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRRRLSPAVAVAAGLLFFPMFFGSHPAAAAAYGEASGYAGATALQKHAAFFDKDGDGIVSLSETYDGLRALGLGSGLSSLSAAFINGVLSPKTRPDNGTAPRLSIYIENIYKGIHGSDSGAYDSEGRFVAEKFEEIFAKHAKTVPDALTSDEIDELLQANRKPGDYTGWVAASSEWKILYKIGKDKDGLLRKEAVREVYDGSLFTKLAAARINDENQA >KN538872.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538872.1:148262:151505:-1 gene:KN538872.1_FG004 transcript:KN538872.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSSPEVASEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEIIKSAIEKLKLRHKEHISAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >KN538872.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538872.1:169227:174558:1 gene:KN538872.1_FG005 transcript:KN538872.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAVDDDLRELIDELMNTGPEDEADDRDFEESMATVLSMVTEYLDDPDPPSPEQADWAASAESGAQKVADALASRVENLRRGLSVFAGTGRPEEAVLRKHAAWTDARRAEAAGIASAARRLREKDLRSLAARGGLVNPRMAELIASVSDAMESDVRHGHVPSPAQLAEVERLEGRVAVASVRAILLKAGSEEIVRLLIPLYDLEAATVRSRLDLDAFHVAAKQGHTGAVKEFLGRWPELCSICDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKANTALHIATRKWRPQMVQLLLSYEALEVNAINNQNETAMDLAEKVPYGESKMEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYYVDRDSGGDIGEAHIANLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKRVIKIVNKLMWSACLSTCAAFISLAYVVVGPQNAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSIHEGISDLEAFSDHEKRIYAL >KN538872.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538872.1:120435:123400:1 gene:KN538872.1_FG006 transcript:KN538872.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRRLSPVVVVAAFLFFPLFFGSQPAAAYGEASGAGGMTALQKHAAFFDKDNDGFVSPTETYDGELQRPSKFLVLSRFACELNTIWLDNGTAPRLSIYIENIYKGIHGSDSGAYDSEGSFVPEKFEEIFTKHAKTVPDALTSDEIDELLQANHKPGDYAGWVGASAEWKILYSIGKDKDGLLHKDAVREVYDGSLFAKLVKARR >KN538872.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538872.1:192670:197793:1 gene:KN538872.1_FG007 transcript:KN538872.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEESKLTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPTEGKSIGNGPSRRLSLGGADNMSKISPNGMLARRSPSFNSRSSLSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCETTDDWKAANTEEKGSEATNSSSTDTVSGVLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKDQENKAKRPGNFKGPGTTSQAPHGRVVNILLSQYIRVTLSRSI >KN538872.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538872.1:160587:167438:1 gene:KN538872.1_FG008 transcript:KN538872.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAVVDDDLRELIDELMNTGPEDEADDRDFEESMATVLSMVTDYLDDPDPPSPELADWAASAESGAQKVADGLASRVENLRRGLSVFAGTVSNSTRSRFFSPTRAERPSGARRDEAARVAGRELRRGSELGEMHGVGMELGGSERALARRSFWPARRRVASGWRRRLWSGDVPGSARAEDIAFFSSVFLMNSYLFCTRKHRQESNAGSSPQKETGSRGGNILRPQEVQFATGIPETLVKRPKFDSVQPHDDCEVATYRKWINCPIRAMKSEGSKEVVLSNKATTRNKMPQEHMVSETGSVIPEKGLIIRAPEMHQHSDAVSSTRQGGSNSESSCSKDPEVPRMSEYVSAEEKRLAHPKTKKDCQETIRSLIPGPTKISDNGLLGQVADYINHLEGSTVNFGNLSGFGKIRKILPNSDRIRGTLGLYKAAIERLHELLGISV >KN543303.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543303.1:3449:5601:-1 gene:KN543303.1_FG001 transcript:KN543303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLAWEVARTCVLARRWRHLWASAPCVDLRVWRGGGHLPHPEEFAKFAYRFLLERDVSAPVDTLRVLSSPVCDPEVEDYSTCDVDAWIRAAIERRAQVIHISHHPKDEAFSNFDHVPIISCHLKHLKLSGYLFRQRTLMQLSSQCPSLEVLELKGCYLDGHQISSASLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGNGSEDGEGSLNDSDYDSDAVSDASTCEYSEIANNYDDEKQLVEHDEVYNRSKGNYHGYDHRYKARPYRGYRKKKFNGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGLLISFLQHSPNLEKLFLELKLDYDNTQAMKEGLTAYPLRISLCVRLAALVSDTSLVTLISDEVAVPSYIITVFVRWKAFGSLEVLCGA >KN538872.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538872.1:131724:132704:1 gene:KN538872.1_FG009 transcript:KN538872.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATSVAAALLLSLLLALARGDDDYSGFVYAGCSQGRYASGTQYASDVDSVLTSVANSAPYSPYANFTSPTSNSVVYSCA >KN538872.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538872.1:111281:112596:1 gene:KN538872.1_FG010 transcript:KN538872.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFASSSSCKRPVTHNILCGTRAKGSPAAAAVGAATELQKHVAFFDRNHDGIISFSETYEGFRALGFRVVTSKFSATVINGALGTKTRPENARASRFSIYIENIHKGVHGSDTGAFDSEGRFVNEKFDEIFTKHAKTVPDGLTAAELDEMLRANREPKDYKGWVGASTEWETTFKLGKDKDGFLRKDTVRTVYDGSFFSKVASKKKGPSANQA >KN538872.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538872.1:175746:177434:1 gene:KN538872.1_FG011 transcript:KN538872.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSVATVSAAGNLLDEMSRTCGAGQRGRPVEAPPRDGGGKSASAAIVALAHAGRHAEVVELFCRMRRGGVPVSRFVLPSVLAACAGLRDIGMLRAVHALVIKCGLCQHVIVGTALVDGYTDFGLVDDARKAFDEIADANIVSWTVLIGGYARSSRWEETLDAFSAMRRAGVLPNDSVLVMAIQACGALGRLVHGKQLHGLAVVLGFDRNATVWNCLMDMYGKCGDIDSCKMVFETMIGRDQVSWNTLISSYARVGLCEEALDMIVQMQESGFIVDRFTLGSGVTACARLADIDSGRAFHGYLVRRLLDTDVIQGSALVDMYGKCHNMELAHIVFDRMDERNYVSWDALLSGYVENEQVDLALEIFRQMGCANIKYNQHNFANLLKLCGSQRYKEYGRQIHGHAIKTINKMNVVLETELIDMYAKCGCIEVARLLFLRMNERNLISWNALLSGYAADGQPVATINIYRQMELACIRPDKYTLAGLLSLCRYQGLLHYGRQIHAHLIKMGSEMNVVMQTILVHMYIKCMRQQDAENVCIMIEERNSYVLDAFSKVYGDDYLI >KN538872.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538872.1:203291:209002:1 gene:KN538872.1_FG012 transcript:KN538872.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKTLQVSGFALDDSADYVKDLLERIVGCGNVYAVKLRHPKNVTATSRAYAIVQFQTEEHASLVKNAAQRKILRRGHYYLKVHPSDRDIVPRPRVSMFKLEDVTLHFGCLLKETILSALWSRTGVSVEFGFNLKKIYFYLQLPNSSIEYKLELSYESIWEIQLQRPPKSQTKFLLIQVQAAPKIYEQTPRRSGVMYEDPLFNYFRDHTDDQWTRTTDFTSSSSIGQSYILCLEVPRRCDLPNIRDYFFYYHEYNHDFECRSGGYPYSSDTRFVPIVKSRGYVPYEILFKINHLVQNGTLSGPTVDDSFFRLVSPAFVPIDHIKRALEMMSYLKKTCLNPTSWLSEQYSKFRRSRYVQPSPNISLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSSDIENFLRISFVDEDCEKLRATDLSPRSASGHDANRTALYKRVLSVLSDGITIGGKNFEFLAFSSSQLRDNSAWMFASRQGLAASDIRTWMGDFRNIRNVAKYAARLGQSFSSSTETLKVQKYEVEEISDIKNGTQHVFSDGIGKISSAFANEVAMKCNLKRFAPSAFQIRYGGYKGVVAVDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPGFLNRQLITLLSTLGVRDSVFEQKQEEAVNQLNQMVTDPQAAIEAIELMPMGEITNAVKELLLCGYQPDDEPYLSMLLQTFRASKLLELKTKSRILIPKGRAMMGCLDETRTLKYGQVFIRATSGVNDNDRFTVTGKVVIAKNPCLHPGDIRILHAVDVPVLHHMVNCVVFPQQGPRQEVEEYFTNYIVNESLGMIANAHVVFADKEDLKAESSPCIELAKLFSIAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESKGVIGKLYREIKKHTPHIKHFTREVARRSYDTDMIVDGYEDYITEAMALKDEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKKSDADAIRLAVRSLRKEARSRFSEMSLDDNGHGHDASEAKASAWYHVTYHPEFWGCYNEGYERPHFISFPWCIYEKLLRIKQRRKFVRKMQPELFSLHNLRI >KN538872.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538872.1:135002:138684:1 gene:KN538872.1_FG013 transcript:KN538872.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVPVSTLHCYWEHSLESIDMFLERPLFQGPLGLRDKAAKLQSLLFACSAFLHVRATRHNMSTVRCLACRFLWGSTPSPHPALGRSTTTPSPHAARWYKRRRPLPRAAVAASVVASAAVWLFGHQTNASPRPNPSLTYCSEAFLARSALPESHPDTVRVRRVAANIVSTTLEDAVFGQRRLSERIGHRFVPGINWRVHVINDDKSLRAGCLESGEILVFTGFLNAHCQDDDDLATTLGHEVGHVIARHHVERMQKKFWVSVLANFVEELLYVPVDRIPYAEWVSLFMRNFLHRLLNKPNAYCVLPCDHRRTELEADRIGLMLQAAAGYDPRANPNFWEALMKFGDGEGTTHPPLQRRAAEVRQEKVMGEALEVFHEAVQRHVHANAFKA >KN538691.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538691.1:403202:403592:-1 gene:KN538691.1_FG043 transcript:KN538691.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVQASEAAHYITVGTTVSRRSLDRWSRRMRDPTDHARFSTAQAW >KN538691.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538691.1:470507:471828:-1 gene:KN538691.1_FG044 transcript:KN538691.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSCLLRVGWLPAALCALALALAPASDATSASLVGINYGRVGSNLPPPQAVLPLLEGLGIGRTGVELFVGVPDQSLAGLADPGGADSWLRSNVMPFLPDTKIAALTVGNEVLTGNNSALTRALLPAMQSLHGALAKLGLDKQIAVTTAHNLGVLGTSYPPSAGAFRRDLLPYICPILDYHARTGSPFLVNAYPYFAYSGDPKGIHLEYALLEAGYAGVPDPNSGLHYPNLLVAQVDAVYHAIAAANTAAARVVEVRISETGWPSSGDPGETAATPQNAARYNSNAMRLVAEGKGTPLKPAVALRAYVFALFNENMKPGLASERNYGLFKPDGTPVYELSYKLPRDNSTFGGAGNSGWRFPGGGGGNVSGGYDNNGVNSGYYDISAASPDSAVRSTLPPSRLFVPALHGSGIDLPVVLFLKRR >KN539697.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539697.1:81765:88212:1 gene:KN539697.1_FG001 transcript:KN539697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRKGTIRLIIVPIYLCLLLTILQRVINSVLDKPKFRCGCKCVDVNGTGSCQNVCGIQYSTLDQAGSCPIPNPPEWPALLQLPRPEYRATQESSLYTGFPDASCRKSQSCAATIPFTGANETLSNIVMQNLFTSSPLSNLSDNASISSLLLGTDVPGTYTGFIEPAFVSDRPIYVLRPQCKASDSVTVPITFGDINIRKAEMLCIQGLPLWRNSSAIINEETFNGYRKGKTQEGINEIPMAYDFQDSNEKHFSVLALYNSTYQNVSYVPMPFGLLHISRSLNAVSNAYLQFLRGSGVKMLLAFTKEMPKQETRLRFDFSSVIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVVFGSVIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQAIAYLYIFGSGLIAGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASEAGSHGMRWSDLNDHANGMRDALIIIILEWLVLLPVAYYLDHSASVGHKSSFLSLIKNLLKKSPTWRRVSINEVVNDAVHVEMVKQDIIKERETVDQVLQQQSSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGLTRPTSGNAFVREFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLNGSALDSAVNESLRSVNLLHGGAPDKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWDAVKQAKRDRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKEV >KN538691.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538691.1:355141:362106:1 gene:KN538691.1_FG045 transcript:KN538691.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRAGWVRRRVQGPKPVADHMYHMGVMAEEAEAVVDEERIIEVDEVEVKSARAGECVVSEGWVEVGRASSAEGKLECLPEEEEAPAKAARELVLDAVLEEREEEGQVGEERCDLAAAVAEVVGVKPHELGVEAAPGEVFDVTLEEGKVQDVGVEQHDLVAEVSPTEALDTGLEKQGVPIIEAVEIKRQDDLGAEVAPSDVPEVEFEQQGVRIIEAIDVKQHHRVALAAPAEVVDAGLEERVQAIEAGSSGLTSETVPEEVLDKLSEKQEEQVIEEKEHQLAAATAPVAIPGVALAETEELKEEQSSEQAVNVHEEVQSKDEAKCKLHLVDQQEGSASKVELVGRNTDNVEISRGSSSGDKMIAELTEEELTLQGVPADETQTDMEFGEWEGIERTEIEKRFGVAAAFASSDAGMAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRSSSRAKWAAWQKLGNMYPETAMERYMNLLSEAIPGWMGDNILGTKEHEAGDDAGGSVLTMTSNTINQHDSQGNEDNTGMYESHLTSSPNPEKGIGADHNVCI >KN538691.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538691.1:322006:324357:-1 gene:KN538691.1_FG046 transcript:KN538691.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.6 [Source:Projected from Arabidopsis thaliana (AT4G34980) UniProtKB/Swiss-Prot;Acc:O49607] MAAAPHCLLLLLLLVLIPTLSRGVVGGSGAGGEARKTYIFRVDHSAKPSVFPTHAHWYSSAAFASAPGGAPLEPLHVYDTVFHGFSASVSASRADALSRHPAVLAAFEDQVRTLHTTRSPQFLGLRARLGLWSLADYGSDVVVGVLDTGVWPERRSLSDRNLPPVPSRWRGGCDAGPGFPASSCNRKLVGARFFSQGHAAHYGLASTASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYAPGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGVASPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRMSGVSLYSGKPLTNTMLPLFYPGRSGGLSASLCMENSIDPSVVSGKIVICDRGSSPRVAKGMVVKDAGGVAMVLANGAANGEGLVGDAHVLPACSVGENEGDTLKAYAANTTNPTATINFKGTVIGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRIGYEANAIEVITHKPVACPATSRKPSGSDLNYPSISVVFYGGNQSKTVIRTATNVGAAASATYKPRVEMASSAVSVTIKPEKLVFSPTAKTQRFAVTVASSSSSSPASAPVYGHLVWSDGGRHDVRSPIVVTWLQSM >KN538691.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538691.1:442364:443296:-1 gene:KN538691.1_FG047 transcript:KN538691.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASCVAVCLALAVLLPCHATATSPTGTIQRETKQQILASIPPHWQENPVLFLTSPSGKYTAYFLRSQTTPGAGGLGADFCYVEVLDTSDPGAEGRSVWESECLAVSTVNTCSLVFSWKGLEVFDGSNSVWHTHDTQSDSQNFLETLELPELPVAPVTQPELPLAPVPQEADLGGAAGVEPQGQGVGQTSFGFGAQPLVDNSPYDSGAWKQVGGCSLAAIGVGFILNVAIAMGLGH >KN538691.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538691.1:486032:486681:-1 gene:KN538691.1_FG048 transcript:KN538691.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCSPGTMEAQTGTTKLAVVSSVLGRSQPTPSDGTLVGRAWFPGGEGRGRWGEERSSAGAVNGAACVTD >KN538691.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538691.1:298631:306015:1 gene:KN538691.1_FG049 transcript:KN538691.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding TSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSGGTLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVAVDVDLFPMKEKLRRNPVKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWIVASYLSEADCLLAGSPISSARWMVNESYEAENMTQLIAASVAARGVLFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSVNHNIEASSSEEDEDEIEDWWCKGRRGIVAWGFELGFLCTDINKTNVVENKQVLQLFTKKVCSVLTNLGWNCAIFLSLKTWDTKEEFGTKEAVGVGKSSLVHLILKGSAIARPAQTVGCAVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDEVDSFQRKSGEDFMYKGVTPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDT >KN538691.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538691.1:447521:449109:-1 gene:KN538691.1_FG050 transcript:KN538691.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVMERERLTAEMAFRDDARAAGGEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPMLCVPLLASAAYSFVRLDVIYLSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPDDQHKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETLFNPTPSLSSMIINHYEMRADVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAREDEDGKVGVSLARELMAVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDRDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVQRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPPKGKKSCNPWVDCVAKYPPKAYV >KN538691.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538691.1:420549:422304:-1 gene:KN538691.1_FG051 transcript:KN538691.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVRALNKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLAMLDTALEDNEPILFLIWDHIARLHTGNLAARADAAHNLASLARDNPHFAKLIIEEDGVAPLVKLLKDGTDDGQEAAATALGLLARDEESVDKLLLAGVCSVFAAALKVPPMRVQAAVAESVAALAHHNQKCQDLLSQTNAVRHLVGHLAAGTIQEHSRYYVAGTGSRNMNMTSLHSVVLAKTLSVHQGGSGSPANEPPSSSEYPGSQQPAGKNQMQSVVQSAMAAKTTANGATVPPGCRHQLTPNGSSGRGSREAEDPATKAHMKAMAAKALWKLARGHVGVCKSITESRALLCFAKLLEQGDGGAGTDLQFFSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVEQLLRIVCKGDDDDLLRPCITSLGCLSRTFTASETRVVRPLVELLDERELPVTREAVVALTKFACTENHLHVSHCKAIVDGGGARHLVQLVYLGDQVQIEALILLCYIALHVPENEELALAGVLAVLLWASKQPHMVQDLRVDALLPDAKGRLELFQSRASR >KN538691.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538691.1:439538:440709:-1 gene:KN538691.1_FG052 transcript:KN538691.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLVPPETAALLGALVALLAVSFGAVAAPAPLVVGSIKCLDCSPDDVKAEDAFRGLQVGIMCNSGAGEAYETKMLSGLDENGGFSIPLAADLLRDDGELDKDCFAQLHSAPETPCAGQTPPRIAKAGPGNDTIAAAATADAAPTYLAVSDDTLFSPVACKCGKYKKKFMFAPPPPPPPRPPAPEYKPPTPTPTPTPTPEPSYGPPAPKPPAPPVEDEPQPFFHKHPKLKFMHKKKPCPPLVDVDIPRPNN >KN538691.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538691.1:349177:351296:-1 gene:KN538691.1_FG053 transcript:KN538691.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFYPGQVPRVPVPGIEEAPLPPTRARFCAGELVNGHMDFMLLARDKVLAVEPTGRTTIYDDSFRVVRSGPVLKAPLYWPISVPVDDSGAYVLDSKRCLQKLVHDNSSFEDWTCEALPAAPREVRGGSRRAYAVVGGNSIWISNDGDGTYAYDISRRAWAKHAEWALPFSGRAEYVSEHKLWFGLARNSTGNPMCACDLAAAAEQGSPPVPRNIWQQDVRPRKGWVPRYSNLLHLGSARFCIVRIFAKPSPETEYQSEWDGPKREEVFAVLTAVEVVRSGELGKGLRMVKHKSVRYSLGDGYCKHAEWALPFSGRAEYVSEHKLWFGLARNSTGNPMCACDLAAAAEQGSPPVPRNIWQQDVRPRKGWVPRYSNLLHLGSARFCIVRIFAKPSPETEYQSEWDGPKREEVFAVLTAVEVVRSGELGKGLRMVKHKSVRYSLGDGYCKVQPLMVY >KN539697.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539697.1:54158:57709:1 gene:KN539697.1_FG002 transcript:KN539697.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNFTVVNGKPIRVMFSNRDPTLRKSGLANVFIKNLEPNIDNKSLYEMFSSFGTILSSKVATDFNGKSKGYGFIQFESESSAKDAINGLNGMLANGQKIFVGLFIRRQEREHTGDANNFTNVYVKNLPKHFSDNDLLNEFSSFGAITSAIVMRDANGLSRCFGFVNFEKSECARNAVKNLNGKSIGDMVLYVGRAQKKSERQAELKAKFEHDKNQKFEKLQTVNLYLKNLDDDINDEHLRKLFECFGEVASCKVMLDSHGRSKGCGFVSFATVEDANNAILKMNGKMVGKKPLYVAVAQRKEERKAFLAAHFARVRALATMAPTLGPNIAPHQFNFGHGVPALFPPPPPAGFGFQPNFVPNMMMPYNMQRQPGQRSGPPHGGMPRHLHNPHQMFHQNANQGFRHMPNRRNGMANPAMLHQHHRFSSPMQPMQQAVKHVVPVGELQAPINNLQTSLASANPEQQREILGDMLFPLVEQLVNEKAYKVTGMLLELDKTEVLNLVESPDTLRDKVAEAMKDFLLKWILISDSEGLVSFKCFARYFSNILKVICDET >KN538691.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538691.1:328557:330446:-1 gene:KN538691.1_FG054 transcript:KN538691.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPTDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRVLDGVHYEVQATDSSEMGYDVIRGRAQ >KN538691.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538691.1:386281:387701:1 gene:KN538691.1_FG055 transcript:KN538691.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase LQY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G75690) UniProtKB/Swiss-Prot;Acc:Q8GSJ6] MATSSSPLTALYSSFLSYTPSTPSMAALPRRRRAGCRYPRIQAVDLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVTVVIGGGETEVSNCVNCDGVGSLTCTTCQGSGIQPRYLDRRLKALQTELNIKVLKFEQTP >KN539697.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539697.1:33683:34458:-1 gene:KN539697.1_FG003 transcript:KN539697.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAGPPLLPLSLPLAVSGADHRKLCSSKDRDYSDSLLFVDARSMTDLLEVADWAKAWRHPKGNQGRGVQEVELELSLMVHKSEFELKSYHSSRLAFLLDGVGVGRLQELVWGMTAQGGLEL >KN538691.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538691.1:410803:413174:1 gene:KN538691.1_FG056 transcript:KN538691.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADGSLANEKAPAETVGVGRYVEMEQDGGGPSTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWTFGDELLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSAHAREV >KN539697.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539697.1:5246:6570:-1 gene:KN539697.1_FG004 transcript:KN539697.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYPAFLRATALLREPFAEFMASLSSSPPLVVVSDFFLGFTHGVAADAGVRRVVFHGMSCLSMAICKSLVVSPHVGGGAAPFHVSRMPEHVTITPEEIPPTVASFADPDNPIARFMIENVESTDVRSWGVLVNSFAAVDGDYVASIESFYQPGARAWLVAAAVGSGAVVGSAEVEEKVRTVMDAGGEEGRRMRTQAAWAQRAARSAVSDGGTSRVALQKLIGVLQESY >KN538691.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538691.1:435768:436842:-1 gene:KN538691.1_FG057 transcript:KN538691.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPRQLLLGVCGAVVLVAGLAHAAYAGTAPVVVGLAMCSGCTRKNMNAEAAFKGLQVAVKCKNSRGEYEKMAVGKVDKSGAFSVPLTADLVGEDGVLKQDCFARLHSASSAPCPGQEPSEIVAAQQPGHDGEKTFVALAGKVHRPSAECASAFLCDPFHKHHHHHIVLHPPVIVPPKHDHDHSLPPVHEPPVTVPDHKPAPVTVPDHKPPSTTTPVYAPPKPTPIYGPPTQQKSKH >KN538691.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538691.1:463829:464231:-1 gene:KN538691.1_FG058 transcript:KN538691.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLPWETRGDAVRRHFEQFGEIVEAVVIADKHTGRSKGYGFVTFRDPDGAARALQDPTPVIDGRRANCNLAAFGAARRVHPVAAPFGAV >KN539697.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539697.1:12836:17035:-1 gene:KN539697.1_FG005 transcript:KN539697.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSCSVLTRIRLFREHAKKFREEDMQIRLDGNNCLVTGANSGIGFATAEGLASRGATVYMLCRNKERGETALSQIRSKTGNMNVHLEICDLSSISEVKSFATKFSSTDKPLHVLVNNAGLLEHKRVTTPEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVSSGGMYTEPLNKDLQFSESNFDGTQQYARNKRVQVALTERWSEKCSNNGVGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNDEGADTVIWLALQPKEKLTSGSFYFDRAEAPKHLKFAGTAASHGQIGSIVDSLRSICGTNDSQNDWLDTEMEDAPQRRTHPLASSLLLLLLIDERSSEMNDLFSSSSFKKYADASPASGVGGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKGKLEALDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIDSLIESGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACVAVLAGIILIAVLILPVLINLRILTLR >KN538691.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538691.1:432847:434912:1 gene:KN538691.1_FG059 transcript:KN538691.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVAEAATSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAREYVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYNMIDVLREGSMR >KN538691.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538691.1:365153:371938:1 gene:KN538691.1_FG060 transcript:KN538691.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRYLVLWMLLRCGRELVAAVPPDGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLAPDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTPDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVIEVTEANCRSIISHGDSSSFYENIVECHNDVCVCYKLPCEGSRKLNLVYRREDSLELNDIVASNRYNIDTTGLVCCWPSEEVLAYYCINHSDMFSPNGYCPGVMAWLNRIIGCILDVAKYRWIVVQIVAMPYRSKKVLELGSGYGLAGLAIAASTDADEVVISDGNPQVVGCILSFIYT >KN539697.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539697.1:73557:76291:-1 gene:KN539697.1_FG006 transcript:KN539697.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDQSAGSAARASKLRYPLRSASRGKGAADAPPTSGSVARRPKPSLDVSKSVCGLDLSSVKDNSAKPPRRHSIQTKPGVSPRPIPTGTITPVSLVRSRRSDSQGKFDTPISEVSMSTARRKFSTLSSTSYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMRDELKAYVVRHGLATELEEPVKDILQVYNIVEDFEKLKITVNSSEQPKKSDKSAHSATNVSPKGNLKPRSLNSDAAQSKEAAKKDNIQKKPDAKVRGSYNRNPAKEAISKNTGKKAKKQVKGQKEDCNGGSEALPVGTEQEPVDVVKEVTNEDKENMGDSEMPMDVGIAQEI >AMDW01040282.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040282.1:481:1338:-1 gene:AMDW01040282.1_FG001 transcript:AMDW01040282.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLAAKLGFSHHQSKVQILENVGGIIKPSRITLLLGPPGCGKTTLLKALAGRLNKSLKETGEIEYNGVKLDEFVPAKTSAYVSQYDLHVADMTVRETLDFSARFQGVGSRAEIMKEVIKREKEAGIIPDPDIDAYMK >KN538691.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538691.1:331323:331535:1 gene:KN538691.1_FG061 transcript:KN538691.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCPIGSRAKRRERWWPGGDWGDRPWGLGPRLLSPSLEQHRSRTRASVEFRETEEPLYRASVRSRRRAG >KN538691.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538691.1:396171:399431:1 gene:KN538691.1_FG062 transcript:KN538691.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCPTHVALRLLALLFLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATFFKNACPRAYSYAYDDSTSTFTCTAGTNYAITFCPSTTSGKYSGGENPQAAGVPSTNDTMVVLGAEQLSAAAHAAPQLTLPLLPLVVVAALVAAMI >KN539697.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539697.1:10176:12237:1 gene:KN539697.1_FG007 transcript:KN539697.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVASRAAADGNAVDVGVNWGSQLSHPLLPKSVVQMLKENGILKVKLFDADPWPVGALVDSGIEVMLGIPNDMLETMNSYGNAQDWVKENVTSYGDKLKIKYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALNEAGVGDKVKATVPLNADVYVSPDNKPSSGAFRPDIQGLMTDMVKFLHEHGSPFVVNIYPFLSLYQSDDFPFEFAFVDGGKTIQDKGGISYSNVFDANYDTLVTALKKAGVPSLKVVVGEVGWPTDGDKNANLKLARRYYDGLLKKLSKKEGTPLRPGKMDVYMFGLFDEDMKSILPGNFERHWGIFTYDGKPKFPMDLSGHGNDKPLAAVPGVEYLPKQWCVFDDGAEDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDRSNLL >KN538691.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538691.1:461865:462587:1 gene:KN538691.1_FG063 transcript:KN538691.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding description:25.3 kDa heat shock protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/Swiss-Prot;Acc:P31170] MAAPFALVSRVSPAARIPIRAAWRSARPTVGVPSSGRARQLAVASAAQENRDNTAVDVHVNQDGGNQQGNAVQRRPRRSSALDGISPFGLVDPMSPMRTMRQMLDTMDRMFDDVALGFPAAPRRSPATGEVRMPWDVMEDDKEVRMRFDMPGLSREEVKVMVEDDALVIRGEHKKEESEGAEGSGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >KN539697.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539697.1:44439:48095:1 gene:KN539697.1_FG008 transcript:KN539697.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGGRFLEVVVVVVVVGVVVRLASLSSLQLLNLSTCNISGAIPPAYASLAALRVLDLSSNALYGDIPASLGALSGLQYLLLNSNRLTGAIPRSLASLAGLQVLCVQDNLLNGTIPASLGALTALQQFRVGGNPGLSGPIPASLGALSNLTVFGAAATALSGAIPEELGNLANLQTLALYDTGVSGPIPAALGGCAELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGRIPPELSNCSALVVLDLSGNRLFGEVPGALGRLAALEQLHLSDNQLAGRIPAELSNCSSLTALQLDKNGLTGAIPPQLGELRALQVLFLWGNALSGAIPPSLGNCTELYALDLSRNRLAGGIPDEVFALQKLSKLLLLGNALSGRLPPSIADCSSLVRLRLGENQLAGEIPREIGKLPNLVFLDLYSNKFTGALPGELANITVLELLDVHNNSFTGAIPPQFGELMNLEQLDLSMNKLTGDIPASFGNFSYLNKLILSGNMLSGTLPKSIRNLQKLTMLELSNNSFSGPIPPEIGALSSLSISLDLSSNRFTGELPDEMSSLTQLQSLDLSSNGLYGSISVLSGLTSLTSLNISYNNFSGAIPVTPFFKTLSSSSYINNPNLCESYDGHTCASDMVRRTALKTVKTVILVCAVLGSITLLLVVVWILINRSRTLAGKKAMSMSAAGGDDFSHPWTFTPFQKLNFCVDNILECLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKTSKEEPIDAFAAEIQILGHIRHRNIVKLLDYVDMLKTSKKFILTYISSFSEYGYTTKITEKSDVYSYGVVLLEILSGRSAVEAVVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNLAPAERPTMKEVVAFLKEVKCSPEEWGKISQQPLIKPGSQQG >KN538691.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538691.1:335403:347764:-1 gene:KN538691.1_FG064 transcript:KN538691.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDCIKKIKVNGEKWREVFAEMIACLEDDHFGAALEEEGAAADLVGSHDGDEGGEDVDEGGRLWSPPASSAPTMAALKAGGATRAGAAAALSLDAVLLLATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNTDSVMAEAEPASNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGETCLDTGVDGGYYTPASHLLEVEGLRILLDCPIDLSALPAFSPVPLGASSSSGDAGDLILGVPYYRSPTAVAAAKAGRVDAVLVSSATGLLGLPFLTRLPGFANTKVYVTEVAARMGSLMMRELVEMHREFVRCYGPDRDQSPVWMEGEKLKKLMSVLQKITTEDEENNNLAALVSLYSLDNIEECMQKTQYVKYGEEVCFNGMLMLKASSSGLELGNCVWTIKGPRASMTYLPSSIFVSAHALDFDYSSLKGNDMILFSDFSSLNGMYDDNKKMGEHIVDETDILLASNSVFSTEKSFRDDGMDEDETIKFLCSNDDIAEEIERISFICSCIIDAINSGGSVLIPIGRIGIILLLLEHMSETLHSSNMKSQVPIFMISETAEEIITFTNALPEWLCKSRQEKLFSCEPLFGHVELLKEGKLSLFSHLYSKGLLAAWKEPCIVFCPHWSLRHGPAVHLLHRWRADKRCLLVLEQGVDAELTLKPFMPLAIQVLGCSFLSGIKVGKIDPLLGLLKPKLILFPEGQKSCPATDKQPWSFLYYSKGKTIEVPNMREEFEVRMTTEVAFGLQPRQLDKTTAVARLKAKLLLSNGQYVLAAAKSELDRSERHLLHRGTVDASCIPSALQEKGIVCSFSADADYSAPSDSERVISITSPGEALVKVTSERTTIYCDDEETAERVYDALRSICNGI >KN539063.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539063.1:48208:63999:-1 gene:KN539063.1_FG001 transcript:KN539063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDMALGRFWVFCRLIYMPMAYLYGKKFVGTITPTILQIREELYSVPYNEINWIIARDTCAKEDLRYPRSFVQNVIWTCLNKIVEPILNLWPLNNLRHVALNNLMKHIRYEDECTKYIGLCPINKALDMICCWIDNPNSDAYKLHLPRIYDYLWVAEDGMKAQVYDGCQSWETSFIVQAYCSTNLVNEFSQTLIKAHEFIKKSQVLENTPDNEAYYRHRSKGSCTLSTADNGWCVSDCTAEALKALLMLSKISPDLAGDPINGERLYDAVDGMLSFMNKDGTFSTYECERTTHWLEVLNPSETFLNIIVDYPFGSWGICFTYGTFFAIKGLVAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEVYVGASRCHVVNTAWAMLALIYAGQVERDPSPLYHAAKELINMQLETGEFPQQEHVGCFNSSIYFNYSNFRNLFPIWALGEFRRRLVLRKS >KN539063.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539063.1:118233:119054:1 gene:KN539063.1_FG002 transcript:KN539063.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYVQHARLESTTGALPADKSSVILGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >KN539063.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539063.1:19275:21906:1 gene:KN539063.1_FG003 transcript:KN539063.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDFSPHKNSTEQNRTSFEEDDQFSIRSAPSKPSPDKKSKPKNKPNHHSDKTANPPPQRPETTTAPRLGYGDIGSVYLVELRGTSAFFAMKVMDKASIASRNKMARAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEPAARFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLVNRKASKSDMGLLLNGAAAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELIHGATPFKGAGNRATLCNIVLIDGCEV >KN539063.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539063.1:69776:70324:1 gene:KN539063.1_FG004 transcript:KN539063.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAPVEGFGSIAAFMEAAERFMVLIKDTRAKAEEACRLAVLIQEATAGGGSNAAAISKLRKVVATARGGGGSDAAKALEVCKTADVMHKEVAAPADLMQEGTTAEELAAPTDLMQEGTAAEEVAEPADLMQEGTAAEEVAFRPPFLIPAPTTGDIGSDMRGLIPVVESISSMTSQGHWSC >KN539063.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539063.1:100439:102800:-1 gene:KN539063.1_FG005 transcript:KN539063.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEVMEECAETARERREEERLRNVNLDDWLPITSSRTAKWYYSAFHNVTAMVGTDIVYMVTGGQTLKKFVELACDGRCADIRLTFYIMMFASAQFVLSQCPNFNSISAVSAAAAAMSLCYSMIAFFASVLKAHPAAAAAVDYGFKATTAAGRVFGAFNALGAVSFAFAGHNVVLEIQATIPSTPERPSKRPMWRGVVVADAVVALCYFTVAFGGYHAFGNAVAPNVLISLEKPRWLIPCIIWLIMRKPAKYSLSWLMNWCFIIIGMLLMLVSPIGGLRQIILDASKYKFYS >KN539063.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539063.1:13040:16696:-1 gene:KN539063.1_FG006 transcript:KN539063.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRQVHVVLFVYITVILTAVAVHAQRDTCGAELNTFLPAPFNSSALLCKSVWNSFILRLLLGSLNTESSYFDLQIMYSKLKSEDNITSIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFPLHIARQSVILAFSKISPNKFHLAEHDDKTTLSFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNKDSQVRKCWNQYHHWLGRICIFLAAINIVLGIELSDTNISWKVIYGAIISVMIISTTFLEVMMCTKLPKEGTCNGGLQMPTHHPNS >KN539063.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539063.1:146590:148744:1 gene:KN539063.1_FG007 transcript:KN539063.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVISMIRLIRHDYGDDNGNLRAALFIFYSLALAHSVCFYCWFLLHYFLEKLCKSASKEFGLDKDFGGQILLLQYLRETKAKCADDLSLPGGWNMVTYAVGLLKSVSRDDNLDGLRMLDAFVVNKRPSIRLELLSSSDSIQNLIKMLQWTGQALEDQEMRERAARIVADVATGALHIVQIPGALQCISSLLQVSPLRQCCQEVEKEGPQKQDQDKEGGEEEKDKNMNTAIDEQITDRLLRMDRRAKKFLFGTMDEQSPFKPQGTRELIHQGLQILERLACDDQNCREICCNQRLLTKIIAPITSPALLHTDYDNAWVDILSILLRLVRLLISAPGEAGTRVCHDISACDDAVRNLLGILGQNATYPMQLQENAMEILTEIATGSPAVMAEDFIRKLWCIFLSNSGTSRLRRKAEQLAKLLSAQGANGQVFVKDVFCENDTVVAQLIDILVQDKECQISAAAILEHLCCRFVRYNELSEQCVVKLLRMILDLISKMEIKEETVPGAGESNSFDVHNDEESKPPKQSGPKKPWVPKNDELSEETKFLAALMSLLVVICNKMVDAHVFCYVTSVDEALVKKLKKIIEANNKNTADCLRIVKLACQVVIVIIHLKPSCIKDFNGNHFDAVLSTALKNMSDIDNCMLFAVRDCQITKPARTLSSLVKETQGLLQNAQDVTVLSD >KN539063.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539063.1:92755:93592:1 gene:KN539063.1_FG008 transcript:KN539063.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFANCERGEMRLPKWKSLQREDMKAKMLSWSDETMNKIINALEISRSVTVKALRLDGGFSFICSLAWVVCFVLGRGSGGVAHEIGVLVV >KN539063.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539063.1:26238:26576:1 gene:KN539063.1_FG009 transcript:KN539063.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCIWQPANRSAYYFARKAAWTASSMGDAAMLGSGKTATASPAGGSNTASQGSGKTAVASHASESSTASQGLRKTAGGGGFARIRQDGGRRWLRGSSAASLLESTSRGRFG >KN539063.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539063.1:8864:11912:1 gene:KN539063.1_FG010 transcript:KN539063.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAETKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVFHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLSFYTQYKPSDLCDCAKGLHRLFLVGPGGNLRAVREKYSQHKAQLPRANLMAKAHASPSTFQFEKWRLRNLSLRKMENGRRCARFCHQDGMRQLTLWDVLKAHDNEKLRASRKIMKIE >KN539063.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539063.1:104193:104483:1 gene:KN539063.1_FG011 transcript:KN539063.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGQGLLYRLDGRRGARQEHSVGLGEVKRGGERARRRGKEEDQDDDEGEEGTDAARMKVELAGKVSGASTWMGLTMPPSTMRVIWGKKVEDDK >KN539063.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539063.1:149118:151898:-1 gene:KN539063.1_FG012 transcript:KN539063.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETSNDNLVVQGNEIVPSNGEALAEEVQGDELVLAEDLTQGDEVQGNELHAVVVAGRLAVPVDESIGQQVKQQQQQALTNAY >KN539063.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539063.1:29002:30117:1 gene:KN539063.1_FG013 transcript:KN539063.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGLPAADKLMGDSIRGGRRQHVTTAIGTCKNTKRRGHGKFWASLGRDGASTSSAGSDMVVPLDDIAVVHDRLRSLLNGLGAVSPPVRVYGKMMWRSDRLKSQNRLQISRKKDGELSPFDSILTLAEKSAATSKRKKKSSKPKNKKNGESKEKNDEHKEYNDNGTDKPNNEPNNGDDGLFVQAYDRTSEEYILTLKYIKANNSYRLMGRPWKTFLKNCSLTLQEDAKKKGKKAIKKKLSHGKDDHNDGQLGLVMVHYFKGDAPHADAAFKANEELLLLAPKKRKKKHEGASSSHDAVTEALPQEEPNVVTAVELEIAAVMAGEAGVQGGAPEPHHGVEVVMEGTVGNQGY >AMDW01068231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068231.1:1174:1425:1 gene:AMDW01068231.1_FG001 transcript:AMDW01068231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSYQRQDAAASTAAPRAAVYTVWKRSSMGFQGTDGFSVYDHAGNLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQ >KN540894.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540894.1:871:7759:-1 gene:KN540894.1_FG001 transcript:KN540894.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKKEATVRGRRMEWRACLPACSPGGGNGGTKPVDRGVQMERRKEEAHDSIIYSYKHGFSGFAAMLTESQAQDIAELPEVRSIKPSSVHHLHTTRSQEFLGLDYTEPTGLLRDAKYGDGIIIGIIDSGIWPESASFSDDGLGPVPSKWKGTCQPGQEFRSNHCNRKIIGARWYDKHLSPEDLKGEYRSARDANSHGTHVASTAAGALVPNISLHGLAAGYARGAAPRARIAVYKACWGSRPSCDYAAVLQALDDAIHDGVDVLSLSIGGPGNEYLASLQAVKNGIVVIFAAGNAGPAPRTVFNASPWAMSVASTTIDRSFPTVITLANTTSNIVGQSLFYGSKDEDKWYEIYVSSCINGTSILIDSTVAGKIVFCDSPELVSQFPPGTYLPSVAIASKQFGAKGLIYPTYALDFLDVIQDYCGDIPCVLVDFDAMQILANALPDATSIAVRVAPTRTWIANEVQAPRISTFSSRGPSPFWPQFLKPDVAAPGSNILAAVKDSYKFKSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTTASNERYGFPTLADGLPQKTADPFDYGGGFIDPNRAIDPGLAYDVDPKDYTTFHDCYLAGNSSCESESRNLNLPSIAIPNLTAPTTVLRTVTNVGQADAVYKAVVQSPPGVQISVEPTVLKFSKGKNTQSFKITFTMTHKLQGGYLFGSLAWSDGGAHYVKIPIAVRPVVSDNYADL >KN540894.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540894.1:32248:35756:-1 gene:KN540894.1_FG002 transcript:KN540894.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAFHRGGHCRLLFLLLFSLSLRRSCVVGQQSQSKKTHVNMRKTSYAPDFYVIHCNADKMNSTVKGKIVFCFVTNFDPQLDYVTITKAVAAKGGRGVILPRYTTDTFTPYSLVSLDVPLVPVDYEIAYSIFQYCINSKTGVPKAKISFSRMTIGSEISAPKIAAFSSRGPSPVYPGVLKPDIAVPGVSILAASPKTSISKGVPYNFDSGTSMACPHVTGIIAVLRSLHPEWSPAAVKSAIMTTALTYDNNGMPIQANGKTPKIADPFDYGAGFVNPSKAADPGLVYDIAASDYLKFFNCMGGLATGGNCTTTRGSIADLNLPSIAIPNLTTF >KN540894.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540894.1:23245:23971:1 gene:KN540894.1_FG003 transcript:KN540894.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSEERFSDVKQEKERELTEDKRVNEQNVDDIPDSSLKLKNIDQIVTMEGISLQDQMDIDLRALGGVSEEMEDIAHEDSMTKKEEDQYSFIQSGKKKKKKKQAQSIICLAKERMTKEEVERLPFSVEIEGCFSLEDHERVEESNKDVELIEEREDNNSVVIK >AMDW01039461.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039461.1:171:984:1 gene:AMDW01039461.1_FG001 transcript:AMDW01039461.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FIDDALNEGVPVAILTTYGRNGEKTSRSIIEKLGQERTSKIHIVGKEEVERSLYGQLVLGEGVASSLDEQLVKEAQKAASAEKQRIAEEVASILKLSVDINAASKSSEKIIVTLRAGSEYVGRDVQNCVLVAGSQSGVLAAERIGMPCIVVRS >AMDW01021457.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021457.1:32:268:-1 gene:AMDW01021457.1_FG001 transcript:AMDW01021457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEVIDADDDRLKDLKMTWGEGPYKSVTDALVERKEYTINGPGVFDLWNYKEGRKASLGECIDYVFDHVKQLKIVRRKSP >AMDW01037375.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037375.1:1:434:1 gene:AMDW01037375.1_FG001 transcript:AMDW01037375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARVLGGATSINAGFYSRAHPDWFRSHGEGGEAMNWDMKLVNSSYEWVERELAFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTK >AMDW01037526.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037526.1:77:652:1 gene:AMDW01037526.1_FG001 transcript:AMDW01037526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SATEAGSSSAIDMAQENVEIIIRNVDNRFRLAPPKADLFTPLYVSIGPYHMNSDLPTGKEEKERNLDKILQDQVTRGGAASNSSAVKNGWLTLLNNHMSGALRYYGWNPDLLTPTRKDEFLNMLLEDGCYILSKFVVPTIGIARGSWQRQHVPPQHLEHDIIYLVENQIPFFILEKINEITGLIPTAGGSQL >KN542131.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542131.1:870:5316:-1 gene:KN542131.1_FG001 transcript:KN542131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTEEVTFEVKHAPMKYGGIVGLPSGELGVLVYAQPPVGEFDNYAISRDKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIIATCKLLEYLCLSFCETEDSVLQLQVEHPRLAELDIYHAGLELVELNYLPNLKHLDFSLWVCSHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLASVLQNLQVLNLDKLPEECDIAWTCFFLEAAPFLKEMCATVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKALECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMASPHVIHFRS >KN542131.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542131.1:16216:19049:-1 gene:KN542131.1_FG002 transcript:KN542131.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VGVPSDREHYIHRLGRTGREGKSGKGILLFAPWEEYFLNEIHDLPVQKSQTPNIDEEMKRKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSLGLEKPPALYRKTALKMGLKDKSAAHNNHLLVVDRFTKLPDDVLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSNLNISLHSFLPHYYGYYTTSMDAIRIHMNAAVSDATDNILNFRNQEIPLRQLSITFYLKYYDCLTIGKAVARAMATHKLDSAEFIILPDKQLQYCTVDDRRRNGKQLMTFFYACTDAFAGLTRLHLRNLKLAETDIPNIIATRKRLEYLRLSMCQTEYSVLQLQVEHPRLAELDISSAGLELVELNSLPNLKRLVFSLWLCPQEPLSFGNIPLLSSLSLTNVSMRWQKVIRLSQFLSSAPSIRDLHLSFLSEKIWVQPECPKL >KN543685.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543685.1:3186:5041:-1 gene:KN543685.1_FG001 transcript:KN543685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKISSLTQYAEPLTSYLKSLGDNEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLKNGHSALDMFQQQMDTNGTLQRAQEFVYSNGKEQPPTAINIDKSLLKDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQGMCESNPPEKILRLKGSDHAPFFSKPQALHKTLVEIATMLPVKAS >AMDW01040688.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040688.1:68:1906:1 gene:AMDW01040688.1_FG001 transcript:AMDW01040688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGYMHLDDNTHLLHAVVHANGYGHLLRVNGREGGSRCLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVDTLSGIQLALYFSHRQPIRTPLQNTIALYWALSDRQLVTVRDLFRFIMHLLHQARKKNETSKPTTDEHKEVASNVLCKWTKEDIDRAETAMLKVLRVVLPGQWVSWRALRGAASKAVDSQELLDYSLRGLGGKLMDDGHFIAVRCNAETSAIEYRLEDNSNQSVDAAAFGPSVDHLLHDLKFLYNALLNPETMLASQPEVIGASSHSAAAKILDCKQFIKHYDQHTPRAPLNPFLLSVRCSIELLDHPKDYTAPPVELVLLPASATLAELKIQATRAFQETYLMFQSFQVEQLPDFPNFSDTTLVKHVLGSSQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMLACDVCGVWQHTRCSGISDFDDVPEKFICRKCASPRRGKGRGGGGGNGGSRMDVSAAGRCKDEIGSSVGGAGKFGRMATVG >AMDW01023412.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023412.1:8:322:-1 gene:AMDW01023412.1_FG001 transcript:AMDW01023412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PSAAADWVRNNVQAYWPNVIIRYIAVGNELGPGDMGTILPAMQNVYNALVSAGLSNSIKVSTAVRMDVITNSFPPSHGVFRPDLQRLMVPIAQFLANTMSPLLVN >KN542349.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542349.1:8206:8439:-1 gene:KN542349.1_FG001 transcript:KN542349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALDHRWFAEEPKKANFAGFAPLFG >KN542349.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542349.1:15317:16594:1 gene:KN542349.1_FG002 transcript:KN542349.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLSRHIGAGGGALNTAFAGTTNGGGDAIGPGSYSLGLHGHTGVGGDQITVFAGGDTLAANFINYSYCFAAPVPYSAQDWHHIGAGGDYNMVFPSIPYFATAYLHHIGGGGDHSTVFFPNIDALAMNAFSLAAPNQHSAVEFYYNGAGGRQNIEEFYYNGASGERNTEELYYNGASGVQNMVSPNMDTLTANTFSFATPAHYSAVEFYNNGVGCENNIEEFYYNVASGEQNMAFPTMDTHAANIFSFDTPINHSAEEFHQISAGGEQNMVSPNTDNLAMNAFSFAAPFNHSAAEFLHHIGASGERNTVCPNIIDHPLAVNAFSFVAPVHYSDANADLHLHVVDAVDEQDTVAANVDALGIPPAPPSPAPASITNATVKSVWRENCTEQFKLVVDALNQPRRHLYIAVDMEFAADATTNIRRRP >KN542349.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542349.1:9959:10582:1 gene:KN542349.1_FG003 transcript:KN542349.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGANSLRDFIACCPFSEGETRALMRRLLAGVRAMHRAGMAHRDIKPGNILVGPSLALKICDFGMATTVPPPYEPYMVGTLHYNSPEQLTEDGLNGQYDGKAVDMWAAGCVMAELLTGGKAFTSETAKEHLLELVELRDYDIGSRNSLAFGGLRWLSPAGREVLAGLLAFDGDKRMTAEAALEHRWFTEEADSPAVLSCLAAITS >AMDW01040511.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040511.1:16:1623:-1 gene:AMDW01040511.1_FG001 transcript:AMDW01040511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DALRYIGDDSVEMIGIWGAAGVGKTHILKKINNSFHEHSDFQFVIFVTASRNIREQIARRLGINQDDRDAKLVSRISKFLEKRSFLLLVDDLREILDPKEVGIPFPLRNSSEFRQKVVFTTRSEHICGQMAVSKKIKVTCLEQDEAIYLFRQNVDMGILHSSPRIEELANNLVKELSGLPLALITTARAMSSRHHPTGWEDAIREMHDLFRHKDNPLNMEKGVYQPIKFSYDSLRNDTLKQCFLTCSMWPVDRNIRKDELVQCWMGLGLVDEPNIRSSYNETYKLICDLEAACLLESGPNNDVKMQNVICDTALWISHGKWVVHTGRNSLDANIARVIQRFIAVTYLDLSWNKLEKIPEELCSLTNLEYLNLSYNFSISEVPKCLGFLIKLKFLYLQGTNIKTIPDGVISSLTELQVLDLLNMYFGEGITMSPVEYVPTILPELGAINNLKEVDIVIEGSF >AMDW01039452.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039452.1:19:537:-1 gene:AMDW01039452.1_FG001 transcript:AMDW01039452.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNSGGGGGSGSKGSQNDKSQQQPSVKEEQKDQATTTATTTTTSTITTTNSASPVVVKEEEAALAGSSEALELERVMDTTAAGVVDHSELMDHVFSESYKPMIPETGQPDDFFADLAEL >AMDW01030385.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030385.1:85:339:-1 gene:AMDW01030385.1_FG001 transcript:AMDW01030385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVYDYMFHLLTEYAKLLKYKPTVPDRAVEVTMESMTRGRRGRERQFMVDTMVEAGSGTGEPCELPPPFSSEELETLRRRQADA >KN540670.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540670.1:8123:16355:1 gene:KN540670.1_FG001 transcript:KN540670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPPPPPMASYFDPESSGRREEYRRYRKRLSSSNASPLLGTAVSKFSEARLFCDGNSIQRRPNAGLLLEDIKQEAADISDFDSLDGSKLFGSGKRRASLDASDAGFSSGRQAVRSALKSVKLEEDMPHEGETTSTIFASLLDSAIQGLMPFSDVILQFERTCRNASESISCKDEYFYHSSDAYSLVSGQCHPIFRSAGTGKLRMVEDRLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGELFVAPTTSHQEACRFVVTDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYIKRKNNDTTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQSWRAATLCPFGGIDLFPSLEAMLKNGKSRTLQAIELESGVGRQLCLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMTKSWLGVQVDLVLSQYQTSRPEEKQFDDEMNGTQPMLNSAGPESWPHSVLDQQPRDISALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMEDEFEEKLVAVGDLIINMYVRYLFSEQQEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMFKLFLSAVEYLPFSSGDASKASLEEIIERVLSRSRELKPIKYDEDIFDVAEMHHLQALQKAMVIQWLCFTPPSSIPDFQMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFEMENASISPEMLSAEEKSQAVAAAKETLELAFLLLYREDIPWLNAVESSPIEPSEHVFLELHATAILCLPSGECMLPDATSCTALTSALYSTVSETEVLHRQLKVDVNVSSKDPCCIQVSLLCLAIEGDGLGLHEANDGGLLAAIMAAGFKGELNRFQPGVSIEISRLDAWYSDGHGSVESTAAYIIRGLCRRCCLPETILRSMQASIALSEAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLLFERECYLSKMELEEEQLPADG >KN540670.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540670.1:23250:26356:1 gene:KN540670.1_FG002 transcript:KN540670.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAARFRVAVGAARGLEYLHERCARRIVHRDVKPANILLKDDYEPMICDFGLAKWLPASMTHHQVTTFEGTFGYLPPEYTSHGIFNEKTDVFAYGVVLLELLTGRRAIDAKKLSLLTWARPFLYGGGGDGDDDDDDAVRMMVDPALGGQYDAGQLAAVAYAAKICIQNSPELRPKMSEVTQILQENEEDRRNVEGSRRTFTLDRTVEMHETNGQDSATRRQLDDLRRHMALAFDFECEHTSSAEIEQLSDHSN >KN540670.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540670.1:17108:20153:-1 gene:KN540670.1_FG003 transcript:KN540670.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSGESESLLPRAGGDGSLAMVIASTGVAVLGSFVFGVSIGYSAPTQSKIREDLQLSLSEYSVFGSIITIGAMIGAVASGHLADISGRKGLLVCTGLSVTYIVGTMVTWRMLVIAGLVPSIILIVGLSFIPESPRWLAKVGRQKEFEIALQRLRGKDADVSIEAAEIKEFIETIENLPKAGVQDLFNRAYIRPVIVGVGLMVFQQFVGINGILFYASETFVSAGFASGDLGTILMGCIQAPITAVGALLMDRSGRRPLLLISTSGLLIGSLMSAVSFYLKVYIASYSIGMGAVPWVIMSEIFPINIKGIGGSFVTLVNWSGSWAVSFAFNFFMSWSSSGTFFLFALVCAVAILFIVKIVPETKGKTLEEIQASMNSNST >AMDW01003681.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003681.1:76:195:1 gene:AMDW01003681.1_FG001 transcript:AMDW01003681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTFRVNGVHQANGEPKVEFDASMKHNPGTNFNPRHN >AMDW01038805.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038805.1:4:787:1 gene:AMDW01038805.1_FG001 transcript:AMDW01038805.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAGMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLLMGELNQMKGDSAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSG >KN541105.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541105.1:3048:4756:-1 gene:KN541105.1_FG001 transcript:KN541105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MQQSIMSTLPLLLLLLFSSLFPAPSHAWGVHGHLIVCQIAQARTFFRLLPRSAPRLLSSDRDCKDEDGVMGRQADVKFLILSSSDNLTQALLFLAHFVGDIHQPLHVGFTSDKGGNTIDVHWYTRKTVLHHVWDDNIIETAENNYYGEGVAEFVDALMQNITREWSQRVSGWEECSKNQTTCPDTYASESIAAACDWAYKDVTEDSVLEDAYFGSRLPVVNLRLAQGGVRLAATLNRIFS >KN541358.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541358.1:1574:3205:-1 gene:KN541358.1_FG001 transcript:KN541358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQMQLETVMELESTENQPADLSLPSRRYQYLQNIRNLSVVSSGRSSSRSTRSSYSSTTSSTGGSHHCYVPYSYSYSKFLEVVEIEDNGPREIARQMVLEGFIDELIGEFDYLEPYLVLDRWFSELDVRWVLEIQEEEAAKLRLDDPTQRWALGFTVMAHALRLSAMHRHLHDERSTPEAVTMEFPTTQTDQAEPDHTFVMDSDHDEMLQRDDLRLRLMRFSEATVSRMLASADAFAAGYTWRRPMDRLSVLIDMHICISGVSETLMPSLEQESRRLANSAEMQSLFNKTDAAFSSTGRKLARAIWGMAKDAEAVTPVLSGMDSWETFPENTEIHKTTRLIVDYATLFWGYHSMLGDVLLHSYSDLDTEEQSQAFRTLIAQMITNLMRHLDNKSDSFSDRSLKYIFLLNNSYFVQYQFLSSTDCLEWKDILKHDQYQDNYILISWNRVLYWLENKWEVHNTELKKRLRKAIIDKVITGPSGYKKYLEDNQNTRRSDPPSSPEDMEDMVNELFEG >KN541105.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541105.1:11081:15559:-1 gene:KN541105.1_FG002 transcript:KN541105.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDLAGSSSSSSAMDAVAADPSHGWQKVTYPKRHRKQGALPPSAAAPDLGFLPNGGGKATRPEGEVKKPKVKKPKKPKVTVAEAAALIDAENLAAHLLQISESYENQQDIQLMRFADYFGRSFASVSAAQFPWAKMFKESLVSKMVDIPLCHIPEPVRNTASDWINQRSPDALGDFVMWCIDSIMSELSGQAVGAKGSKKAAQQTPRAQVAIFVVLALTVRRKPEVLTNVLPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHFLFPTLCAKPSGNPQTRDLVLQLLERILSAPKARGILLNGAVRKGERLIPPVTFDLFMRAAFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQAAQQLLPLCVKAMQENNADLTGESAGVFIWCLTQNAESYKLWERLHPENVEASVVVLSTIVTKWSELSHKLSAESLKVTLKNLRTKNEAALEAATDSGKQASIKAADKYCKEILARLSRGGACLKGSLLVITLAVAAGFVLSPNLEIPSDWDKLQAMVASHLSF >KN541105.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541105.1:8671:9933:-1 gene:KN541105.1_FG003 transcript:KN541105.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABA DEFICIENT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67080) UniProtKB/Swiss-Prot;Acc:Q8LFP9] MAALLLSSSATRVGVAAPLALRQQRPVVLPGAGMASSQIASSAFTWGTIAVLPFYTLMVVAPNADVTKRAVDSSAPYVALGILYAYLLYLSWTPDTLRAMFASKYWLPELTGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGIATHILTKVHIA >KN541358.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541358.1:13410:14995:-1 gene:KN541358.1_FG002 transcript:KN541358.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELAITMELDSTDSSMELDRRDPNLSLQSRGHSYLEKIRSLPVVSAGDGGSSSWSSRSARSTHYSTTMSTSLSGGGSHNHRDAPYWYSCTNRMVVRLDVNSHRDIARQMVRDGFMMNLIREFSRGPDSVLERWFFELDVGFVLRSALEPDLNDLVRRWTQGLTVMAQALSATHRHIKEERRPASDDDEMQDDLRFAQFAEETISKMLAFADVVLAAAGNTWRQPTDKLLRLMDVHIYTIDVEEIIIPLLEKEALWLPNLQKMERIVQKISNVFSSTEDSTRKAIHVITVEAEAVTPVLSRDSWETFLDSAEIHKVTHLIMDYARLFWEHQDELNSILLQRYSFRWEVRSLITQMMSNLMNQLEKKSESLSDPSLRYLFLLNNSCFIQDEFLSITGYTFPLDSDTRLTYWYYEDYYLNVSWDTVLSCLHVKAPLLFFKHSPMARFESEFQRTCRHQKLCPNPELRKSLRKAIIDKVITGYKRYLEDHPDQEKCSIDPQDMEDMVNELFEG >KN541105.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541105.1:5553:8094:1 gene:KN541105.1_FG004 transcript:KN541105.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPLLRLHLRLLPLAAFVAVVSLTAAPRRAEAWGKQGHIIVCKIAEKYLSEKAAAAVEELLPESAGGELSTVCPWADEGTAIILVTSKGCASSGLSTTTPTSSTAMAIQRAHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTDGWSEDISHWENCGNKKATCANDYAIESIHLSCNYAYKDVEQDITLGDDYFYSRYPIVEKRLAQAGIRLALILNRIFGEDKPDGNVIPLQVQ >KN541358.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541358.1:9836:10771:1 gene:KN541358.1_FG003 transcript:KN541358.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRNVVSWNAMIGGYAMHGEAENAVRLFRSMQSSKEKPDLVTFTCVLGACSQAGWTEEGRSYFNEMQHKHGISPRMEHYACMVTLLGRAGKLDDAYDIINQMPFEPDGCIWGSLLGSCRVHGNVVLAEVAAENLFQLEPENAGNYVLLSNIYASKKIWDGVNRVRDMMKNVGLKKEKGCSWIEIKNKVHMLAGDSSHPMMAAITEKLKHLTIEMRRLGFAPSTDYVLHDVEEQDKDDILSVHSEKLVVALGLISTSRGTPLRVIKNLRICGDCHEVMKFISSFEGREIYVRDTNRFHHFKDGKCSCADYW >KN541105.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541105.1:21856:28030:1 gene:KN541105.1_FG005 transcript:KN541105.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQFTGDLFGFHGGGQVSNTHSAKGASDRNSGSSGNEGKTTTKGICIKLCGIREESFAEFTEQQGGEMAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGNKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPDLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDTEAMSLALTEIESIQDEQDMYIQQLEREKAALLSKIQELGSEIVRTSSGPARMPY >KN538992.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538992.1:153526:154700:-1 gene:KN538992.1_FG001 transcript:KN538992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACASPPVLALALLASCGAFLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEALQRFDVPRRNAEFIDAVNLRGDLTYQLAENEFADLTEEEFLATYTGYYAGDGPVDDSVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSSCWAFVTAATIESLNMIKTGKLVSLSEQQLVDCDSYDGGCNLGSYGRAYKWVVENGGLTTEADYPYTARRGPCNQAKSAHHAAKITGFGKVPPRNEAALQAAVARQPVAVAIEVGSGMQFYKGGVYTGPCGTRLAHAVTVVGYGTDAASGAKYWTIKNSWGQSWGERGYIRILRDVGGPGLCGVTLDIAYPTLTL >KN538992.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538992.1:104451:108577:1 gene:KN538992.1_FG002 transcript:KN538992.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDGPTPGRKEVFDQSRSSSGTFMLFLTSLIPKLTPPDCGLSNQGCTSPSPLQLFVLCASLGFMSLGASGVRPCCLAFAEDQIAHWDEAQKDRALRGLFSWYYVSVGFAQIVAVTILVYFQDQVGWKVGFGISAAVMLSITLVNLAASPFYVKVKPQRSIWISLLQVVVVSLKNRHLVLPKTYQSAQFHNASGLRELVPSEKMRFLNKACILRYHATNVSDGAGRTNSWNICTVEQVENLKSALSVIPMWSAMIMTFLIQSSSFGVLQAATMDRRVGTKKFQLPAGSISIFEIITFTIWSGCYDRYIVPFLRRITGRQQVLTLKQRMGIGVSLSIASMLVASAVETYRRKVAVKGGLQHDAKGTLEMSVLWLAPQYVIIGLAGAFSSIGQIEFYYAVLPKSMGSFVLALLFFGAGVASIIATLVVKAINLITGRNGMAPWLSNNLNEGHYNYYYFLLAALGAIDLIYFIVCSYVFDERTQNMSLETSGDAKEMVEFQG >KN538992.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538992.1:62250:62882:-1 gene:KN538992.1_FG003 transcript:KN538992.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFCASSFVAVGLVVLVCSAAAAAAETHVVGDSKGWGFSVAYDSWAMFNYQAGVHNVVAASAAEYRSCKVRNSADAAATAAGSAKLDLKKGVNYFICGVPGHCATGMKLRVVAN >KN538992.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538992.1:7626:8123:-1 gene:KN538992.1_FG004 transcript:KN538992.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVAVVAALLLAAPHAAVAITCGQNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVR >KN538992.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538992.1:52429:56828:1 gene:KN538992.1_FG005 transcript:KN538992.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLDFLISEHLLAADISKDFTMVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSVLAKKTASSPKGHLAEGKHNCTSLDSMEDEKPLRLVQELEDDSQEQDDVVLLEDQRNSREEETDVVLLEDQRNPRDKETQAEDSRIVTEEQRRRALIAKRRQKKEDKKTIRALGLRLENGNRVDNGMNKGGWDLDLVHLLLHKKVDTFDEGKQWKYMVQGLQGDRMDPRSILKATRLP >KN538992.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538992.1:135742:141359:1 gene:KN538992.1_FG006 transcript:KN538992.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPLSLSHSLTSALSATSSGTGRPVARLLHPRVPSRPTVICLAAPPKVPLPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLKLMEDMEMDGSFESLAVELVAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKPSGQKDHGMDLSLAAKTY >KN538992.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538992.1:19093:20735:-1 gene:KN538992.1_FG007 transcript:KN538992.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine/guanine permease AZG2 [Source:Projected from Arabidopsis thaliana (AT5G50300) UniProtKB/Swiss-Prot;Acc:Q84MA8] MKRSSPWRKLAEAEDAVNRAVAATRVGRYFKLEARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVSDCTPVVVGNSTAVPGPECTVGSNPGYEQCLGRTKSDLIVATAVAAMAGSFAMGALANLPLGLAPGMGANAYFAYNMVGFHGSGSIPYSTALAGVMVEGIVFFILSAVGLRSRLARMIPRNIRLATAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSETDPATGACLGGSMRSPTFWLGAVGFLITATCLARDVKGGMIYGIVFVTVVSWIRGTAVTVFPDTAAGNAGFDYFKKYGGFTRGAGGGFDGEYRAFLVDAGSTVLSAALGSTTVTTYVESTAGIREGGRTGVTAITVAACFLASLFFGPVLTSVPPWAVGPSLVLVGAMMMRVAKDIEWADMKEAIPAFVTMALMPLTFSIANGIIAGLGVYIALHCYDWARIAYLKASKVLDERRNQVAASSSGEASGITATTATAAAADEV >KN538992.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538992.1:67429:68154:1 gene:KN538992.1_FG008 transcript:KN538992.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRLGGYGSLMRERQRGDGGGWQERDQVTRFKGFVFKTSTVKASVVESKMIENVVDELFVIWVKVHGIPAEANTEDALRALIELVGDYKDIDGRSLKKDNLV >AMDW01040651.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040651.1:51:555:1 gene:AMDW01040651.1_FG001 transcript:AMDW01040651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVAGLLDDALGAPESVEAAPGERDDNCGAVEMAEAADGGDGGLICIDQLGGMLGALSNAEMATRARAVLAGDGGRAGEVGDVGGGYPIRLCLSFNGALGAPVIATMTWTRASMADEAKVGGRKARPQCDLVMS >AMDW01040538.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040538.1:130:1638:-1 gene:AMDW01040538.1_FG001 transcript:AMDW01040538.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25060, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25060) UniProtKB/Swiss-Prot;Acc:Q9LJR6] MVAGFVSAGQPLDAIQMYRRMREDGVKGDEVVMIGVIQACTAARNVRMGASVHGHLLRHGMRMDVVTATSLVDMYAKNGLLDVACRVFGLMVNRNDVSWSAMISGFAQNGQSDEALRLFRNMQASGIQPDSGALVSALLACSNIGFLKLGRSVHGFILRRFEFSCILGTAAIDMYSKCGSLASAQMLFNMISDRDLILWNAMIACCGAHGRGQDALTLFQEMNETGMRPDHATFASLLSALSHSGLVEEGKLWFDRMVNDFKITPAEKHYVCLVDLLARSGLVEEAGDLLTSMKAEPTVAIWVALLSGCLNNKKLELGESIADNILELQPDNVGVLALVSNLYAATKKWDKVRQVRKLMKDSGSKKMPGCSSIEIRGTRHVFVMEDQSHPQREEIVSKVAKLDLEMRKMGYIPRTEFVYHDLEEEVKEQQLSYHSERLAIAFGLLNTGPGTRLVIIKNLRVCGDCHDAIKYISKIADREIVVRDAKRFHHFKDGACSCRDYW >AMDW01028625.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028625.1:100:318:1 gene:AMDW01028625.1_FG001 transcript:AMDW01028625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSEFTTRGVTSPKAWTASMVIDVEAAALGFLLVWRICRTVKTQVNVVEITTTTVSVSILQIDSDTSCEYMPNK >KN542369.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542369.1:11231:11911:1 gene:KN542369.1_FG001 transcript:KN542369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINITSVRELEEAIGKYGAGESVRVTINVANVFEADELLRLIGDRELEVRWELSPGGMDMLRSAADYYLNLDLLYEFMDLMRLDLRDGVPVKKSLFDWIRTASTDVLTSSHPSSTRRILEQITLEAQLIGRVAKGLGFGADDVQQYLITPHEMSWYLEEDAVAVRKRRASLSSGDAATSDVAAGASDPEELLKNHKQRLLDKVAHLQQVISSSKSAPYISQLEQ >KN539722.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539722.1:71805:72217:1 gene:KN539722.1_FG001 transcript:KN539722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGNMHRQEPMSWAKIPNPDEMTQQAQVL >KN539722.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539722.1:8968:19236:-1 gene:KN539722.1_FG002 transcript:KN539722.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSMAGVFTHLAWPLLVLVLCSWRIIAAAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLSGLFQWPWDRTWSWGLPWRYSYDNRRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSGELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTILFAEIFPIISSLEAFLLGIVRTICNYYSFAVDCGSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGISNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMISALSVTPNFTPTVRNGIPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKCSIWATFWPCSKYTCNFLGVFAYGRGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFRDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYNEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLMESEIYLFEWAWDLYEKEQPLGIVDPSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSTTHEFNSKDEIKPFT >KN539722.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539722.1:58234:62189:-1 gene:KN539722.1_FG003 transcript:KN539722.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLAVITTDNFMGNVPTAQVKRIADDPDDQSEESLLVISGIKGRINRAVWGPLNRTIITAGEDATIRIWDTELWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSYVYMGISPLFDSSFDK >KN541554.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541554.1:15067:15601:-1 gene:KN541554.1_FG001 transcript:KN541554.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEREVDAGRDASSEGGTVGSKIASDQREKKGKIIAEVDELGYIEALDTDGTGDDSEEDDINIDEILKEMRREREDPLLHCEGDTYVEDLFVRPEVTTRFTHDNFGVGSSALLNTHNSVG >KN539722.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539722.1:50540:50704:-1 gene:KN539722.1_FG004 transcript:KN539722.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAMKELGRSRSASGNDDYQEGCARQLVCVIDNNGKVCVYNGVKGSMGVPYL >KN539722.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539722.1:76370:79467:-1 gene:KN539722.1_FG005 transcript:KN539722.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGENAPFFVTKLGIKTLPCVILFKKGIAADRLIGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDMSMNRRVRSSTAQDSDSD >KN539722.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539722.1:33060:40717:-1 gene:KN539722.1_FG006 transcript:KN539722.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGVTASSEWNISGEPCSGVASDESNWDNYPKNPAIKCDCSFNNNTICHIIKLYTQKVLDAECLKLIAHVMLKLRVYELNIVGQIPAELQNLTYLQDLNLNHNYLTGAIPSFIGKFPFMKYLGLGFNPLSGQLPKELGNLTNLLSLKASDNEFTGKLPDYLGSLTKLEDLRIGDIVNGSSSLGFISNLTSLSTLVLRNCRISENLETVDFSKFATLTLLDLSFNNITGQVPQSILNLGMLRFLFLGNNSLTGSLPDVISSSLKAMLFPEIFPTIISPEPYLLGLPRIICNYYSFAVDCGSDISTRGSDNTIYEADAANLEDASYYVTDQIRWGVSNVGNFFQATDKMDIIYSSQHFQNAVDSKLFGTARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSAIPTQGYYGPMISALSVTPRKQSRQNCWNIDWNVSVRFSRLIWNIYVDKEAKNNGKAERSGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPLMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNTSYVTSGYSGSTTHEISMQNEIEPLQQSPPIIKAGR >KN541554.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541554.1:24154:26615:1 gene:KN541554.1_FG002 transcript:KN541554.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVEDRGGAVALMVASLLFLGTWPALLALLERRGRLPQHTYLDYSVTNLLAAAVIAIAFGQLGGTSPGMPSFFTQLTQDRGGAVALMVASLLFLGTWPALLALLERRGRLPQHTYLDYSLTNLLAAAVIAIAFGQLGVTRPGMPSFFTQLTQDNWPSVLFAMASGIALSIGNLISQYAWAFVGLSITNIICSSLAVVLGTTMNYFLDGRINRAEILFPGVACFLIAIFLGSAVHSSNAKDEEEKLSMSGFRNAFELYQSEYSLRGLPLMSRSTIRLFAGVEA >KN539722.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539722.1:67480:71328:-1 gene:KN539722.1_FG007 transcript:KN539722.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIRRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTFTIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETTNRSDRMHPELPGDNAAPKQEAQAKKGGMSKPSGSVEVTTEATTSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNISKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGYKLASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTMVLQGEHHRRAAAHLWNELDCFSSSFRIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPTDELCKSIKEVMQLLRGSFDHPADHQNKTTTESQSRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEIARDDYQTGRSNAHLV >KN541554.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541554.1:19091:22245:1 gene:KN541554.1_FG003 transcript:KN541554.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAEMLYFFIISALLAGAHRDRATYSIAYAEEIDHMSLLDFKKSISVDPHGALASWNGSSHFCEWRGVSCHNTKHPRRATVLDVSDLGLVGIISPSLGNMTFLTVLNLSYNSFAGEIPPLGHLRRLEILTFESNSLQGRIPTELANCTSLRELHLRMNHFVGEIPTEVASLSKLGSLDLSLNNLSGVIPPSLGNISSLSELITTENQLQGRIPSELGRLSRLTVLAIGSNNISQGIPQSIFNLSSLKAMSLERNQLRMPYLPSDLGTTLHNLQLIFLDYNQFAGPIPPSLSNASQLVNIDLSSNSFTGHVPATLGSLGKLTWLNLEFNHLVADDRQSWMFMDVLTNCSSLQVLALFQNQLAGQLPSSVGNLSSQLQYLLLGPIPATIVQLQHLQFLDFSDNQLNGRIPVGMFNLQAAITFDLSHNSLNGIIPREIGNAKQLSEIDISSNKIAGEIPETLGNCESFETMIMGNNFLDGKIPLSLANLKNLQLLDLSHNSLSGPVPGFLGSLKMLHILDLSYNHLQGEVPRDGVFTNASALILTGNQNLCGGITELHLAPCPVVPSRKRRLPRSLKTVILVVSPMLVLALIVIVVLFCRKKLERKSLMVPSVLGMHLPQVSYMDLAKSTNNFSPSNLIGKGAHGSVYRGFISHLKTDVAVKVFNLEMQGAERSFLVECQTLRSIKHRNLVSVLTACLSIDPRGNEFKAIVYEFMPKGNLDELIHSQRSNEHVAGHIILAQRLNIAIDMANALDYLHHSTKPPVVHCDLKPSNILLDDDMGAHIGDFGLAKLRNDCLSVSAGCSTSSVGFRGTIGYAAPEYATGGHISTAGDVYSFGVLLLEMLTGKRPTNAIFMEGLSIISFVQMNYPNKITSIIDECLQVDLDNLNKETQSDCNWRVHGCIQSMLEIGLACTHQLPKERPNMQEVARKLLATRVAYEKSSGF >KN543327.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543327.1:433:4947:1 gene:KN543327.1_FG001 transcript:KN543327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAVVDPVVSETERGVEEEAAPVVGEAGRGVEKVGIGRLPFSLLLDFPLSPFCVQKQNTCACVAWKKGINKGGKWLYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRVDAEMNCHVTGSLIPPHTGSMLWLSVHDDEYALEINSGKRSRDIKIKTEQHEQDHSAKSSGRKHKSKSRKRSFEESISKIMHKQAACSSVLEPQPTLTCNNSQVEGVHRGVAPLALGGVDEDLVEHPELPGVLLHRTDVIVRPLEDLLEQRW >AMDW01021634.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021634.1:14:277:1 gene:AMDW01021634.1_FG001 transcript:AMDW01021634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYLAKDLGLPLLNPYLDKGADFTHGVNFAVTGATALDAAALARIGVTAPHTNSSLSVQLQWFRDFMGATTKSPAEVRDKLASSLVM >KN543327.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543327.1:6589:8779:-1 gene:KN543327.1_FG002 transcript:KN543327.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DSFELSKVDQAPANVDIELGLQGGMSSSAQPGFEGFFEQVREIEKLLETLTKLLKDLQNSNEESKIVTKASAMKEIKKRMEKDVNEVTKTARLAKSKVEKLNKDVSKFSLNTFFPNECCKQRKTRLRSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >KN543063.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543063.1:1362:5780:1 gene:KN543063.1_FG001 transcript:KN543063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLQAAVEGGIGPRAVMRGEAKGADDRGAAEEQRVHVQGADAGAVEEIDGRERLPVAVQCSGQRQGVDHLVDMEAGYDVVNDIEGERPQRVWRRSTTTCSVAAIVFLHPLIKERGLPANKIIPDAAKERDILRQTFRRTSGVIYIPYCHFEMLPVLLACLGLFYVYGGTGMKTFEIEFYGPSNANTYYHLNNWLEIAITSGIEELTLRLTPDVAKYNFPCSLLSDGRGDLIQSLHLSHCSFRPTVEVVSLRSLTSLDLCLVRITDRELGILLSNSLVLEKLGIKYYDKINCLKIPCVLERLSSLEVFECYSLQMVESKAPNLCSFCFGGEQVQFSIGEPLQMKNLQVIFPNSISFGCAELPFSMPNLETLNISSRCEVCSTL >AMDW01037803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037803.1:68:481:1 gene:AMDW01037803.1_FG001 transcript:AMDW01037803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQDTAAVCSSPAAAPAGRVAFCYVALYLLALGQGFHRPCAQAMGADQFSESNPGGLASRSSFFNWINFAVSCGYVVSTAGISYVQDNVSWGIGFGACWAMMLVSLFVFLLGTGTYRPEQPRTSAETRRGDAMDDTA >KN542267.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542267.1:788:1180:1 gene:KN542267.1_FG001 transcript:KN542267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAASVPAGKSRIYEQSGKPISRHKGYLAMVFADYNLSVEYYRAPMLVMIDRFPASSGAVRGAVRLDMLPRHANRWAGADVLVFNTGHWWNEHKTIKS >KN539431.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539431.1:47663:55414:-1 gene:KN539431.1_FG001 transcript:KN539431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEPSRLFDRGKQQAEDNEDLEASLQAMSMLPHEELVVHLHQTPFSSQVRGQFNMLMKADQRLKPLSAYTFSHLMVTVRFYGIPKKFRSVENVKILAQKIGQVSAANPIDEEVIKKSHSFVSVRMRLNVWQPVKDEIVTITPDNNRFKVYLYYERIGRICTFCGFLFHNTQACLVKQKISLQMKAEEDQQLDDKFGKWVIQISDLPPQAFVDADEQARDNLISLFRSHFASSQPQPSEKLLQIHERRKNIEEQLETRIEQEGADPTTHSMQVEIPVQSSRLEVNTLTAQLKPSDPQQAIQPVHTTLPDTDQVQLTSSPGLMPEQRCHQANKEQTSVATFGIVQRKLNFDESQENLMLEQSVPEKLQGPPAVQQQISSTQNTLTMHATQGLQSDKQEEMQTHLLIPTQEQILGINVGHDNTEPLPLVLQFSNKVLCTMSLMQRNIRAHHVIIDMEEMGEEDLDHHRHTLANGIAGNHQALHIKVPLLGGTLGIIWRHQGTRLLQRWLDRLVIVGEQWLDGVQQYSRLQVHQLWDENKNWDRQKIQEIFYDQAVQVIMKIQKLSIMLWFIWIARNDFYFKKKFWEPVQVCIAANVMAANFSQILDLTAEDCLHDTNQTMDYGFNIPQGIRCFVDASWKDDKSGFGIFVHDPQSHRALFIQASSSIHINATQAELAAILLALRVCNLLNFSETLFHSRITGAFVRYFLSFKTSLKEIQLMWFGYLET >KN539431.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539431.1:19088:20041:-1 gene:KN539431.1_FG002 transcript:KN539431.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5-like protein [Source:Projected from Arabidopsis thaliana (AT1G60660) UniProtKB/Swiss-Prot;Acc:O22704] MEIIVILSLVVLLVLGALFVVPKSRNKGKVKEASSNAKSVVYDVTSYVEEHPGGDAILNNAGGDSTEGFFGSEICNFVSEGIHIGLEQPCVHCSSVSAKGFVVLNSFI >KN539431.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539431.1:56911:64060:1 gene:KN539431.1_FG003 transcript:KN539431.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRAKSSYAFLSRTCIRRTKGTVSKLKEDIQKLQVSEAEIKALSFNYVAMLKEKEGALERSPRGVQRNTAQDNPLNVSKQNGYGGGASQGIQPNGLHSMTGHRKADISEGDRSFFAAKQASLENEIKQLKKQLSDNSEKENETKRRLEDEHKRNELLQQHLNELNVSKERISTNMEELHNELSEKEAKLRRLQEDLSRRDKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLLRKKTTSQTNEVGTSDVNGISDVEKVKEEMASLKKALHDASYERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRAICDQQRAHIVQLERALKFEMAKQEESKKIISEEHQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELAKLSESLKVANQAIEISRREKEEVAAKLSQVEGMLADGKRFMQKLEDDNSRLRRALEQSMTTLNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQSNAGKGVVRGVLGLPGRLVGGIVGGNSAGKPTQASQDNQSFADLWVDFLLKETEEREKREASEAARLSQEENQTASTSNASSVQPSGHISNQASGPSTSHHMFGRQDTEFATVPLTSSTYTSTQTPFSRPPQR >KN539431.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539431.1:102352:105477:1 gene:KN539431.1_FG004 transcript:KN539431.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTCLAAAGLVVGAEEDGGGGGGLGRLGSPDYGDALAKGILFFEGQRSGRLPANQRATWRGDSALTDGRQENVDLTGGYYDAGDNVKFGYPMAFTVTLLGWSAVEYGAAAAAAGGLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLFKATRDAKYLDFLTNNQGSSNPVNEFSWDSKYAGAQMLAAQEYLGGRTQLARYKDNLDSFVCALMPNSGNVQIRTTPGGLLFTRDSVNLQYTTTATLILSIYSKVLKSSGSSGVRCSAATFSPNQISSFATSQVDYILGKNPLGMSYMVGFSTKFPRRIHHRGSSIPSIKVLSRMVICKEGFSSWLPTSDPNPNIHVGAIVGGPDGNDQFSDNRGDSSHSEPATYINAAFVGACAAAMGQKQVVKLEEPVDNLESMVSTY >KN539431.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539431.1:16551:17622:1 gene:KN539431.1_FG005 transcript:KN539431.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVDGSGAQLVVAELVRVQGLLRQLEAHLSAPCSVELCRGLAAQIVALTDRSIGIATRSFSSASGGGGGAHFADTAPPMPALTSCTPSPLSDGSDHQPFRTTNAKKRKTTARWTSQVRVTPLTPENRATAPPLQQQQQQQSVSASPVASDSYGLGGAGYGDWRCCDGDLQEVVSALATVTSAPDHAAMDAADFMSYCFDFDPAVYGGIVGTPSFFL >KN539431.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539431.1:90038:96079:1 gene:KN539431.1_FG006 transcript:KN539431.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWHHVAAPMQFGWQIVLGSFVGFIGAAFGSIGGVGGGGFFVPMLTLIIGFDAKSSVAISKCLIMGAAVSTVYCNLKRKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIKREAEKRSEQTSEELEYRPVPAAESKPPSDEAVSILHNVYWKEFGLLAFVWIAFLALQVTKNYMPTCSTWYWVLNLLQIPVSVGVTMYEGLGLMQGRRVISSNGNEQTNLKFHQLLMYCFFGITAGIVAGLLGVGGGSILGPMFLDLGVPPQVASATATFSMMFSSSMSAVEYYFLDRFPVPYALYLTIVAFFSAIVGQRMVRKVINWLGRASIIIFTLSIMIFLSTIPLGGIGIMNWIGKIERHEYMGFEDICKFDA >KN539431.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539431.1:10774:12173:-1 gene:KN539431.1_FG007 transcript:KN539431.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCFTSASNSGVKGIALNLENDNVGIVVFGSDTAIKEGDFVERTGSIVDVPAGKAMLGRVVDALEVPILMEKGL >KN539431.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539431.1:33721:38999:1 gene:KN539431.1_FG008 transcript:KN539431.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MASFYVAGRLWQDAQSRVYLIKELDRRTGQGHSTISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTYETNGTNSRKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSVNRGDASDREIDEENRSTKDFMILDDHTESEEENPKKTKSFFANAAESFDAEFYAKVNDDIYINVDTLSAMLKEHWDKPRVYIGCMKSGEVFSESFPKNRTHKWYEPEWWKFGDGKTYFRHASGEMFVISKAVAQFISINRSVLRTYAHDDVSVGSWLIGLAVKHVNEAKLCCSSWPSDIDVVVMHHVCCFLELCVQLCDKQHFRGEEFCENYVRIEIIRNEAYHLQTMRSKLAF >KN543502.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543502.1:7279:7428:-1 gene:KN543502.1_FG001 transcript:KN543502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNASPTTQLTATPPAASPAASIARLSPPPSAASTNISIRRHTGVISS >KN539431.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539431.1:105919:107217:-1 gene:KN539431.1_FG009 transcript:KN539431.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRENLNNCKDPTFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGSEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGLCLPIDDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIEQFNGPF >KN539431.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539431.1:41910:46106:1 gene:KN539431.1_FG010 transcript:KN539431.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAGILAFLGFRDFRLAHEILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWRKHVLLVAKESSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >AMDW01029673.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029673.1:178:303:-1 gene:AMDW01029673.1_FG001 transcript:AMDW01029673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEYTEYFSGQTSYAVAEAPKEALPQNCRPDFGVAWSTTLKFK >KN544393.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544393.1:466:1455:1 gene:KN544393.1_FG001 transcript:KN544393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRSWRSLFSSLANANGGGSNADASSGSGTSSPLVHEAQQAAARRRSARTKKPPEEEAAGSQPQPKTRPSPASKASKASKAKALLQLGDGEAKKKPAPTPTPTQKRSNKRKRVAPAFERSWSRADELAILETMANHANAHGGALPDTSDLFAALASSLERGDADLPKLADKVHKLKRWYDNARLPQRCPTDDDDDTRRLFQLCGKVWGPPSTVLRTSPRQRHKVVGVLVQGNGANPQQAAAPKVKEKRARRELSELYVLYPCLAQEVKAHANEYGELIGTAFQFIGDDEARCYDDRYRKMLVDKLNMKKEHADLTKSLLCTLAGYIN >AMDW01040912.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040912.1:40:3348:1 gene:AMDW01040912.1_FG001 transcript:AMDW01040912.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSYARQSRSSKTEQHFNNSSGPVENQQQCTPTALDHLEEAEQGNVGVNLNNPADGVPDGQLNVGKPYKSDKDISSASMVEERKSSGLSEQPSGMAIDQIDGDAIDEGSQSCEKRSLGAKSSTCDNLNLKDTEFSTPGRELPDENASTSFQDNLEASSTKSIELDADNNEMDTLSDDISKLQDSISLLESQINMASSRRECLGKDSIGRLYWVIGRPGKRPWLVADGSMLIPKERDISMVNSYPPSAFDCKGWNSASIFIYESDEEIQCLLDWLRDYDPREKELKDSILQWQRHFCHQSSSPLVDPPISGPKGEQLMELPNTKAAVILEQKYGLQLDQDTSDLPKKRGKKIKLSSEDRTYRCDCLEPVWPSRYHCLTCHETYLISTEFEGHNDGKCSKIHQSPDESRENDEPKVKVTKSDMKEKDSLERSSVIEPSSDRKLMQCPYDFEEICRKFVTNDSNKETVKQIGLNGSNGVPSFVPSPAFFLEPAIVQSQNRKDDELKDWTSSLEECNAMSAQKLVQEVSKSGQSCPGNVGDEKVQKSKKPTPDNTSGEEAHSTTGKPTRLLAVNGGLVPESSLRPLVGRNSHILKQQKINLLDIEAALPEEALRASKCQQIRRRSWRAFVKDAESISQMVLAANLLEGMIKAEFLKNDWWYWSSFTAAMKTSTVSSLALRVYTLDDCIIYSKDQVPSVEPADNTRSGNRGSRRKNWYSMCEHSILMPPWGALWGGCIDHLFDVSGKFATSDRNVDSSCDRYNFDCN >AMDW01033849.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033849.1:26:511:1 gene:AMDW01033849.1_FG001 transcript:AMDW01033849.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLILSVAVAVALLAPRAAGEPWPVCGQDFGTFTPKSRFFANLQLIAATLPGNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVNNENKVTSEQGRYNRL >KN543466.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543466.1:1989:2492:1 gene:KN543466.1_FG001 transcript:KN543466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLAICSLFVLAVVAATMVHCSDAQNSPQDYLSPQNAARSAVGVGPMSWSTKLQGFAEDYARQRKGDCRLQHSGGPYGENIFWGSAGADWTAADAVRSWVDEKKYYNYASNSCAAGKVCGHYTQVVWRDSTNVGCARVRCDANRGVFIICNYEPRGNIVGRRPY >AMDW01032920.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032920.1:38:319:1 gene:AMDW01032920.1_FG001 transcript:AMDW01032920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASLCVRGREMLYKYCAEREIPHKQLGKLIVATGVAEMAKLDMLLKNAKENGVDDLQMMEGSEAMEMEPELRCLKALLSPRTGIVDSHSFMLSLL >AMDW01032556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032556.1:82:360:1 gene:AMDW01032556.1_FG001 transcript:AMDW01032556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVFGDLMTVLTLCKGLPQAYNRDLQ >KN544479.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544479.1:1430:2369:1 gene:KN544479.1_FG001 transcript:KN544479.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLLVLLLASVLAAAVSASSSEESSSKPSILIPVADTPLGSYEGADGPIADDALEDMEAAPLGSPIGTTMTEPEPELPANAPPSSAVCSICRTWR >KN540494.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540494.1:38993:40780:-1 gene:KN540494.1_FG001 transcript:KN540494.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVSLVEPDDVSGAGAKVVTSPAAPSGEASSSKAAGYAPGKVTYTVMDDLTIAPSSTVAAVAALVALGVTDIRGVQEKTVEVGYDEGLAVLKASLQSKTVLTDVFLGARRTAHRRPPMLEFEDSSIFTDKRLGPHWDSLMPLMPELQKLSSVQIGNGKRSSFWLDMWSDEGILAEKFQPLFSHALDQSATVAQVCNGAFSEHFAPRLSSAAQAQVDELLMIRQNTCLNSEQDSRVARGTTRILRTKEIYQALSANNVCPNWLFVWRNRAPPKAQFFAWLLSKERLPTKANLCKKGIVQSALCPICLSAEETAVHLSFLCPFATAFWNQLEIPQTINSTSDLHALRPNGRLPTKHFKVFFLLCLWGLWNHRHDVVFRGEPPSLHRLVARCIEDATLWAERLICADRIVVESWKQILSSPLHTM >KN540494.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540494.1:38:445:1 gene:KN540494.1_FG002 transcript:KN540494.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKHRILSIQPVKLHQRLPLFLGGMEDMLELESYGDVQQKVNPGYEV >KN540494.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540494.1:25836:31593:1 gene:KN540494.1_FG003 transcript:KN540494.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVGCLQKFDEGCQELVGKERGDSWRDRPHEATVGVGRAPQRVNGGGPARHLFVAPRLVNRSDELMRSKAHYTGRLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRSLRLPPELAYGARGAGCRGWEPTSCVIPPNSTLLFDVETQGMLKSKLILEDDFGWNLPSMGSNSDQSNANDKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTSEVVHEEFDVVRLQVPYIPGFLAFREGPRHFHFL >KN540494.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540494.1:13957:17920:-1 gene:KN540494.1_FG004 transcript:KN540494.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEVVVSSEEPSDRSSTDEEPSDRSSTEEPSDSSSGGDSNSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFDIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >KN540494.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540494.1:43506:47679:1 gene:KN540494.1_FG005 transcript:KN540494.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPISNIINLLTKESMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLNTDTSFFTCQGKLNHYHGIRVACGYFTVMKGSICPKCGYAMENAMAHVKATGFVVGTARYTVKDDLSLVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKFYQASHLRNWKVPYKLQTFIAQKVCFAEAGNDVVEFLASLLCLPMSTIINLLTKERMVGSMGNVLDSMEKLEDKHVTTNQTSSVSSISLLAQCGVKDLTTLQERTVKIGKEEALEILLASLKSKIVLTDVFLPKKKESCKREVSA >KN540494.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540494.1:1980:9811:1 gene:KN540494.1_FG006 transcript:KN540494.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSAGLALITMKEALLWTDGRYFLQAEQQLTNCWKLMRMGEDPPVEVWIADNLSDEAVIGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLVDEIWKDRPPVNALPVFVQPVEYAGCSVTKKLKELREKLQHEKARGIIIAALDEVAWLYNIRGNDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENGIDIKDYNMVQSDASLLASGQLKGSAVNGSSYGENDMNENSKVWIDSNSCCLALYSKLDQDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDKQMQENYGASGYFTEAKGSQKKEHMNVKLTEVSVSDKLEGFRASKENTLWMTLYVLLLSRKHMYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHALDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKFNFGDKGYLAFEHITWTPYQTKLIDTTLLTPAEIEWVNAYHSDCRKNLQPYLNEQEKEWLRKATEPIAASC >KN540494.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540494.1:32783:37452:-1 gene:KN540494.1_FG007 transcript:KN540494.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSTKASSGLMSMTLLVDTKAQRVLYAEARKDVVDFLFSLLALPVASAVQLLGKDSMVGCVALISNIGNLYLGVEKLDAAYVQPGASKDALLRPVVLSPVATSNTSVLGLPAPPSRPAPPPPPPPSRQSKSFFRCHLSYEYDYDDYNGGIGCSGNYVTDASGVNCPSCRCEMATACRYVAPPLAAQKVEVDDDAAVAEAAGAKGLVQGIVTYTVMDDLTVAPMSSISSITLLNKFAVKDLGALKEQTVQLGYTEGLAILKASLQSKTVLTDVFIVDLELYELLRELSSYSNIVVSIMERILTQQRNTAFVRNHLTPDSLNACEIAYMTLRGYLTGDSFNCGAGDEPIGINAIELCANNLTVCTRASEQVEKPAGVEATGRREQHVVSTGKAP >AMDW01070265.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070265.1:29:398:-1 gene:AMDW01070265.1_FG001 transcript:AMDW01070265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRDGVKAFKNDPLKHIDLHHRVFSTRTIVSNHSAVAGDAQATPQVPVQLQPNEVEHLTAAYAPPPPMLSPTTPQYEQSNGSKGKGKRTASQGDTSGSSKRTRSTGAGEAIERLAALRMASMES >KN540777.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540777.1:8777:10515:1 gene:KN540777.1_FG001 transcript:KN540777.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVATHLDPEDNELKATMKRKEIQRSSMCMPIAVTPMLSPAFENVRNHEQRSGILLMRGVHHVVTRSNSLLVVASTKKSCSANGSIDPCLHASGVVSSIFSTLLHLKGASEEEKMGIKVKRIVMENPASAGGNGESGTLYAFVLDGEFTDIMPMDEDLPPLWQQIQDLHQGNDELMVQIQNLVEQNAENVDAVEPAVEIHQDSTSVGDFTPDISTEVCQACDNSPAQLFSPLLRANPTDSVWPLLNLSFTLSAAFKRPCPVDLVCSDSSSKRLKSDLVNKNVVRALPFSFPSEQVVAPLAPKKGTKPRAKVPISTEFLRRSPRFQGQGHKPHLDFDTPRKKTHVKPISSSFKLAISDAQGHIPPPTSVVRIQKVATEFCGLLPEEVAEGKLLNPLANEDA >KN539758.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539758.1:61498:72485:1 gene:KN539758.1_FG001 transcript:KN539758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SEC [Source:Projected from Arabidopsis thaliana (AT3G04240) UniProtKB/Swiss-Prot;Acc:Q9M8Y0] MAGDVERHLALAHQNYRSGKYKEALEHGNIVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPNFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYNCYIEALRIDPQFAIAWSNLAGLFMEAGDLDKALLYYKEAVKLKPSFADAYLNQGNVYKAMGMSQEAIISYQRAVQARPDYAMAYGNLATIYYEQGQLDIAIRCYNQAIICDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRVDPTAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRSAMFHDVEEIIRKQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNVEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARIINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPNCYSHIYSEKLVHLPHCYFVNDYKQKNRDCLDPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSSLADLFLDTMKEYEDRAVDLALNPAKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSGRHREPFKVIEDDNEFPYDR >KN539758.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539758.1:1964:2263:-1 gene:KN539758.1_FG002 transcript:KN539758.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPMRTFLNWYCCADYTAYTFNTRPAACQPCQTPQVYYLRQSRLDRRRNTTVTVYERHRVVPAKCGWRIRDPAALLDCVIVLKKPDPDLWKRVIKHTL >KN539758.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539758.1:32484:35647:-1 gene:KN539758.1_FG003 transcript:KN539758.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAEEAQLRLEMELAKKAKADMSGLQRSSSLGLDHAGLYPLPLPPGWRSAPTSPLRTPSSPPPLQFPPAWAADVAGTSGSAAPEDDGPARNAGADEATAGSAPKNEDPARAAGADDGPTRSDYAAMMRMALAKFQDDDAAADDEEAASAVMEQAMTGLMDLTYRKAKPPELPYEFATRWPIPIAHDGTLQAEVMRDPVILPSGYSVDQTYQNNQKRQNPWTNTSTFTDHSLPYSLSVPNHLLRDMISAWCLDHSDLSPSTTSDTPSTPLEPSEEEQIQRILKLFSGNSASQREALKLIQLLTKTTKGVQPCLAKYADIIPVLINLRRKYKSSWTQDLEEERLTVILNLTMHRQNREILAGQNELAGAIKKIVKKAGNRGKRTSSLAKVASIVAVLSEFDMFRKRMLDAGGMKMLRGMLKIKDTEVITEAATAILALYADGEGEQPARFHDVPQMLLECHMFTDGILLLLDRLPKSPRVFRNICDQALQLVNIVMAEDASGPVTRKGILSAISLIYEIVERDVGKMNAVKNMEDFIERLRQLSSDRLPMQKMLQVERIIRTLSDAFPAPTVRGRDAKNPVEAVF >KN539758.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539758.1:15204:15854:-1 gene:KN539758.1_FG004 transcript:KN539758.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLWPEDGGCLEWLRGKPPRSVLYVNFGSIVVVTREQLVELAWGLAGSGHNFLWVIRDDQAKAKGGNPIDMLPREFAEETKGRGYVTSWCPQEALLRDEAIGAFLTHCGWNSILDGICNGVPMLCYPLGADQQTNCRYARTEWRIGVEVGEDIRRESVARMVREVMGGERGEEMRQRAVEWKEKAAVAVAPGGTSWVNLERLISEVFCSRKDP >KN539758.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539758.1:78948:81177:-1 gene:KN539758.1_FG005 transcript:KN539758.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAEHALGKIRCLFIGLYVMLKGQDAEATTEEAKKSNHVVRKLEKRQQGRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGASA >KN539758.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539758.1:9003:10183:1 gene:KN539758.1_FG006 transcript:KN539758.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAGAFGTASACAFMAFLQFEQLIDRDLIPLKDAEQLSNGHLDSTLVDWVPGMPTDMRLRDFMTFIRTTDPDDAVVAMFASYMEHLRTTSSAIVINTFDELEGEVLAALSRSRVGVDVLPPDGGCLEWLQGKQPRSVLYVNFGSIIVLSREQLVELAWGLARSGHHLLWVIREGQAKGVDVAGGGDPIDMLPPEFAEETKGRGYVTRWCPQEALLRHDAIGAFLTHCGWNSMLDSICNGIPMMCFPMFADQPTNCRYARTEWLIGVEVGNSIEREEVARLVREVMEEEKGDEMRERAMEWKEKAAAAIAPGGTSWVNLERLVNDVFSP >KN539758.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539758.1:39644:46818:-1 gene:KN539758.1_FG007 transcript:KN539758.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPWQVVVRVRPPSRAEEEDEGAGKEVCVRKTGPGSVEIHGQGFTFDSVADEASTQLCASSICANLIAYEEYWVMFTEDIFQLVGRPLVENCLDGFNSSIFAYGQTGSGKTYTMWGPLSALSDDTVSKERGLTPRVFELLFSRIKEIYNEQITDLLDPVQRNLQIREDVGTSSVYVESLTKEFVFTINDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIRQLKEELQHVRSNGSLPGSNGSPYTGWNSQNSFLLKMSLSRPTAFPTIKDDSDEEMEIDDNDVEMPCNLENKSSFPHGDVETSRCKSNLAASIQKGLQVIESHRNSVTWRRSSLGLNTRLMDAHLSVPVCKVDVAIQTDPEESEPRQNTMALIPSNQPEATTDGKREISDCINLQLVTVDGSIPSNDLKQQEQVFKAVEKVLAGAIRREMLRDEQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEELMHAENLSLQDENKILHQKYENHPEVLSAKIELERIQEELERYRNFKDEKEVLLEEIQHLKNQLHYMLSSSTALCRPPVELVQAISTVSDRPTISALEEAGDDGHSIVDAAESRWITLTEELRVELEKSKSLSERLQLEVESEKQCSEELKGALEMAMQGHARILEQYCELQEKHASLLSMCRTINDGIEDVKKEAAKAGVRGAESKFINALARQVSVLRAEREKERRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEAASLAQKRAELAEQEMNKAFAEIDNLKRDHDQEVLVLNQRLAESKLPSNVVQSPEPSETGPARYDTGGSFGDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >AMDW01040079.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040079.1:179:662:1 gene:AMDW01040079.1_FG001 transcript:AMDW01040079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFH >KN542864.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542864.1:9498:9872:-1 gene:KN542864.1_FG001 transcript:KN542864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKDGFGCPPKILPTRLVAAGEPAEGANRWGRLRHGLLGHGGPYDEDEQKGGRIPNERGGFSSGGSMASSSRRCPDGIPSWAAILAVSAGKLCGGGGSDGDSACSASVGRNEDWRQPWKKSS >KN540223.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540223.1:9588:11157:-1 gene:KN540223.1_FG001 transcript:KN540223.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPPSSSSDKKSCQNVPPEKPSSTSFCHPQFPQHLLSHPAYAMNFPFPPFPHYPPYSQNFQYAVPPQYAPYSLPPPDGAMPSPYVPATVMPSKAPSDQGTPHSVTGPGQQDDDDAEPERTARRLAWTEEEDIRLISTWLINFKTDKYWDKVAAEYNSATPGARRREVKHLKNRWQRMINKVAHFNDCWYRVMAKYPSGQSEGMQQMDKTWLMYNKEAHVMYLEEAKHKFTFGHCWNAVWDQPKWKEYISSFSTKRTMQSESGGYMSSSEDSEDMQEGKCLVDPLDMLTKNHEDMTEVQPSVSNQKKQLELLTADASWPIEFQLGRHQLMTGTSKLNEHQQGMAVRDEMLEKESGPQDFEVLDNERVAREDEPKKETQPHQGFKARKVSRKRKGKASSSSCEVQEDIKHALYLQTMLNNDREKMSEVQLRLSKEQLELARIKQDEANVKKEITLYMKYTELLLADTSRFDEFQKAEYEKAVKHIGGILFSKDVN >KN540223.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540223.1:44333:45770:1 gene:KN540223.1_FG002 transcript:KN540223.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEGTLEVLSQSSPREASGKAFGAILPGGSLLRTKKKVGGIRPLGSEDEPTPPTLVENECPGTRSQAVQSVGTWEDTNAGSHFQWLLLILWMPGNIFALTKKAFHSCPQIALPEKDEEK >KN540223.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540223.1:29519:30715:-1 gene:KN540223.1_FG003 transcript:KN540223.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGADYSRLAAAEFSVGFLLNGGLRQRRVPSPSHWLGCYWFCSSKGCVGLLRFLRRAPFKKSGHLPNLQQNFLERWLMWLILAGMTSTSIARRPLSGMVAGFLCSSPCLFVLLRWRFRSSRFPCYGCGCVDLVKEFWRKSSVVRLMIQFTCRCFNLHIREAPSGFMHRHYLLQLALFQAQPDSSSKKLPSTLQAAQREGPDCFFIFFLGPFLQNDLKCNLPFLQGFMCKTGCTPVMCACCTATTHHVGAKNIAWAAIFLVHYRLRTIEFQIFNAGKNMSCRDA >KN540223.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540223.1:13893:14312:1 gene:KN540223.1_FG004 transcript:KN540223.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVAANLASPYPWRSTGLVKCWAVQVELNGGRGMSDSGKPEMSYGSPSTGDTLGKRTVSLAPEAIGEAGQGDDPFQHKMIRLIGFASDQVDKETILSYTMRYIREPVCRHPASSPITSLSVTDDASPPSPHEPICHR >KN539940.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539940.1:10822:10971:1 gene:KN539940.1_FG001 transcript:KN539940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGGAYGQQGHAGMTGAGTGVHGAEYGNAGQKKGFMDKIKEKLPGQH >KN539940.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539940.1:59308:59829:1 gene:KN539940.1_FG002 transcript:KN539940.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCFRQTHQGVMLFCLVLLVCSAIPAQTRDIGQTTNKIQRDMSTGVKNKISFGELYYKPDHCVQTPGGFYCCALDQLCYPTIGLCIPECTPSKFLQSLLVPMIR >KN539940.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539940.1:24131:24970:1 gene:KN539940.1_FG003 transcript:KN539940.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGGHASSRADEHGNPAVTTGHAPTGMGAGHIQEPAREDKKTDGVLRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKADHQQQQQEHTTTTTGGAYGPQGHDTKIATGAHGGTAATTADAGGEKKGIVDKIKEKLPGQH >KN539940.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539940.1:21043:21517:1 gene:KN539940.1_FG004 transcript:KN539940.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGHHAGAGGQFQPVKEEHKTGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNHQQQQMMGNTGGAYGQQGHAGMTGAGAHGAEYGNTGEKKGFMDKIKEKLPGQH >KN543378.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543378.1:6815:7087:1 gene:KN543378.1_FG001 transcript:KN543378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPALVLLPEWGSGHLMSMLESCKRVLLAGSGGGREFSITLLVMRPPTDEAGSEVEAHVRREAASGLDIRFHRLPAVHPPAHARAGAE >KN540888.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540888.1:2720:5930:1 gene:KN540888.1_FG001 transcript:KN540888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAMRPLLAKLGELIRNEYGLGKKVKKGLMSLETELEMMHKALDKVASVPLDQLDEQVRIWAGKVRELSYDMEDAIDSFMVRVDGCEPSSLKKNRVKKFLKKTTGLYRKGKDLHQIARAIEEAQELAKQLAELRQRYELDVHDVSAGAAIDPRVMALYKDETELIGIEQPRDELIERLFHREEGSKHRLRTISIVGFGGLGKTTLAKVVYDKIKVQFDCTAFVSVSRSPDVTKIFKKILYELERGRYANINEAERDQVQLIDELRRFLEDKRMKHLSDVDSQRLFYKRIFSHEDGCPHELVQVSRDILKKCGGVPLAIITIASLLSSPMRSKTNDKWDALLNSIGHGLAEGDSMEEMQKTCLLYLSIFPEDYEIPRDQLIWIWIAEGFVKCEKHETSLFDFGDSYFNELINRSMIQPIDINVEGKARACRVHDMVLDLILHVSNAKNFVTTFDGIQRKTSSQIKVRRLALQNSVVEPTMPQVTMSMSQVRSVIVFMPAINLMPPLSSFHVLRVLDIEGCELHNLRYVGNLYHLRYLRLRSKNYPLKSGELPVEIGNLQFLQTIDIIGLAVEELPWAFVQLRQLMCLRVGENTRLPGEMGNLASLEVLSTVFLDESPNFVKQLQSLTRLRELSMLAFEMDMALMETLVESLCKLQKIETLDVQALPPLLNLIGNSWVPPPYLRRFFAHGPFLAMPTWIKRDPSLLSNLSVLTIQFMELSQEDLQILGRLPALLSLELDLTDQDKLLIGADGFHCLKVFKIWIFSCDLMFQLGAMPRVENIRFSFSVRQAKDGGNADFDFGLGNLLSLEHIDVVVRCYEVTNGEVEEAEAALRHVAQVHPNHPTLKMGRVGAT >KN541866.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541866.1:772:11184:-1 gene:KN541866.1_FG001 transcript:KN541866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSVLIEWLFNQFQERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNSAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHHRILKQLPGSSLFEILEEDRITEEQHLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKTSDLLLASGGDKHGRVPEQVEDVKALVSSMLRFINPHSVEEEHCQIKTNPQGSSASTVTQVDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSVWLLKSSDDKTLLKWRLGDEDLLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQVGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKLNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSAGNYHGVSSMGSSLSSIRHILHVHISSLCLLKDALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLAPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADR >KN540888.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540888.1:30083:39424:1 gene:KN540888.1_FG002 transcript:KN540888.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASGALRPLLAKLGEVIKDEYGLEKKVKRDIKSLETELEMMYTVLHKVASVPWNQLDELDRLWSGKVRELSYDMEDAIDAFMVRVDKGHEPADAGSDLKNRVTKFLRKTTGLFRKGKDLHRIADAIEEAHELSKHLGELRRRYELEIHGVNTPAAIDPRVRALYKDVSELIGVEQPRDELIDKLLDGEEGSRQRLRTISIVGFGGLGKTTLAKAVYDKIKVQFDCTAIVSVSRSPDVVKIFKQMLYELDRVKYEKINEAVRDERQLVDELRQFLEDKRYLIIIDDIWDEKVWEFIKIAFPINHLGSRLITTTRKVSVCEACCSSTDDIVYRMKHLSDVDSQRLFCKRIFSHEDGCPHELQQVSRDILKKCGGVPLAIINIASLLSSKQIKTNDQWHALLNSIGRGLVEGESVEEMQSILSLSYDDLPSHMKTCLLYLSIFPEDYEIQKDRLIWRWIAEGFVKCFEFGESCFNELINRSMIQPINIDVEGNAEACRVHDMVLDLILHLSSRENFVTIFDDVQEKTSLQRKVRRLALQNSKVEATIPHVAMSMSQVRSITVFSPAINPMPPLGSFHVLRVLDIEDCEIHNLSSVGSLFHLRYLRLRAKNIFEKGAELPLEIGNLRFLQTLDTSGVKMEELPKTIVQLRRLTCLYVDQFTRLPDGIGNLTSLEALSRVSLYESPTSAKQLQSLTSLRELSLLTFGMDEALVETVVESLCKLHKIETLHVLAFGPLLNSIGRGWAPSPHLRKFTTFGGQFDAMPAWIKRDPLLMSNLSVLRISFMELPQNDLQILGRLPALLSLNLGVMEQDKLLIGVDDGFKCLKVFELIMSSSCGPMFQLGAMPRVENIQFRLAVRETKDDGNTDFDFGLGNLLSLEHIDVEIHCGDATKGEVEEVEAALRHAAHVHPNHPTLKMERYGQYQMRDHEGLTGD >KN540888.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540888.1:9822:19938:1 gene:KN540888.1_FG003 transcript:KN540888.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAGAMGPLLGKLGELLKDEFRLEKKVRKGIRSIETELTMKHAAIHKVASVPLDQLDEQVRIWAGKVRELSYDMEDVIDVFMVRVEKGPRPDADAGTNLKNRVTKFLKKTTSLFRKGKDLHQIASAIEEAQELVKQLAELRQRYELEMCGGNVVGGAIDPRVMALYKDVTELVGIEQPMDELIERLFDGDEGIKVQFDCTAFVSVSRNPDIIKIFKKMLYELDKGKYANINEVGRDEVQLIDELRRFLEGKRYLIIIDDIWDEKFWGFIKYAFTSNQLGSRLITTTRKISVSQACCSSSDDMSYKMKHLSDADSKRLFYKRIFLHENKCPHELEQVSRNILKKCGGVPLAIITIASLLSSTKRIKTSDQWHALLNSIGHGLAEGDSVEKMQRIMSFSYYDLPPHMKACLLYLSIFPEDYEIPRDQLIWRWIAEGFVQREKHETSHFELGESYFNELINRSSIEPIDIDMEGRA >KN541866.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541866.1:20729:22298:1 gene:KN541866.1_FG002 transcript:KN541866.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSFAVELLSSDSGDEQLIGAHILRGSIANRDSARRAVRKIGTSAATVERLVEMVSWKSPSKRRVRSLAAEVVLRLAGKRRNLIRVATIPGAIESISTLLETPATGGDHAGDDLAMNEMGLHIMKKLAREHGNAAKISSTRGVLSRIIHFTRTSRAALQIGAGGEGSLPAKTVLRSLQVVKNLSSTPGHTGEAIRREISDNVFVLGNIRKVLQHGGERHGKMQLTAIGVLADLAIDGDAKEKIGCTGDMIAHLLDMFAGSPESAPAVAYAAQAAAHIRLQAGEVVALLALESAANCDRILREAAVVERLVMTLHHPGLQITSSRILLNLCRYSRSDHFLQLSSLTAAVPIVFKAIMVEKSSLLE >KN541866.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541866.1:14851:18115:-1 gene:KN541866.1_FG003 transcript:KN541866.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEQLNCFVRVVASVERVGNALGTLAFTWATVVLLGGYPTSVTCVDFTYATALFFLEAARMFCPNRSEYQLFFRTRGALRPFSWNRVIVVICLNNVGLFLPSTKSKELSVSILMLVAATLPFPGVHKLKGGPLRNAISLLSPLVVMVLLIPCLWCKDTTPAPKWHLLLLARAFYTLLLVMVLLLTISKLQFPSIVRLVHRHVIRKSLSCHQVILFSCMYLAAVPLVFFAPFLLVMIVFALLTAMCGSLQIPAAVMRIVIGLICLLHQDYYGKGGHANNSDKTNLKPSLNVFYGMVLGQGILYIVARIMEFFSFFPRRSLARRAGFRGQQGVKSVDMYYTHAFEKCMNASILAPKKLNLTNFAMESLESDSRKKQLCGVRILYSLVNREPYDKQVLSKVTNSMKTVTTLIQMLGWTNQEDNQIRLLATKITAELARGLQIVTIPGAMNFISSLLDNQNKQQIQELIIQKDSGGEENCWILELWHQMTKKWSILEEDQWTETDVFPVLGLVTLERLATYDLVNCVEISRSMDLIPKITEFTSNNGERLCVNETSQKMLIDLSLKVLRRLASIGGETGITLRHKISEDPFLLGNLAEILEDSKSSQELRKLTIDILIKLAMDETTKREIGSIQVIVQMLMFAFTAQDDLPGAHSDCSMTMKAGQALSMLTLESADNCSAIMKEPGHRFFKDVARMLVHDNRHSRVELGDSDLVELSSVLPEVLGQVMDAEGKELEVLVGLSSQICRVSPKSFSKALEQGQKEARFVEKLINALNANMKPNPQFPGIRRVIVEQCIYMMELSSRYATYFRNHELMEALIRVEKTPSRAEKYRLFLGNTGLIEHRVNLSSLVDRAKQLMAVHSTQQP >AMDW01037220.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037220.1:157:687:-1 gene:AMDW01037220.1_FG001 transcript:AMDW01037220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ACPFAADTFGLVPHTDSDFLTVLCQDQVGGLHLMKDSRWVAVRPRPDALVVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSVAYFLCPSYDSLVGTCGEPSPYRAFTFGEYRKK >KN538945.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538945.1:122414:124888:-1 gene:KN538945.1_FG001 transcript:KN538945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTMRPRARPRLLPVRETPPFPTSKIVPQFFWSREKGNDDAASTGDSSDGLNQLHNESKSNISNSNYWRDVRANLVRREQLSTEILEKKAKRNCLVTSPLISSIDSLCFLKNHSLKQELLVDPSAPAEQKTSSGEPAHQLPQKWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKNVNPSFQDQATPFGDSPLFFGGPVDMSMFLVRASDNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIKSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKEDNQ >KN538945.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538945.1:73276:74163:-1 gene:KN538945.1_FG002 transcript:KN538945.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFGFPRGILPEGVTGYTLRPSDGEFAVYLGTGECEFEVDGGYRLTYQGRITGRVAGGSITGLRGVTMIMYAYILDKLYLKNASSQSSDTKR >KN538945.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538945.1:8121:8669:1 gene:KN538945.1_FG003 transcript:KN538945.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQLSGKLGRRTAIAGAFVAILLLGPFPTQPHNAARRQPVGEAKAREGGRGGQQVWEGGGGNRRARPSLPGLRAPEIDGDQETISSFANSPSLAGDAIPSIVGRLRGTTVVAAENGGAPCPIHCLPLRLARVPPRAFMEAETDLDFGRLVDEGEDHDEDTLQKLVPDIRCDSSPRPSWRCK >KN538945.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538945.1:177062:179041:-1 gene:KN538945.1_FG004 transcript:KN538945.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTNKPNKPSSKMQSRPESHSKAPKPPAPPKDDDESGDEYADEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >KN538945.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538945.1:1162:6578:1 gene:KN538945.1_FG005 transcript:KN538945.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding THPTENDCAQSNRFNSVIEKIERLYMGKNSSDEEDLDDAPDDDQYDTEDSFIDDNELDEYFEVDNFATKHNGYFVNKGKLEQIDFDSVQTVEPKKRRRKDSSSSYIENNKEFAPGSSSYMGTPLRDSRRSILQTGKSTSNGHKSGANGTFEYPYSAYRDKDAPGHLGLQQKKTSNGANQDLSKNMHHKEKYSAGQFSGLHASSNIYSTETMHLATKIHTEGSGTKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEANCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKAWALLLFSKRGIQKTYQEAAAKKILLVLYGDLRNMKEMVQSGLCAKQEKAGKLQQVKMEIYEMVKARLATKPKGAEHKVESIDGFQDPVTHDDRMALRGKSVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELANLWPHGDMDKVGIRDAISRSKERRNLLYRQRKVRNDQRMKRRRLAAAAKLRDSDPAAPQCAQSLQNMTSTHTMYPVVNNGNSQSSRSVDKVNETSVGAGSDANRSSTSMKKRKIDSEDRQVNTPKATAELHHHGIEIQKPAKRADEATKVSNLPQTLLAIPSSDSRPSSS >KN538945.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538945.1:183412:185838:1 gene:KN538945.1_FG006 transcript:KN538945.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVIRVHEENKRRGVERGANLNTHPLLHPTVETSESLHTTTTTVHRDPQRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLDEVVRRPTVLVGNSVGSLACVIAAADETNSTRLQQRSCPGACSTELLGRHEQQGHRRRLEDQIAPSSAMADRLPAEAKADSISAVRACKRQARFKLTIAYS >KN538945.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538945.1:169932:174965:1 gene:KN538945.1_FG007 transcript:KN538945.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMIISNLLHPVVQQVKNHRMHLRKFMDRRCTGQEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNTARFTVAVDSFIKSGHVPSLKEGNSQETIFNPPWRHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHSNLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPQ >KN538945.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538945.1:139390:140276:1 gene:KN538945.1_FG008 transcript:KN538945.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHAWCDGSTSPAAFLLAAAAICGQFATGLAGDTQTSKDDKKAQSKGHTGRTVLIVLLGIGAVVLLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >KN538945.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538945.1:63371:65963:-1 gene:KN538945.1_FG009 transcript:KN538945.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPGVVEALCNIARSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTLIDLANISMLGDYKKLGDTIVTVLQECMQQYANSRNSISTHTKEQIDELLSSKQSLKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRTAWLFREAALKHGGIHCEGEANDAFGQEADDSEWETASESDAENDATGEADDETEWKNDSQVSLPFRMIVGVAYVSRNNGTTCLSSVRVN >KN538945.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538945.1:13896:17530:1 gene:KN538945.1_FG010 transcript:KN538945.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCEAFMGLHSEGLVLGCLGLGCFCLDRFGHCLLDLNQVLALCRGVRAGVFSSKSKAFMAPEVAEVVHDKLQIKDHDFSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWSTDGSYDDWKSEVLTKVDASLVGTHMEPWSATIVSCLNYDPEGRPEIADVWKCINDSLMNSDIEALIPNVDLAARKSFMCLLLGELSSMCSNLGAVESDDTMHLSQDLDDKHSTPDDASSGGIINNEEVGTAGMDEPKCGLYNSSTLLAHRDCITGLAIGGGFLFSSSYDKTINVWSLQDFSHKQSLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWCVDPSLSNEPLNKWYESNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVACGILYSGSWDGSIRSWWLTDHSPLSILEDGTPGSITPVLSISTELDFVVASYENGCLKIWKDDVLVKSEKLQNGAIYAAKLNDKWLYAGGWDKAVNIQELLEDDSEVEIRDVATFTCDSIITSILYWDGRLIVGLSNREIKKNHGICSSTPFYFKKIDNLIVILDHLPL >KN538945.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538945.1:90403:92484:-1 gene:KN538945.1_FG011 transcript:KN538945.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLISYLKEATS >KN538945.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538945.1:131500:135811:-1 gene:KN538945.1_FG012 transcript:KN538945.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPVLMLLVVVVMSWHWGGAAAQANYLPYGIDFAGGPTGRFSNGYTMVDEIENLTGINEDFPNQVESKAHNNSYNGPLEMGVVTELLGLPLLPSHNDATGDAALHGVNYASAAAGILDNTGQNFVGRSPFNQQIKNFEATLQQISGKLGGGGAGKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYTKQLTRLYNLGARRFVIAGVGSMACIPNMRARNPANMCSPDVDDLIIPFNSKVKSMVNTLNVNLPRAKFIFVDTYAMISEVLRNPWSYAR >KN538945.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538945.1:149708:153495:1 gene:KN538945.1_FG013 transcript:KN538945.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEQMGVLQSPSMGWNVAPGVAGSPVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVSYYQDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >KN538945.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538945.1:166608:167033:1 gene:KN538945.1_FG014 transcript:KN538945.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAIPSPSHYPMVDEGSPLVMVELPSPSGVGRRAATTTYHHRSHRFKTVVLGNEGFEDLVEKLRLRYDRGWLATVTGILATALSLLCSHGQPPPTLAYVPMSSVAWSGRQCSPWEYATIVFLINTRQNADQMLVFDLKR >KN538945.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538945.1:75986:84162:-1 gene:KN538945.1_FG015 transcript:KN538945.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHAGVWLVGWFVGGLLTYQPTDIRMVGRWIALSQSYWNCHKAYHPECVDKDDSFLNSDEQFICEFVPVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWDIIRDKEGLTLDNMREAYTLLRSGLNCNELSDMEKLPNSEQSSDDDFLGNSDDDDEPVYPSVSNGTSNKVKTITKEGKTKKQVYMGWGSIELIGFMSSIGKDTSKPLDQFGAAEVVKQYIRQNDLLQKDKKKQVICDGKLWSLFRKSKLKYNKIYSLLEKHIAENITPEDESLDSSEDNTDSIMEKKSRIMNSELSTPEEVSERYKRCFASLVRENIKLIYLRRTLVIDLLKQPDTFECKVIGCFVRVKNDPREYSRHKPQKLYQLGQVTGIRKSSEEYKIRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRSLSRKEPSKRQTVSSELDVFIQAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKGTNSNKDRVDCLKSCSGEKLKGNKRDRPLCLESFLEEKPEGSKGDADAPGMCLEKVITKAIEVNPPGDLPRSHVQNHGTKATAAVNPGQVIDIDDGEDDLHGKSGDMIVDLDSDGSDEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTS >KN538945.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538945.1:49251:49689:1 gene:KN538945.1_FG016 transcript:KN538945.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLASAALFSPLLFASLSSRCARLHDAIVCDARRDVWLGIVEFCRVQGMLALCASLSTYLEISTYTVGLGGLLDSRVFHTSIDMNHPSRRYTTATLSGALGHISTASWKFGLKETRCTN >KN538945.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538945.1:93923:97960:-1 gene:KN538945.1_FG017 transcript:KN538945.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGTPQVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLDYSGDGSDSSQTGEDVPTADQASPSGSGTLLDSMVQTGVQTIFENDLECYDDNDKEMLDKDISPSVGGTWQIEQEFRDSASGGNHPDRMVDVLQQNTNCGPDDLGRPMESMMTPKRTVKKDSLVGQAAKTMPKTVNMTSSNIPGHAVVNKGTDSGKSSVVNRRAKPETIQQRLKAVTGNIVGIVGRSKPVVKEVPGIMGVRRPSSPALQRPSTRERRPVTRDSSRKAPEVATLCRPSTAERRPATREFAPKQANTVVQCRPSTPNRRPMTRELAPVHSSIATPRRPSTAERRPITRGMAPMHPSIATPVRPSTAERCPTTKQMAQKHVGMATPSRPSTAERRPITREAARKNTDVAILHRPSTAERRPITRETAQKHANVVSLHRPSTAERRPVAREIAPKHADVTLTPARRPSTSERRPVTRETALRHSNFTGSCWPLTPQRHISRGSAPIHAEVSTTPRRPSTGERRPITKESNIKLDEKTPIKLRGMLANPKGAMATVKAITQKLVKSSKPEMKSCAKERTELQAVGKHKASSVNLPPREMITSNVRTNRVPESFRKPNKGIQETVRSQISSSKTATPVQTRSIKTRAPNPPPPPPPPRRPSQISSKTNTNNLSVGGRKPKASTPHWH >KN538945.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538945.1:52868:53340:-1 gene:KN538945.1_FG018 transcript:KN538945.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPERAMGGEKEWYFFSPRDRKYPNGQRPNRAAGTGYWKATGADKPVGSPRAVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSARKKNTLRVRH >KN542400.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542400.1:7879:8772:1 gene:KN542400.1_FG001 transcript:KN542400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGRAISTNVSRVLVCLEEVGADYELVTVDFLAGEQNSPEHVERNPFGKIPALQDGDLVLFESRAIAKYILRKYKSSEVDLLRESDIGEAALVDVWTEVEAHQYYPALSPVVFECIIYPIMRGVPTNQQVVDESLEKLKKVLKTYEARLSKSRYLAGDFLSFADLNHFPFTYYFMATPYASLFDAYPHVKAWWEGLMSRPSIKKISANMPTKF >KN542400.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542400.1:13141:15209:1 gene:KN542400.1_FG002 transcript:KN542400.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPLLTPYKMGQLNLAHRIVHAPVSRFRSYGSMPQPHNLLYYAQRATPGALLIAEASAVSYAALGRSKDDAANSPIHRQRPGPPGFLFGTNLTDYNSATDGVKATESGVMDRNNLSKWWFMSRLNESGNAGGAEESHHTLPSSLDAPGLWNQEQVEAWRPIVDAVHAKGALFFCQIWHNGRVFSTDNPVTPQVSYFGNTDDLAPAAPQRLETGEIVQIVEDFRVAARNAIKAVLRFDEGFDGVEIHAANGHLLHQFMKASVNDRTDEYGGSVETRCRITVDAMSAVAEEIGADRVGVRLSPFADHCHEEGTDPEEVALHLIGVMNGLGVLYCHVIEPRCVSSSEEHRARRNVPHRLLPFRRAFHGTFIVNGGYDREEGDKAVGDGYADLVSYGRLFLANPDLPERFRQNAALNTYDRSTFYTPDPVVGYTDYPFLQQPLAV >AMDW01011358.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011358.1:3:233:1 gene:AMDW01011358.1_FG001 transcript:AMDW01011358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGFGPALPSGGALPERDVYDTDQYMLALIYHTRMRRYECLTGESMARKKTRDAQSKLAPPPPDLSDTTLAAIGAD >AMDW01005607.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005607.1:11:190:-1 gene:AMDW01005607.1_FG001 transcript:AMDW01005607.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAWWLLSTVPHICDKKHLPEGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGALN >AMDW01035704.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035704.1:42:293:1 gene:AMDW01035704.1_FG001 transcript:AMDW01035704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEARTITSSCPKTQGYVNLENAMYDKAGKVVNKSQIKNFWDHCRRRFQTWTWLESMATGLGRDPFTGRILASPEWWAQMEG >KN539607.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539607.1:93619:94101:1 gene:KN539607.1_FG001 transcript:KN539607.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSPSDSRHVSHKEIRDETTPLLPIKEEEEGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMLVRCSHEGKITSYGWLMGETFGQWGRIALQASVVINNIGMMIVYMIIVGMPLKTTPFCYPTSEQQPYC >KN539607.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539607.1:86089:86598:1 gene:KN539607.1_FG002 transcript:KN539607.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDAISNIAYHPSMDFTSFFLPQTDAYSHDLSALLDMAVVDPYISCNGSSITMIPVTEDEANAQPKNHGNDERKKRRLVSNRESARRSRVRKQKRLDELSSQVSELRDTNQRILVELNHMISKHARIVRENSQLREEASDLQRKLSEMEMEDAEVAAAAAAPRTLEVA >KN539607.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539607.1:61582:61785:-1 gene:KN539607.1_FG003 transcript:KN539607.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTASPEATTKSCEANTGSMVDVEASRSGLARVAQLARSCKGGDASMKRATTWASRRWGSVAGAAG >KN539607.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539607.1:3857:8280:1 gene:KN539607.1_FG004 transcript:KN539607.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIECERWAHKGVNITYQIRENRKGYKAGALKEGMKHGYVRECEYVAIFDADFQPDPDFLRRTIPFLVHNSDIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRVSAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIKVTIWKKIHVIYNFFLIRKIIAHIVTFTFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRTKATLIGLLEAGRANEWVVTEKLGNALKMKSSSKSSAKKSFMRVWDSVRSLQEEKTRAIGVIWARRR >KN539607.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539607.1:38229:40645:1 gene:KN539607.1_FG005 transcript:KN539607.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGELQKLAVENDRLAMSHDSLRKELAAAQQEAQRLQAQGQAARAAEEQEARGILDKVAKMEADLKARDPVKAELQQAHAEAQGLVVARQQLAADTQKLSKDLQRNLGEAQQLPALVAERDAARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAELMNTANIDRGGMLYNTNIAQKDDGAPSLPVGQIAYDSGYGAAQGRTPPAGLGDSLSGNPAGTAPRTGFDPSRGNMYDASRIASFSSSKAGGHDASRGAAGYNSLKGAGYDPSKAPALGGQATAAAAHGSSADYYGSNQATPPSYAWGQAASAYGSAQVPQSHASGPPVQSTSYSATTARNFGSAQALPSYAHAQEQPSYGHAQLPSSYGLAQASFPFAPAQGVSPYGSGAQPPQYGAGQAATNPGSAYQAPHGRK >KN539607.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539607.1:87752:89924:-1 gene:KN539607.1_FG006 transcript:KN539607.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGDDSSFFGDDGDEFCYGPFDVEDLCYGASDVDDDWEEFCDGPFGGGGGGGDDGGVEEFCVSGFSVRDLSDASSSGDPHDDDPLPQTLARSPSSRSLDSDGDLSATLHQIVSAMRLSEDEEEEEEEEELLVLGRGHGVGIGDGGDEDGFMVSAFDLDTAMAIGGILEDIHEVMGADEVREEVEEEEAGNGGGMMPNGFEFGPPPRVISGTAAGFRMMVDADDTDSDDFQFVEVLGGGQAGAVMSTRPSRPSQLVVESLPEATLSEEEGSRGCAVCKDCFASGQIVALLPCKHYFHGDCIWPWLAIRTTCPVCRHHVRTDDDDYEQRMARRVIVLAAVEHQGAPAQDCGDSATMGAEGSSECVVENGPEKTSS >KN539607.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539607.1:9034:22418:-1 gene:KN539607.1_FG007 transcript:KN539607.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSQTIVFGSITGLVKEKEDSYLEFSWWFREDFGGVNGLLLCSSGALHLGTNAADGAHLTEFAVQLPHFEGLHLKNTALYDGNQFMPAFGLAHCLSMRQQFTSMDRDIMADGNIVQRSIHLRRKRRDLPQTSSLPKFAENHELGSANIFMDPSFYTKATEPSSTENKSSLKPPKFLGENSSRRPHHRTVGLPVQYSDFFITSLGEIDKRPSYHNSYQIWPVGFTSYWHDRITGSLFECEVCDGGNFGPLFKVRRISCSVFPLPDASTILSQNSTRKAETIETNENNTLLEDTANDTDDNILMLLSDSSETNQDFLSCFSNDMESKMTSLGCNDLHSSNRSVPTVPSHSGTDKIGEFTFEGTSPSSVWRMISCAMMEACEKMYKEHGHLVFFCTHGTERHSFDSGSGFQDFNGPYTPLTRFCSSYGPSIPRIVEKENDVEPTYSLLKEWLYQDRIGFDLEFVQEIVESLPRSRACSNYQFLCNRAEFVSSLTVASGSLRVVHKNGQSNGDVMSYGRHGSVVTGLQDHTQPSGFRIRELPLGRPISNKLPPELAGEVLQIWEFLGRFSEIIGLKEIPSYEQLEDELIDPWPICADQKEKLSNDIHRDHTSPINSPANVSTSYSNGESGLTSNEEIVSLFIPVETSSMKKAAQDKLAAQTLGRCSGVVLPGVHLTLFRVLFGELLSKVAIFVDPNIDPKESKTRRGRKKDTENLISAKEFKFDMLTANKLTWPELARRYILAISSISGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVAGMEKDALLLVEAENLICKSSVNEESKVFMMDKKDTDMVDSPEVSATDNKTLPDWAKSLEPVRKLPTNVGTRIRKCVYESLERKPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLTEACRVKVPHNPEKPRKERNAISISEAILKKCRIALRSAISSDESKLFGNLLGTTLVNSNENEDEGTLGFPGMVSRPLDFRTIDIRLAMGAYYGSWEAFLEDVQEVIRNLHTAFGDRPDVLEMVVALSQSFESLYKTEVLDLVEKFDKYLSDKNAGSEMHEELHDILTAANSLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPEGNWYCPSCMLGQTKAHHDQGVQDVKRQQKKFVGEEAHAFQEELNKLATAMEEKEYWDLNMQERIYLLKFLCDEMLNTALIREHLDQCSDKLGDLQQKFRASNFELKDLKYKEEMRTSYARQSRSSKTEQHFNNSSGPVENQQQCTPTALDHLEEAEQGNVGVNLNNPADGVPDGQLNVGKPYKSDKDISSASMVEERKSSGLSEQPSGMAIDQIDGDAIDEGSQSCEKRSLGAKSSTCDNLNLKDTEFSTPGRELPDENASTSFQDNLEASSTKSIELDADNNEMDTLSDDISKLQDSISLLESQINMASSRRECLGKDSIGRLYWVIGRPGKRPWLVADGSMLIPKERDISMVNSYPPSAFDCKGWNSASIFIYESDEEIQCLLDWLRDYDPREKELKDSILQWQRHFCHQSSSPLVDPPISGPKGEQLMELPNTKAAVILEQKYGLQLDQDTSDLPKKRGKKIKLSSEDRTYRCDCLEPVWPSRYHCLTCHETYLISTEFEGHNDGKCSKIHQSPDESRENDEPKVKVTKSDMKEKDSLERSSVIEPSSDRKLMQCPYDFEEICRKFVTNDSNKETVKQIGLNGSNGVPSFVPSPAFFLEPAIVQSQNRKDDELKDWTSSLEECNAMSAQKLVQEVSKSGQSCPGNVGDEKVQKSKKPTPDNTSGEEAHSTTGKPTRLLAVNGGLVPESSLRPLVGRNSHILKQQKINLLDIEAALPEEALRASKCQQIRRRSWRAFVKDAESISQMVLAANLLEGMIKAEFLKNDWWYWSSFTAAMKTSTVSSLALRVYTLDDCIIYSKDQVPSVEPADNTRSGNRGGALWGGCIDHLFDVSSKFATSDRNVDSSCDRYNFDCN >KN542798.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542798.1:89:772:-1 gene:KN542798.1_FG001 transcript:KN542798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCSDDGLLQKYPVHTFSLGKAEDPTELVTIAKESKGTFSSISGNSKIMEAFAICLAGLKSVIAVNARVKISPKESANTSNVKVYKPVGINDTDFRMERDNEAVALGVLYAGEVKDLIVGIEFTVEDVKGFRSIDVLTATVEYYKDVQQEQLPKSTAKCTMQVHFCGTSFASGCTNDRTPFPMVVQQMARFDVLLLMAEIRGKLDAVKKKKEDGIMLPYEAWRMLKS >KN539607.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539607.1:78623:82026:1 gene:KN539607.1_FG008 transcript:KN539607.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLSASAEALALQVDLLQLPPEIPAPGAPALSVVLDRLFAHWLTLPDTVALFYFQDGRPPTYELKKQCIAIVDQLFAGHSNGLRIQEFRLVTRELCKLPSFFTTVLFGKIDKENTGFVTRDAFIDFWLNSNMVTMDVATQVFIILKQKNQDFLTKHCLPAETVVYRIFYCLSRIGGGHLTLRELKRGNLIDALRHADDEEDINKVLKYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSEPSQEYWFRCIDVDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENETFFTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >KN542798.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542798.1:4531:5686:-1 gene:KN542798.1_FG002 transcript:KN542798.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKVKVSAFLKFPFQVIPKNRMEIIKELAVVRIEAPTTMQSHIPINLVVVLDISSNIGAPSSEKSSMLDALKKSIKFIIKHLDNRDCLTILTFGERVKISIEDWASAEKKVDKLVVGQYTWPRSGLEEAVQLVIRQRK >KN546077.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546077.1:11:598:-1 gene:KN546077.1_FG001 transcript:KN546077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVVERLKEFSEEDVLVTGWSTIRVKHNAYSVPSRLIGELVRVHVYDDRLEVRYGDQHQLTVGRLLGRFGHCINYRHVIWSLVQKPWAFAHYRYREELFPTLAFRKAYDALTERHTERKADLEYLRILHLAASTMESDVERALEGLLAAGTLESAEQVKTAVSPGRAAVPELEVQPVDLHQYDTLLGGEEVAS >KN540386.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540386.1:95:1588:1 gene:KN540386.1_FG001 transcript:KN540386.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGMRGIQDLNKLHSLRFGIKLNFEITWFNQLSNILYLNLKGCKLVKLPESMGELNSLRYLDISGSGVQELPEKFWCLYSLQVVDASRSSLKAISPDVIKLINLRRLALPVGCSPKLSQISRLGNLSRLRNLKRFTVGTGDGRKIGELRGINQLSETLTISSICNVWNEEEAVEASLVEKRYLQKLVLQWRNKGTREVKSSENGVLEALRPPPRIEHLDIQGFGGDIFSPSWFRTESLLTLTTLYLLHCDVLKNLSIPSFPSLKQLWLLASIRLKTVAIIGGSTGGERMQHAGSSSSSSNGTACLRGLTYIKVYRCEDLQNLDRCLSPEYLPSIECIEIDGSSDLGLSMPVDSFVGFKHLQELKIWYCKLVCSQGMVLPPSLRRFSIVCGRKVDFPACLQSLTSLNVLHLSSCDGMESIPLGTNLQVKCLLLERCSELSSIGGSHVLSSMQFVNISICPKMHEVEQPFKKCLLTNEEKKEMLKFTDHFYGYNIADF >KN540386.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540386.1:37224:39417:1 gene:KN540386.1_FG002 transcript:KN540386.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLRHQDHVFCGRPQQHTARGTLYGCRDVAFSPYGERWRRLRRVAVVHLLSARRVDSFRALREEEVASAAFGKKLGGVDPAKVRETIGELADLLETIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLEMALRDHEQSRGDDDDGGGGEARDLMDDQLSMANGGDDHGYKLDRIDVKGLILDMFIAGTDTIYKSIEWTMAELIKNPAEMAKVQSEMTLLRAAMKEAMRLHPPLPLLIPREAIQGTVLHGHRVAAGTRVMINAWAIGRDEAAWEGAAEFRPGSNFCNKVREIT >KN540256.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540256.1:38008:39416:-1 gene:KN540256.1_FG001 transcript:KN540256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGMLGRYERWNPVHPTVGTFWGIGLGLGCGVGWGPGFGPEAIGYVGAGCGVGFSVGVTLAGVGVGLPQHGLIRNQYHSGFASNIPFESARFYTLTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMECIKAFKDQHWPP >KN540386.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540386.1:30426:34597:-1 gene:KN540386.1_FG003 transcript:KN540386.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT1G25580) UniProtKB/Swiss-Prot;Acc:Q6NQK2] MDAVQPSNASINRVHLVYVLVRRLALVGSLVGRGGLRLPAGGAGAAMTGCIVLFMRPGVRTASYIRGTVCSCLRTSWIIDSHRIASKIKNASGSVDASKHKWVSNPTKACPRCNHIIDNSDVVHQWPGLPRGVKFDPTDQELLWHLLAKHGKVGAKAHPFIDEFIPTVEEDDGICYTHPQKLPGVKQDGSVSHFFHRTFKAYNTGIRKRRKINTGDLADVRWHKTGKTKPVVVDGKHLGCKKIMVLYMSTMKGGKPEKTNWVMHQYHLGTGEDEVEGQYVVSKLLFQQQFKPGEKNAQDLTSADALESIVAEDLPNIPPLPLEEHVFTNQELEVLEKSETITDQGKETSEINNEDNAVEDVAHMATEKPEDGDNPSSQDPKWWEGESQFLLDSQQLAENLAICDEFLQSQSQTSCGGGDDETDKIKPRLAVYAQLPVEDLKKDLEECQRLDPSDGTNLELENASEFRLSQIEFSQDSFTTAWAGGKVID >KN540386.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540386.1:12797:22697:-1 gene:KN540386.1_FG004 transcript:KN540386.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESWYYTGDTQGIALILTNETARKTKITVISWMKVSDEMDAGNSSVPISQFDDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRRTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSSGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNLGGVNNANFLWVNHGEGELWGSITGTDDKSYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPANSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAETPDCKYFSCLLAASLFKLHSKSASNSTATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKVKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYSEHCVCLNGLALLKKLQGYLFVPPMYEGTHCPAHGNMQDDESELNNRDTFLNVIISSFMMNLCFWIRYKSSVCVLLHFDRNSVPSSPIQGVTLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAVEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNR >KN540256.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540256.1:6363:17224:1 gene:KN540256.1_FG002 transcript:KN540256.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDGELDPNREKPYVAAGGGEDRLSALPDDILVHILLRVGTSGAARTSVLSRRWRHLWYLLPELDFGPEADGHSIRAALAADESPSLRRLLVRAADVGPRRIAEWLPVAARRLAGDLFLVNSMKDSELIEQGTFLELPCFGSATSLSLELGFLGLAVPPSGVFARLTNLSICGVHFHGTCELGDAVSSPQFPSLKKLTVLSAHRMNNFNIHSNSLVEIVLQGIGLQQLNVVAPALELLDVNCHFSDGSAQSQPVANISAPKLEILGWSTTNLDQSSVNFGKMSYLKGLFIAYFYVYGEEDRNNDCLRLLQHFQFDAIPRLSILLTYFPTINGDIYLMEDMTVLPDIVFLNLIFSSSGHCIGPSLFHVLRMTTGVRRLKLELHNHYKREWFDTDICSKCVTYASPIGNTLGQHNKDLEDDTNWLCVIDIRILRTCLNLYSVFTELSHRAEFCGSDCVCDLPPNWTSEELVLNSLREVQITNLRGTENEFAVVERLFGWAAVLKQMTINFHNSITVLPVVGLVLSSSKEQKVCDQVMLPMRTRRLQEAKAAFDTSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQEKEQIDVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGLWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAYARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLSGKYTPEKPPTGPRANTYTPEFLTKLQPLMNRIKEIGESYGKNPTQVSLNWLTCQGNVVPIPGAKNAGQAQEFAGALGWSLTGDEVEELRKLKAAKGAFDASVDCGITFFDTAEVYGAGISGAINSESLLGRPGIWGNEGYLDGLGDAYEQGLVKAVGVSNYSGCNLTSRVTYDARLRDAYERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGVLTGKYTPNNPPTGPRGRIYTPEFLTKLQPLINRIKEIGGSYEKTPTQRTNFDDIVVVLNWLICQGNVVPIPGAKNAEQAREFAGALGWSLTDQEVEELRSMAREIKPVIGFPVEKL >KN540386.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540386.1:51008:51444:1 gene:KN540386.1_FG005 transcript:KN540386.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKIPFLLLAVLLLLSIAFPSEVMAGGRGRGGGGGGGVAGGGNLRPWECSPKCAGRCSNTQYKKACLTFCNKCCAKCLCVPPGTYGNKGACPCYNNWKTKEGGPKCP >AMDW01040717.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040717.1:97:1854:-1 gene:AMDW01040717.1_FG001 transcript:AMDW01040717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDLIRNENVAIVIGPQSTLQAEFVTYLANKTKVPVITFSATGDAVTRYHVPYFIRACSKDSYQVASIAAFVKVYEWRNVVLVYEDNNYGVGILPSITDALQGVGVNVINRSAFPAYSPNNHIDVELYKLMTMQTRVFIVHMLPARASRLFARAKALGMMTKGYVWIVTDSIGIVLDVLPQHSIESMEGIVGFRPYIADSTRITDFSSRFTTLFRTKYHPNTDIRMAKPTIFQLWAYDVAWAVATATEKVHRTRSLNPTFHPPGNIGKNLVDDLPALPAGPELLNSILQGEFDGLAGQFRLIDRHLQVPTYEIVNVIGEKTRVIGFYSPDSGLTMSVNSRIIHGDAKFSTSSSELKNIIWPGDSTTVPKGWDFPVNAKILQIGVPVRRDFKTFVNVETNPNTNRSTVSGYSIDMFEAAVKKLPYALRYEYIPYDCAVSYDQLVSQVFFKKFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLSKSDDEPTTWIFLQPLAKDLWIATMIFIFFTGLVVWVIERPINRDFQGSKWKQCITAFYFAFSTLTFSH >KN540256.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540256.1:40736:45767:-1 gene:KN540256.1_FG003 transcript:KN540256.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPCCAGATEAAGVPWLAEPPGVQVHTGVVVQPGGGGRRRGGRRAGGREAGDLAADRGEARRTGHHQALPHPGVDSRMKCLAPDEMLAFLGLESLELGQACCGSIMVDSITPPSSVETERAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCRFTELPKIAVADEASDMFNVVRDTRSRLAGSPRTGGSSFGRGGYGGFGEGRSRGFGDFDGFGSSPDRGGRSRDAGSRYGSGFGDFRRPSNAFGRSTSKQPDGFGFGDFEEGNFSRSGNSNYRRSRSFDDSGSTRYSRRPNGFGTSDFGRSGGFDDSN >KN540256.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540256.1:57524:58587:-1 gene:KN540256.1_FG004 transcript:KN540256.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding PNVSIPDDTSTSSANGDKRRANRIRLVDVSVRVTELEQLVRSLEKRLEDVEAQWDANLRIAELRADMAEKRADQLEKLLEKTVEGMERMVNNKMEQTIAWVLQNNCQQEELAHSRHSSLLLHCTRLAQEMAATKDELHSVRRNYRDDILTSKFTVSITAWRIVLVLLGGFGGVTAFYVPYVIKDIKLETCEEVAKRISEMLQGIADAIKELREEVEANKVPWWRRLFKK >KN540256.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540256.1:5420:5647:1 gene:KN540256.1_FG005 transcript:KN540256.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELELLRKHGATFINYSVTTMGPELYYHRCRAPPPSAPSSIVAHLISLRERGRREMEGEGDKSDISHRYSAKD >KN540256.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540256.1:55318:57184:1 gene:KN540256.1_FG006 transcript:KN540256.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKDDAADRLTYEIFSILESKFLFGYGGGGGETKSLQCAPPVSRGNRVDQAVAMAFGQHRTSNYVRIQGMGVARRRGGGVACGGETAEKAVWVAEAMLQQRNVEAVMFQGRRLAGETNAEKLERFALDHVLG >KN540256.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540256.1:3275:3631:1 gene:KN540256.1_FG007 transcript:KN540256.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding METPSATAWTIAGVLVGDEAAGDGVVHVERVGEGGGEVFDEVESGGRWPEEEALLDVVGGEEGHVPGGERDALPRPWRRWLHRARRHHAHRRRWRRRRRRRRHPEEIASVGCSSCSIK >KN540256.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540256.1:23249:24984:1 gene:KN540256.1_FG008 transcript:KN540256.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHHLQQEKKGSHQRKVESIARTGASLQQAVSQTDKASILDEAIEYLKSLQMQVQIMWMTTGIAPMMFPGAHQLMPPMGMGLNTACMPGAQGLNQLQRTTHYMNNSLPNQMPQIPSPVMSAPNVPNDMQSDNRIRGPRNPFLHCNDTLTATAQVDYYVKFHHYRSFRLQFLFILQYQFFSGASDQHASN >KN540256.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540256.1:27517:30027:1 gene:KN540256.1_FG009 transcript:KN540256.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLYHENSIVIEYSDANMEIFQSIQKHLQVNMEFVMKPVKPGEPLALVIRNSEWTVINSILNLLKSFCQVQVQNYRYCVSTDRGKH >KN544909.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544909.1:1115:2370:-1 gene:KN544909.1_FG001 transcript:KN544909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVTLATRIEEAMKKNESLEALTTNNVRRVAVNPQYASTKGRPSALKVVNQKGRRGVALQTKSSRVVKDTTSSRRRSPIRSQPRSKSTSSGKAKPVRSAKPSKSSSPSPKVAKSRPRPEGRKGDALNKLGSKLSVVGFRGRSSGKSAQAS >KN538961.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538961.1:92530:93776:-1 gene:KN538961.1_FG001 transcript:KN538961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSKKDARKLKKPHRSSGDLSLSRIDESDFADFLEYIVEEDCARAARKLIGAYSLIEHEGYNTILLSQCQNRVLTSIGRDIAAHVYSEILEAVEKKKRKRKNQSTRAPSSSEKYADDSKSDDDDDSSESSLENTEQSASSILPHDALKFPEFQL >KN538961.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538961.1:150425:154671:1 gene:KN538961.1_FG002 transcript:KN538961.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHQSTARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPECIDFVNRFEGGIEGIRSRNHEKVIEMGTMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKALVASDEHPKQASGSNHIFLNRFGFGAGNDGLMNIAANQHSVGRYVVADYLDVDRRDSQRCGVI >KN538961.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538961.1:20589:25931:-1 gene:KN538961.1_FG003 transcript:KN538961.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRDEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQIADQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKYIYACDIVLLYLLQNAFNWDSSLITILLYGSGRKKQVKFYGNYLTCLVRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILLITPIAVACSSTANFSVKGLNIAQPTTKLKIMIKAAFHFPLLLLKKMSHIRATSKTPKDCNEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFAAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIQMVQNKIDSRLPKAHVSVTISSTTSTTDVSEKHASRSKTTDQTTFDVEKGQPISAKPPLSCRQCLPKLAYRHHSSRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >KN538961.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538961.1:108330:109135:1 gene:KN538961.1_FG004 transcript:KN538961.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLGAGQFINAVGDYARGLDRPRVSPVWAREAIPSPPKLPPGPPPELKMFQLRHVTADLSLDSINKAKSAYFAATGHRCSTFDGAIAKTEDPYELSFTYDSLFVSDWTRLGFLEADYGWGPPSHVIPFAYYPFMAVAIIGAPPVPKTGARIMTQCVEDEHLPAFKEEIKAFDK >AMDW01040453.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040453.1:34:1207:-1 gene:AMDW01040453.1_FG001 transcript:AMDW01040453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGPSSFVKSLPFPKSPGG >KN538961.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538961.1:134971:140578:1 gene:KN538961.1_FG005 transcript:KN538961.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASASSASVAAADSSPGNGKGGGGATARGERAASAASVSAWVPFHFHKLFAFADKTDVALMALGTLGAVVNGVALPFMTVLFGNLIDAVNRVSMVTCWMIPGERQAARIRNLCLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSTTGMKPDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIKLQEANRKDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDNLCDGMPQDVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLYGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGEATESEIIEAAKLANAHKFISSSHQGYDTTVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >KN538961.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538961.1:18286:19476:1 gene:KN538961.1_FG006 transcript:KN538961.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDAEHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYQNDNGAMVPLRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILRIVTENFDFRPGMIIINLDLMRGGNGRYLKTAAYGHFGREDPDFTWEVVKPLKWEEPSA >KN538961.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538961.1:156656:159235:1 gene:KN538961.1_FG007 transcript:KN538961.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNHLLLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTASPMKLCSQIGLCAFDGTHSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQYVVKLEQQGQTICISGFMAFDVPPPRGPLWYTNYTVIKKRELHVDRILGDVFMGAYHTVFDFGENRIGFAESA >KN538961.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538961.1:119255:119458:1 gene:KN538961.1_FG008 transcript:KN538961.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISSDDLPQNGFRIIYKCLQPGVCEWGSYIRQEVAPAIGFISGDLIAGILISFHVFGGGYSRGI >KN538961.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538961.1:29354:33459:-1 gene:KN538961.1_FG009 transcript:KN538961.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEKKERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDARIKLASKEQLLAQESENRKKFAESKARSMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDVLGSQISVTQATAESAMLARLQCLCLSGGHEKINSLGECELRVKKVEEQLDLVQKYLEVKELSQLEKNQMTAVHELKKEVLKLECTLKESRAQLRKLQKMGERRDKPLKKLQSRLPLKQQTAGDKQKLWESSGFRIIASMSILALAMMSKR >KN538961.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538961.1:2996:4452:-1 gene:KN538961.1_FG010 transcript:KN538961.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTRALPVPKIAAAKRDFGLPDDFLTSLVPRYPHLFRLVGDPGPDASGNAFLELVSWDDQLAKSVIELRADKEADVVGIRPRPNFTVKLPRGFYLKKEMREWVRDWLELPYVSPYADTSGLHPASPEAEKRLIGVLHEGGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLQEAYRGAKVGTGIEDEMEEEGIDESDEDDDSEEGEALMTWGAVAKLTRSGVGNGIAAFVLESLNSLSRLEDILCDY >KN538961.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538961.1:145207:145983:-1 gene:KN538961.1_FG011 transcript:KN538961.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVLIPVKELVAICREEGVDKVFVDAAHAVVQVPVDVRDIGADFYASNLHKWFFCPSAVAFIHTRKDDPVSSKLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDVVDFVSRFDGGVEAIRRRNHDKVVEMGTMLAAAWGTFLGTPPEMCGSMLMVGLPGSLGVGSEDDAVGLRTMLRKQFKVEVPLYYNSKAAAADAPPEMVKDGNGDPVTGYVRISHQVYNVREEYEALRDAVAKLVADGFTCRKLRPPEKVH >KN538961.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538961.1:168604:170165:-1 gene:KN538961.1_FG012 transcript:KN538961.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTCTYHNPHIPTLYNSCNGQYQLKCRLNRWQDASTKPPTTTRSRCCLPLMFCWKDTDPHEGGQDEGEDKNGRLNCSGICGIGVAEAEQEEKLVGEDAAEKNIVDSSKYELYRA >KN538961.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538961.1:39806:44013:-1 gene:KN538961.1_FG013 transcript:KN538961.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAIKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEFFREVHLGFLRTVLICVICPDEEPVAASKTKIYMVLEYVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEEDASQRKIRFTSTHSPKDLFDKIENVVTEMGFQVQRGNSKLKVMKNGKGSKNLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCERLSDELGVCKTEQIQRSESLEDDLESFDSGSSLPGF >KN538779.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538779.1:266540:269091:-1 gene:KN538779.1_FG001 transcript:KN538779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRKNVMKMLKEILNERKKIEGRHESIDFLDVLIEEVKEDNPSMTENTALNLLFLLLFGSFDTTSSGITAMLKFLTDNPEALRELTEEHNNIQRRRADLNSEITWEEYKSMKFTSHVIHEALRPASITPMMFREAIEDVHIKGFAIPKGSKIMICPSTVHLNPVVYEDPNTFNPSRWKDSAEPAGGASKDFMPFGGDCVCGLVHILPSCRWQSSSTA >AMDW01036157.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036157.1:100:479:1 gene:AMDW01036157.1_FG001 transcript:AMDW01036157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HQIEMHDMKVEDLLGEDAGGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDIGDSKGRTALHIAASKGYEECVLVLLKQACNVNIK >KN538779.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538779.1:230557:235848:-1 gene:KN538779.1_FG002 transcript:KN538779.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRSELQYVLMGLYTPLLDLHLLSVWGDFFCTTPFCVLVGIICGECLGEILHYITCVVTLYIWCAATNPGDPGIFKAKKHPKLGKDGKQIQEISEHESCQGGKSFSDGCSVVNNSERLSNMFEGNDSSSRPGLHGVLCLICTPFFCLWKIFFHSDDQSSEQHMSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLLIMQWLVGILVLILCLLKRGEFSGQIISKLGSSFSTAAFVIVVMTCTLLAMLATIPLAQLFCFHVLLIKKGISTYDYIVALREQEEQQEVTEHQSPQMSIISSVTGFSTTSSFAPLQRGSWCTPPHLFLEDQHVIPPEMPQNSSSKKAKHADVTKRKPAGPVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPIARHEDPKHDKRRPDKRGQFLPELSVDHTTRTSDSCTDSNCSDMDMETCGSLAPLQHEARSVFQPSIASSIRNLTSSPQSSLDSPDLHPFRVSMSGADELRSFMSLAASESTAPKSIALSRSTSGGYEASGGEESDRIPSKIVHRSSNWANAILNSGRREMAADLNLPTSERFLTNTRFS >KN538779.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538779.1:195586:200577:1 gene:KN538779.1_FG003 transcript:KN538779.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEIERATSTPRGGIPSTPPGAKITAIAIADEPPPAPARSDRVLGKRARKDIAEGCSPSPTATRAMRAGVDDSMEMHTGEFASDGVDDPQQCTPRSVGLESDVGRAIPTRNDSGTGNSLYAVERVINACLLFRSPGKSERANSSSSRCGCKALLYLKRTDDDGWYVAEHRAEHNHALSDSCGEKINWPSHSRIDIHTKGLIRHLRDNNVSLGKVYAIIASFFGTVRDIPFNKRALRSLSHQMARQQADDDIQRYNLTGNKFLTQIFENRKRWAKPYFAREFCAGQTSTQRSECANHLLKKLISKNAPMHLFVSQYSKLLADRDAQEDREETRKSGMFYVTNTIGLAEFTVKHVRSDDLERWQKTLFKVTVIGEMARLTCECGMCEHMGLLCHHIIRVIIHLDGQRIPDGNLMKRWTTCARDVLPPHLAAYRSEAGSMQSKTFRHNVLYMAALELVQQGDLTVENFHSAMEGIAELKRKLASAQPRSVSTEGTNDNSLGQSDDTPAPGVPPTSEQSCMVSSAPTPTTVEQNSPARNGVPIQPPEPRRRRDRPTNSRLKHPADKPKRVARTRFCKKCNKPGHNSATCVDGERNHEAMAFGALHVEASKGQTHEALASGALHVDSNKVHTKVCSRCHIAGHMKTTCGKTSSYVKQGKCKSKTQ >KN538779.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538779.1:153500:157400:-1 gene:KN538779.1_FG004 transcript:KN538779.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKMYMVKREMAAAIIVQKYVRRWRLHRTYQQSHSAALLIQSCIRGFIARRYFSVIREQKAALVIQAANEADALHEAKNKLEKKLDDLTLRLTLERRLRLFSDVILLILIIVTKFATPIGLPNGEQKHGYETPPPAKYLASLPQSLTGSRRTRMPVERQEENHEILLRCIKENLGFKDVAFYTRMLLNLRGLLVVLDVIEAINNVLKMLFVDVK >KN538779.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538779.1:185432:189559:-1 gene:KN538779.1_FG005 transcript:KN538779.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAHPYGILPPCHRNRSQRQRNAGATTGDGEHAGDPTADRMRVRRVKVRIVVVVFYFTIVLFGFWQESMSRDELVPITRMHEEAPLTETSIAVNAIITRNLEDAARDDAILPTTDGGAFVVDLHLREEPGGRSGGLAGGDEVVVAVGQPGRLRWRRLRQGGHLAQVLEEEGVAGTTALYTRRAALALLTWRSSVHHVPF >KN538779.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538779.1:248870:252426:-1 gene:KN538779.1_FG006 transcript:KN538779.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRLLLQLLALPSTVIFLFLAPASRSIDAGDDLHALLSFRSHIAKDHSDALSSWSVVSNGTSDGANGFCSWRGVTCSSGTRHRRVVSLRVQGLGLVGTISPLLGNLTGLRELDLSNNKLEGEIPPSLARCLALQRLNLSVNFLSGVIPPSIGQLSKLEVLNIRHNNISGYVPSTFANLTALTMFSIADNYVHGQIPSWLGNLTALKSFNIAGNMMRGSVPEAISKLINLEALTISGNGLEGEIPASLFNLSSLKVFNLGSNNISGSLPTDIGFTLPNLRYFTAFYNRLEGQIPASFSNISVLEKFILHGNRFRGRIPINSGINGQLTIFEVGNNELQATEPRDWEFLTSLANCSNLIYINLQLNNLSGILPNTIANLSLELQSIRLGGNQISGNLPKGIGRYAKLTSLEFADNLFTGTIPSDIGKLTNLHELLLFSNGFQGEIPSSIGNMTQLNQLLLSGNYLEGRIPATIGNLSKLTSMDLSSNLLSGQIPEEIIRISSLTEALNLSNNALSGPISPYIGNLVNVGIIDLSSNKLSGQIPSTLGNCLALQFLYLQANLLHGLIPKELNKLRGLEVLDLSNNKFSGPIPEFLESFQLLKNLNLSFNNLSGLVPDKGIFSNASAVSLVSNDMLCGGPMFFHFLPCPFQSSDKPAQRSVVHILIFLIVGAFVFVIVCIATCYCIKRLRAKSSKVNQDQGSKFIDEMYQRISYNELNVATGSFSAENLIGRGSFGSVYRGNLTCGSNVITVAVKVLDLHQTRAARSFMSECNALKRIRHRNLVRIITVCDSLDNNGDEFKALVLEFISNGNLDTWLHPSTENTSYIPGKLSLMQRLNIALDVAEALEYLHHHISPSIAHCDIKPSNVLLDKDMTAHIGDFSLARIMSAEAEGQCLGESSSVGIKGTIGYLAPEYGMGTEISREGDIYSYGVLLLEMLTGRRPTDTMFHDDMSLPKYVEMAYPDNLLEIMDNAIPQDGNSQDIVDWFIAPISRIGLACCRDSASQRMRMNEVVKELSGIKESEMCGMINTASLYITWQQERKDALSMHASNSKGFHGV >KN538779.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538779.1:161202:167557:1 gene:KN538779.1_FG007 transcript:KN538779.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGWVWVRRPADDDEEERPLKVVFDSPAEHFTDAAPIGNGSLGAMVWGGVASEKLQLNHDTLWTGVPGNYTDPNAPYALAVVRKLVDGEKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSSLGYTSYKRELDLHTATVCISYNIGEVQYSREHFCSNPHQVFATKISANKSGHVSFTLSLNSQLNHNVRITNANEMIMQGTCPGRRPALHHNGANDAIGIKFATAVGLQIGGTSAKVTIIDDQKLRIDAADWVVLLVAAASSFDGPFVNPSESKLNPEVAALNTLNISRNATFSQLKAAHLEDYQGLFHRVTLQLSQASMLEKDILEEVDHDVKTTAERINSFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDLAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFDLIGSLAVNGTKTAKVMLQENYECQLPSEWLGNSSRHGHMGKIICILRRCDEFLEKRAYPLLEGCAMYLIDWLIKGPGDYLETNPSTSPEHPFIAPGTGGHLASVSYSTTMDISIIREVFLAVISSAEVLGKSDTNLVERIKKALPMLPPVKISKDDTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPEVCKAVANSLHKRGEDGPGWSTTWKMALWARLLNSENAYRMILKLITLVPPGGKVDFEGGLYTNLWTAHPPFQIDANFGFTAAIAEMLLQSTHGDADLYLLPALPREKWPKGYVKGLRARGNVTVNISWEKGELQEATVWSSNPKCTLRMHYGEQVATVTVLGGNVYRFNGGLQCVETYMAR >KN538779.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538779.1:202094:202364:1 gene:KN538779.1_FG008 transcript:KN538779.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDSFFALFANDTSSEDVNGVVANPFGSSEEAQAQVV >KN538835.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538835.1:72223:72864:1 gene:KN538835.1_FG019 transcript:KN538835.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPSRPLLRRVLSFREPLLLIPYIVGFLAAASSGFFYSYSSFLHSFARSLVPAAAAGAVVKCAYLSAAADSDEPCSSCGGEDEDVVAAVEEEVAHLSKVEVEEIMERIGLGVSGHGEGLKARMGRDEVSRLFDADEPSFAEVRRAFAVFDGNADGFIDADDLRAALARLGFREDAAACRAMIAASCGSVDARMNLFQFVKFLETGLC >KN538835.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538835.1:161969:162986:-1 gene:KN538835.1_FG020 transcript:KN538835.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding VLLPIMRWEARSFGRHLLAIVLIASLAIFPVVLLPSSPSMWLTGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLLRERLHEWLEKKWPREIALVKLASKGNWFKQFRVIVLLRISPFPYSMLNYTVTVTQIKYGPYICGSVVGMVPDTLVNIYSGRLILTLAGLKYHNHRLTTVEIVYNVISITVAFLVAIGFTVYAKRALDEMERSEGTCPEPAGIAHGSTELRAHHQECSNSSSVPIDVV >KN538835.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538835.1:51023:55338:-1 gene:KN538835.1_FG021 transcript:KN538835.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTGCLGFEAAAAVCPAAFQAYQKYYDIVSAFSNVNTREGLAELSQVIDGMEGLRDAIFSDIPKLMSALDLDDAHRFSIFYDFVFFISRENGQKNISVQRAVGAWRMVLNGRFRLLDRWCNFVEKYQRYNITEDVWQQLLAFSRCVNEDLEGYDPKGAWPVLVDDFVEHMHSLKWDINVLVLVYKYLVSFIFTLRIYNSCDCSSAMESQLSISSTFGGINPLPGSKRKCPTRLKPNEDVDLSDNFTRSVHLAPLKRLKESPVITKYGVWEYNAGNVRTLYWAHCNKILASASGKRKMRDFLSLEFMGLLCSAKKLWLNFASGCQFGHNI >KN538835.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538835.1:12073:14544:1 gene:KN538835.1_FG022 transcript:KN538835.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKTMKVVFSLVLLLLPLASISAVEVKFNFMYFVQQWAPSYCSTAPHECEYEFPPPPNDFTIRGLWPSYEEWRPEYCNISDRLDPTQIQDLEKPLNQKWPSLLHNQTGLELWSHEWSKHGTCSNLGQHGYFAAALALDKLKLTNLTKILADGGVVPSDEKTYTLGEISDALAKGTGQWRSQDLCVDRSGERLVNCTAPWVTRCLDPDKIKIPAWFYGQ >KN538835.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538835.1:2991:6833:1 gene:KN538835.1_FG024 transcript:KN538835.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKTMKVVFSLALLLLPLASAAEVKFDFMYFVQQWAPSYCAMPTHECEFERSSPGHPQMTSPSMAMWPSSGERWPEYCNSSDPLDPKQIEDLETPLNQAWRPLLCMNCHGYFCLQFEPYVSRDPGSATGNMYECSDYGYASTRHLISRFGTYISGIGYGNYRYQKHGTCSNLGQHGYFAAALALDKLKLTNLTKILADGGVRPVPPGSGKTYTFREISDALAKGTGLTTYLRCSQDKDGGTLLYEVLQCVDRSGERLINCTAPPFDNSCVHADKIKMPFGLYDQ >KN538835.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538835.1:94727:102935:1 gene:KN538835.1_FG027 transcript:KN538835.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYDALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKEMWKFIQFIEGTLTKSEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSGNVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGLDEIDEINKWISQIIKDGDTREIPYFTSKVPDLVPEETSKEWIKSTKSIRSAGKSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQPTQTTQDGAPPKDKTKRRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >KN538835.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538835.1:46290:50546:1 gene:KN538835.1_FG028 transcript:KN538835.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLVVEATFNSAVSLGVKAIGWRPEWRFKWEPLAGDDEEKGRGKYPMVMVQIPMYNELEVYKLSIGAACELKWPKDKLIVQVLDDSTDPFIKNLVELECESWASKGVNIKYVTRSSRKGFKAGALKKGMECDYSKQCEYIAIFDADFQPEPDFLLRTVPFLMHNPDVALVQARWAFGKDSIPNFAVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTTAINEAGGWKDRTTVEDMDLAVRASLNGWKFIYVGDIRVKSELPSTYGAYCRQQFRWACGGANLFRKIAMDVLVAKDISLLKKFYMLYSFFLVRRVVAPMVACVLYNIIVPLSVMIPELFIPIWGVAYIPMALLIITTIRNPRNLHIMPFWILFESVMTVLRMRAALTGLMELSGFNKWTVTKKIGSSVEDTQVPLLPKTRKRLRDRINLPEIGFSVFLIFCASYNLIFHGKTSYYFNLYLQGLAFLLLGFNFTGNFACCQ >KN538835.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538835.1:26718:31057:1 gene:KN538835.1_FG029 transcript:KN538835.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRSTMKVVFSLALLLLPLASAVYFDFMYLVQQFWSHEWSRHGTCSNLSQYRYFAAALALANAKHSNLTKILADSDVVPSDVTTYTFHDIRDALARGPGFRKTYFQCSPDKITGEMLLYQVFQCVDCSGKKFVNCKAPFKKKFNCAKVDKIKLPDGFHH >KN538835.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538835.1:62559:63515:1 gene:KN538835.1_FG030 transcript:KN538835.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MATANGVDLATAGLLRLLAMGMGPSVQIPGEGGVPVMMVQHIIIGDDGGDLFSGGLGGGVPPASKAAIASLKEVKAGEEGEGGDSLGDCAICLDAFAAGKEMPCGHRFHSECLERALHLRR >KN538835.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538835.1:75741:78991:1 gene:KN538835.1_FG031 transcript:KN538835.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLTPTFLPAVPCPKPVPPASACFPCALPPRAALAALVAPLRRRLSPVAGGGVEEEEGGAESEGEFVEDLKVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRQTGRSRGFGFVTMSTAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDFAPRAPRGGGSNFDSSNKLYVGNLAWGVDNSTLENLFSEQGTVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGVDLDGRQIRVTVAESKPRRQF >KN538835.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538835.1:104904:106762:1 gene:KN538835.1_FG033 transcript:KN538835.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARDFGWGLIRFDADAEGLQVTSPYGNSVHYAENVPSGNFAFTATEAGDYLACFWAPDHKPPVSIGFEFDWRSGVAAKDWSNVAKKGQVDVMEMELKKLEETIKSIHEEMFYLREREEEMQNLNKQTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >KN538835.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538835.1:107684:112696:-1 gene:KN538835.1_FG034 transcript:KN538835.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEAAAARGGGSGSESGGSGGGVRGGGGGGAGSSGSSGARRRFDDKGLVARTSLILWHTHQNDAGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRHAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFSKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIFFGVCRQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSNYEPYEAAKLIELCWSGDIHLRPSFLEILKRLEKIKEYLAAHDHHWHLFSQ >KN538835.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538835.1:58658:59643:1 gene:KN538835.1_FG035 transcript:KN538835.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNNVEDDDGA >KN538835.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538835.1:33645:34223:1 gene:KN538835.1_FG036 transcript:KN538835.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKNKRCNRRGTCGRGGKDWGYTEPIGGEVTAGAEDGRVRERAREGTTGKRTHQLGGHSLSTRERGAGRKKGHWPAPAVAAAQGCGYGLEVVPLALGSKGDGKLPRLEGEWRQRGETWWHGGEVNAGDGDVDFSTDVVGIRVWGRWSWMGSEQDGRGRLDKDGREDHVDGLITAARGHLTTHVQKKTVAL >KN538835.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538835.1:65173:66728:-1 gene:KN538835.1_FG037 transcript:KN538835.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASGRSLLVILLAVSLLLVASSDAARFTRSYRMAMMVVEAPARPGVGVSGSEEDDVSTSDAIVEEMFGRMALQTTDYPPSGPNDRHTPKAPGT >KN538835.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538835.1:41612:42823:-1 gene:KN538835.1_FG039 transcript:KN538835.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATISTLNLSSSPLTKQQLRFGASTVTAEHVSGFSSARPAPSLCCSSSHWREVKLSARRRPWRRTGNRVVAQAGGYKVAILGAAGGIGQPLSLLVKMSPLVSALHLYDIANVDGVTADLGHCNTPAKVAGFTGKEELAGCLAGVDVVVIPAGVPRKPGMTRDDLFGINAGIVRELVEAVADHAPAALVHVISNPVNSTVPIAAEVLKRKGVYDPRKLFGVTTLDVVRANTFVAEMKGLPLADVDVPVVGGHAAATILPLLSKARPKTAFTDEEVDALTRRIQNAGTEVVEAKAKAGGGSATLSMAYAAARFVQASLRGLDGDADVYECSYVQCQAVRELPFFACRVKLGRDGVEQVAAAAELRGLTEYEARALDALKPQLKKSIDKGVAYAQQQPATVAMG >AMDW01040486.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040486.1:455:745:1 gene:AMDW01040486.1_FG001 transcript:AMDW01040486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTSGARRHRIVFRGYIQGITKPVIQRLARKGGVKRISGLIYKETRGVLEIFLKNVIRDAITYTKHAHRKTVMTMDVVYALKLQGCTIYGFGG >AMDW01040261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040261.1:216:1189:1 gene:AMDW01040261.1_FG001 transcript:AMDW01040261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WTTGGCTKGMYELWISDYSCASFVDDEEKMLEDEHKMLAFRVRLIRNARSDYYFPAQSSNLSEGSFTERSLKAESSLSSSLCFQHQREVELSGGIRELELGYHHDDRDFAASMPFTFRVQPSHPNLQQEK >AMDW01038475.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038475.1:216:588:1 gene:AMDW01038475.1_FG001 transcript:AMDW01038475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAM >AMDW01036052.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036052.1:63:426:1 gene:AMDW01036052.1_FG001 transcript:AMDW01036052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PYFSFGTFGIEEVVDSMDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAEASRDSGNAIVMGAVVCEASLDRVRNRLYLTMKSLTIVTAL >KN546328.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546328.1:56:998:1 gene:KN546328.1_FG001 transcript:KN546328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVLLNPLRTKRFAEEDMRRAKTDALDALGIARFAQQKRPAPTVLPDAATRELRELMTLRDRLMQDLGDRTRQLHRAIDLTFPEFTQHVRYACEDISTLRARIKKLDDDIGQSLKRHEVGTLLTTIEGVGGNTAARMIATIDFDAFRTEKQLAAYVGVAPMVRHSGKRTPRSAPSCTMGNATLRAKLWMPTLRAVTKNTWLKSFYDRLVAQGKPKKLAMVAAMRKLLGAMLSVAKHRTPFVPRLVQAGENA >AMDW01020389.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020389.1:12:269:-1 gene:AMDW01020389.1_FG001 transcript:AMDW01020389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLGNFDAGADTAKLNVEDPPMRNTVGVPVNGWVVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPPDLPLC >AMDW01035760.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035760.1:70:432:-1 gene:AMDW01035760.1_FG001 transcript:AMDW01035760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADAIGDFDKAYKQLEEKRMFLEHALLYDAYALFLFSKGKVLEAGKVYEVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQ >AMDW01072541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072541.1:96:207:-1 gene:AMDW01072541.1_FG001 transcript:AMDW01072541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVNEKFPSPFSNLNQLTKLFADNGLSQTDMIALS >KN539658.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539658.1:24761:32491:1 gene:KN539658.1_FG001 transcript:KN539658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFPRPPSSGQKAEVPTGKVLQKLELHQAAAAPSDVSSVTGGIIRDLSMMVIPIEHHMVHRGHGIFDFQQLSRELKEEGGRLFNRRDYEGAAFKYDKAVQLLPSGGHADAAAHLRTCVAQCYMRMAPAEHHRAIHECNLALEAAPRAALEEKGVLVLEKEPVPPPPPEHKAVSAKGQGKLKKSHKQCDSAIEGQELIHVEDYEQSEKTELKINGQENGENRAGKEQFDCNVPVKQEIRTDQPEANGVGKHQYHMDDKENKGLDKEGKNGKPGKHSSGKKIRRADAKKQKHSAMEPVHHAEENRHERYTETSVHVKEAMKDLKLVFGEDIRCAQMPANCNLSQLRDIVQNKFPSLKALLIKYKDKEGDLVTITSSDELRWAYSLADLEGPIRLYIVAVDPAQELGVDVVRRRSSFASLEKAYYSMSENGSSRHDDDHNCSIDDWMIQFARLFKNHLGFDSDSYLDLHDLGMRLYYEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVHMASARKRPPLSDDASMECILEQVKVAYEWACAEYAKAGAKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGLFNHAEDNMEKGMGMWEGMENTRLRGLSKPSKEKAIFEKMGIDGYMKDMSSDEAFEQASSIRSHVNILWGTILYERSVVEFILGLPSWEESLTVAIEKFKTGGASPADINVMVKNHSANETTQEG >AMDW01033136.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033136.1:6:248:-1 gene:AMDW01033136.1_FG001 transcript:AMDW01033136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSPLVVVLLAVVTVIAVSPVQPAFALPAGLPDIKSLANPWSAFKNLSGCHFGDERQGLGKLKDYLWHFGYLSYPSS >KN539658.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539658.1:59287:59661:-1 gene:KN539658.1_FG002 transcript:KN539658.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVVVAGGTGVNDDDRAAALQLWASTVGLGGEVQLLTAARRRIGSVLSEAEGKEIQNKALELCLREASHHAARSDDLLGELEYYRIRGEVEVDELDELQDDDDMVSAYMQRPDLQTAGDVHE >KN539658.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539658.1:52950:57086:-1 gene:KN539658.1_FG003 transcript:KN539658.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVTNTRLVPHLEITEKDNMSCEISEHVKQCCRMTNDIGMALELEKLDRHILQVSQNSRTNVREMSYFSTEPKVHGRNAERDLIISKLTSEESNMQNLSVLAIVGNGGVGKTALARMVYKDPAVSEHFDMVLWLYVSVYFNEVKIARELLELLHGDRHETVTDFDELLNILGYEMKLKRVLLVMDDMWEDSKKEKWDEFLTPLITNGAKGNKIIVTTRKSSVARMTGATYDINLDGLEPEDFWGLFKECAFGDENYQGHRKLQRIGREIAVKLKGYPLAAKSVGKLLKRKLDDEHWTRILDNTEWKNQKYDNDIIPALKISYNYLPKHLQQCFSYCSIFPKNHRYDEKRLVHIWIAQGFVPFTDQCTRAEEIGSKYLADLIDWGFFLSEPPRSSLLMHDLVHDLAQIVSSHESFTIEDFKPAGDFQLIRHVSIITESAYYGQFDGTVEPNKNFMQEFAKTFCTLPQKNLSTLMLFGAHDLSFAGTFHHQFNEVRAVRVVKMEVVYPDLNILIPNISGFINLRYLELSSFYRGLKLQLPEAICKLYQLHVLDISSFNATTILPKGLNKLVNLRHFMAREELRAQIASVGRLIFLQELMAFDVRKESEFCIAQLENLNEIRGSISIYNLQNLESQEEARKARLLSKLQLTSLRLSWFDMQKSSSSLNIIEGLEPPTCIKKLQIEGYNGSAPSWLSSSFCLTSLQSLHLEKCKYWSALPPLQQLPELQELHLINMSHITSIPIGRLKVLELRNMPRLRRFVESERDQPYKNLEVVELQECHHLKDLPFQLNTSGTLTEHLFPRLQRVQIRDCHGYSNLPPFPLVDTLTDIDIWNAYSDYMLFRLSVTDGSRLCLEMEGDKSNSLQAIDETILKLSKLKDLQELEIRCYPCVKYLAWEELRKMTSLKKFKVEDCTILFSNSPNLCLPSSVKEMEFARCDITGKQLSELMLNLPSLQILKYCSTEEGLWHIPPSGLMTLEKLEISFSDILFRTKDGLGGFSSLKELDTRRCPMLLSSMVSEAESVVSNCCSLLPPSILKLNIGDMVDRLLPQSKLSSLAELHIFRSPLLEYLDVRSCTALQQLHIEDCYMLQSIEGLQIPSSLAKLKIVSCSKLGSLQLDACKSLKTLIVERCDSLCTLDGSHSLASVKEVSIYKNPVLASVELHSCHALEKLSIRDCPALASWKGFRSLTSIMSLEVSKSPGFVPSWQSAAEQIKEEGHEFTMPLKLLDIDDNEFLSMPICRQLTSLQDLTIRGVLGTPSDRVDILTDNHKAALLLLASLERLTLSGFEHLESLPSEIRHFPLLKTLKILYCPRITSLPEEGMPSSLEEMGIYRCSSELTELCRSMSENKTFRIYNNANFEL >KN539658.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539658.1:38582:44923:-1 gene:KN539658.1_FG004 transcript:KN539658.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAVVPLPITGGVNDDRTVALALQQWASTVGFGGEVGRLVEAHRGLCSVLAETQGKEIRNKELQRRLREASHDAARARDLLGELEYYRIREEVERDDHDKLLHDNANGNLLLSMPQRDVEFFNNDAAKDDKDTTESSLSNTDSSASALQVTTYIASSSSPVPYLETLNKCISNEISKYTEKCYRIAKQVSEALELESLDYLYAHKYQRTRTDHRETSPCQSEPKVHGRDQQRDLIISKLTSEECARKKLSILAIIGDGGIGKTTLAKLVFNNSTVSKHFDVLLWVYVSVHFDQNKIMQEMLDSFCGDEHDEIKKLKELQLQDKLDYLLKSKRVLLVMDDMWEDSTKEKWDELLNPLLKNDVMGNSVLVTTRKPSVATMIEAADHINLDGLKKDDFWCLFKECVFGHENYKGEPRLEKIGQQIVDKLKGNPLAAKTVSKVLRRSFDVDYWRRILHTSEWKYKNDENDIMPALMISYKYLPAHLQSCFSYCAVFPKYHRYEKERLINMWIAQDLLCSADIHTRPEDIGNEYFDDLLDWGFFEKQFEHSTLLIMHDLIHDLAQKVSSDESFTIEGNEPRNAPPCVRHVSVITEWEYKTKLNGTVYPNDSFLQEFSNSFRELQQRSLSTLMLFGPHDLDFADTFRQELNEVRSIRVLKLEMVFFDLDSLIGNISAFVNLRYLELGCFYKGPRLELPEAICRLYHLKVLDIKKNWGPSTSLPREMSKLVNLRHFIAEKELHAKIAGIGKMVSLQELKAFDVKKDHEFSISQLRGLNQLRGSISISSLYNAGHEEASQARLCDKDNLTCLHLSWLTLSRNRVARRTLPILEDLKPHSGLRNLQVVGYRHSLPSWLCSTVHLTSLRSLHLDRCIRWQTIPHPQQLPFLQELHLIQLPHVYKIEIGPLKVLEIRWLQNLRQCILLDKEQSYATLQILEVEGCPKLDEFLLQIFMSSGVQSTNQFLGIHRLQIHNDFLRASIPLLLLNSLSDIDLCGEHSKFTRFRLKPFGTSDGLSLQIKGDRYIQKIEERLFTLEKLKDLRELEIRDYQSVIFQRQFWEGFEQLTSLKKFRVIKCPEIFSTNFELFLPPSVEELELSGCNITLIQLSQLLVNLHLLKSFKLTNCQGVTSLPVGLFTDEQNTMSEGSWHIPPRCFTSLESLQISFTTAPSDANSIMHFTSKKGLGRFVSLKKIVIENCPTLLSRALSGGASHISPSSLDKLCMTGIQDSTLQFSDVSSIADLDVSGCPKLACLDLSSCTALEKLCVIDCRLLQSIEGLPSCSALRDLKIRNCALLPSLSASLHTLKTLSIENNTNLASLELKSCTSLQKLCIKDCPALTSWEGLKSLVSLEILKVEASPGFITRWISAAAEVNIEEKNFSLPLEKLNVDNIDVLCVPICSQLTSLKILSIEEDRHDPDGHVEFLTDNHVKGLSFLTCLRFLDLENFEQLRSLPAELGSLASLQRLHVGNCGHITSLPVGGLPASLKDMELYNCSKELNVLCRDMLRLRRNLHLWVDGDEEDFFSQNCSDEEIS >KN539658.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539658.1:79031:88853:1 gene:KN539658.1_FG005 transcript:KN539658.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALASAAATWLINKLLDRLSDYAIKKLLGSEGLDAEASSLRDALRRATLVLGAVPAGAAAGVRIGNDQLLPQIDLVQRLATDLARHLDELEYYDVKKKVKKNQKSSNPLSKMNLPLTQAGQSKPKYNRTDIKQIRDTVGYLHSICDDVHKALLLDKLDAIKQAAQDASTDKRETVENFTENPRNKVFPREEMKDIIELINSAASSDQELLVVPIVGAGGVGKTTLARLVYHDPEVKDKFDIMLWIYVSANFDEVKLTQGILEQIPECEFKSAKNLTVLQRGINKYLTKRFLLVLDDMWEESEGRWDKLLAPLRSAQAKGNVLLVTTRKLSVARITSNTEAHIDLDGMKKDDFWLFFKRCIFGDENYQGQRKLQNIAKKIATRLNGNPLAAKSVGTLLRRNINEDYWTRILDSNEWKLQESIDDIIPALKLSYNQLPYRLQLLFSYCAMFPKGYNFDKGQLIRTWIALGFVMNERKKLEDEGSDCFDDLVDRSFFQKYGVSQYYTVHDLMHDVAQEVSINKCLIIDGSDLRTVPSSICHLSIWTEPVYNEQSIERNDDFEEKLDAVQDNVLGSLESLILAGVYDENYSAKFVKTLERVRYVRMLQLTAMPFNSDILLSSIKKLIHLRYLELRCTSDKPKSLPEAICKLYHLQVLDVQHWSGLNDLPKDMSNLVNLRHLFVPGSGSLHSKISRVGELKFLQELKEFQVQEADGFEISQLGNINEIRGSLSILGLETVKTKGDATRARLKDKKHLRTLSLTWGSASGINCKPWVSTTHTIGLTQYPLTHGAPVGEVVAEAAPALPTTSVPGRIKEDSAATASAKSASSGEDVAAKREGDKTAIAAAKSSRRKKEQQQQQQQQAMPWVKLLSRSSQGWKLNLGLLDTEL >KN541276.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541276.1:101:11528:1 gene:KN541276.1_FG001 transcript:KN541276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLSIKGYVCTAFPDWVNDINARLPELVKLVLSDIKGCDYIPAVGCLPNLQELEISNMPLLRDAQIGPCKKLRRLTLVALPDEATVLLFYAVNIQTNVQMAESSHGLDEEMTETGQEFDALPGSLPENQVKRKPSETVSGGPFKKSRMLEFKGWSEALNVTSGSASSPIPPVQRLYQLSPKLSGCCPEVANATFLELDYLKIEKCHNLNLHPSLPKSKEYFIKDSSLSLPSSFLSYSGEGTPRLKSKMNIEGCSQDQFKQWIELISTHVDELVITDCSVDILSIDLPALQKLEITGSYMNLPNFSSMPNIERLNVRASSDDHLRRAQSAETRWEAVECYHFWWIPYINIGTALRKLEIIGNRMKNLSGLSLMRNAERLIIWTPSVYYERQAQSADTRREVFKNMPISRIPYINLGTVAFFSVKRIEEDGILCSSNISLLYKHHDAQTSRLVIEYLENVKEPDEVKELANYQQLCSVRLVWCGSDLTEYSSMDQDLVWSSSDLTEDSSMAQDKAVLQNLRPHHDLETLEIEGYGGDEFCSWVMNIDSVLPNLVTVKLSNIAKCDRLPSLGQLANLQVLHISGMPNVKHVDHHVYVKLRELKLSGMNLEEWPTTTLLTAHDDQFRQGDGNFPNLQVLEIVNCPMMRFVPAFPGSQECTLVESSSILESFEQFIRSSNLELITLTIIDCGSSSYIVRFLQGCVNLEHLRIDSCIDLITLPEPIRSCRSLKKLEITNCWNFSALPEWLGELTSLQELEVQATKLERLPQSIQCLTSLEQLVLSKCNYRLRERCTSDELIKHIKTVNTSQHVTSSQLIELHIVGLGRGIDMKELENLELRTKTELCSLSLEWCRWYSDEGDIHKKVVLEKLQPHDGLEILSVANYNGPDFDQWMSLLPNLVKLELRSIRFEHLHLDQLQNLTELCLSSLKDGRLHIDQLQNLRELKLSNMQDVHLHLDRLPNITVLNLSDERYERLHLDRLQNLRELTLSRVEFEHLHLDQLQNLEELHLSQIKNRKSDQPVCIECSQPLRKLQRIVMSGINNQELKISMQGGEGDEDLFPGLQHLEMVVCENLRFQPSIPRSAKYIISGIIEFDGPIRHIILGSFLFPSFKQVMGMSIPGSTSRMEIKNTRGLSSERWESIPHLELLNITELTIDNCDDSCPLPKCILGWKQSLRKLEILRCRDIQSLPEWLGEMASLRELTIETYWMETLHPCIQQLTALQSLTLLQCMKKLKERCKSGDDWINIKHIPRIQFTDRNVRTETISPTCT >KN541276.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541276.1:17530:29024:1 gene:KN541276.1_FG002 transcript:KN541276.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGELLASAVLKEVCGKLCSAVWKEIALQFKFAEDLGSLHSMLTFIQAVVADAERRSVSEESVRLWLKKLKAVSYDMQDILVDFESDIPPANSEVQDDIPRKSDDPNPKAVTYDANSEVQDDIPRWQDTSSHEQVVIRERRTVASLQDEEIVGRVSEKEKIMDLLQREDEPFVIPVHGLGGLGKTTLVRMVYNDSQTEKAFDVRAWIYVSTKFDLEAIGKCIISQLDGKSYRVHADLQSVHNHVKDILTGKRFLIVLDDIWEKDQEMLVQIISLLKGGKPGSKVIVTTRNKKVAKIMNANLQIELKPLPDSDCWTLFQNIALEPGTVGSCFEDIGEVIVQKCKGMPIAVKSLASILRGSEFKVWESVRDSSIWLEDATSSDANKVLPSLKLSYYYMPIHLRLCFAYCSVIPKGCHIEKNSLIQQWIALGFVQSTSNAKVEDCAESYFNELLEMSFFHLSSTPSKIAARHPNKPPREFIMHDMVHALATFVAGDEVSILNVPMKRNYHAEMDCCRYALVEKHVPGTIDPSILQKIVPGRARALHFNAFNLMKLPSHTFTFSKFLRVLDLSSCTLAEFPTSISKLRQLKYLCAKRMQIQELPKSLSGLQNLQALMLIDCISLLKLPSYFSGLLKLHYLELHGCSGLLELTEGIGNLTGLQHIDLSGCSSLPELPSTIGRLKNLSCLNLSNCSKLRSLPQSFGELTSLEDLNLSNCCELSSLPAEFVRKIQRLRFLDLSSCTKIKSLPEFCTDNSMLEILDLSACHNLFFLPASIGHLRALKRLDLSNCSDLTRLLPLGQHHALQFLNLSGCYQVRRILDFLAHSTLNNLEYLNLSRVGKALVRESTKWTGSVSRDILQMPSSSHGDQIHIEAQLNKLIEGMARLKYLSIDGFTLFSEQRIASVKGLLTLPDFDVNERYDPMEGRWCSNIIVLDQILDLTHSRLNIRNLERLYHRKDARKARLAEKHQLRSLSLMWTVPKYYNLMQFQEDNTHAALVLEELRPPQKIEEFRMEGYMAATFPSWLMNIGSALPHVVNLTLCRLARCTSLPPLGQLQKLKVLHLSYIHSVQKVDLDVYGSTARPFPMLRELKLNDMEMLEEWMTEDVMFPILEHLEVESCPCLKFKPYIPMRSRYSIRNCRYIFSGEGVTMGPPCTSSSSTSVMNVTTSDSDSDTDWSGLQHLVNLEIMTIHDSYCLRSLPDGIRGLRHLKKLEITRCSSFVMLPSCIGDLATLEELVVHDCGRLATLPESMQRLGHLQKLVITDGWCRTNYSFAELPEWLGDLASLWELKLDNSCLSGRSLQTWDISRCTREVQTRFCLGEDTTIINRIPFISVHEVLYIAILWCFIWCEYRSLIQVI >AMDW01032293.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032293.1:9:170:-1 gene:AMDW01032293.1_FG001 transcript:AMDW01032293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIE >KN543829.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543829.1:5276:5437:-1 gene:KN543829.1_FG001 transcript:KN543829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWKKEIFVSSLSDMVDDIENFRDGYHDSDLVRDALRRHGWTPAEEDDAADK >AMDW01037387.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037387.1:318:671:-1 gene:AMDW01037387.1_FG001 transcript:AMDW01037387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EDNFFFGLATAPAHVEDRLEDAWLQFATETFCDDKENVRDQRPVDAVMASAAGDGGSQQSWRSTGGENIGDREQRKPLRVAMEAMLRGFEILAESGESAGVDNCSHNVAAWHNVPCP >KN539846.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539846.1:61458:63069:1 gene:KN539846.1_FG001 transcript:KN539846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMNYSNAQVLLSSDVSFNLKAFWYLNTAILLAWSTSSRRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDVVALPAGVAHWFYNDGDASVVAIYVYDINNNANQLEPRQKEFLLAGNNKRVQQVYGSSIEQHSGQNIFNGFGTELLSEALGINTVAAKRLQSQNDHRGEIIHVKNGLQLLKPTLTQQQEQAQAQAQYQEVQYSEQQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRISNVNSQKFPILNLIQMSATRNVNAHSLVYMIQGRSRVQVVSNFGKTVFDGVLRPGQLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYHISREQARSIKNNRGEEHGAFTPRFQQQYYPGLSNESESETSE >AMDW01039650.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039650.1:141:804:-1 gene:AMDW01039650.1_FG001 transcript:AMDW01039650.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSTSPEVDSIKKPILDSHGQNDLRDRILNK >KN539846.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539846.1:50588:54915:1 gene:KN539846.1_FG002 transcript:KN539846.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVSSQFSICFCVLLLVHDSMAQLFYPRTNPRHSPHQGSFSEYRFDRLQEFESLQKVRSEGGVTEYVDERNELFQHTGRGSMGLIFPGCSATYQQQFQQFSPQWQSESQKFRDEHQKIYQFRQGDIVPLPAGVAHWFYNDGDAPVVAIYIYDINNRANQLEPRQKEFLLATNNNRVQQVYGSSIEQHPGQNIFNRIGVEQLNLPEDMVKSSTKKVVIDKPSMARDIPTSLCDLPQAVRDGIVNEVAATKDVVEMEIGSATAEKVANMASQPGSSGTIGAKKRKWGFGVDPMGGVLTKGTHSSTSSPDNAPTSGRLKPMRFMSKSKSKAAPTISNQNMGKHDEASSQPATHIIVSPSTPPLPSVDAVVLLPLGTAFDHLASACQEVLLSAKAASTEVNRLIAELTATNEKLSKLKEELAAANTNNESLCLLIKENSEIHQDGQKALAEEKSKREALYTGLKENFFAFNEVAKQLGRGVQPPLQLDDVSLLASIGELVGEMEKVPADIVQKADWDIRIALKTGASHTLACISSKHPELDLNKEVQEGVAEEEREKLMDQLEKTGEAVASFYLD >KN540724.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540724.1:8113:15547:1 gene:KN540724.1_FG001 transcript:KN540724.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPQEALHNRSRLLSNIQALYTDTCARLAVDHSAGFCCVGLLDPSSNILVNAVLSVSDEVAAVVDDAELARRSLDGLVAFLLYFFPYLADWDAVRYLLLADADLLVAARLVVASRGMMAFSITSAASAKAFQPALRLAAQVAGHPQPERLVHVWMSLSSRLHQEAGHCYGPMDPVSNIILNTVWYDAAFPAAAPPPVLDMIGPHILTRIESRSMYGLISFLQSRYHHLSEHEIVQCLVACRGDLPLAADEAMVIKAGQQSPCAGLQEAYEAAATAAWHPNPTAQVAFLTSCKAKLQESPAAMLLLQQDGDRVFSPEDVRYLAGVLLAEQKPSPQPIRKKSTWPVSDGKMRSMATQRRISRNVKATLNQHFLRDGKPTYSLLVICGANDSVCGPEYYCSKQEDYLSFAPCEYRYTHVNFLATEKTDCSPSSPVLFFAEFDNKKAEGEPAIMCCKVDMPLPFAEHVRCLYCEVEGAKIVHPALEKFHGGDKEFEEARAVASRLALAGAPDPAVSDALLACHSRLGDISSALSHFHRLVQSGAAPSPASTAALLRAMCSASMSTEAMDVLVLSMDNPSPLPVSEFALLIPGLCSEGAVDKARFLFDAMLRSGLTPPVRVYRSLAFAYCKARRSLDASDMCQLMLTKGMYLDRELSTALIRVFCREGRLEPALDVFRRMKGDEHVQLDAYAYTTMIWGLFEHGRVDHGLQMYHEMIDRGIQPDAATYNVMIKWYCKSKWVGAAMDIYKVMIRTGVAPDLRCYTILMASLCKDGKLGEAENLFDKMLESGLFPDHVMFISIARFFPKGWVVLFVQKALKAVAKLDCGAKLLELSSLAGGCSNMSLQKEADHILDEIVTSNVLPVNIVFNLMIIAMCSEGRLDVSYYLLGKLVAYGCEPSVLTYNIVIKCLCEQNRMDDASALITLMQSRGVRPDMSTNSIMVTAYCKIGEIESALHLFGEMAKDGIEPSIAVYDSIIVCLCRMRRLKEAEATLRQMIREGLAPDEIIYTSLINGYSLTRQTRNVCRIFDEMLKRGLQPGPHAYGSLINGLVKGNKIRKALGYLERMLEEGIAPQTVIYTMLINQFFRKGDVRLGLDLVVLMMKTNVAPDLITYGALVAGICRNIARRGMRPSLAKKLKEARYMLFRMLPQIIDTRNGKQKDNQICTEEMIQVAQGIIQDLEENGMVPDLHIYNGMINGLCRANKMDDAYSLLSVMDQTGILPNHVTYTILMNNQIRLGDINHAIQLFNSLNSDGCVFDKITYNTFIKGLSLAGRMKEALSFLLMMHKRGFVPSKASYDKLMELLLAENAIDIVLQLFEDMLFQGYTPRYANYTSLLLVLAKDGRWSEADRIFTMMLKKRKYLDTKTKKCLEELCYKQGELDLAFEMEGSVPLYAVG >KN539846.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539846.1:22208:23940:-1 gene:KN539846.1_FG003 transcript:KN539846.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFDPRTNPWQTHRQGSFRECRFERLQAFEPLQNVRSEAGVTEYFDETNELFQCTGTFVIRRVIQPQGLLIPRYANTPGMVYIIQGRGSMGLTFPGCPTTYQQQSQQFLFQGESQSQKFIDEHQKIHQFRQGDIVALPTGVAHWFYNDGDTPVVALYVYDINNSANQLEPRHREFLLAGKNNRVQQVYGRSIQQHFGQNIFNGFSVELLSEALNINTVTTKRLQSQNDQRGEIIHVKNGLQLLKPTLTQRHEQEQAQYQEVQFSEKPQTSSRWNGLEENLCTIKTRLNIENPTRADSYDPRAGRITSLDSQKFPILNIIQMSATRVNLYQNAILTPFWNVNAHSLMYVIQGRAQVQVVSNLGKTVFDGVLRPEQLLIIPQNYVVLKKAQHEGCQYIAINTNANAFVSHLAGVDSVFRALPVDVVANAYRISREEARRLKNNRGDEYGPFPPRLQQQIYPEFSNESKGETSE >KN539846.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539846.1:3487:3756:1 gene:KN539846.1_FG004 transcript:KN539846.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAYDGSCLARWQGGGNDRGGSTWRGGNGEEETSAEARCGAEAVAVATMLGGGDEAMTVAAQRQEEVTALAVRPGDWKETTTMTWCG >KN539846.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539846.1:19792:20425:-1 gene:KN539846.1_FG005 transcript:KN539846.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLSVVGCFAPKRFC >KN539846.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539846.1:57645:58447:1 gene:KN539846.1_FG006 transcript:KN539846.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAEDQHSGARRQIMTGVYCAGFQRGYRESLGKSLKIV >KN539846.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539846.1:7389:10372:1 gene:KN539846.1_FG007 transcript:KN539846.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLFLHIYTGGKASSAGGDVSAAVVSSTATSRGGERSHRHIGQVRCECSHMSMHTTWNRKEDQETNAQECEPMLSTRYAFEKQLGWSSPYLRKHCLTTFPVNEVSGAMGDYMVTHGRPHVARVLSHLQLLKNEKSKQAENKQPKDYSKRCSLIRLYSVDQVA >KN540724.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540724.1:40006:41097:1 gene:KN540724.1_FG002 transcript:KN540724.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGTPFLHHQHQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPPPATEAPPSMPMNFVRSDMWMHPQQQQQHHHPREHKVLHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTISCLV >KN539846.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539846.1:27567:29381:-1 gene:KN539846.1_FG008 transcript:KN539846.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSLLSSCLCALLLAPLFSQGVDAWESRQGASRECRFDRLQAFEPLRKARSEAGVTEYFDERNEQFRCAGVFVIRRVIEPQGLVVPRYSNTPALAYIIQGKGYVGLTFPGCPATHQQQFQLFEQSQSDQAHKFRDEHQKIHEFRQGDVVALPASVAHWFYNGGDTPAVVVYVYDIKSFANQLEPRQKEFLLAGNNQRGQQIFEHSIFQHSGQNIFSGFNTEVLSEALGINTEAAKRLQSQNDQRGDIIRVKHGLQLLKPTLTQRQEEPRQYQQVQYREGQYNGLDENFCTIKARVNIENPNRADYYNPRAGRITLLNNQKFPILNLIGMGAARVNLYQNALLSPFWNINAHSVVYIIQGSAQVQVANNQGRTVFSGVLHQGQLLIIPQNHAVIKKAEHNGCQYVAIKTIPNPMVSRVAGKNSTLRALPVDVIANAYRISRDEARRLKNNRADEIGAFTPRFPQKSQRGYQFLTKGLSLIGM >KN540724.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540724.1:21681:26503:1 gene:KN540724.1_FG003 transcript:KN540724.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein 70 kDa [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/Swiss-Prot;Acc:Q42404] MQSRTKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLDFKPPVEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLDQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRQTSLKNVGDGTTYLQAWGFYILGFIRLLVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTRDQQHAGRPRSEEPRREERRADRDREKSRERPRERDRDERTRERSHDRTRERDSKEDRHHHRDRDRTRDRERGRDRERDHGRDRDRERDRRDRDRDRDRGRDYERDRDRGHDRHRERGRDRERDYERASHERDRGHVHERDAEYANGEPKHDRNLAGYDQEYGYNYEQHKSHDAYETERSKRHEHEYYQMQPNNTEPEGPEEGEAYDEGDYQYHQAAEEHNN >KN541387.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541387.1:4614:6949:-1 gene:KN541387.1_FG001 transcript:KN541387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFKDKGFPPTLLHLIPDGREWKVKEAEDGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDREECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSSKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEVLFRGFLEAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLVYEDNDGDRMLAGDIPWKAFVSTVKRLRVMRRSELPHDMTWVKWIHFLKLRSALNLFSSYVLPQIGADHVK >KN541387.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541387.1:24442:27865:1 gene:KN541387.1_FG002 transcript:KN541387.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTQHIPIVKRLCAHSRQIKIGRVGALRADSETASRSGLGPAPAFGAWAERNIILAHLIPTARTMWAEPEIAVNYGPRRGGCESSSSRPFASTRTDSAPPLRRRLLPSSSSALHRRPRNLDASPLAGDHHHGASRFASTSVVKQSSGSLFGWFLGGNSSQLPPLDVPLPGVILPPPLPDFVEPSKTKITTLPNGIKIASETSPIPAVSVGLYIDCGSVYETSSSSGTSHLLERMAFKSTTNRSHLRLVREVEAIGGNVFASASREQMSYTYDALKCYAPEMVEVLIDSVRNPAFLEWEVKEQIQKIKSEISEVSGDPHVTQEQLDRAKEATKSSVLMELESRIVASEDIGRQVLAYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >KN541387.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541387.1:19704:22552:-1 gene:KN541387.1_FG003 transcript:KN541387.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGAGGLEEAEEDEREEARGGGGGEVVAVVRLRAKRALGGAGARVLFYPTLLYNVLRNRFEAEFRWWDRIDQYVLLGAVPFSSDVPHLKQLGVRGVVTLNESYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEDICQAVDFIHRNASQGGSTYVHCKAGRGRSTTIVLCYLIKYRNMTPEAALDHARSAVKLFSNLNTRCLSIENSNQTHSAKSCEESSEPFSRLASSCHQIQSSNRTHPVRFSEQSSEAIVEAEVDGFTTEFDNEHFVLPLWEGMLAKPSSPSRCIDAVVITEEDLEGYETYADASSDTVSVEVVIRQKPMIRRLSCFLGSLKLTSNCEPSPPRRLAEVRAC >KN541387.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541387.1:18350:18968:1 gene:KN541387.1_FG004 transcript:KN541387.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLGGGDRAGMYEEGEDDELFGESSSVSGGESDDDGEGEDQFSEGGASAASALDQMEHRRKGLSRYYEGKSQSFACMSEVRCLEDLRKKEKPYKSKIKSCNSYAALGGIAKTQSSSSCANLSMMGAGGFRAPPIPVSKNGYHQ >KN540707.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540707.1:15283:16500:1 gene:KN540707.1_FG001 transcript:KN540707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEWELYPSSFIGAQVIDYGHVSGDMDDDQSGDLAVSMDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHEIVHARRQTWSKMVPQKPWYFMFTCSEEAVSGFAYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVTRTSHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDIAS >KN540707.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540707.1:38544:41415:1 gene:KN540707.1_FG002 transcript:KN540707.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFYYLLFYQYNLHLLIFCALKNTSGFYTLFLGIGKKNSGGFGRHIADSSTNHQKLNQARNCTAQPFSPGSYRSSIGDSSINQAISPARSLDIKGRFRGGGYNLKTSTELLKVLNRIWSLEEQHTADMSAINGLKLELQHAQEHIQELKCERRVYRHDVASLVRQLSEDKLVRKNKDKEKIAADRHSLQDELEDERRLRRHSEDLHRKFGKELSEIKSAFVKAVKDLEKEKKTKNLLEDLCDQFAMGIRDYEEEVRALKQRHANYEYQFDKSVLHVSEAWLDERMQMQNTDVKEDSLKKSTITERLRSEIEAFLLAKRSVSFKNNDNYMHDSRPNARLRRQSLESVHFNGATSAPQLAEDDDDDSVASDLHCFELNMHGSSIQKHDHTGPRRSYTGNMDAPKRRAEYSHSVVGESSHMSDVQIYSQGNKARSSSSRPWHATRTQEIDSQASARTVPAEEQNEIPCPHISQGYHNGTTSKNNLGAHADCLGQESLDRYSRASLFCDGTTSGDLCNPHSPSRQLDYQSASLGHEIGECSTELPVGMKENTLKAKLLQARLEGRHARLKASGGSVTSRRK >KN540707.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540707.1:25719:29282:-1 gene:KN540707.1_FG003 transcript:KN540707.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHGEAAQQREAGVSFGVPYQNKRRILTMQVYCAADEEEEVNDLGVNVALSMLKFYKTIIGLAPLPFDINYISIACSPLCEQEKYHLYYPQAAVMCQLAVVMDMIPQGGLVRKSYQSNDSLLVNNKSIRCAILIKDMTTKVKKLDLYAALKNITCIIANYPPRTTAVVKISANFQLSGKLYRRTINYLFTN >KN542951.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542951.1:4807:7451:1 gene:KN542951.1_FG001 transcript:KN542951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELELGPPPNTTMDSMSVRYLLNQIGSDRATHIQILATLGAALLGFQALLGYRRRRSSNKLFLILLWAAYTVSSNVVSYTVGLVQSVAERDRYSVQQWWAVGLLLLLGSADTMSAFTRGDAEQSKGMMVQHAVQTVLVLWVLVTRANNAILLDSSSRGVNWEWTITLSVCWLYSIVKMGQRIKAMRMASSSHGLVRAAKVVADYMHDTVDAWDREHRGHAGDGARDLDSVDMGPYKYLVHGEEGRSTPPSEQTDYRTRVPEDGTVVTIDKIWRCDGELLVSSGDGVGDKRRARARALKDTCLSFALFKLLKRRFCGLEVAEAGHQKARDFVVAGLLAGDDYERAFRVVELELSFAHDFFYTKYPALLPTSAVLHVARFVSLLAFLKLFYDFTYTASYTAKFFKDISAVGIFSSFNDFLFISMILGVEVMQQLSTGYSDWAVVHFVCDYVRRVDKKKKRHGGGGVFG >KN541311.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541311.1:9873:16984:-1 gene:KN541311.1_FG001 transcript:KN541311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGWGVGGGNRRGRDGARVEGKERGGINLGAQLAGSIRSAGSRDCKLNDTLHLEKFNHNLSTDLPVNYSAADKVKSQKLDYFLVLDLEGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIQGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITWFRILVEQELWKILEWELGSEDKGYRNDDNDEGATNANRRKPSSRYR >KN539740.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539740.1:51594:59919:1 gene:KN539740.1_FG001 transcript:KN539740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEAAALLESMAARVRGEEELSEEQLQANEQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEVCYACRLQHLPPIVLTCLLPRSYPSTCAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIYRWVDWLNSSSWSFIALNDEIERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPLQQRDENPGDHAELLQQRVQLTYPCPNCGSRNEKNFNSHWLVAFKCSDNRETTGRLQAVNSFRLRIYIVRRRRPSEQRLARRGRGNYQFTMMTPGSSSSSVPGDEADAGNWDARVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYSDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNVRTETGADNNDDDSDRLLYACSLQHLPPVVLTCLLPRSYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYRWVDWLSTSSWCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDACVSPNQMLDIMLERQKEKRPSAAPSPDSQAVSEKRKMEELLSLREVMRTSRQCPSCRMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAGECKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKVWSIAEHYGPSGCQQND >KN539740.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539740.1:2226:7399:1 gene:KN539740.1_FG002 transcript:KN539740.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGAAPVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSKPLSTEQPSSNACDPVICLMVHTISLELKYLIQLFYINCDNQDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCDMEGQQFSLSFSRNTQSQLVGSGLGNMGNTCFLNSILQCVTHTVPLFLRLRSTDHCAPCLYDKDGFCSFCALKEHIDESIRSSLTRYPELSSDFRPGQQEDAHEFLRCLLDNLDKCTTDPKAKDKSSSFDEESIVKQVFGGRLKSKKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLVSEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSVADITNLERPSTPSPKRKRFFSDDNEFNVFEFEDFGDDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSRSCPRSHPLGKKKKLGIPVPIKW >KN539740.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539740.1:31920:42747:-1 gene:KN539740.1_FG003 transcript:KN539740.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLINCLNTIAEVYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIGCYLLVQLSFTVCDYDLINPHKHSRLQHPHWSERGVYLHVISYNQPAISFYNKMLFKLVRRLPHFYYIRGQHYDSYLFVYYVNGGRSPCSPLEVITSFVVDFRAFLKMVVARFWNKEERSTPRWSRCKESTTLLVSQNNKRIIGEPEHKIFRHEQRSLTEQGFKTEGAKEAVLLQQANMSGHYFKSSTIDKRTFCSLTPDQLHDFSNLSYDCGGPWTMGCSTVSSPALSWSKNGGKGLLIIEGKGIPSRTEKFDLFSHCKQLRYLILEQCRIGALCLAGTTQLCSLAITDCSWTPQSSVAFSDMPALRTLHYSGAMATRHIIDNVDSLEEVVLAIKKPQVKLQEPNLRELLSLVGNVQSLMLSPWCIEQFARPEEWSKVRLNKVRQLSCIIERREEGASSIAPLLANCQNVEELSVSVVPSQCKRRWGSDDGANHWVMGGKGVVLRHLRAVRMVYIDESKSGLDLVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDADIQFSATG >KN539740.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539740.1:17055:25640:-1 gene:KN539740.1_FG004 transcript:KN539740.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGVQEHRQSGGGEKKAEQGEKEAAAKVEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKGRVILCLCQLCRSEARTPEWRRRGVIGNLVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFIGEEKAVRTYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKNISNGGESFTTMLNVVIAGLFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGAVLLDGHDIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDASMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQNKQSFSDSASLSRPLSYVSKYSRELSRTSMGGSFRSEKDSVSRYGTVEAHDEGGHKSKPVSMKKLYSMIRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFASILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNIGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPAKQSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKELASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDVKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVDAAKLANAHSFISALPEGYRTRVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHHQLIENRNGAYHKLVSLQQQQQQQDQMQRH >KN539740.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539740.1:60392:70556:-1 gene:KN539740.1_FG005 transcript:KN539740.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGRSHRIWFLLRWSKIASCLPGRTDNEIKNVWNTHLKKRVSQREKPGDTKKKGKAADASDDADAHSPSSSASSSTTTTTTAANNNNNSGDTAGEQCGTSKEPENVDVSFFEQDIDISDMLVDAPTEAPLLAAPMPPSPCSSSSLTTATCVGAVPDELLDLPEIDIEPEIWSIIDGYGGDEPVVSGADGDATVPCTASPGEEGAEWWVENLEKELGLWGPMDESLAHPDPPGQAASDKSPAATTATKNPEEGLGEPETGKCVQVEFAGTTADNSPAPTEVGREKSIELVGAQVDALQGSEIADEVVVGETKHGEARQPVESGREAPGERVVRQVELLEAEQVRDGAAIGEHARDVIVGNFAGKMLLRLFPERSRWRRCSTRQSRSQGRTRKARVSSSVSSSKYIPTPATELDAGVAGDERWSMARSERPREVRVQDSVARPSCSLHSVAGRGFCCLIPKHTSESVSLHSPAAPSVTMLKAPPTSFLSSLDPGGWRRRPFRLSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVAGIQNLGLGYSPEEIERLRAQDVGEGEVNIRLYEGRVVQGPLKGTEALFKVYPASRSGASEADLMAVNELRTHAFLQNDASDICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDRAYKLELKRYFVLKLLYGAMCGLVHMHNHDRLHQSLGPSSVVLKYSSVGSGALSDGLWRRASAAGASTPLEKRAFGIADDMQRLLENTFRLDIYAAREYFLADDRLSEAVDFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFLTGAVLWN >KN539740.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539740.1:8727:10936:-1 gene:KN539740.1_FG006 transcript:KN539740.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALLAGVAAAFATGLAGVYLSMPHSDYSFLKLPKNLQELQILTDHLEDYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGVALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFFLATFIGLIPAAYVTVRAGIALGDLSSLSDLYDKQSIALLFLIGVVSVTPTLLGKNEKQQGKGPEMAASSS >KN539740.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539740.1:44465:47576:1 gene:KN539740.1_FG007 transcript:KN539740.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARGEDDLPEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHACRLQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTLKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGPDKDVIYASRPQTPSCFPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKSYMYRLLL >AMDW01037931.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037931.1:127:411:-1 gene:AMDW01037931.1_FG001 transcript:AMDW01037931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VCETGANNEGKKSQTDACELANKLQENAQHIHMLLKEEAGENENHNLAISSDSMETTRQKGDELIKSLGGLVSYLNQFTDLIKENGFENVVGMS >KN538932.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538932.1:121636:123099:-1 gene:KN538932.1_FG001 transcript:KN538932.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGKDMTLLAYSSGNFWRSRPSPTSRTQSARSFLASGTTELGIEASCATYKLQSRDSRYGFVSVSPPRFALQSRFPCVKDTGIELPLPMKGAARFVVENATRQIKPVNGLPRLMTITTPQKHGKENNSNDSVLTKDENIEPLVAFSRPPPLPPVLGPLIMLSLFNMSSGGDENKN >KN538932.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538932.1:96795:97055:1 gene:KN538932.1_FG002 transcript:KN538932.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSLLGHLLNADDDDEVLTNKSGLFSSMSPRRTNYIETDTTSLILSRQIDDSTGCDMAHAQACTSYVAIRLSSLIVLDSRTSPTP >KN538932.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538932.1:23667:29257:-1 gene:KN538932.1_FG003 transcript:KN538932.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRTGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINTTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGTVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >KN538932.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538932.1:168054:168794:-1 gene:KN538932.1_FG004 transcript:KN538932.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGWKSPRRGNHFSPPPPPPPPPPLPLRPVVLHRAVDGGGKRSNKEGDAKFSSPPPSSHCPTTQLHRKVKSVDQRNREDGEAHFAPPAPPPLPIAPPPQQHLRNVKGVDKCGSKEDGKHCPPPPQAPKHRKTKSCDNNDGFTAGKLDGSLAVVKQSEDPRGDFRRSMLNMIVENRIVTGDELRELLHRFLELNAPHHHDAILRAFAEIWDEVFAGPDEPRHGPPRPPPRQRTPPRRRHPLPAWRL >KN538932.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538932.1:15939:16460:1 gene:KN538932.1_FG005 transcript:KN538932.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSSSSSSSYDLALTLRLKLLLLLDILAVLRFLAAALLERLGVVSCQEDNELPGFHSWCDSDVVDTGAMERLMQAKLSTSWYRLRRRASRGGPDNMASPSPHGDTSPAICTICLAELEAGGGGGGCQRQVAELSSCSHAFHAACIDGWVVEAGTCPLCRTPVLPPWQMAA >KN538932.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538932.1:171826:173787:1 gene:KN538932.1_FG006 transcript:KN538932.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGLDKGSHGKTIDFGEQVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKYSNSRASTTSNESLNHPSNIHIVMKYVASLLEIPEIELAEVTYKNATKLFSYHGSKVHNEVEAV >KN538932.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538932.1:164841:166130:1 gene:KN538932.1_FG007 transcript:KN538932.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDEELKLDEAAAAGGCRYDLELDLVLDQAVAAFHRRTPPHACGSGEAYYLMQSPETKALGDAVGFGSAFLFASVFGIRLYNTRKLVPSGLLLVLSLGALGVFYSAYMQDKV >KN538932.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538932.1:132285:132998:-1 gene:KN538932.1_FG008 transcript:KN538932.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRGESSGGGRSSLGYLFEPEPEDIIPDHSTKSVQGTNKAPKGNIVLGDKMASDEADQEHQAAAPLKKEDSNPIVSSRSASNIYHTNQVGNNSGLLITIFTFIPNNTCAPCPGFRDLV >KN538932.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538932.1:72248:73948:-1 gene:KN538932.1_FG009 transcript:KN538932.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPQPPTSYAPSSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAIHSIPAPPQQAHPLQPARSMDRQVLLQDRVAELIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVSKILGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVAEEVEVSSGCNGGGNSGGGEEVLVRLLQVVLEGKDEKARREMKELVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLRLLHEQFEMAAGGDQSEVAQIARQADNLHWMLDILVERQIAEDFLRTWAMQIELAELHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEVRCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEPEQPPRLRITAICENS >KN538932.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538932.1:47430:50060:1 gene:KN538932.1_FG010 transcript:KN538932.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGIARARLAEERKAWRKNHPHGFVAKPETLPDGSVNLMVWRCIIPGKEGTDWEGGYFPLTMQFTEDYPTNAPSCKFPSGFFHINVYDSGAVCLSILSTAWKPSITVRQILIGIQELFDDPNPNSAAQNISYELYRKDMEEYRKRVRQQAKKYPSAL >KN538932.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538932.1:107866:112261:-1 gene:KN538932.1_FG011 transcript:KN538932.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAATLRRSSRATGSQNIMEICLGPCVSSGASSRWVSSCTKHSNTSILNQIKAVDRYSPVNGVSMISRVPLSAHMDTNWLSTSNPRFNALPGFLGASSICRAYSSDTGIKAEVPQNTVSNVPSTETVELGTSDGGSSWIDIFDNARKSTLDATTDAGNKVKELTDAITPHVQQFFDANPNLEKVVVPLGGTIFGTMMAWFVMPIVLRRIHKYSIQSPISALLGSSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTAPSISAYLPQAWRGAIVLSFVWFLHRWKTNFITKVAASSIDQTRLSAFDKISSLGLIALGVMALAEACGVAAQSILTVGGVGGVATAFAARDVLGNMLSGFSLQFSSPFKAGEYIKVFGLVTAFRFSSNFTSIASTYKGYILLLELDAGSIEGKVIEIGLTSTELMNPEQLPVTVPNSLFSSQVIVNRSRAKWRSNVTKIPIRIEDIEKVPAISEEIKVMLRSNPKVVLDSEAPAPYCYLSRLESSYGELTIGCNLTKMTKDEWLSTTQGILLEAAKIIKLHGVELGSTTQCC >KN538932.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538932.1:126810:127988:-1 gene:KN538932.1_FG012 transcript:KN538932.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFTSMAVAAVYYRFHWQLEGGDVPMAEMFGTFALSVGAAVGMEFWAQWAHRSLWHASLWHMHESHHRAREGPFELNDVFAITNAVPAISLLAYGFFHRGIVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHTDKFEGVPYGLFLGPKELEEVGGLEELEKELARINRSL >KN538932.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538932.1:180185:183143:-1 gene:KN538932.1_FG013 transcript:KN538932.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAGKVGEEEDSEDEEEEGMEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPSPTPSARKAPKKVDSTNMMFQNDDSELWFLFCVNVFGVSPTSLQCSFDHKGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEICVRKQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEDCALLASMLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREAAGTPKTTEPCSGSPNGQDKPPTPENLERPIICSDQKGIDKPMFDMATCDQLLFGPKQFLDHRENNKFEGPEKHDIGQPKRHSEASPLGNDSNNQVSSPGKEFGNRNVEGLFDKFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPGEARQAWGLI >KN538932.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538932.1:136149:138198:-1 gene:KN538932.1_FG014 transcript:KN538932.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVRSPTSTLPAANHQRKSGVLLRRPTRRSARRFQLHAEKPASPGAGNETSSSSENAVLRAAWYGSELLGIAASFFRPSQPPTEGDAAGAAEEAASEPQGRAQVAEAIKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFNGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAGSGKACRALERPQDGTPAADFQAKPMGMGETLRRMKHRRSSRTRTMHDYTHCIPAIQESESSKSIGRPGFPGTLQIRPPSTVWFQNLPSLDGNKQFCESNDERYNCNNMIHDVILSI >KN538932.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538932.1:152895:155026:1 gene:KN538932.1_FG015 transcript:KN538932.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MACQGIDLLSAKAAGDGHGENSSYFDGWKAYDTNPFDLRHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTPEGVSQFKRIANFQDYHGLPEFRKAMAQFMGQVRGGKATFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPAFDRDCCWRSGIKLLPIECHSFNDFRLTKEALVSAYDGARRQGISVKGILITNPSNPLGTITDRDTLAMLAAFATEHRVHLVCDEIYAGSVFATPEYVSIAEVIERDVPWCNRDLIHVVYSLSKDFGLPGFRVGIIYSYNDAVVAAARRMSSFGLVSSQTQYFLARMLSDEEFIGRFLQESKRRLAARHERVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALGRIHDFVRQHQQRSRVKAERWAANRQLRLSLPHHHHLSPAHLSSPLALLSPQSPMVRATS >KN538932.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538932.1:104016:105606:1 gene:KN538932.1_FG016 transcript:KN538932.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYVWLDREVRESNMSTARTGLPAIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCIRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPNAKSRPAAVRRLFDGPIELDPAGIMQQSICYDGGNRWTVSVAWGFAVLVSRGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSARRAAAPRGGDTTVTRYERWRRANETRPACRWNIEDPDAHLDHIVVLKKPDPGLWNRSPRRNCCRVLSSPKEGKKGGDKTMTIDVGVCRDGEFSQVV >KN538932.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538932.1:60077:61923:1 gene:KN538932.1_FG017 transcript:KN538932.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYLYGVGSAVQMPMQQRSDAAAAGGVLKRSLGDMERWQQHQQQQRQIAMQQQLYLRTVQAFGTARALPPAPATSDLSILQELEKQLLGDDDEVEAAMSGTGSAVTGSEWEEQLNSITAAPSPPLTAATTPNNNNNAVGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAAAAIADGHNETAATHLAALKRAANSRGDVEQRLVAMMVAALSSRIGQTASVPDICGGETRAGCLAARRLPGTSLKVTAVTDPASPFTQSLTLPAVGDHLQKLAERAGIDYRFKMVSCRAGEIEASKLGCEAGEALAVNLAFALSHVPDESVSPANPRDEILRRVRALGPQVVALVEQELNSNTAPLTTRFADACAHYGAILESLDATIPRESAERARAEAALGGRAANAVAREGADRLGRGEGGPVAAGFAVKAENGVLRLGWMGRVVTVASAWR >KN538932.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538932.1:116255:119011:1 gene:KN538932.1_FG018 transcript:KN538932.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAAAVFVGWLMMWVMLPTRTFSSTWAPTLASHTNSTYFGKQGTRIVIYVFPILFVSVVACIYLHLLKRSGDSTGVQSQRGVFCTRMAAWRRPVLVRGPLGIVTGIELTFFLLFLALLVWFYSAFINLDFSKIHVKPVEKMWQAKLDRAALRLGHVGSFCCAFLFFPVARGSSLLPLIGLTSEASIKYHVWLGNLVMLFFTAHGLCYIVFWASTDQIHEMLKWTRTKVANVPGELALLSGLVMWVTALPRVRRQMFELFFYAHHLYAFFLVFFALHVGVAFFCSILPGVFLFMVDRYLRFLQSRAHVRLVSTRLLACDAVELNFCKSPHLTHSPMSTVFINVPCVSRLQWHPFTVTSSSSLEPDRLSVVVKRAGRWTEKLYETISSLPPSQPGHLDVSVEGPYSQATPASFLQYDSLVMISGGGGITPFISVIRELVHRSGTAAEAATPTPSLLLIAVLKTSADLAMLDLIVPASGGFSDISRLELRIEAFVTRESVPSAGDVVAIAHKVPAEEVLFKPSPSHAPIAPVLGHNGWLWLAAVVSSSFFIFLLLVGAVQRLYIYPVDGNSNRVYPWAARTLLNLLLLCVGIAAAASAAVLWNKRRRAEEAKQVENVATPATSPATWLDKPRRGRDAEVESSPLHYLEQATAVHFGRRPDLRRMLLEIGGTNVGVMASGPPELLEDVATICSSGSASNLHFASISFSW >KN538932.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538932.1:177925:179427:-1 gene:KN538932.1_FG019 transcript:KN538932.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHPPCCSSLFLVVTLLVFRSSPALPHTFFIFGDSLVDVGNNDYLVTLSKANAPPYGVDFAFSGGKSTGRFTNGRTIADVIGNVKYESHEETLIFLSMLDLTFLGTELSGEALGQKSFAPPYLAPNSSAEMMNSGVNYASGSSGIFDETEAFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSASANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSFPPFLCIGIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >KN538932.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538932.1:64137:64685:-1 gene:KN538932.1_FG020 transcript:KN538932.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWSTTTTLHDPPVVVAAAGASLCLCWLLKERGGRGSWARREGRGERGGRGGALVLVQEEPKEEEAALRGEAEEGGGPVGAGGGGGGDDDIVWGGGAGDGSASTGGGGSSGGGEVAVSVTHHRPRPPSPPLSDDREFLAPLEPIHHLLLGIPLVEGDKANELGYFRPITTRKSDVFFENK >AMDW01038558.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038558.1:170:722:-1 gene:AMDW01038558.1_FG001 transcript:AMDW01038558.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNHSADGDFVFQLESPVKLHDGKNYISLLSATVGLKNYGPSFEKMPTGIVGGPVKLIDGNGTAIDLSNSSWSYKAGLASEYRQMHLDKPGYKWNGGNGTIPINRPFTWYK >AMDW01034960.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034960.1:362:493:-1 gene:AMDW01034960.1_FG001 transcript:AMDW01034960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EDPGESLSDCEKDNDEVMEEDSKITDEESEDSFFVPDGYLSDNE >AMDW01138698.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01138698.1:126:629:1 gene:AMDW01138698.1_FG001 transcript:AMDW01138698.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRNQLEEIPADVHGLDADDGVSEHEVDVGDGAGPSIHRGGSAGDEISKRPPPKSMTKGRGSDPNETVHLGAPGPKKCTRGCSWCGLKDGHNVSTCPKNPANFERLAAASSRGKRKRGRPRGGGSGGSRGRKDVRRTLMDEWEGGQEEEGCSVQGHEGIYVKSCV >KN544302.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544302.1:728:3049:-1 gene:KN544302.1_FG001 transcript:KN544302.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSSSSHYLQPSATLPSTRRNSFQGVGAEAGGGGGMNPFGPTFSDPLCSLNLKETSEFVSSEEASRAAAAAGASYSRAAIAVLQLLEPRASPARLREEVGAFQVGGCGEVGEAGVVRPPWRPSPPPWQGLQASGGEEGVGGEEDISDLADCHFAKLDLGAQFDAMLINWSSKEEEEEEVSKSLRHFEASVAAVGEKRGGAGDCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEEEIACLNLLYNKRI >AMDW01037878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037878.1:14:747:1 gene:AMDW01037878.1_FG001 transcript:AMDW01037878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWPQLSFAAGIFVDRSLRLRPEFQSTAAAAHGAFTRSVDFQNQANAAAAEVNRFISQATNGRLNNTISPGTFGSSTKCVLANAMHFKATWGRKFESYNTQRRRFRRQDGTRVTVPFLSDPRTHYAARFDGLGFKVLQLFYRMVGHDGQVHFGAPCFCMLVLLPIKRDGLRHLLRMAVTEPDFVM >KN540688.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540688.1:45:287:1 gene:KN540688.1_FG001 transcript:KN540688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDDFFQGCRQSSFLPTEAEHAESPSLPPPPQSLPAETAKMAALGIPSGQQQEELAVQSPHEEPPRSISLLPPFCSSLS >KN540688.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540688.1:21785:25807:1 gene:KN540688.1_FG002 transcript:KN540688.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDQPLLFVCLRRVLLLSVALSAVAGQNIAEVGGGIDDDVLSHRKVIMISSVVTEVVADEVQGAITELVGGGGVDSVRTITEVDGGDVVRHRKVHSITEEDGRADDDDEVHAITNESVGADGKSN >KN540688.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540688.1:6883:11469:1 gene:KN540688.1_FG003 transcript:KN540688.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFFHQLEVIIIILCFFPTFPSFASGRYSPHDGATATWAGHHHQQQASLQPGDFNCSVLSGFVTRPGCSPLPAFAAAAGKSSSHRTVTGGLPELDSELALLAMIIVLADRAADRRKKKSGRARYSVAAIGALLIMLLLAAAAGSASTAPVADATRSNCTNDPNMPKHFRCNPNHN >KN540688.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540688.1:3368:3691:-1 gene:KN540688.1_FG004 transcript:KN540688.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECSEGRSNHVIRAVSLMPTRCSTERSSIDFDPDRREEGSMMWRRGGGDDDEHIPTVMSPPLELVAPPLAHGGVVDGRMMAHDGAVTTRWPARSCLLEFVEAADF >KN540688.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540688.1:38021:38526:1 gene:KN540688.1_FG005 transcript:KN540688.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLEVTEADQPAQRRVHPAACVQSLSIADGAGVGIDCSTPALTLMTTLQSDSDPGFQIHKIDYV >KN547028.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547028.1:587:796:-1 gene:KN547028.1_FG001 transcript:KN547028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSLSLSPNAYAHEAQAGIEAWLLAAGAGKTAAASSTCPVTKGDLRADDLVPNHALRRVIQASCVFD >AMDW01035274.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035274.1:4:510:-1 gene:AMDW01035274.1_FG001 transcript:AMDW01035274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSVVGKTMENMQNEINDLRQALHKSRSDCDRLQLLAAEQAQKIVKYELHIKDLEEREIFLLHSVTEKGLMFLFAMLKVEELTVDLKEVEREAARWREACELEVEAGKDAIKQLNQE >KN542766.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542766.1:3744:6849:-1 gene:KN542766.1_FG001 transcript:KN542766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQGGTHTSSAVSESIRVVLAGRPPPAEDGNAGMLLPALDYIPSPKPPALPPIPAAGSPTVARSPSTPICSASSRSASTFCTSASSRSNPSQYSLVDSFLTAEQTELQAAALSEAKLKTVPDQQSHWLQVQ >KN539437.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539437.1:60999:63840:1 gene:KN539437.1_FG001 transcript:KN539437.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAGAPRVRSLNVAETDADARPVLSLRGRDSSGGRLERSWSRVAPAVPRRGKTPVKAAAEKVAADAEVVAPATPEAGKRRCAWVTPTTDPCYVIFHDEEWGVPVHDDRRLFELLVLSGALAELTWPEILKRRQLFREIFVDFDPVAISKINEKKLVAPGSVANSLLSEQKLRAVVENARQILKIVDEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADMISKDMVRRGFRGVGPTIIYSFMQAAGLTNDHLVSCFRFKECNEAPTLCTSDTSNANSEADLSADELRTKICSKEMAAKAELSRTIVMQPSLYIATGEKDDDHFTDAETVKNLPQSVNIFVSRSLLFLLKFFLHGQIYLGTFKQVDLKNY >AMDW01073695.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073695.1:169:477:1 gene:AMDW01073695.1_FG001 transcript:AMDW01073695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDPERYGDSRPHFYTSWTRDGYATTGCYNMDCPGFERANGAAVAPGASIDPVSDDKSLQSITVEVLL >KN542498.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542498.1:1779:3480:1 gene:KN542498.1_FG001 transcript:KN542498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPNPLRFLRQGLVVHAGGDFRIPRVDLTVPQRPARRHEDFCVAIVEPIPLEQDWNHHRALIANFIQDELHYEGAGGWDEAVQQQQAANEQQEDAWGQDHPMGQIEENPGQLIIPQQMATPCPSSLMAPTQDSVLEPQVQEFLARLNKIAKTESPRHPYFYPMEGLLDKIDLLCKAKGIMQTLIHDNPILAALQISNFSALVLPRKSIYDSTPLVGRHESKWALQPFKSMLPPQETVVEDVIPISVLPPSSPTTVASPISMAHVALLPPKAPVKKRDGKTILYSPYRRQSSRLLQGNATKDLQMDPRMGIGKPRGKSAKKLKEFAGFAKLFIDSSLHESDFNETSYSDLNSDSSPSDCSLSVIQKMGVDMCGLSPEEVAESSLGGERRQKIPRPNMEDN >KN539272.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539272.1:51533:57856:1 gene:KN539272.1_FG001 transcript:KN539272.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARALDEGDGRRLGDKVGAVRDSNGVGALHLAAGRGSLPVCGFLLEELRVDIDAVEDRGETALTFAINSGNADMVRYLLDHGADTEKLNNDGLTVLHFASGEGKCEIVEILLSKGAYIDSLTTGGTALHCAAYNGRDDVVKILLDHHADHKKVAWGAYTPLLVAIESGSLKCVKLLIEAGADVKGIGKEIPLMTAASKGLTDIIKCLLEAGADPNVRGCFGHLPIEVAARCGARKDVETLFPVTSRIPCVHDWTVDGIINYAKSLPDVKDEEFCEAMLDMGKFQGREAVKNKDYRGAMHIYTKAIALNTRDASLFSNRSLCWLKLGEGEKALIDAEACRMMQPNWPEACYRQGAALMLLKDYKNACSSFLDGLKLEPENIEMNNALREALQSLKMSGSVDMEPLD >KN539437.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539437.1:11723:14306:-1 gene:KN539437.1_FG002 transcript:KN539437.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATRELPLLFVVLVLAGAGAARGFYLPGVAPRDFRKKDQLAVKVNQLSSIKTQLPYSYYSLPFCRPATIVDSAENLGEVLRGDRIENSLYVFEMREPRLCQIVCKTALTHQEAKDFREKIDDEYRINMILDNLPLVVPIRSLLDDHDAPTSYQLGVHVGIKGQYAGSNEEKHFIYNHLSFLVKYHRDENTDLARIVGFELSDDHTNISLLCTSDDLRSTKHEYDGEWKENETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFTYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPARADTLCVFVGTGVQFLGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLYRGFRGSEWKAVAMRTALAFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYLGFKRPAATEDYPVRTNKIPRPIPEQPWYMNPAMSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVLDITKVVSGVLYFGYMLIASAAFFVLTGTIGFYACFWFTRLIYSSVKID >KN539437.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539437.1:66263:69655:-1 gene:KN539437.1_FG003 transcript:KN539437.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSCAQLSPRLRVRWTLHGASDSIDIGLEAAVGSEYYVAFGWAAPGAAEPSMIGADVAVTGFTEDGLPFADDYYVTKYSECTVRADGAVEGVCPDTIYEQGNETAAGAKYDVPVNATANMTVIWAIGLLRPPDSLQPYYLPLGHGAPAGTAFGFATLNVSASGGCVGPLDAEDKEDQDRITAERNTPLVVTAGPSLHYPNPPNPDKVLYINKKEAPLLKVERGVPVTFSVEAGHDAPLYITSDAVGGNATSRNATEVVFAGGARAEGVPAAPAELVWLPDRNTPDVVYYQSLYDPKMGWKIQVVDGGLSDIYAYVGWIDGNGTGHVASYFIDGEDGAGVHETSENLTHTRCRSENGAIVFELTRPLSPSCSGRVECRNIIDPTTPLRVIWAMGSQWSSGQLTVSNMHSITSNRPVRVLLLAGTAEAEEELRPVLAVHGFMMFVAWGLLVPGGIMAARYLKHLKSGDLWFQAHTYLQSSAMAVMFLGLLFAIAELRGFSFKSTHAKIGTAAFVLASLQPINAYLRPHLLAENGEILPMKNRVIWEYLHIITGRSAVVVGAIALFTGLQHLGDRYGSKNIKGLTCGLILWVVGVTLVVVYLEFMAARRRRGGGADGLSGKWVLGNTDEDDSVDLLQSTKMESDSIEPMETNGATVHKVIEGYLQFEIVLSVLCFSFVSLESVLYCVLSDMDVKLHVRSIFVLVGYPPFRSGIPCLVRPKLDSENE >AMDW01038042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038042.1:32:647:1 gene:AMDW01038042.1_FG001 transcript:AMDW01038042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLRILCNVYALYLVHKHLGDFLSTGSITARQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDTDVPDGYQEHLRPLLKQQLKLSRL >KN539437.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539437.1:96837:105293:-1 gene:KN539437.1_FG004 transcript:KN539437.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKALLESDKTEAESKDADAEDNNKRKSSEGGKQGGSVKKVWDMSKIGQPPKTSSPQGENGLSQGERTSASDYGKRPYTLFQVRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCISPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >KN539272.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539272.1:120169:120300:1 gene:KN539272.1_FG002 transcript:KN539272.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDADNEVVAHDYALGVELARFCLVHMPSSTGTPLEERERM >KN539437.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539437.1:6925:10254:1 gene:KN539437.1_FG005 transcript:KN539437.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRGELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQLDSYCMGYDNQTVVEFLVAVLADKRDGFLCSLLGVIGMEFWRSLLAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKLRTEASFYGDQSMLGYNSVGDGTSFSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFSIQNAFQWVLIITGVIGAFIFCGFLWFFKYKRLMPL >KN539272.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539272.1:11565:12236:1 gene:KN539272.1_FG003 transcript:KN539272.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRASFLLAAAALLALWCSDHGGVVASDPSHLQDLCVADKASTVRVNGVACKDGEDVAAEDFFFSGLHMAGNTTNKQGSAVTAVNVAQVPGLNTLGISLARIDYAPHGLNPPHTHPRATEILTVLEGSLYVGFVTSNPENKLFTKVINKGDVFVFPKGLVHFQFNYGTTDVVAIVALSSQNPGVITVANAVFGSKPSITVDILAKAFQVEKTVVDQIQAKF >KN539272.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539272.1:61512:65333:-1 gene:KN539272.1_FG004 transcript:KN539272.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKSHTRRQPDVLRPGRGHVGAGMHNGRAPHRRSTVRRRHDRGGASRRPIGQPWGPARGAVRGCPAGAFAGDSVWAAVLSSREEGLTAAEAMEHRCSETGEWSTKKMVSVDADDDDDSTSFRFSYASKAIVVGGELGTVGWVDLWHGILVCDILIDNPRLRYIPLPPPLVPRQLKGDPMFLRNIIVLGGHIKFFEMYNHTTGSASSQGWVAATKKMNISSIASGNSSSSSSWEDDCAIKFSEIPVESLAFAQMLQLQPNLQQGTGTTRLTLKRLHAGYPALSLHDNDVVYILHTPDPDEDDKAVVIAVDMRNKALKGVADFGFGRPVGYGFTYLQTGISKHLSNCSSSSRCLILYLH >KN539437.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539437.1:94895:95434:1 gene:KN539437.1_FG006 transcript:KN539437.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRITADASTTPRDKAEAWWRLWRRGRVRGNQHVLLCATRPSQVVAIACASGVARRDQTRDRGRVRRGQCRGLSAMGPGHVVVAGASIGQAGAGGAMRQGGRRCVISPGGSQAGVGASGAARQGQAAPCDRGEPGRCGWFSATGIGLVVAAGMWSRDTDAAEVREACPETATVVGVH >KN539437.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539437.1:2263:2986:1 gene:KN539437.1_FG007 transcript:KN539437.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding YHPDVSKESDSGVHFQRINVAYQMVMGNMREAEERLEYWRLKYGLDDEDPDKYRNHLNDEDDDEWFDV >KN539272.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539272.1:86646:93863:-1 gene:KN539272.1_FG005 transcript:KN539272.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPSGIAGKLDGGKGRLRETVEGVRDRGAGALHVASGRGMLAVCSYLVEELQVDVDAADDSGDTPLAYAVRGRSIDDVKYLLDHGANPDKPDNKGYTPLHVAAIKGECEIAKILLSRGAHVDSFSSHGTPLHLSAFCQQDGVMKILLDHHADCYAWLNLVLTPPEHRVLPLIGHAECGMFNKLLKPVFTPLIMALNAGSLKCVELLLKAGADVKGVGTVTPLITAANNGQTDFYKCLLEAGADPNVPDEFGHLPIELSAYNNRRKDVEILLPVTSRIPSVCDWSVDGVISYVKSCPSVEDDPMYKMSPADMKLAASEAYRRQDYITAMKLYTRLTDICPHDATQFSNRSLCWLKMGAGVNALQDAQICRLMHSDWSKACYLEGAAQMLLKDFEKACDAFFDGLKLDPASDEIAEALR >KN539437.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539437.1:43136:46774:1 gene:KN539437.1_FG008 transcript:KN539437.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTTMMKVLVRVREFDVEKDLPAVEELERRCQVGLSGDMAAVHDHADDGDGDGAAAKEKKKTKTKTGMSLCVEQIGDPLARVRHAPEHVMLVAEYGEEEKKKVVGVIKACVKTVSRGGKQEKPFVKVANLLGLRVSPSHRRLGIGTALVRRAEEWCVARGAEHATMATTESNAASLALFTGRFGSQGGWSRLLGTPWMGYSRKGLQMLRQKEHGQKLPWYKLLGFFHLWSPYKDRCKSV >KN539272.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539272.1:3959:4719:1 gene:KN539272.1_FG006 transcript:KN539272.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGACFLQQLAVVALLALWCSHGAVASDPGPLQDFCVVDKMSQVRVNGFPCKDAKDVVAGDFFFSGLHMAGNTTNKQGSNVTTVNVAQIRGLNTVGVSLVRIDYAPNGLNPPHTHPRATEILTVLEGSLYVGFVTSNPENKLFTKVLNKGDVFVFPQGLVHFQFNNGTNNAVALAALSSQNPGVITVGNAVFGSKPSISDDILAKAFQVDKNIIDQIQAQF >KN538832.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538832.1:206351:210856:1 gene:KN538832.1_FG025 transcript:KN538832.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGSSKDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIASTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKAVDLSVDLSAASAAEEY >KN539437.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539437.1:53239:57090:1 gene:KN539437.1_FG009 transcript:KN539437.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRRVPGVLSTADVLQWLSGNTTKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPEMLDFSFETPGYKSSTKEHHLSYLSFAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPVKPLEASA >KN539272.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539272.1:123552:124930:-1 gene:KN539272.1_FG007 transcript:KN539272.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding GEPVNKRKATELKLLGNKAVEKKDYLSATGFYSKALDLYPDDATLFSNRSLCWHRMGDGGKALLDAHECRKLRSDWPTAYYRLGAALLLLKDYESACEALYNGFKLDPGNSEIEDAFRYPFCLFWLHYGNMAYDDSFG >KN539272.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539272.1:70203:83230:-1 gene:KN539272.1_FG008 transcript:KN539272.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFVLGPGVPQQLLIQSAAAGDLPAFKKFARMLDGGKGRLKEAVEAVNNRGAGALHQAARYGRTAMCAYMVEELQVDIDAADELGATPLGYAIYGGIVDTVSYLLDHGANPDKPNEKGCTPLHLAVEQGHCEIVKVLLVKGANVDSSSDNGTPLHVAASKSQDGCMKILLDHHADAFGLLFDLIENVYCCKCHKQSISYPERNARDEKIQCNKTFSTVCTPLIAAMMGRSLKCCKLLIEAGADVKGVGTFTPLIVAATEGLTDFYKCLLEGGADPDVPDKFGFLPIEIAARQNRRKDVEILLPVTSRIPSVHDWSVDGMITYVNKQVEVDPFFKIRPADLKLEGNRAYMRKDYLTAAKLYNMAIEHDPEDMTLYSNTSVCWLKMGKGMNALETAQVCRILRPDWPKGCYREGTAHMFLKDYEKACNAFLDGFKLDPANIEIENALRFVDTEKTIYQLVGIIQHIGTPRGGHDFAYCSTNVSQYSANSTGEVSQLTDISKQPRTGSPVIKSPPPLPQVQIKRWGFQRDLNRSGGSNMGHGGSVEPPNFLGTIKLLLVFSKVKADFLNKS >KN539272.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539272.1:13667:21540:-1 gene:KN539272.1_FG009 transcript:KN539272.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYLLDHGADTEKLNNDGLTALHFAAGEGKCKIVEILLSKGAYIDSLTTGGTALHCAAYNGRDAVVKILLDHHADDKKVSWGAYTPLFVAVESGSLKCLKLLIEAGADVKGIGKEIPLITAASKGLTDIIKCLLEAGADPNVRDCLGHMPIEVAARCGERKAVEILFPVTSRIQSVPDWTVDGIINHVKSLPEVKEEDFCEATLDMGKFQGREAVKNKDYLGAMNIYTAAIALNPRDASLFSNRSLCWLHLGEGKKALMDAEACRMMRPDWPKACYRKGAALMLLKDYKKACNSFLDGLKLEPENIEMKNALSEALQPLKMSDSVDMEPLD >KN539272.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539272.1:1724:2533:-1 gene:KN539272.1_FG010 transcript:KN539272.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRFFLLALLAASISHAFASDPSQLQDFCVAHKMSQVLVNGFACKDPAAVTVEDFFFSGLHMAGNTSNRQGSAVTGVNVAQISGLNTLGISLARVDYTPYGLNPPHIHPRATEILTVLEGSLYVGFVTSNPENKLFTKVLNKGDVFVFPQGLIHFQFNYGTKGVVALAALSSQNPGVITIANAVFGSKPFISDDILAKAFQVDKKLVERIQAHF >KN539272.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539272.1:98690:115744:-1 gene:KN539272.1_FG011 transcript:KN539272.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETPLAYAVNGANIAIVRYLLDHGAHPDKVDNKGFTPLHFAAEEGYCNIVELLLAKGAQVDSMSVRGTPLHLAATNGQHRVVKILLDHNADCNKIVSAVYTPLLVAIYGSSLKCVKLLIKAGADVNGVGNITPLIASVGSTEIMKCLLEAGADPNVPDEFGRMPIEFAVRCGTLKDVNILFPLTSPMPTVPDWSVRGIIRHVNTLPGQKDYESGLEKEVAGLKLQGVEALKRQDYLAASDLYTKALCLGFDDATLYSNRSLCFLHMGDGDKGYGDAYTCRMMRPDWPKACYRQGAALMLLKEYQKACDALLDGFKMDPGNSEIENALSYAGSCGAAALSRWLGVLAAKGVQELVFVNRPWPLDLRLPAALFGCSSLTRLHVGVWRLPDTRAVPRGAAFPHLREMVLSCVVMEDRDLAFLLDRSPALEKLAIITCQDGARVRLASRSLRILQVCLTVVNYIDVVDAPRLERLMLWMTSKHRSCLSSMVKIRNAPKLRSLGFMEPGMHELEIGNTIIQAGMKLSPSTVVRSVKILALEVKFAVRDEARMLPSFLKCFPNVETLHIHSAVEEEPTGKSKLNLKFWQDAGSIECVQHHIKKVIMREFRGTKSELTFLKFVAERAQKLERMVVVVTNGCFCSSGCQGDTQAQMETLMASAKWASEGSKLVAFENPHSQVGTPAWSFRFAFNFDWSDPFDYGYDQASLAVDLYPDDATLFSNRSLCWHHMGDGHKALLDAYECRKLRPDWLKAYYRQGAALMLLKDYESACETLYDGFKLDPGNSEMEDALREALASLKTSASTEAR >KN539272.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539272.1:26594:35221:-1 gene:KN539272.1_FG012 transcript:KN539272.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHACSPGATQRLLLHAAADGDLRLFKRIASRLDGGEGRLKEAVEAVKDRGAGALHQAARYGRTAMCVYLVEELQVDINAANESGNCEILKVLLVKGADVDSSSDCGMPLHIAAVKRIEMLEDGMMDFTLIMETEEEQLWWGYLSVKHFLTCHHSYKIQCNKVFSTFYTPLIAVLMVRSLKCVKLLIKAGADIKGVGTFTPLIAAATEGLTDFYKCLLEAGADPNVPDEFGRLPIEIAALQNRRKDVEILLPVTSRIPSVYDWSVDGIITYVNKNVQATNLEPEDGTCTRIGAFAWLKMGEGMKALTDAHLCRMLCPDWPKACYREGAAHMFLKAKSFFICNNYFVFRTDKACDAFLDGLKLDPANMEIENGLREAFKSLKKSRAA >KN538832.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538832.1:218475:223440:1 gene:KN538832.1_FG029 transcript:KN538832.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCPKLWSSAAPAAAQPGSVVINGTVVVANHFGLSAPGKSTTLRLFSGTEVDHGDAESLKRIAETRKGRLSAEAALRGGKKTRHGKASTTTYQSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYDFDYYNDLGNPDNDDHVRPVLGGTKTHPYPRRCRTGRPLSKTDGVTETRKHKLINLDYYIPPDERFSPGKLAEVLAMGVQAVTHFVIPEARSIFHGDVVNFKSTEQLRADLYGKPPQPAADARVMDELKSSVPSHKTYKQVSRIVKDNPAKFPTPQVIQHDTEAWRSDEEFAREMLAGLNPVVIKRLEVFPPNKSKITTDDIMTQIGGLTIQQAMEQKRMYILDHHDYLMPYLRRINTEGVCVYASRTLLFLRDDGALRPVAIELSLPDGGVGGGEISRVFLPASQGTDAHLWHLAKTHVAVNDSGYHQLISHWLFTHATVEPFIIATRRQLSAMHPIHKLLDPHFKDNMQINTLARSILLNAGGLLEKTMYPGKYSMEMSSDIYAHWRFTEQSLPNDLIKRGMASRDPKARGGVSLHIEDYPYAVDGIDVWLAIEGWVYLGQRATSTWTDDGEVLLLLDRFRDELRKVEKRVEERNKDPRLVNRRGPVRVPYTLLYPDVGDVAGKEKGITGRGIPNSVSI >KN538832.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538832.1:176721:188865:1 gene:KN538832.1_FG031 transcript:KN538832.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNVASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPIEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSITFQYFLVPAIIDNRITGKDYKYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDDCSRAAQDIGAAQISGENPRNFLAGLASNIGLDKFRAATLVCASVAARTRSLFLQCWALEMQGKRSEALDELSKICRIHNMFPPEENSAEMEMVAGGLKKNLQVAERVHLLSLYRSICTAGNIRTAAEALGLGKLKLPDTTNCYGWGLGLQRDDEV >AMDW01023878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023878.1:35:295:1 gene:AMDW01023878.1_FG001 transcript:AMDW01023878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDPTTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTET >KN543512.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543512.1:6387:6662:-1 gene:KN543512.1_FG001 transcript:KN543512.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGSRRRMATAKGAGAGRRRSSSAVAAPRPVRQLYWKLRSRLRSSSSSKRHAGGAAARFGYDLQSYSRNFDDGDLDRSSPASYRF >AMDW01039505.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039505.1:43:847:1 gene:AMDW01039505.1_FG001 transcript:AMDW01039505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVPFPPPAADYPLLIGDWYKANHTDLKYMLDSGKALGFPDGLLINGRSWDGYTFNVQQGNKIFVLKTKNAGRTYRFRISNVGLSTSLNIRFQGHTMTLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPAYDYAVVVSTRFTSKIISTTAVLRYSGSGGKSPAALPGGPTIQIDWSLNQARSI >AMDW01015620.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015620.1:12:233:1 gene:AMDW01015620.1_FG001 transcript:AMDW01015620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAEAMVMVVLAVTVAVAMVAAQAPPPAQCDPGKLSACAVPIFFGTAPSKSCCSNLRAQEKDGCFCQYARDP >AMDW01025486.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025486.1:11:229:-1 gene:AMDW01025486.1_FG001 transcript:AMDW01025486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGK >AMDW01040048.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040048.1:425:998:1 gene:AMDW01040048.1_FG001 transcript:AMDW01040048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FMDPIVFGDYPGTMRKLVGDRLPKFTAEQSELVKGSYDFIGLNYYTTNYAKSVLRRPSKLKPTYATDNWVNQTAYRNGVPIGPPAFTKIFFTYAPGLRELLLYTKRKYNDPDIYIAEN >KN543917.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543917.1:446:2823:1 gene:KN543917.1_FG001 transcript:KN543917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGATDVFAVPGDFNLTLLDYLIAEPGLTLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLEDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLSPRLSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLSELAKRVNKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHVQKMLNSDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGAKDKRVIACIGDGSFQVTAQDVSTMIRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTEAIGMALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >AMDW01020241.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020241.1:39:233:-1 gene:AMDW01020241.1_FG001 transcript:AMDW01020241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDVSVIVQPPMLRFTELKEKQSFTVTVRWAGQPNVAGAEGNLKWVSDEHIVRSPIVIPATAA >KN544033.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544033.1:3334:3624:1 gene:KN544033.1_FG001 transcript:KN544033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSILHQVLGAAGGVVLHAFFLEGSAKTRDGSKLVGISPVSLWGEERFKEEEQNKIKGNQKRHPKGNNYRMRGIKHLRGMEIESGCMHTRQKIHESG >KN543056.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543056.1:9807:10488:-1 gene:KN543056.1_FG001 transcript:KN543056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSNALT >KN539075.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539075.1:45995:46481:-1 gene:KN539075.1_FG001 transcript:KN539075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITITTTTRTTVLGVAPEKGYDILISMNLFLDQKTKVKGTPI >KN539075.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539075.1:133759:139187:-1 gene:KN539075.1_FG002 transcript:KN539075.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSARFLVELRLLLVQCDQYMFFCGAISVLERDSVMKKFQGVILQLEQALCDIPYNELDVSDEVREQVELVHAQLKRAKERIDMPDDEFYNDLLSVYDKNYDPSAELAILGRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGDSRPITIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQKMSTSALTPNYVLRSLISQWCENNGMEPPKRSTQSNKPTPACSSSERANIDALLSKLCSPDTEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGMGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGVLMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGTPRNRENAAAVMLHLCSGEHHLVHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVHQQEEQESQSQASAQVPPQATPEQVPENDIPEQLDSPASQYPMVV >KN539075.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539075.1:21279:30106:-1 gene:KN539075.1_FG003 transcript:KN539075.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSMQLLLILSCLASSELGSRIHGQQLDTTQGFISIDCGIADKDYPDIDASSFSAGINPPYTDRDLAARYLTVRYFPGRSDSGRRRGCYTLRQLKPGGKYLVRATFRYGDYDGGAIADLPVIFDLHLGANWWVTVNVTAARAVYIHEAVAVAPAAGYLQRLKANLAGGRSWLSRSSDWFAVASGYSSAFSGLRYPFDLYDRIWQRFGADFSWTNITTSDTINTSNITDNVPSLILRSAAAPANGSRRMDISWTSGDGDPSSMTTTGNMTYLVVLYFAELQRLPGDALRQFDILVDNAPWNGSRSGYVPKYLSAEAVSMAVQGSVQRRRHTVSLVATASATLPPILNAFEVYSVEQLTEFQTNVGDAKAMMAIRDKFGLKKNWVGDPCAPEAFSWDGLNCSYSSTGPARITALNMKSSGLTGTIDASFGDINSLQYLDLSNNNLSGQVPDFLAQIPSLKFIDLSSNDLSGSIPVVLLQKQQNGSLILRMDNNAKLCKNGASGCEPKNKGKKRIIIIISIVVPIFIATSLFATVMVLLRRMRNRQGEAFVSSPLTWHQRLKIALDSAHGLEYLHKSCRPPLIHRDVKTKNILLSANLEAKISDFGLTKVFTDEFTTHITTQPAGTLGYLDPEYYNTSRLSEKSDVYSFGVVLLEIITGQPPAVPINDTESIHVAQWVRQKLSDGNIESIADPRMGGEYDINSVWKVAELALKCKEQPSTDRPSMTDVVAELKESLELEVPHALGYYSLVTTSANNISTTSVDLQTDHAKASDPRQRTAVELGQAGNDPAIHTDPKPR >KN539075.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539075.1:56233:56880:-1 gene:KN539075.1_FG004 transcript:KN539075.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKINTTITTATTVLGVAPEKGHFEVMVGKAGESGEAAHGCSCGSSCKCNPCNC >KN539075.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539075.1:73726:76449:1 gene:KN539075.1_FG005 transcript:KN539075.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit beta-1 [Source:Projected from Arabidopsis thaliana (AT5G53480) UniProtKB/Swiss-Prot;Acc:Q9FJD4] MSLDITQVLLSAQSPDGATRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDVGVKAQIKGLLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSTDVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVNDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIIQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGAMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHAAAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSISSKKFLEECLASDDPLVKESADWARVAISRAVSG >KN539075.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539075.1:6945:10492:-1 gene:KN539075.1_FG006 transcript:KN539075.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVPMIMADKKASAFHIRRTGKNIWKKPDRDFSKIPFPMAGVHQGSHPEAGANKHTEVEEEQEDWLPADTRVIDMVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSETPSMIIGIEEQELHGGSGCITSIMKSTPVDMDGYPMDQIWMEIEAPNVLPGPCFDEAKDSASNSLSGPLLPYPMWDYYCPETCLRMDDEIKSLMQHGYSISTWVLSTALYKVEEYAGGTDNTVQ >KN539075.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539075.1:58805:60497:-1 gene:KN539075.1_FG007 transcript:KN539075.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLGVLRRHDRLLLQKGVHLYHHDSGGGEESVREVARMDEFEFEWSFPGEPYAFMVIPYTESLVRLWRILSSKDAEKFDKMTRKLMDATSILRQPRSGVG >KN539075.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539075.1:32119:34740:-1 gene:KN539075.1_FG008 transcript:KN539075.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAARPGQRHVVSLVAAPDSALPPIVNGLEIYSVQPMPELATNDRDAKAMMEIRDKYELKKNWMGDPCAPKAFAWVGLNCSYSSSDPALITALNLSSSVLTGPVNLTFGDLKSLQYLDLSNNSLSGPIPDFLAQMPALKFLDLSSNKLSGSIPSDLLQKRENGSLVLRIGNNANLCYNGANNTCAPESKQSKRILVIAIAVPIVAATLLFVAAIVILHRRRNKQDTWITNNARLISPRERSNVFENRQFTYRELKLMTSNFKEEIGKGGFGTVFLGYLEDGTPVAVKMCSKTSSEGDKEFLAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMQGGNLEDRLRGEASIAAPLTWHQRLKIALDSAQGI >KN539075.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539075.1:239:3721:1 gene:KN539075.1_FG009 transcript:KN539075.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53580) UniProtKB/Swiss-Prot;Acc:Q9LFG2] VDNRDSAVPKVTPEEAAKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPDIPTKLPSTKNEAVVQADLAVDGSTWQVTCVSMGNPHCVTFGTKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAVH >KN539075.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539075.1:115454:116174:1 gene:KN539075.1_FG010 transcript:KN539075.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEKKVLIFDLGGGTFDVSLLTIGGIFEVKATAGVGEDFDNRMVNHFVQEFKRKNKDITGNPRALWRLRTACETAKRTLSTIEIDSLYEGIDFYSAS >KN539075.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539075.1:43315:44903:1 gene:KN539075.1_FG011 transcript:KN539075.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKSVSGGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >KN543062.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543062.1:2054:2179:-1 gene:KN543062.1_FG001 transcript:KN543062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEYQQQVRELSDRLAFVDGVLRSSSGRRTAAVAAGMD >AMDW01036507.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036507.1:14:583:-1 gene:AMDW01036507.1_FG001 transcript:AMDW01036507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVK >KN542892.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542892.1:6470:7749:-1 gene:KN542892.1_FG001 transcript:KN542892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTALPSAVLALRLLTLALLAAYVLAIAVIGCAYTLLQILFVAVSIAKRKKMIGGSENVALFLIFADVVFALLVATGAGAGFGLTYDAKKAFGGSKLQGEVARFFNMAYAAAGLMLLAAAAMALIIMLSIYSLVR >KN541162.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541162.1:23197:23516:-1 gene:KN541162.1_FG001 transcript:KN541162.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLMTMVLVLLCKQQHAANEAVEDAWGHDHPMGHVMEQPRQEQLAVVPTQASALPVHGIKVKKLVATLYELARDESPKHLYFYHMAGLNQEIESL >KN539903.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539903.1:14610:18323:-1 gene:KN539903.1_FG001 transcript:KN539903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLLLLLALLLCRLAAVLPTSEVEALQGFMAGFAGSNAAFQSWDASAPNPCTWFHVTCGPGNQVIRLDLGNQSLSGELKPDIWQLQALQSLELYGNSISGKIPSELGRDLSHNNLSGIIPTNGSFSHFTPISFSNNPRTFANSSDSPSNNSAAAVPSGRSSASSIGTIAGGAAAGAAMLFAAPIVLFAWWWRRKPHDQFFDLLEEETPEVHLGQLRRFTLRELQVATDNFSQTNLLGRGGFGKVYKGRLLDGSLIAIKRLNEDRIGTGERQFLMEVEIISMAVHQNLLRLQGYCMTPTERLLVYPYMENKSLETRLRECSDSQQPLDWPTRRKIALGSARGISYLHEGCDPKIIHRDVKAANILLDEKLEAVVGDFGLARIMDYKVSHVVTGVMGTLGHIPMEYLTAGRTSDKTDVFGYGIMLFELISGKRGFDLVGLANEENARVHDWVKKLLEEDRLEVLIDPNLLEIYNGGEQGVREEVRLLVQIALLCTQESAPSRPRMSTVVTMLEDGIAEHWDAWQRKTIVQASLQGGQGVSEAHNDSVANLPPDTLSGPR >KN539903.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539903.1:47103:48105:1 gene:KN539903.1_FG002 transcript:KN539903.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVKIGQWGGYGGSAQDITVTPIKLTGMTIRSGNAIDSISFSYSGIDGQEHVVGPWGGNGGHATTIMLGPTEHVIEVSGTHGKFGPVADVVTYLKIVTDITTYEFGVRSGTDFSVPLQGGAHVVGFFGRFGVLMDAIGIYTRP >KN539903.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539903.1:5801:9335:1 gene:KN539903.1_FG003 transcript:KN539903.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDWFYDVLASIGLWQKEAKILFLGLDNAGKTTLFYMLSQENLAVHQPTQHPTSEELSIGRIRFKAFDLGGHRIARRVWRDYYAQVDAVVYVVDAADRCRFAESKMELDALLSDDALAGVPFLVLGNKIDIPYAVPEQELCYYLGLTGLTTGKGNVNLAGTGVRPVEVFMCSVVRRMGYGYGDGFRWMSQYIK >KN539903.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539903.1:20642:22019:1 gene:KN539903.1_FG004 transcript:KN539903.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERIAERNTKHAERTTYIRQPLSARGGESIHPSTDAKAGQEPAFKATLRIAILRLQSSNAVMLLSLPEATQCHVAGLRRRDEMCEGWEQGGPYRGRSDGDYQEASASAAAAVSRPPICVAWGGGDGNGGAEERRSARGGEHERLRGGSAVREADTESAAPAAEEAGGGDGRRRGSLGVARRKSRRHFPLGVGEFGWGGNGKEVWKSTPLGWMDMGWAAFSSHAHLSPYYIGPTH >KN539903.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539903.1:32589:42409:-1 gene:KN539903.1_FG005 transcript:KN539903.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRATNLVALCVLSFLVASEDARQLLSDCVAAHLRHKDVALEYGSRLQSSGGRILLQSLSGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDEGSVSEVEDEGDDDDEKSGESDDDDAIKSVEDLKKLVPCTLEEFAKRVASAQGSSSTSESSDTAESPEDGKRPLQKGDRVKYVGASVLVEADHRINLGQIPTQEGGTNAYTSINGRTLSNGQRGEVYEINGDQAAVIFDPSEDKLSDDKKDEASKEHLAKPAVCWVDTQDIELDHDMQAEDWHIAIEALREVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEVFDQLTGSLVLICGQNITEAAPKEKEPKTLVFHNLPRLSPLTSSLKRLVGGLKARKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNNQIEEDRKIIISRHNLVEMHKVLEEHELSCEDLLHVKSEGIILTKQRAEKVIGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKAQEASSRKSSEKMKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKGGVSGTKTSLRPLKLEDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >KN539903.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539903.1:1859:4665:-1 gene:KN539903.1_FG006 transcript:KN539903.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIARLVLVDPARFRRPTVISKLQYYVPLFGSFETLLQALKNNSYLLSSDLEKVVKPNVALLRECGLGACDIAKLCIPLPRLLTTSPERVRDMVAQAENVGVRRGSKMFRHAILAVAYISEEKIAAKMQFLMKTLKWSDAEARIAVSKLPVVLRSSEDKLSRVSEFLISEVGLEPAYIAYRPAMLTYSLERRLMPRHCVLKYLKDNGLIESDKSYYSTVQVTEEVFVEKYISPYEDTAPHLAEDYAAVSSGKIPTRFRLKGPKTGHASAQTA >KN539903.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539903.1:23181:25294:-1 gene:KN539903.1_FG007 transcript:KN539903.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELFRVGDGFAGSNRKVGWGNFNEALSLFVRWVGRGHDSLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVAKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKEHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAQVGTASRPLLDQPSSDPYTAAFSKLSMLAEQRGDAYANADARVSLEEIAAKQGHDDVSKLTPTDIAIEALLKIENFVTEHSTSSGPVGDLIVDSQNRRTKAL >KN539903.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539903.1:9983:10420:-1 gene:KN539903.1_FG008 transcript:KN539903.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLMKTLKWSDAEARIAVSKLPVVLRSSEDKLSRVSEFLISEVGLEPAYIAYRPAMLTYSLERRLMPRHCVLKYLKDNGLIESDKSYYSTVQVTEEVFVEKYISPYEDTAPHLAEDYAAVSSGKIPTRFRLKGPKTGHASAQTA >KN539903.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539903.1:885:1211:1 gene:KN539903.1_FG009 transcript:KN539903.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding VDAVVYVVDAADRCRFAESKMELDALLSDDALAGVPFLVLGNKIDIPYAVPEQELCYYLGLTGLTTGKGNVNLAGTGVRPVEVFMCSVVRRMGYGYGDGFRWMSQYIK >KN539903.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539903.1:42694:43373:1 gene:KN539903.1_FG010 transcript:KN539903.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKIIEMSALNHLMLTHVLQQAQQIQYLYDQIFVEKKYKWHSSQAVETTNNVERVKAATAGRGVRVAAAATPREEEYGGGCTARRFGWVG >KN539903.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539903.1:13146:13808:1 gene:KN539903.1_FG011 transcript:KN539903.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLLGSDLEKVVKPNLELLKQCGMSDFATSFPLYTSRLFTANPIYLRDAVARVEELGLDRSSRMFRHGLIAVAFTSKESVLRKIQVMEELGFSRDELLMIIRKAPQLVASSEEKIRQAAEFLKRDVGLEGRYIAHRPVLFLYSLERRLLPRHHLLKVLRMKGLLDCELDYYNTAAMSERKFVRKFVDPYKCHIPGLADAYTSSCAGETANGVASLLGV >KN538791.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538791.1:105191:112398:-1 gene:KN538791.1_FG001 transcript:KN538791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFGGVGGCGIRRADGGGLLGYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFVSEIKFLFQKKKCSKHCHKIAFSCEISKPRAARNYNLFLQILALFCYKLSGKRKTLVTWDYDLKVMRQEYYINRQKTFISHLANQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHCTSLIQAATEMSKQQCQHTCQRMPWFSRYQPFNLICFLFNLNLKVPCQPIAKDASMNLNRTVVSHVVKAVRLTPGSAIGSMPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNPERLKNQVRELTSRVKALQE >KN538791.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538791.1:73704:82898:-1 gene:KN538791.1_FG002 transcript:KN538791.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSGLRSSPRKPAAAPAVAQMDLSTPSKPTPKRKPKAPPVAAPMSPATPSSVRRSSRLLETPTKKRAHYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIARPEPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNVGNDYVSDSDEDSEYDEEEEPTKCSSARTHQSHVLAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKAILLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGHCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAAAVLSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHKLQKLQLNYPRNPQIFHGCPSTCEVYTCSSCTFPAFHSSTPGVGEQRSQLLVHDQQGCYVVAVEIDPRKVELAAHNARIYGVDDMIEFVVADFFHLAPSLKADLVFLSPPWGGPSYSQAQVYSLDMLKPRDGFTIFQAAQEISPNIIMFLPRNVDLSQVEQLSWLSSPPLDFVSEENYIEHRFKGITAYFGGLAQEVLKQG >KN538791.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538791.1:16007:22564:-1 gene:KN538791.1_FG003 transcript:KN538791.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERWPLPVAASAAAVARLSLLVVLCGAISRAAAAAPVRVGVVLDLASGGEGRRSLACISMALDDYYGANDYSTAAAARARVELRVRDSRGDVLAAAHAGVSMMDPKFRGKDEPVRMPVYLEELMNKNAQVEAIIGPQTSAEVELFAGIAIRNHIPILSFSPTTSPALSSPPTRFFVRTAASIASQAAPIAAILDVFSWRAAVLLHEDSLYGIGILPALVHAFQVQGQLLAGSYGLAGRFRLVDGERQVSAYEVVNIIGSGARTVGFWTPELGVSQDMARRRPKSGSNEELKQILWPGETAAVPIGWSESANGRPLRVAVPVKVGFNQFVAIRRQQNQTSAGGAMITGFCIDVFQAVMAKLAYPVAYQYVPVTDNMLSYDKMVNLVQEKKADVVVADMTITAERMKLVSFTMPFTDSGVSMVVAEKEKANNMWIFLRPLTPGLWITSMAFFFFTGFVVWAIEHRINPRFHGTPCNQFGVVFYFAFSTLVFSHKEKLESNLSKLVVIVWVFTVLIITTSYTANLTSMLTVGQLQPTINELKKGDYVGYQQGSFVQNILKDMGFNEDRLRAYATIDQYAEALNMGSDNGGVSAIIDEVPYLKLFVSQYCQGYAIVGPTYKSGGFGFVFPVGSPLVPDVSRAIVQLAEENRLARIENKWFGEPGSCARKSNSTGDDKLRLKPRSFGGLFLINAAVSSAALLAHLVAVSSLPTELRRRFAVVVAFGVSGRRGGGAPVAMAGGGAEERISEPHQLVGEPPNQNHSAAGTSSTVVVQ >KN538791.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538791.1:153631:155475:1 gene:KN538791.1_FG004 transcript:KN538791.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g30700 [Source:Projected from Arabidopsis thaliana (AT4G30700) UniProtKB/Swiss-Prot;Acc:Q9SUH6] MVPSPDTVLWNTLLAGLSGSEAVESFARMVGDGSVRPDATTLASVLPAAAEVADVTMGRCVHSFAEKCGLAEHEHVLTGLISLYSKCGDVESARCLFDMMEKPDLVAYNALISGYSVNGMVGSSVNLFTELMTLGLWPNSSTLVALIPVHSPFGHDLLAQCLHGFVLKSGFTANSPVSTAITTLHCRLNDMESARKAFDTMPEKTMESWNAMISGYAQNGLTEMAVALFEQMVKLNVRPNPITISSTLSACAQLGALSLGKWLHRIITEEDLEPNVYVMTALIDMYAKCGSISEARRIFNTMDNKNVVSWNAMIAGYGLHGQGAEALKLYKDMLDAHLLPTSATFLSVLYACSHGGLVEEGWKVFRSMTDDYAINPGIEHCTCMVDLLGRAGQLKEAFELISEFPKSAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPENSGYYVLLSNLHTSKKQYSEAAVVRQEAKSRKLVKTPGYTLIEIGNKPHVFMAGDRAHPQSEAIYSYLEKLTAKMIEAGYRPETEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >KN538791.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538791.1:4964:11351:1 gene:KN538791.1_FG005 transcript:KN538791.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHIISGFTALAHCCLLLVLLLAPSSSSSSSRNAAPVMVGVVVDLQSEAGRKSRTCIDMALEDPMSSTTLHVWDSRGELTEAAHAGPMGCAAKYLTKNDMAFVISREPQRLNKEVNFAAFLDNPNIDDVHNQSILLWSYNVIPATATSTEICRLFSSVVQTIERELGQGGVLVARRTHADSADTISFNQLAEKLKLSSYEIVTITGEGARGVCSRTSYSGLPQNRSTSNYSFDISSNGNGAVTEDSQSASVGHSRVGLAVTHGTKTPLNPKTQRRNAIESKDKCSKSSCGSGSEKSNETLRIAVTRKYGFQNFLNIADLPNGKIIATGFSIEVFENAMKKLDHPPCYMFCLFEGSYDDLVDSVSSGMFNATVGDVSITAERERLVDFTMPYTQSVVILVQSYTASLSSMLTTSRLRPSVVDLDQLRHNNDYVGYQNKSFVYSLLNQTFKEDRLRPYANGKEYAEALRRGKVSAIVDEIPYIRSFMSDQNNSNEFWVFPQTYNILGFAFGFPIGSPLVHNLSVPILDMTRITNKTDSQLTDDHGSHSTPLTLENFSGLFVIVGSVSTLMLLISIVRLVVSKCSETANTNAPSIDDDNGDEESNPQQNDTEEPLLEARDNDSRSADQNGSFVADQEPSQMQSGTSNGHVPAQAQHIQIEMSPA >KN538791.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538791.1:157006:158448:-1 gene:KN538791.1_FG006 transcript:KN538791.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSIQNGLAEEGLVLFNEMRQDNVHPSEYTMVSVLAACAMLGGLHQGRWIHGSVIKHGLSTNSFISASLLDMYAKCEKVEDARRVFDELEFVDIVLWTAMIVGYTQNKRPLDALQLFLHKKFVSIVPNSVTIATVISVSAQLRHLPLGRSIHAIGVKLGTMESDVVRNALVDMYAKCQALPEANSIFGRILIKDVVAWNSMMAGYSENGMANESLVLFNRMRMQGISPDAISVVNALSACVCLADLHIGKGFHTYAIKYAFMSNIYVNTALLNLYSKCADLPSAQRVFNDMTDRNSVTWSAMIGGYGMQGDSAGSIDLFNEMLKENIHPNEVVFTSILSACSHTGMVTAGKEYFDSMARHFNITPSMKHYACMVDVMARAGNLEEALEFIQNMPIKAGISVWGSFLHGCKLHSRLEFGEEAIKKMAALHPETPDFYVLMSNLYTSYGRWDKSQTIRRWMQEQGLVKLPGCSSVGHENG >KN538791.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538791.1:148045:150315:-1 gene:KN538791.1_FG007 transcript:KN538791.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGVVEVGTPWKHVYIDRLSFKFCSVVERVCNWLGQDIDGKDVALSKFKGRALLIVNVASQCGLTTANYTELSHLYEKYKTQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKTGKVVERYPPTTSPFQIESPDGLRLPMEFAWALLAICFNIVPELSWELLSWNASCK >KN538791.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538791.1:129477:133042:1 gene:KN538791.1_FG008 transcript:KN538791.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMANLLLIPIIICYLVPIAVAVDAPPPYVDLANNSLAGDIPYSGECRLPSLRYLLLWSNDLSGPIPPALSNSSLLEWVDFESNYLAGELPPQVFDRLPRLQYLYLSYNNLSSHGGNTDLAPFFRSLTNCTRLQELELAGNDLGGELPAFVGELSREFRQIHLEDNAITGAIPPSIAGLVNLTYLNLSNNMLNGSIPPEMSRMRRLERLYLSDNLLAGEIPRSIGEMPHLGLVDLSGNRLAGTIPDTFSNLTQLRRLMLHHNHLSGDVPASLGDCLNLEILDLSYNGLQGRIPPRVAAMSGLKLYLNLSNNHLEGPLPLELSKMDMVLALDLSGNALAGAIPAQLGGCVALEYLNLSGNALRGALPAPHSPALRPSMVDVCHEITLLNEAIRRHAAAAIAAAAATDDDDDDDGRSLSTTKDDSLFSN >KN538791.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538791.1:71502:72677:1 gene:KN538791.1_FG009 transcript:KN538791.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSYVRSNVAGLVSLLESCKDADPQPAIVWASSSSVYGLNDAVPFSEAHRTDKPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVDFTYIDDIVRGCLAALDTAGRSTGGGGRKRGAAPYRIFNLGNTSPVTVPALVAMLERCLMVKARRHVVEMPGNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHAFRSRL >KN538791.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538791.1:32805:35920:1 gene:KN538791.1_FG010 transcript:KN538791.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETGEPSSFLSLSAAFSYGIASMAMVFVNKAILMQYAHSMTLLTLQQIATALIIHFGQILGVSKRKDFSMATGRKLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTAAGVLIAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLTFSVILLVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNVTGLVINTFGGVWYSYAKYMQKRKMPKRIAPDVEAHPHK >KN538791.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538791.1:92505:95620:1 gene:KN538791.1_FG011 transcript:KN538791.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAAADLPAEEEGKGGRSSSRQEEANVLLAVDAAAEGGGAWSPVGWFRMLGRELHWSFVAAVVATYGVSQGLGGGIMRVASDYYWKDVQRVQPSAAQVYQGVTSIPWMVKPLWGLLTDVLPIAGYRRRPYFVIAGGFMGVVAMLVLSLHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIVHPHLAADMISLNGFCASVGGLIGFSISGFLVHAIGSQINLVFLKGALGMLAIPSALVILAGMMIKDVHMPNFPYELAHMKFVEASRTMMATLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDQNAGLSFSEGFIGFIFAVGSVGSLIGVILYQNILKDHSFRSVLCLSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPAGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDQNSNLLPADLLPEDDGAQYQVDNVELTSLTVDGKSSTGSLHQEFKNQDVVENDDDEASLLANSS >KN538791.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538791.1:119404:124758:1 gene:KN538791.1_FG012 transcript:KN538791.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) UniProtKB/Swiss-Prot;Acc:Q949Q7] MTTTTTTSAIGSLLVLLLVVVVGGVCSASAGGGGGDLHPVVLVPGEERGASLFYWFFEAADDPPSKPLVLWLNGGPGCSSIAYGVAEEVGPFHVNADGQGVHLNPYSWNQVANILFLDSPVGVGYSYSNASDDILNNGDARTANDSLTFLTKWIERFPQYKGREFYVTGESYAGHYVPQLAQAIKRHHEATGDKSINLKGYMVGNALFDDFHDHLGIFQFMWTNGLISDQTYRLLNVFCDYESFVHTSSQCNKILDIASDEAGNIDSYSIFTPTCHASFASSRNKVMKRLHSVGKMGERYDPCTEKHSTVYFNLAEVQKALHVSPIINKSKWETCSDVVNTNWKDCERSVLHIYHELIQYGLRIWVFSGDTDAVLPVTSTRYSIKALKLPTVTPWNAWYDDDGEVGGWTQGYKGLNFVTVRGAGHEVPLHRPKQALILIKSFLAGSPMPSVQDFYSDV >KN538791.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538791.1:137542:141131:1 gene:KN538791.1_FG013 transcript:KN538791.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPISVFVFIFIFIFFLLDGTIPTLGSNDHSALMSFKSGVSNDPNGALANWGSLNVCNWTGVSCDASRRRVVKLMLRDQKLSGEVSPALGNLSHLNILNLSGNLFAGRVPPELGNLFRLTLLDISSNTFVGRVPAELGNLSSLNTLDLSRNLFTGEVPPELGDLSKLQQLSLGNNLLESKIPVELTRMSNLSYLNLGENNLSGRIPPAIFCNFSSLQYIDLSSNSLDGEIPIDCPLPNLMFLVLWANNLVGEIPRSLSNSTNLKWLLLESNYLSGELPADMFGGMRKLELLYLSFNYLRSPENNTNLEPFFASLTNCTSLKELGVAGNELAGVIPPIAGRLGPGLTQLHLEYNSIFGAIPANLSNLTNLTALNLSHNLINGSIPPAAVAGMRRLERLYLSDNMLSGEIPPSLGEVPRLGLVDLSRNRLAGGIPAAALSNLTQLRWLVLHHNHLAGVIPPGIAQCIGGCVALEYVNVSGNALEGGLPDAVAALPFLQVLDVSYNGLSGALPPSLGAAASLRRVNFSYNGFSGEVPGDGAFASFPDDAFLGDDGLCGPSNVLLDDDMTAVVADFGIAKLVKNADGDVTTNSGSIAAASSDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWPCIVKENVCKKKYELLGW >KN538791.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538791.1:141779:145625:-1 gene:KN538791.1_FG014 transcript:KN538791.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLAILSAIAVVAISGHAPPAASTAAADRAALLSFSSGVHGNLSDWGSPAAAMCNWTGVRCDNRSGRVTGLLLSNSNLAGVISPAIANLSMLERLYLDGNHLAGGVPAEGRDNSLSGELPPEMFGKMPSLVFLYLSHNHFSSSDGNTNLVPFFSSLVNCTGLLELGVASAGVGGEIPAIIGNVSSANLSSLFLSGNEFVGKIPPAIGNLVNLTELCLFGNMLEGPIPPEILRPPRLALLDLSNNQIVGEIPRSVGESQRLETINLSQNKLQGTLPESLSNLTQLDHLVLHHNMLSGTIPPGLNCSLILDLSYNKLTGQIPSEITVLGNFHVYLNLSNNLLDGHVPLQIGNMEMTEALDLSMNNLSGAIPAIIAGCVALEYINLSGNSLQGSLPTSIGKLPNLHVLDVSSNGLTGVLPPSLQASPALRYANFSYNKFSGEVCGEGAFANLTDDSFVGNPGLCGSIAGMARCDRRRHVHRRRLLLCIVIVAIAVAVVAGVSAMALTWLKKLTTTSVSPHLSSGGAMDERNSEHPRISHRELVDATGGFSEANLIGKGGYGHVYRGVLHGGTVVAVKVLRAGDDVVVAAGSFERECRVLRSIRHRNLIRVITACSSPEFKAVVLPVVHCDLKPSNVLLDDDMTAIVSDFGVSKLVAQQEDAKDPDAIDDDDDDASSIPYPRSSITRLLQGSVGYIAPEYGLGCNPSTQGDVYSLGVLLMEMITGKRPTEVIAEEGHSLHEWVKRRLSSDDDVVAAVELSAATSPRHETHVVVELLELGVACSRIVPAMRPTMDGVAQEIARLKDGAWRKCCCEDDNDHCIRSDPRDNS >KN538791.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538791.1:85472:88262:1 gene:KN538791.1_FG015 transcript:KN538791.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPVSAAAAGSSSAPSGVAAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEAEGDDAMQS >KN538791.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538791.1:36884:41948:-1 gene:KN538791.1_FG016 transcript:KN538791.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKQRTVRTAYINPSSTLGVFGRGDLVKDPGNIAKQYLRSSFVVDLVASLPLPQLKRTSALLYLKFEIIIWSVIPSVKYSLSEHDDDILLLIALFQYVLRLYLVFSLNAKIVEVTGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSQEAGCQNRYLACDAQPNSNWKIDTTIFNSCDARSTSIVFDYGMFKPLLLNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPQKLRERVRRFVHYKWLATRGVDEESILKALPADLRRDIKRHLCLYLVRRMDGQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRYKRRRLAKDLSIRESFSSRRSFEDDGSPEHSLVLNAVRKGAHIIKELPKFRKPSEPDFSAEHDD >KN538791.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538791.1:99394:104222:1 gene:KN538791.1_FG017 transcript:KN538791.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAGATVKLEADEMMHGGEEDGGPLSKRAKAGVQMPAPPPPPPPPQQQDMYHNVLDEPSPLGLRLRKSPSLLDLIQMRLSQGNSSAGQSSADDCSSEPPKKKDLKSGTSTAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMNRRHFLQCPSSLLSKNFEKLLQCDQRLNQLSQQPDIILDSPVFEPRCSIFEDPVESKCQGFTNLKDEHELSGFSGSLSPCAGSSMSAKIEVNDSIATQAGFLAQPGNPGPSAVNVQGVSRNVNGAPELNIPSWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSVNPTLPSNEVPTKETLEEIAQYLLGDAQGPPASTSDERSLMARVDSLCCLIQKDTPPVAQPKPEPNDSDSIGGDGTEGSDEEFSSAASTVKTTGPAQPPAMSRKDSFGDLLMNLPRIASLPQFLFKIPEDSEN >KN538791.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538791.1:174344:174544:1 gene:KN538791.1_FG018 transcript:KN538791.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVARLACPEYTTLPAVACMVST >KN538791.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538791.1:45829:48435:-1 gene:KN538791.1_FG019 transcript:KN538791.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYEVTALQGALQGVVVCHQLVHAAIAGHHNTTVAVMNLLPMITGNADFNIAAAEVRAEPDV >KN538816.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538816.1:187912:190570:-1 gene:KN538816.1_FG029 transcript:KN538816.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8A [Source:Projected from Arabidopsis thaliana (AT1G18550) UniProtKB/Swiss-Prot;Acc:F4ICA0] MAARLKAAGEAGAGNGDAAGSQIMVFVRLRPMSRKEKDAGSRSCVKIVNKKDVYLTEFASETDYLRLKRVRGRHFCFDSSFPDTTTQAEVYSTTTSDLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMESPGVMVLAIKDLFTKVRQRSHDGNHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGTVAAGLTHYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVIVEYRSIDGGSIVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKALTTANEEVLRVTDSETDQAKLVLELQKENSELRQQLARQQQKLLTVQAQTLASNASPQQSPAPSAQISTPCSTQRKVKRSILAGNCFNTPDSKRPAAENAQVRDLQRKVKAMEAEIEKMKKEHLLQLKQKDEFIRDLINRKTSNVPEAATCERRVATRASVRKAQKDAAAAGELRSPSHRFTSPVPTAKKRTFWDIGGNSPSTLAVNGRKTRSHVAAETPKGTSMLLQV >KN538816.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538816.1:175770:177409:1 gene:KN538816.1_FG030 transcript:KN538816.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKRAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGAGYLRSRMSSQHTVIIEETEGWTNNQLYDAVRTYLATRINTDMQRLRMNFHKKHKDKALNSYLPHILATAKKIKDQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDHKQKQSVMDDLERFIKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVKWNSTLRRLLIGMTNRSILVIEDIDCTLELQQREEGQESSKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHVHMGYCCPESFRILASNYHSIDNHATYPEIEELIKEVMVTPAEVAEVLMRNDDTDVALEGLIQFLKRKKDVGKEGKAENVEQVVKAEETEKGTMKKNDVPENQDPQDENVEQVVKAEETEKGMMKKNDVPENQDPQDASK >KN538816.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538816.1:232689:234279:1 gene:KN538816.1_FG032 transcript:KN538816.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGRGRMRMTQGSSYYAVLGVHPGASAAEIRAAYHRLAMKWHPDKITSGRVDPEEAKSRFQQVHEAYQVLSDEKRRALYDAGMYDPLDDDQDEDVEGFHDFLQEMVSLMATVGREEYTVED >KN538816.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538816.1:227451:227809:-1 gene:KN538816.1_FG034 transcript:KN538816.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFLLFLALGSLAIVVHGHTPPSSSSRRRNQLLVAASCSRRWTRSPSAARPTSASRRRGAPATGAS >KN538816.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538816.1:199678:201833:1 gene:KN538816.1_FG035 transcript:KN538816.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPIVHPNSILVVTINGIGLIVEGTYLFIFFLYSPNKKRLRMLAVLGVELAFMLAVILGVLLGAHTHKKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGAIFGAIQLILYACYYRTTPKKTKAAKDVEMPSVVSGPGAATAAATGSVVSVTVER >KN538816.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538816.1:180925:183193:1 gene:KN538816.1_FG038 transcript:KN538816.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/Swiss-Prot;Acc:Q941B6] MAQAARLNLRMQKEVKLLLNDPPHGVSLNLSGDESALSSLLSFQARIQGPDETVYSKGVFVLKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSDPNPDDGLMAEISREYKYNRQVFDINARSWTEKYANPSAIGASGWSSVDVSTLAPNIQEEKLSLEPLPKASNKNYDGSRKKMRLLSQKLSLKSGPEENTTTGKRDLVTNHLSSIARPTDPTARLSDVSGKLNDTSESISVIADSAVTSKKEYQGTRRNLQLLVQGLPVTSEGPSKNSNGSVEDKLPNHLLASASSNTKHPVMGSSDNALEKSSAKRIGESLDSLYKASEGDGTNVRSLGQKLSLKSAKPESKSNVQKENMAPKHLPLLSGFSNLDKRQSHVISGKNSIGHTNLVQQNSNHENVLPSTQLIPSKECNQGRKKLHLLSKRLSLKSELPADKTIEKEYMQTDCSQNKRKPNELPLSAPVLKNQILGTVDPQKDARQSKSSIQQNTTPVERIVVSDSEDSADECERPSRSRLSLMRRRLAGKPRS >KN538816.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538816.1:193785:197902:1 gene:KN538816.1_FG039 transcript:KN538816.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYHFPKPKAGTGPGSSKPSSALSIGRAGRAAGAGGRRFGMVRGSRLPSQLASMSAISPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAPQQVEKAAAVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTIKDLDDTFQDADTYYQALKIISLCGWEPRLLPYAVDCGTKSHSDAKSSSTLTQPGLINNSMEDRVVVYSPNEVDGSTVNADAHQAYQHYDPLSVVLDCQFCGACVALWPFSLVERPIQLFKLISDSSRQDEQTEGHAGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKAELSSHGHFISSGSDNHMVPVTLHASGLTKRTRSMDESHMLEGNNTISTDADTTTNGADHQRDNSVNGTSNLVANPEHQEGGSHSDTSRVTSTGEVSNEESETGHAAIKSLTSTDELGQHGSEPKSLPVEDSSNAHDLAKTCTNNSRPVQAATLTKSSDNGEKGASQPSGSQGLYDKLNEFDPMKQHRTFCPWICPDGGETLPGWRLTLSALLSQDKRIDEDSQVEPQISLLSEEDDPVTSVRKLFMTPPSKKLRIHRAEKG >KN538816.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538816.1:210619:216563:1 gene:KN538816.1_FG040 transcript:KN538816.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLAPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLLVEGTYLLIFFLYSPNKKRLRMCAVLGVELVFMLAVILGVLLGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGALFGAIQLILYACYYRTTPKKTKAAKDTIEEFNWVNNVEDFGSRRPVAIEREDFVQVLARRQAMHSVGKYCMFQYSTWSCAVSDFVQPQEAHIVGILIALFMLIWKILTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >KN538816.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538816.1:223850:224274:-1 gene:KN538816.1_FG041 transcript:KN538816.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFMLFLALGSLAIAVHGGRTHATIVVEPAKESAAGGGVAQPQFDPITICSPSNFCIPEAWSSCYRCIVRPDDNPPFRTIEECNSNCPVPPANA >KN538816.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538816.1:177699:179662:-1 gene:KN538816.1_FG042 transcript:KN538816.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAALRVSCRRRILAVSACSGEADSDAAAAPAESTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTIFLQCAGCQVYHKFVDNLGLVVEYDLREENGMNTDTET >KN538816.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538816.1:183653:186886:1 gene:KN538816.1_FG044 transcript:KN538816.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MAMDALHRLCLLVCVLIGAGCSQSPRHQRLPATTTTAGNVQRRPPGVSGALGSPLIGHDGRLIACSEKKSLVAFERNGSIAWMVTLDHTCKEGISPVAERDEIYLVAEDNKVIKITPKKLHTADPPSEVFFSYNATPGRSEEIIGLSISGSSSSLFLTIRNRGLFAFSLHAELQWSAGPVADLVSRLGCKTNIAGCYFNSPPVVDRCEGTLYVSNTEGQLYSLYIKSGQYRWIQDLGSLDKVMNIVPGNNGLLYIVLPRKSIVMGLDVLTGNISWQQTIGPLSNEKILPPVDSNGWISVGSLDGTLYSISPNGDIRRFPERTTPGSVIHASPVLDCSGFSVYVSQTIMEAKSNQTIGDSTSLSVMKSSSTLLTLLTPANGTIHWTGNYPGELSDFLSSTDLNDFALDETIVLRLFSAASKNWQHYAVLHEKYVSFLRKKKLQKNGLKKFLEKRHSLHTKRKILGKRISELEQKTVHDASSNEALGQLGETVNAKECIERKLSTSYSLGRDILGLKHDSILPLNSTKYKSHSFRNSREESITVFNTFSGTSSSENGTSSCSGDSEGCSDCSYGDEMLGTNFQSAAQEAGPSNYADTADQVLQDECVSDIKSTNPHKEEYLMEAMHDKVPSKRMYLKRRRTFPSSKQNI >AMDW01128990.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01128990.1:5:439:1 gene:AMDW01128990.1_FG001 transcript:AMDW01128990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FDYTAQNVSRALWQPVPGTKVYRLKYGSAVQVVLQGTNIFAGENHPIHLHGYDFYILAEGLGNFDAGADTAKFNMEDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPPDLPLC >AMDW01036570.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036570.1:50:415:1 gene:AMDW01036570.1_FG001 transcript:AMDW01036570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EFPSALGHDTLDAYLLSLARAGRATDAVKVFDELPPQLRTRQALTSLVSSLSAEGWPSHAEGAVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCICR >AMDW01040476.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040476.1:151:1412:-1 gene:AMDW01040476.1_FG001 transcript:AMDW01040476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPI >AMDW01094942.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01094942.1:313:649:1 gene:AMDW01094942.1_FG001 transcript:AMDW01094942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KEETRTSLEESRKRYQKQQCGAVQRVTQGRMLTRMPIQTYRDQHPPPPRAFPCPVQAPCYICGGNHLKSATLDPIVHGKIFHQKQQKLKQQDESEW >AMDW01039330.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039330.1:353:869:-1 gene:AMDW01039330.1_FG001 transcript:AMDW01039330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYGMHGRGSEALDIFDKMRKAGFVPDDITFLVVLYACSHCGMVDQGLSYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWKTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYATAGRWKDVARIRHLMKKSGIKKRPGCSWVQ >AMDW01038647.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038647.1:9:302:-1 gene:AMDW01038647.1_FG001 transcript:AMDW01038647.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKTKMVRFTQQQIKNCMAFSADISDDDEESLPKLSEVLSKDILNRVPPEVLESLIEFENTREERKARWEKLRDDLHEERDATLKQYYAKGYAEYE >AMDW01060687.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060687.1:944:1279:-1 gene:AMDW01060687.1_FG001 transcript:AMDW01060687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEQVAMEMAKNQVTVTGTMDSKALPEKLRKKLRRPVDVVPPGKQKDKDGGKDKEKEKQDGGGKDGGVGKDATAKALTAEKEAWKAAFYDQQALLATEFMLSDENPNACSIA >KN541749.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541749.1:166:1046:1 gene:KN541749.1_FG001 transcript:KN541749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSSLGDKHDEIDFEFLGNETGQPYTIHTNVYANGVGDKEMQFKPWFDPTDGSHNYTISWTPCRIVWYIDGTPIRVFRNYQSSNGVAFPTWQPMYAYSSIWAAEDWATQKGRVKTDWSKAPFVANYHGIDLAVCECYGGDCVYGCAAAIYDYCVDYERVNGQMAPECSLPQY >KN541749.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541749.1:11492:16475:1 gene:KN541749.1_FG002 transcript:KN541749.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDTTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLEAEQRPAIRMQFKSTAENSRSSNRHTDKLRLGTSTENVLLQSTSFGHADAPRRNIALSKTQGLVDSNAHGTNNPGPSTHL >KN541749.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541749.1:3981:5708:1 gene:KN541749.1_FG003 transcript:KN541749.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSLLLVVVFATVVAVVGLAGASFRDECDIPWEPQNARFTDDGNGLSLSLVSNYSGCMLRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDNHDEIDFEFLGNETGQPYTIHTNIYADGVGDKEMQFKPWFNPTDGYHNYTVSWTPCMIVWYIDGTPIRVFRNYEKSNGVAFPMKRPMYGYSSIWAAEDWATQGGRVKADWSKAPFVANYRGLNINVCECSTTSGGGNSCAAKCASTYNSKSSVCQLSDSELARMRKVQDEYRIYNYCVDPKRYNGSLLVECSLPQ >KN541749.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541749.1:2296:2742:-1 gene:KN541749.1_FG004 transcript:KN541749.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIHSQFRPRCTRKIREKRRQPSRGGAVEVADAVTDLWASSHHQAAIVTITEQVRKPTDYVDSREEWSVPLDLELEACPSIIVASSPYPVGEARLYLGLGGGEGGREEGHEEAVHTRRRHLHMTSSGFNSSVVLEHHRPLLFMTTED >KN541749.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541749.1:18904:19854:-1 gene:KN541749.1_FG005 transcript:KN541749.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVSDLDQAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGINTKITSILDPDGWKSVFVDNIDFAKELE >KN538778.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538778.1:65236:65568:-1 gene:KN538778.1_FG031 transcript:KN538778.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAVVAAAAALLVVVCAAAQAPSSSPRLPSNYHVINPGRFGKRDQQLSCTDSNGNKAVCMAKCDKRCPNQCIVMCPGCKTFCSKRITCPNQLVCTTNDRSIVMTDIL >KN538778.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538778.1:126693:130178:-1 gene:KN538778.1_FG032 transcript:KN538778.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MAAFSGCASPLSTTLRSGLAPFTLRHRLRLRRLRASAATLREVCAGRVPEHVLQRAEEVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKACTVMALLDGGMLRRQKSWVKAEPPAIIVATVASLCQMIEKRAFSLQSMRVLVIDEVDFIFGSSKQTDVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDAPKSGIIFVAEQSEKSKKAGHPPSTTVVVEFLRTTYMGSLEVLLLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAIDYLHRAGRTGREPFSKLACSVTTLITEDEHFVLQRFQNELKFHCEELPVESMFAFI >KN538778.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538778.1:50281:53693:1 gene:KN538778.1_FG033 transcript:KN538778.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEKLQKHRPEEIALRTGSSGQTTQKLREKAATENHRPKEIGPSGEKPPHKLLRAKGKAGTSSIGVTLQKHRAEKADSSRGIPHKLQAPAGTSSIGVMPQKYQAKQQMGTSRLGRHPPCIQVQGGVSNREAQAATTNRQKHTKSNNSLSTRATTKLRSATNTPCQKPTTAPSSNGNTAPPKDRKEPATNAENNAVPVAENNDLQEADEAIKRLNELGLGENISSEEFLTYIDQLNEQPKIDTSIELDDAQVTTLYFQHARYRVRYYKHLSQQPNTELVEDSYHMKLVGEDELSDEFIREMEFFMRFEEDGTFDWYFYPDYCWLAALNDYQRLVPINCVGEEYAYWDDYRGYFNSYHTELQYLDFCKALSKELKWGRICSRGAYQAIKIATRFSKITAALAYNAYYDCLQHMRFYVAYCKDMDSLYFEIWQRVNMQKKSFRDSLEEVYNLNKFPSRQDKMKDALENNCSHMETVFHVCTASVTSEIAEDKALELIAKAVESRMNKAKFYEQYIEKKIDIAQAIGLISTDGTEAT >KN538778.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538778.1:5451:9127:-1 gene:KN538778.1_FG035 transcript:KN538778.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQGKKEEFSTGPLSVLMMSVKNNTQIVLGAFLLAELSSSQVNPVTLSEGWWKSINSDALLALFEDVQTVVLDCAMVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KN538778.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538778.1:108912:109151:-1 gene:KN538778.1_FG036 transcript:KN538778.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNAEEAELVIQKLNELGLGEDISYQEFDGYSDQLLQEHPPVDTSTQLNFDQLDVLDAHHTLYRIKYYEAGCIHAPN >KN538778.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538778.1:131395:133627:-1 gene:KN538778.1_FG037 transcript:KN538778.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASAPRLLLPPAASTFPHQHEHVRRNWHRPRRQVISARAGASVAARGLDADDFRHPLDKQNTLLLRAVPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSENQLSDLHQILTEAAKILNTDAPDLYIRQNPVPNAYTLAISGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYSVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSREYKTVQQKVIQLQLSDQDAS >KN538778.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538778.1:2466:4542:-1 gene:KN538778.1_FG038 transcript:KN538778.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKNTPDMAASSDSEHAPPRKKANVRFAFACAILASMTSILLGYDIGVMSGASLFIKEDLKITDVEVEILLGILNLYSLVGSFAAGRTSDWIGRRLTIILAAVIFFVGAIMMGLSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSRLRLQLGWRLMLGVGAAPSVALALMVLGMPESPRWLVMKGRLADAKAVLAETSDTAEEAATRLAEIKEAAAIPADLDGDVVAVPKRTGGERRVWNELILSPTPAVRRVLLSALGIHFFQQSSGIDAVVLYSPRVFQSAGITDKNKLLGTTAGGMVVTLVTLGLGLTVIGKGATGGGWAIAVSIASILAFVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGLNRVTSGVISMTFLSLSKAITIGGSFFLYAGIASLAWLFFFTYLPETRGRTLEQMGELFGIHNMAGDDDSAVTRPPSPEEEKITNYVEMAAPSSSSSSTTATSSHYAK >KN538778.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538778.1:115716:117334:-1 gene:KN538778.1_FG039 transcript:KN538778.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLRALRNMTHLVFLTRRVFLCAFSDDTIDWFFHPDYCTLAALDDYQRLVPKNRGYMYVDWDMYHKYLHTYEIKKEYVKYSEELSKKLKISFRDSLMEVYELNRFPIRQNRIKYALKNDCSKLKEEVGEDEVQALIAHAVKKLRDKPLSYLTMSKRKKIDIARVIGLITAVQY >KN538778.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538778.1:75116:76786:1 gene:KN538778.1_FG041 transcript:KN538778.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MARAYMAVAVALFLVVVCAAVSEAAKPPASHPRLPPNYHMINPGSSGLGKRDQELSCADTKGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTAKWNSDIICSMLRKIHFLKRTHGKRKKRKGLATVADEFVTDPKSSLHWAFQLPESNPSLWSLVRVFKGAREPPM >KN538778.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538778.1:105227:108025:-1 gene:KN538778.1_FG042 transcript:KN538778.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPPAASAARLDLDGNPIAPLTICMIGAGGFIGSHLCEKLMAETAHVVYAVDVYCDKIRHLVDPAPPHLHGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPTDHPLRKIGGGMDLVQEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRQLAEMMTEVYANVSGEPPLDEPMIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQASASS >KN538778.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538778.1:39639:46741:1 gene:KN538778.1_FG045 transcript:KN538778.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTSGQPESKGQKKTINIGYELAHQAWDFKIQQGSCRAKIPSNIPRQYDPIQNLDSVLIFDLMDNSNGLHCLEIMTNCLHKNILHAPIVQKKEDVDCISVWHEPYTGNLLAYLESTLLGAKHMFGEKVVLPTPVLQNLISQVFDGLKMLRLCGKYHGNFTLEKTYYYKKNGNEIIVKLANFEVKDQVKSTPVLQAKDCQAVGDALRKISKMAKDRKDLDFDCSQIDSLAEELREFSFDANVLEWPWLELIWAMRRNLQLFSVAFIRFKFVSNGDLCNIEKKIGGHPFFWVFDDRKFLLTCSIPLAMNRRAFRQKIEGNEDLIALPWDKEDYDGLINLMMKYRADHMIKKFDINSRVDYVLCVSGMYAHEKELKIAHSLPLDQIARSIIRGLSALKAGMIWRVGNGSSIDIWEDPWIPAGVTRRPITPRRGTVLNKVADLIDPATGWWDKPLVEEIFWESDARNILAIPVRLDTNDFVAWHFDTRGMYSVKSAYHVLEDQRERSAKKQEGGSSSGAVNQQNFEWDKIWSLQCIPKVKQFIWRLAHNSLPLKLNIERRVPDAETLCPVCKRFDENGGHCFLQCKPIKLCWRILCLEDIRLSLTQLVSARDVVQTILKLENDRRMEVFFLLWVWWYARNKVNSGEDVIRVEEVVHKVKQLICDHASLRKEKHPKVNVQRNKWVSPVDGNLKLNFDGAFRAVNKSGGYGFLVRDHRGCAVLAGAGCLEHVHDAFAAEAEACLAGLKSTISHGINSVQVETDSSILALIRTSNATEEKTQVSTFVWEENSLNILVEFMLGRSIQSFLGRVGPFHEAVIRK >KN538778.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538778.1:10787:18632:1 gene:KN538778.1_FG049 transcript:KN538778.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSPMVPVAVVEKTKSLQDSIQKLDKYRNVVTRRRQRSEGGATERSSGSGSGSLRMGAQNSMDNPGQRLEERAKSATTSKRVRSSLAADARLEGRGNVPTRQGPLADSEKSSSLEKEKNSLRNVNAASGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPLVQHRPNNEARMRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADMDSTSLPNERRERHLGIDKERVLVKGNKANTSEDMQPGTLSPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPSTNKSSPLGGTANRKRPMTASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDAAVRPAVESPGLLLPRGVASNNSQVTPRMDNISSPAGLSESEGSAATENKNKDKISNSGDFENEGANSAHNSTDLIISSKKSRILLKEELEDGSIRRQGRSGRNTMHVKGCASMPREKLDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHSEILNCGLTDISGESEDDREELLAAANAARSAIVNAYAGPFWKKIEPMLTFISSEDLSFLKHQGQNSSLPQSNSCVSLEQSEANGPRARESIDILSPNDENTASQKTHAEELFGGMASLTHKLFSAFIVEDGDNSSECNGGDILLEFSNDFLPYAANMNLENDFEASAVKSNFGSSPDFKHSNHSSVHNSMSNGFTASSNLRASYSPNSICSENVSDAIKFAVYPENGGFHEFVPHISHQYQNCAKSTPLPPYEYQYDQLPVHDRALIELHSIDLCPEMLRGLEVLRIGKPKLDDGEDEDIDKVITELQKRLFEQVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGSSSHKGGLNKAANKAAKQVALAFAKRTLARCQKFEETEKSCFREPFLWNVLSAPLPKNDAIDGGLPGSADRPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRNSSGSARSERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFAAPGQRESHDWTSTSSTRPTQPVRNSAATVAQDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >KN538778.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538778.1:59299:62265:-1 gene:KN538778.1_FG050 transcript:KN538778.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDSALSGPDAPRLLAHQYNQLFHLLATADADSLPNPAAAARRVFSHMLGSGASPSEATITSLARVTASDASNPAAADEAFDLVATMRDKYGVAPRLRSYSPVLAAFRRAGDAGKAYAVDAHMEASAVAPEEPEIAALLDVSAKAGDADKVYEYMHKLSRTVDCVGEETAEVLEGWFRSDKAAMAGKAEWDACNVKDAIVANGGGCHRLGWLGSGPWTVQRVRVGGDGQCEGCGCRLACVDIDVEETQRFADSVAGLALQRETKTNFSQFQLILLTSIDLLLSVIGISLVLLGLFNLAWHCGLNDHNNLEKLKSEIDLNAAVYLSTVCSVVPCPEQLDAVVTELRDRYNGKWPLVVLHNKRIAKLMENASNRHLIETWRANGALYTSPIGSNDDWYWLYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKSGDDRARIWLCIDRTGHCKHPHEAPAANGVVQDVSPTEASHGCEQRRAEHNGGSLTGKRKDRN >KN538778.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538778.1:68024:73403:-1 gene:KN538778.1_FG051 transcript:KN538778.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRNQQLSCDDTQGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDADLHINAHFIGKRNPTMRRDFTWIQALGIRFADHRLYMGALKTAKWNSDVDRLELAFDGAPIDAPSSSPRLPSNYHVINPGRFGKRDQQLSCTDSNGNKAVCMAKCDKRCPNQCIVMCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTANDDD >KN538778.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538778.1:102561:104112:-1 gene:KN538778.1_FG052 transcript:KN538778.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSPEQAAGNNKEDAEARRPPPSTVRFYPSADQPKARQPPPIKLEEEDVPPPPVADEEMAPRNLWQVYALGAFIVLRWAWVKWKESKDRDDSPDGRSPDGSS >KN538778.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538778.1:78303:90476:1 gene:KN538778.1_FG053 transcript:KN538778.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVKVVAVALALWCCGAAVVASAAASSPPLVSPKAKPGVRPKLPPKTKLTTITFSPHHKRDYQVTCTNTGCRPCVVSCPSNCPNKCLVACAYCLTFCMCDLFPGTSCGDPRFTGADGNTFYFHGKKEQDFCIVSDADLHINAHFIGNHNPAMKRDFTWIQSLGISFGDHRLYIGARGAAEWDDDEDHANRELFLLPRPPRRQLQGGLFLAAENLLTECERWFRTMSPQSSSLLAPLDFLIETWYFAQEHGINYVQDICPGYLAQNFVQVISRRSFVKLPYDLLYSTIECPFLTVDRFKSIFLFKPVLQVRICLLPLEFAAGTKRNWAEFGSKVESRILNLPKDSLRTVLDAIADDNLESYRVRITEYSKIMHFVALLTDEDFVLQKIVLSGCPQITTEILYISVLPPTNVGASLNKRLGSSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMMRTITMSRCKSIELNRAIFAVFPGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMTQDIEGLTLSDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKIKRYLELYESTGALPSDLFQGQATEKWGRPSNSRFDCCFLWVDADLHVLDRYVNERVDSMIDDGLLDEVCNIYDREATYTQGLRQAIGVREFDEFFRFYFARKETDEIKMDSCTTMAGLHDDNLKGLLDEAVSQLKANTRRLVRRQRRRLHRLNKYFEWDLHHIDATEAFYGATADSWNMKVVKPCVDIVRDFLSDDTILASRDGSSVTGSPRMSSRELWTQYVCEACDNRVLRGAHEWEQHKQGRCHRKRVQRLKQKASTVISL >KN538778.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538778.1:124758:126054:1 gene:KN538778.1_FG054 transcript:KN538778.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKVFITPTSSLPHVDYCQSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILTDAIFKNEEITETINKVKIVACDSKLLETKEE >KN538778.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538778.1:54873:58558:1 gene:KN538778.1_FG055 transcript:KN538778.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAIASRLLCSHHASSPPDDASASASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVLSDEIGCKSDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALDRAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARHHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDVDTTYRHFKAFFEMFSDIDRKLNVD >KN538778.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538778.1:19599:20377:1 gene:KN538778.1_FG056 transcript:KN538778.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGWPTDVRHVAHVTFDRFHGFQGLPVELQPEVAGNAPSAREERSNKMGSRNVAMVFAPNMTHAMDPFTALKHAVHVMNFLTMLIDRALNDVQTCNN >KN538778.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538778.1:63266:64598:-1 gene:KN538778.1_FG058 transcript:KN538778.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVVAAAAALLVVVCAAAQAPSSSPRLPSNYHVINPGRFGKRDQQLSCTDSNGNKAVCMAKCDKRCPNQCIVMCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGAKKTANAKQ >KN538778.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538778.1:119525:122499:1 gene:KN538778.1_FG059 transcript:KN538778.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETRPPSAGRPPSSGRGRADDADEREEGEIADDDSGHAPPQANPAAPHPLEHAWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWSLYNNIHHPSKLVVGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTIWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQLKKYVPEKIATFGCTCLNFQISIGKQWKEFLDYKDSIGFIVHDDAKKMDKGPKNRYTI >KN538778.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538778.1:24664:26526:1 gene:KN538778.1_FG061 transcript:KN538778.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRTVREGFKVPRAEEQFVKVPRMMNSDIQTRKEFFRKATSYGECIVLDHKRKLKDFDGSLLDLSEAEYAIGPLHSKCLVKYFDKVDRKKANAYSLLKNIRHHNIVFLKNFFDGSGQPRFVFNWVDGSMSAWVKTEGAKVLLKRTGTGTCRNSTIRQLVRYGLECLFEHGVYPIQITAKDIYVKKVGKNALAQLLIEEAEALPKSDARKRQIQANLWHEMRDAVKKIFADHVDSPKDMPLVRFLDYIAEGNVKTLQRYPLDWDETEKGKYLLKVLCMNPHKVEQEVNNLIQWPPVTYLGNLPSPLQEMISYDQTRSHPSGYDDKKPYHYLKLCKNIIKHWWLLPESVKVECKTWQRLIQKMETWDPKIWCKLYETFG >AMDW01131127.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01131127.1:391:3335:1 gene:AMDW01131127.1_FG001 transcript:AMDW01131127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYVWVANRDNPITTHTARLAVTNTSGLVLSDSKAALSGPRRTRSPSEVEEPPRCCRTRGTSCSGYRWTADTILPGFKLWTNYNNHEAARVVAWRGPRDPSTGEFSLSGDPDQWGLQIVIWHGVSPSWHSGVWNGATATGLTRYIWSQIVDNGEEIYAIYNAADGILTHWKLDYTGNVSFRAWNNVSSTWTSPFERPGHGCLHYGACDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRSFEECADECDRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPTGQEGTLEDGMEVAVKRLNKDSEQGVEQFRNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFVKSDTYSFGVLLLEIVSGLKISSPHHIVMDFPNLIAYAWNLWKDGMAEAFVDKIVLESCLLNEVLQCIHIGLLCVQDSPNARPHMSLVVSMLDNEDMARPIPKQPIYFVQRHYDEEERQGSESSVNNASLTALEGR >AMDW01034780.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034780.1:231:501:-1 gene:AMDW01034780.1_FG001 transcript:AMDW01034780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HCSYNSVSHEVMYELSVPLPSERPPPKSIASPPRDISCMSREKTGIKLFPEVDTSNTEIVKAIAEGSVSHIASLELGDVDKEKTSEPLDG >KN542996.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542996.1:505:2445:1 gene:KN542996.1_FG001 transcript:KN542996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVVLELAGYKVAAFARYRRVGVSVAMCCAAAALSLSPRSGSRSRRRLRASDSTHAPVFTVITYLKCGGFVLGTYMCHCIADAFGTIQFLKAIVDIARGEAKPTTLLVWERELFVGTSLQPHIKEEQEKLFDELESTTCDDITVTMPTKNIVSENFILSQIDMAALRRHVPLNLTKTVTSFELLTTVTWRSRTMALGYKPCHIVRLMIVVNARGRWKKLPLGYYGNGLLCSVIETTVNDLCTNPLGHTIELVRKAKDEMKTEENMQLRVDLLPLWREKPYIKVQRIFEACDLKLIGQDTLDIGWAKRIGGGIPTVSLPNLTSYQFMCKNEKGKKSTVISMLLPQPAMDRFKKEMAAWLIE >KN542996.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542996.1:3282:3929:-1 gene:KN542996.1_FG002 transcript:KN542996.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEWMHVEVVQIERAQICDNVEYLVIVILIVISAVSAKVPFVQLDGSLVCLNVRTG >KN543562.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543562.1:193:1081:-1 gene:KN543562.1_FG001 transcript:KN543562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLVIDDEDSWDLLARDLQLKATFLYIDLSRVISSCEIDEHKKMLTGLANRFFYFMDELANAVSSRSIPLMQ >AMDW01030762.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030762.1:51:422:1 gene:AMDW01030762.1_FG001 transcript:AMDW01030762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STIQGGLCPTVFSYQCALPNCGVRKSITRSADGQTIETVCKGCHNHPRQSLRWLGDGSERLEPISQEIVLLEASDASGAAGGPSVPGTGNGHGQSSGSSDSCRDDDGDLGIDGNASVGDANAVK >KN540229.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540229.1:11772:12920:1 gene:KN540229.1_FG001 transcript:KN540229.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRFLNIATGACIQVDLPEELAAGGQIQIECRTEGLLVLRDKVTDAIRLLNPLTKAVTDLPPITAAMANVIPAEKRVHAEIPWSLIAYAGISDETSPPTVAIFLRDMRLNIAYAKPGDRHWKLLDDKAWSTFPSSISRSDGQQLRYVNYLSVVTLRGRIYLVTYQGNILKLSIRPRPQLIPIVKDQTKHSMWHGRVLCPNVVSYLVPPNDDDHRMLMVRYYGDLTHLTDHEQRCIKRRKKNDLIKLPSKSPCRYNWRILQVFEVDIVRKKLVRVDGIADDRAVFIGDVACVSLSIDRFPSILGNTVYLGMNSCCAVGFGLCHLKDRTVEPRLEHVLESGRKAPLLVDISLFHLGRIMPFARPCTLEEYLVCSVGFKNGIKD >KN540229.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540229.1:29081:33833:-1 gene:KN540229.1_FG002 transcript:KN540229.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHLAFRPEENFTTADAEAHARRNAALAHVEKHYFSGVVHFADAAGVYDAHFFDEIRQIESFGTWPVATMSAGEKKVVVEGPLCSDSKVVGWFSRDFNDGTTRAVTYNTEADLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYTMPMQVHAQTSTPKTHNRR >KN540229.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540229.1:18394:21515:-1 gene:KN540229.1_FG003 transcript:KN540229.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKLAASNPNPPDLTPSASLEVNVSVPPPPPPPPVQQIEEVKVPEVEQEQSKHVTVEAVPEAVPVPAQTSSLPPGVSREEQAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMAAKPWEGRAGTDKESNLDRASVKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRQSPSTPSAKVSPIFAKKKSATPKNGLSQVDDDAKSVFSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAPTKSARAKLRLQGSAVTDGAETPPEKVASVGSVKKKLSFQAGMAPPSPMRRHSGPPKVEVVKDIAEPPQPEALVINGGSK >KN540229.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540229.1:14976:17640:1 gene:KN540229.1_FG004 transcript:KN540229.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEAALSAAAAASRPVLSSAAARRAVQLSSCAAYSAASGGDIEDSPPLPTPRRGSLLARFRDRRALAVTDITATEWCDKQQEFVLEHGKPEMTQAMKAGSERHAQLEQEVIERVEVTIRSAEESWAVKFMNFIVGANQLMLEGITREIPVIGVVEGSWMIGVIDELRMPPDGISVHPILVDTKTRYKPTVPSEAQKRNGRLQVMCYKYLWDNLISEKFPAENFFSYFDLDPGYLLSDDIKQYIRLLGLNAKTLEDVLKYFKVTCHTLPRSQEQLLLRYELQADNSLLEEYQFSYDARWLKDQFQEVLSFWQGAREPKFVSEEERWKCSFCKFANNCPINASTSRCC >KN540229.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540229.1:55606:58923:-1 gene:KN540229.1_FG005 transcript:KN540229.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSLLGIVNFVTFLISIPILGGGIWLASRANSTDCIRFLQWPIIAIGLAVMVVSLMGFAGACYRQTWLLRLYLFAMFFIVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYNGWLRDRVADPAYWATISACLRDGRACAAMRRFARDPNTGMLVPETPSMFYARDLSPIQFLRRLTAASDAIRILEVTLLVQLLRPNNTQTFQSCHYCSGYLFMYSVIFVFVAVDKTWQSKSGCCKPPTSCAYNYVNETFWTANPGVPTVVNDVDCSKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNARRIENDEPFGMARMTKTQPSRFQF >KN542781.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542781.1:5637:7990:-1 gene:KN542781.1_FG001 transcript:KN542781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDSPYAFIIVPYTCLQKQAARTAATMSGGSGFPGFHNHGYDCDYTRPLFRVSSFSDNGGGEKQERYTPSPPRGRSMSRTTSTVAVAPRLSPSVSKLSMKNLQQVVNEKSLEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLQPLPPEKKAMWNREMDCLLSICEYIVEFSPKVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLEILDSFEKTDFWYIDQRKQSFSDSMKFQRSEDKWWLPEPCVPESGLSDRMHRELQQKRDQASQIHKMAMEINSSILSEMQVPLSYIETLPKSGKVGVGDAIYRYMSAGDQFSPDHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVSKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLIADGNARKGHNIRMQKQEFSKLSPQQY >AMDW01039283.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039283.1:44:923:-1 gene:AMDW01039283.1_FG001 transcript:AMDW01039283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSGKMEGYGSKLQKDLEGKKAWADFFFHNVAPPAMVNHDIWPSHPAGYREANEEYCKHMQRLARKLFEHLSTALGLDGGAMWEAFGGDELVFLHKINFYPPCPEPELTLGVAPHTDMSTFTVLVPNDVQGLQVFKDGHWYDVKYVPDALIIHIGDQIEILSNGRYKSVLHRTTVDKDRTRMSWPVFVEPPPEHVVGPHPQLVTDGSPAKYKAKKFKDYRHCKINKLPM >KN539419.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539419.1:394:2833:-1 gene:KN539419.1_FG001 transcript:KN539419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRADQTLRSFPSGVRNCYTLPTVAGAKYLVRVVAVYGNYDGKNSSSTLQFDLHLGVNYWNTVFPDQTYVVHEALFVAWGNQAPVCLVNTGQGTPFVNRVELRPLVDTLYPDHVKANQSIAMYDRLIMGTTNAYVTAYPLDPYDRYWRAKDSNPMWGYLNSERNIQPESITEVPSAVLQKAVQVAGNGRMLNITWEDYRPDLQVTVFLHFADFQKSQPRQFNIYFNSHDKPYLYSPPYLAAGVVYSPSWYSDIDGEFNVTLVATAKSVLPPMLNAYEIYTLITHNTPMTFSKD >KN539419.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539419.1:88230:95613:1 gene:KN539419.1_FG002 transcript:KN539419.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPMWANLSTTSNIQEESTMFGVPSAILQKAVTVAGNGTMLNIMSEDRSFFEFMVFLHLADFQDSKIRQFNVYFNSDNPLSYIPHYLAAGNVYSSSWYSSTDGQFNITLEATTKSLLPPMLNAFEIYTLIAHNTPTTFSKDFDAIMAIKFEYGIKKNWMGDPCSPSRFAWDGVICRNTSDNIPRIISLDLSNSNLHGVISNNFTLLTALENFINIPCIDSVDRVIDLLLSPAVGKVKKDDMVSKGEVKKVNLTGNQLNGTIPDSLCKLNAGSFIFSYNSDQDVCKKTSPSSSRSRATILAISIAAPVMVVAILGLSYLIWRVKRKSNIFAYNPPRVPEPTNASRNEKYHWDHLQENENRQFTYEELEKITDNFQLIIGEGGFGRVYHGRLEDNTEVAVKMLSGTSSSGFNGFLAEVQSLTKVHHKNLVSLVGYCSEKAHLALVYEYMSRGNLFDHLRGKSGVGENLNWAMRVRVLLDAAQGLDYLHKGCNKSIIHRDVKTSNILLGQNLRAKIADFGLSRTYISDSQSHMSATVAGSMGYIDPEYVPLYPYHIIVYLFCIYSKEN >KN539419.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539419.1:84416:85306:1 gene:KN539419.1_FG003 transcript:KN539419.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFFAAIVVLAAASPAIGQLPGFLSIDCGLEAKYSGYKDTNLGIVYVSDGPYIDTGENHQVSGDSTTRRPYQTLRRFPTGERNCYALPTVSGDKYLVRVVIARDSQNSSSSATTTTLQFDLHLGANYWDTVHDDGTEVYEALFMAWASWVPVCLVNTGQGSPYASAIELRQLGSEIYPAVMANQSLRMSSRQRMGQINSSVTR >KN539419.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539419.1:56859:71121:1 gene:KN539419.1_FG004 transcript:KN539419.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYAGFLSIDCGLEADSGAYTDIDRGIFYVPDGPYVDAGENHEVAADLKEGHIRPDLTVRSFPSGMRNCYTLPTDAGSKYLVQVVAVYGNYDGKNNSVGLQFNLHIGTNYWDTVQPADGRQVYEALFVAWGSWAPVCLVNTGQGTPFASSVELRPLGSELYPAVMADQSIRLYGRRNLGPTTAHVTRYPNDPFDRYWWHQDTNNPMWENLTTTSINIKLESSFEVPAAILKDAVQVAGNSTILNIKWQDNTGRQFAVFLHFADFQDSQVREFNVYFNSGPPNKYRPHYLAAGFVYTTRWYRAIDGDFNVTLAATAESVLPPMLNAYEIYTLIVHDTPTTFQQDVDAIWAIKVEYGIKKNWMGDPCFPSQFKWDGVECGNTSDNILRIISIDLSNSNLHGVISSNFTLLTTLEYLNLSGNQLNGPIPDSLCKLNEGSLVFSYGSNGDVCNKTNLPGSRKRAAILAISIAAPVLVVVSLLIAYLIWRAKGKSNISIPGSEKYHWDRLQKNENRHFTYDELKKLTDNFQQFIGEGGFGCVYHGYLEDNTEVAVKIRSEKSSHGFNEFLAELESLTKVRHKNLVSLVGYCSEKAHLALIYEYMSRGNLFDLLRGLDYLHTGCNRPIIHRDVKTSNILLDQNLHAKIADFGLSKIYLSDMQSGLSTTVAGTMGYIDPEYHITGRVTESSDVYSFGVVLLEVATGQGPILQGNGHIIQHVKEKVASGDISSIADERLNGGYNVSSMWKVVEIALLCTEPLPAQRPSMTTVVVQMKESLALEVAREDRGLHANPTGDAVATSSTFDPSAR >KN539419.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539419.1:99432:100718:-1 gene:KN539419.1_FG005 transcript:KN539419.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPRVTWAIFHAFDTIIWKDIIAGLAHFHSVSKSIIGDGRFTSFWFDNWIGGGTLFQLFPALFSHATHPNISVADALAAPELLLHLRPRLSTVGTHELATVQALVCHLVLDLETKDRHTSSTDHPLIAKTTYNLSLSGLTDDPFAKPIWDNHAQAKCKIFLWTTHKRRIFTNERRARRGLATYTCCPFCSSYEDVEHLFLRCAGVATISHALGLDKQQIASLPQLEDTQTMSGIEQIDIQQQLGASAEGQVSLNSDEPLNDEDEDKQGGSTKEDDKGRHNRARWAWAASVGGC >AMDW01027563.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027563.1:41:334:-1 gene:AMDW01027563.1_FG001 transcript:AMDW01027563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NYISTFKFKSIDTETFLEFLKTNVPGIENQIDLQLWVEGTGIPPDAMEPESAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQ >AMDW01075910.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075910.1:1:418:1 gene:AMDW01075910.1_FG001 transcript:AMDW01075910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAFTRIRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQ >AMDW01046750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046750.1:2361:3107:1 gene:AMDW01046750.1_FG001 transcript:AMDW01046750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLIGSCVNKLQGIITEEAILILGVEEELRKLQERMKQIQCFISDAERRGMEDSAVHNWVSWLKDAMYDADDIIDLASFEGSKLLNGHSSSPRKSFACSGLSFLSCFSNIRVRHKIGDKIRSLNQKLEEIAKDKIFATLENTQSSHKVSTSELRKSSQIVEPNLVGKEILHACRKLVSQVLTHKEKKAYKLAIVGTGGIGKTTLAQKVFNDQKLKRSFDKHAWICVSQDYSPASILGQLLRTIE >AMDW01037070.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037070.1:172:537:-1 gene:AMDW01037070.1_FG001 transcript:AMDW01037070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LMYAFVSDAVEATVSVKVLQGHFYGKITACTSMVKDSILLHDSGLVPGGGGVMAADRGNDQFACVRLLRPVMAVCLKETMMVTVLAQVDETEYNGQTMHFKPAVNGEGEARITSCVNSLLVK >KN541352.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541352.1:12376:14553:-1 gene:KN541352.1_FG001 transcript:KN541352.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVPVLLSFAIQVVLFFAGRFHVHITDKFTRVLISSTYIGADIIAIYALGSLSRQEGNPQSIAFFWAPFLLIHLGGQDTITAFKMEDKNAWLTRSGKLLFYAVLAVFVFFNSIGRHKELQPAGIFMFATGFIKYFTRSWSLKWGSFESIENSTIRHMYEIQLPEASNGPNTTYYDYVLTALNSMLKIHDIFAARSFRSAANSESEDEETVESEEAARSVREDEDAVESEEATRSVDIDQEIVEQKEYKILIKDDEVVESKEDKISTENDEAIQSTGNTTPIEGDEEVQLEGDEISIENRKAELEKNIVETIIKPELSLVQLQLGMMYDDLYTKALLLRKREGIALRFIAISTSIVAFALFLSTEKRRYSKADIAVTMSLFIGELLLEVCAVLIFMMSPWTWAWLKVRKYNWLACFSWYLFSSRIGWPEDRPRWSNSMGQYNCVNRLVGISPPTSCTPKIMTHLRNIADKVGAKEISWINKLIHTGYVKADRDTMERVVLDLYGLMIEVDGQDAEHREWRYVGSFLEQIQDVLTADFGTALLMMHMVTEVFLRQYPGNHSLVNVCRKLSNYMIYLLVNHPSMLPLNTSAVSSIKTAERMFRKKTNDISDAEHYRNIHDSLLVGDQPKGDGVLDELVEMWVRVLLYSAGKSRAELHVEQLASGGELITFAWLLMAQNDYGDSRMKRIQITNFSPRDESNDCYDLPMKEGHAFHIVHRSEVDIQRD >AMDW01040807.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040807.1:411:842:1 gene:AMDW01040807.1_FG001 transcript:AMDW01040807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIRLATNQQSSRRKLQRDFDDDLDNPLSPETSSCHVSKCSESETRNRSVMNKSEVLIPGVSGTLQFICRLVEEDLNSVRWLTSDSENIAADMVSEILDQLTSETADELMQTGSETVHSSPGRLISMNHPSSRVDRNMQAIRSN >KN542690.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542690.1:127:1973:1 gene:KN542690.1_FG001 transcript:KN542690.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSGAGWCGNLNLNHLDAEEKMMICEDDTMCTKKQESFKDEGRTLSRKISRARIEHPTLSIVREELPPMMLPTPGSPYSCDVPMVEKAIDAICQSKGSRDENVAINKAIVNASNGSNPPLFGGVMALVMSIATMLRVSRNMPKKVLGATIGAQSTSKIQAQQLSKISMEAVSAAEYASSTKRLSDIEEKVIAILTKPTEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKRLFRW >KN545012.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545012.1:1426:2559:-1 gene:KN545012.1_FG001 transcript:KN545012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DENEPIALNYTSGTTSRPKGVIYSHRGAYLSSLAAVIVNAMAETPVYLWTVPMFHCNGWCLPEWDALPAEERARIKSRQGLHHVGLEAADVKDPATMRSVPADGRTVGEVMLRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAEELPKTATGKVQKVALRERAKAMGSLPAAASSSSRRAPTGTAGSGRSKL >AMDW01012954.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012954.1:11:145:1 gene:AMDW01012954.1_FG001 transcript:AMDW01012954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGVHVVDAGQHVFVLLVLPISVLLMVMQLRLLAGPLMGGRGM >AMDW01036324.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036324.1:310:618:-1 gene:AMDW01036324.1_FG001 transcript:AMDW01036324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAVEDAIGATYTNNHNHPKVMPAKCSMNCSTPNLTVAAMVSCTSTSLASICQDITCTTDIDALVCPKETHTKCSTLSLDVKGGIDDQAEVTFQAMTTASK >KN538770.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538770.1:101008:102287:-1 gene:KN538770.1_FG027 transcript:KN538770.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MREQLAAAEKARKDARAALVESKKRFSAAKKRVSTAGAATSSAAAEQTPPQAVSDEKCGVISPAGDVPEAAVPDDAEEAKETADDGEVNSVTAAIVGDLEGNKVEQLRTKLMEKDMEVYELKAKLIAMDAEADDLRASLATKGMEIDELRAKLTSKDADIAAVEADNAELMKMAEEASHAVKEAATKARETEHALRESAAREAAQSIETLLWSSLSNVILAHFVWNVTNCAFDVG >KN538770.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538770.1:52879:53517:-1 gene:KN538770.1_FG029 transcript:KN538770.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGHVVAKAPAGTDVEYIAGDMFESIPSRDKGGKVIIMDIVVGAGPSDQKHKEVQALFDMYIMLVNGIERDEQEWKKVFMEAGFSGYKIMPILGFRSMIEVYP >KN538770.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538770.1:3263:7706:-1 gene:KN538770.1_FG030 transcript:KN538770.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIVAAIVALLVLGAVAAGMLCFCSRRRRRRRRQPTSPGDFHGRSLPVTSRHQQSQFIKPTGNLLGEGGFGHVYRGELLLHDGRRQLVAIKKLRPGSRQGEREFRAEVDIISRVHHRNLVSLVGYCIHADHRLLVYEFVPNKTLDFHLHGSSRPTLDWPQRWMIAVGSAKGLAYLHEDCRPKIIHRDIKADNILLDYKFEPKVADFGLAKIQPGDDTHVSTRVMGTFGYLAPEYATTGKVNDRSDVFSFGVVLLELITGKRPVISTEPFNDETLVSWARPLLTKALEQHVYDDLIDPKLDALYDAHDMHRLISCAAAAVRHTARSRPRMTQVVNTPVLEKMPCG >KN538770.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538770.1:136169:139616:1 gene:KN538770.1_FG031 transcript:KN538770.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-arabinofuranosyltransferase RAY1 [Source:Projected from Arabidopsis thaliana (AT1G70630) UniProtKB/Swiss-Prot;Acc:F4I6V0] MWFTGTPFFHSMVARAQAADSDICVLVDAEIILLPETITLLNHFSRSDLDWFVFSVSRNISAFPYHLVDNGMQWVDEHGKQVSFKKEIQSDKWAGHGSDRGLIVAWNNPSSRMIAGVMPSFLNGRGVHNWWLIHEVLSSETRFVFDASNLVLGLYPENFSEKSGMGTSRSSSNPDGSWEYDVNRHLAAVYGSYCYGLPRTNSPVLYEVVKQFEDYLLSKVEEPNLSDSIKNKEHNVHAERGCLCKMENCQSTAVSLPHSLQMLLEFVADKNRSVVLAVAGASYRDMLMSWVCRLRRLRVTNFVVCALDQETYEFSVLQGLPVFRDPLSPKNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLLSDADVYWFHNPVSFLHSLGPGTFAAQSDEFNQTGPINMPRRLNSGFYYARSDDATITAMEKIVKHAANSGLSEQPSFYDILCGKDGANRVGDDRCLEPSTNLTVVFLSRDMFPNGAYGGLWEKKHGVSSACRELGCVIIHNNWVNGRRKKLHRQMASGLWDYDPSSRLCLQNWSNASRFSVQTDDPVSYDS >KN538770.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538770.1:272:1291:-1 gene:KN538770.1_FG032 transcript:KN538770.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQRIEFKCQPSSYFLMSIPCKVIKSTSVMSFGTIIHVFCDPSLLFTNPKALAPA >KN538770.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538770.1:131538:133286:-1 gene:KN538770.1_FG034 transcript:KN538770.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >KN538770.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538770.1:110968:114054:-1 gene:KN538770.1_FG035 transcript:KN538770.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHSSLFSELGRPALYYGDQQSDVVGEVSKEIWVFAWTKGCSDALLHQEEQKELDLLPLSQLNTGSDFLGTKFIIYDSQPPYDGAKPSRSQSSRRFASKQINPNVSGGNYEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQSTASDPSKDKPISTSSPLALRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPASTSDPWGIADEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >KN538770.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538770.1:40491:42006:1 gene:KN538770.1_FG036 transcript:KN538770.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSATGTSTIYINGVSSLSEEKTQIKLIKAVHVMGSLELSESKCNGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLGIADAVHRHGGAATLAEIAGEVALHPSKIPCLRRLMRALTVSGVFAAVVKPGDDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVAPAGTDVEYIAGDMFESVPPADAVFLKWVLHDWGDDDCIKILKNCKKAIPPRNKGGKVIIMDIVVGAGPSDQKHMEVQALFDMYIMLVNGIERDEQEWKKVFMEAGFSGYKIMPILGFRSMIEVYP >KN538770.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538770.1:20815:25034:-1 gene:KN538770.1_FG037 transcript:KN538770.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKFKGKPTGERSFSSEEQIAAGTSAGRPKTFKKKQAEKEVYDRRQESDEEYDRSQESDEEESDNFQKNIGRTSDLSRREREELEKQKSHERHMKLQEQGKTEQARKDLERLTLIRQQRAEAAKKREEEKAAKDERKAEARK >KN538770.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538770.1:71905:72853:-1 gene:KN538770.1_FG038 transcript:KN538770.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGGGGDHGHKLDRIDVKGLILDMFIAGTDTIYKSIEWTMAELIKNPAEMAKVQSEVRHVAAAANGDEDDDAVAVVREEQLGKMTLLRAAMKEAMRLHPPLPLLIPREAIQGTVLHGHRVAAGTRVMINAWAIGRDEAAWEGAAEFRPGRRGCPGMAFATRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGLINPLVLAAKPLK >KN538770.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538770.1:107090:107912:1 gene:KN538770.1_FG039 transcript:KN538770.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGGRRRHRGAPLLSKIGRSQSLGNPLVRSAHDTGIMRSWEEVYINSLRRSGRGGRKGLGALLSPELDTTMPSVRELYLEQGDGVVHPKATHLGFLLVLDRSHDQCHDSHDEPKLLPPPHFPRPAPPKVFDGWKKQRRDAGAAAGWPLLLEDKAAASRDMVPPLPPLPSMKSPSESWLSRALPSVSSNPPATSFLGIHVQHKKQSPPPRCSSRAPAKVVADGHARPRQMRIHDLQKS >KN538770.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538770.1:121417:122718:-1 gene:KN538770.1_FG041 transcript:KN538770.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFGRVAKGRLKEDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRILDTNPETRITVKEVMESRWFQKGFQPVRFYVEDDQVHSLADGDNDMPELEPSEPPPPLPPPPPQQDDDGEESGWESDSSVASCPATLSSEERRQRPLGSLTRPASLNAFDIISFSKGFDLSGLFEERGSEVRFISAEPMQTIITKLEEIAKVKSFFIRRKDWRVSIEGTREGLKGPLTIGAEIFELTPSLVVVEVKKKAGDKEEYDDFCNRELKPGMQHLVHHMGSVPNIPSDTE >KN538770.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538770.1:127703:130965:1 gene:KN538770.1_FG042 transcript:KN538770.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase [Source:Projected from Arabidopsis thaliana (AT2G14260) UniProtKB/Swiss-Prot;Acc:P93732] MGQLQQHQEQQLRKDLYPQTEPYDFGFLKVSAVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQVFGGSWGSTLALAYSESHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIDAYSKRLTSSDAVVQAEAAKRWTMWEMMTAHLIQNHENIKRGEDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKMVPDAGHSANEVGVAAELVSANEKLKSMFTK >KN538770.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538770.1:58354:63530:-1 gene:KN538770.1_FG043 transcript:KN538770.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTVEEEAEEGAAAAANAATDEVYARLALVAEGEMLQRNFREGGGEDGAGEMEGCDQEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIHLKEKLVTFNDYKTVRPSQELIAVDLHGTQWKFRHIYRGDDGQLRLGVRRAVQLRNEALFEPVNSSDSKLRILSSVASSLENKSVFHICFNPRSGASEFIVPYWRLLKSLNHPFSIGMRFRVCYESEDANERSSGLISGISEVDPIRWPGSRWKCLLVRWDDSTDSSHQNRVSPWEIERVGGSVSVTHSLSSGSKRTKLHFPQGSLDTPFLNGNGHPDSMGTENFHRVLQGQEFRGSRSHGVVCSESPGVPNFQSPDNRRFSADMRGYMMPASGPPQRNTEFTYQPIGFSESLGFPEVLQGQEMSQVVPLFRGATFGARTQNDRVVSANSVHRSAAQSGLLASTLGHPISQFTLSSSKVSSPSSVLMFNQATAPNHETVGGTNNKGMHASQFASQEMLSENVTWPGTQLQTPSEITSNQFALARIPAAPSGAESGSPKRDAGRSSCRLFGFSLTGNMLGEDGEGLEDGAIEAGCENPPVLELFGHSHSTPGALHALCAAAPLGM >KN538770.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538770.1:25450:27034:1 gene:KN538770.1_FG044 transcript:KN538770.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPREAEAVEYYLLPRLQGRPPVPNPAIVVENVYEFEPERLINEKCNGGVGGEGEEGWYFLSPRDRKYRNGKRPSRSTEDKAGRWKASTGKTEGKDPITECYGGVKFCVTSLVYFKGPVKTEKKTKWLMREFTIPHFENKLDKTAAGSSNQRQLDQYVLCRIYTSPKKGADDGEQAEVVRGGEDIDEWAEACAVFDLGPETAEGSDNADAAAETEEGDMPSAKQAGKRPVAAAMRWPTMQHNCMPSPAHSFQPRPVQWRPVLVGQAPPQRRPVHHVGGHAPMQQTQWTPVHIAQAPMQPLPFDDWVFDPFDDPPPPMQQLPVMMNNYQPQAPMQLPPMMNNDQPAMVHGGELQAPMQQLPATTHGGEVQAPMPLNVYEEEQRPSQEDGGQCSNAEG >KN538770.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538770.1:95186:96740:1 gene:KN538770.1_FG045 transcript:KN538770.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAALEQISLVRSPSVEDNFGAGLPVVDLAADGAAGEVVRACERFGFFKVVSHGVGEGVVGRLEAEAVRFFASPQAAKDAHGPASPFGYGSKRIGRNGDMGWLEYLLLAIDGASLSRASPAPSSSLRDAANEYVGAMRGMARTVLEMVAEGLGVAPRGALADMVVGDGAASDQILRLNHYPPCPPLLQNLMPNCSPTGFGEHTDPQLISILHSNSTSGLQVALHDDAGGDHHQWVTLQSIGSFARSSFLLDD >KN538770.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538770.1:27788:31271:-1 gene:KN538770.1_FG047 transcript:KN538770.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLQSARKESRSADAALLEEQNSQSQGNNREASDPPSETLRLLQLAGAEEEEDDATSKLVMPRSPMPMQSSHEGHNLSPVLQGGAAELMVPRSPLQQMPSSHQSHGHGQDGGQNLQGEAVMGSIAATAAPHLGQGMQGDCGGMAGVTNAMFHDQAWAFSQAGHTFLLHTAFFCNCQLFLSIPQKKLIAILYVSFLRSTSPQRFHGSMVNHANCESHNVLLYYIDHELNIDDFLQDDDYKINLPGSNPDGPNTMQGIGQLEHQQYNLPLDLPPNSFVDANNSAQSSGDVFFHMSDLLTTMCPSPSQYLGPKCALWDCGRPVRGSDECQHYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFAALSAKVQGKNVGIPVCEGAATTKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYETNDSDALALYRLEYKSSDTKRSVKSKLASSPLSEIQQQMVRLSADSPVESKRTARSRAKANQKDNNSNAYPALNTPVQVSASNAHQTMSVNTPDQVNVSNAYQTMPLNTPNQPGPSNAYHAASQMDQMTFLDGSVVYGPHLPYGYSTERSDFYWNPSDGT >KN545336.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545336.1:404:1189:1 gene:KN545336.1_FG001 transcript:KN545336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASMSWFEQELKLLEPPMPVPPPELPPATAPAQVKPRAGNVKMRKPKAREPNKREMTLEEKNLLRVGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIASVANDSDMLVNGSTATMVDNGDVTMAIESKDPDKITTQAEQLDEYVDIGDEMPTATYQSVEIEKDTEVASSGSSSSSDSGSSKDSVSESGNAHSLV >AMDW01036873.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036873.1:347:650:1 gene:AMDW01036873.1_FG001 transcript:AMDW01036873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSSSETLVPRNQDTGLAELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEP >KN540981.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540981.1:1220:1836:-1 gene:KN540981.1_FG001 transcript:KN540981.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMASSATTVAPFQGLKSTAGMPVARRSGNSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >KN540981.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540981.1:33690:34556:1 gene:KN540981.1_FG002 transcript:KN540981.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDDDEDVEEMQGYRIGRIGSASCKEDRLDQMGSAAGTRSSLCPFLRGGEGHDRSRSAGGSDGLQARRPARAAPLRSPLMGMEAFDTAAASPSSMYDGARCSSNWFLGVEFQICTDAVTLIPGIAGFLPCDSLRTCARFGRPALFPSSGKLMESWSHGVASRNCVQRLIAVDLETNIWPSLNFAPLSIPPAWLPRSSDKQDIGRSQNASNSCRLVFFMHYMDGPTAAL >KN540981.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540981.1:23018:23925:-1 gene:KN540981.1_FG003 transcript:KN540981.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILENALHSDIADDVIRCNILPQLPFKLTTRLKVISSTPDIVGIPLGFDFLGCCLENGILSLLASTNGLVCILYTPTIFISHSRAPTLFIANPATQKAQSIPGTTKHITRFDKGISLAFDPVDNFQENTISKFKIVKAVGARTIEDNGTKFCFATLSSNTGCWAMSRTTIYVDTKINCNNKKVAYGSGIMYRDYHEIVLWFDIATDMAGIVKLPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGGLIVYHLVGVNTDFSWEIKLALRVAARKAH >KN540981.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540981.1:5880:6646:-1 gene:KN540981.1_FG004 transcript:KN540981.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTANHRGSDFGFGSTLHGGSGESRRVGIRLDVSFAGVLSARDTVSAEQREGCCAGAAGGRLGRAERCRVRVQPRGGVDWLRPAPGAAARPALQADVGVAVSASALQRRGRGRSGSSCSSAQLARQVLVKMPAWREREETGGKMGHWCFWQSGMWGPGLLGSPFRKVEKKERRGDFPENFGEAESLIEFSGYATVLQTPVHELLGINAIMNFFW >AMDW01036769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036769.1:16:653:-1 gene:AMDW01036769.1_FG001 transcript:AMDW01036769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRLAYSDVVTFTRDQELLISKRASEAGFDYVEGQVQLNRTLTEGPKSTPFFSRFDIDRLAGLSSESMSGVIYFIEGAMYYNESTAASVDQKLTSVLEQLSFDKGFVFTKDVSYVQFLDRVREEERVLRSIGMWDVPHPWLNLFVPQSRILDFDTGVLKGVFAGANPVGVIL >KN541279.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541279.1:593:2052:-1 gene:KN541279.1_FG001 transcript:KN541279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFDRNRERFGFLKWASTAFHKMQVFPPGSGAVHQVNLEYLARVVFNADGIMYPDSVVGTDSHTTMINSLGVAGWGVGGIEAIVAMLGQ >KN541279.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541279.1:26165:26939:-1 gene:KN541279.1_FG002 transcript:KN541279.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMWGGLHEFGDVASLPRRRMVVYREHGRAADHAGFLDTIDPLLNLFFFLLNHPHTNQFRDLPPLLHPLTDELGDLLNLISACSVDKAPRETYAGYYSAVPLIALYLDVPLLYPMRLGGSRSYVLDHAPSVESSSFCYSSEHKHENNGIPSVF >KN541279.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541279.1:21797:22027:-1 gene:KN541279.1_FG003 transcript:KN541279.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPLLSEVQSPPDDTAAPGALPPERGISSPVPHGAEVVRIPPEPRRDATGAILFSIGRCIPGFAIRQHRCSLFH >AMDW01022979.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022979.1:33:266:-1 gene:AMDW01022979.1_FG001 transcript:AMDW01022979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASASSASVAAADSSPGNGKGGGGATARGERAASAASVSAWVPFHFHKLFAFADKTDVALMALGTLGA >AMDW01036963.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036963.1:15:546:-1 gene:AMDW01036963.1_FG001 transcript:AMDW01036963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHRFRPALLLAAILLLAAGAVEGKKGGKVDVALYYESLCPYSAMFVVGGLAKVFKDGLLDAVDLSLVPYGNARVKDGKISCQVEHGSEECFLNTVEACAIDAWPDL >KN544087.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544087.1:3327:4309:1 gene:KN544087.1_FG001 transcript:KN544087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVERKTVCVTGGNGYVASLLVKMLLEKGYAVQTTVRDPNNPEKVSHFKDMEKLGPLKVFRANLEDEGSFDEAVAGCHYTFLVAAPVYDKSHKSDDLEKEIVQGGVEGTLNVMRSCVRAGTVKRVILTSSTAAVSSLRPLEGAGHVLDESSWSDIEYLRSMEKLSPTQAYSISKVLSEREATKFAEENGLCLVTLCPVVAVGASPA >AMDW01039454.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039454.1:251:942:1 gene:AMDW01039454.1_FG001 transcript:AMDW01039454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGEMDLPERQKSLRENYYFSCGCSSCSVLSLSDLVMNSFCCPQSNCLGAVSELIHHRRKENFVHVSIGESHVCTLSLPFFSHQDVSKFDEDIVKVGKLFFKSDTMLNIDPGFCMSCRSQLDLSSALAMSDRATSKINRLNELPSPDNVPEVLIAEALQSLEHIEKLRHPYSKALAQ >AMDW01032319.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032319.1:18:349:-1 gene:AMDW01032319.1_FG001 transcript:AMDW01032319.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYDGEEAAARAYDLAALKYWGQDTVLNFPLSTYDEELKEMEGQSREEYIGSLR >KN539233.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539233.1:81309:96221:1 gene:KN539233.1_FG001 transcript:KN539233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVAKVLGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTFTLVIAGDIDLISFFFLLLSQTNKVTFSLTTEIIHQIFAEKPAVHRAFLDFVPKKARSYPFVLYSHSLFRMYFGNFATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKVLNELNEGISRSRRLNLKNPQDSLLGQIPRRTRDELMDVQRLKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQDKALTKIFLDLCIAEKIKRNYNKKGRTNIGWQNLYRNFREQSGKNYDSKQLQNKFSTFKRQYKLWKSLKNKSGGGWDNNSGTIRCDGDWWEDRIEENRDARQFRGKPLEHEDELTTLFGCIDTEEGTVLCVGGIGKRTPSSGSDDNFTPMSNDNVGLSSAGRVAQRAWKEQVVDRPPPKKSKNMEYYVGCVSESMLERSKNESSVLRGEQDEMKELLQLVE >KN539233.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539233.1:11937:13634:1 gene:KN539233.1_FG002 transcript:KN539233.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRYILNLRGMGAHYGKVEVWEESRWHEGEDGGDEVGEEWRQREGEAARSIKPQTSMRTSVKVHKLTTEDAMNYILTIKNKFLRHPEKFHAFIHTMIDFSRGRINTHTVIERVKILFDGYPDLLFAFNKFLPRGINAI >KN539233.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539233.1:61205:66329:1 gene:KN539233.1_FG003 transcript:KN539233.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRRAPVSHCRCCNLLLRSTPSQPPLANLNYHYHCGLIPQAAIAMTSCERLGHHRRCVTSSRGLRSSRPPPRCSRCHHDLAPSTARFALPLLNQPRLYSSSTFQRFGFSSSAPQQDDKVANKQTEDVVNKSTQSEASNETNSSPGTENASQAGSQDSVPQSNRRRRGTKRTAFSDSDTEDLDLSKEDLTKLVLEKEELLKSKDEEVKDMKDKVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNESTEAVKLLNTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNKHAALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPIEEEPEEKSDKSE >KN539233.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539233.1:46285:56692:1 gene:KN539233.1_FG004 transcript:KN539233.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSARELEDDETTLALRAAPPVLRHSQPEPAADGEAHPTSSKKNVQEIPTPQYDDVDTYERDYTRTFAQPTSYIRARGEKLLLAARAEIGEFVEYDLDNEDEDWLEDYNNERKNLNPEKLEVLLFKLETLDHKARERAGIITPTFLGPIPVILQLDSAMEALQYLSVRYAVFQAVYNYWKSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSVQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVHLRRIQMRYKHEAQLIDDGIALSGLQQAGSSEDDYADSDDTANEQPYVRSVAFHPRFPDNKLSAVPPLRLKRERELKRRPHQNGWLFKRVPEMRDPEEPVMLFTRPIDPDKLKMAGIRPPLDPPIDSGTTAPPFRWQARIGRGGRIIFDRWNPFLQVPVGQETNHRPSMPEG >KN539233.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539233.1:116911:117456:-1 gene:KN539233.1_FG005 transcript:KN539233.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKRRALLQLLRRTGRRAGDLVAAVEAGAEALEDAYMDLDGDKWRGGGDRDKFVEVMVTDGCFLLEVMRTAEVDGEVDDYAANDPVFSRHGELYVFPYVRRDMLMMENQLPLLVLQRLVAVVRGPHKAVGNNQIAEFIDQSINNSRIIQELNRFDLIYPCGWMDRRTTSSTTWCSDSCR >KN539233.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539233.1:31312:35591:-1 gene:KN539233.1_FG006 transcript:KN539233.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWTPGPSIPITLEGTHHGPVTNTPTLFVEIGSTEEYWGRQDAADAVALLLWKGLGLGEEESVGNWHSIFDNPPQLILFPGRNGEKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKNVADVGGMWKHSIKVSYEATKAAFPGGEIIAHIDQKSFKGWQKNAITSYLQELNIRGTLSKKGTYTVHPLYTTVLSSLSENVLKSCGLWAPVEEKALIISTLKF >KN539233.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539233.1:67804:69710:-1 gene:KN539233.1_FG007 transcript:KN539233.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLMVMLLPCGRWGSSQQVSVVEDERQESGKSDDENKANDGEPSSAETEPSNGKTNINDTPMDESQASDEARDSGKNGGGTDLNLNLGLKDPDGDNDIDTEEQDAENNTHTENRLKRKSVAPDLEMRM >KN543374.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543374.1:3455:7493:-1 gene:KN543374.1_FG001 transcript:KN543374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VALPPRRVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSTSKNFQLQFMTKLSLPIFTGSKIEGESSLSITIALVDTITREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDIFVGLIKGIGAVGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPVLDDEVWRLEKIGKEGAFHKRLNREKIVTVKEFLTLLHLDAPRLRKILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLTEKEKAEAYAAVKQAYENWKNVFTYDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHGPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNKHGK >KN543459.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543459.1:4726:6921:1 gene:KN543459.1_FG001 transcript:KN543459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPHSSCRTNTSKFCINFFGPVLVLLLFTFISPVGSCTERERCSLLRFLTGLSQDGGLAASWQNSTDCCTWEGIICGEDGAVTELLLASRGLQGCISSSLSELTSLSRLNLSYNLLSGELPSELIFSSIVVLDVSFNRLDGELQELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFSGHIPSSFCISSPSFAVLDLSYNQFSGSIPPGIGKCSSLRMLRVGQNNIIGTLPDDLFRATSLEYLSFTNNHLQGIIDDALMIKLSNLVFLDLGGNRFSGKIPDSIGQLKRLEVLHMEENNISGELPPSLGDCTNLVTMNLKKNKLKGELAKVNFSTLPNLKTLDLSSNYFIGTIPESIYSCSNLTWLRLSTNKLHGELTKKIENLKSITFISLSYNNFKNITNTLHILKNLRNLTVLLLGGNFMHEAMPEDETIDGFKNIQGLGINDCALTGKIPNWLSKLRSNNKLSGVIPMEIGQLKELLSLNLSFNNLNGEIPQAISNLKNLMVLDLSSNHLTGPIPSGLVNLHFLSEFNVSYNDLEGPVPIGGQFSTFLSSSFAGNPKLCSPMLEHHCNSAVAAPTSPISTKQYIDKAVFAIAFGVFFGVGVLYDQITMPRYFG >KN543758.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543758.1:1526:3054:1 gene:KN543758.1_FG001 transcript:KN543758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRSLGALAAFVFLCSAASMSSSMAATDSFLQCLSASIPSQLLYTQSSPSYTSVLDAGIRNPKFLTNTTRPVWIITPTNASHVQAAVLCGRRNGVRLRIRSGGHDYEGLSYRSERPEAFAVLDLVNIRAVRVDAASATAWVDSGATLGEIQGAIDVVTRWQAVAPSLPDDLFIRVLVQGQRASFQSLYLGTCDALLPRAWIRDFYAFMAPFVSKDPREAYANYRDLDLGENVVGAGGVSSYDAGKVWGEKYFRGNYQRLAMAKAQIDADDYFRNEQSIPPLVAGK >KN545370.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545370.1:738:1489:1 gene:KN545370.1_FG001 transcript:KN545370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAFTANLRRLVEHASRKNGGKPVILVTHSKGGLMVAEFLTRNATPWRRRFVKHLVMVSTGAGGIVVPMRSLAASAAPRVPMTAVYSAGLPTPEQLVYWDGDFSKAPEVVYGDGDGAVYLVSVLAWNTVVGDDPEQGFFKAVKIMNATHSGIITDEFALKRVISEILEANRATYDK >KN541118.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541118.1:23527:31014:-1 gene:KN541118.1_FG001 transcript:KN541118.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSERGSISWRASAAHDQDAKKLDADDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGTSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFIPRLNGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTVCSSGNLSQEDADKCANLSLDTSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQMILSFELPFALIPLLKFSSSRSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNDLPKYANVLVGAAVFPLMLVYIVAVVYLTIRKDSVVTFVADSSLAAVVDAEKAAAAVDDDEPLPYRDDLADIPLPR >AMDW01040252.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040252.1:259:1100:-1 gene:AMDW01040252.1_FG001 transcript:AMDW01040252.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NNLGMGGTEKGHERGEYVKNLEDEIYAEIRARIKEDDIGAPLRKGQYYYYNRTLTGKEYVQHCRRLVPTDAPVTVYDVMPTGPNAPHEHIILDENVKAEGHDYYSIGAFKVSPNGKLVAYAQDTK >AMDW01039530.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039530.1:150:758:1 gene:AMDW01039530.1_FG001 transcript:AMDW01039530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVARVVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >AMDW01039406.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039406.1:68:214:-1 gene:AMDW01039406.1_FG001 transcript:AMDW01039406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPTKRQLRRALVAALKCVDPKADKRPTMGSVVRMLEADDVALSSRQ >KN543896.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543896.1:2134:2628:1 gene:KN543896.1_FG001 transcript:KN543896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTMKLSFALACLLFFLAAATTASAQCTFEILVKTDGRRNAGTDARVSLQVRAASGPTLTITNLESWGQMAAGHDYFEKGNLDRFRGTGACMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAVDEAPHMLTAERRGCGIGAAAP >AMDW01025220.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025220.1:2:286:1 gene:AMDW01025220.1_FG001 transcript:AMDW01025220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GILKAQASLARTASKARVSINLQDNEISRERSKLGSTTTTAAATQQLNGGAEGRRRSVEEVLREVGADIIGLQNVRAEEERGMSPLSELAEGLGM >KN542291.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542291.1:3596:14627:1 gene:KN542291.1_FG001 transcript:KN542291.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDGDRFIEMVSAGTLYLSGEWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYTMQIHQGPRGPLFQVTSTQGDSSTGPTPDIAWKNFHKKTAPKLFGFKNASVQRLLRELIVRSTGAVQLNLPHPVTSDANSPLSRKVEAEISDGNEVCMDKTGGPAKRSMRPSQEEGTAKRVHYQNISTSTDKCHNELDIIADEGSNEDATGSRCTSSSLEDMPCNSTHTLVDDNLGEFVADSPEQVALSSSSYLSSQKSDLESAEREVAKSMMSILLPQAIPFLNKTHRKKKVKHKKREETISTTTALAENPSADGCRGVAVSTSTCEGINIKTSQTYSHGQSLCEMVKDCCGNDDGMIDEPGLKSDDMKVVADSFEDDEQGWCDNKSKSMGARCHDDDACSKESLPYGNREDHDGHSECQMGIDDGTNTPDVVYDHEKGQYVLSEALLACLEEEFGEKDNSCPANYNQIDVGRRQGEQHFEDPRSGINDDSSISVGVSDKSNLRSGLIDGYAQASAKSWTGNSRHGESLTNLLQSPVHSNAHNNSDKMGGKFDDTEFVDKFVAFDKYGMKRVNTVTVWPVDVRTKTGKRKHPLEEQKECQTGCRNGNENAMVSIGCGSYVCGRVPPKDEDNACHEHAPPDVNHLNGPLCRHKETSPRVSNLHLDLMGCYLHPMPVLSIVLNTKNNSSLLIYVLCGLLESCERFLYVYTIVPKDQQETAPYFVGYTPLLLSSLERSCTGNLPFERSGLQFTPDGQFLVLLGSIRMPYCRKQIIDCSCSLCKLDQCEDNYLKIVSVDLGYVSLLTKLMAYGSLSCILICEPNYIVTVEDGRNLHIWMMAAGWRIISEEYVIPSSGNVGNSIIELRRMPKSSTLIVGHDGTGSFSLCNALPDSEIPKMDVVLVNDKYVLQEWDISKRTLLATFTAPGIIVFQIRPVVSCSLQEDIILASVSDIERRLREITVTGVSRKADKESILSPGKDTAIWILISSASVAEYQSDLRAKEHNARWRLALLANKTVIMGTILDPRATAVDVCGNHGFAGTHGGLLYAWELSSGRKLAGTQCFNSGRVSCVAVDAKSGVVAVADDGCQLVLYSQNKVLSNAGAEGNMFRIK >KN538724.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538724.1:211334:211783:1 gene:KN538724.1_FG089 transcript:KN538724.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARCVLVVVLLLPRCSAMAREAPWAGWGLRLHESSSERGASPSVFSVAATREDSRSESGSSERPATLPLAELPERSTMARGASRWTVLLCESSSEPWPAPLQLALPASACVATVRPGCRSQLCESSDLPGPSQPARLPALVAPPREP >KN538724.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538724.1:166059:176189:1 gene:KN538724.1_FG091 transcript:KN538724.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYEIASDTTGYEAASSECNRGMAIQSPTDPAPHSLRLPAPDVEQVKAELSNLLQRSNMTSISLWVKNMNMGYTPEDKVRVFPIRRGGADDSSEVRLLPAAPAPRRPNEIKKANKTPEERRKEIEVRLAAMRLMEQQKHNATSASSSTSQSQSPGDEAPSSSCQSSVSGHRADRRKGGSRKAAASPVSGRMNQVREFWATVPLERRLAFLSTSISELKSHYATAMHKEKDAASLVSDVLNEAIRFATRSGKWEFLVCGRCEEHFADAESLVHHAMEEHVGVLPPRLNDVVPEEIDDAWAEKLTGSTWRPVDATAALKILEEELADNVGSDRDKDSMSSDIWSTKDKSDTSDSSTSPHNEECESFGAVTREGDRKWPLSDDEERANILERIHSSFKILVKHKNLSLSHLNKELMQASGLNDRNTDKDEEVADKDSFPKNHSNLEKVTLDSDSSLLILDGQAFEMRSDRDNAVADPFLSWLYTGPSVEEQLLDWNRMLEVRSDQCTRILHELEKEFSALQNSYEQKHDQLSSEEGLLAVDSLLCEEQRRRDDVDPYPFQGYEELLKKRQEQLELNAEELFNGCRSELHAISTILREVKTAPFRYDETFSGMTSNHRDYDGTEEDEWGFYDFEHSNDSVVQLVVSRLKEHVAMELNKIDARIMRISSVIEQLKLKLGPASVLDYRTIILPLLKSFLRTHLEELVDKDARERSDAASEAFLAELALDAKRNANKVSDTKQSHDKSKDKKKVKDSRKSKDLKDLSWSDQYLVRPDSVDEETSEQSLSTSDNYLNDQEEFRNRLRLEAEERKLEETLEYQRWIEEEAKKKHLAEQHRRTSPGSDGSACLRTDVNLNRDQDKHHCAQNNSHTHLEGINFGDFRFSEVPLKEEHSILRSCDSDLLQTKEKNLNEVHNGLGYPGTRPIASSDVDLIKPTVKVNGVWKNVEYTKATLKANGVGKNAENTKLPSIPSTQKSRRSTSQAHKKYIQGVTGTFPVDDGDDDTRPSIRQSGSPVSRWSSSGKAVDTANHSYQDTKQNQLPLLSYSHRVHGAHSAGRENSSSEKVDSSAIPSTNLYIEDDKRFEADLERAVLQSLGTSNEKEVYGTGLKNAAGEYNCFLNVIIQSLWHLKRFRDGFLKTSSLHKHVEDPCAVCALYDIFTDLSKASEGQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRADCQVKSHEINYIGSWDCASSSCIAHCLFGMDILERMNCQSCRLESRRLKYTSFFHNINASSLRTAKDMFPDHSFDDLLKIVIMNDHLACDPEDVLGWQNNKESVDDISGTLAGISTEIDISTFYRGLDQGSKHSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKVVGNWDDVLVMCKKGHLQPQVLFFEAAK >KN538724.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538724.1:122563:124585:-1 gene:KN538724.1_FG095 transcript:KN538724.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVAMVVVVAVAAVARGDMSADRTECADQLVGLAPCLQYVQGEAKAPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPGGDKGSPAAPAKDNSTSTTDSRAVQAANVGSRSSAASPGAALAAVSGSKVSRLIDRFQLFFLAVFVLLNHEFVMLASRVTAP >KN538724.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538724.1:233622:235977:1 gene:KN538724.1_FG097 transcript:KN538724.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARARSPDFARQFWPVLCHAFSECSLIIMLFVTAVVSFTATRFARIWSLRPPCILCSRLDRLLHGNTWFSEDLICAAHKLEISHLEYCQSHNKLAHADDLCERCLLSCAGLDEPFKKTRHAHKLPELANGIVPDDVSTVKERSIDMTSVGHSSDEGSEDLSYGGYSKLNVRHDSESENRISDDDEDEDGNSMIHKATQRSRDFLFHDSQLQPMISDTNSLSMHPSENVVLAEPMNTAPVPVSTAAKTDNVATGTNLVSAAKSSEHIAQGSREISLSNVNVSGNNHDVQPKIVPEQVCAELPKEKTFLVGIEEVGDSAGISGSPDEEVAKGFVASANAGMSSVLDARINCKNSMKSASRRRSNLQSPRWSEIISAKDNSSRTNQEVKTFLSQMSSARGFDGPWSEVAASPRITQIDDKQYDATGSRQFLETNYSNMEPFDVHATSEDEGDTSVEGLKQKVELGKKKMSILYKEFEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRLMEEQADHDQEAIERLNDLLTEREKEMLDLEAELDNYRRLHEPFGCKFDFTDGDMASGVLDSSDFMRDTMFDFEDEKANILESLSKLEETLGMSSTDRHNFGGTYDSLQNMSVLHPEHWSGEPVSSQQIDENQSVDSGSCSHLDDGRISSMTSVKHEISLLNTRFTALETDQKFLKQILSSLKCSDDGVQCVQEITAHLRELRRIMTEQRERAVL >KN538724.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538724.1:283127:291795:-1 gene:KN538724.1_FG100 transcript:KN538724.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNDKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNFTSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALSIITLMITCFSLVVVGGFLFHKFRKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSIAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKENVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVFIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSHEVPLCSSYENGITRIPEETRLRDPETYHPKAVCIGPYFHSVRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDRAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWCWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYHGTTSHVANYAAFMDALNSDHHDTELLRKRSIFNVQFTPAQPELSLRKRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >KN538724.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538724.1:201179:202339:1 gene:KN538724.1_FG103 transcript:KN538724.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTQLLMAHGAAARGHQGGLSLSLGTQVPVSLYQYRPAGMAAASLLSPSQSSPMAGRSAQNSIYVQNSRFLRAARELLDEVVNVRDAIKRKGDKNQGKDSGECKGGDAAGDDKAGSNPQEQESNSSPELSPSERQDLQNKVTALMAMLDQVSERFREADACEADGIVQGAGEIELHELDALMQS >KN538724.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538724.1:212855:228476:1 gene:KN538724.1_FG104 transcript:KN538724.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGACRREIVSSLLGSSPTPPPRVFDLLIRTYTQSRKPREAFEAFRLILDHRVPIPAAASNALLAALSRAGWPHLAADAYRLVLSSNSEVNAYTLNIMVHNYCKALEFDKVDAVISEMEKRCVFPDVVTHNVMVDARFRAGDAEAAMALVDSMVSKGLKPGIVTYNSVLKGLCRNGMWDKAREVFREMDDFGVAPDVRSFTILIGGFCRVGEIEEALKIYKEMRQCGIKPDLVSFSFLIGLFARRGKMDYAAAYLREMRCFGLVPDAVIYTMVIGGFCRAGLMSDALRVRDEMVGSGCLPDVVTYNTLLNGLCKERRLLDAEGLLNEMRERGVPPDLCTFTTLIHGYCIEGKLDKARQLFDTMLNRRLRPDIVTYNTLIDGMCRQGDLGKANDLWDDMHSREIFPNHVTYSILIDSHCEKGQVEDAFGFLDEMINKGILPNIMTYNSIIKGYCRSGNVSKGQEFLQKMMLSKVSPDLITYNTLIHGYIKEDKMHDAFKLLNMMEKEKVQPDVVTYNMLINGFSVHGNVQEAGWIFEKMCAKGIEPDRYTYMSMINGHVTAGNSKEAFQLHDEMLQRGKEKRRAAGTVQFVNIQNSAESLKQMPMDLKVTCSIYFFAKTQEFAIQGCAFVFGALEKSSTPLNFQLFVPVQGTRSLEDHWKVLVGYLLLLDLPYVKDFSFSHAMIQTDGHSLLRSHNQQNVIDQKETARLLGSLVHPDASGFAEVKNTGLPCRGCDLAPSNLDAWLMVIVVLMISMKMRARGRTCCLLTGIVEQLASCAFGSLCVKLRYAYPRARRVVAGTALKELYSRVLQELVRGTLHDCYSFLNWEPLVLLDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVIAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDCRNVVWLPRSLSEAAVTDQVCPTCAPGCIGGCDDILKELMELGRFGSHSQTPTPARNQSQTASGVRQGSSRQDLHTSFHPAAQFSNGQTPVLNPQGFRSTHTQSSGNASGHIATMTEGNVNQDLYLDLQTRDARGKGTCPRFKLKNAANQKLYEMIK >KN538724.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538724.1:241379:241729:1 gene:KN538724.1_FG105 transcript:KN538724.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLVMALVAAAVLMQCCGVLLAARPLDGRDVAGVLITISFLANTVVLDQAGSDFRDLF >KN538724.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538724.1:236631:239642:-1 gene:KN538724.1_FG106 transcript:KN538724.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLEEEGISVVGMLDNSDSSACRNAYETLLCWVLVDPFQRHGFCSRADFSDEPAFSWIRKLPAETKTAIHHSKILFCNGYAFDELFPDVISSAIDCAIDAGTAVFFDPGPRGKSLLHGTLDEQRALEHSLKLSDVLLLTSDEAESLTNIRNPIQAGQELLKRGIRTKWVVIKMGSKGSIMVTKSAVSSAPSFKAIDGLQKWLDKFKTGDQVTNDHL >KN538724.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538724.1:185453:191571:1 gene:KN538724.1_FG108 transcript:KN538724.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCLAALLGGAVAVAVLVAVVHCAVTYDKKAVLVDGQRRILFSGSIHYPRSTPEMWEGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVRFIKTVQKAGMFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKNAMQGFTEKIVGMMKSENLFASQGGPIILSQASAKFCFTCHIENEYGPEGKEFGAAGKAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDTFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFGVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLAREPKFGHLKELHRAVKLCEQPLVSADPTVTTLGSMQEAHVFRSSSGCAAFLANYNSNSYAKVIFNNENYSLPPWSISILPDCKNVVFNTATVGVQTNQMQMWADGASSMMWEKYDEEVDSLAAAPLLTSTGLLEQLNVTRDTSDYLWYITSVEVDPSEKFLQGGTPLSLTVQSAGHALHVFVNGQLQGSAYGTREDRKISYSGNANLRAGTNKVALLSVACGLPNVGVHYETWNTGVVGPVVIHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSGSVEWMQGSLVAQNQQPLAWYRAYFDTPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYAEGNCKGCHYTGSYRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALAKRTVSAVCADVSEYHPNVKNWQIESYGEPEFHTAKVHLKCAPGQTISAIKFASFGTPLGTCGTFQQGECHSINSNSVLEKKCIGLQRCVVAISPSNFGGDPCPEVMKRVAVEAIA >KN538724.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538724.1:203855:208564:-1 gene:KN538724.1_FG111 transcript:KN538724.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAPSKTLTSLPLCSRMDSDSCKRRKHEGGHDSSSRVQSQSSILSRNRILCHQLLEQCDDLKYGSSTNDYKAISMKRLELISILQKLQEVPIQLPYASPLKSSEIKDGLVQDGRNSSCRNIIDLDSDNDEDYTFANVDNIGADTTVVLVDSDDGDSVASFVDEKSSDTKQNANYIEESVLPEQPAQQQEISMLDNENISSEAQAVKKGKDSMDINDVIYNKSGHEEIGEEEAQAENVQIKGNLKKEIISVASDEPACEVMRSQSPTNGNFDQYDNSSPVDELEGLWMDMSLAMACSKTVGSDHNIVPSENSCEQAEDECQHDFLMKDDLGIVCRVCGLIQQRIENIFEYQWKKRKQSYRARPSEHRNSSDVDAIDKTSGAILEVVPDALCLHPQHSQHMKPHQVEGFNFLVKNLADENNPGGCILAHAPGSGKTFLIISFVHSFLAKYPAGRPLIILPKGILSTWRTEFLRWQVDDIPLYDFYSSKADKRSEQLKVLNLWEESRSILLLGYQQFACIVSDHTSDTEAIMCQEKLLKVPSLVILDEGHTPRNEETDLLTSLENIRTPRKVVLSGTLFQNHVREVFNILKLVRSKFLKMDKSRAIVNCILSKVDLMGKSARSKNISDKDFFDLVQEHLQKDGNDKMRAMIIQNLRELTADVLHYYQGKLLDELPGIVDFTVFLNMSSKQEQIIKGLDGINKFAKRSRCNAVSLHPCLKNANKADADDGNVTNRKIGSIISGIDINDGVKAKFVHNLLSLSEATGEKVLIFSQYVRSLIFLEKLVSRMKGWKSEVHTFRVTGGSTQDQREQAVHRFNNSPDARVFFGSIKACGEGISLVGASRIVILDVHENPSVMRQAIGRAYRPGQSKMVYCYRLVAADSPEEDDHHTAFKKERVSKLWFEWNELCSSDDFELATVDVSDSEDRFLESPALKQDIKALLKR >AMDW01036076.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036076.1:328:546:-1 gene:AMDW01036076.1_FG001 transcript:AMDW01036076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADHCDDDLRLNHEVTVVGYGVDGGDYWLIKNSWGTGWGEQGYMRLQKDQRACGIVGTSTKSIAPAYPVMAA >KN538713.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538713.1:368008:370986:1 gene:KN538713.1_FG050 transcript:KN538713.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAETTVSTVTTGGEGEIVRGRKLVSHGKVFVGGVPLGTSESELHAHFSRFGTVAFVGAPKNKQTGAARGFAFVQFVNPDDAAAAIAAGPDRNVLRGATMDVKLAQPKPSAGGPQLSPGDQKRKIFVGGLPVSATEKKLKEYFNKFGEVNRAIVVTDLNTKMPRGFGFIQFASEESAVRALKKDRHFLCGQWVEVSLAMPKQQNAASGTSKLSVQARPFYPTTPSNFATAANYPDVVNIVPVVTPMNCVVSNAFNPHIGFEVPGMILSDGVSNSVSANYSYQNPYLGGGGVPPQGSAVYLQAAHYYSGVMM >KN538713.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538713.1:333995:336432:1 gene:KN538713.1_FG052 transcript:KN538713.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQVQHKSRINVGEATHVSKAEMGANTIFATSHLNSNNKVGPELAYSSDVANSASDSSTAAPSPCYLCHKPAALHVFGLAGRYVFGSVKREANLSQEGPRSGRTPTLNRIAESLPVRVVNDFGLRLRVVTNQGPIKPRPPRPIDDIVFASIEARTAIAFEASIDRFVARHPPETYAFLPRARVTIVLRANIIKMSSEQQASAGQPVLCASGCGFYGNPATLDMCSVCYRQHCLLNGPTTATGPSSSSSSSTAAASAATVATGAATPDSCFAPSAGANGAASSSKNNPEPSLAATAAVEKKAAANRCASCKKKVGLLGFACRCGATYCGTHRYPEKHACGFDFKGAGRDAIARANPLIKGEKLADKI >KN538713.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538713.1:343477:343860:-1 gene:KN538713.1_FG053 transcript:KN538713.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGVQIPSRPAGQRPATAPQPDHHHDPWFMGGAGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGPAAGFPYGYGHSFHGWHGHGFPHQAPQGQHVDVFLKVLLVLVGVLVIASLIVF >KN538713.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538713.1:379992:380444:1 gene:KN538713.1_FG055 transcript:KN538713.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGFGFIQFASEESAARALKKDRHFLYGQWVEVSLAMPKQQNAASGTSKLSVQARPFYPATSSNFTAAANYPSVVNIVHVVTPMNCVVSNTFNPHIGFKVPGMILSDGVTNVVTANYSYQYPYLGGGEVPPQNSVMYLQAAHYYSGAMM >KN538713.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538713.1:395472:400073:-1 gene:KN538713.1_FG056 transcript:KN538713.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGVGGGGGGGGGGSGGAAAGDDAIWSKLREAGFDEESLKRRDKAALIAYISRLESEIYQYQHNLGLVLMERKELTSKHEQLRAASESAEIMHKRERAAQQSALAEARKKEENLKKSLGIQKECVANLEKALHDMRGETAETKVSYESKLAEALQLMEAAHKKFDEAEEKLLLAKSLEAESIRTHNAALRSLHDIDDREDQLRRDRISCELENEAKEKEISLQRKSLNDMKKILHEKEEVLLKEQALLNQRDENILERLAYVTHSEKRVEEEKNILEAERKVLLEEKYKLELKMEAIVSREEALIQKESLLDKRESELLILQETIASKERAEIERLNQEHAIALERRKHDFESEMANKQMSFDAAMEATRNALHQRECALSEQESVVVQRSQNLDLQLAELASKEKALAGRSDELKEEEEKLLLHREAIHNELQKEREEIQRIKSDLEKEKAFFEEEKREAIQAQQDLAITQADRDELLTLQMKLKEEIDSLRAQKRELMADADRLQAEKERFEIEWELIDEKKEELQKEAIRIAEERRAITEYLKNESDIIKQEKDNLRVQFKSNSETLSREHKEFMSKMQQEHASWLSKIQQERQDLKRDIDIQRVELLNSAKARQMEIDSYLREREEEFEQKKAKELEHINSQKEMINTKLEHVAVELQKLEDERKEATLERERREQELSEIKGTIEALNNQREKLQEQRKLLHSDREAITVQIQQLNVLEELKIDSENKQLSLLQHDRSKLGSDINVKDNHHDNSHSSPKQRFGRKLDLSPVSTPISWVRKCAQVIFKRSPEKSASHDQFVQNGVPKKVGDSVDVEDVNLDFAKVGQKRLNHLVSCDQSEVLEPKRKHRRSTIQKVNGGEITSNCPSALEEKCSKNEHDEAPLGLSNTCKEHEYGYKGPENLTKPGEPASSVDVPYVNGTVDNSDSVQEEPSVEATVSATETSNVDGPEDNNDSDEEDEEEEEEKTSSAKKLWRFLIT >KN538713.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538713.1:402246:405473:-1 gene:KN538713.1_FG057 transcript:KN538713.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPRFPVPHAWPRRGVVGAEEAVRGYDYETNYFRQRLDHFSFLEEEGEEGDGFFQQRYLVGRGGGWAGAGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEANLSSHFAPVSVFFLGCALIVRDPIPFTCSLQHRYYGESMPFGSKDKAYNNSKSLAYLTAEQALADYAVLLTDLKKNLSSEGSPVVLFGGSYGGKGKFKLLSNNKGLLESTGRSRKWARWSAETKQNFPSMPLCTKIDNQPDGTSILERVYAGVNVYYNYTGTVDCFDLNDDPHGMGGWDWQACTEMVMPMSYSEDSMFPADKFNYTSYEKDCINSFGVKPRPQWITTEFGGHNISQVLERFGSNIIFFNGLLDPWSGGGVLKNISESVVAIIAPLGAHHIDLRPASKDDPDWLVRLREAELDIISGWLSDYYGARRRRGALLQRAAPIPWTLLHHS >KN538713.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538713.1:337759:339863:-1 gene:KN538713.1_FG058 transcript:KN538713.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAGTEAAGAGHRKNWRGESGNLWRIAGPVILTEIFQFLIGFVTAAFVGHIGKVELAAVSVVNGVVEGLAFGLLVKNRARTKFYQAQSRVWAVTAISAAALAAHALLNWLVVARLGHGVVGAALVGDVSWWLLNAAQFAHLVGGSFPEAWSGFSRKAFTSLGGFVKLSLASAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVAVGFNAAVSVRVANELGANHPKATKFSVVVAVATSAAVGLVFTLVALVARKQLPRLFTDDDVLVRDTAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSSVAFVNIGCYYLVGLPIAAVFGFRLSLNATGIWVGMLIGTILQTVILLVILYRTKWQKEAMLAEERIKVWGGGVELPTIQEAS >KN538713.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538713.1:361840:366773:1 gene:KN538713.1_FG061 transcript:KN538713.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKGWILDGNGINKTKLIYSPQHPAEVRVLSPDNTTPRAIPGPRRGRQWQEGRNDGDVRGCAAADALGVRACGKPASRWVRSGISLLSNANAVAEISKIASSLLPFGPGEDDDDDDDAEAVGVTEEVVEFVRHISTHPETWLDFPLFANDRHADDFELSDTQYGHALAIERVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAELLSTPQILKAREELLQSSPTKKRLGPDDGSSQNWNVSSTKGDNSGMSEAPSLEEATSVPMNVVEADKHPISVAEVEIIDKSVVEEELVVKNETKSIPSESEKANLHMTSDDDDKEVEDWLKDVAPVSSKTGNVNSAGQDEDISFSDLEDEEDD >KN538713.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538713.1:385654:388893:-1 gene:KN538713.1_FG062 transcript:KN538713.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKQPKQQRVGSAPNLDSLAKESHPKKDSKKKKHNRIYQKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >AMDW01040819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040819.1:97:2118:1 gene:AMDW01040819.1_FG001 transcript:AMDW01040819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGIKDEFPQNGKSSRIIVTTSIHSVAAECSRGRGFVHPMQCLGKTESEDILWEMVGKNNRSPVLINAPDDIILKCGGGVTVELCRRVSRELGDKILQGREAEFERINRALQHCYSQLPDYTHRNCLLYASVFPKGRPISSKVLIRRLIAEGLVAGHSTITDEQVAAGCLYQFSDRSIVEPLAINNAEVAMFRVYSIILEFIICKAISENFVALVQKGDNGETVYNRGRKGPIIDFKVRRLSVQDGSKEAVKKVTEDIELRYMRSLTVCKSDLLHKLGIKVCKLLRVLDLGGCKGVNDIFTQVICKLHCLKYLSLRGTDVVSLPPDIRHLTKLQTLDIRDTCVKTLHIEVIKLPLLAHLFGRFELPSYGVTVERSERSKLQTLAGVCITQGEDKSFENIILHARNLRKAPSAITSIKLRGNLEKLPPAATLKHLIGLHKLILISTGLKVEDLSALQNLHYLQYLKLEEDRSGCRRWEGGTFVVHKGGFPSLTRLCFEAPKLPEIIFQRGSMQTLAILELLSTPFSSQTCDDQYESWFGVQGILHLGNLDEVILHHSTDNAKMQDWKEAALWHENKPSVKRQPQPQ >AMDW01039698.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039698.1:33:1019:-1 gene:AMDW01039698.1_FG001 transcript:AMDW01039698.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDAVAFNAMLAALVASRRMADARELFERMPDRTPASWNTMVTCYCKAGDLGSAREVFEASLGATASNVVSWNTMIDGYCKAGRMDAAQELFDRMGCSFSSSPSPDIVTWNTMMAGYLRRGDPATAIAMFRRLTRETMQQQTLMMPTTVTMATVVTACTQAGDFAFGRRVHHYIRQLGTRIDAVLSNALIDMYLKCGSVDLALDVFATMPDGPNLFCWNTVIAGLGMNGRGEDAVRAFHDMVGRSRTRRGIIRPDGVTFVALLSACSHSGLVAEGRRFFAEMVPVHGVEPQEEHYGCMVDLLCRAGLLGDAVRVVRAMPVRPNAKIL >AMDW01030231.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030231.1:21:176:-1 gene:AMDW01030231.1_FG001 transcript:AMDW01030231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSPSGCKGGGGGGVGKKRGGGGGAEEERERERQQLSVLEVLLAAVR >KN539066.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539066.1:67069:69715:1 gene:KN539066.1_FG001 transcript:KN539066.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFIHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDKDHDTFRHHFLVLPCLALALLINERFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWVAGIVQTLLYADFFYYYIMSWKNNVKLELPA >AMDW01038261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038261.1:107:624:1 gene:AMDW01038261.1_FG001 transcript:AMDW01038261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CSTSSVGFRGTIGYAAPEYATGGHISTAGDVYSFGVLLLEMLTGKRPTNAIFMEGLSIISFVQMNYPNKITSIIDECLQVDLDNLNKETQSDCNWRVHGCIQSMLEIGLACTHQLPKERPNMQEVARKLLATRVAYEKSSGF >KN539066.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539066.1:141598:143094:-1 gene:KN539066.1_FG002 transcript:KN539066.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLEDLIAPAFFADLVSRFIAFLISRYSNRACSEETAIKLELLVLKIHAVIQEAEHRHIAGNQSLLLWLKKLMKGMYQAYYVLDSARHPQDDQYTNSVVASGRSVSLSRFCCPAKRPRTVAMDFQVNNNGSIHQLNSTLAFLEDYSANLRDLILLLACCPPLPTRQPVSDFLSDERNMFGRLVEREQIISFLMQPGNHLGVLPIVGGPEVGKGTIIKHVCNDDRVRNCFDMILYSYGSILQANPADDVLETLTSHGHILHQADVSTSSLHRKHLIVIKNTYEVVIDKVAWATLCASLRSIGNGSKIIMVSENDNIKDLGTTGAMRIDPLLQEEYWYFFRSLAFGSGSSILEEHAGLAVVGRQIAAALHGSLFGAKVLGRLLRANLDEQFWHTMLNTVYRFHEVMQRDKYFTKLSIARVALKVLPMPLRLKSASQTGESSEVPGIMVQELVAGSVLPVEKEMEVVLWESAYQPSYRYTVVCERVEKPHCSATKRMKQK >KN539066.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539066.1:33218:36854:1 gene:KN539066.1_FG003 transcript:KN539066.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLILLVLLAFSATVAVAEDGESTGGSKVSLGRRAGGFLHGLKKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATAGIGLPMRTQNLHTCNEILEERIDFWCCGISATLVLLIVKGKKEITTKLLHELHDQLTACAIPHIPIMACDTTGYSVFVGNSMDGTIGSRTSIVPRRAYAKMSNSNSDALALTEVFVEEKLESGQGKSTLRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >KN539066.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539066.1:70531:72759:-1 gene:KN539066.1_FG004 transcript:KN539066.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNKTQDHFPCLKDYNDYLEEVEDMTFNLIEGIDVEEIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGASDTAAGSSQGISSGAGVQGQYAPAAVGMSQPRPTGMAPQPIGGSSDPLQGDDEETMRLRAERGARAGGWTIEMGKRRALEEAFSSIFI >KN539066.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539066.1:95856:98388:-1 gene:KN539066.1_FG005 transcript:KN539066.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQYGPFFVELEPGKNKNLSAYIIRLQHHLDPSQQWPPLWQPLCFCQALEAEAGLVVGLVTAVSGDDAVCSFFAAVTKESASMEHCLCYVKMKSTVPRISLSRDELLKMVVVIADLNSSLMVVVGHTRTVRFGHSAEIMVDIGQNKKETNTPINEQPSNRYSKNIYDKKYSIFP >KN539066.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539066.1:26527:27089:1 gene:KN539066.1_FG006 transcript:KN539066.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKNHWNSISTLVAKFHRCWSELSKTYQSGRSDQQLMELVHEEYKKVKETDKPFAFEYWWRVRNKVSSSRAYTSSSNRNTDEAADAEHRRPQGQKAAKEQRKGKGKGKLGKGRLSDESVGQFNNMQIKKSEAIKKMAHAAREHAQAIAIQAEADKEKAKMEKNQAVQ >KN539066.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539066.1:81877:90840:1 gene:KN539066.1_FG007 transcript:KN539066.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/Swiss-Prot;Acc:O22207] MEMEMVVAVPSPEVPAEEERALIRDITVAAEAHAKEGDTFFLITHRWWQSWIDYVIQDLANSTNNGSHHHEHGSNVLRRPGAIDNTDLIDDTASEVSNMEIELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLLLAPKGEQAVIRISKKDTVGELHKKACEVFDLIPDEVCIWDYYGRTRHSLMDNLEKTLDDANIQMDQDILVEVTTDANGSLDGGCIGSIQENEYLERESTSLIADASKSGLSNENFASNNYTSRSYSSSLTQSQYLRSSNGDLDNMHGTSAMITRGSPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHREINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYIKSKDADGRSDDEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPACGKVSVTFDPFMYLSLPLQFTSTRSMTVMVFTFDGSTPPTPYTVNVPKQGRCRDLIQAISNACSLRNGERLVIAEIRNHRIHRLLDDPVVQLSTINDDDHLAVYRLPKMEKKPNYIQFVHRRDDCFTTYRDNGNNISVTAWKPYGVPLLAQVSRNETVTGMHIHEMVRKMLAPMQKNQESQHSVQSSVSTRTRTYHTDSTKFQLQLIDDSNTTIEQSNDTIRVPQSSLAAVIFVNWSKADLKKLNTHHLENLPEVFKYAPPAKRTRGEPLSLYSCLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDFDLTNYIANKKSSERQIYELYAVSNHYGSMASGHYTAYIKLLDEERWYNFDDSHVSAINEEDGINCDIITMYERRLASGTWSQMRNELDIVNNGTNCCSIGHFTDGNSHKENASGHPRFQAVKWVCAEIMNSKIKLCIYYESAVSQVSFAFFYDSVIWLKLTKPLGKLVLENINLNLKIMIHVSSLVYIQKTKIYLLLELRIERHQEYNGLVGCSLNCQHYAKPGGKNNGCIV >KN539066.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539066.1:39296:40056:1 gene:KN539066.1_FG008 transcript:KN539066.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQPSSKEVQGGQAAAAAAETSHCRSAPVLPAGEMHVLQHSYTVHFLLIIMVHGSSGDTAVTATWVRECAMRDEESTFGKAKSAEQEERQQAAARRDFLAGIRKLIKSFKSLSHIFEVYKEDDEDEDEDGMNIEIGFPTDVQHVAHIGLDGSSSMSSLRGLQEEARELLSMSSLTMEQFEFAMASLAAHKDPKMVVDRVAPN >KN539066.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539066.1:8528:14511:-1 gene:KN539066.1_FG009 transcript:KN539066.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVAVKATPLMGRISFGAAREGLSAAATAVAVAASVIGPHSSAEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTWAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >KN539066.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539066.1:110688:118227:1 gene:KN539066.1_FG010 transcript:KN539066.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTQAPGLSTPSMLGNPDGGAPAAATDQSAPCATGGEALVGEGRLRKGKMVAEDQSPPSVSGGAPADLPPGGDKLAENGASKISCLQVDEQLDSVQFSLTNVVERCVIDEPPEDAQAVLKRQHGIDNLLGHFRQHLQERSAHHLGHPLSQKLDVGPLAQFQHFHINNIGDPFVESNYGIHSRQFEYAVLDWFAHLWEIPKDQYWGYVTNGGSEGNYHGLLVGRELYPEGIIYASQDSHYSIFKAAKMYRVQCIKIDTSFSGEMRYDHFRTKLLENARRPAIVNVNIDRFWVRACTTHRQLPGKFVGERGRTRDPFARRLGTTALYSAWARVDSAWENGMNSSRRKAPGCPSLRVHGFFFAKSPTHRRGEETGCTCPYAALYQTVHRIKQFIFLNLINVMFHRLQRSKDIDVLKSTNIEYIASNDVTISGSRNGQSPIFLWYTLKSMGHKGIRREIESCLRNARYLTVRLRNIGISAFMNSKSIIVVFEKPKDEVFLQKWQLACAGNVAHVVVMPHVSFEILGIFVEELAEKRYKCTGGFVNPIILVTRTPSLNTIYFFPNGNLC >KN539066.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539066.1:60664:61047:1 gene:KN539066.1_FG011 transcript:KN539066.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTMALLLATLVAVVAVVRATEEKDIVEAGCLEHCNEEEKEGIIDHKHCVDVCILTNRQLFGALERGIKPSMEQFSALCNEGCSKEFKEDPATNKKCVDSCIVDAKELNGHLAKGGVSSVPTRA >AMDW01044964.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044964.1:107:695:-1 gene:AMDW01044964.1_FG001 transcript:AMDW01044964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNLTNANLEGALATGNTTFKDFTDVPLRDDQREYLCKIADGVNTTTGNATKETLFCK >KN540206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540206.1:58660:60604:1 gene:KN540206.1_FG001 transcript:KN540206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSPPRCDLFDLHQQIVPFAESWAWQQSIVTRRKGLVGTGEDHSDTLIALQHPPVYTLGTDSTEDYLHFDVKDAPFEVHRIDRGGEVTYHGPGQLVMYPIINLRYHQMDLHWYFRSLEEVIIRALQSAFSIKASRVEGLTGVWVGDKKVAAIGIHVSRWIAYHGLALNVTTDLTPFQLIVPCGIKDRGVGSIKEILQMASYGKDIDETALIDKAYRSLLKEFAEVFQLSLYHNPNWDLQESKNFS >KN540206.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540206.1:20268:30803:-1 gene:KN540206.1_FG002 transcript:KN540206.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGPWLLAVAWVLGVAAASSSSSSPGQAGTSQKFNKCMPCSRRYVADSYLDTLTGQLAQHRDLTETYDSADLCKGLDYDLDAPMLTELHSELVGEGSHRRLVYHVEFANCADAMVNFLDNYDANLVVIENLPNGVFADPFELQHFVERKVYLDVAVFGDTNLELPSALSNRSSVEIHVDLAPLASMNCNLVIDLPLHARYPPLDASGYATVEFGSPDLLLRYRKKDTVPDSCSWLLKDLDAAPVEKVTWRIPCGDEAHIGFVSISVSEVEALYELFKKISSAVIDDGLINKKNSCMIDVSADFAFISVLPHVLNILPALTGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKSMTPEFLDLFELKPSEECSCRFIKNFDIGLLDWAETPELCRTLHVRFEKFSNL >KN540206.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540206.1:6362:8605:-1 gene:KN540206.1_FG003 transcript:KN540206.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGHGEDPELALGQNHHDFGQDHGLGLGHSHELGLGHAHEHDLVLGQSHEHEHDHDLGLGNHHDSQLVLAHDHHGHTSELALGHGHDEDPHSLDGQDHDGLAMTENHELTLTDAHHLDVDQNMDQLSLEQAHELALQPAHDFSHGPLAVAPVVQSRKMVVSPEFQLVVGQEFPDVMSCRRAIRNTAIACHFEIQTVKSDKTRFTAKCSADGCPWRIHAAKLPGVPTFSIRTIHDNHSCVGINHLGHQQASVQWVANTVEERLRENPHCKPKEILEEIHKSHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPEYCRQVERTNPGSIARVYGNPDDNCFRRLFISFHASIYGFVNACRPLIGLDRTILKNKRKGIIDGVDFNFPTAFHGHCMRSLSETFRKEFNNSVLVNLLWEAANALTVIEFETKLLEIEDTSPEAVCWIRRLPPRLWATAYFEGTRYGHLTANITESLNSWILDASGLPIIQMMECIRRQLMTWFNERREASMQWTTILVPAAERRVQEAIERARGYQVARANEAEFEVISPHEGTNIVDIRNRCCLCRGWQLYGVPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKTLWNETSDQGQEEENKVDVIINPPKSLRPPGRPRKKRIRAEDRGRIKRVVHCSRCNQTGHFRTTCAAPI >KN540206.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540206.1:88:249:1 gene:KN540206.1_FG004 transcript:KN540206.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding IADYFASSGEQPEEFAIGLHENLSQVEGHDIGQFPGLESQKPHVAEAQLTPSM >KN540206.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540206.1:4236:5375:1 gene:KN540206.1_FG005 transcript:KN540206.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTHISSSYKFVLTEFYTLYAQRLQLSSVWALLAAFQDPLPIPQTDSYGTFEGAFVKDVDSLSWMANNTQKLFPLQTGRPECWTFFSTAAYGKRNKVPQENIPKITAEKVKEDMLRGVELALGLSRGSLQQPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLANHIADYFASSGEQPEEFAIGLHENLSQVEGHDIGQFPGLESQKPHVAEAQLTPSM >KN540206.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540206.1:31958:41654:-1 gene:KN540206.1_FG006 transcript:KN540206.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGDVLRRSSAFFAEKLSSSTSGSGGHGHGSCLEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVSPLLKRITSDNLKSPTDTLAHIMEMVLKSTDDRARREMKALVLNLLKDSDHCTDGSSDISSELLYSSCQGCLDRLRLLFSEATGPEFSVELTRQITLETDNLLWLVEILVNQRICDDFVVLWANQSEIAELHGKLPVASRHTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQPLIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVTQASPNMMKSFAVKMESDSVKIFSGEHLEKEKHVPYQGEITILNKLKRVKIQLSDPFVRRMVEKNIMNESEVGFIDNYLVETIGIIDRELEFLVGVSGSLRGESDLILLLFDHSGLSGRRGDRRRTEFLVCCRLKLWFSEVLETFSIGEKFMRFLSSGYRKFDPQITSSHGLGAYDESDNEDIDRAIALSLSEEQNKGKAVDIDYNLEEDEQLARALQESLNADSPPRQNIPVENVPSEPPREPPPILFASSGSRTCAGCKNPIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDIKYITLDDGRKLCLECLNSSIMDTPECQQLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALETEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSIDMSATSVASSSSSSSTPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAANRAVESYGLRSTLNHMKMTGSFPY >KN540206.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540206.1:1237:3480:-1 gene:KN540206.1_FG007 transcript:KN540206.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGHGEDPELALGQNHHDFGQDHGLGLGHSHELGLGHAHEHDLVLGQSHEHEHDHDLGLGNHHDSQLVLAHDHHGHTSELALGHGHDEDPHSLDGQDHDGLAMTENHELTLTDAHHLDVDQNMDQLSLEQAHELALQPAHDFSHGPLAVAPVVQSRKMVVSPEFQLVVGQEFPDVMSCRRAIRNTAIACHFEIQTVKSDKTRFTAKCSADGCPWRIHAAKLPGVPTFSIRTIHDNHSCVGINHLGHQQASVQWVANTVEERLRENPHCKPKEILEEIHKSHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPEYCRQVERTNPGSIARVYGNPDDNCFRRLFISFHASIYGFVNACRPLIGLDRTILKNKRKGIIDGVDFNFPTAFHGHCMRSLSETFRKEFNNSVLVNLLWEAANALTVIEFETKLLEIEDTSPEAVCWIRRLPPRLWATAYFEGTRYGHLTANITESLNSWILDASGLPIIQMMECIRRQLMTWFNERREASMQWTTILVPAAERRVQEAIERARGYQVARANEAEFEVISPHEGTNIVDIRNRCCLCRGWQLYGVPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKTLWNETSDQGQEEENKVDVIINPPKSLRPPGRPRKKRIRAEDRGRIKRVVHCSRCNQTGHFRTTCAAPI >KN540206.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540206.1:10660:17505:-1 gene:KN540206.1_FG008 transcript:KN540206.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKCFNAFWLGMDPSVQKYMTREKTDTVLKVLVKHFFIEKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSSSFGCLVFSPYKSCISRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIENSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARSGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSAMGVTTTPTAQLVSNKGPLTIHATHNERSVPVARHPQVPTASKSEAQKQTSLCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFAQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >AMDW01038535.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038535.1:1:781:1 gene:AMDW01038535.1_FG001 transcript:AMDW01038535.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCALELLSPRRVRSFRHVREEEAARLARSVAASASSAVNVSELVKIMTNDVTMRAIIGDRCPQREEYLEALDKAMDLLAGFNLVDLFPGSRLARVLGGRSLRTTKRVHEKLHQITDAIIQSHGIKDTVGDDDIGAHHECEDILDVLLRFQRD >KN542814.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542814.1:4550:4897:-1 gene:KN542814.1_FG001 transcript:KN542814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDW >KN542814.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542814.1:5820:7238:1 gene:KN542814.1_FG002 transcript:KN542814.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVFDPSDPVLDPMWRQGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQPV >KN541139.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541139.1:5609:6930:1 gene:KN541139.1_FG001 transcript:KN541139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHSPGSSKEKEEEKPLELLLRKYLLLLAIIAATVTYAAGFNPPGGVWQDTKAGHLAGDSIIRDTYYPRYLVFFYCNAAAFILSIVVIILILSLAIVQEKKNLWIPMLPLRVAMVLDLLGLVGAYAAGTSRAVLKPRNAWVLIIILVYMVIQLVLTSLSSCPGDGKKEEAKKQPKTAHGKEEKINQLSQSGDKKEEEKERRRKLLLLLATFVMSVTYLAGLSAPGGYWDSSKEGHNAGDPVMREHHAIRLKAFFVFNAAAFVMSLLIIMLLLDKQLVIPLFQDQDQSMISRVRTRFLKAYIIIALVGLVGAYATGSSRNSDTTIYVGCLVLAVLACILFLKVIISPHPQGSASDSNGRYGCMNMFLLPNIINICISILNLHANESLGQAME >AMDW01027083.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027083.1:13:360:1 gene:AMDW01027083.1_FG001 transcript:AMDW01027083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGPYFDVPLGRRDGLAPASSDKVGLLPAPFFDVPTLIQAFKDRNLDKTDLVALSGAHTIGLGHCGSFNDRFDGSKPIMDPVLVKKLQAKCAKDVPVNSVTQELDVRTPNAFDN >KN541139.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541139.1:22271:33574:-1 gene:KN541139.1_FG002 transcript:KN541139.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLAPTKCLTECPNNNITWVAANSNHIGEMLAPTAAWELGDRKDMDQAPYIATKDLPKVTPTKCSTLCSSFDNEPDLTVAVVVTCATSVKSLMVLVATDSTTSGTHIDTPDSTKAMPTNCSMFGMMVNTGTIQTGVVFPLFLDKLDIVTVLGYPTLVRAQRLGFVFFLKMLAPDGCSMKCTRDDKLLMEYFNKNPWPPPWLGGVVRDSGTSSATMATSHSTLHWAGLKPWPPPHEDDLTYILVNQREVELWRTILVDHNKEGLLMIIELYVLDLNDCCLSWSHLILASVLVVELSSTRQCGYEIISIKSNHVDKLKLFGMSINVLEQCEHLEGDLIRLIIKEKLMPWNSGMGICLCCLLVIQLPVGKLKWNVSVISLFHLLITKVNEFPRGITTGVPESWQQASYKVLYGAEKLLNLNAEDKSYTRVKSFEEGASSVQYKAGLDPPGGVWRDDDNGHSGGGLILPATHAKRYKVFFYCNSAAFVASIIVIIMVQSRSLISRRALEAAVILDLFGLIGAYSAGSCRDVRTSIYVFALAAAIFVLVVAIYVVISKLPHDKKGKLEEKSKLEKKQKLLLLLAILAVTITYQAGLTPPGGFWIEHTDEDHRYGDSILADNYPLRYKAFFYCNATSFMASVIAIVCLMSRNLSSIAVGYCNALYACMAAGLVGLMGAYAAGTTRRLRTSIYVFALVGAVLIFAALHIKFFHKVLIGCLSFFSSKKQDEVTKNHDQATGSKGLTGKKCTNNHDEETTDEYKEKYKMRKYLTLLGILAASVTYQAGLAPPGSVWPTNDGEGHAAGNPILGDTDGRRYHAFFYSNSTSFAASIVAIVLLLQGTLILPELNDPDRFGPMHMVVVLDLLGLLVAYAAGSSRDWGTSGYVVAMAVMVLAYVAIYVFLSLRDRKGSESRATTEIRSSSSTSQSSRSTLEVARPASRSSGSADNV >KN541139.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541139.1:11949:14652:-1 gene:KN541139.1_FG003 transcript:KN541139.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENSERERTDSPLEYDLRKYLLLLATLVATVTYGAGFSPPGGVWQDTEAGHLAGDSIIRDSQYRRYLMFFYCNATAFALSIVVIILIFILAILHEKGKLQIPMLPLRVAMVLDLLSLMGAYAAGTSRGVLTAGNISALVATFIYMVAQMGVTLWLDKKQTQEISSGDEKKKRHRKVLMLLATFVASITYMAGLSAPGGYWDNNQGGHHPGDPVLWEHHSRRLRAFFVCNTIAFVASLLIIMLLLDKKQRIFLPLDKIKITITVRTYVLYAYITIALLGLVGAYVAGSCRKPDTTIYVLSLVGAVLLCIGALQAVLFFLPQLSNISCLPIIAKLSCSPGGPSDSSSRKNTSSKTDILEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPILLSTQAKRYKVFFYCNSTAFAASLVVIVLVRYKPLLRRRILEITMILDLFGLMGAYAAGSCRDITTSVYIIALAGGVLVYVVIHVVFFTLEDNDKEKEVGNTNSVRNGSVVRTQNNSAEGNLAEKDSCIDKRRKRLLLFAVLGATLTYQAGLTPPGGFRVVDDGFGRHAGDPVLFYNFPRRYKAFLYCNSVSFMSSLSLIILLVNPNLYRPAIQSYALSVCTAAGLFALLGAYAAGSTQHLKTSIYVFVLVAVVLFIMIILLVCFYQSESKEKRDDIPKEPVEKDKDRAKYHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSDGHGVGHSVMHDNKRYRYLTFFYSNSTSFVASIVVIILLLPTELLKKNRWLRVMNITIVLDLLGLLLAYVAGSSMRWEPSGYVIAFVIGALGCAAIHKFLSFVRRSQQQGQGNDQPSRLPEGSSQA >AMDW01040349.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040349.1:118:1161:-1 gene:AMDW01040349.1_FG001 transcript:AMDW01040349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNWNPYKDMNPAIIPEYCIAADNICYSRTWLISFNIKEVYVPDRFSRQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPAETNINSLPIESITEQDAAGCSRSTSQNFSSMVEDLRNDLPVIDRYLEGQLLPVEVASFLERVGMMIKSYSPPQSSRRKDQAGQGQDNNVRSKNPRKRGKPSFFQDPSSPPNSRADRFPAVLIPYQDSKCDMVLDGTVPLLNGAEEFKEQGVMDLWQNSHLTTPSCSSLDSSSPESRKRRQQDRDEIRLPRDTENLRRSGRLCVQLKMFKHRDGVGAEATNPIFL >KN540161.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540161.1:33680:36425:-1 gene:KN540161.1_FG001 transcript:KN540161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCPPPAAPSASSIRRRLSSLPPPRVGRCRSHHRPLASSFGSNSRSSRVWRQERDLYGHQEASSSRTRDVPRGSGGISCEPIRRIVTPDRYEPRYVSIGPYHRNNKSLRGNREKTECLDRILQKEAQRRSGDPSSLSEIIKDKWKNDLSEYVDKPECYYDFNSLNENEKDMTTEDFLNMLLEDGCYILHKLVYIVPRDDFHEPAAAEGGAGVRSSWGNVDVDVRHDIIYLADNQIPFVILEKINEIIIGTSRAVKPLVNVFSKYIEKHVLDWYGYAIGPRCNGTPQPHHLLHLLHILLIGYQKPAAPPATAAPMESRAAHSHTQQDETAIDVITDASATEQLNKKDGTRRFLRWRRAKQYDMARVDLVGVDLLSVGGGEEARSILDVRLIWRCGGIGLEFPSLNVDGDTWCVLGNLIGLEQSNPKKLSQRVTAYCVLMSQLACTKEDVELLAQRRVADHLMPRDKDCANNFATLCDGVTLNLNDRSDNYLKEECLELDQRYRSRPSKWTAWMRSIRS >KN542544.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542544.1:5834:6270:1 gene:KN542544.1_FG001 transcript:KN542544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLINDIVLRCSVGSRSKHSDEYLAALHAMVVQSFSLSVADLFPSSKLASMVAMAPRRALANRKKMERIIEQIIQERKDQMETDTGDDQAAAAESKSCSLDDLLRLQKEGGGPMPITNDVIIVLLMHV >KN540739.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540739.1:9628:23692:-1 gene:KN540739.1_FG001 transcript:KN540739.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADARRVFDEMPERDAVSWNTVIGGYVRAGEVTKAVDMFSEMMCCSVGVSVTALVTMIRCGWQAEPVHGFCIKVGFCRDAKVASAMVGMYVREKSVQCGRKVFDEATKRDLVLYNCMVDGYAKAGQVEEAMGLVDRMRLEGVRPSSGTLVGVLSACGASGAMAAGRRLHEIALEAGLELDTALGTALMDMYFKCGYPSEAVAVFDAMQERDVKAWTVMIMGFGVNGQAGEVISLFRSMEEDGVVPNEVTFLAVLNACSHGGLVSEGKKFMESMVLQYGIFPNTEHYGCIIDLLGRAGRLDEAYELIASLSSQGDATAWRALLAACRVHGNANLGRMVQAQLDNMDDYHPSDAILLSNTYALESRWDEIAHVRDSEDQKIVKDKKEAGCSSIEVSCSFLSNATCFVAWKVSGRSQVMADDNFYSFVNLYVTKLLLLVGCDIHLFANYTAIMCAEKGHAASAQTLLEASSMIADSSSSSQPKAVAVAQDTIEFSEPSGHCLSLSPVSRIWSRLMRQWGLTFYIRVDLQGSFHTYPDLGGPFPSLQETHNAIDRHLEGRRHPKLWLKQDGVSAMDIIVRQSIYWPDGSIKKRTKSYATEKTHKRMCQLVQALVDKYNEDHNLFGVKAKEAKIRRMYEVCKGGACAILHRCYFLILSASRCSSSGHRGKPCKGCTNNGTADMKHPDTGEYFAGHMDAYLPYGCFGQWSDSDDDDKYGLDDPRVTEKIFTLPPDQDKICYGCANQGSVDMKHPDPCKYDGGHLDMGRPFECVEEWSDSEDDAEYVKTKEAKIRRMYEVIVIFWAVWAFDDPGVVEELSTD >KN543139.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543139.1:1329:3557:1 gene:KN543139.1_FG001 transcript:KN543139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPNYKEPNFETRALQHSKVKLTWDDDEPERKKVLRRKFTDDQLDDLDMYLASDDSASDDEGADNHGDASLQSGAKRKLTREERLALLLQGDKSKEEQTDGEDMEITFNTELEDLSKRILDRKVNNEKTVWEKHQEKMKEKRKSRKKRSKDDDEDGDDDDGYSSEDGLDEHDDFFDDEMSDEEIKPNKKQKAKAKDKGKGKGKDKLPEQHLEYEATREELELLVAADKDAGNGAKGYNLKCKKGKKGKKGKEQSVEDELPDIDLSKDERFSAMFNSHLFALDPTDPQYKRSAAFMRKQAGTKGAQEPSLGGRSRGRGTLPPDDVPTDTHDQKPDGTSTEKLETMSAVKSLKRKLTALKNTSRSDR >KN540739.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540739.1:38859:42644:-1 gene:KN540739.1_FG002 transcript:KN540739.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/Swiss-Prot;Acc:F4I1S7] RGEIVTTLPGHKATVNCTLWLPTKKDVLQIRSRERHYLLSGSSDGIIMAWKIDSGKGEWTRALQLPVMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEPASVGSCIVSCLHSISVGPKPMVSLSLAVLPGQGGHLILAMGGLDHKVHIYCGDQSGKFIKACELKGHSDWIRSLDFSLPVMMGSEEHSVFLVSSSQDRTIRIWKMNSDAVSSAHTTSRKEDIGIASYIEGPLFVSGNTHYQVSLESLLVGHEDWVYSVEWQPPTLLTGDEAHQPMSILSASMDKMMMIWKPEKITGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSVSHDQGTGNHRFVSGADEKVSRVFEAPLSFLKTLQHATLLKPDNSGDFDDVQVLGANMSALGLSQKPIYTHGPRETQSSISNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHEGKIIASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLVAKLEAHKRIIWACSWNPFSYEFATGSRDKTVKIWSVQDSTSVKLLATLPQFHDSVTALAWTGRDRASNAGILAIGMDNGMIELWNISGGRASTDSSGSGSSPLSFACMLRFDPLLCHVSTVHRLRWQKSDSSDEKSALQLASCGADHCVRVFEVRDI >AMDW01037640.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037640.1:50:285:1 gene:AMDW01037640.1_FG001 transcript:AMDW01037640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NKAMQDPEIQNILKDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKL >KN540739.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540739.1:25823:35718:1 gene:KN540739.1_FG003 transcript:KN540739.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHCCNHSSSSNAAPKGNNRFAKFGDDYYTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGRVSFNNQSLHALGHILNPYEQAISIIGQTLSRFDEDNLIPCYGFGDGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPVPASKKEAEFALSALMEIPEQFKAAINLQLLGKRRGFPHRTVLPPPLRDFQQYYGCSTVKETQSTSYGSLQKKTSAPKQDSDIGDQTCPICWLEAKNLAFGCGHQTCSDCGKDLKVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRRGVEDVILRYMNLSEHDRGEAIQNREEIQQEIYSSQQQLQITEDRLRMFEPDPAAFGTSGEVDGCEKYLMELLTRVVERKNNLLSSHMAPFDATTAAMQGADGTQMYVSQADGMGTFGGDAAMWGPDGGADPGHPMFSASDPLIYLRDHDVYDANSQHCLGPEDQYLSMEHGMVAAAAQEPVEASTASCSYVPSDENSGTPVMAYDSNPPPANIA >AMDW01039051.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039051.1:262:627:-1 gene:AMDW01039051.1_FG001 transcript:AMDW01039051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGIMKENPELVFFLCSEDVFLCFRLVSTQKLIDLSMQVVGAWCKHMCIS >KN541786.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541786.1:7045:8019:1 gene:KN541786.1_FG001 transcript:KN541786.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQELEDDLRLQVTRFVEATVSKMLAFADALAADNTWRPIDNLSGLMGLYSCISECPVPVLIPAITDSEVQCLARKVDGAFRITTSNLCTAIWRMAKDAEAVTPVLSGWDSWENFKQNAEIHKATRLIVDYARLFWGYEGLLHIILLSKWDPHLDDRWSQLPITMIQQMLINLQDQLEKKSKSFSDPSLRYLFLLSNSYFIREDFLEPSNCVYILTLKFMQYQEKYMLASWEPVLYCLQYKMPLWFPKQSSQLSRFKSEFQKTCRHQKLWKVLNPKLRQKLREAIIDKVITGYKRYLEDHPELEKFSSDLQDMEDMVNELFEG >KN545703.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545703.1:73:456:-1 gene:KN545703.1_FG001 transcript:KN545703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQQELKERLQKFCLELHPEKTRLIEFGKFAEKSRAEHGEPKPETFNFLGFTHICAKMRNGKYFRLRRHTQGKRMRAKLREVKLELRRRWHHPAAKQGAWLGSVVRGFFAYYSVPTNLRALKTFRGE >KN544284.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544284.1:1022:3452:1 gene:KN544284.1_FG001 transcript:KN544284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGDGGDGGGVALRGVEWGKRALAAAEEVLGEHFGDDVAMFAFKVSPKGYVYVRLDKLTNREINLYVLCRPVSLLPLVNACKMPCQIGHDFSLNLWLISSEHCNTALSTCTWRHWSVSSPGAERLLKVPEDLDRFKDMAMRVQYLVEGDGVVPKQILQKDGIFLLESVDIQAEHCIWKLADVKENRAAAGKGRPLNRKQRDWRLQTSFQTVKKATLYLD >AMDW01040469.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040469.1:1191:1526:-1 gene:AMDW01040469.1_FG001 transcript:AMDW01040469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIASPREMLLNKVPFPLPTPSIFNKDQINAFSVDTLVSDNALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >KN540761.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540761.1:566:3142:1 gene:KN540761.1_FG001 transcript:KN540761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPRPRGEQRECAERHEEEQRRPYHRHVRQLLPAALSPPPPAPMSAHQCTWIAGGAPRHSVIRAAGLGGDLAGAAHETAVARPIAAKIPSPVTSTNGSRKQAVGYKTMQDQWLSINKAADSQFCSRLETLVFLAGRVCLFPMEKVEGMMEKLKLSAAEKKGVKIKEMMVEKNDGSGHRAVGRLISEKPPSAEAFTNSLGKIWCPIKGIESRDWGDNHFLFTFHQASGKKKALNDGPWMLNKDLLVMAEYDGTKSLEEIDFSFIPIWMCITNLPLGMMNRSVGEALGREVGDVMEVDREDDDPMSGRYLRVKIRLDIRKPLMRRVTVLLGEKDQERWCPLTYEFLPDFCYICGVIGHTGKFCEKQLSKDEHHQYGKELRVIPQRRKVELSSGSGSHAGQGFRSWKGNSVGWRGVGGGSGSKGSVDKSRSDGPSWRKTSSGSEGGKRVEGGEEAEVQSPLKIKAPAARDQGSKRVLFDAVDQSSVVVDAMNEKDASVEGAALNHASHAV >KN540761.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540761.1:34286:34522:1 gene:KN540761.1_FG002 transcript:KN540761.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASFKLLLRVVEVDAHQASGGGHLRERCIWRWKLSDADPTWGSTITMDSMMRIRHGDHDNNGSYDGKRGARDGKAF >KN540761.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540761.1:26350:28806:-1 gene:KN540761.1_FG003 transcript:KN540761.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVFCVKNCEDRTKPSLISVTEFTCGGFILGLVAVHTLADGLGAAQFITAVAELARGMDKLRVAPVWDRSLIPNPPKLPPGPPPSFQSFGFQHFSTDVTSDRIAHVKAEYFQTFGQYCSTFDVATAKVWQARTRAVGYKPEIQVHVCFFANTRHLLTQVLPKDGGYYGNCFYPVTVTAIAEDVATKELLDVIKIIRDGKARLPMEFAKWASGDVKVDPYALTFEHNVLFVSDWTRLGFFEVDYGWGTPNHIIPFTYADYMAVAVLGAPPMPKKGTRIMTQCVEDKCIKEFQDEMKAFI >KN540761.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540761.1:6569:6973:1 gene:KN540761.1_FG004 transcript:KN540761.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTQCPVCHRLNEDGGHCFFKCKYVKAGWRVMNLQQIRLELMQYSSALDVCKHILNMKEDKMLTTVLFLWNWWDARNKVNAGEDRRSAEQVYDRVMRMVSETSLLSPAKSRPNVQAQRNWHPSILRRTEAEF >KN540843.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540843.1:16894:30229:1 gene:KN540843.1_FG001 transcript:KN540843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLDLPSHCLLPCLRRPTSGRLLLRLRLRPLSSFSYTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRCLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDAKDKVYVVEEIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAIKIFSFSGICLLVLVKPTFQVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEYLMLLYCPGNTAFANTGYRPKVQSVQILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLRFQVDLSTVYWNSRLSTERQRLVYHVFKNSDVVCDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVLRGISRNHQLAVPCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >KN540843.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540843.1:32169:34142:1 gene:KN540843.1_FG002 transcript:KN540843.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MTTRAGAPLAVFLVSSLKSAAARLHHGEQLHALAAKSGLLASNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERARCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACGHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEVSWLTMIDGYMCADFLLQALRTYVAMVGTVGIRVNEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTNIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSSEILQL >KN541185.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541185.1:275:4675:-1 gene:KN541185.1_FG001 transcript:KN541185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNERKKEKRKTIRIAALVNRVGAESIAYSGISISAQPDSRNTKKERICSGNTRYCSSYCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIVATCPEQLRIFPGCLEPTWIQLAKHAPKMVLPMLYPKQQEQPFHYSEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGAIPRMVRLFLDLLIGHMGGARRALPHFPGSQLTVNLTGGAPSIPCRTIHMEALLEDPPGSECRRATQIVDIIPCILRGCRHSCKGVSLKSYVSGCSCLVAGFRLH >KN541185.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541185.1:19976:23876:1 gene:KN541185.1_FG002 transcript:KN541185.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDNYSLNIAISAAARLPSVVVGSQFHALSLKLSLASDTFVLNALINMYSSCNYPASARLVLDSAPQGASDVVSWNTIIAGYIRGGMPNKALQSFHQMAKEQVRLDEVTLLNVLVACARTGTMKVGRLCHALVVLNGFEINCYIGSSLVSMYAKCGMVEEARRVFNRMPERNVVCWTSMIAGCTQSGRFKEAVDLFRDMQIAGVKADDATIATVVSSCGQMGALDLGRYLHAYCDGHGLGKELSVKNSLIDMYSKCGDVNKAYQIFCGLTKRDVFTWTVMIMGFAMNGLCVEALDLFAQMEGEDKVMPNEVIFLGVLTACSHGGLVEQGYHHFHRMSKVYNLVPRIEHYGCMVDLLGRAKLLAEAEQFIKDMPVTPDVVVWRSLLFACRASGQVRLAEYAAERIEQLEPKRCGGHVLLSNVYATTSRWVDVNNVRTGMDNSRTSKKPGCSFIEVDGCIHEFFAGDESHFETEAINNTLFGINELLVAESFLTWVDLIGQIAQVIVDFLEVAVSCVVFLKGFYPSRAFERRRYMNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLAVNQSYGSKVEEANLEFALRAFLIKLTVAEPLTRPLPSDGSWEITAYFQSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSPTEPRDPPTEP >KN541185.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541185.1:13083:17037:1 gene:KN541185.1_FG003 transcript:KN541185.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMQGKAPLSDSNRRIMDADAPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLILTVCLIVIPVILFAAVISGQLAHEYHNQIGGWVASVAIIFTAYIIVLLLLTSGRDPGIIPRNAHPPEPEDVGESSNLSEWPGGQHGSTGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTLLCVYVFAFCWVDLRIIMDTHRCKLGRAIMKSPVSGILILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRKTNPHNRGLVQNFIEILCSRIPSSRNNFRAKVKEDSATFTSSLGMGRALSPPKMSVDLEMGMKRKTVAMEELEDLHSQIGSAMGLERCGPEPPHIVGRKGCSEIATDIEAFAEEFGLEQRFTERKKIEQHHSSLLTNYAVLPLQDKYSWCCPKSKLLKHLHGFLSDKNHEVIFKDFIFFSLKGLQEEDFEAEEEDAPLHQDFLSN >KN541185.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541185.1:7785:8547:-1 gene:KN541185.1_FG004 transcript:KN541185.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALDDVAGLSELATLFQEVDDDDGARYPDWTHSLFDDDEDDDGEEAASVMEVIRRRREGDGEEFDMEEEIDHAADMFIRRLGDSPSCRHLQSPSSLTRKGTDDLTAIKAL >KN541185.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541185.1:25765:31296:1 gene:KN541185.1_FG005 transcript:KN541185.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPPASSGSEVTGAGSGKVDAGGGAAMEERFADLCKGKLGLDESITRQAMQLFKESKSILLSSMSSLGSGSPEEIERFWSAFVLYCVSRLGKAGKGKEDGGISLCQILRAFSLNIVDFFKEMPQFCIKVGSVLAGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLLNDAKPPENSAEPNAQASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLAVLIVHIPVRLRNFNIKESSSFAKKSDKGVNLIASLCEKYHTSEDELSKAIEKTNTLIVDILKKKPCPAASECQQDRFSFIDLGMNRIRKHNYFRSREGLTYFKNLLEEDSLKSSLLMLEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSLMSPPRFCASPTGNGYCSSKMAPITPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITRRAGIILGAIFTSSSFGERICTSVRSTNRIDAIWTEQRKMEALKLYYRVLESMCRAETQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPTLSAEINRLGLLAEPMPSLDAIAAHHNISLEGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDTLKSKLPPLQSAFLSPTRPNPAAGGELCAETGIGVFLSKIAKLAAIRIRGLCERLQLSQQVLERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLALTFKEIIFGYRKQSQCKPQVFRSVYVHWASRSRNGKTGEDHVDIITFYNEVFIPTVKPLLVELGSGTSPNKKNEEKCAADGPYPESPRLSRFPNLPDMSPKKVSAAHNVYVSPLRTSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKVINNRLNSGKKVSGRLNFDVVSDLVVARSLSDQNSASAAATTADIATKTPVKLEQPDC >AMDW01040809.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040809.1:72:2179:1 gene:AMDW01040809.1_FG001 transcript:AMDW01040809.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELALITSKHDSKALKMHGGLDGISKKVRSTFDHGICASDLDTRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLEGDHDAEYRACTKVKVEPFNSVKKKMAVLVSLPSGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLILNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTE >KN538686.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538686.1:121760:124560:1 gene:KN538686.1_FG001 transcript:KN538686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGVAALRAARAVKETTGIVGLEVVPNAREVLVGLYTRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWKRIEDRIGCGQVEELIEEAQDELKLIAKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGESPPQVKP >KN539576.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539576.1:85225:85548:-1 gene:KN539576.1_FG001 transcript:KN539576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTQSTIHGGESTRRILKGESIAESCGEQGHTAADLAWRRATASWRRRSGDGDLAERCREMTPERRTSENWWSCSRLQPGRSGEQQQLLGADEEKIAIWQSNAGR >KN542073.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542073.1:1273:2133:1 gene:KN542073.1_FG001 transcript:KN542073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWWIDLAWGAVSVDPFPDQPDVRYVLLPSGSVLPADAASIEMLRGKVGLRRWRRIGVSEGRLRLLTLTVVREN >KN539576.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539576.1:58937:65642:-1 gene:KN539576.1_FG002 transcript:KN539576.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLKEIQFSTEFDFKNNIWHLKEELSCMNALLQKLPNMEALNIQKRELRNKVRELAYFVEDRIDRFMHSFGTVADKATLLTDTMDLMLPILFEKIHEIKDYVVEEVRRVESIYNLDESISSNPRQIEIDDISPVLCGKANRLVGINVPCEVITQLLMEDMEGESVQHPKVVSIVGFGGLGKTTLASQVYKKIHSRFECAVFVFASRNRSTSMILNDILSQLRYDGSADGIKSLINATREKLSCKRFLVVIDDIASIETWNSISGAFVETWNSGSRIITTTRRKDVANACCSSFHGIVYKMKPLGWTDSRSLFFRRIYGSDNYSPELEELIIAIDILKKCGGVPLALVVIASLLASQEEVNKLDNWLKIKYSMGFELETNPNSKWMKHILKLSYNNLSSDLKTCFLYLHMYPENYNIMKKDLMRQWIAEGFITQKDNRDLEDIAESYFSDLINRSLIKPAQFKHGEVVSCRVVHNLFLDLIVEKSTEENFVTVIRTDQDCSRRHNFLIRRLSYQSNRGNLIQACESLHQVRSVIYFGDRLHQRGESLPRLQMFEALRVLHLRMEGYFPFRAMYDLSPICNLFQLRYLKLEGNRFQFPDTSGELRYLQVVDIKCSGDLVLVGGFLSDACLPSLRHLRTPWNAELSRGINRLTSIRTLEEINFCNCSVENIRHLGMLTNLRTLGVIYNRRRGNDEDDLIDMVKFAALATSLRELGSCNLRCLDFNVALMEHRQPPIGFLYSWFPPPQFLQKFHPQMIFFFKVPYWIQQVETLTSLRLIVDKLEGDDMRVLTRLPCLAYLDLQAIEVPGMEIIIDSVSFSALKELKLIYESSSLSIEPGAMPNLRIMHLIVFGHAEQDTRSLVGIQHLHNLEDVIITSDYNNVMVAFREALDRHPRVGSIQVYIGASPKASQSHS >KN539576.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539576.1:42561:42980:-1 gene:KN539576.1_FG003 transcript:KN539576.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGERQRGAGCNDGGGAGSPPIFLPPRSRLPIWRRGGTVGVRGVGVADLEARWRGSGNRRRRSSGRCAEKGAASGVRAGGGRWRVSKAAQRHTVIRAATRLGERRRGTGRARKKERDGRVQFREVGGGIDLDRSILH >KN538686.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538686.1:47517:62959:1 gene:KN538686.1_FG002 transcript:KN538686.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRRGRRDFAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVIGDDFVPYMGTGEANFLGGVAKLKGVKDFDAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGELTSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEEKKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVLNNRLFISDSNHNRIVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALREINFVSETVKTLAGNGTKGSDYKGGGQGTNQACFFMVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSRSLAGGDPMIPENLFRFGDYDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKCQKGKLFFGGCLKFQLPLDDVYVII >KN542073.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542073.1:16759:19486:-1 gene:KN542073.1_FG002 transcript:KN542073.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VPAQQESPALVDVAELRCGVPLRRPVLAAAVALGEDAVVVDVDGVLRAVEVRQPEELAGERVADEAREVLVGGLGGGPGELRDGDVKRKLRDGVGRATEAGLEGVDEEEAVAARGEDAAAAEGDAALVGADRAVVERYGLRRDGDAGGARGRREGERHAGSGLQKKLPQN >KN539576.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539576.1:6945:14006:-1 gene:KN539576.1_FG004 transcript:KN539576.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDVMQVCNPDIKTPFQSVEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQVHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSSDVAAHAADSSFSDDDDDDDDDAGHPADYSVPVDDGSSDALVEESDSDDAENNGDDAASVQSNHSSDDDDFGFSDAFVDVEESDDDDDDVPRKESADYKYEPKGWKEMARAAVDRSAGECVAFWGRADDKLLLYLSDRAPYLKSLRVSTHYDVSCQVLTNVIQKFPMLKELELVLKCSFYYVARPSYDFAHLLQSAMKSCIHLKSFAVRCADKSLASTYYHDDESQEAFTVPKKHGLRSLTLFGDTFTKPIILSVLNCCPKLRSLDVTNVAYLRMDEVEELRNKCLKIKDFRLFSPPPKVSSSESDDDCIGGCCCCDSWY >KN542073.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542073.1:13076:15352:1 gene:KN542073.1_FG003 transcript:KN542073.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRLLGLSGEVSGRLRRSHSTAASPSRPAWAMIDIMRQDPPELHATSRIAEPPCASQLFFPLGVLSPRGSHGPGAHAKQVPPFAGFIDRATSDGFLLLRGVHENDVARVVCNPLTGQLFRLPDIDGTKKTNVLPAEASGFATRRKLRLSRYRRVGVSDGRLRYVEVSASAGAEPFELNSFVLDEASNRWTLESSGEPLADGRQLCPDGSHIFAEAPFICCIDPLEGNVVYLMAGPGNQVVIGVDMETGLVTGASLLDQLNWLTPCLLPPWLGSCQIPSSGKNNVKNEALAEILVRSDRAK >KN542073.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542073.1:7621:8805:1 gene:KN542073.1_FG004 transcript:KN542073.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRLLALSGELSGRLRRSHSTFASPSRPAWAMVVSEPQEEAPELRATFRLAEPPRASQLLVPYDAIRPRGSQGPLCAAAEEATSEDGLLLLRAIVAHGACACAHATAPNLPASSRPPGQRSVARVVCNPLTGQLLRLPDIDGASSKGSPGLMGYAGLLTQADGGDGPPDRYAVAEVSCDDFVMHRFLSETGRWDAMPGFLSPLPAARPIVVDQPVVAFGGRLWWVDLAWGAVSVDPFADEPDFRFVELPSGRVLPSPNEMSFERRRRKKVLSTHRRIGVSEGTLRYVEVSGVEQFVVRSYVLDDDGSSWTMEQSTALRAQGAPFPGMPGIACIDPVNADVVYIMVGSGLVLGVDMERGVGFGRSVLDEPAWPTPCVLPPWLESTRIPSSTGV >KN539576.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539576.1:35977:41536:-1 gene:KN539576.1_FG005 transcript:KN539576.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLAEFQFSTQFDFKNNIWNLKEELSCMNALLEKLPDMEALNIQERELRNKVRELAYFVEDRIDMFMRSFGTAVDKATLLTDTMELMLPNLFGKIDEIKDYYAVEDEVKRVERYNLDVDESISSNPRQIDEIDDDISPVLRGEANSLVGINVPCEVITQLLMEDMEGESGQHPKVLSIVGLGGLGKSTLAIQVYNKIHGRFECAVFVFASRNRSASVILKDILSQLKYDDGSADDIQSLIDATREKLACKRFKIAKNNDSNNMQQQQQQLNSSLRFLVVIDDIASIETWNSISGAFVENNSGSRIITTTRTKDVANACCSSFRGIVYTMKPLGWTDSRSLFFRRLYGSDNYIPEPKELIIVVDILKKCGGVPLAIVVIASLLASQEEVNKLDNWLKIKYSMGFELETNPNSKWMKHILKLSYNNLSWDLKTCFLYLHMYPENINIMKKDLVRQWIAEGFITQRDNRDLEDIAESYFRDLINRSLIKPVQFKYGEVVSCRVVHNLLLDLIVEKSTEENFVTVISTDQDCSRRGNFLIRRLNYRSNCANIIQASESLHQVRSITYFGNWLHQRGESLPRLRMFKALRVLHMRIEGYFSFRAIYDLSPICNLFQLRYLKLEGIFFQFPDTIGELRYLQVVDINCGTNLVLVGGFLSDACLPSLRHLRTPWNAELSRGINRLTSIRTLEEINFCNCSVENIRHLGMLTNLRTLGVIYNRRRGNDEDDLIDMVKFAALATSLRELGGCNLRCLDFRVVLEENIRQPPISFLCSWFPPPQFLQRCHLYKAFHRVPHWIQQVETLTSLCLKVVELKGDDMRVLSRLPCLAYLDLQVFMVPGMEIIIDSVSFSVLKELKLTYGSSTSSLSIEPGAMPKLRIMHLIVFGQAEQDTKSLYGIQHLHSLEDVIITSDYNNVLVSFREALDRHPRIGSIQVCIGASSDL >KN539576.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539576.1:78642:83419:-1 gene:KN539576.1_FG006 transcript:KN539576.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNASMGVMVPLLTKLAVLLGDKYKKLKGMRKNIEFLSHELTEMNAVLEKLADMEKLDGQQKLWRNDIREMVYDIEDCIDIFMHHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEGENGSVQQLKVLSVVGFGGIGKTTLAKQVYNLLKKRFNFTSFMSVSQNPDMVKLLRNLLSDTGFQGYGILDDHQKLIDTIRGHLANKRYLVVVDDIWSTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATMCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSQGMNVGKWKKIHNFMGSELETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEAISCHVHDLMLDLIISKCQEENFITIASKQPVKNDVSELPVRRLCHQLSYGNLAMERMKLSQVRSYITFHAFGCSMQPPISMFEHLRVLELRAYSTSVFLDLSDVSNLFLLRHLSIRGFKLKLPQKIGRLQCLRTLDLLDSLLVTGIPSDIISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFSSGGLPDIFTFVEKISRFNSSVIRLAKARRFNNGGLRSTNGGLRSPPASPEFPSFDDGWSTESILDHLSQHLDSPASSHSDLSSPNLVACSLHILSIASLWLQQLIIRKNIRNVPSWLWFSLMLTMLELRVEELSCRDVRFLAGLPCLVDLDLTAQATPENIIIDIITTFDFISTRLGRITRTDNFPKLQKFVLTCDLTCLTFEPGVMPQLQILKLDDKKPSNLEEGNGTYGAAQHGSTPLIGVEHLPRLEEVQVTANSSKVSAYRDAVQRHPRFQGIRATFNIYN >KN539576.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539576.1:24285:27112:-1 gene:KN539576.1_FG007 transcript:KN539576.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANPATSLKDISVGQQNCKVFGRLIRLWDAINMRSKSADPLISIDGILLDEHGSIAQITVPKRFAKQFRPLLNKGSVYLISNAVAIDAKRKTYIYQCQNYILQFKHDTRIQPLESRGLTIPKFLFDFCPFDEVLGKNISSKPLIDLIGVIRHIGPYDFASPTSDKKLRRIKIQNLEEQTQDVLLWGQYGESFNEDATLHKSKDGIVVAIFAGLTAGKFSAITEASSSSATEIYIDLDTPQVREFRTSYQWERPTLEQQLPKVIRLTPIQAAGKMYTLSEISAMPISAFQMYLTNVQCFRYKLPVTITDESGSLDAVAFSFVAEDLVELDAAQASQNMKIDPDDHPTTLNNAIGKTKIFAIGMNTDTSSKFPISYVLKKSFTIEPTMSVPMLTDGENKEVLQLPPPAPHTDNPSTTIHNTGASSKSTPPEISLADKTPTEKTSSTTKRAIDFTKDSIEETRSKKLQHTEGKADFPEDSIEGTKG >KN538686.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538686.1:11123:13076:1 gene:KN538686.1_FG003 transcript:KN538686.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRLTMLVFIAILLAGRTCVLVVAGGGMPATFVFGDSLVDAGNNNYIVSLSKANYPPNGIDFDGHQPTGRYTNGRTIVDILGQEMSGGFVPPYLAPETAGDVLLKGVNYASGGGGILNQTGSIFGGRINLDAQIDNFANNRHELIKRHGEGEAVTLLRGALFSVTMGSNDFINNYLTPIFGVPERAVTPPEVFVDALISKYREQLIRLYLLDARKIVVANVGPIGCIPYLRDTTPTVGTACAEFPNQLARNFNRKLRGLVDELSANLTGSRFLYADVYRVFSDIIANYKSHGFEVADSACCYVSGRFGGLLPCGPTSQYCADRSKYVFWDPYHPSDAANALIARRIIDGEPADIFPINVRQLITS >KN538686.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538686.1:165569:168338:1 gene:KN538686.1_FG004 transcript:KN538686.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGDWLIDVAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRN >KN539576.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539576.1:50471:51493:-1 gene:KN539576.1_FG008 transcript:KN539576.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSKEAKLPFPMAHRRLPGTMRQLDATTTRSSTMVSSFFPVTAEIPTTMWYTAKSAAAVGTATKRWSSLPRGKAPGRRKAGCVVHHLPLLGVHVEGAGALVILQLLRLHGHRQDDVGADEGVRRDAHLGWVEELQRVEAAREEQRRRQLAPHCVAEVVEDEEPWLEIQRPHDGRGAGESVELAAATAAANSAVVRDRDEDGVHLGEDESRAAALRGGLGVVDGEEATFLFFLFPGRTRTRRGTGAGVGVSFGAASFFLLFLSGVTIFFPRLDSEYVSKT >KN538686.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538686.1:418:1527:1 gene:KN538686.1_FG005 transcript:KN538686.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] VFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFHLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVNAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >KN538686.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538686.1:169639:174346:-1 gene:KN538686.1_FG006 transcript:KN538686.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REDUCED WALL ACETYLATION 2 [Source:Projected from Arabidopsis thaliana (AT3G06550) UniProtKB/Swiss-Prot;Acc:Q0WW17] MAEAVASAGGIAMAASTSLTPGQGCGSKGVNHQVSALLGFLWVFTAWAYAEVLYYRKNAASIKASEFGGHLLYFYICDRTNLLGESAKNYSRDMFLFLYFLLIIVAAMTSFKVHQDKSSFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIGSVMAIKFVACFLVVILIWEIPGVFEIVWSPFTFLLGYTDPSKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETKTKLYIKALIVSIALTAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQFHIWLRSKVPNGQPKWLLTIIPNYPMLNFMLTTAIYVAVSHRLFELTNTLKIAFVPSRDNKRLSYNFVAGIAISVALYSVSFLIVGVAGY >KN538686.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538686.1:152359:155810:1 gene:KN538686.1_FG007 transcript:KN538686.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDWATRSLEEMQRAEDFDSFCLMGLSPLDGRYERFTRDLKPFFSEFGLIRYRVIVEVKWLLKLSQIPEINEVPPFSEEAQLFLDAIIQDFSVADAKEVKKIEKTTNHDVKAVEYFLKQKCSSNPEIAKVLEFFHFGCTSEDINNLSHALALKEGVNTVMFPVMIDICKAMCSLATQNSTIPMLSRTHGQPASPTTLGKEMANFAARLSSIGNSFSEVKILGKFAGAVGNYNADVVAYPEVDWPKVAEDFVRSLGLEFNPYVTQIEPHDYISKLFNLFVQFNNVLTDFDRDMWTYISLGYFKQIVKAGEVGSSTMPHKVNPIDFENSDGNLTIANGTLSSLSMKLPISRMQRDLTDSTVLRNLGVGLGHSLLAYKATLRGINKVQVNESRLSEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEGLDLPEAARSSLLKLTPHSYIGEAEFLARNIEEVVDLKSGFKIE >KN538686.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538686.1:130481:130825:1 gene:KN538686.1_FG008 transcript:KN538686.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCVSVCVALLLVIWSPLRFGAGPGWGGANGNGNGMCGGGVGGSGRCVVRLYYDACHGQCLLSSLLEFEQGDRHRVALAFQSGGSGSKLFENGIGTLEGPDSGYVQKGEAIF >KN538686.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538686.1:39672:40193:1 gene:KN538686.1_FG009 transcript:KN538686.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGGRYAGLDVPACAAAGDLRPAFDVLDADRDGRISREDLKSFYASGAATSERFDDEDIAAMIAAADADNDGFVQYDEFERLLGRAAAGAGCRPAMEDVLRVMDRDGDGKVGFDDLKAYLGWAGMPAADEEIRAMIRVAGGGDGDGCVGLEELAIVLGCSPTNWTASSQTLH >KN538686.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538686.1:16098:17878:1 gene:KN538686.1_FG010 transcript:KN538686.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MAATSPDSGDLILVEPAKPGSRVAVVTINRPKALNALTRPMMVSLAAAFRRLDADDGVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDPAADPVVQMERCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFIDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCMPITAEMAEKWGLVNHIVDDTQVLSKAIEVCEAIARNNRNLVVLYKSVINDGLQLDLEHARALEKERAHDYYNGMTKEQFTSMQKFIQGRSSKPPSKL >KN538686.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538686.1:109526:111683:-1 gene:KN538686.1_FG011 transcript:KN538686.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRTRRCLVLLLVSLALLPLGMATDPIGGYCSESGTSDAEINGKARRRSINSVVSDLVAKAASNGGFATSSAGKGNNVFYGLAQCRGDVSASDCKACLVEAANYTLSFCHYASDSRMWYDYCFMRYKNANFIGQVDTRVDASVRIRKEENMDNPKTFQKAVAKTMDKVIAQAVATAGSAALGRVKEKYTTFVNIYGLSQCTRDLAPLACAQCLSTAVSNFGDICNAAEGCQIEYSTCWVRYEIYPFYFPLMADGRATTDMTKYAKNVVH >KN538686.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538686.1:125562:127842:-1 gene:KN538686.1_FG012 transcript:KN538686.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGSRKPGAKNVILICIFFSEPVVPFFLRKDYQWFHDSDTVTCCFFAFSGYDIEDKAARAYDLAALKYWGASATTNFPKESYVKEIEEMQKMSKQELVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDVAALKFRGANAVTNFEPSRYNLEAISQSDLPISVSGRRHNSSSNSSKPAPEAGGQIALMSSPPISQQSSSAPPYLLHNLLQFQPCGPPYAPPPPPPPPPQALPLPGSYNFAEPVGFYWPYGDGEEQKVQLNSSMVGMASGGFLHLANAAN >KN538686.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538686.1:191902:196109:1 gene:KN538686.1_FG013 transcript:KN538686.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFASYRPPVALDIFCCPAPPSSPKDELHLTDGDSYNYNCQPIPPAALKTVVERLGVSRGDAVEDDIDSGRITGLVFVSEREHNLETLHIALRFNDDGEVQVFSLADAYGGDGLFSGARMEDSGCIAGGYEVDGRTVDHYLVYVSTKEPVRERRSPWNVAYKTNLRTGETERLTPPGTFDISPSVSPSGKKVAVASYQGKKWDGEIKNLNTNIYVMSLENPSQDRERVIENGGWPSWGNEDVIFFHRKDGDGESSCWGVFRHTLSTGETVRVTPAAFDAVAPAAIDETRVAVAAIRQKSEFSDIRVEAQYRHIEVFDMRSPEQPMQITRNTRPKADHFNPFVMDGGKFIGYHRCKSELLQHGDDLPRKFHKLQSPHEDVGVFRVSGVFPTFSKDGSQLAFVDNEFKSVWLADSQGMRVVFKTDGPDSVFSPLWNSKKDILYVCMGPSFKASETLEIHSIHNVSTGDRKSRQLTFGGFNNAFPSTNPDGTKFVFRSTRDGGAKYSKNLYIMEDADAGEGEDGSQSTVTRMTAGEWTDTHCQWSPNGKWIVFSSNRDRPADAPERDHGLDPGYFAVYLMDVASRSVVRVIRSGHDVAGHVNHPVFSPDGRSIASCGYSYRAAICSMPPALTGGSAPPSSCSLCQAIVLLPHLSISVILTIRAKEHSRSFRVEMGNVSDRSSSTASCGNNPPIYSLDSFEYHIDEEVEAIVSCAMPMSTKTAVL >KN538686.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538686.1:139347:140140:-1 gene:KN538686.1_FG014 transcript:KN538686.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMNVDRSKRVGVICIVTRGGGARSDTTGSCLSVSLVNGARDGRSRVVSPFSMVMMAGGGAKWVCSAPTCWYLIGRAGITRLILQPQVLMVLDVKRVSGVHGGVTYGNTIALRGSGHLAICSRKLVLLRFGRELLGKPLLSLIKPKKNLRLNIKP >KN538686.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538686.1:142279:147196:-1 gene:KN538686.1_FG015 transcript:KN538686.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPVMYLRGVPPPPPWLPQHLIICGLDPAAAERTDAFRSKSLLNFISRTGVLPSPEEELKRQEVVRELDKIVMGWAKRVAYDRREQYWNTTATVLTFGSYALGEFPFEIFNISYCDKRCLKCSALKAYGPESDIDAVCVGPCIASLQHHFFIVLRQMLEERPEVSDLHSIESAKAIHAFDPRLLAAVNEPSWRCLSGVRVNRQIMQLLPNIKKFQILLRCLKLWARKRGLHCHLLGFFAGIHLAILAAFVCIMHPHATLSSLFNSFFDIFSHWHWPLPVSLLDQPTPWSPHCCSFMPIVMPCSPPEFCASSITRSTFNKIKEELQRGFALTKLGVCTVEQGDRNGDINWTELFAPFPYTVRYKHFLRIVLSAPVAEELRDWVGWVKSRFRNLLLKLESIGVDCDPDPSEQADHSMIEPNVVFFWGLMYRTSTNICIDSVKEDFMKSVTNDIYGKEKCTHSDITMSIVWPTHLPKCVYAHSVYSQNRQNPRQFMMGNQLMNQDCNAVR >KN538686.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538686.1:108449:108670:1 gene:KN538686.1_FG016 transcript:KN538686.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHSGKAAAERGGVGEDPRLVKQVRELRRLVPCRREPCGLGELFQDAASHIEDLQVQVKLMRMLLEKLSEE >KN538686.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538686.1:19755:28058:1 gene:KN538686.1_FG017 transcript:KN538686.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLAVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPEMISLEKSLKEAMVPAGTAREFMALNILSLQSEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKTLESAVLHGNTGFKEHVGFVHFSCLLLLEKTIEVRMDPRNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >KN538686.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538686.1:114353:115625:1 gene:KN538686.1_FG018 transcript:KN538686.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAYHVDGIIFPQFHVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPMILTFNKVDVAKHEFALEWMEDFEAFQTALDSDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNSFFEAIEASAKEYMDNYRADLDKRIAEKERLEAERRKENMERLQRDMENSKGQTVVLSTGLKDKNRATDMMDDADEEEKEEALEDFRISEDDDDEDEGEDEEVEHFGL >KN538686.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538686.1:179063:181009:1 gene:KN538686.1_FG019 transcript:KN538686.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTILDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLRVVHRPNSFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTQNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSVHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAIIVGLAMNGLVRKSLDMFSEMESSRTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTTLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSSVVESSLPL >KN538686.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538686.1:29263:30143:-1 gene:KN538686.1_FG020 transcript:KN538686.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDGCFLLEFFLRKSEGQLAAPGGAKWAWQHMYHDVLLLENQIPFFVVERLHGVAFAGDDDGAADRDALLDIFCKAFAGDLPSSRARTGGDAASGGASSLAIWKQPPVPSPRSSDGAVKGRMASMIPPAAKMEEAGVTFKRKASPRDVFDVSFRYGVLHMPAFVVDEGAKVLLANLVAFEQGGGRAARKLEGGNLATGFVALLGSLVNSRRDVEVLRRCGILHCMVTDEEAVAYFSHVVQYTTMDYDRHLLACLFRDIREHCHWNR >AMDW01028757.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028757.1:88:315:-1 gene:AMDW01028757.1_FG001 transcript:AMDW01028757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVDRGVGVEMGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSE >KN539222.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539222.1:85873:88341:1 gene:KN539222.1_FG001 transcript:KN539222.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGGEVRTALDVGCGVASFGDYLLNYGILTMSIDRRNRHKAQVQLALERGLPAMIAALGVRRLPYPTRSFDMVHCAGCLVPGNSHDELYMLEIDRLLRPGGYWVLAMPPISWKTQYDDLNRTAKGMPGEQLALEEIVKKLCWSKVSENGTIAVWRKPINHIQCEQDAKLLRYVNTSMCLTRLPRDIAGGAVEKWPERLTAVPPRIASGETKGMPIQTYRLDSLDWKKRVDFYRAYLNLSDGSYRNVMDMNAGFGGFAAAMSEYPVWVMNVVPANLTDNTLGIIYERGLIGTYMDWCESFSTYPRTYDVIHANGVFSLYMDMCGIPYIVLEMDRILRPGGAAIIRDTPDVVHKVKDAADRLHWHSEIVDTENGALDPEKLLIVDNSLPFPDHPA >KN539222.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539222.1:129104:131253:-1 gene:KN539222.1_FG002 transcript:KN539222.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGNDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARHKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPWKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLVKIGENLLKKPLSKEECEKNNIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >KN539222.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539222.1:60032:65007:1 gene:KN539222.1_FG003 transcript:KN539222.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNWLAFSLSPQDQLPPSQANSTLISAAAATTTTTGDSSAGDVCFNIPQGIDGQGGMRLISGTTAAEEKAKLARVVKVVMTKRKKLLELMIWLLSNTGARRRRQIFRVMMITKTVLKGNGFCIFQVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSAALPVTFPAGLYDSAAMHKYNEIQLVPDEFTSQQTFHIVTVHRFSVSVVEESG >KN539222.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539222.1:89650:90243:-1 gene:KN539222.1_FG004 transcript:KN539222.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMATTNTAGEPAAFKKLTEDGEQALKVRFQEWMNKFNRNYKDEVEKAYRFEVFKSTVEYVEKFNAEQGRVGYITIIAN >KN539222.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539222.1:102569:105193:1 gene:KN539222.1_FG005 transcript:KN539222.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVNESDQLENGHAKVLTSNVDGVTKGLKDSATEKAQPQNSALAINIPTLSLDELVEKTDDFGSSALIGEGSYGRVYYAVLDSGTKIALKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCTEGNLRLVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTMSLLLVLLYRSFKPWEIN >KN539222.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539222.1:43756:49439:-1 gene:KN539222.1_FG006 transcript:KN539222.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASILIVLILHFLDAYCCGTRDGFGGQVKDFSAGRSSKQCLSGHDQDNSNWKHTLLISFIVIMWVATIVQCSTGSDVLRWRSVLEEDDICVVAKLLGDLMAYRASGTGHLELIAGFSLLQKFKSSAVVSKEQAEAPQDLIKEAVLFHPFAEAAYTVIPCLIYLGPLLDFGRNPFMFPCVWLNRQGVMTPWTRARRPVLEGDNWWRGHAAAFLKYVSVPPEVLIKGRVSQARREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECSLTVDDLDGLINSDQLPLQVKDAVISSFPHHGHAGMVESARELYAKLEGLPIHQDKPDAVPAGFLSSLLGAGCECHGYNIEIVGHSLGGSVAALLGIRLYGRFPKLHVYSYGAAPCVDYVIAEACSPFVTSIVHNDEFSARLSMNSIIRLRAAAVRALSKDALPNSAKVGKLVAGIVRTKGYNRNVVDHRQSTGALQIVNEAKLRNDQIHGNNLMHTIRGGVFLLGKAISCLVNTPKYRVSSTAAINYELGSRSRMNILGDRGNCMAASCSVLDVPHFGEPSNACGNGKSSEDDLNECSREYRLPHSNDGTELSIDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNHTSPFQKTPVTRHKSRKNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQRVIETRKRDTLIHDSSTRDDIV >KN539222.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539222.1:132227:133246:-1 gene:KN539222.1_FG007 transcript:KN539222.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMQLSMDSLYRIDAHSKACMVDPEDKTELDLDTEFLPPMPYLSSRLGEHNANHVLSDLEFEHETFANCNLDLKLKEEYGT >KN539222.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539222.1:92622:98036:1 gene:KN539222.1_FG008 transcript:KN539222.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVEGMMEKLKLSAAEKKGVKIKELSAEKKDGSDQRAVGRLLSEKPAPAEAFMQSLGRIWCPIKGIDCRDWGDNHFLFTFHQASGKRKALDDGPWMLNKDLLVMAEYDGTKSLGEIDFSFIPIWVRLNGLPLGMMNRSVGEALGGEIGDVMEVDLEDDYPMSGRYLRVKIRLDIRKPLMRGVTVLVGEKELERWCPITYEYLPDFCYICGVIGHTEKFCEKQLGKGQQYQFGRELRVIPQRKRVDSSGSARNPSDLGVKSWKVSKKVLFKEAESSAVAGADSSAVVGGAAQKVLSVDGAIMNSSMQLMQCEHVAHNSPISSEKEENSMGGVVEKSTKKYKRMPRTDLKREAHKPDLLSCERKRKLETTVRMDVDQVKKVKEVPKGDMPQSEEWGTEALRSPPSDYDDGGGRSTEFGRSQPSFRFEAGWTKEELCAPIVENAWKLTMGPRAGKVQDAIREVAADLWDWNKNVLGDLEKRIKRAKRELENHRRANLTNLTGSREEMLKYKLEKLEEQRELYWRQRAHQHWLEKGDRNTKFFHECASERKRRNKIGRLRKEDGRAVTDPNEMLSMIAVFYKELFASGGPTNLDELLQHVPSRVTNDMNDALLKEVGESSSGVVDSDASVWNKLWRLHYYPKVKHFLWRFARNSLPLRLNISRRGMEIDTRCPVCLRFDEDGGHCFFKCKHAKACWRMLKLEQIRLDLVQHNSTFEVCQHVLNMGEDKMLTTVILLWNWWDARNEVNAGEFRRSADEVCARVMRMVSETTLLRPEGSPPIARPQKKWQPPQLGELKLNFDGAFQEASKTGGWGFVVRDHEGHGVLAGWGRIDLVHDALSAKAVACLHAVRAVANHGISHISIESDCSVLVSALKSASYDHAAVGVLFTQIRTMLQVL >KN539222.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539222.1:65829:67521:1 gene:KN539222.1_FG009 transcript:KN539222.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMRGQPKGIPWLVLAMLIASYAMLGAGATSLSPPSLSLTPTYAPVIKVIGKVYCYRCFNEAHPEESHGKEHLKGAMVKVTCQANDQALVGFGYTQDNGKYSVSITGLPLSSTYGADSCKVELHSAPGGSDCNVPIELNLSGLSVYSKSNEEVMLQANQVMAFASQKTFGFCSKPHIQPPIFPYNSPPPSPYQYPSPPFNYKSPPLPNQFSPPPFNKFPPPSHQYPSPPQSFYHSPPPYQYTPPNSYQAPPTSYNHPPPPYGYNSPIPPTNKYLPPPYYFNSPPPQYQHSPPANSYVSPPLAHQYPPPPYKSPPIPPYYYNSPPANHYSPPPYNFGSSPPTYQYSPPLLPKTPKYLPPKVPLEMSPPAHATSPQPLVHYSPPPPLQHAGISSTTPSVNSYQSPPPVNQLS >KN539222.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539222.1:17629:17868:-1 gene:KN539222.1_FG010 transcript:KN539222.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRGACYAHVGEELALDFVNLGDLASVHDLGSYVASLREGVVTDAEAATGGVLAMAMELVGRQWQSKDAARGMVQSTGLI >KN539222.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539222.1:106596:115523:-1 gene:KN539222.1_FG011 transcript:KN539222.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEVEEFHLYGFEVSGRDRNRRVLGEAAVGEMSGEVECRVCHAKVQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRLKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLAISIVIISMHQYLSNQIKDEVPSSKIEMGDAHEHRSKESVVVNVSDSIATEAKHRHGTDERQPLLPV >KN539347.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539347.1:109903:111946:-1 gene:KN539347.1_FG001 transcript:KN539347.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPLDLWNAWGTQILVLLSLTLQILLLLFAGIRRRKSSAVVAVLRFILWLAYQLADSTAIYTVGHLSLSSAPREHKLVAFWAPFLLLHLGGLDNITAYSLEDNKLWKRHLVTLMVQVLRAEYVLYKNISESGGSIVVASILMFIVGTAKYGERTWALYSANFSSIQAALKKLPRTQLRGYHGFLREEDDEHIDVANEDFLLQRAHSLFHICERGIVDSVINADKNETETKKVIKGLKSKPEWMWRMMEMELSLMYDTLYTKARMIHSMFGYLVQTASPLAIVASFLLFHFSGKRGDSGVDITITYTLLAGALLIETASTLNAVGSSWALSYLCKTEWSGLRHAALCARRWHRLRRAVVTVRQFMKTMTGGSSSLYGRSRRSSGNIGQYNMLYVRSSLEMDKTDRKLNRFATKLSFGDWWDNTYYSWTIKIPDKNSTRASYPGTSPLSLSFFKLNANYEDEYVGPIRALSNYLVDLLVTRPDMLPGLPQNWLYEMTCENLDDICHGQLDPSDKSGVCAVLKKLIGWHGGTRPYKLDQTNQLADIILNLDSRGHQPEIPRLKYAREIAKIVLKREEDRKEILFDLWTDFLIYAANRCNRESHARNLNTGGEFTTVVWLMIEHIYQTK >KN539222.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539222.1:71691:79982:1 gene:KN539222.1_FG012 transcript:KN539222.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATFNWIKTPLDIRRFHEFSSLSFRCRNTFGSIQPSWLTTDQEPSLSKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKGAKSLSSGNKSKSLDLNLILQKGKKKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRQKREKKEGSPMVSCKQGGGLVKVKAVNFRCRNTFGSIQPSWLTTDQEPSLSKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKGAKSLSSGNKSKSLDLNLILQKGKKKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKNVKPSTEDEC >KN539347.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539347.1:44228:46748:1 gene:KN539347.1_FG002 transcript:KN539347.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSIPDELGRLSNMSYLLLGGNRLSGRIPDVLFNLSYVQEIALPYNRLHGPLPSDLGNFIPNIQQLILGANMLEGRIPDSLGNASQLQWLHLGYNQRLTGRIPPSLGKLMKLEKLGLDMSNLEARDSWGWEFLDALSNCTRLNMVSLHRNLLQGVLPDSIGNLSSSMDNLVLSNNMLSGLVPSSIGNLHRLTKLGLDFNSFTGPIEGWIGSMVNLQALYLDSNNFTGNIPDAIGNTSQMSELFLSNNQFHGLIPSSLGKLRQLSKLDLSYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNNLTGEIPPTLGTCQQLETINMGQNFLSGSIPTSLGNLSILTLFNLSHNNLTGSIPIALSKLQFLTQLDLSDNHLEGQVPTDGVFRNATAISLEGNRQLCGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGIVCLILLACLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAETNLIGRGSYGSVYKGTLTQANMVVAVKVFHLDMQGADRSFMTECKALRSIRHRNLLPVLTSCSTIDNVGNDFKALAYKFMPNGNLDTWLHPASGTNASNQLSLSQRIKIAVDIADALQYLHHDCENPIIHCDLKPSNVLLDHDMTAHLGDFGIAHFYLKSKSPAVGDSSSICSIGLKGTIGYIAPEYAGGGFLSTSGDVYSFGVVLLELLTGKRPTDPLFCNGLSIVSFVERNYPDVIDHIIDTYLRKDLKELAPAMLDEEKAAYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVINISYISGM >KN540867.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540867.1:32257:35416:-1 gene:KN540867.1_FG001 transcript:KN540867.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLADLLFQAVTNILSHPMILPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSESWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSTHSRGGPPNSAPAEEQRANQQKLAAAAAVAAARHPHDVQSVPDSPMIDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDSRLAPQVPVEDHFAQMGISDQPVQPVVGGYMQPPPQVPIPNMAVPSISPSDASSRVFSDDDKSDHGGGGGRKPQPPKPEVPPVADPTNRAMYYNDRSPPAELKRDMPVGTDAASYRVPVPAQDAAAAAAAAAAAQQPPAGYVYAQMHAPPPQQQPPPPQQQQPVPQQYVTAQNQHFIHNPATGTFIPIQSYYHHPVPQQVPQPPMPQPVPQPQQSHAFDPNTGMYYIPMRTNAPQAYSMPPGAAAVPPPTLVDTTPKPTVPIPQMAVKQPELQQPGLYRTTAAPTPVPASNAPAYAGMGYHHVIQTHHHPAPQPAATVAGNFGYDYGDPTRAQVFYSQAAAPPTLPPQYQPMGSPDAGQADLKQARAS >KN539347.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539347.1:29013:33300:1 gene:KN539347.1_FG003 transcript:KN539347.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDFKRAITNDPSGAMSSWSWNTTAAAADHFCGWKGVTCDGERRRVAALDLAGHTLSGRISASLGNMSRLASLNLSSNLLSGPLPPQLGSLRELVVLDLGGNSLQALLSNLRNLRLHSNNLTGIIPPEIGNITSLNTVILQGNMLEGSIPDELGRLSNMSYLLLGGNRLSGRIPDVLFNLSYVQEIALPYNRLHGPLPSDLGNFIPNIQQLILGANMLEGRIPDSLGNASQLQWLHLGYNQRLTGRIPPSLGKLMKLEKLGLDMSNLEARDSWGWEFLDALSNCTRLNMVSLHRNLLQGVLPDSIGNLSSSMDNLVLSNNMLSGLVPSSIGNLHRLTKLGLDFNSFTGSIDGWIGSMVNLQALYLDSNNFTGNIPASIGNISKMSELFLSDNQFHGLIPSSLGKLRQLSKLDLRYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNKFTGEIPPTLGKCQQLETINMAQNLLSGSIPTSLGNLSILTMLNLSHNNLTGSIPIALSKLQFLTQLDLSYNHLEGKVPTDGVFRNATAILLEGNRQLCGGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGIVCLILLACLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAETNLIGRGSYGSVYKGNLADANMVVAVKVFHLDMQGADRSFMTECKALRSIRHRNLLPVLTSCSTIDNIGNDFKALVYKFMPNGNLDTWLHPTCEYAGGGFLSTSGDVYSFGVVLLELLTGKRPTDSMFCNGLSIVSFVERNYPVVIAHIIDTYLREDCKELAPAMLDEEKAVYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVIKISYISGMES >KN539347.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539347.1:602:9121:-1 gene:KN539347.1_FG004 transcript:KN539347.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTYLCVEKMGTEIAKCRPTQWLVCAPMWRPARVIDKNILMSEVVASAVVSEAMSRISTFFIDKHKRKLNEEDGMERLEMAHIKMEAALDISSKWPPITDASLLRWRKKLKLASDECSHVMDNCKRRAMEHDEMEQEVRRCAFPKRIAHATRSFFSSFTGHKNVDSLITTSTIQKFERFADAASEFLRFMEFGSIGRRINYMLADPLTGHLLAGKALQYENSRGNQYYLAARPIRFAERGQEAGVLLQYQNHERPEENFILGILLRLAASTNVTGIVVRCLELLPPNFKPVAEAAKKELTQVHQRAFYCFPFVDSTDPEYSRIHHSETHRARPNAACCEEHEHHGRSRSSDMLEPSGAFPEPVIQLAVQCHVSARQKLSSFSSSSGHGDRGCSIDSKPPLLQLTAAFAPHASLEQLPSGAESVAVMEIDGREEQPMRRNVGLCELEELLLPNAIGRLCHEAADGSSVHEVFWRSGHGAAYLCVENTGTEMAGCRPTHWPRSALKKLKHAAEECDNKICRCPEQLVEDIKEEINVWRCRQHVKEEEAEQLFEWYADGANDFLRSVEFGGTPCYYLFFDPLVGHVLAGETLEYKLVQGNRAAVITKNILMSEVIASAVVGEAVSRISTFLIDNHNRKSSEEDGLERLEMAHIKMEAALEVSSRWPLAMDSSLMRWRKKLKRASDECSHVMDRCKRRAMEDDETEKISRCSFPKRIALATRSFFSSFAADKNVDSLNSTSTMQRFERFADGAGEFLKFMEFGRIGSINYMLVDPLTGHLLAGKALQYESSHGNQYYLISKPMSFAERGQEAGVLLRYNNHERPEENFVLGILLRLTASTNVTGIVARSSDMVEPLGAFPEPVIKLVVQRHVSATRHKRTSSSSSYSCSCGHADMGCSGPTLLQVTAVFAPHASPEELPSGAKSAAVVAIDGREEQAVRTDIGLREVDEFLLPGAIDRLCHYHDVHGTVDGSSAAAAYEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >KN539347.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539347.1:20824:22359:-1 gene:KN539347.1_FG005 transcript:KN539347.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVGSAIVHETVNKIVSGFIDRCERKSSAQDNLERLEMAQIKLDFALETSNKWQITSGPLLRWQKKLKRATEECDDTIRMCRQRVQEEQEAEQVARNSFFPRRIAHATKSLISSIFHGNIDEPSRSVVRRFEWFADGANDFLRSVEYGGTPRHYLFFDPLIGHLLAGETLEYIVQGNRQLLFWIQPNNIAERGVQAMLLFVYSDGTASEGNFILGMLLQLSESTNIVGTIIKGLQLFTPHFKSTTENVRKQLTLLPTQDFSWVPQAHSNHWYNIHSIAIEWFRPNPLCCKHHGAKVCGSGNMDKIGLQNVSLEPIIEVSLKCEVSLRGFGECGTIVEGKPSIKEVPHLKVNIIYMPHGSSGDLFPTVESSVVEVINANEQHCLHTNIALQQMEQIMLPKAVDCFHQNAKARVYQMLWKSKHGGAYLEVVKATMNMTSTRRTIRGAKKAKLLRGMDQRTQRRTVVTCDFICDFLNLWAAHAPVQLQGSILDWIQKEKETELASPLLRLKF >KN539347.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539347.1:94065:95007:-1 gene:KN539347.1_FG006 transcript:KN539347.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRWWYERAQELERQNTRLYNEKRNLEHRVGNLVYQNISLSNEKDDLKNQLEEKRRAASVYLGKVSTLEYKDMRKAGLMFMNAADTYQQLAKKQIRTKEEELVNTRNAGLLLINAADTYQELARKQIKAKVEDLEDARKAVLVVMNAADTYQHVAEKKIKDKVEELRVLGVQKVEMDARAASLESGLKAALVKNQELEADCDKMKIENNKLWLEVERLKMESIAVAHRKEAAANAFDAEKAENTDYHGFDEG >KN539347.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539347.1:11609:13099:-1 gene:KN539347.1_FG007 transcript:KN539347.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVVSAVISEAVSRVSTFFINKHKRKLNEEDGMERLEMARIRMEAALEISSRWPPVTDASLLRWRKKLKRTSDECSQVMERCKRHAMEDDEMEQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSLITTSTIQKFERFADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYENSLGNQYYLAAWPMSFAERGLEAGVLLWYQNHERPEENFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQSTRGEPTIQRLTMLAQTPHAAKDTITMLTAVIGPHAWLEELPPRARSVAVEAIDGREEQAVHRNVGLCEVEELLLPNAIDRLCRHEAAYDGSSSSSSTHEVLWQSGHGVAYLCLKKMGREMAGCRRTHWPCHCGRLWHRRAQLWCGGRNGAVAGGGGGRTRGWKRKRPLRQAIDL >KN540867.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540867.1:599:8385:1 gene:KN540867.1_FG002 transcript:KN540867.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLLLLLPFLAACLLAPRHAAEAAVTSCAPRRCGNVTIAYPFWLPDSSPSSSSSSAPCGPAAFQVNCDNGSRASLARSFRSGYKILGVSYANRTVVVSNDNVQTDASGCPVPKIDVSASLSLAPFTASPANNQLVFLFNCRAPPAGFVNVTCPGAKAVVRLDTSYNNTAARVVAGGCDYAAVPVDKALDRTSNSGNAKKSGNKVILIVSLSICATGLVLLACIAIVYKCRRRMQNRFSFLNAMDGASRTDTAKVEKLLQSYGSLAPRRFRYSELKKITKSFSQRLGEGGYGTVFSGTLADGRAVAVKFLHHSKPNGEEFLNEVISIGRTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLDKGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGSNVKAYAEKGASGAFFPLWAVDGQGAGDAGEKRPRARHAAAAVPLELVVPVAAVKLPLLCLGFHAAISRTQGAELMANCGRRFAAAGAPATARRCNLELFLEATTPVVPTTTCSSKKSMNGWKQSDEENALPLFSLGDLWDGFRESSAYGIAVPIVLNGCSDGVVQYYVPYLSAIQLYGRLRRHFYHSRPSGEDSDGDYCQDTGSEEMSDLEHDSCPSSTDAFSVQDTTCESSTSEASSDESESTRISHEQLIFEFLESEPPYQREPLADKACQQLLQELLSFLPDENDCIQYTEFRRDQHYAIWTPASSHIIPSPRSSQVHKHFTEKTPNNWTIFPWVAVRFEPVTHDVETDLSGGICPEPKGNNSGVPVTTAMCLPTFAMASYRLKVAAWAPGGRDRQLVASLSHAADAWLGLLGVHHPDHRFFAARRVVSRR >KN539347.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539347.1:34170:35684:-1 gene:KN539347.1_FG008 transcript:KN539347.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVGSAIVHETVNKIVSGLIDRCEQKSSAQDHLERLEMAQIKLDFALETSNKWQITSGPLLRWQKKLKRAAEECDDTIRMCKQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLAQGNKKHLFSIRPNNIAERVEAMVCFAYDDGAAPEDNFFLSMILQLSESTNIVGTIIKCLQLFTPYFESTAETVRKELIQLPTQDFSRGSHSHSYSWENIHSTATKWFRPNPLCCKHHGQKVRGSGNLHKIELTDIFLEPVIEVSLLCQISPPGFREQGTVKGKSSLKKIPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVSLEQMEKIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATVNMPCTRRTIRGARKAKMLRQHDHRTQSVTNEVADFLSLWAAVAPVQFQGSLLDWIRKEKKIPAPLLHLTL >KN540867.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540867.1:22061:24748:-1 gene:KN540867.1_FG003 transcript:KN540867.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAISTSSSFSPLRLSQNHGSIMSGSTRAQVLPARAGVEMALIDAIANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEALDRLNEMGVTPVLFEQPVHRDDWDGLRDVSIVAKEKYRVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGILGALEVIDAARKARIALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVFGGYEVSGPVYKFTNARGHGGFLHLDNNGLK >KN540867.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540867.1:18842:20322:1 gene:KN540867.1_FG004 transcript:KN540867.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLARILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDVDPSLYGGGGAGSGGLSAEQLDAVRSLHPSDAAIDHEKDSIELRLARRCLERNIPFLGICRGSQGVRRLAERFVPMAFAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKAGSDEFDYPGCPMAYQAFVRAVVAYQEKLAAAAAMPASPKLNQEMEKQRKVLVRSFSLAKNLYVSGAEAGTPRPAEQRDLDAGAEFLEVKTQPNHRTSTGAHGCQPPDFKANRRGVVCVVQQSNTAALSVQQEKRLKQMGATVRNASGYINRLKLNEEREAAARALMAKMSIDQLSDLASFYHIMGTICSEVLDRKLHSAAPAP >KN540867.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540867.1:10358:12419:-1 gene:KN540867.1_FG005 transcript:KN540867.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYDKYTALKKRKLLDEGLDQKRAADIKELQQAMKDWVADLQGENERLIAKLTQKEQQLVEVQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAAMIIENQTPIPPAKKTPKSKSRERNIHSIEKATVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHMLAESVVGMKFSVKNQTEGFSLSVSHEASGYSFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSRAMCPVFFQQISRILRQG >KN540867.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540867.1:27032:28209:1 gene:KN540867.1_FG006 transcript:KN540867.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRTHRTTKLFGRERPLHDALGGHKAADIILWRDRRVSASIVAGATVAWYLFEVAEYHFLSLACYLAMLGMLVVFIWANASAFFNLPAPRIPEIFVSERTTRQVILALHSRLTWFVHRLYDIACGKDIKMFILTVFSLFIASVIASCFSSLTLLYLVVLGTMTLPVMYENYESEVDHLVSTAVHDLRSQVGDMDSGVLKKIPRGRGATAN >KN539771.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539771.1:1954:5825:1 gene:KN539771.1_FG001 transcript:KN539771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELGQRLIGAGDERFHISSYAARAALTCAASSARQPDVDGFIAGSFSLASHLEFVTQAVLADHSCVLSVEKILLMPGMLKKKPLTLDKSDNPMIFADERRFRNCHIDANASGDANGEKVPGGLTISLRAVLLDKIHAMYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIVNTLWYDFTFPAVEKLEVDMICTSTFVRVESRSLRGLIKLLLTCIPEISEHDAMIYLLKNNLKVRKAIEMAGAEGWESSWDVSAYKAAADASFHPELEAYVQFSTQSLPKVQAAVKSLLRASTPLSSNDVLQLATLLPSSNCISAKSLETIVDLSTDALDMFLAFKEKFVTQQGFFRRKIEAALRRKCTRGGVFRELVDGMIERKCILKWNTIATSQSKYALESDDFHGSSSASSNETIHQLLFDSTSTCKDFTVGSSYFSNRYMHNAFLFGSNGVYVQTPVCLFGTTTGLQLDFIH >KN539771.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539771.1:72020:75776:-1 gene:KN539771.1_FG002 transcript:KN539771.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPFHKVQIQRDDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAVHISQIGEGYRALIPDLYRGKVALDVAEAQHLMEGLDWPGAVKDIQASVKWLKANGSPKVGVTGYCMGGALSIASGVSVPEVDAVVAFYGTPPSELADASKAQAPIQAHFGELDSFVGFADVTAAKSLEEKLKSSGVPHEVHIYPGCSHAFMNTSPEAVKRRKEMGLTDENQAAIDLAWSRFSTWMGRFLGSA >KN539771.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539771.1:47258:59539:1 gene:KN539771.1_FG003 transcript:KN539771.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMQPSGPPQQSRPPMMGSSVPPQNLGPPMPMQFRPVIHQQQPPQFMQPGQQFRPVGQAMPGANIGMPVSTIICSSSHSAILGYSSSETSSSDWQEHTSADGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTQEGRKYYYNKVTKQSKWTIPDELKIARELAEKASNPRPDQETETTAGAPSGPTSNSVEPSSVPANQSSTTIMVAPSTLDAAANSVPPGAGPSHNMENTSSSSNTAMQNGGPSTVVTPVISTEIPSVASDAGISRANNEYPSLASTADTQNGASAEELEEAKNAFKALLESSNVESDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKLEAEERRIKQRKARDDFLAMLEESKELTSSTRWSKAITMFEDDERFSAVERPREREDLFESYLMELQKKTSTQWRKVQERLEDDERCSRLEKIDRLEIFQEYIRDLEKEEEEHKRIHKEQVRRQERKNRDEFRKMLEEHVAEGMLTAKTRWRDYCAQVKDSSVYLAVASNISGSMPKDLFEDVMEELEKQYQDDKARIKEAVKSGKIPMTTSWTLVDFETAVTEDDTLKGITNINMKLIFDDQVERLREKEVKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKALFDDSQEYKALDSETYSRELFEECVVHLKERLKEKERLREEEKARKEKEREDKERRKEKEKKEKERKEKERDKEKEREKDKGKDRSRKDEMDIDAVDADSHGSKEKKREKDKEKKHKRRHHDTADDVSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGTRDDLEDGELGEDGEIH >KN539771.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539771.1:30222:30497:1 gene:KN539771.1_FG004 transcript:KN539771.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCPSRASLFFIGGGDGEDVVVHEEEEGCWTKGGGGGGEQMMSKQELFTKAEAFIGNFYKQLKMQREESWKKLQDLYHHHHHHHYKTKAL >AMDW01025831.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025831.1:237:317:1 gene:AMDW01025831.1_FG001 transcript:AMDW01025831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGLG >KN542153.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542153.1:61:2697:-1 gene:KN542153.1_FG001 transcript:KN542153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADADADAARPQRAPAPVTSTMEKEADFLWELRKYVLLLATLAATVTYTAGLDPPGGFWPDNVGELLAGDPVLQKTYPRRYKAFFYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMTLDMFGLMGAYAAGSSREAAMSAYILVLVILVCSYVSAHVLLYGLTAQVSAPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHLAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGATMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYITIQSMVFFSEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKAFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSIGVARWMKKVMDKVGEKLTHCFSFEDL >KN542219.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542219.1:7758:10772:1 gene:KN542219.1_FG001 transcript:KN542219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNEFPAASGKSTSGYCRSTGHTSTISSSGDYDQQASDVAMDDAEQIVSTLLNSRCRAPRRGGRKVTINTKLVKKRARRERLDISFPQPFGKVCGKHAKLFKSEVTVIVRNHVPLKAKKWKTIEKQHPGTMANVWKKLKDAFPELRNEDEDCAMKQVEEQYTNRRYRLHCLHRNKKPRPTHVSPEDWAWLIKHVWTDEDFQKRSNQNAANRAKQEMGSKVGTKSIAQIAHELRNKETGEWPTAMQVWKATYQKADGTWSVPNGERVLSELNEVAQSQQEKICSAAVPLVEHFALVLGRKANHSRGMDLRAINGVAEERIRLLAQVEAAEKHAAAAQERADAAEQRAVTMEDQVRKLDETNAQLQVEQQSQRDELNSQRRTVEGQATDVERMVQQKLDEQMAIYFSRFASSNGVSSSRSPSDDH >KN542219.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542219.1:12545:13015:-1 gene:KN542219.1_FG002 transcript:KN542219.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGIITVLITYELLVRRVSHRPHYPDIAGTTFHPRVAGHAARCLLLRLQPPLTSAARNGQPRCSSLQYPSGLVPPWHSDLVAPVLITAGLIDATKGIGEEENGCCSSQGSEPGKKTQIWPRTFESPKMAAVAYYVASLRLHGLEPRLNFLALIN >KN542153.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542153.1:17629:17928:-1 gene:KN542153.1_FG002 transcript:KN542153.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLSFNAEGNEVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQSVMRRLGLQHDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVV >KN542153.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542153.1:16142:16513:-1 gene:KN542153.1_FG003 transcript:KN542153.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTETVSGCEVTKKIPSWMMRKTLMQCMEAGHFGKDAMDMARLEHALPRGDLHRPRVGSKTAERSCSVCLKNFEEDDYIWSMPCSHTFHQLCVLGDRSCRVCHPAAPPSTEEKPEAPRTIH >KN543294.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543294.1:5152:6099:1 gene:KN543294.1_FG001 transcript:KN543294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQRSTPPFYNFLKEGFLLPSRNRSLFVAVFLLVVASTSVLLLVNDLAVQPIAEEILLDAQALNTTDPMSPDFTKLVKEIQDDTRELMIATAAYSLFAVVIGFAIRIIILFAAVATFSGEQHTFGTLLGKARTQLKGPLLTLAFVFVLEIAYVALLVAMAGLLAFLMVKKYYVPFLLLSLFVLVGFIFLVYFSVLCSFSVVVSVAEPGCHGAGAFGRAWRLVKEKKRRAVLFVAAISVLAAIVSAVYKLSMAGARSSIVAGLLLGLVYAILMGAVELFGVCSLTAFYYECKGSNEVVATDQYVRVSTDEQPKP >AMDW01020815.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020815.1:82:288:-1 gene:AMDW01020815.1_FG001 transcript:AMDW01020815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EVAVNVNKSLFCFEHDAGATSSGVFAGDDPLKFYFPLFLYHVCTVFALSRAINALLSRANVPLVISQIL >KN542153.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542153.1:15003:15311:-1 gene:KN542153.1_FG004 transcript:KN542153.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLRVNGEGDERSLVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLCLQRDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVV >AMDW01034695.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034695.1:134:421:1 gene:AMDW01034695.1_FG001 transcript:AMDW01034695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >KN543441.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543441.1:3105:6164:-1 gene:KN543441.1_FG001 transcript:KN543441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVNETIPALQKIKESGKARFIGITGLPLIIYTYVLDRVPPGSVDVILSYCHYGINDTALVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPKELKEGCWVVVRSFERKMWQISMLACRAAADHCKNKGKSITKLAMQYSLMNNEISTVLVGMNSPEQDNLIMEIVLFGINGQTCGNNDNELRTEDIDAEMDVDRGEEAVDDADEPPGGVECDGNGEQDDTAHVPRSYMNRTSCSAHKFSLKSF >KN538881.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538881.1:96195:97005:-1 gene:KN538881.1_FG029 transcript:KN538881.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLREDGGKGHPERKREITRQLALAEREATPNHTLRRVIQAGCAAHAFERFPTPRAPFDSCRVAALVDEGTTMLGGGGRQRQLAALREIKAITTESDRNKRCVEATPGAVEFLVSVVVQSHAAAFTYTSARSDDDMLDSVIDSPMSTSSPEEEALGVLYSLKPSEPTLRRILGKDNGGFLDTLASVLLLKAMTIRSRTPLRCRWRAFLEEAGEPDDKASAAAAATGEEQEDDAAASISRQRLACEIWGRRPRDLAC >KN538881.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538881.1:47479:49589:1 gene:KN538881.1_FG030 transcript:KN538881.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFIRGFLALSLLALAVELAAYWNGWRLRRPELRVPEAVEIEGWAHSAYMSWMSFRADYIRRPIEFLSKACILLFVIQSMDRLVLCLGCFWIKLRKIKPRIEGDPFREGSGYQHPMVLVQIPMCNEKEVYEQSISAACQLDWPREKFLIQVLDDSSDESIQLLIKAEVSKWSHQGVNIVYRHRVLRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLPDILTAKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWIVTKKSGRSSESDLSTAVERDTKDLTLPRLQKQISESELIDLKMQKERQEKAPLGAKKANKIYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLFVGLDLIGEQID >KN538881.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538881.1:14777:15277:1 gene:KN538881.1_FG031 transcript:KN538881.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAILNITEGDTIMQIEKKWIGYQNDCKSTDSAVSYVSDPEKFSIDNFKGLFILNGIASTSSLIIAVIIYLYEKNKSMIKMQPDQNGDGLEEINKPQEQSEGSTTEENNQSEPGTGQSGQQQEETEGNEIGNRNIQTRSVKRSGSIFLWRERNTKVAPISSSSRF >KN538881.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538881.1:8531:12363:1 gene:KN538881.1_FG033 transcript:KN538881.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPQIILFLLLFIHFGVAQNATRTRVDEFPVGVILDLQTLVGKIARTSILMALDDFYSVHKNYSTKIVLHIRDAKSDNVQAASEALDLLENHNVQIIVGPQKSSQASFVSDLGNRSQVPVISFTATNPSLYSASLPYFIRATLNDSAQVQSIACLIKAYGWRRVVPIYEDTDYGRGIIPYLIDALEEIDTRVPYRSVIPLSATSEEISQELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTNGITNIIDSMNTSVVEAMNGALGIQFYVNKSELDRFTIGWNRRFQIDNPNEPPLKLSIFGLWGYDTIWAVAEAVEKVGVKNRTLFKKPSVATNSTSLEIMETSVFGPELLKVILKNKFRGKSGYFDLSDRQLQVSTFRIINVFGKGWNNIGFWNEESGISQQLNLGKSKTKYASSVSGLNLVTWPGNSTETPKGWEIPGSGKKLQVGVHKSAYKEYMTNERDPITGAIKASGFSIDIFEEAVKRLPYALPYEYVAFDTSRDTSSGTYDDFVREVSLKKYDVAIGDITIRYSRMAYVDFTVPYTESGVAMIVPAKGSANKTWIFLQPLSRDLWLATILMFVYTGSIVWLLELLGNKKDVREPIPRKIGIMIFFSLFGDS >KN538881.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538881.1:33377:36925:-1 gene:KN538881.1_FG037 transcript:KN538881.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPSHRLAALLLLLLVVVSPCHAAAAAAGGGPRATRPKAVAMPVGRDGATRQYVATFQQRTPRVAVKAVVDLSGGATLWVDCDAAAGRARLALPAQLAGTFRFSRKFALCLPSVDAGVVVFGDARYVFDGMDHSNSLLYTPLITRTTDRSSEYFISLKRVVVDDRAVPLNATLLDAGTKLSTVSPYTVLETSIHEAVTRAFAASMATAGIPRVPAVAPFELCYDGSKVESSAITGEPAVPVVFELHVQSEARSKPPTSQGALRLRHGDDAKPPPRYAVCTPGLLDLGLVGKVNVSTAYSVNVLDTSYLPSAGAFQEDHAQYIQPLLNLHAEVGLPFLVNAYLILLEVVPRTSESSMMQ >KN538881.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538881.1:15693:19217:-1 gene:KN538881.1_FG038 transcript:KN538881.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGVVQSCFSTRNGTPRQPLVVPLARATVVLNPARVPAEALEGLADYSHCWILYVFHLNTDLDKMWNDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKHTNLSSIFCFNASQVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDSVKGAAIPNWLEVDGALAVESIHFSEHFISALSDCWMHVLNSKLELLAYSLKLSLLQQKQSLYASADEFQDLVKEVLSWDIRSLSQRIRPHEVTIKDVTNNGRSKIDNGCNNDEDRQSVDSSTSVVYHLHLEGIDVSYRIDQDSNIVVENAALLSSAVNQHQYNYLTWREKPYENLAFLFQKKNLRVSLFHKEFGSVEFLKWRFGLIQLRIVLYVLGPSKTVRVQPNTGWSTCSNPIVDLLGPSDEDSTAQMSRREYQDDNGLVIYNQECRAYTLLKNKFPCP >KN538881.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538881.1:74002:74157:1 gene:KN538881.1_FG039 transcript:KN538881.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRLRELKKIVPDAHEDNVDVLLRQTAEYICILELKVAVLRKLAAIYGA >KN538881.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538881.1:148804:153730:1 gene:KN538881.1_FG041 transcript:KN538881.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLAQDMVTGMVKAMVKEMAPAQVMVRGMAKAMVKGMALAQDMARGMVRATVMVKDLVMVKAMAQDMAKDQGQAMAKDMVKVQAVDMEMVQAQAMVNKFALPRMNVDCQAVNILLARRFWHERFSVAESPLEFAVAAGAAHPSASLASWTYSGACVAGSKALVSVALRDAFGNGVARGAAMPGGNGNLKVSVSRSNGAIVEFKDFRCNGWAEDGRISLEFVPVVAGAFLVRVQSDDNTLRGSPLLLTVNPGPIDIAKSTCSWKYGTNVLQIFSKLEIFIHQKDYFGNAVPDIHPFDARIVKRATNLSVPVADLLIEVVDDGTRLLSFKTVDPGEFVLTIFDPKLNQKISNMDYEYNVFLGYCDGSNSFANGSGLSHSVAGSVSHFMVYLQGHYSYPSPIESAWLKVQILSKNGASVINSTISPGELNEETFVGGHFSTFVGGHFSGGPTGHQEKIIAGNMRTNSFNVSYTPKFAGEYEIWVQCGNIVINSGNPYKMTVSTGVVSTDLSTVVTFVRKVKTSVHNEVVVQLVDPFMNPMIHLASKLRIQLSSANITTPTNAPSFTAGEFVDNKDGSYTTYYVAKNTGLYRICIQFEDAQLKPCRFEVHVVQ >KN538805.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538805.1:175283:176116:-1 gene:KN538805.1_FG035 transcript:KN538805.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVALHGGAGDIPRSLPPDRREPRLATLRRCLDLATAALRSGRAALDVVELVVRELENCPHYNAGVGSVLTADGTVEMEAAVMDGNTLRCGAVSGLSTVVNSTVLFLALMLCSKMVESKDPLLQDCVTFLRPNRIQLA >KN538881.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538881.1:58785:61628:-1 gene:KN538881.1_FG044 transcript:KN538881.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRHLTPSPSHEEHDTPNPSLTPPPMQLAALASDEPPPPPPEQSPRRIVVAHRLPLNATPDPGSPFGFAFSLSADAHALQLSHGLGLAHVVFVGTLPAEAARSLRRSDELDRHLLGCFSCLPVFLPPRAHDEFYAGFCKHYLWPRLHYLLPHAPAANGDLHFDAGLYRSYASANRSFAARVVEVLSPDDGDLVFVHDYHLWLLPSFLRRGCPHCRVGFFLHSPFPSAEVFRSIPVREDLLRALLNADLVGFHTYDYARHFLSACSRLLGLAYTSRHGRVGINYHGRTVLIKILSVGVDMGLLRTAMASPEAAAKFREITEVEYKGRVLMVGVDDVDMFKGVRLKLLAMESLLETYPALRGRVVLVQIHNPARCGGRDVERVRGETAKIQARINARFGGPGYQPVVVVDRAVPMAEKVAYYAAAECCVVSAVRDGLNRIPYFYTVCREEGPVDAKGAAGGQPRHSAIVLSEFVGCSPSLSGAIRVNPWNIEAMAEAMHGAFTMNVAEKQARHVKHYTYLKLHDVIVWARSFAADLQLACKDRSTMRTIGMGIGPSYRVVAVDSAFKKLPPELVNLSYRAAAAGGGGGRLILLDYDGTLEPTGAFDNAPSDVVIVILDELCSDPNNAVFIVSGRSKDDLERWFAPCANLGIAAEHGYFIRWSRDTPWETMASKQLAAAMEWKAAAENVMRHYAEATDGSYIEAKETGMVWRYEDADPRLAPLQAKELLDHLATVLASEPVAVRSGYKIVEVIPQGVSKGVAAECIVSAMAARRGGAPGFVLCVGDDLSDEDMFGALASLCGGGGASSSSSCTTTTALLAAAQVFACTVGNKPSMASYYLNDKEEVVDMLHGLAFSSPSSRLRAAAGGFRYQVPPPV >KN538881.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538881.1:25384:29305:1 gene:KN538881.1_FG045 transcript:KN538881.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYAMHPNYTTKVVMHIKDSVGSSVQAATAALDLLANYNVKAIIGPQKSSEAFFMSEIANMSKVPVISFTATSPSLTFDNIPYFVRATINDSLQVNSIASLIKYYKWREVVPIYIDTDYGRNIIPDLLDALEGNDARIPYRSIIPQSATSEQIIKELYKLMTMQTRVFVVHMTSSMASVLFTKAKEVGMMDKGYVWIITFGVASLVGSLNPSVLNAMNGALGVEVYVPKSTELDNFTVRWTTRFRMDNPNDPLLKLSIFGLWGYDTIWAVAQAAEKVKSTKENSEDGHEFLNAILQYKFRGLSGYFDLSSRQLQPPRFQIINVVGKGWREIGFWTAQDGFSQKFTKQKSNKTYLNIEPDLNPVIWPGESTDIPRGWEIPTSGNKLQVGVCTSSGYPEYINADKDPTITGTTKASGLAVEVFEEAVKRLPYALPYEYVFYNTTGSISSSYDDFVYQVYLKKYDIAIGDITIRYKRSSYVDFSLPYTESGVAMIVPVRESINMTTWIFLKPLTPGMWFGSIILFIYTGVVVWLLEFLGNSKTALSQIPTQMIMIYYSLFVES >KN538881.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538881.1:81196:85643:-1 gene:KN538881.1_FG046 transcript:KN538881.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWDNLGAYKYVLALTYQLWSSFSNMLFATRRRRIVRDGVDFGQIDREWDWDNFLILQVHMAAAAFYAFPSLRHLPLWDARGLAVAALLHVAATEPLFYAAHRAFHRGHLFSCYHSQHHSAKVPQPFTAGFATPLEQLVLGALMAVPLAAACAAGHGSVALGFAYVLGFDNLRAMGHCNVEVFPGGLFQALPVLKYLIYTPTYHTIHHTKEDANFCLFMPLFDLIGGTLDAQSWEMQKKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASTPFSVQPFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINNQIELAILRADRMGAKVVSLAALNKNEALNGGGTLFVNKHPGLRVRVVHGNTLTAAVILNEIPQGTTEVFMTGATSKLGRAIALYLCRKKVRVMMMTLSTERFQKIQREAAPEHQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >KN538805.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538805.1:183211:185412:1 gene:KN538805.1_FG037 transcript:KN538805.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDNKRFDDFHVITNGPGSDAYSNEESINLVNKSNDSELFLYPPSPMNYYAPLPIISEKDSCVAPSTSFCSKTYNPQLYVEKGNGTADWCQTSGDYPEKSSINSDITLSYIDKILMQEDIDDRGNEDTALQAMEEPFYELLGQKYPPFPQQQLLCVCDRLQNLSANTDKSNGHSCDTCSVTRMTNISSSMNFNGNFQGFQFPWSLSSITRETEQFTHHSNRMVVGLKVDGLSISEKPSQDNCSLQIDAHYMRKHPLFEVHDRKSYPCIEDLDLLEGRSNKQYAIYYDEPIRDEMFDKVLLCYDHKPLDEGVSLSRAMTNNSSKSSQIGQEKTSARRKTAGKRIPKRDVVDLRTLLIHCAQAVSVSNHSLASDILKIIRHHASPTGDDSQRLALCLAYCLDIRLTGTGSQIYRKFITKRRNVKDILKVFHVCISTCPFLRASHYFSNRTIIDVSKGKSQVHIIDFGICFGFQWPSLFEELAKIEDRPPKLRITGIELPESGFRPYARSKNIGLRLADYAKTFNIPFEYQHISSNKWEALSPEDFNIEKDEVLIVNCIYRMKDIGDETVSINSARSRVLNTIRMMKPKVFVQGVLNGSYGVPFFLTRFKEVMYHYTSLFDMLDKNIPRDNETRMILERDIYQYIMLNVIACEGPERIERPESYKKWKVRNLKAGLVQLPLNPAIVRETQDMVRKGYHKDFLVDEEDQWLVLGWKGRILYASSTWQPNDSGDSD >KN538805.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538805.1:205383:212749:-1 gene:KN538805.1_FG038 transcript:KN538805.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCELERITRFEGRIGSVMRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKAILRQQREHMAKLKRESKYNLSDDEEDEVDVHLPHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSETGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKTFIVGCGEIHIDVFFIDVMMEKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSTKLDSSKPISGDDLGDSFSTDEPVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVSGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMKPWLHIHEKVHEVNPVNILEVICMDSDAPYFSSDNFKASVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQDIFHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >KN538881.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538881.1:30225:32097:1 gene:KN538881.1_FG048 transcript:KN538881.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MVERLLSRIVLIIWLFFLLVLTSGYTASLTSMLTVRQLQPTVNNVDELLKNGEYVGYQRGSYVKGLLEELGFDKSKIKQYDSTDDSREALSRGSRDGGISALVDEIPYIKLFLAKHCEGYTMVGPIYKTAGFGYAFQKESPLQGDISKAILNITGGDTINQIEKKWIGDQNKCRNVGTITCSGSLTFAGFKGLFILTGVVSTSSLSIALIIYFYKNKQVESGSGDAQQNFPQDIKGDTIEEQKQQEETRAKQIYDMNPQKSMVMRCGSIDIHRGDRPRGPKVVPISSSARF >KN538805.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538805.1:201554:203553:1 gene:KN538805.1_FG040 transcript:KN538805.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDEGYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWVMDVFNITDKEGQKLKDKATIARIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEGTGSAVTDADRLERIRDRLSYLLRGGNLSRGAAMAVSTGTCSTHTERRLHQMMLDDGDHEQLHRHPPNQSQRPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMHTEADRLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSTGGTVDQKTIDSIRQAIGQNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSFGMFMR >KN538805.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538805.1:170494:172463:1 gene:KN538805.1_FG043 transcript:KN538805.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIQQKRSTASGRCHGKPISEKGRPSVKLEDLPEDVLCIIVSKLPLKEVSRTSVLSSSWRYIWSICRPKLSFSGFCGFYDDPHEREQYSQKFAEKVNAVLRKYDGKLIEEFDVKIQFDAVLSDHLNNWLTFAMLSRTKNLAFDLEPDTPYSWGDYHTFPFHLLDRESISRLQRIQLSFLSFKPPPDFRGFPNLRKLDLNLVQLNREDLEVMLHGCHNLEWLSLVRCYLNGKLELCNPLSRLAHLAVLQCGATMVEIHVPKLANFKYHGDFVSITINPASKLENAYIWFFKATFEDAISALLNGIPSIQNLTLHIYLLRIEAQMPSNTRIFPHLRHLQLVMNIQLEYANKVPNVVSSLMRAAPFLQKLEVHFGGNDKIWFADQGPGTPQLTRCEYSCLKNVHITGYKGARCQIELLLHIVESAPTLEALTVDTTQVLYGDYYGARIGSKFSERVAVVARDFLRRKLPTKVKLHVM >AMDW01027383.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027383.1:102:287:-1 gene:AMDW01027383.1_FG001 transcript:AMDW01027383.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQGRHVTSQRLLLWLRELTQSMYRGYYVLDTFRYRSVSIQTQQQDDARGAAAKRLRTSAGAA >KN538686.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538686.1:279965:281280:1 gene:KN538686.1_FG041 transcript:KN538686.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQLAGKMTAKAAAAVKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILISQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDKLFELPKGHFYAVMDCFCEKTWSHTPQYQIGYCQQCPDKVAWPTAELGPPPALYFNAGMFVHEPSMATAKSLLDTLRVTTPTPFAEQDFLNMFFREQYKPIPLIYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDVYNDGSLDFKGLPPVAAADDADEVEAAARKPLRAALAEARTVKYVTAPSAA >KN538686.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538686.1:214140:221887:-1 gene:KN538686.1_FG042 transcript:KN538686.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGLEPLVTIVLPKGWMKPGMMPSLGVHASAASAVSVVHEGDLVVVIARGEEDEDGLTRERRWPKPSKPGYSRLRWVARRLLSTSVDSGASSTGESYKLPLFDPFRAASLASSAPPLESPPIEELPDDAPPPPPEEEPGLPAPENDPVATACQHELEGLKAWVETVRSRKESTEEKEAWSLLGRSVVSYCGTAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQDRSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSVNDGSNSLIRAINYRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWEDIIANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAIEVAEKRLSEDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQLTEAPEVMRARHAVQLLHPALFKSKQSWVKLSVVKTRLQSRSEIAPLFFTDAPSLTSLIAPRILRHLKEQEPGGSWNCEVSLAETNKIQARSLALQLDQLNTVVGEQKSIQQNLEDVKKKKLKYVAARFTV >KN538686.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538686.1:331433:332584:1 gene:KN538686.1_FG044 transcript:KN538686.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRARAARLLSSSASAPVSPGAYDGAPLTEYLVHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYFDASRSSTHAFLPCDSTQCKLDPSVTVCVNLNQTVQTCAYYSSYGDNSVTIGLLEADTFTFVAGTSLPGVTFGCGLNNTGVFNSNETGIAGFGRGPLSLPSQLKVGNFSHCFTTITEAVPSTVLLDLPADLFSNGQGAVQTTPLIQDAKNPTFYYLSLKGITVGSTRLPVPESAFALTNGTGGTIIDSGTSITSLPPQVYQVVRDEFAAQIKLPMVPGNTTDPYFCFSAPSQAKPDVPKLVLHFEGATMDLPRENYVFEDAGNSMICLVINEGNEMTIIGNFQQQNMHVLYDLQNNMLSFVAAQCDKL >KN538686.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538686.1:339489:340786:1 gene:KN538686.1_FG046 transcript:KN538686.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLAFVIVTLLAALAISRCNAAATVRMQLTHADAGRGLSARELMQRMALRSKARAARRLSSPASAPVSPGGFADGLPTFSEYLVHLTIGTPPQPVQLTLDTGSDLIWTQCQPCRACFDQALPYFDPSTSSTLSLTSCDSTLCQGLQVASCGNTKFWQNQTCVYTYSYRDKSVTTGLLEVDKFTFVGAGASVPGVAFGCALFNNGVFKSNETGIAGRIELLDLPVDLYKNGRGAVQSTPLIQNPANPTFYYLSLKGITVGSTRLPGPESAFALKNGTGGTIIDSGTDFTSLPPRVYRLARDEFAAHVKLPVVPGNETGPLLCFSAPPRGKAPHVPKLVLHFEGATMHLPRENYVFEVEDAGSSIVCLAITEGGEVITIGNFQQQNMHVLYDLQNSKLSFIPAQCDKL >KN538686.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538686.1:312849:314003:1 gene:KN538686.1_FG047 transcript:KN538686.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSKARAPRLLSSSATAPVSPGAYDDGVPMTEYLLHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYFDASRSSTHAFLPCDSTQCKLDPSVTMCVNQTVQTCAYSYSYGDKSATIGFLDVETVSFVAGASVPGVVFGCGLNNTGIFRSNETGIAGFGRGPLSLPSQLKVGNFSRCFTAVSGRKPSTVLLDLPADLYKNGRGPVQTTPLIKNPAHPTFYYLSLKGITVGSTRLPVPESAFALKNGTGGTIIDSGTDFTSLPPRVYRLARDEFAAHVKLPVVPGNETGPLLCFSAPPRGKAPHVPKLVLHFEGATMHLPRENYVFEAKDAGNRSICLAIIEGEMTIIGNFQQQNMHVLYDLKNSKLSFVRAKCDKL >KN538686.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538686.1:299130:302596:1 gene:KN538686.1_FG048 transcript:KN538686.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGFGTSSSMGSASHPARIEYNTSSNVSSQYIYEQGLYYPATNGYAYYTGFEPPVEWSDHTNFVGVDGQNLQLSNENLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGSPAGFFPMSIQPTTDFSSTVSAEPPLLSTGTGTSSVASRLANTSMKNKYQMSGNTAPASQTAPSGSPAVGRPQQAYENESTNKPSNPPDANMSRRDKSSTSLVTVPVDASSADKDGKSDEGNQSKEHVPSIQATSGPMSGESGQGKATSSSTLEKIMIHPDQYNKVHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVQGRALGKCPIFLFFSVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFIAKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDTMAIEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFGGQNTSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVNKEAKGNGNEMARITTTGVVKVGSVHIKVLLIAGITVQ >KN538686.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538686.1:203751:208767:1 gene:KN538686.1_FG049 transcript:KN538686.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01940) UniProtKB/Swiss-Prot;Acc:Q93W77] MEASLTVAGAGAAALSLRPQIRLRITQATPLMPPRRLQSGPSKIQTSGARAHLAAAPASTPPAAGGGLYSAETYELTAENVDRVLDDVRPYLIADGGDVTVASVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFDDDQQPAETTPQAVNGHLDILRPAIANYGGSVVVVAVDGEDCLVRYEGPESIGSGIKAAIKEKFPDITNVVFLQFVTESQMEDTDQELCTVAVEMMSPGKLKPCRQLLFYQDSLHREASKKMSQSAADRPKDVSCYHM >KN538686.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538686.1:239897:240525:-1 gene:KN538686.1_FG051 transcript:KN538686.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDASSEEEVMGGDLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSADSYESTLSSLASSQPAKLEAIG >KN538686.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538686.1:292224:294876:1 gene:KN538686.1_FG052 transcript:KN538686.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 20 [Source:Projected from Arabidopsis thaliana (AT5G02270) UniProtKB/Swiss-Prot;Acc:Q9LZ98] MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGHRCLLLGSNGAGNSTTLSGPSVPSFLSPVRVLWSRGLILGGCWGVGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALISSGDLCYLGGEILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVCFILLVMEKMCHVCNLYPNNKVLLLDEITVDLDVLARANLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIARGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVTEGSRVIGDPAARAVNNGWAAGRLASTVAGEENFIFSSNSVLRQ >KN538686.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538686.1:304278:307409:1 gene:KN538686.1_FG053 transcript:KN538686.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIAHLARAGNIEGARAAFEAMPLRTTASYNALLAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRRQTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFQQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPDRDVVAWTAMLSGYCQAGRITEARALFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAAELFNAMPEHPVAACNAMMVGFGQRGMVDAAKTVFEKMRERDDGTWSAMIKAYEQNEFLMEALSTFREMLRRGVRPNYPSVISILTVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRVFHTFEPKDVVMWNSMITGYAQHGLGEQALGIFHDMRLAGMSPDGITYIGALTACSYTGKVKEGREIFNSMTMNSSIRPGAEHYSCMVDLLGRSGLVEEAFDLIKNMPVEPDAVIWGALMGACRMHRNAEIAEVAAKKLLELEPGNAGPYVLLSHIYTSVGRWEDASKMRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAAILRILEKLDGLLMESGYSADGSFVLHDIDEEQKSHSLRYHSERQAVAYGLLKIPEGIPIRVMKNLRVCGDCHSAIKLIAKITSREIILRDANRFHHFKDGFCSCRDYWIQVSKRPFDREVHHPLQANCIWSICPVEIHDPLLGTSA >KN538686.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538686.1:310727:312073:1 gene:KN538686.1_FG054 transcript:KN538686.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKMLLLLSLLAVIAVVARCDAAALRLHATHADAGRGLSKRELLHRMAARSKARSARLLSGRAASARVDPGSYTDGVPDTEYLVHMAIGTPPQPVQLILDTGSDLTWTQCAPCVSCFRQSLPRFDPSRSMSFSVLPCDLRICRDLAWSSCGEQSWGNGICVYAYAYADHSITTGHLDSDTFTFASADHAIGGASVPDLTFGCGLFNNGIFVSNETGIAGFSHGALSMPSQLKVDNFSYCFTPITGSEPSPVFLGVPPNLYSDAAGGGHGVVQSTALIRYHSSQLKAYYISLKGVTVGTTRLPIPESVFALKEDGTGGTIVDSGTGMTMLPEAVYNLVCDAFVAQTKLTVHNSTSSLSQLCFSVPPGAKPDVPALVLHFEGATLDLPRENYMFEIEEAGGRRLTCLAINAGEDLSVIGNFQQQNMHVLYDLANDMLSFVPARCNKI >KN538686.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538686.1:223194:225240:-1 gene:KN538686.1_FG055 transcript:KN538686.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTWTTNELWQFTAKAQYVFTKLAKRFIKGCQAQLVLLNWHFIKQDAREGTCIPWRAGSGWLCCPIVDWEPEGGAGEIGEANDGVPAAPTGGVMGEISEAGLSVSAAHISGAEEKAWISGGVASLAAAAAAERAKENGGGECTGGSVFSSGHAEEIGEATGRRCSGGADRRGGCRCSGGADQWLPRTRLARQSYGRGRRRR >KN538686.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538686.1:264094:267887:-1 gene:KN538686.1_FG057 transcript:KN538686.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNNIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >KN538686.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538686.1:342430:350333:-1 gene:KN538686.1_FG058 transcript:KN538686.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding AYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQCAQVAGPAANFRTRVCGLRCLIAAKLKFRKTLKRHGWQLRRNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPPETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLIDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSRMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTTQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGVRLDDEW >AMDW01040890.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040890.1:229:595:-1 gene:AMDW01040890.1_FG001 transcript:AMDW01040890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTWTIILQLDGAAPEHELVQREMDKDLLCFFNLIGLIQEYGFTALDYMYYKKRESSSMATLVGIQTDSDVQRMVAAHESEKKVRICVKREKACVDSRVSITPVKSSNEMARSESDEAGE >KN543360.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543360.1:34:5887:1 gene:KN543360.1_FG001 transcript:KN543360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGIPFEKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLASRLKCNGVCMCLSALQYLSSSFLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDAEKESRACFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNKAGLQIAYLASLLEITFCIGGVPAANEISQDDKIYEEM >AMDW01018600.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018600.1:63:243:1 gene:AMDW01018600.1_FG001 transcript:AMDW01018600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKGLGKSGKPLFYKGTPFHRIIPGFMIQGGDTVSGNGTGCDSIYGGMFPDENFKINHSAP >AMDW01084558.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084558.1:240:881:-1 gene:AMDW01084558.1_FG001 transcript:AMDW01084558.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding THFVLEPSHRDLEENLDPAAAGDGDGDSSGASAGEGGSSSSHRSDVKLGDAEEEDDDDEDEEEKCRRFAEKCIDPYFIVLGSASRYDPETNLHPAAAGDSSSDHRDSSTTCGCHHRPEYVDDSDDDDDEEDAIKKAEEESLEHNELWREFTDKYIIASGYDDRFKEMDAIGEVYFDTTLDEETRTDMIDKLWRHIEKELSDRARAVSTGKFKF >AMDW01040769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040769.1:158:1525:1 gene:AMDW01040769.1_FG001 transcript:AMDW01040769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEIYKAANSLNSYCKVGRLKPEKAIPYTILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAISTCGIGYGAQHIITKSDNFRLTHQAGGELADFIIVLRNTEAVKTFSGKAHLSVGAGVSASVGHVGRVAEADFRAGDGGYAACYTYSCSK >KN543170.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543170.1:232:1062:-1 gene:KN543170.1_FG001 transcript:KN543170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTTNEEDHNKSIRGRQREHRRRRGGYVRQHEVCRKDKGGAVALHPPERHGPSQASRVHHPMAVGGRADRLSMAPPDGHYPKNKTARGAANSQSTPAPAAALPDAGIHGPNILEPSSHRRTRGSRMQIEAKPSSRRRRSSPGTASWGRLRPCVHRRGDALGGIFPPVPGKEERVALSLSRRPARLTSAAREEGIRDPSPPPPRQPAGRSMATSSSSWHVAVEGVNNRRAARNEPDPAVHCRRGTASTHESPPPPSSCPAVYTRHPQIQKCRKN >KN545770.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545770.1:563:856:1 gene:KN545770.1_FG001 transcript:KN545770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPM >AMDW01003424.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003424.1:12:140:-1 gene:AMDW01003424.1_FG001 transcript:AMDW01003424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVIL >KN544370.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544370.1:39:500:1 gene:KN544370.1_FG001 transcript:KN544370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CRFIDAVSMITRLFQLHVDDPTSASRTPERKKLATMLRDNAYNGDQANVRSRDVFAGALLADELLLKEKERRMSSEDGGGGGGGLLLPVIFEVWVEMLLYAGNRCSRESHAKQLNSGGELITLVWLLAEHAGLYRINKPNQHFKCAEYASDMV >KN539990.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539990.1:728:1726:1 gene:KN539990.1_FG001 transcript:KN539990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEGNAVFDLNEPILDDENGIGEV >KN543372.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543372.1:27:353:1 gene:KN543372.1_FG001 transcript:KN543372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIENLETAFKELSKGKPFFGGDTAGYLDVTLGAVVGWARAGEVLFGRKLFDATRSPLLAAWMERFVALDAVKAVLPDNAELIEYGKMRMAHYAKLAAALAAANKK >KN539990.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539990.1:28222:29478:-1 gene:KN539990.1_FG002 transcript:KN539990.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAVEDDIGATYTNNHNHPKVMPAKCSMNCSTPNLTVAAMVSCTSTSLASICQDITCTTDIDALVCPKETHTKCSTLSLDVKGGIDDQAEVTFQAMTTASKVVPASVQSMDNFSSRMNANRKLATLTPARCSVKWHGPHKHFDVNPWPPPTLNASDSSKWFGILIGKHFPLGEPLKHLHIMLVPLVWDPGDSKVHLHKILFNDWLQCQYFHLGYNCWNIELVINVGVPEELCVWVSYIAVAKKEACVDQNKGVSYSEEMGFWCELYSHCYISARLIGKGNYILEPSKDQPTCLGPQNFEKGSFLFTTINDVDRYNLDIGIVVRLFAGNLKELVKHGRGFDIGISTMKEQIDGQGIHMVWFPGVKCSFKLRLNTCWVICHLEPISMIFVLAPLKCGSFLEAWSLENAPLLDESWPLG >KN539990.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539990.1:17805:19838:1 gene:KN539990.1_FG003 transcript:KN539990.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVAHYLCPRAAGRAAPVPIIAELDPHRHDPWDLPHRALFGRREWYFFTPRDRKYPNGSRPHRAAASGYWKATGADKPVLHNGRTAGIKKALVFYHGKPPRGVKTEWIMHEYRLAKKGGAAAAAGAGALRLDDWVLCRLYNKKNEWEKMQSKKDEAAAMAAAQSWGEARTPESEVVDSDAFPEMDYSLPAASFGDALLPKEEARDDDWLMGMSLDDLQGLGSLLQADDLSMLAPPPAKAEPLGAPFF >KN539990.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539990.1:10207:10557:1 gene:KN539990.1_FG004 transcript:KN539990.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESGASSCNSSVKESGTSFDSGASTESGASSCKSGVKESGTSSDSGASMESGASSCNSSVKESGTSSDSGASMESGASSSSATVFLGRRCFWAVAFVVNKKDERGKSAWWNQGVRS >KN543372.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543372.1:6486:7243:1 gene:KN543372.1_FG002 transcript:KN543372.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDEMKLLGHWSSAYVTRVKLALHLKGVSYEYVEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEVFSGAGDSLLPADPYERAAARFWAAYIDDKLLAPWKKVFRAKTEEERAAWMKQMFVAVDVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGAVSFVHANDMITGGKLFDAARTPLLAAWLERFGELDAAKAVLQDVDRAVEHTK >KN543372.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543372.1:4465:5281:1 gene:KN543372.1_FG003 transcript:KN543372.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGELKLLGHWASAYVTRVKLALHLKGVSYEYVEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEAFSGAGDTLLPADPYERAVARFWAAYIEDKLVAPWEKVFRAKTEEERAAWMKQMFVAVEALEGGLKECSKGKGCFFGGDRVGYVDVVLGGAVSFVHANDVITGGKLFDAARTPLLAAWLERFGELDAAKAVLQDVDRAVEYTKLLYARNAATTAGNN >KN543372.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN543372.1:2004:2808:1 gene:KN543372.1_FG004 transcript:KN543372.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRDELKLLGMWASPYVSRAKLALHLKGVSYEYVEEDLGNKSDLLLTSNPVHKTVPVLIHNGNPICESSIIVQYIDESFPSSAASLLPADPYDRAVARFWAAYIDDKLAAPWRMVYRVKTEEERDELMKQTLAAVGVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGLVSWVHASNKLSGAKLFDAAKAPLLAAWLGRFGELDAAKAVLQDVDMVVEYAKKFQPRDSGTAADRQAVN >AMDW01038945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038945.1:49:644:-1 gene:AMDW01038945.1_FG001 transcript:AMDW01038945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTK >KN542320.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542320.1:1197:3422:-1 gene:KN542320.1_FG001 transcript:KN542320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDESQSQLMGRLRGLKELPSLERNNGHAFQSYKIFLFLPFIFVVPIEIDLLNWRSSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDATDNDGNKGSGEENASDGVSDTLGNLKLDDTPRNHDESSDANDEKNETTAEDSTVDKTVEAETASDE >KN542320.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542320.1:6117:10362:-1 gene:KN542320.1_FG002 transcript:KN542320.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRWRRGMVGSEEFAVQIFDSLARRRGITAQLLTKDQLREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRRLSYFMEDNWKRVVAGGVVVGVALHGVTHLTCDFPRLLHASDAAYEPMKKYFGQTRIPDYWWFVRGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIHMTKPHGFKYKSGQYIYVNCGEICRPPMNGQSGLLRADCMSMEHHSRFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDDTESAAPSPSRHTTNGATARAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >AMDW01083503.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083503.1:642:824:1 gene:AMDW01083503.1_FG001 transcript:AMDW01083503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDGSCLPTVTSSEAEADAEDYGSWTLKQKLEDLINCDPIHGIMPKNPKYKAFFEEKFE >AMDW01120072.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01120072.1:145:237:-1 gene:AMDW01120072.1_FG001 transcript:AMDW01120072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHAGTGAAVGAAKAMQLKVLMPSSFHKM >KN538952.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538952.1:58749:68135:-1 gene:KN538952.1_FG001 transcript:KN538952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAGGAAASSASAPPPPRRTPLERTADAAEELYRLRDTFFPRDPVEKAAALRALADDALAVLDSLPPEQRKTPQQRAVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLASAMNCFSLALSKGADKKILCQLSMLERSMAQGSEGQAQLVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHMKLHHSVKAYQNAEKDETTKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVQKIISLLDKLDSAMKGQLRSKRLASSVSSLSEVNSNTFTVTWHIFKPV >KN540253.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540253.1:13093:13371:-1 gene:KN540253.1_FG001 transcript:KN540253.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNEYGLQEENAYLQKAQMLIDGETKPNDPQEIDRDNCKDVKMETPKLLISHPSNHSS >KN538952.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538952.1:148027:150356:-1 gene:KN538952.1_FG002 transcript:KN538952.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAFPVMSKLFQRRVAAPIQSLASSGLLLLMCFDCNAKNPTWASVTYSVFLCIDCSAVHRSLGVHDSFVSFFKADPAEASPSSAASVASSTSWVSGSTVMDRGTCYSSRFIFGSIRNGNVLLMQAVPQEF >KN538952.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538952.1:24339:27272:1 gene:KN538952.1_FG003 transcript:KN538952.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSSSSSSSSSSGSDSDREPAEEAAPTEEVEEQQEEQEDEQHVGEEDSGEEQEEELEPVVEQESSGYQSEYSSGNDVDEPSADSDEHIILRHEEDPEINSSVKRASSGKADSTKDASDTDDALEVDFNNYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTVALAQQVQKSKKDSGRQRQNRRRKKRSRNHVEKKQKPLTSDKKKRKIEKKKSRFERDDTGGDNQGRLRAKRSKSALENTKMKMLFIGTFHFYATGLGTQLF >KN538952.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538952.1:77614:78056:-1 gene:KN538952.1_FG004 transcript:KN538952.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPGRLRRRHRNRARWSRVSLVPQPRRRPVVQPSASAAAPLLSAGPAFGRTSGLAAVTRGIVDRRLYPIKVIGVAPYRLTL >KN538952.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538952.1:127414:131494:1 gene:KN538952.1_FG005 transcript:KN538952.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGFGDNEFKPASIDKTQAGSLQKTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESSAATSATTGMSKSHSPPLPKVGKSPAMSKPAVHSVPVEVERIDIGEPENPGLRNNNRSTTYQPVTTNPFSFSPDPNDQEENLDILGDDDNGSPNNMSSGQGASVRGFDINIPNQLDIDDEIADVDVNDEADEGLNAAEALRAQI >KN538952.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538952.1:16656:21835:-1 gene:KN538952.1_FG006 transcript:KN538952.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNATDAIFSGEDVVKCGGGDGAGEFLEADAAVAVGVGFLDHAGELLDGERVAELGHGVGELGGGDEAVAVAVEDVEEAADLVLGVGGAVVEELGRDEGDELGELDEAVGVGVGALDEGVQLVGAGLEAEGAEEGPELQLRQAAVAVAVEGAEDLPQLTQLWPHSSDSRMRCLRRPATHLLCSARSAHAGNAPRVLDEMPLPPLAPPRRTTTLVRAHHLLGTMRGPGFCTTVGSESDVETRFTVVPGAAQEGLAPGVSEAAERVCRVVSAQPEHRIAPVLDDLGVTVSPQLVAEVLKNLSNAGILALAFFRWAERQQGFRYSAEGFHNLIEALGKIKQFRLVWSLVEAMRCRSCLSKDTFKIIVRRYARARKVKEAVETFEKMSSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMKRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLDAGIKPDVVAYGMLISAFCKSGKCDEAIKVFHEMEESGCMPSPHVYCMLINGLGSMDRLDEALKYFQLSKESGFPMEVPTCNAVIGAYCRALEFHHAFKMVDEMRKSGIGPNTRTYDIILNHLIKSEKIEEAYNLFQRMERDGCEPELNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALVEGGRITLAQEVTQRLEMLRRTPMRG >KN540253.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540253.1:51212:52712:1 gene:KN540253.1_FG002 transcript:KN540253.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTLAFSVRRRERELVAPAKPTPYEFKMLSDIDDQDILRFNRSGILFYRHSPSKDGLDPVKVIKAAISETLVHFYPVAGRFRELRPTRKLVVECTGEGVVFVEADANFRMDELGTSLAPPVPCYDMLLCEPESPTADVVDRPLLFIQVTRLACGGFVFGMHICHCMADGSGIVQFLTALTEFARGVHGAPTVRPVWEREVLTARWPPTVTRDHVEYTPLPNPGKDVLSPTDAYAHHVFFFGASEIAALRSQAPPDLRAVSSRFDLVGAFMWRCRTAALRYDPGDVVRLHMFVNARVRNRSKRPVPRGYYGNAIVFAAASVPAGELWRRPFGYALRLLMQAKARASEEGYVQSVVNFNAAHRRPPFPKARTYLISDMTQAGLMAIDFGWGKPVYGGPATTMLATFHLEGRNEVGEAGVIVPIRLPNPVIKRLIQEVNKGLTAGAVADAKANVVPDDCVLAKL >KN538952.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538952.1:159694:160326:1 gene:KN538952.1_FG007 transcript:KN538952.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDMCSLTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVAVNPKQSRCTVTGYVEASKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRGNPAAMADPSAPEVRYMTMFSDENVDSCSIM >KN540253.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540253.1:37406:41838:-1 gene:KN540253.1_FG003 transcript:KN540253.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALITPASGGGGGGGDKAPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARERQEPEIRPPKQKITDSIELSEYRLRRRKEFEDVIRRVRWNVNAWVKYAKWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARQVYERAADLLADDEDAQVLFVAFAEFEERCREVERARAIYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLYYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDVERTREVYSECLKLVPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMSPKGKIFKKYIEIELYLGNFERCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKGFQTRSGRYVFLISLVPQVIYFSKARSIFLFNYMIISAISQQWHIDVVILFNEYLQFEIDENEFDRTRELYERLLDRTKHLKVWISYTEFEASAGLAGEDSESEEIKNEVSYHEQQIERVRRCRAIFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTVAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDV >KN538952.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538952.1:174387:182258:1 gene:KN538952.1_FG008 transcript:KN538952.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDGRGPSIWDAFVKTPGEIANNATADVTVDEYHRYKEDVNIMKSMGFDAYRFSISWSRIFPTGTGKVNWKGVAYYNRLINYMLKIGITPYANLYHYDLPEALEVQYGGLLNRKVVEAFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDDGKFAPGRCTKCTAGNSATEPYIVAHHLILSHASAVQRYRHKYQHIQKGKIGILLDFVWYEGLTNSTADQAAAQRSRDFHVGWFLHPIIYGEYPKSLQVIVKERLPKFTADEVHMVKGSIDYVGINQYTAYYVRDQQPNATTLPSYSSDWHAALIYERDGVPIGPRANSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGMDDPGNVTIAQGVHDTTRVAYYRSYITKLTEAIDDGANCIGYFAWSLLDNFEWKLGYTSRFGLVYVDFRTLRRYPKMSAYWFRDLVSSKN >KN538952.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538952.1:120760:123943:1 gene:KN538952.1_FG009 transcript:KN538952.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PGR [Source:Projected from Arabidopsis thaliana (AT5G19930) UniProtKB/Swiss-Prot;Acc:Q0WP96] MAIHLACGYRYGALMLAFFFTSSKATKIGADRKRRIEDDFKEGGQRNWIQVLANSLIATILVIILATMTGGQDQCLDSHESKVITGIIGGIIGHYCCCNGDTWSSELGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTVECSFDVALRQLLVIPISATAGLLGSLIDSVLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTALTAYACIRIF >KN538952.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538952.1:29451:30145:1 gene:KN538952.1_FG010 transcript:KN538952.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVRRSKAGSSSRPTRKRKNVGVVEDSDGSVGEVRRQVVPVWVFSSGGV >KN538952.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538952.1:12125:15409:1 gene:KN538952.1_FG011 transcript:KN538952.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSCSQPDAGRGDFQELDQIAATKPFVKIAVKATTIADIPRLVFQALAATVSGRPGGCYLDIPSDVLHQTLTESEAAALIDAAAADSAKSDSSPPKHKSLDEGIEKAAELLRRAERPLVVFGKGAAYSRAEDAIRKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVVELINREIKDQPFCLAPSHPWVEAITKKARDNVLKMEAQLAKDVVPFNFLTPLRIIRDAILAEGNPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGFLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >KN538952.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538952.1:137194:137598:1 gene:KN538952.1_FG012 transcript:KN538952.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRSINFLDLGSNRLAGGVPAELGNCSQLQMLNLNNNTLTGALPESLIGVHGLQEIDVSHNQLTSGVSDAFGRLEALSRLVLSGNSLSGDIPATLSKCRNLELLDLSDNARCRRRARSTRCCVAAPTRRWRRCCR >KN538952.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538952.1:164979:170189:1 gene:KN538952.1_FG013 transcript:KN538952.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G66670) UniProtKB/Swiss-Prot;Acc:Q9SXJ6] MATLPASPCSSSPSPSSLFLAPNPCCWKAAPRATVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKFKEISTA >KN538952.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538952.1:47310:49608:1 gene:KN538952.1_FG014 transcript:KN538952.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGVRPTVVTYGTLIEGLCVKRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKVMTERGISPTTRTYNYFFMTFAKNSDVESGMNLYSKMIGNGYSPDQLTYVLLVKMLSRANRLELVVQMIQEMRAHGFEPDLAMSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLIQKLTNLMRSVPHSTKLPGSYRDKEGDDSMEKRKLILQKAQAVSDVLKECKDPKELGKLKEDEETDVEVADRETLIWQLFTDVLICRCLGLVPKPFLWTLKSNCLIRDVGQMVCRSGLKCCLLLADMIHDGTNAQRK >AMDW01038702.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038702.1:10:712:-1 gene:AMDW01038702.1_FG001 transcript:AMDW01038702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQQDNSDNLGEVESILSDVIGVSQASLLARRVTVEVSDCIIRRGGRLAGAGIVGILEKMEDDSRGHIFGRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNHIAIEHTKDGSGIGAALLAAANS >KN542123.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542123.1:967:14871:-1 gene:KN542123.1_FG001 transcript:KN542123.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALAVLILSPLATAAMVTTAGRRNCSGCGYLDIPYPFGIGPGCSLPGFNLTCEDEREGNVDYGSNLLLGNQTISIVLQQVGIEISYSLKMIRGVRDYSVHWESPGKPFAISGWSNMSMFVFGCGVKASLFIPGSGDEIGNCFVGCVDAQIMERLPPGPCFGIKCCAIPIHVNLRAFTLNISRTGGFDPWNQVTAFITRTEYSKYFSPVDVPATVGAPLPAELDWAIPYKPNCKSALEDRSNYACISNNSKCEDSPIGGYLCYCLWGDGNPYVLAGCPDQTPPAPPEAVEFIQPETDCPTRCGNVSIPFPFGTKIGCFAKLHLYLACTPGAITSAPHLADGTVVTGISIDEGVLQVHEVSEPDGFLPGGNSDDAPPPLYALSDESGVVKWAIDHATCEQAKRNSSGGSSDGYRCFSPHSECVDVTDDRTRTHVGYRCKCSLGFEGNPYLKDGCTDTNECMQPDRYICRGICQNSFGSYTCSSCPRGTDFSDATRKCKPSTVILGVTIGLSSGGGIIVLAAFFVILSRRWKRGVQKRLRKRYFRKNKGMLLEQLISSDHNASDGTKIFSLEEVEKATNNFDHARVVGRGGHGTVYKGILTDQRVVAIKRSKLEVSTEIDQFINEVSILSQINHRNVVKLYGCCLEAEVPLLVYEFVSNGTLYNLLHGEQNGELLPLSWEERLRIATEIAGALTYLHSAASISILHRDVKCMNVLLNDSYTVKVSDFGASRSIPIDQTHLVTAVQGTFGYLDPEYYYTGQLNEKSDVYSFGVILVELLTRKKPIIQNEYGEKQNLSNYFLWAMKERPLEEILDGHILQEAGEEAIVCVARLAEECLSLTRGERPTMKDVELRLQMLSGRRVAQEVQREAQRGNTLSRPRYEAAKGSEKPGHDHGSRQYSLEQECVSSFYVPRCKEKGHGVSDCNAVICDICEDPDHLAPNCSLLLAPKPTTSLCGWGLVGGGFFMIPTPTKVAGRPREFSAVQEIDAKFVLKKTWFCVYGVSYEIGDFLSLHVVGSVTGNTTTVDMVYLRKDGVDGVLRIKQLATHLMGLGPHPRYFSIHISQGSTRNLDLLMSSSAKVFLRPGLALGPELTFALVKIQQLLHEPIDHVVDEERGKPCDRKKVSMAPSAAPLLLLILLSASRMLCCCSSSRVVAVGMVARTWPTA >KN538861.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538861.1:195676:208977:1 gene:KN538861.1_FG026 transcript:KN538861.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNVPFQKSIMKSVIQLFLLFYCVCMAWNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQNCTMCLLALINRTCTYIHKTSDETQKANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYQVLSKQRLDRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAERETFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFRVKNIQA >KN538861.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538861.1:214397:214792:1 gene:KN538861.1_FG029 transcript:KN538861.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRCFVSPLFLKAEEGRKLLSLVLGVSEGLAREGLELIRAQVGMPGVKRAALVAYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVHARSKELAKAARKLLSAFVEQRMVAGVEKLIFQLAEPVLFRSLQ >KN542021.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542021.1:3850:9879:-1 gene:KN542021.1_FG001 transcript:KN542021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAASVKGGGGRRSDEEAPGRIAGNGAGNVACLFTRQGKKGTNQDAMVAWEKVQQQNVTYLVPLNYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPSRLCDLIYEDCGDSPTSNSDVSTLEENLSPYADAECRSPTLAGQKEHQEFFNAMKESFRKAFKNVDKELKLQRNIDSICSGTTAVTLIKQGHDLIVGNLGDSRAVLGTRDQNDKLVAHQLTVDLKPDHPREARRIRRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPDVTYRRITEKDEFIVLATDGVWDVLSNQEVVDVVASCSGRFAAARSVVDLANETWRFKYPTSKTDDCAVVCLFLNKYEVTGGLSGQPGYSPRMPALSGITRPNSKRVTPDDVDDGSDSNVSGDERSLDGFTRLNTLLALPKFGDTSPTKK >KN540596.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540596.1:3001:3804:-1 gene:KN540596.1_FG001 transcript:KN540596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGPQENCQCSFCHKDFEGRSSCAEHELQCKNNPDVHSQTEPSGNAQGGIGSLDVHVPRRKRTARNQPLPEQHLKKPASDYAQGGTSDAPETPPKPTTSEVKVDSAVNAPDITIPDNKDPSVMQKQKKKLDLNLQPHSDSSDQEEQADNFSSINDLSTIDTNSDKKKNTITGVADTNIPDHKDPSRMQMQIKLDLNLPPHDDSSSSMDDLKTLVPTDTNSDKETNTGNGGVDTNIPDHSDPSAMQTKNKLDFNLPPRNDSSDSLV >KN540596.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540596.1:29058:30153:1 gene:KN540596.1_FG002 transcript:KN540596.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAGHGDLPTAAAGGGMLAVDLLGPAADAGTVTEEGYFVFVQQLRRRRRRRNKKDIKIKKKKKEIGGMGAHGGGSVGERGVMQSLRN >KN540596.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540596.1:11810:19948:1 gene:KN540596.1_FG003 transcript:KN540596.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQQPKDSARRRAGSLTPLSMSPCTKFVEQQAPATVAATKRALSSRAPQYGEFSGRRLVEVQKLRDYVVVVVRHQTIKSQEASSWRPVLPLCAKLDRRLTGSSASAWREADGLERGCTEADGWWPMRRQLENRRRKSTQRAVGWICTTVGDRRHHRAPRPHPMTTRKGLRGGFLLAEGVYCACALLLHALGFGTSVCSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHSYHLMLNYVTNLLMQRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEMHMFNSCFCSCKMCCNDLQLVLLDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGASASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >KN540596.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540596.1:6407:9568:-1 gene:KN540596.1_FG004 transcript:KN540596.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAQRRVQIHRPRRPISTLAGHTLSPRALRLQPEKRPPVGVAERSKRPPPDSYAELDLVGGIERSSRVFPRAIRTHAPPACSASIFSTRIGETRIRKHPDMAPRGKPWKHCCNKCDKSFRSGNALGGHMSCHRSVGNQPKSTSSPPTVVDLRMPLLSSCDDNLLLLPPETQCQMCSKVFSTSGSLREHMMMHGGEKVVVKAEEEAAGLIEALGIADSMQDVMVFSSVKRKRSFRSKRQTPALSLEEIEAADALLLLSGCFDKTSAYEDCYLGDIEESSLGSIALTEVNMNALDRCLIRSVDSKKPINDNNSGYKDCYGQSDKENCLTVPKEEMDLNDFDHELVRDAALRKPRTDNLDEEMKFGDLPAAAMKDNSHRCNTCGKSFGSGQALGGHMRRHYVRKCNHHRGVADRAGSVLMKVQKLKLRLDPILFDVTLPALTDGDCCISVGVKPEPQLWCVTSNLQ >AMDW01038791.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038791.1:118:660:1 gene:AMDW01038791.1_FG001 transcript:AMDW01038791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LNYLKKQQGNLIEQMRSLKMSEQSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >KN541174.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541174.1:4895:6007:1 gene:KN541174.1_FG001 transcript:KN541174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNSPGMQMPPIGQMPPAAGQMPSANPQPGQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVSRLLWAYSYFVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAIAQFPLFFWLGNIGA >KN541174.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541174.1:24703:32859:-1 gene:KN541174.1_FG002 transcript:KN541174.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding IRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPRDKNEENWHLIKPRLENPSFRPSKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEVQVCNYVRLFTRCLLIDGRRFSQNEVIMHGSHALWIKPRLENPSFRPLKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCEMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQPRC >AMDW01014999.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014999.1:1:204:1 gene:AMDW01014999.1_FG001 transcript:AMDW01014999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMGKFMRKFPLPESADLDGVRAEYKDGVLTVTVNKKPPPEPKKPRVVEVKVAVAGAGEPKAKGK >KN541174.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541174.1:10075:10878:-1 gene:KN541174.1_FG003 transcript:KN541174.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDKEAAGEGATPRGADWEVVTLTASAYAAAPGPGGAGDRPAAETKGLDASQEGDQTKGLDASQEGRGSENALFMSGHFVFPPSEHENLPIDAGFDDIQHEKDAQEASTSVEDEGFKNVGGNYGAGSERIQFYDEGRNLSANDVEMMMGDAAEHGSFHAQDEGHGLDDDNDFDDSHDKSDLPSESADSKSRDSGAPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVILGRWHKDKLHLKNLKWRSGSTVRYIKILLAFQF >KN539367.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539367.1:33726:35292:1 gene:KN539367.1_FG001 transcript:KN539367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQSTEKVKHSSSTPIPSRVGPLDYQSAVMCNCRVKAARWISWSADNPNRWYLKCRNARIRAPHRSGYRLGYWLSDLVTRDIGLDLLRSTDAFALL >AMDW01057493.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01057493.1:609:1298:-1 gene:AMDW01057493.1_FG001 transcript:AMDW01057493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQEGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >KN539367.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539367.1:108603:112308:1 gene:KN539367.1_FG002 transcript:KN539367.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKFQTWPPACCSWLPGAALRGMGVSGKVQTEGGNHYQKEILVAVILALAAVIVTVVSAIYAWTFWKKARQALDSKDKKLSSTTKGHMLLPMFGKLNSMKTSKKEVVAMMDFSVLESATGKFSEKNILGKGGFGCVYRACLDRGVVAAVKKLNCCRQEVEKEFENELEFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHVRFMALKKNLCFLTSVGPSNGSALSWYIRLKIALDAARGLEHLHEHCNPLIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNHNKADLNPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGDSHCQSIVSWSFDTAPELNYSVCASKFALIYFYPIGRLPVAIPQISDRTKLSNIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVEQPRQTG >KN539367.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539367.1:86005:86951:-1 gene:KN539367.1_FG003 transcript:KN539367.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRLAQPLYVKNFTTIDGRGADVHVAGGAGIVLYHARDVIVHGLHVHDCRAQPPGRVVVPGGAVQPSGSGDGDAIRLVASSKVWIDHNTLSRCEDGLLDVTLGSTDVTVSNNWFHDHDKVMLLGHDDGFATDRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWRDYAIGGSMGPSVKSQGNLFAASGGAGDNKKVTRRMPAVARGGGGGKDQWHWHSVGDAFENGAFFRQVGNRVRPNYNRHQAFPAASAGDVRALTGGVGALACSATAAC >AMDW01031755.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031755.1:50:317:1 gene:AMDW01031755.1_FG001 transcript:AMDW01031755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLIDYYNSSTIRDWAGRATTFQATAAIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYA >KN542157.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542157.1:17158:17499:1 gene:KN542157.1_FG001 transcript:KN542157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSSPSAAAAALSTAISPPIRCSIAISPPLQPLPRRSHPIASPVPRACCRVRVDPIGSSVEVAPAREAKPIQHLIHLLAPPRSLLLTPLDINHRPPATAPAPCCSHDCDDK >AMDW01079260.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079260.1:45:683:1 gene:AMDW01079260.1_FG001 transcript:AMDW01079260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVEELGDLVVDESGAFELPCFMRVTKITLHLGFLGLSLPPSGVFAELRELHLVHVQFNGELTMDDVMLPSLERLDIRHSSGLASLTLRLAPLTQMTLYNVRRLRRLNAVLPGLEVLSVTECFLEDLEGVSIVSEELEDLRWLDMYQPGLVYFKRMPRLRMLSPPAVYPYGLQNVFFNRSCQMLLNLCPSIDGLLLFVEIELVSASSSSVSVK >AMDW01036590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036590.1:14:595:1 gene:AMDW01036590.1_FG001 transcript:AMDW01036590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELINQLSVEEDFVTTYLSDGQQTEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIEATRK >AMDW01018278.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018278.1:15:203:1 gene:AMDW01018278.1_FG001 transcript:AMDW01018278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKS >KN539676.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539676.1:87665:87940:-1 gene:KN539676.1_FG001 transcript:KN539676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKAEFPGFVPLFG >AMDW01004188.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004188.1:52:198:1 gene:AMDW01004188.1_FG001 transcript:AMDW01004188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FKEADAAEAFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYL >KN541978.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541978.1:18598:19584:-1 gene:KN541978.1_FG001 transcript:KN541978.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLTYMCFGKKLDRRRVREIEAVQRELFSSYISFQVFAFCPTVTKRLFFRRWQKVLSIRRRQQDIFLPLIQERRKRIKIGSMDGGLDCCYVDTIISHKLPKEAGDRRLTDGELVSLCTEFLTASVDTIVTALQWIMARVVEQPEIQAKLLDEINRVVSIDKEHVDEEDIKSMAYLKALVLEGLRRHPPAHFLLSHAAVEETSLDGQYRIPAGRSVNFSVADVARDENVWSRPEEFLPERFLDGGEGAGTDLTGSREIKMMPFGVGRRMCPGLGLALLQLEYFVANMVREFEWGMVGGGGGINLAERPEFTVIMEQPLRALVVPRRE >KN539676.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539676.1:70589:72461:1 gene:KN539676.1_FG002 transcript:KN539676.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) UniProtKB/Swiss-Prot;Acc:Q9FMR5] MAIVYAVVARGTVVLAEFSAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRSPPPTAADGLTFLCMANDTFGRRIPFLYLEDIQMRFIKNYGRIAHNALAYAMNDEFSRVLHQQMEYFSSNPSADTLNRLRGEIHTVMVDNIEKILDRGERISLLVDKTSTMQDSAFHFRKQSRRLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLPSCRS >KN539676.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539676.1:51302:52271:1 gene:KN539676.1_FG003 transcript:KN539676.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVVALKRLIGTDEGGRFSRDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPTVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSQAAREVLSGLLAFDPEKRMTAAQALEHRWFAEEPKKAEFPGFVPLFG >KN539676.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539676.1:6472:7249:-1 gene:KN539676.1_FG004 transcript:KN539676.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSKSNPRVFLDIAIGGEWVGRVVIELLADKVPDTAENIRRLCTGERAGRSGKSRLHYKGSAFHRVLPDNKIE >KN539676.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539676.1:46667:50595:-1 gene:KN539676.1_FG005 transcript:KN539676.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVRKEQGPRQCVAGTVRKGSIANGNGIAMAVHDEIGDGGAWRQQRWHGRWDPASSSTSTFGSFYQDLAGSSWRVGQATRKRIYHNTLADMPWLRRSYTKMLADRGYTLDPLQLLYQISSASATNDQTRSATQLVPSALTVGKANSKSRTSRSVMWVLAIYLPSMFIAGSTYLAYDLYIRPFDGASYPWCLLAVMLWGVYMALVGVVRQYMDLFLPSAPAAVRDTLVDVGWLWVGIPVFFVDYILALFGHAWMVITMICLLGVLIAALLVLWESLVRTYGK >KN539676.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539676.1:75736:77347:-1 gene:KN539676.1_FG006 transcript:KN539676.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKRRRYNFGSADDYERLDVVGQGAFGVVLRARDRRTGKVVALKRLIGTDEGGRFSRDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMKAAEALEHRWFAEEPKKAEFPGFVPLFG >KN539676.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539676.1:15596:45102:-1 gene:KN539676.1_FG007 transcript:KN539676.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPALLPLYVGGCASYAAFGPRSTSVAVEKMEKKAHGTCSANPRLGSAASRCCSRLPIPKSKKKGIARGISILGFPRTTTSKTASASLWWDPFIDLSDDLDRAAAASPSVPDALAERIKAHHAWLRGSVSMFVKPSDASRGALDASEVVVGEHRLAVKPELKAAALRLSKCMNLDEVQSYILVKRTSENTPTALVADTEEFLRLVSVQYYLERQCLLKCIRRIFVHANDCSDSIDAVREEASVLVREEVEQRLLSIVRDSLAPAFSVKGGAELTISWLEETLIEINLIFDILFLFFYDNLSRCNGGLWIMLCSIFKDMLSGSYDIGKFAVSVEAKNSFHYAKAQLLFILIQTLDFESLLRMVCDEVPFSGGYSTFSVVDILEMDVEVSKLPEFAAVESGPLILAWAVFLCLVMSLPGSNTNLGPVSGFRGILRTFISAFVASYEISYQTEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRFNYLERMNGVTTLYAVPRSDTDNVNYLDQIEIHSPISIFGIEGITIPGGSHGYILKVLEDDVALVRWEDLCLALLHADKSLAVQASQNLGYIDKHVRIDIAKIFCTSIFKYVEDFNNACVMSKTLGMLAEMLSCVPYHVFNVALDCGFFITQSGGASSDWLLSGALARMLFATSEDNGDCSSLTTTVLDFAIQVLRKGAAADDIISSFIIFSVQYIMVNHMNWKYKSYSRWKITLKVFDLVKSCIQVKSFSSKLGGIIWEILLYDSSIHSVLLHILSMSTQLLEHSHGSYCHDLKEIEDIQLVLCCGFDIVFYMLSNLPEFEVLSTYVNSEKKKSKRMLRRVGSKSAFRYYGFVVLVKTIAFRHSCNIIDVLSEFSQPSIEMHTFIIFHLQLAFIKILSQSHYACSNSEDNNRTSNKAIQVAAARVFSMLCFTAYKAQPQLMENAYFVVNGSEIWRLQTSISCILDEVDKVNEVVAIFNLLSSAARYQAWEAAVSIANAAQRADVALVRLRVHLPGEQSTRAQADSDNSAHEQSSKYFVLNPSGSNPRLVEQILGYIGRSTELMDRSPSILSGVLDLLKALWESGAQFIYILEKLRSSRTFWENLSCCIRAAFASYPIDSVETVDEKKSLRYCCLGTIFEIMSYELFLQGKLLTETKTSDPAPVGSKEQKEPSVAPCPSDIVLKWFDSTTMEDLVNHLSSNGYQNDLLHRAKVASCLCIIRLLTKLSSGDTGSLSFSLVKKIQLISSKLLQHRAFVALLSQYALHGYSIQREADMKRQNQKRQFAKITHRTLWNSMICTTIILQHCFEFDFYLLEKCSGEQDITNLIISDLYYHIHGELEGRPITPGPFQELLCFLLEFKVFEHNPSEQLQKSFPAANGVSLFDVPHIRDELGLELWNHSDWKTYKEVAEKMLDIMHKANLMKCQVDAKLCALRSFITFLSVCTGTSSYKKFGLPGGGISITTTQSAVRCACKSLQSAVDSLPPEVDNSGVLFPPLSGQVELLLTITRILLDHAKQSKSSRHLYPVIVLLIKTSGASTSFLFNLMPSSPALKQPVKSLLVLLLSLFEFIYKKVDMKDGSEDVNIFGELSLLSMSLLPVLCKLAESIEYFDLAIASMDIILKGFLPSNVWVPILQKHFRLQVILQKCQSGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPLMSSYLSAQSVNTHQSKKRTLLQKSQTSLSALSLTENILTLLCILAKYHFPRDTGMKEVDSELREIIIHLLAFISRGSERTGDSPNWNLSFGCPPIIKEEMKLNEEPPLIRSKYGWFRFAASCTLSTPSVSGPPNAGLSLVIRDKNPADSDSMKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVRRAEELEFLDLAHFPELPMPDILHGLQCRFKFSAASEKHSSFKPLVRWNGSSHIIVDVAPDWVHIAEALGKAATPRTPLFVNFCANLFAKSVVPGMGIRKTGSSS >KN539676.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539676.1:62227:62628:1 gene:KN539676.1_FG008 transcript:KN539676.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHQWFAEEPKKAEFPGFVPLFG >AMDW01039708.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039708.1:136:687:-1 gene:AMDW01039708.1_FG001 transcript:AMDW01039708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFNLFEEESDDPPAKNGVVDDPDTTTTSDCNTDMNEDLVKREEGMNFHCDPPLHEHTGIWVPVSVPPMTKHDHEEWHKGFGHNGDYFPEDEFNWEIDEENKEMTMWDVFSEMVVAAKDKVISVASYDLGRRGMSMLSKFFFQEAWKDMAQTLADANAGIANELLETERTIWLPDSAASACMLCN >KN543335.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543335.1:107:744:1 gene:KN543335.1_FG001 transcript:KN543335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFRTESLAVSQFLLFLATTLGELMLMLKRLPAGGVAPGVVAASELLRKASLVVLLVTVHAMAAELLGEDVVLLCVPELVPALLWFSLNIDRGSQVITVDEIKSHRNGLVLLGAVAAAGFAYLAFFMDRSGVSSGCVTGTNIGGGCALRPA >AMDW01018498.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018498.1:34:279:-1 gene:AMDW01018498.1_FG001 transcript:AMDW01018498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQQQ >KN540022.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540022.1:52524:53567:-1 gene:KN540022.1_FG001 transcript:KN540022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIEDEVRMYYDDIRSSPFPDKGQAFCEMMMTDGCFLLITLALLSDENSSITVSSNWDNLFWWHDILLYANQLPFVVVRAIYQLIYPGMNGDVPLLEIGRYIKYGLDRYTKRKVSDPGNADHVLHMCHELLKPTDTDREGDDHKLGRWRRATEYSELLVQFKERDLDSEGGNGDFQCISDVRVRARGRVVEIPKLQLNPESWMLLRNLMLLEQMNDHLGGHVTAYCNFISQVASTSADVSLLVRRGIIVHTEANHEMAAKKLSMLCDQIIYDPSKDYLKSDWHELDAHCRSWSWLLWAKLFLHKDWKNPLVTLGALAAIAILVCAIVQTWYTVKAYKDQNKHPGQS >AMDW01032649.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032649.1:146:271:1 gene:AMDW01032649.1_FG001 transcript:AMDW01032649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WAHYPNIHFGLSPGHEGGKKLVDVDPIPTAPSSKKIQGFYS >AMDW01029276.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029276.1:2:385:1 gene:AMDW01029276.1_FG001 transcript:AMDW01029276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGGSGGANGRLPKPSSGEDELVLTPAPRFAAERNDDAPDRPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTK >AMDW01010701.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010701.1:74:196:1 gene:AMDW01010701.1_FG001 transcript:AMDW01010701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPTTRPYLDQPDFMRMLRDVQRNPSSLNNYLSDPRMVQ >KN540260.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540260.1:7693:8184:1 gene:KN540260.1_FG001 transcript:KN540260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDALGATVTGGGATHDDGGVVSARGGGVDGSGACVGTGDGAALSTRGSVVGSDGEGVGTGEGGGGGNAVGGGGGSATGGRGSGSVGGSSEGEGGSGPVDYGAGGGGAEDEEAGGREVDDEVAEGSVEPADNGDVTVGVTLSTGALLSRQPLHVGGGSAGV >KN540260.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540260.1:22641:27824:1 gene:KN540260.1_FG002 transcript:KN540260.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPTIISLATKIHELVKKDGEIEDKLKRGLANIKNELDMMNSVIMDKSSKAGAIDEQLVVKLQDLAYDVEDAIDLMVIDTNKTNKAPMHLGRVLRIVGIGDRRSQYILNIDDYQKRIDDLTNKWKDFFKNGSSAAASCPQGQGQPSGDGTSADAANRAASAVVVGGRHHLPPVGIEDPKKDIIDLLRHVDGHPVKLRVISIVGFRGVGKTTLAWAVFKEHGSLGEPPFDCQAWVQVAGQPAAAAHDESTNKSNAARLLKETLCQLRPETNPSDIIIRPNDDTAVSYTILTLCDTIRTFLVGKRYLIVIDDVRHVDVWSEMKDAFPTDRSSRIIVTTGVQSVATECSLGGFVYRLRGLNEKDSDELLWKLVKCERHELPRDVQSHSGDIVRKCDGLPLALVGVAEYVRPKLRALRQGKLHCRDIARDLGMYLADGRYTAFQRARRALVDSYEGLDSHGLNLKTCLLSASMFPKKRGVRRKSLVRRWMAERLVHYDDKDGRPVNIVALEIFEELVDRIMILQGADDGGGNHPAVLVGEPGSTVKKTNKCSVHGVLLDFLLHESATKRFARLIRNGERLDVAAINSNPARRLAVVHDTDTDSASGDTSRRAKLADMVNGLDLSRVRSLTVAGTVLSDSDLKGCKLLRVLDLDGCSGVTDGVLRTICKLKVLRYLSLRGTDARVLPQEMAELRFLETLDVRDIVMPVGAEVQVPSDDVITVTLPWDVLRLPYLKYLFGAFELPRDIPRRRSAPWTTAARASDAAGQLDTLAGLFIGNGRTELKCLETLLGQMNRLKKLKIWWKWNKKGVTAESPRDLPTAEITLLLVKFLKKRCFDLDSLSLDFGDQSLDFLNFPMDIHVPCKLRSIKLRGKLSSLPGIIIKIPSDHALTKLYLSSTGLSCEALLAPKLPGVEIVAGGEEEAVAMQHLTTLQLLCGDVSGFQAADIDRFHHLEQVVLLKSSLDEGATKAWEAARKNHMNRPRIDTV >KN540260.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540260.1:17241:21647:-1 gene:KN540260.1_FG003 transcript:KN540260.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRVTSSMGVMFNLLSKLNTSMDQPGFKDVRGDLISLKDELGNMKALMHKFATQDESMDLQVKEWMRQVREVGRNSRRRKLAELIKELKDRVKEASKRRSRYLIREDWDDAAIDNDEPVDLGPSNVTVDRQLLYGLDGSMLVGTNAPVSELVGKLQAGGEQRFRVVSIVGAGGLGKTTLAREVYRTIHGEFDCCAFVSVGQNPHITAVLLNMLHQLDPQQRLVDDADQQPMDEPTVVGKLREFLEEKRYFIVVDDIWSASTWRDIKCALPENSTRGSRIVTTTRMNDVAKSCSGRPIDFTHLMKPLNEADSKQLFSSRLQQSLPELEACDEVPEEKLFRHVWKICGGTPLAIIVMLGMLNRKSPDWFDHEDNVVEALQKYPALQGMRRTLRICYSDLTLPVKTCLLYLSVFPEGAAMEKKRLIWRWIAEGFIPDAEGDKATAPWETAESYFNDLVTRRLIQPAESGDAVRVTVHNVVLEFISSVAGEENFVTSQVMLRSKPRDVVRRLSLNGGGGDQQGDVDGGESATEQEAPVNLSQLRSLTVFGALAKSMMSSIVYLQLLRVLDVRDATGLKDEHIQGIERLFFLRYLGLGGKSIAKLPEKLKELQNLQTLDVRETDITELPEAIARRCSKLGCLLARRLAVGEGMESMVQLQELSMVSVTDAASLDRMTKLVVSLNKLRKLGVSWSFDGAGDQHAMAALDGGKGKLAASLQQIEKCGVESLLLDVVVGDGESKTRCWSLDLLVESWAPPRRLQKFTMRSPHYYFPMAPPKMACHESLSHLEISIAELRKSDVDVLCSMPSLFYLKLSTRSSPLEAEKVVITSEGFQCLQVWWFKCQDGGLGFDFGDGAMAQLLKLDLHFTPAKKAKLPGIGNLSSLRQLHATVCCGKDDSEFKAAEETIKQLVSNHSNNPTWEVTMEFDKPTAAI >KN539698.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539698.1:55825:58044:1 gene:KN539698.1_FG001 transcript:KN539698.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGFAEIIRRGNPHLMDNSAVVIMGHSVASYAMDSHGRSSSCLDHLGSSMENNSIHGKRGNSRRSGHGVSAQDDGCGLVLGLGPSPEMGSSAARRSKAPAPATLFSQRSFSFTEPGVLSLGLHRGDHGGATIQHLEEAPAGNIISFAAAVDEGSTSARRSSGGYMPSLLFAPRPNASAPEEARHDVVADHTDNTVSGGGARHGHARRRVVRQLSPEPEPEPSATMTETSFDSSLRA >KN540378.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540378.1:5048:8047:-1 gene:KN540378.1_FG001 transcript:KN540378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMRVALLAMLPIILVDTQSMAAPIQCLPDQAAALLQLKRSFDATVGGYFAAFRSWVAGTDCCHWDGVRCGGDDGRAITFLDLRGHQLQAEVLDAALFSLTSLEYLDISSNDFSASKLPATGFELLAELTHLDLSDDNFAGEVPAGIGHLTNLVYLDLSTSFLDEELDEENSVLYYTSYSLSQLSEPSLDSLLANLTNLQELRLGMVDMSSNGARWCDAIARFSPKLQIISIPYCSLSGPICQSFSALKSLVVIELHYNYLSGPIPEFLADLSNLSVLQLSNNNFEGWFPPIIFQHKKLRGIDLSKNFGISGNLPNFSADSNLQSISVSNTNFSGTIPSSISNLKSLKELALGASGFSGVLPSSIGKLKSLDLLEVSGLQLVGSIPSWISNLTSLNVLKFFHCGLSGPVPSSIVYLTKLTNLALYNCHFSGEIATLVSNLTQLETLLLHSNNFVGTAELASLSKLQNLSVLNLSNNKLVVIDGDNSSSEATYPCISFLRLSSCSISSFPNILRHLPEITSLDLSYNQIRGAIPQWVWKTSGYFSLLNLSHNKFTSTGSDPLLPLNIELFDLSFNKIEGVIPIPQKGSITLDYSNNQFSSMPLNFSTYLKKTIIFKASKNNLSGNIPPSICDGIKSLQLIDLSNNYLTGIIPSCLIEDASALQVLSLKENNLTGKLPDNIKEGCALSALDFSGNLIQGKLPRSLVACRNLEILDIGNNQISDSFPCWMSKLPQLQVLVLKSNRFIGQMDISYTGDANNCQFTKLRIADIASNNFSGMLPEEWFKMLKSMMTSSDNGTSVMESRYYHGQTYQFTAALTYKGNDITISKILTSLVLIDVSNNYFHGSIPSSIGELALLHGLNMSRNMLTGPIPTQFGNLNNLESLDLSSNKLSNEIPEKLASLNFLATLNLSYNMLAGRIPQSSHFSTFSNASFEGNIGLCGAPLSKQCSYRSEPNIMPHASKKDPIDVLLFLFTGLGFGVCFGITILVIWGSNKRKQQA >KN539650.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539650.1:79211:79447:-1 gene:KN539650.1_FG001 transcript:KN539650.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKKYMASGLSPEIKLKMGGNRPRKEYQPQKNGQQTTTDPG >KN539698.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539698.1:17085:18467:1 gene:KN539698.1_FG002 transcript:KN539698.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSAKINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKMVSQAWKAAITSTELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPSFRKWQRLPPMPSFVSEEESTGRTQSSWFQMWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYDPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWETMADGLGDGWPARQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >KN539698.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539698.1:60086:61104:1 gene:KN539698.1_FG003 transcript:KN539698.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLTLTVLAFLCLVALGLSGRANANAAVGRKMVGVYELKKGDFSIRVTNWGATLMSVILPDSKGNLADVVLGYDTVAEYVNGTAYFGGLIGRVANRIANARFTLDRKTYRLFRNDGNSSLHGGHRGFSKVIWTVKEHVAAGDSPCITLYYHSFDGEQDSN >KN540378.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540378.1:41891:43184:1 gene:KN540378.1_FG002 transcript:KN540378.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRSTGGRRQLAALLVLMLFVLVAALLEAPVMASTARVLLQSGQLPTPYPTCNPGQYPCPSQKSAQRHATRSTKIKKICPKL >KN539698.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539698.1:68243:75329:1 gene:KN539698.1_FG004 transcript:KN539698.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGSSDFQRLDASIGGGCTGGARQVFGEMPSWLGAGAGAALRVHVSQVLYPVTGEHTHPFLGDDADMMPTKCSMLGPSYATTTSGAKSIPAATERVFPATKASLAPSTSSTTMVTPAPSTETKDVGDGMDKAVLKSEETTQDLYTKMMAMIDKLLETCRDTKEDYTVSVDSNGDAAAQSVNIDPVPILLEVSNEANSTHLVNTNKLCLVKVKSTKDLTKRKKEKVDGDAGGMVTDDCVVFTNVDTKLISVFRPFRDVSLILCRSNYIAVANLPAVSSECEVCYDDFVLGADFTARLLVVPSWRPAGPLDFRFLPWPDVINQGSGGVVVKLLQPWPPPIHAEVKAKKKALNLYGQKLEIQIIVTVCSVPKAAIKGLQLLGERMLQEEQLKCEVVKSSWYNFSNLLVGDIMDTALPMQSLRQLILSYGLVQSQNENSVIQEAMSCCQFKFSANYVMSPSQWRKDIADSPADKGFHFQEMIKQQIDGVDKRLLYYHQISTVFCSVSKDVVYDVTWTPIVPSKWIHGVAIGRIGLLSTFSLMHFLEAWTMQLAAKLGLIMFGLDNLPNHSVGSIMAMALLLAQSLVQIVPSCILEMSMFRCSQVQTQIENSIFPANCFLGIHFQKRNDNIRFQGMNEKQTVDIVETSAFQEARFIEWAVYVGNTNYERNSTCLTQQFITGWPEYSVRAELVQFQRGKVTITQYHLHLQAIEEFFQILLEFVHSDRILQEQVQSPTEVNTKESEIPRQRTENDRKISARIKRSHQARRRQRHEDQEGRVHGNVHFASICPPPVSWLELKHNMEVKLDLNLDDIEKSIVIPRRQETDTSGNPDKVDKYLVAAKNLTRILNLEHPVLTETGHLRDRARSLHGTTISSIITEFCYLKVWRVSPLRRLGYLPGPIWESSVRSTFNESISATVSSSSSSSFTCSGSTNGSSDNNQASLEDGPDKRLACTGFINIQSVSVLDDIASIITEGGYQQLLREAFDRHYSELARALNYAMPELLALFSGQTEQIVLAEFRGLIDRSSSTVLQLFMELNNLIKSQRLVMVDIGVHHITRHITEYMRLLFEKKSTIYQMLDSKPNAFGELVMGLVSSLESMLEMNSRSLVLQGQKQVFLLNNLHFMIEQVKRCIDSGLILGESCLVQREDQLDQLITAYIEASWDPVISSFEKRTQVAIILWPHQLFDKFNSSFERIYSVQKTWKVTNPNVRLKLREAIIQKLIPVYQMQMGNQSEKKQMSARYSVEQLESQLLEMFEG >KN540378.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540378.1:37776:37982:1 gene:KN540378.1_FG003 transcript:KN540378.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLKATGGRRLAAVLVLMLFVLAAALLEAPVMASTARVLLQSGQLPTPYPTCNPGQYSCPPPTTMP >KN539650.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539650.1:16274:18885:1 gene:KN539650.1_FG002 transcript:KN539650.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >KN540378.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540378.1:13220:14014:-1 gene:KN540378.1_FG004 transcript:KN540378.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASARGAGLPAPFIVVVSVLLLGSLPLHEVAAAEDEDQIGGGGGLEYGEK >KN539698.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539698.1:12135:13734:-1 gene:KN539698.1_FG005 transcript:KN539698.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRGTRWSLTTCRLLPTRQEPDGGGNPEELVAEVDRLEQKAASLDRVLDEQCDGIQKPNAEASGCNVEGEGTAAKVMKECVQQLLNVKKQK >KN539650.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539650.1:64209:64538:-1 gene:KN539650.1_FG003 transcript:KN539650.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKPPSPPPPLPPPPPPQEATYTAIARGSVAGSSRPMDRSSEPPSPPPPLPLRETVDDAITRGSTVGCRGYRQIGQSTSSVAVRSVQPPVLLRLLRGRVEREGGEAGK >KN539698.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539698.1:62200:62418:1 gene:KN539698.1_FG006 transcript:KN539698.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELWADQPGVQFYTSNGLAGVRGKGGRVYGRYGALCLETQGFPDAVNHPSFPSQIVRPEQVYEHNMVFKFTF >KN539650.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539650.1:50377:50613:-1 gene:KN539650.1_FG004 transcript:KN539650.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKKYMASGLSPEIKLKMGGNRPRKEYQPQKNGQQTTTDPG >KN539698.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539698.1:9476:12109:1 gene:KN539698.1_FG007 transcript:KN539698.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPTNEQISSEDHVRGARGKRHHRSSEEWSMEHEQREHGRGGGERDDAAEPEAEQPELEQEELSDSESGAESIEISDLKKRMWKDQMLLMKLEGGRPGGVSGGRGGGGTNAISLIDGPNEQMKNQGYQT >KN539650.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539650.1:91067:91561:-1 gene:KN539650.1_FG005 transcript:KN539650.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding YSNSKPNQQGTTNYGNSYKENNAQSTASSYYNGSASKGSSTGRMPEQQNSDHQYYISSMSSNHGQQGGGGAENSTYTSKSIRTKKFPSLNG >KN539698.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539698.1:25032:27253:-1 gene:KN539698.1_FG008 transcript:KN539698.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTDRRGSRYFLKAGYAVIFLHRRGSCQPYCRFLPDDSFLKFFDVDAESKVQVAECHAPVVKKAIGDYCKLLKMVATSISSAGPLGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILIQKADMALNKYKMNIVVANLLATYKEEVIIVTDKERSTIRKMNKDEDLEMQIIKILSQNHSKYICGSTNGCVQSPD >KN539650.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539650.1:28753:32430:1 gene:KN539650.1_FG006 transcript:KN539650.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGQTHGNPTAHEQMELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSEKTNPPTPVPISESPVQGAPHSKNSQSQVEPTKSPSHDDALPCGEPLTPDSSCRPGSPTLSPKHERAAKRRRGSDAGDVTAFADGEFVLPPGIFESSTGSEFQECSMPYSGH >KN539698.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539698.1:87857:88130:-1 gene:KN539698.1_FG009 transcript:KN539698.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEYLVAVTKMRQRANGSEGTNSPAVGTPRSNVVGRGGGGGSGRSVGGSGSESSSR >KN539650.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539650.1:70031:74123:-1 gene:KN539650.1_FG007 transcript:KN539650.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGTSKPVATLGGGGSSSGVSTTGTNQGGDDRATGTSHPVPAMSFTGGLAQSMSHYIYTTSIGQAGGCHKGKVKGHSNSKPKQQGTTNYGNSYKENNAQSTASSYYSGSSKGTSTGRMPEQQNSDHQYYISSMSSNHGQQGGGGAQNSTYTSKSIRTKKFPSLNG >KN539698.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539698.1:37026:42128:1 gene:KN539698.1_FG010 transcript:KN539698.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKRVMRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGASVNKIFDRFDFEPIAAASLGQVHRACLNGKEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFSENFKNMDYVKVPEILWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPISLQHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMATEELGFKKQLTKEEKFEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVIVKANLRVLSALGFILPQDARKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAVQKTIGYGVAAGSLVNLATVLYLNSIRLPATIAYSLCAFFCLQVLVGLLKVKKLDQQERLITGTA >AMDW01075584.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075584.1:274:495:1 gene:AMDW01075584.1_FG001 transcript:AMDW01075584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLSIDCGLEANSSYQDDNSILYVPDGPYVDGGENHKVAAEYASSFQRPHQTLRSFPSGVRNCYTLPTAAGSKYL >KN543265.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543265.1:8913:9281:-1 gene:KN543265.1_FG001 transcript:KN543265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVMETQEVGLVLNDQPELQEDRIVVARNQLMQTVEDNDDELQQGIQVQKFLSYLKELAASETPKHPLFYRMPALNEKIEQLLQTKKLVNKLLNASQVHVAVKNLYPFTPLVLSYYHPRSH >AMDW01025065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025065.1:11:325:1 gene:AMDW01025065.1_FG001 transcript:AMDW01025065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQARERLQVQHDKKKAELSDQEYSRKIDVDVLKLKAAWEKARAQLSTASQAVAATSASIAELRDTHLARQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSR >KN546111.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546111.1:18:866:1 gene:KN546111.1_FG001 transcript:KN546111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNLLADASRIAERCRKGGKKKADVRRAVADGLAALGYDAAVCKSRWDKTPSYPAGKTKPRRYLLLRAESPALTGGGGGRRKPCAGEHEYIDAVVAAETRLVVEVDFRSEFEVARSTKAYRAALQALPPLLIVAVVAEAARQSLRKKGLHVPPWRKPEYMRAKWLSPQVLRCSDKPPPPPPSPPPTPVSLSSFSGEFELRFDAKTPPNLSATAAGDYNNDNDDEVEAKKITVVVSPSPWRPVEPEAASKKRSLPRPKGKVVTGLAAVL >KN543402.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543402.1:513:1608:1 gene:KN543402.1_FG001 transcript:KN543402.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PEVTRLAAMAGKYKVFLVMGVIEREGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGEEPSPDTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLRLVVNDQPHLPVSFTSAAEKTSAAKSDSTTKPY >AMDW01039543.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039543.1:7:963:-1 gene:AMDW01039543.1_FG001 transcript:AMDW01039543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCIIWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKVAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEK >KN543442.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543442.1:4338:5321:1 gene:KN543442.1_FG001 transcript:KN543442.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGLGPPPMLATSKMGAACWTPNNRRQACLRYGAKVTLTMCSTYGPGCATTKPGADSMPVAPKHVFPTTTASSVPSISSAAMVTPVSLTMTKEAEADMGKVEDKSEKTFHDLCIEIKDMISQMLETCRKGKVEPIMGNDSTEVAVVPCTITDSIPIALDASQEVDGDDDNKVVEEYVFADVEAKLTLMPTLFKAQEFSYKAIAATYTTRCQTEDTSIPIPKLAINEGVSSFVYRVDLKPWPDPRMSQGSKGVVVKLPQPWPPVLEPTMSYDVLLARGGIFGGHEFKCWKFKIAAGAFVQVQLRQIVKQDQINLWPSHFLAKARAAP >KN542409.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542409.1:13836:14096:-1 gene:KN542409.1_FG001 transcript:KN542409.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIAAQRPMMSAVVIQLKESLELEEDHGDMGDMENVARDNKFSMSMFGPSAR >KN542548.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542548.1:8616:9321:-1 gene:KN542548.1_FG001 transcript:KN542548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPDSTAPIGCQSCFCDEAAPPSASEGQMQLSIQAATTGRRGRPPFIPHCDGNPRRVGDSSDPSPLPGSAVHPPSTPCNYPGQPGCPH >AMDW01040602.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040602.1:246:608:1 gene:AMDW01040602.1_FG001 transcript:AMDW01040602.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSQPCAYYTQHGFCKFGPTCKFDHPMGTLSYSPSASSITDLPIAPYPLNYAVAPVAPPSSSSDLRPEYLLTKEFSANQSASPGTTCGPAGAMLKAYAPHMLIRPQTSGAGGMVTTHGGEL >KN540759.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540759.1:39201:42120:-1 gene:KN540759.1_FG001 transcript:KN540759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIGPWGGNGGSAQDISVPPKKLLGVTIYSSDAIRSIAFNYIGVDGQEYAIGPWGGGEGTSTEIKLGSSEQIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGVPNGKEFSIPLQDSGHVVGFFGSVLPVLSGDYDCFCPSTAGGTVVHAAHGVALEYAIGPWGGGEGTSTEIKLGSSEQIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGVPNGKEFSIPLQDSGHVVGFFGRSGTLIDAIGIYVHP >AMDW01029483.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029483.1:67:405:-1 gene:AMDW01029483.1_FG001 transcript:AMDW01029483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDDENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDVENLYVGPTF >KN540759.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540759.1:902:1923:-1 gene:KN540759.1_FG002 transcript:KN540759.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPMASAVLLVVCTLMALQAMGADAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQFYKSGVFPGPCGASSNHAVTLVGYCQDGASGKKYWLAKNSWGKTWGQQGYILLEKDVVQPHGTCGLAVSPFYPTV >KN540759.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540759.1:31401:33007:1 gene:KN540759.1_FG003 transcript:KN540759.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAVKKADGKTQQALKVAKAVKSGSIKRKSKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >KN540759.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540759.1:22951:28272:1 gene:KN540759.1_FG004 transcript:KN540759.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKDNYAEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVQGGKVEQPKVGYISIDIITDTLEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMMLLSKPIKGAEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREILKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFADTCKNLVHVFFSQRATSKVPGATDLGLIPRKVTKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKTMSLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTRSKDRIVGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAAATGMQYLENFPERVYKSMLIPLMMEDKRTGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTPDTELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMFWADSIGAKYIHDKLEEWAKRYSDIFKPCSYLAERAANGVPLSAPAKQVKARL >KN540759.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540759.1:5042:6208:1 gene:KN540759.1_FG005 transcript:KN540759.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLLLGLLLSITCLLQVLLAAANPQPPPPPSCNKSDKELRFMFSQWMAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNATGFRSPKPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGYVYLAKDVWWPQGTCGLAISPFYPTV >KN540759.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540759.1:35508:37652:-1 gene:KN540759.1_FG006 transcript:KN540759.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYDKYYKNDIGNNGNDVGIMICPSSQICKYGHPYYDFVMYYLIKFGPSERVKEVSGTHGTLQTLADILTYLKIVTDVTTHEFGVPNGTAFSVPLQDDARVVGFFARSGLLVDAIGVYVQP >KN539892.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539892.1:2787:4162:1 gene:KN539892.1_FG001 transcript:KN539892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGKRESSRPWPPEYGPPDNALHSLGMEFTTITAGEVVGRLLVTATCCQVWEVQIWRIDPSTSECKHLVSTARVTLLCNLPTPEDLKHYEQGFIKKHAKL >AMDW01031863.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031863.1:59:399:1 gene:AMDW01031863.1_FG001 transcript:AMDW01031863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YNPAKFVGRDEDDRDMEANYASIQIEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >KN539892.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539892.1:27488:29157:-1 gene:KN539892.1_FG002 transcript:KN539892.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGAALRGSLATAASAVVLRGRGRRCAARVSCVGRGGGGFGDEGHLRYYEAPPRKAVEAVARDLAKLRAMGIAAGDAAKEKVLSEATELLLEELNRMRDEEGELKKKMEEDKDAMKALKKQQKEAMKAATVMKCDDDDSSESSESSESECEEQMMTMSCVATVTMPQIGISTTVPQVAVSDVAAAPAMDYDKAAMKAMKKREKEEKKAAKMAMKMKKKEKKMATLTLCKDEDSTSCSSESSDSECEEVVRMSRCATITTPPTQQSSTVFPIILPQIPESVTLEPCQDAQISSEPANTMLSTATIAVVEKPMTNRIEVCMGGKCKKSGSLAVLQEFEKKVGTDGAVIGCKCLGKCGLGPNVRLRSEGAAQKKNPLFIGVGLEDVGTIAAGLFGGGDDLGMVNN >KN539892.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539892.1:22742:25766:-1 gene:KN539892.1_FG003 transcript:KN539892.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFPRVNLNKKRLDKEDLTAAKLAQQGNRLLKTGSSDSDPVPLVDYLNTQYYGVIGLGSPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHSRYNSKKSSSYKADGETCKITYGSGAISGFFSKDNVLVGDLVVKKQKFIEATRETSVTFIIGKFDGILGLGYPEISVGKAPPIWQSMQEQELLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGDHTYIPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTTDPQKVCSQVGLCMFDGKRSVSNGIESVVDKENLGSDAMCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFILTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >KN539892.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539892.1:17396:21401:1 gene:KN539892.1_FG004 transcript:KN539892.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVQKQRRHEEELEEERAGGLCAREIKELDFFSSAAAAGAGRDDDDDVLRADGISRSSHAGFMVSTALDLLTSVNDGDEEKKKGESNVHQSKAPIAGVQLLDALAAASPASHRRRAAAAVDGDRTADSDGGEGDENISDGCQWRKYGQKMAKGNPCPRAYYRCTMASQCPVRKQDTPFAHDINE >AMDW01026924.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026924.1:70:219:-1 gene:AMDW01026924.1_FG001 transcript:AMDW01026924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMVGRGGYGEVYRGVLEDGSAVAVKRLSPAAAADEKKEKDFLTELGT >KN539892.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539892.1:30845:36594:-1 gene:KN539892.1_FG005 transcript:KN539892.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFGVNASSSSSGGGGEAVAGTTGKNGGGGGGGGGSVAFHRLFAFADGTDAAAMLLGTLGAVACWMITGERQAARIRSLYLRTILRQEVAFFDKHTNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLVTFLGGFGVAFAQGWLLTLVMLATIPPLVLSGAVMSNVVARMASLGQAAYADAAVVVEQTIGSIRTVASFTGEKQAVAKYSRSLKRAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSTTGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKELQLRWIRSKIGLVSQEPVLFAASIRDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFATLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMSNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELISDPDGAYSQLIRLQENSHDSEDANYQNKSGKKSDSGIRSGKQSFSYQSTPQRSSRDNSNNHSFSVSAAPLEIDVQGGSPKKIAEETPQEVPLSRLAALNKPEIPVLLLGSVASAVSGVIFPIFAILLSNVIKAFYEPPHVLKKDAEFWSSMFLVFGAVYFLSLPIGSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKIRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGINGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKMKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDRKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIVDRKSRIDPSDDAGVSLEPLRGDIEFQHVSFRYPTRPDVQIFEDLCLTIQSGKTVALVGESGSGKSTAISLLQRFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATESDIVSAAQLANAHKFISSLHQGYETMVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMMNRTTVIVAHRLSTIQGADMIAVVKNGMIIEKGKHDALIGIKDGAYASLVALHVSAAAIS >AMDW01038651.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038651.1:338:794:-1 gene:AMDW01038651.1_FG001 transcript:AMDW01038651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEMAGLSEDDIFNGRVTDRWRSFMSGQITRARAFFRQAEEGATELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSVRHCSSLTSS >KN543551.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543551.1:218:2046:-1 gene:KN543551.1_FG001 transcript:KN543551.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLE >KN538710.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538710.1:255032:266904:-1 gene:KN538710.1_FG089 transcript:KN538710.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETARPGESKRRNTDAVDTPSPRAWKRRKHAAPAANLPDDIISEILLLLPARSLIRFRAVCRSWDARLSSPSFAEAYAANAAAHRMTNYKFVFFAPSPNRSTAAYSCTRRTVAVDRLFTVDRLRTDFLCLCSKPCHGLLLFSDARSRRYWVCNPSTGECRRLPQQHRGLTGSSAGLVYDHRTKERKVVHLFFKERTTERDQDQDQCIQCEVYTLQDPSRQWRPANGDVESLTGRAVKALEIEDMVTKVPPVLAAGCLHWLVYPNRGDDVSLEPGQDAILCFSVTTENLRLLNAPASVLHAVHHRRLDENFSAVPIHLAELQGSLCVVHDLRQRGSETTSSIDLWMLRDHDAGEWSLDYRIAVTPILARGVHSPRFITVLGCCGGGGTDRMKILIATSQHKIHAYDPDTGHIDLVLSVPETDIGYQEEESAAAVWFGLYEDSLVRIGGQSFGQKQVLSGLTEILLRLPIKSIAKSMLVCREWCSLIESESFVSKHTSSSSKSLKILMITNGLDGRAFFDFAPVGSWLQAGPAHVSRRIICSKPCNGLNLISTSSDDYLCNPCTGAIRCLGIRGKSPRFNPGCCTDQQSPSRRHAFSVGRNVGFGLDRSTGDHVAVEIGRVDGVLACMVKTSSAETWTACAGKPPVPLSDMPPAHVDGTLYWMSVRTRQQERVVVAFDISIRSFNIVPCEPCLNNTDSDAFLVELDGTLCLVVTNAEADEMEIWAMHRDGSWVDAYMIHLNEHPDYSVKTGQAPVVPVDVSSKDGSILLNTGRALGYYDYKTRAIHNPYYSLDQLKLPHSSLAFPILCQESIARIQDDQLPTRVVPPFSLEEESSGCRGHPQHAGGATPGGCGPSRSVLQECEDGACRNVGVVYRSCCRRVFCDSCGRRCLEHSRLLYLDHDLPASFSDMDMQIQDSSLFLGHPCVPGTDYCYYYSTERGNVVRHVFISLKDYIQSNQSWHLIECGYRIEGKTEVKKVMAYKKLSELTTRGQTWNIKVKVMRLWDSVNSATDELLSLDMILMDEQINESSVYVFSNFKVQESLKYRPVCNDLKITFMYNTKVKQVKESAESFPEYYFDFASIDTLQNRANKDQHLSDVIRLLTRMKPIETRMTRKNTLTHDLQKFVRLNFYY >KN538710.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538710.1:207340:211232:-1 gene:KN538710.1_FG090 transcript:KN538710.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEELGQDRRVLPPAPHGEGFTFSSPTFLICCGFFLFTRWSRAPRPDHGGVRDGRPRTAPVLLVARRHAVQLGARFRLAVLLLLRDKFPELVGAVEVVDPTVAPVERRAMEELGCVVTASPALCLVVEQPTLIFMPYADRVFFENLLTLNWTPDQLGKIVVLGHSFSAMVKMLELSISKQEKCGVTEQREKVRRVLAIQSYVQELELCAEISGLFDNPLLGDEYSDELNRSVYNHSSEKCLNRSVYNHSSEKCICMHCIAHIESVAMIYALPSIFSVHFFQFDPEVDIEHLIPDNCATRVWSYVNVQMNWDAQLEGWHLNPSDAYIEDKHLQEAKSIVKEMHETISDVRSSALYTKFVDHVKKDESISSHISSMLGAHECIQLVIYGLGSFEFDVKSQYQLAFALLLKADNIFPIGDIEIYDPSLSPADVKACFDLGLRVLLVNEQCQRSVDKPTLFFVPGLKFVGNLIESNFTAKQLNKIILVSYGFKNSGKSISAALENRSCGFTGIKGSLALERDRFLWASINYIDEVIVLENFDEEFWGVSELRVEFLDVAADVDMNSNVPRLSLKEKFFLNFELELEYNSFFAFDHVSYFHMHLKERMLRPFKQDQGDCKDDKPQFWGQEFRHRIPAIHRNTWSPPPKGWIMLNFHGTGCSKNRSAGMGGVFHNDEGALSYFIGSLGNVYQTVASIQALEHGLEIMLEHHEPVKKLIVEGDDLTVIRWCNKISCPPARAHDSFLHSYWYMDLMPCEGAGVVAGSSKETNNESDSSSQDASPVKPLNDCSSENDKDEYDGSLQDASPSEPPNDSNCESGKYENSDSLQDASPAELSEVCYNGNGSLQDASPAELSEVSNNGNGSLQDASSSELSEVCNNGNGQDEDGCSSSSEFVIPPGWAQREYIAWRVDEEANQTAIGLARLGTALPDHGVMVHLSTKCDCEHGREMKKGRPDITCLG >KN538710.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538710.1:147542:150412:1 gene:KN538710.1_FG093 transcript:KN538710.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVIYIVLGLIIAADDMMYTGGLKYLPASTYSLICASQLAFNVVFSYVLNSQKVTPLIFNSVVLLTMSASLIGVSKESQGVTGVSGGKYLLGFVLTLGASCTYSLILALMQLTFETIIKKHTFSAVLNMQIYTALVATAASLVGLFASGEWRSLRGEMNAFRSGQFSYLMTLLWAAVSWQVANVGVLGLIFEVSALFSNVISTVSLPVIPFFAVVVFHDRMNGVKIVAMLIAIWGFISYLFQHYLDGKKAKKASSACVCVRSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFVSGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >KN538710.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538710.1:286417:289980:1 gene:KN538710.1_FG096 transcript:KN538710.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASPREFTQEAARQSLIAISRSVPATGEALNIKSPSGAMVRFLRTFSRKKCCSSIAAGGAERLIVDAACQLAAHGHDVHVFTSHHDKNRCFEETVSGPFEVKVYGDFLPRHIFYRFHAICAYLRCIFVAMCVLLWWPSFDIILVDQVSVVIPLLKLKAASKIVFYCHFPDMLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSKFTATTFARTFCSLHARGVEPAVLYPAVSVEQFQEPHAYKLNFLSINRFERKKNLGLAISAFSLLRSVASMLPGDARQEVTLTVAGGYDKRLRENVEYLEELKRLAASEGVSEHVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVINDETGFLCEPSAPEFSKAMLKLVNDHDLAVKMGKQARDHVVQKFSTKTFGDLLNSYVLNVYHQRIE >KN538710.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538710.1:198547:205400:1 gene:KN538710.1_FG097 transcript:KN538710.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKDTANQSGIQFTCGTQEPFPDASKDENVNNRRKSSYGEATISQHFTFSWMNGLLAKGANKPLNEDDIPDVGKEESAQHISRIFSNIIVKGNFPLTVSSICKAAFLLIWKKAALNATFGVLSVVASFVGAYLIKDFVGYLSGDNGFERGYSLVLVFVGAKAIETLAYRQWFFGSLQVYLRLRTSLISQVYQKVLYLSSQSRQKHTSGEIINYVSVDIERIVNVAWYVNMVFMMPIQITLATYILWKNLGLGSLAGIATTAIIMLCNIPFTRIQKRLHAGIMKAKDNRMDMTSEVIRSMKILKLQAWDIQYLKKLKYLRKGEHLWLWEFLRLKAILAFMFWGAPAVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKVSADRIVSYLQEEEIRSDAIEEVAIDENEFSAEIDQGAFSWRTDAKIPTLQDIHVKIHKGMKVAVCGAVGSGKSSLLSCVLGEMPKVQGTVKVFGTKAYVPQSSWILSGTIRENILFGSPFETDRYERTIEACALVKDIGVFSDGDMTDIGEQGTTMSGGQKQRIQIARAVYKDADVYLLDDPFSAVDPQTGRHLYEKCLMGVLRDKTVLYVTHQVEFLADADLILVMQNGRIAQAGKFHELQQNMAFGVIFGAHFCAVEQVCNAKGTSIYLSKHHAESEKIFEVGSNYWMASACHPRTGSKSKMESTQFMASIDQSVLDLETASTLSESTFSVMQFLGTILIISYVSWPVLIIFIPSILICIRYQRYYSLTATELARLSGIQKAPILHHFGETFYGAAIIRAFSQEDRFYRSNLSLLDNHSRPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQGFVNPSIGGLVVMYAWNLNTQLSEATRNISRAEANMISVERILQYTKLPNEAPTITEGSKPPMAWPEFGMISISNLEDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDRIIQEIIRQEFKDCTVLAIAHRMNTVIDSDLILVLGEGSILEYDTPAKLLQREDSTFSKLTKEYSQQSQHFKSSTVMHRMGSY >KN538710.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538710.1:221835:221993:1 gene:KN538710.1_FG099 transcript:KN538710.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCLKTYDIFLDERQPNFSVKTGASHGSATLPLDAAVNGSMILPDIGRTLT >KN538710.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538710.1:271271:277235:-1 gene:KN538710.1_FG100 transcript:KN538710.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MLPENVGDGGGGGGRPVMLPETVGDGGSSGLLCMPPEAPGLSSSGGACVPPETLGVEGGGTRTLAYASGGVCLPPETLGGVSCGGGGERTLVFASGGACVPPEISGVRGGGDLTLVYASGGGVLVPLEEAVGWSGGGSRTLVSTTGAGGGGVRRGKVFGGPRENLGAGGGGERTLVPDIDVCSGGVRRGKVFGGLMENLGTGGGGESSLVSATGVGGGGVRRGKVFGGPRENLGTGGGGGCSLVSAIGAGGGGVRRGKVFGGPRENLGADDGGERTLVSAAGGGGVRRGKVFGGPRENLGAGGGGESSLVSTTDAGGGGVGPGKLFGGPRENLGAGGGEERMLVSAVGVGGGGVGLGKVFGGPRENLGAGGGGDCTLVSAVGDGGGGVGLGKVFGGPSENLGAGGGGDRTLISAVGADGGEILLRLPAYSIVRFRAVSRSWAAMLSSPGYQDDYAAMADARRMSMSKFVFFAASPASPRGATAVYSCDVGPVRRITTTTTDLLFNIDRLRPGFLVVSSRPCHGLTLLADTRSFAYWVCNSSTGEFRPLPRRRCHDLSSAGLAFDDRTKEHKVVHLFCHVSRGGESEAMTIGCEVYTLCTPSRPWRPASGGIPGRLGGVVICALLYEGAATKVPPVYANGFLHWQLYPDKYLDQDLFPDTTAFSLVAGPAVDGIADYCALDSHSPAVPLHLVELHGSLCMVRDLRHLPHGESCLEIWALRDYSASVWSLDFRVAMTPQVARDMHDPRFITVLGCLGGARGDDVGSVERIKKILIATLQHKVHAYDPATGSVETVVTVPEDFAGGREEAVAGIRIGLYEDSLARVGGESCRQREATAAMTEILLRLPPKSIAMCMLVCRQWRTLIESERFLTSHMLANMERKKVMVVTNGRRRENFFNFMPVETWIGPAAKARSDVLVNRRILCSKPCHGLNLISTSSDDYLCNPCTGSIRCLGIRGKFREIDPTVSIDDDRRHVTRVGRNVGLGFDRLSQEHVVVEMSRFKGDPCSCA >KN538710.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538710.1:293750:294710:1 gene:KN538710.1_FG101 transcript:KN538710.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MARERLPSVLAGIEETPFKATALKNLKSCMAGDEAAREDFVACGGIQRGSAEARLHAMSMISKISRASVRDWTAEVDVDDMVKALLELLSDGASAKLSSRALDVLLDVTARYSRGARRAKAVELGAVESSPSTKDKAVKMLRAHGAFWRQYPCFPTDLKDYLKSLN >KN538710.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538710.1:214844:218776:-1 gene:KN538710.1_FG103 transcript:KN538710.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEPNQDQQVLRPTPGKSTEPYYIHGDGVFSQLLQRPDRWKRRTKRSAAKIPSLHRLLHMARRHAVQLGARFRLAVLLLLRDKFPELVGAIEVVDPTVAPVERRAMEELGCIVTTSPVLCLVVEEPTLIFMPYADRVFFENLLILNWSPDKLGKIVVLGHSFSTMVKMLELSISKQEKCGVNEQREKVKRVLAIQSYVQELELCAEISGLFDNPLLGDEYPYELNQYDYNNSPEQCTCMHCVARMESGALICALPSTFSIHFFHLDAEIDMEYLIPGNCATRVWSNVNVQMDYDAQLEGWHLNPSDAYIEDKHLYEAESIVKEMRETISDVRNSTLYTKFVDHMKKDQRICSHISSMLGAHECIQLVVYGIGSFEFDVKSQYQIAFALLLKGDNIFPISDIEIYDPSLSPANVKACFDLDLWVLLVNEQCQRSVDKPTIFFVPGLAFVGNLIESNFSPEQLNNIILISYGVKNIGDSISAELENGNNGFTSLKGSPELERERFIWASINYINEVIVMENFNAHFWGVSDLRFEFLDVATDVDMNSNLPSMCFASEHLRFIPIYLIFLFSLLLFDRGADFAGLTLKEKFFLNFKLELEYNSFFAFDHVSYFRMCLEERMSRPFKDDQDDCKDGKPQDWAHEFLHRIPAMHRKTWSPPPKGWIKFNFHGIGGSKDRSAGMGGVFHNEDGVLSFFIGSLGNVDQTVASIGAIELGLKVMLEYHEPVKKLIVEGDDLTVIRWFNRVSHPPARAHDSFLRSYLHLTSMSLPCEGAAVPAEISKDPNHENGSSSHDASPTKPPNDSNIENDASLAKQFEREYIAWWVDEEANQVAIGLARLGSMLPDHHNRVKVHSSTQCDCEIQREMRNGRLPDITVGENHSILLILM >KN538710.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538710.1:241182:243643:1 gene:KN538710.1_FG105 transcript:KN538710.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRKSKLVVSDGDYTGNTPGSGLPFERLRDPASGWDTEATPVPMLEQQCYTLLGTGWVAGVAGSGTAAASVPSTSSSKLAGIVCCGTSEVNRKGKRKLTSDDDNANKHSIGRRRQLSNKPSSSMAGASAWQAAQKNNNDTSLPSAATHHQVESGCSFVMANTSMAVEVEEHRGRSDWPTTVQRVYSEQKEPKGSGVKISTGIGVLLQTLRGNGDLPSNPYEQVQLRTTEQLRTDTGTPKAQTSISDIPTAILELILERLGATDLRSAINSSFLFARVAMAPCHCRAFRPGGIFMGAIIKRTNSHLLVPQKRMQKSHFKSGIVLMQRFFEIATASRGFVRAADARGGQILMLKDKKGKSLSILRPFHSRQVISLPPSPSVALDEDLLCGTLIPVSNDRMNVVLMFRGLGRQLKIVGKDDGAGVFRTSSIAAIY >AMDW01040626.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040626.1:216:1436:-1 gene:AMDW01040626.1_FG001 transcript:AMDW01040626.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSSSSYSYTSIKSMNEPKLGLWETLARKAKGILDEDGTAHKSDEYTKQKTPRKFDSSTGAQVRWQVLEKQSRESRSRWSFENHSRTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYMLNPAFDTLRPPNLSHDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDL >KN539119.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539119.1:85454:88165:1 gene:KN539119.1_FG001 transcript:KN539119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLLPPFCRLRIEALLYYPITRPRWKINASQDATQETGLIDAEINSYAYSERKNRKYNGAYIDKDGVSRTFDRKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVAKIWESLDNIPSTHNLFDDLVNVAVQFRMNKKWDLIIPVCEWILYRSSFRPDIICYNLLIESYGKKRQLNKAESIYMALLEAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMREHGIPPNATVYNAYLDGLLKARCTEKAVEVYQRMKRERCRANTETFTLMINVYGKAKQPMSSMKVFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGLPQGSSEIFSLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKQRGMSPTMKSHMLLLAAHARSGNAARCEEEMVDAGCYPDAGTARVLLAACSDERQVEQVTAIVRSMHKKPKTLFTI >KN539119.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539119.1:54634:58395:1 gene:KN539119.1_FG002 transcript:KN539119.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLNSAFAAATGPPAQDPPQLPAQGEEVHVDGNAEEDPNEHSSRDPGLDPEKPWHVQMLEKEFIEWNVPQHLRSTNDLVVGGVLIAYTIDKEDGKSEEGGSANLINGKMSFIIEDKPHDILCSFLLVDSLATAESYQSCSLKVNHKRVAKAYFIGYNRSLCKYFVCFERLDRFSFKEDRIDHQQSSSEKKQQPKQRWRKNISEIFEALEALHVAGYGNNYMNSTITFAYGQGNDQDKLLKIVGGCPLPCDDTRTKKSQRVKFCTFLRKIGAENTLGYQAFKVTVQYSDDVEVIMKHPYILASDKERVAAIEPLNERLYDLAESKRVDLNTSLKQNFRDWVWQSMINWDDYDDSLVSLVDYTAVNKAIEEVFPGFISWAYTYSYLFAM >KN539119.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539119.1:13286:15551:1 gene:KN539119.1_FG003 transcript:KN539119.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIASTGRVQDSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFEIMVEMGKDAGHELKAKAGPGFFDSLQ >KN539119.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539119.1:121752:126259:-1 gene:KN539119.1_FG004 transcript:KN539119.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFKYWDDCLDPEDMQLMWADPHVSKEWVDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAELAFSCRDCGHRAYTVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKYASPCC >KN539119.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539119.1:10027:11254:-1 gene:KN539119.1_FG005 transcript:KN539119.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVFTVGTGNVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >KN539119.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539119.1:131426:135879:1 gene:KN539119.1_FG006 transcript:KN539119.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLSAPFRAAAAAGSRASAADPAKVSPSLPFLLCSLSLTLTLTFGIFRARNIEPLRAIATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVTITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVNAKH >KN539119.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539119.1:111533:112312:-1 gene:KN539119.1_FG007 transcript:KN539119.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVATGGSQAYPPPASAYPPQAMVPGAPAVVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNVGGAADTYPYYYVPAQQGPASGMMYGGQQGHPVTYVWQQPQEQQEEAPEEQHSLPESS >KN539119.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539119.1:93555:95107:-1 gene:KN539119.1_FG008 transcript:KN539119.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEEEERERKRRRDKKESRRSSRDEQAEEEEEEERRRRKKKKHGDRGKDKERDSKEKHSKEKEKSKRKHKDDDFKEISKDDYFAKNNEFATWLKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRSAHRWNIKA >KN539119.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539119.1:50017:51930:1 gene:KN539119.1_FG009 transcript:KN539119.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNQGKEEEKVARKQYRKDVLWTRIELRDREEEKNTGRQEHAPLNLTAREEEEEKRNTGRQERALAKGTSREEEEVNAGRQEHSAATGTHREEEEANTGRQEHAAAATTGTNHNQNQDCIHRKEGGAPTSEGQEYQEEEIGEANRKDRQQGHGMGSRGDGTRKWIGGTVTEEKGLPFLLNLLPPTCLQLILAC >KN539119.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539119.1:16819:21849:-1 gene:KN539119.1_FG010 transcript:KN539119.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGRAYQFLADRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLDPPLSVAQNGAAAVSDYFKPKDTGVEVEGIRVEEAFFRGRKLQGATISLPDGYQGYVLEKRSGGKDMKKLEGEVSNFKSRAEFQNITYWNHDTTPSAEDPLPRCFHLLTVANAVVRFVVVLVYATQFFGGLRKPPS >KN539119.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539119.1:66803:78311:1 gene:KN539119.1_FG011 transcript:KN539119.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIAEVTTTSLAEKILVSLAPQLIKGATARKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEVVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCESILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKIKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELVRVLRPNSEPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVSLANHSMFLAPVFALHDKKIGRIILCYPKFDQLLKDWLPAQAFKGRFIPPIWMHLIKDVISCMSNIDNLVASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWRESKYLTVERRELLSSTLNAIRNNIPWTSVTTTDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLKYSETHEWVSVEGDYATIGISDHAQILGGAVDRATDIPTLTTRRVEGIHDWKWDPLHTAII >KN539119.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539119.1:90926:92697:1 gene:KN539119.1_FG012 transcript:KN539119.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLLWASRAASYLKISTFPRAFSTVLKDLKYADTHEWVKVEGDSATVGITDHAQHHLGDVVYVELPEVGSSVSQGKNFGAVESVKATSDIYSPVSGEVVAVNDGLGDEPGLVNTSPYESGWIIKVKVSDSGELNSLMDDAKYSKFCEEEDSKH >KN539119.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539119.1:97422:101039:-1 gene:KN539119.1_FG013 transcript:KN539119.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQQIFVEMTRMRNEMEKLDGGIRRFTGDDLSNLTLADINDLEQQLEFSVTKVRARKHQLLNQQLDNLRRKHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >KN539119.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539119.1:107870:108311:1 gene:KN539119.1_FG014 transcript:KN539119.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMVKLLCMLLLALSLTAHYSDMSMKVLADCQSVNVPGPCSPTTCDDNCKSQIGAGAVGECTSGGCQCTFCTLAPPKKN >KN539119.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539119.1:59814:63353:1 gene:KN539119.1_FG015 transcript:KN539119.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFIELKERHDYVPPEDYITRVLPPEAFADSRDIPEYEVAFIRVPLYELRDPVDKGLMRKRIEEQRRREAERRRQLEKEMRRWEEEEEEAWPWEDDDDDFLRMSQHLSVICSESRGKRGDWPTRTGRRRATPYNEDEDEDEEENLSELELAVENMRRRIHHCNCNHIPRHTLFTSHADVEELFLPFKLEEPYLYFASRCQKSAPSYAHFFPDFNRSPRITSDWLRPSTFEGDLQYILFFHKLMDTVECGNAEDTVLAKAITIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYNFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMDDLVHRLVGRTRAYMPTDAQRECGMFKDWVCQQVDKEYESLGYLYMHFLDNKMRAWFAPFPEVLKDLKYSETHEWVSVEGDYATIGISDHAQDHLGYVASVELPEVGQSVSQGNKFGVVVSVKGTTRGINSPVSGEVVEVNDQLSDLPGLVNARPYETGWIIVVKISDSGELNSLMNDEDYSKFCKGEKDKY >KN539119.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539119.1:25800:29086:1 gene:KN539119.1_FG016 transcript:KN539119.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPDGWMGLDVGPDAIKTFSEALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLADLTTTKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >KN539119.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539119.1:80285:83652:-1 gene:KN539119.1_FG017 transcript:KN539119.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MARGQVENWIEILAILQVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLVILPPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVNTTELFLRFSFLLEKVVVLIVMGKRFMSVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >KN539119.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539119.1:145505:145919:1 gene:KN539119.1_FG018 transcript:KN539119.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGATAMVLLLLAFGVVGEAKTHEYRSHTFKGVCIHDDLWKYCLRVRSRYDGSSS >KN539119.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539119.1:37626:44084:-1 gene:KN539119.1_FG019 transcript:KN539119.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRMTWFYDRHHSLKHNKTERQAILSTYRLAKRPTLSSEGMIGESCIVRTNCFSVHLESLDDQTIYEYDVCVTPEVGINRAVIRELVKQQKDSGLGGRLPAYDGRKRLYTSGPLPFDSHRFLVLLDSIEDSPEESRHLRVRDFVVTLKFAAKISLRTLRNFRGGKPNRESQAALRALDVVLKELPTARYTQFAGSFYSPNLGECRQLCKVLESWCGFHQRIQATQMGLQLNIDVSSSVFIKPVPVVDYVAQLLNEDILLDRPLCSTEFLKIKEALEGLKVQINGNLFNTYHVQDLVHQAASFPVNFSIQYPSLPCLKVAHFGETILLPLEVCIIAEGQCHQKQLNAKHMADLLQVARQPPNERDYNILQTLHQNKYQEDPHAKEFGIKIEEKLVSIKSRILPAPWLKFHDSGETTEFLPQLGIWNMMHKKMINGGRVKSWACVNFCWSVREYAARNFCYDLGFMCRESGMVFSVKPVLPLVIAKPGCVESALRTLHDDVMDILRPQGRKLDLLIVILPNSNGSLYGDVKRICETDIGLISQCCLAKHVLKMNKWYLASVALKINAKMGGRNTILVDALEMRLPHVRDTPTIVFGAHVTHPHPGKANSSSIAAVVASQDWPEVTKYAGLISVQACHQESIQESISCLSIEPLNGSPEGLYFTDKGALLITNDYTEACASMGPDYNPLVTYVVLQKCRHTRLFADYYNANTHDSTANIRAAPQLAPNPYQLMCPVD >KN538702.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538702.1:80289:80642:1 gene:KN538702.1_FG001 transcript:KN538702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRFIWRVVGAERSESYPSLMAVQGASAALAGGASALVTMPLDTVKTRIQVMETDGAAAARPTLKSTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSLD >KN538702.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538702.1:163439:165394:1 gene:KN538702.1_FG002 transcript:KN538702.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >KN538702.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538702.1:55411:58353:-1 gene:KN538702.1_FG003 transcript:KN538702.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57610) UniProtKB/Swiss-Prot;Acc:Q96529] MSLSTGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFNEIDGLESNGVNCDGRILVSDRAHLLFDLHQAVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFEGFEYSKSMLKEEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCIGDLIGVVKAYTTRVGSGPFPTELFGEEGDLLRKSGMEFGTTTGRPRRCGWLDIVALKYCCEINGFSSLNLTKLDVLSGLPEVKLGVSYNQPDGQKLQSFPGDLDTLEQVQMQYDCFHECLGIRSSVKPMVKYEVLPGWQSDISSVRSYSELPLAAQRYVERIEELVGVPVHYIGVGPGRDALIYK >KN538702.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538702.1:190885:195169:-1 gene:KN538702.1_FG004 transcript:KN538702.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREERAVEESLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKVDTSKLSQSRTRYPLHLMCVVQRKERAELLHELLKNGAGIDPLPVLTQKTLILWGDKDQVFPLDLGHRLQRHLGDVSRLEIIKDAGHALQLEGADQVNRFIKSFLLDS >KN538702.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538702.1:19337:24618:-1 gene:KN538702.1_FG005 transcript:KN538702.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHMGELGPIGLETPRGVALLGWRPLLLRHMRTRGVAPDEVSMNTFVFILKDVGRYSDVATLFDNWCNGRFEVGFIDLDYNALDSGGPMQFLLEEMCHGNVDNAGTSGIHGFARISKHDVTIMNRESFNPPSLPDLAASRCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFYTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGYNSPIFYLSLLGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKVINLGRGLLGWVDLWHGILIFNAHDEQPQIQYVPLPLPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRRESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDMGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPETDSEQLVQALKDDELDNGPENIGRFIETSSNDNQPKRIAAVKIIHEMVSCTSWLAFCESCQTSSELEFPTEFFIVRQCLRNTAGYHMTQTCCSENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPRPSQQFSACGTMLPPSSSQPFCSSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPPQQQLSACAVWPQAGTLQQELPPHIPFRAHQVLEQWITKTKLSATITKPHYLLQKHFTKPPNGCTSLKDREHFEASVF >KN538702.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538702.1:139122:145441:1 gene:KN538702.1_FG006 transcript:KN538702.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSLSVARRASHPGLSSSLSNPMSEVTTPFHPAAPSDLRFNRLRPSVEESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAENRYEDQSSDRYVESFKRKFASQEFHALKRRCSKLQGEKYICFVAVKNDDLKRTVLNSVVGTLDVCIRHPLHGETFPAEPGKSSFHCRIYQPDQPKFGYLTNVCVAKYARRQGIASSAEEVYIHVHKDNLPARRLYDQIGFRGLRELENNRKCLFNEWRGIFGWTFDLHALFFKSIKEQIITSFRWVYHLYFVPFVLVDPENMTGMVHVKLDRAMQPLPLTTVYHKLTPVDSTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYIRPHKASVEISSCPEIPFFLSDLTKDEMEAELSSRAKTLFWASVVLGTMSVCLLGFATYRSWKKIKERREARQAQEVFRQTTDEVTDDQSSDEEAGEMGDGQLCVICLRKRRKAAFIPCGHLVCCCKCALIVERQFDPLCPMCRQDIRYMIRIYDN >KN538702.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538702.1:169794:171729:1 gene:KN538702.1_FG007 transcript:KN538702.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >KN538702.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538702.1:2885:3481:-1 gene:KN538702.1_FG008 transcript:KN538702.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLLLLALMLATFVVAIANADDYTAAAPAPSPEAEAEASPPPPPPPPPPPPPPPPAASPPPPPPSPPPPSPVKSSPPPPPAWSPVTNVNDYTIQQVGKFAVQSYCLNTGAKLVYVNVVSGQTQPCSGGGSNYQLVINVAAGVRTAQYSVFVWGILGTTTWKLWSFTPKN >KN538702.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538702.1:147489:149539:1 gene:KN538702.1_FG009 transcript:KN538702.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >KN538702.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538702.1:100166:100369:-1 gene:KN538702.1_FG010 transcript:KN538702.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEDDDDDDESSDASSDLFELENFAAIAPAGAAYRDELPVYETTRVALTRAIGHGYGHGRSARVV >KN538702.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538702.1:126147:135876:-1 gene:KN538702.1_FG011 transcript:KN538702.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTERLVCVVLAVLAVLSPLYIDRRRPAPWDSDDEEEGGGVSALLLPALLIVLILAINVTCFVDRRVVRFDPYWIHRVGGSSCGLMATLLLLGFVLKYLGRHPTRRPPPKSNLHPGASSTRPERLPPLPCTTRRASSASASASGTAHPPTRLDHLMTIRPVSYRTPTLVNPYAAGPGAGAASRRSGSPDWVMLDKTAYISDRRNASAAESQTSEGQIVQEVWHLHEPVCDYFVYTAGPGRPWLDLLPDPNAMPFNSQQFGLFPCRSGVSEHYDVAFLNSEWVASDEACQFELCTFSSKTGRWSSKPVLLDLSPSEIHKVAIEHETDKLITIGHDSLGLVDLWRGIILLEKLFDDYPVMRYMTFPKPVVYTIDAYGATVCGEIAPECARDVACCNGLIKFVDIEYCYSDDVNGNGWKATTWNRMLSWKDWRKRFSVDKFDILVDPSYSTVLPDLWDDNTKMMQLKKLICTIPTLSMYDDDFVYMMSTMTEEDKNAWIISVDMKQNTLQAVAPISAERFSVLCTDCRPCAFSKYLKITSGVVIPNPVGEYTKRTLAQLVSGNVFLSLRASEDLNQVLQESTSYPSAHAEAIRSKINVVLRAIGRKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRRKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPADDYEMGDATELDPRLKQLQDIL >KN538702.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538702.1:172484:178582:-1 gene:KN538702.1_FG012 transcript:KN538702.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MAARKAELLTRSRGRVAFAWTLVALCCGSHATHFLHSLGIHVGHGTFLDLLHNSYVKCGIAIAALFGPGRDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISSVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELVSLLSPQSRLVVTSSSDDPSSDGVLNSDAITVEVPVDDVRVGDFILVLPGETIPVDGNVLGGSSFVDESMLTGESLPVPKEKGFPVFAGTVNWDGPLKIKATTTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFSFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGRPVVTSIASLAYEEAEILRLATAVEKTALHPIANAIMEEAELLKLDIPATSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASSTELTDLRNHLEFVSSSEASSNHSKSIAYVGREGEGIIGAIAVSDVLRDDAKATVDRLQQEEISTFLLSGDRKEAVESIGRTVGIRSENIKSSLTPHEKAGIITALQGEGRRVAMVGDGINDAPSLAAADVGVAMRTNSKESAASDAASVVLLGNRLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSEYGKTTGRSELQAELNLLADRIKFGPVCISPHP >KN538702.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538702.1:198049:198766:1 gene:KN538702.1_FG013 transcript:KN538702.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTLHALGNVAGGRRGTDGEQRGDERDGPDDEGGDFLVLKASSTLSRFLSGFNSRYQELFHLNKLDHSTRTMNISNHMRRPMVIITRHELWQTDETYHRVISKHVADQNALPKIYPS >KN538702.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538702.1:186351:187226:-1 gene:KN538702.1_FG014 transcript:KN538702.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNLQNISSWADIPPGPGTSGDQRFGWIVTKLFTENREQLIQLFKGITVGTDKFPVTPISQEVLIVWGEQDQLFPVEKAYAVQSSLDGKARVEIISKTGHAPQLEDPTRFNKILLDFLLATHKPDPSSNGASQ >KN538702.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538702.1:47716:54241:1 gene:KN538702.1_FG015 transcript:KN538702.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMRSTKCPWAFMGVAGALVMLVTAVHVFMVPILPSSLDFFGAGHGIGKPRNVLPGVGVVDSRLSGQFPSDSYGAVTYRGAPWKAEIGRWLAGCDAGLSVVNITEFIGTKRCEQDCNGQGVCNYELGECRCFHGYAGKRCEEVQKVECNLPSSQEWPVGRWIVSICPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPKKPDDPKLTDWKTPDPDVFMTNKSKPGWCNVNPEDAYSSKVKFKEECDCKYDGLWGRFCETRVECSCINQCSEHGHCRGGFCQCDSGYFGIDCSMPSNYSVAYGMPSWLQSPMNLPDLKNISSSSINVKVVAKKKRPLIYVYDLPAEFDSHLLEGRHYKFQCVNRIYDDKNRTIWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPALDSCLITRSDDAPHLQMPRDLRLRSYHTLEYYRMTYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWNYIPIDRRGDHPCFDPRKDLVLPAWKQPNPAAIWLKLWARTRNNRTTLFYFNGNLGPAYKDGRHEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTANVTVTYLRTEKYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGILLPYENMLNYKSFAVRIQEDDIPNLIRILRGINETQVEFMLRNVRQIWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDKVDDVFATFIQRDKETDKLRLQEARGLESRESCVHGNMDTCTLSDVDEKHPQLDLKEFLQQIGVLKADDDGATGKNGGGDGDDGELADAFGFGGNGEFDWDALAADMSDIAGGHGGALGANGGFQMDDLHEVEQFGGCMPIPIWDI >KN538702.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538702.1:199827:206296:1 gene:KN538702.1_FG016 transcript:KN538702.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEGTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDKRTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEINKIIGIKVFMANFFPQVDIPGPLGNSAISILECLLNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDGKLASAISYTILWPVHDVHTGEECTRDFLFGVGEDKQRSARLTAWFRTPENYFIQARNLVCKLVEFRKYKEQLQSSSICPSRKVTLVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPL >KN538702.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538702.1:30938:35565:1 gene:KN538702.1_FG017 transcript:KN538702.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLEVHDPSEGMNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNATPVNNTESPNVVLQGGETPHANASSTTEEFILSHTKGTLVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >KN538702.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538702.1:65538:67209:-1 gene:KN538702.1_FG018 transcript:KN538702.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRASLAVADGQKQLTREARERHTVSPAGCRPGSGRGEGAKAGGGFGLGGVAMLQLLMALAFSAAPLTLYVPPVRSLSLFVEAIEAVFRDCAPYSQGAIFRFRLGLSRILSGLARALR >KN538702.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538702.1:88774:91416:1 gene:KN538702.1_FG019 transcript:KN538702.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVARSLKITLVGGSISERSGNKLYNTCCVFGSDGELKGKHRKIHLFDIDIPGKITFKESKTLTAGQDLTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLICYPGAFNMTTGPLHWELLQRARAADNQKLIIHVANLVVSNSNRTFCYQLFVATCAPARDTSAGYIAWGHSTLVGPFGEVIATAEHEETTIMAEIDYSLIDQRRQFLPLQYQRRGDLYQLVDVQGSGSDE >KN538702.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538702.1:113058:116574:-1 gene:KN538702.1_FG020 transcript:KN538702.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAGGGGGGGGGVDGSMSISGIKSWLRQAMYMPERSAAALSLSVPASPPSEAPLPVVRKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGGDLAVVLLATYPEGGPEEPQQNAAGDLGLCT >KN538702.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538702.1:109876:112149:1 gene:KN538702.1_FG021 transcript:KN538702.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFALLPRWLWRMIHHPSLVVDLLIDSVKHKFDYNVPWNTECGFIKDTYFAPNMALHERLNKFRQQQERCQTTLSSIAANQASTPRSNITRWVQPTNGPSTPAKPPQRKFSDDTERLQRINSVRKSPAAAQIKIVIELLEKTRQALTADQINEATYVHIHGNKEVFDRLKNNPKVHFDGNLFSYKSKYGVNGKDKLLSLIRKFPDGLAVAEIKDAYLAVLEDLKALKASGDVCLVASTTKSDEGVVYPEIDPMSKIKFDDDLKELARSILLPRDMLDIEKELQKNGQPTRTNAAKRRADAQILLYPPKPNKSKKKPRGLTSRTKLTNAHLPELFMDLKT >KN538702.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538702.1:157401:157835:-1 gene:KN538702.1_FG022 transcript:KN538702.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLITTSLCSFRSNSTAPRTPGMPQSFSSTTLSASIGVASSCNIGRGKGGCSSSKSVKDDELLVEDMEMAGEAELFFLNGVRVVGRASSLARQRPPWTCTSPLQQHGSTTIQALPYHTRTPLTNSRSSLSCRIAAPPYGTPTR >KN538702.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538702.1:58554:63440:1 gene:KN538702.1_FG023 transcript:KN538702.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSTLPALRPLLAGSTLLLNPRPLLRSRLPRRPFRAVSSSTASPSSSSSGARDFGGVDFGEERLLQNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRSGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCITKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKGDATKIVDLDDPGALDLDFRVDTSSYTNAVTTLIGSYALEKIIGMYLMRVRHSPEFHDCAVTQEQASENGVILVVRLSADDQGLAAGQFAAFYRGNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNLEHIVKSEKEAIEVA >KN538702.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538702.1:38653:42014:1 gene:KN538702.1_FG024 transcript:KN538702.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein RBP47B' [Source:Projected from Arabidopsis thaliana (AT5G19350) UniProtKB/Swiss-Prot;Acc:Q8VXZ9] MAAAPYHQPTSLEEVRTLWIGDLQYWADENYLYNCFAHTGELQSVKIIRNKLTSLPEGYGFIEFISHEAAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVSYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKTTGSQLQYGAAKVALLICTPAYEAFMTDHVLPCIQQQVMQFRKSNQFYQIVIRQTPLASAEEAVQRLHGTTIGQQVVRLSWGRSPASKQDQSAVWSQQADPNQWASAYYGYGYDAYGYAQDPSYAYNSYAGYTQYPQQARISINFTFIHT >KN538702.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538702.1:181569:184935:-1 gene:KN538702.1_FG025 transcript:KN538702.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARPERVGPVAISNSDLLKTAEDDGAFLERAGGGWTHPADVLMPLDARGARRLMELTFYRKQAGAMLPDFVIRDIMKKLFSDKREEKIELMNATTVGTDAFELTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGDHVRLEIIKKTGHVPQMEDPDRFNKIVLDFLLGSQGWILRIHFLRFAVSTELDEVAPRDVGGAGSGSSAGSAAAVLDKALRTRNARMGDRSSVPPSGGMIPRKMFRIKLHCLSKEPLRTHYVSDDTNRSIKYLVGGGDSSK >KN538702.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538702.1:67890:70831:-1 gene:KN538702.1_FG026 transcript:KN538702.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLTENGTVMIQFGHKMPDYESSATQSTSGSPREVSGMSEGSLNEQNDQSGNLDGYTKSDEGKMMSALSLGKSETVYAHSEPDRSQPFGISYPYADSFYGGAVATYGTHAIMHPQIVGVMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRVRGTGGRFLNTKQQPEASDGGTPRLVSANGVVFSKHEHSLSSSDLHHRRAKEGA >KN538702.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538702.1:153051:154988:1 gene:KN538702.1_FG027 transcript:KN538702.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >KN538702.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538702.1:43470:45554:1 gene:KN538702.1_FG028 transcript:KN538702.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPEAGVAAVDDEAWFCRPDCRHPKTVEDFLKMSPSPKGKLLRSVSAMMMPFGERDTNLRDGNNNLKRRGAVAGSGIAATFTPPKPKAAAKKRFQDDSENQDPALATPPPPPPAANRPPFGAARWAKNAKDAIKSSAEKRPGNAEKEALLSKNAAPRQLKSTLSARNLFSGKDILGQISDFYNELKRMAGGNGSRPGSEAMEELSSNPINEGDVAQKKVDCGCGTGDQVPFEEAIKEKSRQETAEKSPSTMKGKKMGLKVEAAKPTRSSVLKEVKATPPTPQRFPSPSTNRVKNVKAGGMSMASSPLKKPLKEKGTPSKDLENSKDAKRQPFGVKDMNNTKACDAEGSSSMFWFLKPCTFLVE >AMDW01076256.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076256.1:193:432:1 gene:AMDW01076256.1_FG001 transcript:AMDW01076256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLNSIPELVSGENEPPVHHLLVDDEDRNETYFPINPTDTSMEIPAINLDDTFDFETMYTAGDAGSLQAHNVANDEMQ >KN540213.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540213.1:9710:9910:1 gene:KN540213.1_FG001 transcript:KN540213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQRFAGVNVEIGANWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKEYVHSMSGWID >KN543369.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543369.1:6084:7227:1 gene:KN543369.1_FG001 transcript:KN543369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELKLLGSLSGVSPYVIRAQMALAVKGLAHDYLPEDLTRKSKLLLDSNPVNKKVPVLIHNGKPVCDSLVIVEYVEEAFPGAAAALLPADLYRRAVARFWAAFIDSKVFPPCLAILKAAAEEEKAAKVKETVEALQLVEGAYGECSKGKPFFGGDAVGYLDVVLGCYLCWFEGIFAPTIMRRDQYTILRRCCREVE >KN540213.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540213.1:31879:34949:1 gene:KN540213.1_FG002 transcript:KN540213.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSTLPSLLGYRSLDDSKVAGLSSPVVTVVVEKECLPNGPSSPVDMAVDYFTYDYEFAEPPRVTSLQNTVPLPTFTDFGDDDYFVADQRGYESVVHHLAGQYLNADRSGNITDARLKLNKVDHISALRYRKDELIKHMIIMAWKILAIYQFDMAVYTKIFVKFPKRFWPEGAGREFFLYASTRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFRGSFSNWPIGVSRFEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCAQKNMCKYNVGGKHG >KN540213.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540213.1:27697:29174:1 gene:KN540213.1_FG003 transcript:KN540213.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLVLVISIAQLLPSLVAGTGRPRVIIVGAGISGISAGKRLWEAGIADVLILEATDRIGGRMHKQSFAGVNVEIGANWVEGVNGEKMNPIWPIVNSTLKLRSFRSDFDSLAQNVYKEYVHFHTTTSWWINWSS >KN540213.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540213.1:14641:16756:1 gene:KN540213.1_FG004 transcript:KN540213.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPEFEKQYPDANELLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPEATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCAQKNMCKYNVRGKQVSGGKRKHFHDGMRNVRFHNLLAHRGTNSIV >KN540213.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540213.1:60665:60748:1 gene:KN540213.1_FG005 transcript:KN540213.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDFWVSPFGQRCRIALAEKGV >AMDW01040382.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040382.1:158:1364:1 gene:AMDW01040382.1_FG001 transcript:AMDW01040382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVKELLTESTAKPVETDFKGIRESILQVLSSLSSVESYFEFFSTRSDQEYQELEEAEIELEIVKNEKARHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRSFPAKPFRGLWPMGNNIWSCPVSMSCAHAWLLIVPFGYDESQVVGYWFNCSTSKNADKRRNQPTNE >KN540340.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540340.1:37040:37856:1 gene:KN540340.1_FG001 transcript:KN540340.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSPSAGGSGGGDNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDADGEVAPAASAEELQKKREEDFDAFTSTKAEDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGADGEAAGPTDELHTVPEDEPVYHDAPDVQKGLPFYIAQFNRY >KN540340.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540340.1:17329:27674:-1 gene:KN540340.1_FG002 transcript:KN540340.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGISHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMDPAGLSQFIIWWRDNTFWTSGHWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDLDGAGTLCLRLAASEVESGGNSGKEDLHAHRSLMTLDMDSAVKLWESEEAGREFGRATGKEYIMMIPTMKREMFFVSGETDPSQASSGWDSIPAHPQINNYAVFLQIDLESMSTNPPRSLRFNLFKPLSRATYLTGRILLSRGLQQIRDKEKSRRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEGSRSVGWRIEISQVGADGIMEGPMIIQGTADGQTIVSANETFTLGFFSPGTSTYRYVGIWYSYVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRLRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGDFFIWERGNVYWKSGLWNGQSYNFTESESMSFLYVSNDARTTLSYSSIPASGTVSGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLVEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKEVDTDSAIKLWESEETGSHFTSFCFSEIADATCKFSPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGLLEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKSLDFFLFEQSRREMLDWATRITIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETEANTNRVVGTYGYMAPEYAMEGIFSVKSDVFSFGVLLLEIAWELWKEGRWSELADPSIYNACPEHKESPINRPTMTEIISALDNESTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQGR >KN540340.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540340.1:40609:43125:1 gene:KN540340.1_FG003 transcript:KN540340.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDASLLRPLAPGASASLSLSSPSTGRAVSVVVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELRCERARAAKKALLDALAGGTVDVLYLDNTYCHPSLSFPPRPVVAEQVSFEMQIVNIIRAHPDHEVIVGVDTLGKEDLLLHISRALQTKIWVWPQRLQTIHLLGIDDNQEIFTTQTSLTRIRAVPRYSLTIESLDALNTVCPTIGIMPSGIPWLWKNSKGKAKSGVKSPAKSIRCKGLDEGAIEMDYDPLSPPKLFEKDSYSLPYSEHACFAELENFMLTVRPSTVIGIVSTSFCYVNPRHHFSHLCADNVYSDKTPEKNKGKDISVLTPKKRQNGSKTPKDRKIRIVYGSRVTMKRKECCGAKIVEPEEPISVA >KN540340.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540340.1:53733:55553:-1 gene:KN540340.1_FG004 transcript:KN540340.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding YLCTKLIRNLCRRGRTSDAARVLRAAERSGTAVDVFAYNTLVAGYCRYGQLDAARRLIASMPVAPDAYTYTPIIRGLCDRGRVGEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSTGFGQAMEVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDAEELFAEMMEKNCMPSEFLNNMGSYGCSPDTISYTTVLKGLCRAERWEDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEQATMLIEQMSEHGCEVNIVTYNALVNGFCVQGRVDSALELFYSMPCKPNTITYTTLLTGLCNAERLDAAAELLAEMLQKDCAPNVVTFNVLVSFFCQKGLMDEAIELVEQMMEHGCTPNLITYNTLLDGITKDCNSEEALELLHGLVSNGVSPDIVTYSSIIGVLSREDRVEEAIKMFHIVQDLGMRPKAVIYNKILLALCKRCDTDGAIDFFAYMVSNGCMPNELTYITLIEGLANEDFLKETRDLLRELCSRGVLNKNLLEEWRPKFSNQTVHLP >KN540340.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540340.1:9341:13162:-1 gene:KN540340.1_FG005 transcript:KN540340.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGVDVKNGITRNMTSWTSSSDPSPGSYTFKLVPGGLPEFFLFRGPAMIYGSGPWNGAELTGVPDLKSQDFAFTVVSSPDETYYSYSILNPSLLSRFWYYPTDPCDGYAKCGAFGYCDTSTPTLCSCLPGFQPRSPQQWGLRDASGGLRRRRNEMAAAAAGGGDDVLPFRVRNQQLDVKRECDEKDLDLPLLDLKAIVAATDDFAASNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEGKRKLLRWSKRFEIIVGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKSWLLWKEGRSVDLLDQLLGGSFDYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATLPEPNEPGVNIGRHASDTESSETLTVNGVTITAIECR >KN540340.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540340.1:44113:51489:1 gene:KN540340.1_FG006 transcript:KN540340.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCQWRHAVGVNFRHQLSANMHIRFLDSEQKAPRSVFYAELPAKVCQDSYSHPEQWTGPCHTVTLVRRFHHPAAPGCPDGSTTHSRTHHAARLQETRETRGGRITREILGREERKTSVRSRPCAAGSLSPRDGAQDSMKNNLVKNNMLKEFYIPTYIFVPESPVEKVSQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNNSQVFDLLEEAPDKVLHKLYGNMERLMRDGDTVAAEIHRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDEKSVLSFLQSVRQAKEMKIDSWHIVMKMESPKSSTCDPLVPLDLPHSLHAFHRVPNNPQDKEYSCTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCASLCHPMSRNIAHLSKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSERKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFKFHKGATDHAYMRLDGEPWNQPLPKDDGKVLVEISHAGQVKMLATKNCIAKGIHEALAMSTVHPESSSSSDDTDDDDDFAEERKNFGAALSFRYMDDVTKE >AMDW01040236.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040236.1:406:750:-1 gene:AMDW01040236.1_FG001 transcript:AMDW01040236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HEIQYGGDNASASPRSQNASQSTQATVPIPNTMSQQPSPNLFALGNTGLVQSKNSAVFSNALSSPVRRSLQPFHLEQGGDAGYFANGVNRDQNSTASNDSSMDMHSDSPAHDSY >KN540340.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540340.1:1028:5557:-1 gene:KN540340.1_FG007 transcript:KN540340.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHSLTLLLVATALSYQPSIADDSINQAASITGNQTLVSANGIFKLGFFSPDGGTYYLAIWYAKISPQTVVWIANRQNPVLIKLGNVRLLADGRLVIRDGQNNTVWSSAAPTGTVAQGATARLLGTGNFVVSSPQGMAWQSFDYPTDTLLPDMKLGVDLKNGITRNITSWRSPTDPSPGKYTFGLVLGGLPEFFLSENSRRIYASGPWNGEVLTGVPLLKSQQAGGVFTFTVLSSPDETYYRYSVHDPSLLTRFVVNGTLGKLQRSWSDNNGQSWSENSYFYPPDPCDNYAFCGPFSYCVSSVDQSRQCSCLPGFESQSQPGPFQDSSKGCARMANLTCGDGDGFWRVNRMKLPEATKATVHAGMTLDQCRQACLRNCSCNAYAAANVSGGDSRGCVFWTVDLLDMREYTVVVQDLYIRLAQSEIDALNAPARRRRLIKNTVIAVVTTICGILGVVGCYCFWRNKARRKQHTEMEKSSDADDLPFRVRKSPALSPARDQWFDENRGAEDDLDLPLFDLEMIFNATDRFAAHNKIGEGGFGPVYMGRLEDGQEVAVKRLSKRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERILLYEYMHNKSLDTFIFDEGNRKLLSWNKRFEIILGIARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKVSDFGIARMFEGDQTTAYTRKLQNWDCTMTFEMVQWLYVSRVCNGRFGVLVLEIVAGRRNRGFCESEINLNLLRYAWMLWKEGKSVDLLDELIGDIFDDNEVLRCIHVALLCVEVEPKNRPLMSSVVMMLASENATLPQPNEPGVNIGKITLDTESSHGLTSNGVTTTTIEAR >KN540340.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540340.1:31989:32324:1 gene:KN540340.1_FG008 transcript:KN540340.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKGSGVQFEDFLPSMARKLGVEGLIEELCKGFELLMDPGAGKITFRSLKRNAAMLGLGELRDDELSEMMREGDLDGDGALDQMEFCVLMVRLSPELMQDESHRAFQC >KN540340.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540340.1:32717:34608:-1 gene:KN540340.1_FG009 transcript:KN540340.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frataxin, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G03240) UniProtKB/Swiss-Prot;Acc:Q9ZR07] MASRKLVLGLTAARRLRSILPEDEYHKLADETIHDLLEKLEEYGDSLQMDGFDIDYGNQVLTLRLGELGTYVVNKQAPNRQIWLSSPRLSTFVRSGPSRFDWDASTNCWIYRRTGANLVELLEKEIGELCGTPVELS >KN542732.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542732.1:5661:7646:1 gene:KN542732.1_FG001 transcript:KN542732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPAAVPPVAEDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRAYAEAHGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACTRTPSQLFAAKQAYHERFKRSLEEDVAAHITGDYRKLLVPLVTVYRYDGPEVNTSLAHSEAKILHEKIHDKAYGDDEIIRILTTRSKAQLLATFNSYNDQFGHPITKDLKADPKDEFLGTLRAIIRCFTCPDRYFEKVIRLALGGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >AMDW01040313.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040313.1:720:1321:-1 gene:AMDW01040313.1_FG001 transcript:AMDW01040313.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVDISTDGGKTWFGAVRYQKEGVPYVAGDISSDKWAWVLFKTVIDVKGDTEVIVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >KN542764.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542764.1:4018:7513:1 gene:KN542764.1_FG001 transcript:KN542764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLILMLMAIVSRRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTDGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDVDYDLARNTFIRNVEHTGFVIGADVNVDGMDFSNFGGMGGMGGMGGMGDMMGGMGGMGGMGGMAEMMGGMGGMGGMGGMGGMDEFEDESDDEGLFLDIVIVEVKVLFNGSPAHFGIVWPKLCCSRCNYYGEEVSKPQDAEKAAEAGKSQELDAKAETS >KN541936.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541936.1:7928:11794:-1 gene:KN541936.1_FG001 transcript:KN541936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPPPSPPKVGCWTSPERESLQLELKSHLFLSQRQPRCSNLEAVGDVTAVPEDYTENMPSSSGSTNVATSISSHEDNLAGRVAKTNQTSKENQKMIKISDKLIGVFMVDKPTPTDWRKLLSFSREWDNIRPHFFKRCQERADAESNPEMKHNLLRLARKLKEIDEDVQRHNELLEVVKSTPSDEIGSVIAKRRKDFTVEFFNHLYYVAESYHDDPEKQRELAQLGNDCVDALQAHDDTSGSLEALSAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTLGDIVSGLQESRDPSDLPHINLMVWKGKL >KN542764.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542764.1:8813:9782:1 gene:KN542764.1_FG002 transcript:KN542764.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSHARGNEAMTAWGNVRDNEAPAQHSLLDAQLGVRIKGARVIALGLTTMVEYVDHFGTEGYYKNRLAVLLHMYRFLHEDEERGQVTLPSYIVDIIYNATLVNYRKLREMTGEH >KN541936.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541936.1:19866:20952:1 gene:KN541936.1_FG002 transcript:KN541936.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFVVLLAGGAGAAAAAVAQPAPLFVFGDGTLDVGNNNYLPGDEDVGDPPRANHPYYGIDFPGGKATGRFSNGYTMADFIAKYMGYEMSPPAYLSLSGPVNMNGFTGVNYASADAGIRNST >KN541309.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541309.1:245:17585:1 gene:KN541309.1_FG001 transcript:KN541309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPGRRRRRRVKAPARAAGMDWISGLPDEILHHIMSFLNARQAVQTCVLSRRWSDLWRTVPCINADFNEFDFIDYQGDDEDYNDEVAFKRFVNRMLELRDPATMMDKFWLKYKISDGYNEYKDFNVDANRWISHALQKQARVMEVVVFSFPLELDHSVFTSCYLRKIGFSCVSLHQGFFKQLDAGCPELEELFLHDCTIADEEIFSQSLKVLTIDDTEFSKANKASISIPSVTSLTLSSPENSTPMLKDMALLTTASVSVKFYTFSYGFDANDLRQCLWSLSGVTNLEFNYEGTELTFENNLQWCPEFINVVNLTLGQWCLDANFYALIVFLQNSPRLEKLTLNLAKCIWKKSPRIVSELMERSFTCEHLKIVEVKCLEDDPQVISVQDFFASNGMASVQFHIKHWGQYEEEDELPAFIRFQSTHDTYSTNGLRGRGSDTMFTRDAPSTDMISGLPEGVLHRIMSFLSLREAVQTCVLSRRWRNLWLSMPLINADYRQFFEMTDTKAGYDEALAVAVPMFKRFVNRLLELRDPVASIDKFCLWYSISDDNEDDTESQDAAANRWISQALQKKARVVEVYGDLVFADLYPLVIDHSVFTSSYLTKVVFSNVLLEDGFFKQLESGCPALEDLSLDDCVISGDEISSQTLKILTIKDTKFSMEHKTSISTPSATSLTLWRPAHGIVVLKDMASVVTASVKPSEFIDEFDARGLRQYLWALSGVKNLEFYYLGENTPTLEKLTLKLHPFRYQQPRIIGELTERSFTCGHLKIVEVICSENDPLINHLVDFFVSSEPSDYSINGLNHPDITQIRKSAAFFFSNAYRPIPWCSKSSLHARHLFDKRPLRGSATMAGTPGKRLRPCRCACCRSGGATSGAMPRINIDCKEFEVTDKAVFIEFVNRLLELRDPVAPISKFSLCYLKPLVLDHSVFTSTCLQIIWFSNVILDDGFFKQLEAGCPALEDLFLDECFIGDVEIASQTLKVLTIKRADFSTDPKTSISTPSVTSLTLSDPI >KN541309.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541309.1:24056:28307:1 gene:KN541309.1_FG002 transcript:KN541309.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALGTAGWLVGKLVDLLSAELLKALDESYNLGGNASAIKTELLYTQGLLHKAQGRDDADNVLDKVDYYRIRDYIKRTHEAADDDQVVDGLVRRSILHARHAIGKCRRSLASSLSCRGRAAADVEFFNRSIVSERIKSLMAQMQPLCAKISDFLKLELMDPRTTALDTAAAFSERVTTTTSTSLEANLYGRQEEFYAAINEITGDRDGLTVLPVVGPGGICKTTFTQHLFHHQRVKKHFHVRIWVHVSLRFDVLRLTKEIFDSIVASEVSWRRIWGNKTEPRNLEKLHKELEEMLRSRRFLLVLDDMWSCDSEYKWDKFLAPFRKTSAKGSTVIVTTRSEETADMVKSETNLLIRLGGLDSRSIWAFFLACALGDERAEHHKELLDLGREIVKKLKFSPLAAKTVGRLLKKDLTRRHWSRVLDSKEWEHADSVNDIMPALKLSYDCLPFHLQKCFTYCALFPDDYQYQESELTHLWSALGVINCSGQNDRIQDIGLKYINGLVNNGIFQKIDGVKFSHKKGREVKHTYYVMHGLLHELARIVSSREYLSIDCSNPRFAYTPPSIRHLSIRTSCTSDTVGLDHYQNFKEEIRNLKEQISVANLHTLMFIGECDERFSKAFKEILQDVKHVRVLRLFQTTLEFLPSKLIHLRYLRIQASKKKKTQFKLNRSVTRKWDKFPRKWDKFRLMMGETQTPAANDHLTSLPSSLLEYYHLKFLDLQDWMGPAVPKLMHISHLIYLRQFLASKELQSSVAKIGKLKLLQELSKFQVNNREDCAGFELQQLGELRDLGGALTISNLHKVKTRAEADKAKLTLKRNLVRLKLVWDETGREQTEEEANSIEGLQPPANLRELCINNHKGNTFASWFDSTISLKRIEVLHLHGVSWNTLPPFGQIPYLRKLKLENIAIEKFEERYENLENLKSIEFIGMPNMEKWVSRNTWHLFSQLEQVKVSNCPVLKEMPFSHDLQLLQTPDAQERHIFRPDLQILRAIGWRTSQASPVEQKHMSLKTNLHELIVRDCPRLSLPLMPYTTKLELAEGARHPYKLLYNIYTLEIKGVDNILFALGNLDSVLAFHDMEWLVRVTIKVCSSVPLATLQKLNSLETLAIEDCISLLSGRGENDDIQIPIKHLVLRNCYITGKELSEILACCPCLSHLEMVDCKGITGLCMQQSSHEMDDDDNDIDGMLQFPSQFASSLSTLGIFSRNHLTLNVKDEVLKKLMSLQKLQLRGCVLSCAAMQAVHDDLPLMNNLKALRAYGYNIYDLEEDRLMTRMARTVVAGSMQLEELDIGSISGVLSAPICHRLSASLRILTFRNDTMVQHFTEEQDTALQLLTSLQELTFYGCNRLQSLPSSLRSLCSLRRLEVSYRQLQGYSPELEEQCNNLRRHIPEVVLTSIPLN >KN539382.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539382.1:8798:9755:-1 gene:KN539382.1_FG001 transcript:KN539382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPLIVICIVSGVTADAHADAPMTRTHVASLPGFDGALPSRLETGCVHLVRPKFSGLCSASVGERGRADATCYNLPGPVKLVIEPYNGGLPRLRYHPYSWTKVANILFVDSPMGASFSFSRDPNGYDVSEVSSSLQIVKFLYRWFDGHPEYLANPFYVGGDSIAGRFVPFIAEKISKGVAMLRYIYYPRSLLVHKNYYYCYKLN >KN539382.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539382.1:36538:42489:-1 gene:KN539382.1_FG002 transcript:KN539382.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLLLLLSLFSGVSAEEPLTRTHVTSLPGFNGALPSRLETGFVRQKLFAIMLYLTMTRYVTVDEENGAELFYYFFESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGQQPKQTQNKPRCHPRVSDHHVVNSSRCDYPAGPLKLVIEPYNGSLPRLQYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASLQLVKFLSNWFGGHPEYLTNPFYVGGDSYAGKIVPFIAQKISEDIEAGVRPTLNLKGYVVGNPTTGERIDYESKVPYLHGVGIISDQLYELLNEVSKPHILYNKCISMSPIPKFESMDRKILKEELGILKHRPPRPSIQCVSYSNYLSYFWANNNVTREYLGIKKGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYSSRYSVP >KN539382.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539382.1:33383:34738:-1 gene:KN539382.1_FG003 transcript:KN539382.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLNRPDLTSWSWRSMDEATPGSMGKEETLARGGSSGEDAAASSIPLPELELELGSGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDGQSTGFTVAYGNNLTFATVKIFPKVVVNGVML >KN539382.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539382.1:24875:27025:1 gene:KN539382.1_FG004 transcript:KN539382.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSMKNFICLDRVRYFRIWYSIVQGLSQAVVFVSCRFHDEDFVLLFHDWHRLLQHGSGFKLSTTVEFVCFCNLRTPMPAGGGSRGTTDPVAQIHLMMKTLYNG >KN539382.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539382.1:66878:75398:-1 gene:KN539382.1_FG005 transcript:KN539382.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCFLLFVTIAAAGASLTRTNVATLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPGSDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVFASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIMIMDHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILKEEHGGLKHPPPQPSIKCGVYANYLSYFWANNNFTRRTLGIKKYWLFILVLVTTCGDHDAVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKYGLKVETAKRKF >AMDW01027306.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027306.1:136:366:-1 gene:AMDW01027306.1_FG001 transcript:AMDW01027306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANMASTSQASTPSSELLQDWYDEFEITYGAVAPPSPSTISWEAPQSSPTGWWPSPNGEPVQHDGYLGMAANPT >KN539430.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539430.1:105353:106390:1 gene:KN539430.1_FG001 transcript:KN539430.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNAGFTLYERERIKTATNNFNKAHIIGEGGQGTVYRAVIDGTTVAIKRCKEINERKKMDFVQELVILCRVNHTNIVRLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSKRFHVTLGTRLRIAAESADAFAHLHSLPRPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQVVPKGTPGYIDPDYLLEYQLTASNDVYSFGVILLELLTSRRPFSKERKSLTSMFQEAMANGTLVELLDSDIVDEASMRVIQQAAVLANQCLVVPGTTRPTMMLVAAELRRLALADEVQQYPQPPLVLEDLSFMGIGRTSMSTWYGGSKTSGVYGLSKKAVPSIEFAR >KN539430.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539430.1:87258:90265:1 gene:KN539430.1_FG002 transcript:KN539430.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGELTILRLTIAFAAAALLAGGAEAQCQHSCGGVDIPYPFGIGSGDDCALFPGYKIDCNNNRPFYLDVEVLSISLQLGQMRVMTGISSSCYNTTSRKMDSTMWSFNLSDTPFMLSDSNKFTVVGCRSLAYISDPMSNYTSGCASSCPGATVVSATNGTCSGIGCCQTTIPTGLDYYEVSFEESLNTSKIYNHTPCSYAVLMDYSNFTFSTRYLASPLEFNTTYGGQAPVMLDWAIWSAQDCVEAQKNHTSYACKSDHSVCLNYSSGAELSAYMCNCSKGYQGNPYLQGSNGCRDINECEHPESYSCYGECRNKDGGFDCFCHAGTRGNASILGGCQKNFLTPKAQVAIGAVAFILSILFGFLGWEVIRHKRSMKRQALLRQNDEFFQRHGGQLLLEMMKVEGNAGFTLYDRQEIETATNNFNKAHIIGEGGQGTVYRAVLGGFVVAIKMCKEIDESRKMEFVQELVILCRVNHPNIVKLFGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESAGALAHLHSLSHPILHGDVKPANILLAEGLVAKVSDFGCSTIDENTQAVPKGTPGYIDPDYLLEYQLTAKNDVYSFGVILLELLTGKKPLSKERKSLTSMFQEAMVNGTLCELLDSDIVDEASMRVIYQVAVLASQCLVVPGTTRPVMALVAEELRRLALADEVLRYPQPPLVLEGLSFLDMGSTVSIWYDESKTSGVYSLENKVVLSTEFAR >KN539430.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539430.1:44921:50683:1 gene:KN539430.1_FG003 transcript:KN539430.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQPGCAIPGFEVTCNITGNSGQRKPSFENVVEILGVLLAESQVRIKMDISSSCYNTTNKTMYGVGYGLSFTETPYRLSDTSNKFTVIGCRTLAYIGASKQDQVGSSLTTGCVATCGQEDLASLTVGTCSGIGCCQTTIPKGLQNYEVWFDSRFNTSEIYNMSRCSYAALVEDSSFTFSTSYALSSLFNDTNGGQAPLLLDWSVGNETCEAARRNPNPTPKYACVSTNSECFNSSNGPGYICKCKEGFHGNPYLDDLELGCKDINECTDWSKYPCAVPGTCINTPGGFKCVCPPGYPKGNAQNGISAFAFLALASFLVREVIQHKRSIRRQNLQRQTDEYFQQHGGQLLLEMMKVEGNVGFTLFDRVKIETATGNFNKTHIVGEGGQGTVYKAVLDGVAVAIKRCKEIDDSMKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAEAAEALAHLHCLPHPILHGDVKPANILLAEGLIAKVSDFGCSTIDENTQAVPKGTPGYIDPDYLLEYQLTSKNDVYSFGVILLELLTGKKPLSKERRSLTSLFQEAMADDTLRDLLDSDIVDEASMRVIYRVAMLASQCLAVPGTTRPTMMLVATELRRLALDDEVQRCPQSPLVLEDLSFMDTGSTVSIWYNENQTSGVYSLKNKAVLSTEFAR >KN539430.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539430.1:575:2051:1 gene:KN539430.1_FG004 transcript:KN539430.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding ILMNVNMERVTLAMEIATINLAVSIVCVMLVVVEMRQFKEDAGKTSYHRLYVPIAGKHDWQLALLRQTDEFFQQHGGQILLEMMKADGNDEFTLYKRGEIETATNNFSKAHVIGEGGQGTVYKAVIDGVAIAIKKCKEIDESRKMEFVQELVILCRVSHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELIDLQRNALSHLHSLPHPILHGDVKTANILLANGLVAKMSDFGCSTIDERTQAVPKGTPGYIDPDYLVEYQLTTRNDVYSFGVILLELLTGRRPLSKERKSLTLMFQEARSNGTLIELLDSDIVDETSMRVIKRAADLVSQCLVVPGTTRPSMTLVAAELRGLAEADEVKRSPQTPLVLEDLRFMDMGSTTNTLYRESRTSGAYSLEKKAVLSIEFAR >KN539430.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539430.1:24858:29712:-1 gene:KN539430.1_FG005 transcript:KN539430.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPRAHRAIQNNGNGDNVNKYQSCLPILLSIARYRARYLEGDANYILGLHGQYLISADTQPEHSSALIGGVEKSMSSSVGSIERRMEDLQNMGAGACVSAETSVNEHAASVGEKGSDAEVLGAALLEAGIVAGPTSILQVDAGVGFEIETANRTDGSEMEAETSVNEQATSVGEKDTDVEVLRAALLEAGIVTGPTSILQVDTGDVFEIEPATTTEGSEMEGVQSQPVVPFVGMEFFSEKEARVYYNRYAKSWGFGTKVSSCKKSNVTKDYNRYEFACYSERTSKEQNAKSSIGSRSRKCNSIRKTDCKARMVVVKRAEKWVVTIVDLDHNHPPLSPNSVRHELRGNKYMQHLYDNRKKWVCCFFMDYFFPFMSTSQRSESMNKLFKDFVHPADSIRNFIFQYEKLAQSCLDRDDNQRFITVQTDPKMWSGYPMEEQASKFYTHAMFEEFQEMLYRATKYKTINGPEPRSYFVQLILDDDSKKFLVHYDINNETYSCACKKFQRDKILCGHVLKVMTQLNVYVVPEKYMCDRWTLRGSEHATSTLVPAQNDEGASRKMRYISLCKKSATVASDACKTRQGYDLAKKTIDDLQATLVMMNSMRQADHTPSLNQTSGSKDSVADVTTLKDPKKKVSRGRPKNSTRLKSVLDDTSGLTGRKKKKPSHSSPADDTSGLTGRKKKKPSHSSPASSKKKKLDDTSCLDCDDPTRW >KN539430.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539430.1:103314:103925:1 gene:KN539430.1_FG006 transcript:KN539430.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSFADPYRLSQAGNTFTVVGCQAVAIVGVGDSADNIVRFLSGCAATNCGRRGDRLADGACSGAGCCQTTITKGFNAYQVEFQNYSTVFNSSKEIYNVSRCSYAALMESSSFSFRRSYATSSEFFDANGGRVPVVVEWAVRNASNCVEARKNRESYACVSMNSACVNSSSGPGYICNCAKGFEGNPYLPNGCLGEYVSSRF >KN539430.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539430.1:17060:23164:1 gene:KN539430.1_FG007 transcript:KN539430.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSRLFVDDTEYTSKTRSGKRKLAATEIEGTSKTRSGKRKQAANEMEGTSKTRCGKRKQAANEMEGTSEGASGKKKQATIGKEVREISFRCNPTRISKLADQLTPDQMQFVRNAGFEKLLLINACSLPKSLTLFLVDNVNCSLGILEVGGKSIAIRPLVKKLIGVPDGHIPVKVSNKMDPLLKEKFTEEGRGMSIKSVFDMMLKEKKEQEFTVTFLMAVLGSYLVAGTNLTVHREYLDGIVDVKKIKDMNWCDHVADYLFKGINVFRSNTKKHVNIKGCVHILNVIFIDFADSINAPQGAPRVAHVTNDHFDALEVLATKQPSKEPQYDLIQIKDIEDTVYFNGGSEPVDTKGFELVCSGSEPADIKGSEPVVGAQGNLDGCPVEHKPRGSESVAGAQFITEDIPMEHSQGGCAGTIYNDEMLNKDTKKAESENISQKNKEHTESPQSDLPPMFTELFNNLNEKLKSRRVQIIGTCMEQLENLLDTSDREIMSDFHREINAASAKWTASTSQLHGIAPCDASNSQFQGDASNSQFKGAGKSDKNAGEGGAQNVNSKEDSDEEDGDEEDVDEDQNGDSKGSDDDDSPDRKPNAPADDKGNTESDDDANSKDGSDGDRTQEQHTPMEEQFPGASMMDSMTDDTSVDTPWTNTPRTVDAIVIEDSSQESPHPSTLVPQLSEPIPNGERFPDVGSVPTVMKDRPKRHKANIHTVEAIAAMGKGLHPDDFITKCYHKHVLATMEGDARDMKVSIKGAYVTQEEFRSPLVRNGEINNSFMWVCCKAIMADWNTKSKIILDPSTVKELTEPLEKCNDDRVRRAFSFIDMEQLERITSEEEEARRQRCSGSFRRPREEDSKEAAVFDRFSLFGFRPPPRPSPGDAFDSAAAADTMKQFSQANPSTLRGGVDERFPVFVLLAVSPSRRCRKQMVAVGACGFLQGLLAVEVDGAKKLTDCLVRPG >KN539430.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539430.1:57221:63146:-1 gene:KN539430.1_FG008 transcript:KN539430.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWSRRYMVAKSQAQASAPANLQRDDSSLLRSKRVVPGAVVGDVGCAADDDFARGRLRKYETCGLDWHTRYKIIKGICEGLDYLHNGRPEDQIVHLDLKPANILLDENKMPKIADFGLSRLFGSTQTFTTRTSIGTLGYMPPEYIERRHISKKFDVFRLGVIIIEKMAGPSGRSMSAEMSPQQFIDTVQEKWKKRMQEISSHTSHEADSLEVKACIEIAVRCVEADRKERPTMREIIDKLNQIENVKKALISQSSGCKLERLVIDPLEVRFPFEKDRDVSCVLQLTNRSADTVVFAVQANQSKYHMVPDRGVMQPWSRRYIVATLQAQGSAPANLQCDDSILLRSKRAEAEAVRYRQLAA >AMDW01025056.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025056.1:108:149:1 gene:AMDW01025056.1_FG001 transcript:AMDW01025056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLFVQCICVK >AMDW01007546.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007546.1:36:218:-1 gene:AMDW01007546.1_FG001 transcript:AMDW01007546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGVFMAPVHRVVTSERERVSVVMFYQPEPHKELAPSEELVGEERPALYKKIKAKDFGDG >KN540090.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540090.1:52965:60398:1 gene:KN540090.1_FG001 transcript:KN540090.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGSGLGRWQRQWLDDGNDNDSGTSVYPLPLPRRIQLKLDDSGEEARWWQRLKFRRGPAHWDQLTISDEKLNLAASAAPVKKGSLASVRNVGTNRASAVKSASTKPAPAISRHESAAQKESVLPPKVPSIVPTAALAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPEFEYIDNGDSSSVLGSLQRRANENLRISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETLQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPAKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYTQHKYKFVAKKPCPPSIPTEFFRDAAC >KN540090.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540090.1:14506:15421:-1 gene:KN540090.1_FG002 transcript:KN540090.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYLGNLGEHLSNSLAIFAARGRPGGELSDVPVHNQLHRRLLTSDDDDVSFPRWVKHNDRRLLQAAAAEIEADMVVAKDGTGTHRKIRDAIKAAPEHSRRRVVIYVKAGVYVENVKIGSKKTNLMLVGDGAGKTIVVGYRSVHDNYTTFHTATLAVAGAGFIMRDMTVENRAGAARHQAVALLVSGDHAVVYRSAVLGYQDTLYAHAQRQFYRDCDVAGTVDFVFGNAAVVLQNCTLWARRPLPGQENTVTAQGRRDPNQSTGISVHGCRLLPSPN >KN540090.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540090.1:41542:45957:1 gene:KN540090.1_FG003 transcript:KN540090.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPLLAAGHCASRLASISLALRGAVEVPSFLRGKGFFFFFFFVAVDRGLSPPSCVLREAGFKDGSKQGARAVLCEEEGFQEERTEYGSESDGYNLFNQFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWKLLQKDLPESIYVRAYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQSPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTILQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKYSLAYNQTAFLLSCRTMMYSLRKPPKHFESLVARHFHERERVILDACDAYISGAVVGSSSGNATKHPRDNNRSFADFKKSLEKYSELLRKDLATNRTHFLKLTRDSPAAADEIVECTSS >KN540090.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540090.1:31429:35329:-1 gene:KN540090.1_FG004 transcript:KN540090.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMELVHQRLALLDSSSGSDRDDDIGPRAIIIDCDEDDTDDVMEVVPLKMLMPKEVENEQRTVPGIPQTCNTQNTSNGRTNTTEVPVKGQNKCASYLPKKSNVQTFCGSAMERAQEIQTKLPAEHPSFVKHMLHSHVVSGFWLGLPAGFCNKYLPKHDTDIVLEDENGNNHNTNYLGGKQGLSAGWRGFAINHDIKVGDVVVFELVHIIRDKNISTTDRAPGLKSFYACKKRKISKEATDNASKPKEDPETTRVSSKVAHDDTQNLVHEAIDGIRFSDSEMSFDDVTSYSNFNIVVDGLVIDCKFPDHQRRTYYELCCAQKSFLHRHLLRQLSLTLVVGVIMETINIAEGIRACGAGTSSQEDFLIWKKTLQSFDLLGMNVAFLLKRVDDLLGLPEQPRDPSECGKYNELKLERSRAEEKVKALESMMLTVKDVLKKIDAEMEDMESSVRNHDIVLQKIATAPW >KN540090.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540090.1:61936:63904:-1 gene:KN540090.1_FG005 transcript:KN540090.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTILFLVCCSLCLRTLCCSKVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHMAGRDDGREYGSGRSKGATTPANGQGKPKKGGRPGGRDGKRIRPSNDPDLDDEEDF >KN540090.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540090.1:18494:29055:1 gene:KN540090.1_FG006 transcript:KN540090.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYKQVFSENMGKKSVPHITYCNQGENWTTITFKPDLARFNMTYLEEDHVTLMWKRVVDTAGILGDSVQVEWDGVRLRINSFDDYVRLHVNSPVSDRSGLGLPRVYEKLNDWCEVCLSLSDAGHFQQVSFVNGFETLKGGTHVDYVTNQITTRVMNILNEYYKKSIFNVDDVKCHLWVFLNVFIDNPTFDSQTKEMLTTPPGSFKWWSSPASVWLLWPARCKDSYIQILATQVTSTPDVKQDHHGAVAIFLTLDSISSISASIFFSTSFTVNIMDSRAFTFSPARDRSSFSDGSLGWSVRRREEAAAVHGDAGALVRVAPPLEEPPVVVSHPPWEADVVVVVVDEIDRNKTTNGGGGGYGVKLANLFSTEFIIETADGCRMKKYKQVFSENMGKKSVPHITDCNQGENWTIITFKLDLARFNMTYLEEDHVTLMWKRVVSFVNGFETLKGGTHVDYVTELITTHLMNLLNEHYEECNFNVDDVKHYLWVFLNVIIDNPTFDSQTKETLTTPPGRLGSKLELPNSFSKIAFGNGLIRRLFGYRGPPGYRGPLDAKTGVSSRD >KN540090.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540090.1:47108:49171:-1 gene:KN540090.1_FG007 transcript:KN540090.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKLTITNDRMDFNTRGNAVPNGKLDRNQSGVSANRPSASKPTARSKKKPDQADKTTPNPAQNSASSAARSEAATVTTTTKSPAISVDRSSIARSNSLDSSSYGQAKRHTGGDSRWDAVRSASSVDCPLGLVHFRLLKRLGYGDIGSVYLVELRDTDAFFAMKVMDKESLISRNKLVRAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQRQLNKHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVCPMLDPGKRIASRRGATEIKQHPFFEGVNWALVRSAHPPSVPDPVDFSQFGVREKPAAPTNVAKPAASDSSSGDFEYF >AMDW01040928.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040928.1:2311:4387:1 gene:AMDW01040928.1_FG001 transcript:AMDW01040928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGANNGDGKEAALFEQRLSKIGEVRAALGQLSGKAALYCSDASIARYLVARNWEVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPHDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQATFNINDYAARMREDDIKMPLFWSPENSALASEPYVMVNKDMAQEGSSGLKSEETASEKREETDTESENREETESESERAEIDSVSGKREETVAVSDKREEKETESENGKAAATSSNGVELTSLPGE >KN544807.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544807.1:550:2026:1 gene:KN544807.1_FG001 transcript:KN544807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AFLSGNQPSKKVQSKYPFQHMNDSNEVGSENVELSSVDLDSVLTATNNFSDYNLLGKGGFGKVYKGVLEGGIEVAVKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNRSLDAFLFGILMMSDNTFHSTLILEDANRKNTLDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDTEMSPKISDFGMARIFGGNEQQANTTRVVGTYGYMSPEYALDGYFSVKSDTYSFGVILLEVVSGLKISSAHLKVDCSNLIAFAWSLWKDGNARDFVDSSIVESCPLDEVLRCIHLGLLCIQDQPSARPLMSSIVFMLENETAVLPAPEEPIYFTRREYGTDEDTRDSMRSRSLNHMSITAEDGR >AMDW01038370.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038370.1:176:629:-1 gene:AMDW01038370.1_FG001 transcript:AMDW01038370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EFISICEALELISEDELELSRQKQPDTMANRRAQKVARFKRQKAAETKLLEIKERKERRRRSLRAAALSAPIEAGEEDAFEDDGEEERE >AMDW01037970.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037970.1:212:758:1 gene:AMDW01037970.1_FG001 transcript:AMDW01037970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFKESVNLDQQDLELIKEAKPILKKCNGLPLAIVTIGGFLASRPKTTLEWRKLNEHISAELETNPGLEAIRAVLNISYDGLPYHLKSCFLYLSIFPEDDKISKKRLVRRWCAEGYSRELWDKSAEEIANNYFFELIDRSMILPTKKSTYSSRGADSCQIHDIMREIAILKSKEENLVLRLEG >AMDW01033803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033803.1:48:513:-1 gene:AMDW01033803.1_FG001 transcript:AMDW01033803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRASEPCVRDADIARDALFGRRIDGDVLVEVVCTRPSGEVALIRQAYQARYSASLERDVSSRTSGSLNEVLLAFLGSSGYHGGRVDATMAMCDAKTLYEAVEISGRRVDQRGVLQLLRHRSGDQLRAVLASYRR >AMDW01037644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037644.1:247:507:-1 gene:AMDW01037644.1_FG001 transcript:AMDW01037644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKEKVKDKVSAVKAKGKVGKAKADEKKEVATARSHAERELAHERAKARVAAAKMELHQDKALHREEAIQHRLHNHGAAATPTC >KN543724.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543724.1:1420:2224:1 gene:KN543724.1_FG001 transcript:KN543724.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMVLAVGGEAASVVIGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKAAGKLDGSSAFSVPLDTDLHSSDCIAQLHSATNEPCPGQEPSKIVPLSEGTFVTVAGKTSYPSALCASATICGPIKKKIIDHFHKKPVPPKPDPKPEPPKPKPEPEHPILDHFHKKPVPPKPEPKSEPKPQPKPQPAPEYHNPSPPAKH >KN543724.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543724.1:5246:5788:1 gene:KN543724.1_FG002 transcript:KN543724.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLHVAIQCKNIN >KN543302.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543302.1:8701:9096:-1 gene:KN543302.1_FG001 transcript:KN543302.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGYGSKPSAKGDVYSYGVLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDERLRGTIVDICHEGQQQASAEQKRQQLMLNNIILPVMEVALSCALESPDERSTMRDALCRLKRIKEAFLKNHSF >KN542578.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542578.1:2477:3584:1 gene:KN542578.1_FG001 transcript:KN542578.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAACRESPSRLGSASAIVADTETRYHLLKIGCYSRTKATTPTGSCLSSGQFTVGGHRWRINYYPNGESADCADYISLYLSLDEKATKNVKVERPHSLASAEVNTYGGESFWSWGCPKFIKRDGFEKSKDLRDDSFTIRCDVAVIGEIRTEKTTEIPAATTFVTVPPSDLNQQLVDLLETEKGADVEGNAARVVRIQDMEAQVFKLLLRFVYTDTLPEMKEEDVMCQHLLVAADRYNLQRLKLICEEKLCKYVSVGTLSNILALVDQHHCEGLKKACFNFLGSPANLSVVIANNGFKHLSRSCPSLMEELVAMLAPPPPSHA >KN542578.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542578.1:8361:9023:1 gene:KN542578.1_FG002 transcript:KN542578.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVGGHRWRIDYYPNGESADSADYISLFLLLDEKATKNVKVQAQFKFQISSTDQVKKAPSLASTEVNTYGEGSSWGRAKFIKREDFEKSNDLRDDSFVIRCDVAVIGEIRTEITATTTFVAVPPPELNQQLGDLLETEKGADVVFQVGDGTFAAHRCVLAARSPVFSAELYGLMKEGDTAGVVRIEDMEAQVFKLLLRFVYTETLPEMEEEEDVMCQHLL >AMDW01040363.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040363.1:339:890:1 gene:AMDW01040363.1_FG001 transcript:AMDW01040363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGGRSEVTDELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGETGANVLVGIQVPPEEFDEFKSRADNLGYEYMSELNNEIYRLLLRDPKI >KN543635.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543635.1:197:445:-1 gene:KN543635.1_FG001 transcript:KN543635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANLEDVPSMELMTELLRRMKCSSKPDKRVILVGNASVPPPLSCSSLSLGGLVGGSGSAARAALVGRWGKIAAACWGC >AMDW01037178.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037178.1:9:218:-1 gene:AMDW01037178.1_FG001 transcript:AMDW01037178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDVVAAAGLQCSGDMLGDKQLVSQVILEGLEIEEPPADEMEAAEKKAGISRLMAGYVQHLQHRSAYHLGM >KN541340.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541340.1:2641:2865:1 gene:KN541340.1_FG001 transcript:KN541340.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVVVGLSLVALLVLTAVEDVGVAADNEIGYTTMNHDDIPGTPKLLHPGGPANTYTRGCEKEQDCRD >KN541686.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541686.1:4704:4808:1 gene:KN541686.1_FG001 transcript:KN541686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKDVDCWKGEPRTDHGGRERRACGCIGWIRG >KN541340.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541340.1:19069:25858:1 gene:KN541340.1_FG002 transcript:KN541340.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAWVNLVILGLSLVALLVLTTIPEVVGGRLGGYVDYGAMNNDHIPGTPELNHLGGPANQYTRGCEKQLHCREQQIVQVASLWGRKDGITGTGILHSRLSLSVVGSGERRSLTGGGARSMSNGASVINWFSFKIGILDMLRGRHRQASSQPPQWLKLSAAADSEISRQKKNIGFTGRGSELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALEAIKRYDGVILDGNPMKIDLIGNNSETSPMPPTAPLLYNPPFPNYPNS >KN541340.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541340.1:10431:10670:1 gene:KN541340.1_FG003 transcript:KN541340.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVNLAILGLSLVALLVLTTVPEATGGRPGGYINYGAMSKNRIHGSPQYNHQGSSANQYTRGCEKQLHCRGKRRGF >KN541686.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541686.1:8973:11884:-1 gene:KN541686.1_FG002 transcript:KN541686.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIPAVAIEIPSLIIFLVLMPGIVTAGLVPRFKTCRGTNCQNFLRNDPRSCDGIGRCAYVYTYGGANGKKTSGTLSMDEFTFGSTKINVSFGCGFPDQVDFRGQPGVIGLNRGRFSLVTQLQLGRFSYYFAPEDRAGDSVFRFAEDAVPQTSRPSYTRFPPTGAAASREFPDMALVFSGGAVMELQPRNYLYRDAGTGLECLTILPSPDAGGLSLLGSLIQTGTHMIYDIEGSRLGRQDDDMEGEPVEGKVVEAAHAKERGEGDMVVEREALGHEQWEGLHEVLGMASVPHPAEGCLDMHEQEVRRDGKLSFALPPGILDGPVSQAVQLMGGRDYVRCELGLESWARVLGFRLKGPNFTHFGEA >KN541686.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541686.1:6722:8342:1 gene:KN541686.1_FG003 transcript:KN541686.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIRSFAIATVVVSLVLVPMPEMVSAGFPSALFSILRVNPKMMSKQLGKLLERGGLDLVDMGAGLVLPPDSTSEARDGNAIATTSSWHAPATTLEASLMILEVR >AMDW01034998.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034998.1:414:527:1 gene:AMDW01034998.1_FG001 transcript:AMDW01034998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKTATAAKAPPPWTPAAVEYGIPGTDLDPPPAVIA >KN545967.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545967.1:792:1151:-1 gene:KN545967.1_FG001 transcript:KN545967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AWSLYEKEQALGIVDPRLKEFSRDEVYRVIHVALVCTQGSPHQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSNSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGR >KN541445.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541445.1:3575:4203:-1 gene:KN541445.1_FG001 transcript:KN541445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVSIVDKDRQELILDD >KN539764.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539764.1:80282:84284:-1 gene:KN539764.1_FG001 transcript:KN539764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILISTGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAQVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDRVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >KN539764.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539764.1:63813:64180:1 gene:KN539764.1_FG002 transcript:KN539764.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAPFGLALVAVAAALLLLLVVSSCHASREHPDIRNTMAVESSKTVVIWDDPKCEVMEKCNPKDCTDYCISIGLGQNNGFCTFHDLQFYCCCPID >KN541445.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541445.1:20774:22212:1 gene:KN541445.1_FG002 transcript:KN541445.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHERWMAQHGRVYKDAAEKARRFEVFKANVAFIESFNAGGKNKYWLGVNQFADLTSEEFKATMTNSKGFSTPNGVRVSTGFKYENVTVDALPASVDWRSKGAVTPVKDQGQCDHGVTVIGYGTASDGTKYWLVKNSWGTTWGEAGYLRMEKDIDDKRGMCGLAMQPSYPTA >KN541445.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541445.1:12783:13973:1 gene:KN541445.1_FG003 transcript:KN541445.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQEENAAATEPSFQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYAVSRRPLPPWSPPASPAVTHLHLDLADPAAVADALTPLTDITHVFYVAWSAHPTEAQNREVNSAMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFEAIGKIAAPDPPFTEDMPRLDCPNFYYDQEDVLFDEVSRRDGAVSWSVHRPTVVFGFSPRSAMNVVGSLCVYAAICRKEGAVLRWPGSRVAWEGFSDASDADLIAEHEIWAAVEPFAKNEAFNCSNGDLYKWKLLWPMLADQFGVEWSGYEGEESSFKLADAMSGKEAVWAEIVKENDLMDTELEEITNWWFVDAVFGVRSEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >KN539764.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539764.1:29658:43898:1 gene:KN539764.1_FG003 transcript:KN539764.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLTQWPWHNLGNYKYALVAPSAAYSTYRFVTASSAAERDLLNFMVFPMLLLRLLYGQLWITVSRHQTARSKHKIVNKSLDFEQIDRERNWDDQIILTALVFYLVSATMPQSQVAPWWSTKGMVVTAVLHAGPVEFLYYWLHRALHHHWLYARYHSHHHASIVTEPITSVIHPFAEEVVYFVLLAIPILSTVATGTVSVLTANGYLVYIDFMNYLGHCNFELVPKCLFHVFPPLKYLLYTPSFHSLHHTQFRTNYSLFMPVYDYIYGTTDKSSDELYERTLQGRDEAAWRPDVVHLTHLTAPESVFHNRLGFAAVASNPLGAAASGHLLRAASAVASPLLSLFASTFRSEANRLDKLNIETWVIPRFTSHYTSKSDGYKVSRLIEKAVSDAEASGARVLTLGLLNQGYDLNRNGELYVVRKPSLKTKIVDGTSLAVAAVLNMIPQGTKDVLLLGNANKISLVLTLSLCKREIQVRMVNKELYECLKQQLQPEMQEHLVLSCSYSSKVWLVGDGVTDEEQMKAQKGSHFVPYSQFPPNKARNDCVYHCTPALLVPESFENLHVCELRAWSVLDCPYSPFDFNPLDSVWLDTAKGVILSIIFHFHTCDLIGFMVQLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSLGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEPALNHITIHRFMAFFVKQGLYYQGRSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKEFFWIIFMVMILFSWTEPRTKKGSSQKQEGYKVVLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSLPAGRTPYGRPVRVVELGETHIPREVFEDYLRDISPRYTAETYRLLSHNCNNFSNEVAQFLVGAGIPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRANAAPQATQFVPTSVPPAPAPQNKTGEGSSSSKQEDKADSAKQSSASAADPLGGARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDATTMQQS >KN539764.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539764.1:574:2249:1 gene:KN539764.1_FG004 transcript:KN539764.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide/nicotinic acid mononucleotide adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/Swiss-Prot;Acc:F4K687] MEEVELPLPTEKLAVDPGREGGNRGVAVLVATGSFNPPTYMHLRMFELAKDELQHRGYSVLGGYMSPVNDAYKKKGLLSAAHRIRLCELACESSSFVMVDRWEVRTICKDFGVICIRREGKDVEKIISSSEILNECRDNIISVDEIVPNQISSSRVRECIQRCLSIKYLVCDEVIQYIGEHKLYKEADGSDTTK >AMDW01038750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038750.1:216:629:-1 gene:AMDW01038750.1_FG001 transcript:AMDW01038750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVLSVSYKGDRFDAVKTKCSKAEDSKPEDQDPDIPAEQPDFGGIIDVLAWHYWSVLRRHGRRDPLPERDEQIRKGMQDGSGRVHPTPCDSHE >KN539764.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539764.1:7617:15323:1 gene:KN539764.1_FG005 transcript:KN539764.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGLVRGFVDVLVLAGGHTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESARELDAALVQLTSNPNFPKGIEDIRSKTLATARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASDEHNVCQEYVQSILDMNLPSLMRTKNACDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIVDKFILWKQWKAKCLSYLLDERTIRIMSGTNLIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESFMSHTFSSYPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAGSEDRKCNCGGDGIDQHQNSSNFTSDLYINNSESPNGKLSSESYAIDTSGCDSSLPQTQSLYKGNPNSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMAIFDQQIQDNIAASPRTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAADATSTTPSNYSHFHAQRNTSNPPNFNELCSGNIASSNIAPTKPRMRWTPELHERFVDAVNKLGGSESWSLILCSSYHIFILNFYRTVLFSQEETKATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGRGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAE >KN539764.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539764.1:67772:69230:-1 gene:KN539764.1_FG006 transcript:KN539764.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPLVTLLLLAHAAAWVAWQAAARRRRATCYLLDYACHKPSDDRKPSDDRKVTTELAGAIIERNKRLGLPEYRFLLKVIVNSGIGEHTYSPRNVLDAREDCPTLRDALDEMDDFFDDAVAAVLARAAVSPRDVDLLVINVGSFSPSPSLADRVVRRFGMRDDVMAYNLSGMGCSAGLVSVDLARNVMLTRPRTMALVLTSESCAPNWYTGTDSLWYVLSYMEAKRRLNAGDRVLMVTFGSGFKCNSSYWEVTRDLADAGAWEDCIDDYPPANLVNPYMEKFGWVNDLPSQGQGGAFPFF >KN539764.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539764.1:3081:7304:-1 gene:KN539764.1_FG007 transcript:KN539764.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECGFAQSQEAYDAAAGELFAALDRLEDHLSGSRYLCGDALTLADVCLFTTLVRFDLVYHSLFRCTGRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIADGYFGALFPLNPGGILPLVPASCGPEALLEPHGREALSSSTSSAAGGNGRQLEATSASN >KN539764.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539764.1:50116:62994:1 gene:KN539764.1_FG008 transcript:KN539764.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQSTAKAFMAEGKVAADPVGNHLIRCFSSCWPFCLFAGFFSRFNLQTIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEMYEERLKHPQYLDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTMDIKSEGNMGVAQRSLPMDPSSLYGQGIIQPKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDLDPRRYTALTRGGLNGKDGQPAGTDGCISSPMQSSSPKVRSDQEYLMKMAQSNWEADKMQLVPGTPGNVSTTLQQIQARNQQTMDIKSEGNMGVAQRSLPMDPSSLYGQGIIQPKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDLDPRRYTALTRGGLNGKDGQPAGTDGCISSPMQSSSPKVRSDQEYLMKSNRKRKQPTSSGAANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMPGNMRHIPKNLVMYGADGTGLASSSNQMDDLEPFGDVGSLEDNVESFLANDDGDARDIFAALKRSPAEPNPAASKGFTFSEVNCLRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMDTFQSQYTSEEHSLIITDVRFRPNSSQLATSSFDRTIKLWNAADPGFCLHTFVGHNVQVTSLDFHPKKTDLLCSCDGNGEIRYWNLTQLSCMRSMKGGTAQVRFQPNTGQFLAAAAETMVAIFDVETHSKKYTLQGHNTDVQSVCWDSSGEYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYANLLVIGGYQSLELWNMVKNQSMTIQAHEGLIAALAQSPVNGMVASASHDNSVKLWK >KN538979.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538979.1:81051:84467:-1 gene:KN538979.1_FG001 transcript:KN538979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQLTYLAASAGVCLASALAIALLSIALYIIGVVASFAVLCAKEFAERAHDRPPLVGTVFRQLKNFDRMFDEHVNYATAHRTSRIVYPGHCEVFTSDPAVVEHVLKNSFSKYSKGDFLTTAMKDLFGDGIFATDGDMWRHQRKLASYEFSTKVLRDFSSDTFRRNAAKLAEKISCAAANRISINIQDLLMRATMDSIFKVGFGFELNTLSGSDESGIQFSKAFDEANSLVYYRFVDIMWKLKRYLNIGSEAKLKRNIQIIDSFVMKLIHQKREQMKIAADYKTKEDILSRFVLASEQDPGTMDDRYLRDIVLNFLIAGKDTTGNTLTWFFYLLCKNPIVQDKVALEIREFVEWSKEDNTIESFTKRLDEGAISKMHYLQATISETLRLYPAVPVDAKMADEDDVLPNGYRVVKGDGINYMIYAMGRMTYLWGEDAQEFRPERWLVNGVYQQESPFKFVSFNAGPRICLGKEFAHRQMKIMAATLIHFFKFRLEDESKEPIYKTMFTLHIDNGLHLLANPREISP >KN538979.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538979.1:65885:70124:1 gene:KN538979.1_FG002 transcript:KN538979.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLTADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATEVNGAQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEGAHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITASGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >KN538979.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538979.1:13757:20802:1 gene:KN538979.1_FG003 transcript:KN538979.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGAGTLRSTSINGVKLYSITGNSYVAPWVLSKKKRALRKDAGILTRLTLICSLYFGFFFLVQMTCIYPPQVKVYELKELSMKFERHMISEIVDFQVLGDDYSKLAFLCADRSVCLHAKYGRHYSLRIPRMGRDMAYDCWSCDLLCAASSPDVYRINLEQGRFLASLSSQSPAINVVSRSNIHGLIACGGEDGAVECFDMRRKSSVGRINTAISPEDFNQEVTSLQFDENQGYLMAVGSSTGKVAIYDLRMSSPLRVKDHMYGSPILSMKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGTINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKTENIVYEDFKFLTKDEMDRYDLSKYIDQGLVRAHMHGYVMKLQLYKKLLATSAVDPENIQEKVKQKKIEEQRKSRITQVVKIPKVNRQIMDNILKEEEEMDADLENDEKSGIKKKKKKLEMNKALLTDPRFKEMFENKDFEIDEQSREYLALHPQTSLKEPRLIEEHFETVSDDEEQEDASSSDASAESDSDNGMQSSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIGDRVAALERQRNSNALDEVKYGPGGSREISFIARGSRRRNEESDDEEPKDFKRRGVQSLGLKQGKAEYYLFGGSRGRGRGRGGGGGRGRGGGGGGRGGGGGGGGRGGRGRGRGRGRGRG >KN538979.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538979.1:133595:143591:-1 gene:KN538979.1_FG004 transcript:KN538979.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLEVKEAVEAPKPEEAPKAAEEVEEKKAEGEKEKAKKERKPRARKPRSAGPHHPPYFEMIKEAIMALDGNGKAGSSPYAIAKYMGEQHMGVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLSAAEEKKATAASVGFGVPAAIKPTEEKHARWDDERVSASASMWMMPQTQRHLKDHQSMNLLALMNDRDNAIRERDHALAEKKAAIAERDMAFTQRDAAMAERNAAVVERDNALAALELARTNGLNMNNGNGFPQGSLSGSKNIHHHDQLSHAQSSPLQLADSPYDHTREMHISEAYPISTAPGSAGKAKRPKKNSSQASPSKRPSGVLRKTKKPSGDWKNVGMSGCGDDSAHASVMKNEWKDQNLGLNQVAFDESTMPAPACSCTGKLRQCYKWGNGGWQSSCCTMNISMYPLPVMPNKRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >KN538979.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538979.1:2697:12241:-1 gene:KN538979.1_FG005 transcript:KN538979.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIKCQVTQRGHAFSTSANAVAVGKSSDDKVKKDISKKDVDDQIADTQILKNLGKYLLLNDSPDFRFRLILSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTEANATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSSTVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGRIEFENVHFGYVPERKILKGATFTVPAGKRVAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIREVTLDSLRKCIGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDVARRAAIHDTIMNFPDKYNTVVGERGLKLSGGEKQRVSIARVFLKEPCILLCDEATSALDSTTEASILNSLKTLSVDRTSIFIAHRLTTAMQCDEIIVLENGEVVEQGPHDFLLSKGGRYAELWSQQNNSDAIDAAAVCEIKTYFM >KN538979.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538979.1:147875:151265:1 gene:KN538979.1_FG006 transcript:KN538979.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKSTCSTCCTQSGLSSATGDIESQSTVTEERELGTCCKGPNIMAIAGQESSQDIYNNSFELPNDIRFSLVFMLYVILENFMLLIVGLCMAVQAFFPCGAAYVNILSSYEDGSTLLWDARNPGLPVSSVKYHSESVVAIFIYLWYLEIMYDLRVRCAALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILRKEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >KN538979.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538979.1:131942:132513:1 gene:KN538979.1_FG007 transcript:KN538979.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-1 [Source:Projected from Arabidopsis thaliana (AT1G01940) UniProtKB/Swiss-Prot;Acc:Q9LPC7] MSVTLHTNLGDIKCEVFCDQAPRTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFADEFRESLKHNARGVMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAN >KN538979.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538979.1:103414:109558:1 gene:KN538979.1_FG008 transcript:KN538979.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPWLWWWVMAVVVVGVVAVAEAAGGGGGDGEGKALMGVKAGFGNAANALVDWDGGADHCAWRGVTCDNASFAVLALSLDIIEAILFVMLKRIFVMRVFLCVKNRNLSNLNLGGEISPAIGELKNLQFVDLSGNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGVIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKFNVYGNKLNGSIPAGFQKLESLTYLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLELNLSKNHLDGDMSNNNLSGSLPEELGQLQNLDSLILNDNNLVGEIPAQLANCFSLNTLNLSYNNLSGHVPLAKNFSKFPMESFLGNPLLHVYCQDSSCGHSHGQRVNISKTAIACIILGFIILLCVLLLAIYKTNQPQPLVKGSDKPVQGPPKLVVLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLRIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPASAMTTPKTVDYSRLLASTTTAADMRGHDVTDIGDNSSSDEQWFVRFGEVISKHTM >KN538979.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538979.1:54004:55305:-1 gene:KN538979.1_FG009 transcript:KN538979.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLVDGYKFGDQTTSDVRVCFKRVDEQAEWFCCHSSVLSRNSKYFADWLSRNDIGSNNCIEVDCTSADYEHYVKVLKLIYLPGESIIDSFESVRSAVGVLRVSTLLKCELITRSCIEYLEAASWDEKEEEEILEVAQSLGSEEAVALLARLQAPNVSAVKNVFISAIRFATSMESPSPPFLDDLKTSAQEQIDFMLHEDDDTALVTMDEDVRSVVREGLKKLFSTLKIGLDLLTSEYEQLPEQAEQRVLCSLADIDWMANVLTKIEMMNEFVSGWSEISGYVLSVVQDKKYSSGLWLVKAKLIEVTGKAFDAVGYGSVVFPASSRVHFLRMWLPFMQTTKRLLDEKSKDDVIPQMDADLFQNIEGAIVSLVLALPSGDQADILGEWMKNAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGSGNPTVSL >KN538979.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538979.1:22999:25115:1 gene:KN538979.1_FG010 transcript:KN538979.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLLTASLHVTTLLLLSNSPVSQSRGENVFAVTGPPVTADAARPTSSGAAPSANSQPSRPACCEEVTDAGGSGARCLGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >KN538979.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538979.1:74974:77456:-1 gene:KN538979.1_FG011 transcript:KN538979.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MVVDWTGLDLDGQWLKNLQEEKGVLTYGRLDLRQILIQGVDMFGKRVGFVKFKADIIDEETKAKNIDIASTRIPGIVFARGPAVAVLILLESKGQTYAVLTEQVRVPVGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMIDLTALLNPDTGCRMLPSPGGCDEEIGLFLYRGHADEDTITALQGKETGLRDHGELIKLRVVPYSQLWRATADAKALSAIALYEMAKKEGLLPSSPTTSRRRGSSSSANL >KN538979.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538979.1:87101:94252:1 gene:KN538979.1_FG012 transcript:KN538979.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >KN538979.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538979.1:111801:117605:-1 gene:KN538979.1_FG013 transcript:KN538979.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVMVMEVRWFEVDLEYEFDAPRWFDLAVEESAVEAAAAQLCLIANRNESIFLIINEEEKGMMFSRKTDVLEMLMVEKAPTALIAKMLAEDLGLQSLRSTVDIDVAHCSKSSHECSNGAEQTIHRPHIPNEGRIPCYQVSANERKHGFRTIGKGTIPKGSTLMKPTASQLARQNRQIEVKNSTQSKKSVGVRSDRSTMSSNDCTYQAAKRQRLERGHLNKVVSTNQPELIHKNHAKNVMTSNSDHAIVVPKLKITIPREPELATKLRAERPTNSKQLNRQAAQSISMTQASSIRKVVQPSGRNDHQHASVPHRGIGSNVPVCTANRPRHLDNICKKPDECRDDLFKFKARPVDKKEFKLSTSRKGKQAPLSELFNKVPFLHLNKG >KN538979.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538979.1:128109:130912:1 gene:KN538979.1_FG014 transcript:KN538979.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDEDERELSIRGLAAYQPYDGILALKMALAGFMRQIMQGSVSFDPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGIKVRGVLISNPNNPTGSFVPKQTLHDLLEFAAEKNIHLISDEIFAGSTYGSGKFVSVAEVVDDLEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNESIMTAAAKIARFSSVSTPTQRLLVAMLSDQKFISDYLKINRERLRKMYHLFVDALDQVGIECYKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRSQLLPL >KN538979.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538979.1:71323:74621:1 gene:KN538979.1_FG015 transcript:KN538979.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVSLLLLLLLLPVALAIRGARILPTERHKRHTTQVTNNQTDKNFKFSDASMCLEEDKEASLLSLRDAFAEADSDGCRRAVDIVVPGVMPFGCFALYLTELKSSNKSDYDDYGCLKPLNDLAIHHNSLLQPSLDRRRPGQAPQVAVIIAGGEDHVRRLLRRGGGDDAGPGAAGVQERHRGVLWRGGGGEYNWEYEARSHALMTSRNVVEFLGLAKV >KN538979.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538979.1:30127:36155:1 gene:KN538979.1_FG016 transcript:KN538979.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIIYCNSMLNDQITDEIAALLLENGADVNARNIYGQVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLITIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPKQAAVSPSSEQQCRCLPISLLKNENADDDGTESSCLICLIGSND >KN538979.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538979.1:78967:80417:1 gene:KN538979.1_FG017 transcript:KN538979.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTAPLVGKQGESDAAKNKARKDKKTKKQNLEPTADSKAAEISGKDGPVGDENASVDENRRKRKRGKAVDLRFKELDEAVAVSKKQKRKKYLDEKKKKRKGDKMETLPEFPGREKVKFGEVVEAPPKLSFPKVKSALDATREMLRKEAIENYRNIKGWTSRPGLQLPTLAENKSL >KN538979.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538979.1:167972:170197:-1 gene:KN538979.1_FG018 transcript:KN538979.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAARGNPDALLICNGYKDDEYVSLALIARTMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVAKLKTLGMLDCLQLLHFHIGSQIPTTALLGDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSHSAQTDMSVAYSLEDESGRALVSHHSVLVFEAFSASAPGRIDPATGYLLDELTDDCHADYRNLMAAAARGDFDTCALYADQLKRRCADQFKDGVLGLEHLAAVDSLCEIVARGMGAAEPPRTYHINLSVFTSLPDMWAIGQMFPIIPIQRLGERPAVDGVLSDLTCDSDGKVDHFIGGRHSLPLHELPVHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAAGPACADVLRSMQHEPEVMFEVLKQRTDGATAAALARAFGAMPNQSINQ >KN538979.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538979.1:50170:51822:1 gene:KN538979.1_FG019 transcript:KN538979.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCSNNAGKFADRFALSDDGVEMTFATNYLGHFLLTKLLLEKMAETAAATGVEGRIVNVSSTIHSWFAGDDAVGYIDAVTRRKIPYDPTRAYALSKLANVLHTRALADRLKEIKANVTANCVHPGIVRTRLIRERDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGSSADEAAKLWRFSDEVAAEEKEESVHVGSFRLQVQSSNADRGLAFA >KN541871.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541871.1:8649:12921:1 gene:KN541871.1_FG001 transcript:KN541871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEVAAAGGGGEMVVVCLPPLSEDDPLFQDKKDLVSKGFLLFSAYFTRSKKRILDSRNLSCLFQVPNSCSAADAFKVLDRMIQASRIAHMDELELYFTGDEDFGPLSTRNELESLNLLLKILNTLLLTANVGAMGVLQVLRDEILLRLRSLELEDNGQMVVQIRNQNMEDSLLKWGEQHGVKTKLQIAFFEGAGRGMVASENIDVGDIALEIPESSIISEELLCQSDMFLALKDLDSITTETMLLLWSIRERYNPSSKFKIYFEALPANFNTGLSFGIDALAALEGTLLFDELMQARQITIELVSPHILNYGRVDKVTKSLKFPLSRPCKAGEQCFLSYGKHPGSHLITFYGFLPRDNPYDVIPLDLDTSVDEEDSSSPSVTTSQTSHMNKENDRMVLETLLSIFTPMLEGLDEPDDFDRENACWDVKLALDYKDLQRRIVLSIVTSCTSGLAMLDS >KN541420.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541420.1:3690:7913:1 gene:KN541420.1_FG001 transcript:KN541420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTTTTEQQQGRRRGAAGDGGRAWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLVALCPELQLIKLAIKIPMPVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSSSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLDCCLGTVLEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNALVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMVDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAANGQQVINTTAKFRVDRTP >KN541871.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541871.1:18255:21053:1 gene:KN541871.1_FG002 transcript:KN541871.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMRERDSGANLEELSDLQRELKTALGTAKWQLEQFERAISMRNDKYSLEEGTLARRRQFVVAMEDQISQVEKQINDYSIDNDRRGLNWVKLDDEERDDLVAFLSAPAQLSQDTKKRDNTYHSPSKQKNALIDANDPRDMAAISQDQYKTEALCREISNGQAEACCLAEQLNGHSTSPSSGGEHWKIDISDDKDNDRKLSPNKVEASSQATAFSGIMKTTESFTRVRWLRNSLWKAKSDEHLPLRYDMPNHLDWRIITLLAQCHFSCTQLDNAHIGGC >KN541420.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541420.1:26801:28596:1 gene:KN541420.1_FG002 transcript:KN541420.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLGPFPAHHRCTLLLLTVTLLPSLAAAAAAHHHHLHAAGDGVVISQADYQGLQAIKHDLTDPYGFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPAPSSSSLKESITGTYNLAVLELSHNSLDGQIPQSLAGLQKLQVVDLAGNRLNGTIPDKLGSLADLKTLDLSGNALTGEIPASLSNLTTSLQAFNVSNNNLSGEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLS >KN541420.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541420.1:14864:21599:1 gene:KN541420.1_FG003 transcript:KN541420.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSPPMRSVAAAALVLTPTPTLNHLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDEEETWRREAKPEKKDGGEEVLGRGWFMVDEIGMDILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGDEISSSQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLMDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQIGGVTGVALSTTLLLGFGYLSMLFTDDPAVLDVAQTGVWLFAGAISSAVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWRKTCTVLCYRMEKADVYKNIQSNPSIGGEGRARGTAAGGIKKRETATTRDSESEKKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKFSFCLQFGNKFLPQHVYSSMQIMSNSALINPF >KN543739.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543739.1:4547:5211:1 gene:KN543739.1_FG001 transcript:KN543739.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRPGQLLKMIAGLQALRLTVLHLNVTTLDSLVLYTLSVKEPDECLAT >AMDW01039759.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039759.1:67:801:1 gene:AMDW01039759.1_FG001 transcript:AMDW01039759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DIQHMPATVATLVALKERLGDSNGASSVLDSAIQWWKNSMTEDNKLDVFMREAATFKLNHGRDEEACQLYEDLVKSFGSAEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARPFEAPKPMEQDVTDEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVSRDGTSSASASSSSKANQATKAPAAAPEPPKGSNKSRKKKSRS >AMDW01028503.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028503.1:1:279:1 gene:AMDW01028503.1_FG001 transcript:AMDW01028503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STTGRLSEPPQQITPGYRDLLNYKCSQAANPDVVNNVRDEELSVVARFMPGFVSRVRKISDFLDNTYYHNNLAKIVTFHSDWQLLTDATSLSK >KN548934.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548934.1:97:174:-1 gene:KN548934.1_FG001 transcript:KN548934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLARNVLLTGKVLLNGKKRRLDYGVL >KN544365.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544365.1:179:2236:1 gene:KN544365.1_FG001 transcript:KN544365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILISLTGNIKR >AMDW01085364.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085364.1:263:778:1 gene:AMDW01085364.1_FG001 transcript:AMDW01085364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESRVKQPVASLLPPSDHLFMPFVGAPEFMGEQMDNEELNLSLSLQPSYPSRFLTEFSCCYCPKRFQSSQALGGHQNAHKLQRNLAKRNREAFLSISQRKDANAGIKDGSSALSAESICKISSGKKHHKEAWQVMQGSCGSSSSGTVMHKSIEQDVEDNYLSNGTIDLSLKL >AMDW01060042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060042.1:442:855:-1 gene:AMDW01060042.1_FG001 transcript:AMDW01060042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEKPTGYWEKVDEEGSRMLDRIAANARHRAEANGDDDSIGGFVHSVFSGKSEQHSEEPSHVQ >KN544915.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544915.1:169:2021:-1 gene:KN544915.1_FG001 transcript:KN544915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKKPRSSAPDPPAHLPWHHPPAPPVSTALLISLAALLVRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSANDLAYWGLDYPPLSAYQSRLHGLLLNASLPDAVALRSSRGFESPESKLLMRWTVLSSDLMVFFPAALWFVWVYFKCGVGRTGEERMAGWTWLLASCLINPCLVLIDHGHFQMSLYFAPAFFGHLLGKCIKRKYPIVEVMKLGFVVLGTFALVWWPFLHSYEAAMQ >KN543061.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543061.1:1251:2643:-1 gene:KN543061.1_FG001 transcript:KN543061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 40 [Source:Projected from Arabidopsis thaliana (AT4G16270) UniProtKB/Swiss-Prot;Acc:O23474] MDQLLALAVAVPLFALASALAAASATPVNKSCLNGSTGAAVSIGYGGASASAGAGAGVSLGTDAYWLACPLAEEIVRDVVERAVAADPRMAASLLRLHFHDCFVNSGGPSWQVEVGRKDSRTASLQGANTNLPAPTSGVATLVQKFRNVGLSAKDMVALSGAHTIGKARCTTFSARLAGVGASAGGGATPGDLSFLESLHQLCAVSAGSALAHLDLATPATFDNQYYVNLLSGEGLLPSDQALASAGAAAAGAEDVAGLIAAYAFDALLFFDDFASSMLRMGRLAPGAGTASGEVRRNCRVVN >KN540869.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540869.1:4663:5622:-1 gene:KN540869.1_FG001 transcript:KN540869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSVAVSWLAASAAAAGFYEKFDVVGAGDHVRVVSDDGKTQQVALTLDRSSGSGFTSKDTYLFGEFIVQMKLVGGNSAGTVTSFYLSSGEGDGHDEIDIEFMGNLSGNPYVMNTNVWANGDGKKEHQFYLWFDPTADFHTYKIIWNPQNIIFQVDDVPVRTFKKYDDLAYPQSKPMRLHATLWDGSYWATRHGDVKIDWSGAPFVVSYRGYSANACVNNNPAGGWSSSSCPEGTSAWIHRELDGAELGTVAWAERNYMSYNYCADGWRFPQGFPAECYRK >KN540119.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540119.1:6026:8210:-1 gene:KN540119.1_FG001 transcript:KN540119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSSSNPEDASLDLSFSEDGRNGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTTDIGQFFFTICALSAYMQNALTRLIDQNASAILRAGEGGDRKKAGPAPATKPNVKQPAANGEEAEAERSDSDESVDP >KN540869.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540869.1:32834:33601:-1 gene:KN540869.1_FG002 transcript:KN540869.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSVFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >KN540119.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540119.1:54188:55597:-1 gene:KN540119.1_FG002 transcript:KN540119.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTAASWRLLPPAASSPPPRRQAAALLRRHPAATTTSSSSGKWTTRLLRCLLHDTPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >AMDW01038454.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038454.1:92:799:-1 gene:AMDW01038454.1_FG001 transcript:AMDW01038454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKNAVSWNALVGAHRDAADWMGAERVSQAMPVRNLSWWNAEIARNVRIGYMDEAARIFSEMPERDAVSWNSLISGYTKLGKYTQALEIFQEIQDNGIEPTELTLVLVLGACAKVGKLDLGTNIHRNLQSKGIVADGLVGNALIDMYAKCGMLDLAKKVFDRMSMRDITCWNAMIVGFSVHGCPHEALELFDSMKIEPNHVTFLGVLTACSHGGLVNEGRKYFNSMIEDYRIVPD >KN543607.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543607.1:361:3968:1 gene:KN543607.1_FG001 transcript:KN543607.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSSWDESVSEEGEEEGVEEDSEESGAAGEDDDGEDNDEKPRPEPVAASGFEFASPPEGYVEPAPFDELPPESPEDVAAAYEALYGPAFSGETVMGNNVFEVKVVDPVDMDREQRPSDEFSERVVQVNRVTKVVKGGRQLSFRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVAAERGLPMEQLWK >KN539815.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539815.1:39334:39825:-1 gene:KN539815.1_FG001 transcript:KN539815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGKILLCYTLLAYHRSVLRSA >KN539815.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539815.1:978:1660:1 gene:KN539815.1_FG002 transcript:KN539815.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANSSVLVLVVVVLAAAADAVTFTIVNKCGYTVWPAALPSGDGNQLDPGQSWAVYVPAGTKGARCPGELRAKAGCSNPCRGNSTCGPTKDTEFFKKLCPETVTYARDGQGTTFTCPAGTDYQIVFCP >KN539815.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539815.1:26705:28006:-1 gene:KN539815.1_FG003 transcript:KN539815.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKERPAGRLGRLLPPLRPARAGPLPPKGRVFGLGLGFVSLVGVVSLALLVIWSKKVVAAVTVASFSLFLLESVRSSALSRRRRPRPAANNKLDLDGRGYVSPIREVEPARASFSDSSRRSEFSILTIEERSEVGDDSIVAIEERIAAGGDDSSNAKVKTKKRSWRKLIPRKLQKGRKGKEAEDSSGSFRSSEGNRGDATATDSSDSRRGMRTKAADAVIARSMDSSPSFRGNGGETDAEADSNAVRIEIDAPADVLSGDGDVGGARSSVALLVVAVVLVGLVAGKLPAVVFTVLCGVFISSVQRLPAGGDGTGDRSFTWWFRIKPKDVVVN >KN539815.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539815.1:13893:14587:1 gene:KN539815.1_FG004 transcript:KN539815.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATSSTLALFLLVAVSIADAATFTITNRCQYTWNNLDFFDISLIDGFNVPMAFLPAGSGAGCPKGGPRCATAITPQCPSELRAPGGCNNACTVFRQDRYCCTGSAANSCGPTNYSEFFKRLCPDAYSYPKDDASSTYTCPAGTNYQVVFCP >KN539815.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539815.1:5376:8819:-1 gene:KN539815.1_FG005 transcript:KN539815.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSGSKVPDSRTRRAASVEDQERPPLPPSLEPDSSPLGEPQAPLVPILRTPSGEVVYGITDDPVAAQAYHWAYRKYEEKLDGTEINQCTGIVVEWDDVKKSAIILTSAWIICTKKPFDDWSYKDYAPEAKVIVRIPDDTTSDCRLLYFSKHFDIAFFETMRELTLPIVPLKPDLEYGQNLCVLARDNKTDLICTTVRVKYVDPYEYQHNHYLFIDGSIPKCGTGGALADFSGNIVGMLFCTLPIVAFIPSSLILTCMRLWRKFGKLVRPHLGLKLRTVDFLEMAHIELLSRKYNIASGLIVREVFSQCAAEKHGIRVGDVILSCQGENISNVTQLEDILLGVGERHLEKGNDSGSKVDVEVGVFHVRKCSRRLVTLTVELSDGIEVFH >KN539905.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539905.1:69651:70535:1 gene:KN539905.1_FG001 transcript:KN539905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSRRPAPGGEARWAAAGGEELGVGDDGEDGVGPGPGKQVWSFKSLCTGEVVKKHTIFPRVLAVGLLAYGRGCGGGPAADDGRRGSKRAVGVGSMGAVDG >KN539815.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539815.1:36380:37390:-1 gene:KN539815.1_FG006 transcript:KN539815.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDYDEEDDAAAAADEA >KN539905.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539905.1:52144:52439:-1 gene:KN539905.1_FG002 transcript:KN539905.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAGSGEEFIGGGSSISMTGIRIGGRNWWRQFLGAEGAEAIFGGTSMVSEGVGMDGSGWKEKSIFAMFI >KN539905.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539905.1:24845:29696:1 gene:KN539905.1_FG003 transcript:KN539905.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MITPEEVAEALPWELLHRLASLLPPAALEALHHAAHDRCCFSAATAAVGFAGPDGDRRGIKRSRCEDFNPEWQALFGLRWPRCDNAGHDGLLTVDWQRQYWEKHLQECLDEAAESALLPSFCGSIDELTIPAKIVSCILHTKDIPQQYSRLSFHCSSFGCYARCLRLQSVLCTAEISDLLQGSKLEKLMFVRIISDLEVNGVCMLLSCHAETLLSLEFIHCQLSPAVMDKICNSVLQKGSVNHGIQNFSIKSSRICESNTLNISAGLLDFLSMGKSLQWLSLNDTKMQPLFAKIIVHTLLGSSSGIRTLEISENNIAGWLKTMDKRFACFSSALESNISLNSLTLLNLRGNNLNKGDIEDLCKILVKMPNLRDLDISDNPIMDEGIKLLICFISRTLRKEKSLSRLRAENCDLTNIGVTELLECLSSVSEPLNLLSIADNHLGSSVAVALAKFLGSGVRELNIEDIGFGPLGFQILEEALPADVALSHINVSKNRGGIRAARFVSRLIKQAPGLVSVNAGSNLLPPESMEVICDVLKQKNTCNLERLDLMGNMHLSDAAFPAALEFRKHGKQILIVPSQPGACAPYDDDP >KN539905.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539905.1:4563:5522:-1 gene:KN539905.1_FG004 transcript:KN539905.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVAAWFYDPKGVFSVKSAYKVFRHNQLRNSERGGASTSTAGSVQDPIWKKIWEINCANKTKHFLWRLCYNSHPLRMNLKRRGMQLDTRCPICLRFDENGAHLFLKCKLMESAWSELGLNHIRNSLLAQSSARGVVEEILKLNEEIKLKCCLALWLCWSERNRVREGEKCRGSAWIVHGVHLRMAEVEKKKEAVPRESPKNLQRWEKPTTDHVKVNCDAAFNPGSGNGGWGCVLRDADGDVVAAYRGRVNNLMHPLHGELIACLQGVQAAVEMGIGRVMIETDATAVIQAVYTNDFELSDVSFLVAELQSLLRLNFIS >KN539905.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539905.1:13895:19313:-1 gene:KN539905.1_FG005 transcript:KN539905.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQPEPAPIAAAPGHEPITAAGAEPQPAADAHAVLEGPAALDHPAASIDAAALPPPPRMPKHLYLAVFNGEKANVIEMLQLGNNGAPRGEGQATDGVSDAQPQTIDGAPRAEDQTVDDVPIAEDAIDEEDRGAQNIHRDPHENKEGAQGQGHFVRHRVPIARTEQYKSRIDAVTAEGNTVLHIAASRGHAHAPRPDGVNQQQEDLITVLYNARWCLLSSLNSEGETPLHYAARAGHAHAVQRIIAGVMAMSGHLVENQLTDIIARRNYAGENALHLAAMHGHAQVVTTLLENVPNARLSSALTESNNASALYLAVMSKSVATIEAVLADNDASAQGPKGQNALHAAVLQNREMVNKVLEGKPELASGVDDMKSTPLHFASSDGAYSIVHAILYPQSKSLFGDDPASPERQLQWAQSLVSMQDSEGSTALHTAALMGHDNVVRLLVEASPDSADIRDKDGRTFLHIACADDKEWQQPTVRYVVKNPMLHGLLNSQDKEGNTPLHLAANHGEYATVYNLISSGKVHPDIMNAKGETAFDIAKNTVNFLFLVSTVLTMSKYKACFAPRRQDHVTEWRSKDKKKWRDNTSKNLIMVSVLVATLAFAAIFDVPSTITGNDKAKLTSNTMYNAYIMLLTGAVHTSVTATLLLIYARTSESRGSWRCFMLSFHLVWMSLFCLINASLAAVAAVVTKQYVVEWIFTVGYILMYILIMVFTSLVTPAPLFLTRLRKFVFNIVPRRSRLAISRRYPMTTRFVLNVLFFLAINIVALAICIFVLSMVP >KN539905.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539905.1:59529:60024:-1 gene:KN539905.1_FG006 transcript:KN539905.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPKRGIGMVADVLFEFDMRIKNGEKEEDDLQLIDGIIEIDDNVVTMIGTPRTFRLSGDCGSVDMSMAIFDNAVEATVEVAISELHYGFDLSISYVLSELEENREFQLFRGAIGESCGLRSSRYIKKAPILLNIVAPLNLSCTDAPVKK >KN539905.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539905.1:10419:10761:1 gene:KN539905.1_FG007 transcript:KN539905.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHMMAESSQPSQLTQQLGPLPDCAFIQHNQPVATVVPLTTATKQGRAATSKRKKKASPVKKKKASPAKKKKARSAKKKTIVHIT >KN539905.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539905.1:33098:33319:1 gene:KN539905.1_FG008 transcript:KN539905.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFNVFDQNGDGFITVDELRSVLSSLGLKHGRTADDCRRMISMVDADGDGRGDFKEFKQMMRGGGFAALGG >KN540806.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540806.1:23847:30028:-1 gene:KN540806.1_FG001 transcript:KN540806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLLLVLPGGICAAASSPLLPAAETMRVSFAGKSEFRTVNRKPLGTCLDPSPYLAISVSTGGAPLPDEAFLNVTVSGVLRPDRSHWLAMITPSNSSVLGCPLNGVNYIETGDLASLPLLCHYPVKAQYLTSDPGYLGCKASACQKRRASGTCKVRTCAATLAFHVINFRTDVEFVLFSGGFATPCVLKRSGALPFANPAKPLHGHLSSVDSKATSMRLTWVSGDARPQQVQYGTGKTATSVATTFTHKDMCSIAVLPSPAKDFGWHDPGYIHSALMTGLQPSQSYNYRYGRDYAGSGSVYPTPDSGGECGVPYESYFPMPASGRDKPWYSMEQGSVHFVVMSTEHEWSEKSDQVDLVFFGHVHNYERTCAVYQGNCKGMPKKDAKGVDTYDNSNYAAPVHAVVGAGGFSLDGFPKIGLHSWSLSRISEFGYARVYATKTDMLVQFVNSNTSAVRDQFRIVKGAR >KN540806.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540806.1:1345:3581:-1 gene:KN540806.1_FG002 transcript:KN540806.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRASSLAVSPFLLLLLLLLPLPLSEFVEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLVNAYVPNSGRFLRRLNYRVNSWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGENQRITNKGFG >KN540806.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540806.1:17898:18825:-1 gene:KN540806.1_FG003 transcript:KN540806.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSDLFWVMALVLAMVVGTKSDEGCSRDLQDLIMECQKYVMNPANPKIEPSNACCSVIQKANVPCLCSKVTKEIEKIVCMEKVVYVADYCKKPLQPGSKCGRLKEIEKSVSMEKVNYVVDYYKRPFQADSKCETKLLQKLP >KN540806.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540806.1:15319:16116:-1 gene:KN540806.1_FG004 transcript:KN540806.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLHWEARRGMREPTAPPWGALPIVVPFLDPKSLAAASCVCTSWSAAFGADGLWVQLCRSYFPSALGLLHLLDDGGAEARGPHSSPHRRLFGLFHSASHGQQVKPPRLALDDVSFAVDIIGASGNAILSFAVAARDAGPKNSVFQFGVDTSDRNAALLPGERWSVRLTAVRAGPGLAPTAFVMVYAENKEMPAPPTIGIRLRGVLPIPGCSARGTSILVAEVEIMVSIEERFVREVNFWVMVERDYRFVSIDDGLRYLQQFLL >KN540806.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540806.1:32360:41532:-1 gene:KN540806.1_FG005 transcript:KN540806.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding CVLLRADLNVPLDASQNITDDTRVRAAIPTIKHLIGNGAKVILCSHLDRPKGITPKFSLAPLVPRLSELLGIQVQKADDVIGPEVEKSVSVLPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTNYLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFPVGASLVEDDKLELATSLLAKAKEKGVSLMLPTDVIVADKFAPEANCQVVSASAIPDGWMGLDIGPDSVAAFSSALETTQTVIWNGPMGVFEFEKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVQIVLLIAEYLSKDVSFCLYVPRQKRRLRYLKLQVLLLEILVFRLSQINYIRNRSSSPDFFRARSSSSYPLCIKVLHLNIALERHVFTKPQQIDADKNRHQHSTGKHPTGQAQAKAPLLAAALDYGRAEQHSGNAAGGEVGDDEHAGRAGAPLKQLLAFCHGGETAERLEHGDDAGEVPQCGDVDMDREEDEHDDDKDEDEGEGGRGGRWGEEQEEEEDGEGEDEVVGEHEERDPEEGAEEAREDGRQRGERGGDGCRLGGVERGDGEDGGGGGEEGEGEEEDEVEELVREELEPLGGVGGGGVAVGALEAEDDGVGEEGVDEHRGGEREDEARGEQREGARRRARDRGGDADEEGRAGPSLVDRGYFGMKHLEMETRSATGKQPNLFEPPQIPDRRTNRSPPLPCPCPAQIPNRAHQRRRRRRLRGTPCPCSPMSHLQHLAAGELVTALRGASCPSSALRLYSLIRIHARPSDPALFAWRPAVLALKPLSAAASLPLLSHFHAHLIRSNLLAYPHVASSLLRGYSLLSPGHARHLFDQIPPSTCNLVVVNVMLGSLCRSSDLASARAFFDGIPDKDVVSWSTMLACYFSHSRVAEGLAFFRTMTFTTQLAADYVMLVTVLTGCASAGLLPVSCRAIHGYIVRRGITFTMHLGTALIDCYAKAGRLDYASRVFCRVPLRNVMHWTAMICGSAAHLGSEKAIQLFEEMCRSGVQPNEMTFTAVLSACGQAGLVDQGRRFFKLMVDTYGFEPTIHHYGCIVDLYAKAGKLEDAYEVIKTMRMEPNIIIWTSLLAACKKFKNFYIAVEGIEKVLSMEILEENGGLYALISDLYAMGGQWEDVLRVRSLMEERNVWKIRGSSSIKVGEPQDFTFPAVS >KN540806.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540806.1:4605:8368:-1 gene:KN540806.1_FG006 transcript:KN540806.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRATYSRRAASKNAEIKKDDELVLEKEDVAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKERNGKVGTSSVEKIGVSEVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEENSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNVNGLKALLKSRGFSIHQLAQREDFDILCLQETKMQARYDFSFSYAKLPSKIGQKFINQELRYFEQEKDVEVIKEGLLEGYTHSFWTCSVSKPGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLVLKL >KN540806.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540806.1:20559:22025:-1 gene:KN540806.1_FG007 transcript:KN540806.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAVCFVLLSFAMAAAAARPATSSTADAPATSGDCSSDVQDLMANCQGYVMFPADPKIDPSQACCAAVQRANMPCVCSKVTPEVEQLICMDKVVYVVAFCKKPFQPGSNCGSYHVPTSLA >KN541051.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541051.1:33169:34046:1 gene:KN541051.1_FG001 transcript:KN541051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQNFHGSMEFVVPPADPSMFFPISVGFSASNTFSDVKVTRIRPLKKGSNPPKYSQRVRLVADNNQVV >KN539739.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539739.1:55282:55908:1 gene:KN539739.1_FG001 transcript:KN539739.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDMISGMFRPAFDRITPSIASAAVFSFSRVVSFTGSFNSRTGLLTAADGEEETPLSPQAIETTASTGGVGAFDIEAPAAMTTTPDQAVLRDDVRGDDELIRRLSKSVQPVCLFCAAASLTMSVNLPASGGAGGALYGATLAFVCLGLFASLGLSMYTIVSRPGDAAVARVQKWAMVLALAFVLVSFTLRMWPVLVSSSSSPAPPPRST >KN539739.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539739.1:6641:7671:-1 gene:KN539739.1_FG002 transcript:KN539739.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVLGGPRVVDVTGGRIGIGGGFVVAIIGGERVRLLIRVGEAGHGIEADDGRGGEVLGADADAALVGVVVDGGARPAGGGDAVAAGVDGELVRVGEEGQNAAQADVDREAIEDELRLLAGVYSAMESIWLKVEAAVEERDERRAEARRSGDWKDAIRFSRIIEDKVRVFIKNYDSLSMKAAAARLNLVTLGDLRHANYRSITSNLAFFSAQSYQIVTTN >KN539739.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539739.1:62621:64879:-1 gene:KN539739.1_FG003 transcript:KN539739.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVERCVSAIEVENGCASMMDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHSDQQRNQSLIRPSIGSIFGNAFVPILFSQLLHHFWHLLYLSRAFLISGPADMDPSREPNGRDKHMHTVSSGDPMIQ >KN539739.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539739.1:81248:82564:1 gene:KN539739.1_FG004 transcript:KN539739.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDISFLFGDDEAAAVAPVELQGKRGWEEVDQGEGSGAVAAKRQRSPTSSRENSSGSNNEGGQEEVSEAAAAMAAAVGGGGGRRLWVKERDSEWWDMVSSPAYPDSEFRKAFRMSKATFEVVCDELAAAVAKEDTMLRAAIPVRKRVAVCVWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAALKAMVMPKVVRWPEAGDAAAIAAHFEAISGISGVVGAIYTTHIPIIAPKSNVASYYNRRHTERNQKTSYSMTVQCVVDSTGAFTDVCIGWPGSNSDEEVLEKSALYLHRGVPGLIQGQWVVGGGSFPLMDWMLVPYTHQNLTWAQHMLNEKVAAVRGVARDAFERLKRRWGCLQKRTEVKLLDLPTVLGACCVLHNICERSGDAVDADDCTFDLFDDDMVAENAVRSTAAAQARDAIAHNLLHSGAGASFF >KN539739.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539739.1:3414:3773:-1 gene:KN539739.1_FG005 transcript:KN539739.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTNLIACHRCMRRREARSRRRLTLLEFRFWGHPRPDRHPHRHYCFAGGAWTGDFPWCGGAAGKRPSRRDGRTRLRGGNGAPRIEQPRSAAHRAAAAAEERRPSGGRVYWGIFSRTEE >KN539739.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539739.1:65658:71390:-1 gene:KN539739.1_FG006 transcript:KN539739.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAAAKKFIENHYRSQMKNIQERKERFSVEKCAVNVVWMRRLCLSLSAIDNTFVAYHLSVLSRWRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDSEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEEIQISRLLAHITSNPVANYLKSPNSIPLNIGDTALAIVK >KN539739.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539739.1:927:1259:1 gene:KN539739.1_FG007 transcript:KN539739.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYWERRRPMSPDPPELRDGLRRLREAERAMGVDERAAAAVFARPGQKRAVSEIPEGWSAEWDDSLEMVKRYKCNYWENPKFADLLRDHGPLFARADAAMNDMERWD >KN539739.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539739.1:13613:13945:1 gene:KN539739.1_FG008 transcript:KN539739.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYWERRRPMSPDPPELRDGLRRLREAERAMGVDERAAAAVFARPGQKRAVSEIPEGWSAEWDDSLEMVKRYKCNYWENPKFADLLRDHGPLFARADAAMNDMERWD >KN539739.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539739.1:24036:25057:1 gene:KN539739.1_FG009 transcript:KN539739.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDILSGVFRPALDRIRPSIASAAVFSFSRVVSFTGSFNSRTGLLSSGDGEEETPSSQAHDATASTSSTDGGGVFDIEAPAATPERAVRDDDGDDADEPKRVSKIVQTVCLFVASASLAMSVNLPAARPGAAAGALYGANLGFICLGLFTSLGLSMFSIVSRPGDAAVAMLFLNC >AMDW01035220.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035220.1:40:567:-1 gene:AMDW01035220.1_FG001 transcript:AMDW01035220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGAGKLQEHIRSDVAMAKTFEDLVRGDDRFEVV >KN539708.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539708.1:78480:80839:-1 gene:KN539708.1_FG001 transcript:KN539708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGHITHFAHPQHLLLKTRYDSTSHHVCDICEAKLSGLVGYRCNACDFDIHQACADYFKKTISFFAHPWHTLTLSSIPDGSTTWSCDLCRENCPRGNFVYRCIQCAFDVHPLCTLLPQTIRSPLHQQHDIHMVPSWGRCSACREDLDLWYYQCGFCLFKLHIRCVSGAPSGVVVPTTLNLPNVSTNLTFYGSSTSSQKNLNSTKWDVLPRS >KN539708.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539708.1:33235:38151:-1 gene:KN539708.1_FG002 transcript:KN539708.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANAQCHFGPHALEPSICHICRVGLAGFPDYRCRPCGFHIHQACHEYFPFEREHFAHPPHPINLRIVPANPNDNIVTSCDLCQERRLLVAWWYKFKCGPSKWPFRSTHVQPGSPQSPIDLVRPIKSPTAASYPKSLTQPLWDHHAARHLPADAARRCSRLRPRLLRRLPPGEVYLVDVRLLQMASRGRGRGRGRRGGGYGFDHPAKHTPHEDFPDITLPEMTCARATMEEKALIQSTLKFEDFWKTSCYHLEEDVPKKKLFLITFFCVLISHQRMMIKRLKGILIGNVLSEDSQAVRSCVGIEAQDGDKKTEKDGDDEDEHEEEEVEEEENSDDDYNQNIEFDDDDDDWNQEEEAHEDYYD >KN539708.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539708.1:13571:22677:-1 gene:KN539708.1_FG003 transcript:KN539708.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRALASFLARRLSARGAQAQRSAAAAAPRSLRTIRLTLPSISAQRDLDVGALALTSSLAGLLLGFLYFKQDRDDSAAGEETRKEEEEVTVNWRDVIEPSVMARFTRKDGTFAYLDYIDYLNSQMNHGGKPLYDKKCSDKEEAVVVDDAAEEDNVVDEVAMKRKFEDWMSEHGRRYRTEEEKAHRYENFKKVVKGIDRFNAKRGMRSSLLAPLAPNELADYSQEELDGLGTLADESHWEGYLDHVHTMIARGNDIRHNENACEAIMSAKASRLDGTAPEQSVVVRLGVENAITSSIIPAALLS >KN539708.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539708.1:83:1708:1 gene:KN539708.1_FG004 transcript:KN539708.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding VSNLSHLSKLARRNDTASRVDEADKLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKVKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPELYEELSSNLLEFYNQDMIAAAIRRLEQALQVRGLCYHTISGRNKSMYSIYSKMARKKLDMDEIYDIHGVRVILDNKADCFTTLEIVHHLWPRIPGKFKDYINSPKPNGYQSLHTVVLSEETLPLEIQIRTRDMHLQAEFGIAAHWRYKEAVRNCCSSVPEMVEWVRWVVTWQCETLHIDHPSSLTHGAPPRAICSCSSQSDDCPFSYSKRCDHSGPILVILLENEKMSVQEFPQNSTVLDLLKRTSSYDMQLRLRLNCHVVHNLNQELKMGDVVELIPSAQCNPGAGGYAREFHQMYDHRLTVSQS >KN539708.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539708.1:11649:13027:1 gene:KN539708.1_FG005 transcript:KN539708.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLGLAYHRPRRFSFTPAFVLRDLAQLTSTEALTLCECNCHLCQANNLPIKYLYTDKIEEKKELIKGITLGDKEKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARQLGANARLEIIKNTGHMPQEEDPKRFNEALLNFLLPATNSSW >KN539708.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539708.1:66810:67497:1 gene:KN539708.1_FG006 transcript:KN539708.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASIITHSSHPEHQLRKMKLKYPFTCNLCNQPGAISCYRCTLCKFSIHESCPGVTAGGAPSGPAQHAGVGAQGTVGAGAMGGASSGVGVQKTKAESTRAKLFGAGAFGGAGIMGTVATIVVTGAGKSLKDQQRQEQQQEEGYEGEQLDEEGYEEEQQDEEGYEEEEQQQEEGYEGEEQDVPITSTIEDTETTDGGFFSKVIEIATNGFTEY >KN539708.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539708.1:6240:7997:1 gene:KN539708.1_FG007 transcript:KN539708.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRSIVPTEDMMNGFYGGEEVCGGIFGQQDVGDRITFGLEEEMLDIAHKCNEIPIPKPCVPSGSISLPHYEDILLNKRHIFVPRVEPTPHQLEETKDDYNDDGSNANVASPDSNYDQDLFGSISLPHYQDMLLNQNHLSVHQVEPTHDQLEKIKDDGSNDNVDSSHSNYVQDSSRSVSLQHHQDMSLNQNHLFVHQVELTLDQIEEIKDDGSNDNVDSPNFNCVQDPSRSVSLPHYQDMPLNQDHLSFHQVEPMLDQVEEIKDDGSNDNVASPDSNCIQDPHYQDMLLNQDHLSVRGVEPTLDQVEKIEDDCSSDNVASPDSNYDKEKNDSNKQKPSKKVSQLNTLVATGKDKVDCSTELS >KN539708.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539708.1:28070:29182:-1 gene:KN539708.1_FG008 transcript:KN539708.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTRKDGTFAYPEYYSYLRVQMNGGKPNYDQKCSDKEEEAIVDDDAADTDEDDNNAVDEPAMKAKFEDWMKEHGRIYITKKEKAHRYENFKKAMKGINELNIKRGMRSPLAAPTELADYTDEEVERLGITMADDSDWDEYLDHIHTAIARGYVFRVDDEDVCEAVKKKKRELLAMHDKAKCQTELQTNSS >KN538808.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538808.1:176647:178053:-1 gene:KN538808.1_FG033 transcript:KN538808.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEHEKEHQLMMMMDRFHRWMATHNRSYASADEKLRRFEVYRSNMEFIEATNRNGNLTFKLGETPFTDLTHEEFLATYTGGVRLPPERQGMQDVSDEEDAVIITTSAGYVAGAGAGTRTAAVPESVDWRKEGAVTPAKHQGQCAVAAIESLHKIKGGDLISLSEQELVDCDDTGNGTCSKGYSDDAFLWVSKNKGIASDLIYPYVGHKESCKKQLLGVHNATVRGVVSLPENREDLIMAAVARQPVAVVFDAGDPLFQNYRGNGVYKGGTGCSTKVNHALTIVGYGTNHPDTGENYWIAKNSYGNLWGDNGFVYLAKDTADRTGVCGLAIWPTFPTIR >KN538808.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538808.1:232856:233457:-1 gene:KN538808.1_FG034 transcript:KN538808.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSIRQLDHVLRCFRSSSPHETTGSSTPLSPPSVSLHSTTNLLALICILIHSNAPFWQIWPGDYE >KN538808.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538808.1:186130:188275:1 gene:KN538808.1_FG036 transcript:KN538808.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-1 [Source:Projected from Arabidopsis thaliana (AT5G52640) UniProtKB/Swiss-Prot;Acc:P27323] MLLQWRMQALDKIRFESLTDKSKLDAQPELFIRLVPDKPSKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWSEKTTEKEISDDEDDDIDDSKGKEKEGDIEEVKDKKKKKVKEVSHEWVQINKQKPIWLRKPEEISREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIADKKEDYAKFYDAFSKNLKLGIHEDSQNRGKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESRKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDDDDAKERKRSFEPLCKVIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRADADANDKSVRDLVLLLFETALLTSGFSLDDPNTFAARIHSMLKLGLNIDDDADAAADDDADMPALDDAAAEESKMEEVD >KN538808.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538808.1:201359:201553:1 gene:KN538808.1_FG037 transcript:KN538808.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAAGQRDVKRGEDAGEQRTGQWCLGVDIGKGEGRAGGATEAGILRHFVYYMKVTATGEVVAV >KN538808.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538808.1:237021:237911:1 gene:KN538808.1_FG039 transcript:KN538808.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MACISFVDPMDTNNGVAVFRWAISSPKIIENSAMITRLMDDIAGHEYEKERSGVPTAVECYMKEHGVTIQDAKKALWCLVEDEWRSINQEFLSNTTVPVPLLTRVINLARAMETMYKTISGYTQCSRIINPISNVLDKCISH >KN538808.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538808.1:196628:198841:1 gene:KN538808.1_FG040 transcript:KN538808.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQSPCPTHALGLEACTSLEKDGSGSDSISIPTFDGIDEHQSLLLLKNAEIWRDVLRLPASNTSSSSGSVLLPFRMELWRQVDSGDMTMKNEASFTTTLRFTIISSPTAAVGNLAFVVVPSLNAADGDLPPALNTANSTTTSNNHSLAIDLASIMSSYNNNNSNKSTSNYTVWIDFDGIRRQMLAYIANDGDPKPSEALYATPLTMSDRVPNKAYVGFMATGGEIFGFLSWNMTVERAAAADKRAGSAGLSKTRKLAYGLVAVILFFSLICVAFIVTIVFLRKMNNKINDQLGNLTKMNNKINDQLDNMLACVAIKLTYSELSDATNGLFSSDEVLGEGGFGVVYKGKLSFSTGKDIDGRCCEEQEVMLVYEYMHNGSFDNHLFKCSHLPWDIRYRIVKDVAAGLHYIHLELPGDVVLHRDIKSSNILLDHDLRGCLGDFGLAEVVARGITSSSTATASGSRRQISISSSSTATAGTGSASINAVGTSGYIAPEYKKSGVATTASDVYAFGVVILEIVTGRKVFVDGTHDTHLADWVRNEFLHENGGNHSLLEAIDGKLTGDGRWRGYDSAEAERLLLLGLACTSTHPSHRPTTRDVLKIIDKSLPPPAVGGVRLPSSSVHDAGDAPVTTNKRSRFTSSITTFFFQGRHTCIRSEVAQV >KN538808.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538808.1:120783:124355:1 gene:KN538808.1_FG042 transcript:KN538808.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding KLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISKTRKDVQQFHIVFAITGQEKMSTSNLFELNCLCQNVISKVSGKRVGIIGLGNIGSLIAKRLGAFGCVISYNSRNPKHSVPYTYYADVRALAADSDVLVVSCALNSETRHIVGGEVLDALGEGGVLVNVGRGANVDEAALVRALREGRIAGAGLDVFEGEPKVSPELREMENVVLTPHVAVWTAESRSDLRDHTVANLDAFFSGDPLLTPVMLP >KN538808.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538808.1:227080:229281:1 gene:KN538808.1_FG043 transcript:KN538808.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPRTNAYNYLQKPMASLLWKDIHIQAEDVDAFIKDRRLSSHPSYSEESIKERRDKLVTKVHCMIQGYTSSKIHLSHGMKIVDAIERLGVGYHFHEEIGMFMRVLNDTPARENDMAEAALRFRLLRQHHYNAPSDVFGCFLDKNGDFKETLRYDVDALLSLYEAAHLGKCDEELLKNAVVFTTGCLSAMADTGQLPQPLLEKVEHALTSPTQRRMKRLEAKLYISIYENDEDSNQDILELAKLDFHILQQMHRDEARRFSLWYKELNVGSTLGPYIRERPVECYFWSLCVFYEPRYAKARMMLAKLTKIFSLFDDTFDSYGTLEELHLFNNAVQR >KN538808.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538808.1:171256:173127:1 gene:KN538808.1_FG044 transcript:KN538808.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNYGQVYNILGWGDPYFTVNSHGHLAVKPHGRETMSGQELDVHSVIHKALATTITTNDGDKKPQFPMILRFPDVLKNRLDSLHAAFHGAVESTGYASRYQGVFPIKVNQNKAVVQDLVAFGHGYSYGLEAGSKPELLIAMSCLAKAKPGAYLVCNGYKDADYVALALSARAMGLNAIIVLEMEEELDIVVEQSARLGVEPVIGVRAKLLTKIPGHFGSTAGKHGKFGMLVDKIYEVAGKLKKMGKLHWLKLLHYHVGSMIPTTDIVYNAAAEAAGIYCALVKEHGATGMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEQYASSIVQAVRLTCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPQDEEDTHHRLLSKIQDLSSKQPRTAHTVNGGGGVDAMHSHAVELKKHGIEMYKLAKKLSKRVTGDANGIYNYHMNLSVFSLVPDFWGIGQLFPMMPVSRLNEKPTINGTLVDITCDSDGKVEKFIRDAVTLPLHPLDDAAAEHGGYYVAALLTGAYQEALACKHNLFSGPTLVRVESAGGGGAFKIVSVELGPTAEEVIGTMRYDVKNDISDVIEKVATENGVWTMVEPLMKKGLTTMPYLNDYKPPKTTF >KN539092.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539092.1:95257:112376:-1 gene:KN539092.1_FG001 transcript:KN539092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase 11 [Source:Projected from Arabidopsis thaliana (AT1G18580) UniProtKB/Swiss-Prot;Acc:Q949Q1] MPPSPLLISSAALSPSSSGSCCSVEWGSPVRRRAASPERSSELSGVECGDADEVSLLSFLSLRHEGRVWVPPANLRGTRSQLWLRGLEEVVWMEDVAPNILFLVGEVSNVTTAHGPLKLEEKPLPLLPIELIYGRSYLHSCGPVLSLHRYNNSCTKLTAEGKRKMGIELFTNNSYTHQNTCVINVCSQVKRCALAKQPISNDKGSEFEETHHEKVNFTEELLSSTSFARQLTDQMTLAKAYVVLAKEHGNLQLAWELSSQIRNCQRLLSEEAVSGKAITKEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSLVNALEERAKAAVVQTAEFGQLAAESVPKNLHCLTVKLTEEWLQNPKHRGRSEEHRNSTRLVDNNLYHFAIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRVHFGAMSTWFLINDFKGCTVEVRCIDEFTWLNAAASPLVRQLSEMETQGFYYGDSKNLEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERKSAITVHHECVMPLKLGASVSYMELLFGTLFKKSFGEIFTYNLDWKF >KN539092.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539092.1:64494:70481:1 gene:KN539092.1_FG002 transcript:KN539092.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENGAAGEDRSKIGEFKEEILQLAALASQGEENSRAELLEKFNKCNKDTLVELIRSFDMTGSKANRKEELVTKLMEFFKVHCPDTNSAYLDKALQFGDLHYQINDFKEQTLQLARLAFHEEEEKSQADLLEKLNKSNKDTIVELCRSFDIIGSKANRKEELVIIMMEFLKEHCSGTDATDPDKKTKKRRRKNEVTHLSGGKPLKKTKLDGTSLEIHGEEEDSGAKYEENITKYSECDLDLDDNNNECANNEKGRLPKNKANLEPSERVNDVPKNFVGAAPTEVQILSNEQALSKTPFAKVVSTVEGDRTDMKTSGKKNASSTKKKMTSKTDRKEKFCGKQMYKGDGKPRKLAAIPNRDELRQAVFLILDSADFATMTFGDVVKEVDKYFGKDLFEKKPLIRSLIEEELFRLGEEAERKELEEEAAEVKARAEQAAKEGTNAGVNSGIDTAEALQVKDGKSEDAAKNKRDNSAENGLKGGVSVEVAENINRSAAAESSQDGRCEHDRENANNGGDFTRDDNVVQDSISGDHVEYSRDGEAERAKMNSNGEAVEGSKDGRTEASKGGENADPKDDNNRNGDKSALDIDDRGAEDSHCNKNGENVACEENGKANEAGYTENGENVVSHDAEKDGKRKDPIQNASPEQTLTDAGDDGKTEDAEHNANTEGDADSCADGTTENERTNCDTANRTAMD >KN539092.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539092.1:123227:123880:-1 gene:KN539092.1_FG003 transcript:KN539092.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDLADDVAGDLAEPPGVWEQAAFAQAVVGDADAGEDRRQIHLRRHQPIHRPAEQAEVGRHEAACEVTTGNEELERCADRRVVERGGGAPEAPARGAVVWPGEAARVGVADGQAIHVQVLARRELTTTGAAHATVVLPHPARAGTSRGEGGDGGVEKTRLHGATARAPAPNGRGVRLHGASEVVAGDEGGEHHHRMARASASYAATWPSPTASLTS >KN539092.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539092.1:143688:144719:-1 gene:KN539092.1_FG004 transcript:KN539092.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSSEIAGFGVGALLVCATIAAQRVDGFIASSQRTSLGMCKKCGDLRIVACSQCKGVGSVRKGGLFTFGMLDDIYESLGAETKTSNLVPCTNCRSKGRLLCPECSKVR >KN539092.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539092.1:55752:59201:1 gene:KN539092.1_FG005 transcript:KN539092.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAGTGLRFRQGAIFFSGAHAAAHPRTRTPHHHCSPQRTHDARGRCRLTAKSANGRPQISASFRDVAIDGAQSEDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEVVLHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFSELDRKLKEKETIVVEYTNLYEQIQNGSDCETHENTYHQAGKVPNRLFYLSIPPNIFVDVVRSASRTASSQDGWTRFIVEKPFGRDSESSGELTRNLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLRLEDVVVGQYKGHSKGGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYGRRSRSVGGGGTTTVTELEKATNELVLRVQPDEAIYLKINSKVPGLGMRLDSSDLNLLYSERYPAEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLADLEAKKVAPELYPYGSRGPVGAHYLAANHNVRWGDIS >KN539092.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539092.1:131910:132218:1 gene:KN539092.1_FG006 transcript:KN539092.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPALSQAAGRALVASAAALLALSKKSEAALPAERHVAETVVATSIAAAMVALPAVWHVAEKAALAAAPMCAANSHARTPIPRHLGAITPVCYTFVLDRI >KN539092.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539092.1:23520:24188:1 gene:KN539092.1_FG007 transcript:KN539092.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHVCNVTDNDGFVIPSLSVEESDLGDWEAAQVSHPQPPPKATKDTEKIYLGPHGAPPSRAKKQEDTAAAATGYRDKSKVKEADQKVLGAGRDNKGGNNFNRYNNAGHHVKEPYKRST >KN539092.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539092.1:72703:73487:1 gene:KN539092.1_FG008 transcript:KN539092.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNYAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIDPLKLYLHNNFKMVRS >KN539092.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539092.1:82952:85690:1 gene:KN539092.1_FG009 transcript:KN539092.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDGETSCSSWDSDDEYQKFIQKMNPPRVVIDNTSCKNATVVHVDSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGQKIMDESVLDEIVKYIHKCLGADSCFLPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVTDRKTGLAISDTQRLARIKERLSYVFKGSNRSQDTKTTVTMGITHTERRLHQMMLEDRDYERYDKDRTNVNPTPVVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGDKAVNTFYVRDAAGSSAVDLKTLEAIRQEIGQTVLQVKGHPDHRKSPPQESPSRFLFSSLFRPRSLYSLGLIRS >AMDW01025374.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025374.1:87:314:-1 gene:AMDW01025374.1_FG001 transcript:AMDW01025374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSCLLAAVRPHPPPPPPPRPLSPSFIPSALRHRHRLRHAPPLATSLPRPRPPWCRFSASSPPPPPDDPDDYE >KN545657.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545657.1:1087:1440:-1 gene:KN545657.1_FG001 transcript:KN545657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGLVCDWFVKDKLKREQNVGSAVLQWDDPGF >AMDW01040771.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040771.1:50:2098:1 gene:AMDW01040771.1_FG001 transcript:AMDW01040771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YICPLELDKEYVIEGVAVTLLEANHCPGAALIHFRLGDGKTYLHTGDFRASKSMQLHPLLQRGHINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQTVKVHHFMFCPFLLYDMRFGNDFQMNPWNFSSNLSYQKAVSYQNLQKYLETLKQRFLAVLAFRPTGWTFSEATGNQLDLIKPSSRGKITIYGVPYSEHSSFSELREFVMVYICLLVSETPKANASDTTIVPRVPKSEEQPRSSVK >KN540308.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540308.1:5745:11380:-1 gene:KN540308.1_FG001 transcript:KN540308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDDKNDLCTMNQAKFEPSIWGDFFLSYCNPLAYSDNQRSMEERAEYLKKEVAKLIVNSRTDSLPEKLHLIDVLERLCVDHLFEEEINAVMDEISDADVSDCELHTVALWFYLLRKHRHRVSPDVFLKFRDEDGIFEAEDARDLLSLYNAAHLATHGEGILDEAISFTKRQLRSLMPKVVEGSLAHDINSALEIPLPRRVRIYEAKYFMSTYEKGASVNEMIMELAKLSYNIMQIHHQQELKIITRWWKDLQLETRLSFARDRVVECYFWIAGVYFEPCYSRGRIILTKVLAIVSILDDIYDVYGSPEECEQFTKCIESWDPKMGCNLPELLRFAFEKILDTYQNIDDELLLEEKYRMSYLKKFTVDLVRAYNKEVKWREEGYIPGTIDEHLQVSARSGMDDIVSKDCFDWVCSVPKVVQSLCIILRLSDDLKSYEHERLTLHVASTIDSCMKEHNIDIEMAYEKIHLLIEESWKDFNGEWLDSGNTQPIQLMERIFNLTRTMEFFYKKDDAYTNGHTIKDNIYSLFVEPVMMS >KN542706.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542706.1:9463:13292:-1 gene:KN542706.1_FG001 transcript:KN542706.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGSVVAEHRIQVSESGQADHKAVAAAPEKWLNYFVRLLAVIESVGNALGTLAFTWGTVVLLGGYPSDLIKQDFVSTTTIIFLEATRLQFPSIIKLVLNGTLGSKQEFWCQFTLKLCIIASIIMPVLIVPDTINRYVVIILEALALVLVLFGNLQIPAATVRVALALLRLVPQDYNSENKNDKKEDTSRTNLAESLNIFYGMVLGQGILYIAARLFEVFSFIPRRSLIRHGGFGGQWGVASINLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNLDSPKMQLYSVQMLHIFLQREPTKERLIAKLITSTKTMARLISMLGWTGRNDHTTIRLYAAKVSAELAKSLRVVTIPGTLQLASTLLDTDGKPKRGLPLLDADDGRDPVVDTAERQENRQDAIGDQGQRQGSIGDTDSLLETPTRSMQINDQRYIPSIWQKILGYWSIPKEKPLTDDDLLPALGMSIIYSLAGCDQNNCVEIVKVTDLIPKIIGFTSFRSATLNSEAQQKVLLKSSLHVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILEDDNSNQELRKLVAGILRNLAIDTETRQEIGQMQVLITRLVKAFLDTNGPSSSNVDCLLPKVAGQALVMLSLENSHNCFAILKEPEFINKLKNMILIHDDKYIYVAASLMRNMCLHAQPELTELDLKELSHTLREVLERIMDAEGAELEILIGLSSQICKLIPEEFAQELEHGQIKRRFIKRLVNALNANMKPSAYCPGIRRVILEQSIYMMECNSRYANCFNEYRMMDTLLMVEKTPSRAEKYMVFLGEAGFMECSTPLFALVDRAKELMGRQWLHCINGANWKKKCKMILHLHSEDHAYC >KN540308.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540308.1:48791:53297:1 gene:KN540308.1_FG002 transcript:KN540308.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLVLGVVDGGDNGAWEADMEMRRQSVLDPPPSGSEWQDLAAACHHHSVIPLWKQVDYFREYKRRLAAHLGAGEAEAVVSDAVYAISMGTNDFIENYFAGTTRRYLQFGVGEYTDFLVGLARGLLVELYGLGARKVAFTGLAAAGCLPLVRARRMMLCAEEYNAAARAFNGALRGMVAELADGLPGAQLRFADVYDFFADILGDPARYGKPTRIDLVLVVGFREGGGGMLRDGDVRDGVHVQRVGREDVQGRGQE >KN540308.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540308.1:42116:44008:1 gene:KN540308.1_FG003 transcript:KN540308.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSGPHRQLLGGILLLLLLLLGRTAAVGARVTAVIVFGDSTVDAGNNNAVPTVVRSNFPPYGRDFPGRRATGRFCNGRLATDFYSEAYGLRPFVPAYLDPDYGIRDFATGVCFASAGSGLDVATAGVFIIHCNNLVTKGEL >AMDW01022507.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022507.1:5:212:1 gene:AMDW01022507.1_FG001 transcript:AMDW01022507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATADISRPSSSAPMAAGADGQGAKGSAFRGDGLRPYYQSRIHDLELQIRQGTDNLSRLEAQRNVLNSQ >KN543142.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543142.1:6301:7982:-1 gene:KN543142.1_FG001 transcript:KN543142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPLPLLLGSLAVSAAVWYLVYFLRGGSGGDAARKRRPLPPGPRGWPVLGNLPQLGDKPHHTMCALARQYGPLFRLRFGCAEFLRGHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALDDLRAVREGEVALMVRNLARQQAASVALGQEANVCATNTLARATIGHRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALRWLDPQGVVAKMKRLHRRYDNMMNGFINERKAGAQPDGVAAGEHGNDLLSVLLARMQEEQKLDGDGEKITETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKEAQHELDTVVGRGRLVSESDLPRLPYLTAVIKETFRLHPSTPLSLPREAAEECEVDGYRVPKGATLLVNVWAIARDPTQWPDPLQYQPSRFLPGRMHADVDVKGADFGLIPFGAGRRICAGLSWGLRMVTLMTATLVHGFDWTLANGATPDKLNMEEAYGLTLQRAVPLMVQPVPRLLPSAYGV >AMDW01042491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01042491.1:50:896:1 gene:AMDW01042491.1_FG001 transcript:AMDW01042491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSSFLVDEKTLTDELQKAYTVSNCLSRYCMYLLASKPKLLPETILMSKKAFQDAVQCAREMLSDCYSCQRIYSKLMKEAQKALVQGTHGLTLSGNILQQGAILANALINKEDQKCRWEILSDAWVHLLVHIAPCSDAATLAEDLKSGVEFITVIWALFCHCGIEKSELWQKQKSANFRDNTPGPSNQSSDVSTHVQDTVSSSTPAARSSEIHVEASPTSGECP >AMDW01042491.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01042491.1:2327:2843:1 gene:AMDW01042491.1_FG002 transcript:AMDW01042491.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQQRGRLQPAGLYRGVGHQNPAPLKDNKTIGASQPERSRTTTAIHGGGYQKSTGVRSHQKQAVVQPTVRPSSWLPGGTYRKPVTFKTQKPPKPAIAKPVV >AMDW01040668.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040668.1:462:1283:1 gene:AMDW01040668.1_FG001 transcript:AMDW01040668.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEILEKPASSSVIGICSLIWFFIQKRNIGYGDVGLSYEAAMEGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHMMIQKFKVEYFRRVTAVGYSCVVFGWMTILATKQPSSKLNLFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLVHGMNNYWAITMFGWIVLVFVLSLKRTGSMDLRFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPRRGVADIV >KN541729.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541729.1:3099:3490:1 gene:KN541729.1_FG001 transcript:KN541729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVATIHQGLEENEDRVFPRQHHQRERDISTALPKRPSILRQREDIAQPTPLAGQLPQASAAHHLHWPP >KN541729.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541729.1:16953:21940:1 gene:KN541729.1_FG002 transcript:KN541729.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSKACRCLVLVSFALLLPLSMAMDPLGSYCSGNSLAGSSKAVASINSVLTDLVTKGSTGVGFATSTAGKGNNVIYGLVQCRGDVSTSDCQACLASAANQILTSCSYQSDSRIWYDYCFMRFENENFFGQADTDNGVIMENVQAMDNAKAFQKAVGKVMSKATAQVSQAGSGGLGRVKDQYTPFINIYGFAQCTRDLSPLTCAQCLSTAVSRFDQYCGAQQGCRILYSSCMGTALAGNSKAVASINSVLTDLVAKGSTGGGFATSSAGKANNVIYGLAQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFIGQTDTDAGVILVNVQAMDNGKAFQKAVGKVMGKATSQASQAGSGGLGRTKDQYTPFINIYGLAQCTQDLSPLACAQCLSTAVSRFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLATSARSATTDMSKYTKIAVHR >KN541729.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541729.1:4292:7434:-1 gene:KN541729.1_FG003 transcript:KN541729.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEANGSSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQVPKKNQA >AMDW01040248.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040248.1:512:1138:1 gene:AMDW01040248.1_FG001 transcript:AMDW01040248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQLSSEDDLLRQIAKIKEEYEDLQIEAGVRDGVYQTVTRKLLDDSMNSMHDAATNFSTELSSLEAMISEKEKALCLSNEENRMLKEKIAELEQCLIQDKQEDPEVIKQESTEIILRDIEVAPHISPRRSHETPKQDMQYDELVKLNSSLEIASAALKEVENKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSAS >KN541818.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541818.1:4665:5877:-1 gene:KN541818.1_FG001 transcript:KN541818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVAAFFCCTPLYKQRQPRVVHHKPCRDSVLKALKSPLANVTARKITLPSRDGNDDTDIVSELELQEKPLKLADEKQEAAMREAAAAPSVGKIIVRLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHRVGAVFVIPPAMLQSSITVSIILLMPLYDTVVVPLAGLVVTGHGKGITVLQRIGVGMVLSIVAMAVAALVEARRLRAAASSSSGGRRLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPSAMRTVGIALYLSVFGVGSFVGAFLITALEMATATGGGVFDH >AMDW01040802.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040802.1:1064:2251:-1 gene:AMDW01040802.1_FG001 transcript:AMDW01040802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGFSISGQERILVYEYMANKSLDYFLFEKSNSVLLDWQARYRIIEGITRGLLYLHQDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHAWSLWNEGKSLELADETMNGSFDSDEVLKCIRVGLLCVQENSDDRPLMSQVLLMLATTDATTLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGR >AMDW01024535.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024535.1:47:163:-1 gene:AMDW01024535.1_FG001 transcript:AMDW01024535.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVK >AMDW01037119.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037119.1:17:583:-1 gene:AMDW01037119.1_FG001 transcript:AMDW01037119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPE >KN539500.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539500.1:46217:47978:1 gene:KN539500.1_FG001 transcript:KN539500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRACIHPVEINKLATTEAVHDVDLYRHLQVQKQPNMISGNIYWNECIEGFMTRTLIDRSEEAAGQVGEADESGEELGTGRGSEEEQWADLKRGFGGGDENSTETPSSGVKLKRKRKGL >KN543227.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543227.1:1770:9414:-1 gene:KN543227.1_FG001 transcript:KN543227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFLIGMSTISDQKKRTLEALQQRYAAAKAKKLQDQPRCQTRNKDSAPKPNLDASRKGKAPDFIPSRTSAPFPSKKGLAISSSSASSGAFLGSNIRRSDLDSMDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDLYKPMHEMWKEYITELTKSTPKKQLSENLLSADLHGALVIVAECKSASSYQGICGIMIRDTAETFGIISDDNRFRALFLHIMLIELSTKNNEDLRKIAIDALTSISMCRFLGQYVAIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCARCRVENHCWSLTEFSGLEDITFGLQPLSTVKKKEIKMTGMQWLNLPNQSVGEQWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSIRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPDTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVEQQFLVAKKGFWRPGK >KN539500.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539500.1:88779:93054:1 gene:KN539500.1_FG002 transcript:KN539500.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVGWLCCLGRILSRRATWNGRGNDLGGEAMITGCGEANRESRMLTEFGDAASAVCVGWATAEEGGGSASGARDLSAPVASTGEFTVSMLAPSAAAVGEFIILVEPEPEERPKVGGAAAAHGEAAEEEVVFPMAWTDDDESCPEGTVPMRQTTKRDVLRSSSSLCFGMKQPRAGVPLVSSA >KN539500.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539500.1:72044:72900:-1 gene:KN539500.1_FG003 transcript:KN539500.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHNVHRLRGGQLIDLNRVNAGPDHNRGVPANGAHQFNWSGFSLMLFGAAPMMVLPWQVVHVPWPLLLLASMTWFIGCLRLYGFQIAFPVYYHQHGGNNNQGAAAPASTGRDGMVNNAEMEEENAIPDAGSLAWSGYALMVLSVLALWPGFVTEPVAVFLAFLLLLLGCGFLHLAMLAPSKPKMF >AMDW01025166.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025166.1:53:313:1 gene:AMDW01025166.1_FG001 transcript:AMDW01025166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVHPKFPPTSGNRWRITEDDDYVKLWFHVGEIDREKLKVRIEHDAVLLVNYDGGETPANSLDVRLLLPNKPYDTAKVEAELTFGTLL >AMDW01038783.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038783.1:418:702:-1 gene:AMDW01038783.1_FG001 transcript:AMDW01038783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGEHFDDKRDNESDQDNGSSDEDSDDKRDHESDHDDDVLSSPYSDSKDSCDGNDSECESYESGDKEGDDLEDCYSNDMLENLIKVAKGLTAYHGE >AMDW01018709.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018709.1:7:275:1 gene:AMDW01018709.1_FG001 transcript:AMDW01018709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANEEAKPKPRLIVRLGVFLASHHLLFSALCCTAGIIALLFLPSLAKNTYLSENALIP >KN544833.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544833.1:45:2540:-1 gene:KN544833.1_FG001 transcript:KN544833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEHVIREEKFMQAYDLIEVYCELMVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELADVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDTQTKIKTLTSIAEEHNIKWEPKAFEEKLPKQNDDLLHGTSTYPGGNIPTRGSSASNSGMSTSQPAAPSHSYMESSNSQMSTAHSYSSAEVPDNRAPYVTANSNAFPQENRRGSDAPMSPRPQHDAAAYSSAQIPGPNSFSHDTAGGSNVSRPYSQYGATVPDTDTASRREEFNHSRERKPSVSGSNWNIEFKDATSAAQAAAESAEMASIAARAAAQLASRGNLSAEQNTGAFESPAYTHETTPRKQQAEHLMKDGQRSSNEQSSGAYDPRFISSNDARKDGGRAETSH >AMDW01080562.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080562.1:104:319:1 gene:AMDW01080562.1_FG001 transcript:AMDW01080562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWDVLSNKEVIKIVSSATDHSKAGKQLVERAVRAWRRKFPTSMVDDCAVVCLFLKPSPSSEESTHVDAKAPQ >KN539051.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539051.1:69745:78562:-1 gene:KN539051.1_FG001 transcript:KN539051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFKLRQNIHESNGVKFPKEIKDDVHPDFIDLCRGLLRLDPKKRISFEEFFNHKFLSTTGSTLHSGGSIQRKREISSEPDHPADLLRDTCQIISSDVLKDKSESMDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFFTQAHVNFLPKNDHRPSRNVVPGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGALHLSNFVQVGLMLFLPTICPYPRQDEMDYISIATSEVSFVVCMGAWHQLAIDIARYAVGGFHALTVFSSCVYPMVLPAQDLVKNY >KN539051.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539051.1:111605:115024:1 gene:KN539051.1_FG002 transcript:KN539051.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATRSQAQLQVGYYDTLCPAAEIIVQEEVSKAVSGNPGMAAGLVRLHFHDCFVRVGGNAYQVPGGRRDGNVSVAQETNGNLPPPSANVAQLNQMFGAKGLTQAEMVALSGAHTIGVSHCSSFSNRLYSSGPNAGQDPSMDPSYVAALTTQCPQQQGQAAAGMVPMDAVTPNAFDTNYYAAIVANRGLLSSDQALLADQTTAAQVVGYTNNPDSFQTDFAAAMVKMGSIGVLTGNAGTIRTNCRVAS >KN539051.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539051.1:49987:55552:1 gene:KN539051.1_FG003 transcript:KN539051.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTADHDTLPGNYVRPEAQRPRLADVLSDASIPVVDLANPDRAELVSQVGAACRSHGFFQVLNHGVPVELTLSVLAVAHDFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHRYLPDWPSNPPSFREIISTYCKEVRELGFRLYGAISESLGLEQDYIKKVLGEQEQHMAVNFYPKCPEPELTFGLPAHTDPNALTILLMDQQVAGLQVLREGRWIAVNPQPNALVINVGDQLQALSNGRYKSVWHRAVVNSDEARMSVASFLCPCNDVLIGPAQKLITDGSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTTPADTS >KN539051.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539051.1:89894:98761:1 gene:KN539051.1_FG004 transcript:KN539051.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease Dicer homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/Swiss-Prot;Acc:Q9SP32] MAGGGGGGGAGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESAPAPPPPPPPPLPEPVPVAPPELPIQDKQLQVASAPVANNAVAVVDVVQRSNGVVARKEPRRESHGCAANGGGGTWEQESDREAKRARTQDGGSMEKKAEADRMGAAQQEKPVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPLEVVVQYDKAATLWSLHEQIKQMESTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSETSDVEMQNTEKHNTNDLEEGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSPVDTSPGSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILHPEFIMQKYEKPGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDTLLPDRGSGEGEKTEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRDGYQNSQFIKLYMYSVNCVNIGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGRIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDPLREIDWTLVNNIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDIVKASGLVPARDRGHFSDYQNQGKLFMADSCWNAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSDAREGDFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEESTGCELQKESYDDYADNMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPEEIPPPKPYDIPESIMRSINFDTLEGVLGIEFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETATKKEDERDGEKKNGAQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYSVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDFPDKPDGKQP >KN539051.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539051.1:102991:105957:1 gene:KN539051.1_FG005 transcript:KN539051.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGCAGFPANDGSLHPNFYAATCPQAETIVRQEVTRVLYTNIGFAAGLVRMHFHDCFVRLRRACPAAGPDGAVDAGLVVPMEPRTPNGFDALYYWAVLRNRALFTSDQALLSSPPTAAQDDQTKNSSNLHRGLHMARPLLPITYQHTAASSPLEQWMKRFEEAERLVADVVERIAERESVSPSLPQELQRRTAEIRRKVAILETRLDMMQEDLSQLPNKQRISLKELNKLAAKHSTLSSKVKEVGAPFTRKRFSNRSDLLGPDDNHAKIDVSSIANMDNREIIELQRNVIKEQDDELDKLEETIVSTKHIALAINEELDLHTRLIDDLDEKTEETSNQLQRAQKKLKSVTTRMRKSASCSCLLLSVIAVVILVALLWALIMY >KN539656.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539656.1:64887:68262:-1 gene:KN539656.1_FG001 transcript:KN539656.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVDLSGACQFNSQPIPSPAMKYTFLLFLCLVSFVTCSEHQFVFSGFTGSNLVVDGAATITEDGLLELTNGANNIEGHVFYPTPLRFRKSPNDTVQSFSVSFVFSILQKYANRSNDGMAFFIAPSKNFSDASLPAQYLGLLNNQNNGNRSNDLFAVELDTFQNKEFQDMDDNHVGINVNSMKSLDAHYAGFYEDRSGIFRNLTLVIHEAMQVWFDYDGDAKKINVTLAPVRLAKPKRPLLSVTYDLSMVVADSAYIGFSAAIGGVVNTKHCVLGWSFRMNGPAQAIDISRLPKLPNLGSKKSHSSRILVIISPGATAVLIFLVGVLLVLCVRRRLKYTEIQEDWEVEFGPHRFSYKVLYGATEGFKDKNLLGVGGFGKVYKGVLPVSKQVVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDNYLYCDLTEPTLDWAQRFNIVKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDKDMNARLGDFGLSRLYDHGTDPQTTHLVGTMGYLAPELVFTGKASPATDIFAFGVFLLEVTCGQRPLNNNQQDNQPLMLVDWVLEHWQKGLLPETVDKRLQGNYNVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDSPLPELAPSELKFNMVALMQGQGFDSLLPLYCCVNPS >KN539051.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539051.1:34797:35920:1 gene:KN539051.1_FG006 transcript:KN539051.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVFEIMQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSPAMPHS >KN539051.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539051.1:144800:149204:-1 gene:KN539051.1_FG007 transcript:KN539051.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIMSGPRGVGRPTQLKSEARVADAIPHFHPAARRGGEMMPYSSGDRGLPQPAPAPPHAAMSWPSAAPLFAVDRHRLAEHYPNPHSPRPPSPWPDFPTAPSYYSSSAQPPASSMHPVIAATGYAVCGMKRIDPSPSDPVLKGRFLQYANPCRFNIGHFDSVQDEQKDHAGFQTAYRHCSDWNRCTNGTGIVGNYLANSSGETCNVGENSITGRFSQEILCSEVPMSRGQEPLSHRHSLVQEDLNAFSENITDRCNSHAELIKSMYNLSVALISSCNGDYELDESYQELIQSAIQNLSSLSPKRSKNLSIEENKSGNDKDAHVLAYKNLWIEAEASMCELKYELQLARMELALKYHSEQSVSMNTLKSGDDSMNSVVVEPIKERVESSKTDVDTAAPFFSFVKRLSGSSSSSDVDFDKFLSKHKDFVCDKGNVRSLDNTTNQCQAASNTKQLEDDALKFFQSLKIPEGIPEDHSDDGSSDSDYYQTEHYPLRVEPGRLLFIHKVLGSGKVWE >KN539051.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539051.1:99360:101781:-1 gene:KN539051.1_FG008 transcript:KN539051.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRYVEHIQCEQGAIGNEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPYVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >KN539051.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539051.1:56796:59089:1 gene:KN539051.1_FG009 transcript:KN539051.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSLHGVPSPTATKLSSSFLGAPASFLRPPQPPLLAAPSRRALAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMSFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKSIDSAMRIIAGTAANMGIEVDPPILEKKEKMQTISEHSALTIHC >KN539656.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539656.1:17058:17573:1 gene:KN539656.1_FG002 transcript:KN539656.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSSSSRLAPLCLLLLVAASLAVATPEDYLNPHNVARINPSSTQDYGENLYFNSDQSSTTAEADAVASWVSEGLCYHHDTNSCSAPAGESCGHYTQVVWYNSTDIGCATVVCEIGENTGVVVACNYWPPGNIPGQSPY >KN539656.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539656.1:70361:72283:-1 gene:KN539656.1_FG003 transcript:KN539656.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPLIFFLGLSLASITNGDDNHQFVYNGFTSANNLSLDGVAMVTSDGLVELTNDGIRMKGHAFYPSPLHFRQSPNGMVRSFFVSFVFGIVPTFSDLNSGHGIAFVIAPSKNFSDAIAAQYFGLFNSETDGNDRGHIFAIELDTVKNTEFGDMNDNHVGIDINNLTSLRSYPAGYYEESGRFKNLTLASMEAIQVWVDYDREATRINVTMAPLAMAKPVRPLLSATYNLSGLLMERSYIGFSSSTGATSARHYLLGWSFSMNGGTAPAIDIAKLPKLPRVGPKSDPSNLLQIILPVATAAFLVAAGATVFLLVRRRMRYTELREDWEIDFGPHRFAYKDLFHATEGFQNKNLLGTGGAGRVYKGMLLGSKQEIAVKKIPQNSKESMKQFVAEIISIGRLDHRNLVHLLGYSRRKGELILVYEYMSNGSLEKYLYGQDGRCTLDWGQRFQIIKGIASGLLYLHEEWEKVVIHRDVKPSNILLDNKMNAKIGDFGLSRLHDHGANPQTTHVVGTIGYLAPEIALTGKVTPLADVFSFGILALEITCGQKPMKQNAQGIQQTLVGWVLECWKKGSVVGAVDANLQADYDIAEASLVLKLGLLCSHPSEHSRPNMRQVTQYLNGDLPLPETISIQTQDLACFT >KN539051.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539051.1:10394:11207:1 gene:KN539051.1_FG010 transcript:KN539051.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASARGFTIIPDKFNDDGQ >KN539656.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539656.1:78657:80699:-1 gene:KN539656.1_FG004 transcript:KN539656.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFLLLLLSLILNLASLTTAASGDGDQFIYSGFRGSNLTVDGAASITPDGLLQLTDGAAYLKGHAFHPSPVRLRRDVSTSTTTTTVRSFSVTFVFGIVSVYPDFSAHGMAFVVSPTTNLSSSLPAKYLGLTNVQNDGNASNHMLAVELDTIQSVEFRDINANHVGVDINGLQSVRAYNAGYYDDVSGEFRSLKLISRQAMQVWVDYHGGEKKQLDVTMAPLRMARPVKPLLSVTHDLSTVLADVVYLGFSAATGRVNSRHCVLGWSLGINGPAPAIDIDKLPKLPRAGPKPRSRVLEIVLPIVTATIVLVVGGAIVMVVRRRSRYAELREDWEVEFGPHRFSYKELFRATDGFADKHLLGSGGFGKVYRGVLPKSKLEVAVKKVSHESRQGMKEFVAEIVSIGRIRHRNLVQLLGYCRRKGELLLVYAYIPNGSLNKYLHSNQEEDKPILSWAQRFRIIKGIASASPLTDVFAFGVFLLEVTCGQKPIKEKSPQGSHIALVDWVLEHWRDGSLMDTVDGRLHGEYDANEAALVLKLGLLCSHPFAAARPGMGQVTRCLAGEAPLPELTPADMSFDMLAMMQDKGFDTSVVSYPDLMTSFGTISSLSGGR >KN539051.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539051.1:107399:108951:1 gene:KN539051.1_FG011 transcript:KN539051.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAVCAVIVALSLCSGVVQAQLQVGFYDQSCPQAEVIVRDEVGKAVSANVGLAAGLVRMHFHDCFVKAGGTPYRVPGGRRDGNTSVASDAMANLPRPTSDVAQLTQSFANHGLSQDDMVILSGAHTIGVAHCSSFSSRLYGYNSSTGQDPALNAAMASRLSRNCPQGSANTVAMDDGSENTFDTSYYQNLLAGRGVLASDQTLTADNATAALVAQNAYNMYLFATKFGQAMVKMGAIQVLTGSDGQIRTNCRVAN >KN539656.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539656.1:7335:7760:1 gene:KN539656.1_FG005 transcript:KN539656.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQNSAQDFVDPHNAARADVGVGPVVWRDSTAIGCARVVCDGDLGVFITCNYSPPGNFVGQSPY >KN539656.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539656.1:75548:78549:1 gene:KN539656.1_FG006 transcript:KN539656.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEYNQLGRHLRRLEIDLTSPMAAFLGRLDTVGELSLHLAFQSEISDWSRQFEKLVEEMSKLPECEALEICPAFNHSHGFLPIAMHLLRRFAGIRKLSVNLWWVKPPCPPELVSYCPCRTLTDDLFTDNNIVMLCHLEEIEIDEFRGRDEQVEFVNQLLRCDVPLLERVVFNVPSCCLPESEEIIREKIHGKLRGDKIKMNVSVYNFSKEGDYSNYFLQSNESSDDSATFIDDSNGKQSPLLSLLCLLYCKSRVGLN >KN539051.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539051.1:155142:156647:1 gene:KN539051.1_FG012 transcript:KN539051.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSALSSFLYCCFSPTGGHRAGAYYYSSHPTSTNTYYYEGGLAGRRMGRSRPLSLQTVELKVRMCCSGCERVVKHALMKLRGVDSVEVELEMEKVTVTGYVERHRVLKEVRRAGKKAEFWPNPDLPLYFTSAKDYFHDEESFRPSYNYYRHGYNGDKHGHLPEPHRGADPVSNLFNDDDVNACSIM >KN539656.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539656.1:3199:3633:1 gene:KN539656.1_FG007 transcript:KN539656.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLLCGQDAAIAAAAQRYILFCLLDLLFLSLLYPLRIYLRVQLINLPLTACAALVVAVHLPINHLLVSVLGLGIEGVALASAWANLNLVPFLLAFVYVSSMTAVDAAAAGAKPARKASDSRSSSDLEKHSTREGDKLHTSP >KN539656.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539656.1:18781:21223:-1 gene:KN539656.1_FG008 transcript:KN539656.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAPFLGPDSPVHDTSQKAYISPLALLKILVHAARESPVAAMGVILGEEVDGFSVRVVDAFQLPRCAGGGAFTQAIDPRYIEGMLAMLNKTDRLEGVVGWYRSNPGFYGRPSNHDAVFHKIFAQGLASVFYSILISHRKNDLEINILKSMDKMGSKGSSSEDCRSLCQFHVMSESEKKNVEEMLIDLLTKYQNEEEMPPDAENHLEELKNLMSACILQIFGMMLAWSSF >KN539051.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539051.1:60867:63538:-1 gene:KN539051.1_FG013 transcript:KN539051.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRLTVLHLAVTSVGHDAVVYCFNLKKKRIENSYIQQIKIHLYNERKKDGGRMRDGDGGRGGDGGAPDLRVRRGMLLTPTYGNQEKKQTVEEGGKCKQNTCNTARDKSNSPRWAAGRTYGDELEREWQALPHLVL >KN539656.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539656.1:82690:90421:-1 gene:KN539656.1_FG009 transcript:KN539656.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALSFLLHILLFHGFNLVLVVSASDDQFVFSGFSGANLTLDGTATVTAGGLLELTNGTTQLKGHAFFPAPLSFRGSLNGTVQSFSASFVFAILTTYPNLSCHGIAFVVAPSNNLSTALAAQYMGLTNIDNNGNASNHIFAAEIDTMQNVEFQDINNNHVGVDINGLHSVESHYAGYYDKNGSFHNMNLISGDVMQAWVDYDGDIAQINITIGPIDTSKPGRPLISTTYNLSDVLMEPSFIGFSSATGPINSRHYILGWSFGMNKPAPNIDIAKLPKLPRLAPKPQSKVLVILLPIAIAAFILSVGIAMVFLVRRRQRYAELREDWEDEFGPHRFAYKDLLHATDGFSDKHILGAGGFGRVYKGILPKSKLEVAVKRVSHESRQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDRYLHYEGNKPVLDWVQKFQIIKDVASGLLYLHEKWDKVVIHRDIKASNVLLDKEMNARLGDFGLARLYDHGTDAHTTHMVGTMGYLAPELIHTGKASTLTDVFAFGTFLLEVICGQRPIKEDAHGNQILLVDWVLEHWHNESLLDTVDPRLQGDYNVEEACLVLKLGLLCSHPSTNARPCMQQVVDYLEGDTPVPELASTHQNFNELASMRKKGFDPYIMSYNPSSTFIYTGFAGANLTLDGTATVTPAGLLELTNGTLQLKGHAFHPTPLRFVSGSGGGAGDGVVVRSFSASFVFGILSAYPDMSAHGIVFLVSPTTDFSAALASQYLGLVNLTSNGDARNRIFAVELDTLQQDEFRDINDNHVGVDINGLAMRVWVDYDAGDARIDVTLAPLAVAKPVKPLISAAYNLSSVITDTAYVGFSSATGSFNSRHYVLGWSFAADGGPAPAIDVAKLPKLPREGPKARSKFLEIFLPIASAAVALAMGILVILLVRRWKRYTELREDWEVEFGPHRFPYKDLHHATQGFRSKCLLGVGGFGRVYKGVLPNSNVEIAVKRVSHDSSQGVKEFVAEVVSLGRLQHCNLVRLLGYCRRKGYLAPELGRTSKATPLTDVFAFGTFILEVTCGRRPIYHDFHGTQVMLVDWVLDHWHKQSLVDTVDLKLHGEFDVGEACLVLKLGLLCSHPFINARPDMRRVMQYLRNEVALPELMPTSMSFHMLALMQNDGFDSNMGQSDMVQTDGARAIN >KN539656.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539656.1:48766:54000:1 gene:KN539656.1_FG010 transcript:KN539656.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPQYSALLAAYLDCQKEAYCNMLAKYREELTRPIDEAMEFLKRVESQLDTIAGGAHGGSGGGAGSARLLLADGKSECVGSSEDDMDPSGRENEPPEIDPRAEDKELKFQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >AMDW01034866.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034866.1:2:418:1 gene:AMDW01034866.1_FG001 transcript:AMDW01034866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IASIGGVYRDHEGAFVLGYAERIGRATSSVAELAALRRGLELVVRNGWRRVWAEGDSKAVVDVVCDRANVRSEEDLRQCREIAALLPLIDDMAVSHVYRSGNKVAHGFAKLGHKAVRPRVWRAAPPEEVLRFLQQDADQ >KN539840.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539840.1:11426:15196:-1 gene:KN539840.1_FG001 transcript:KN539840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSPTLDGHYEEKRKSNVEYSEDEKKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPKEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLRWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPEVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKTLGPPYSCDVPMVEKAIDAICQSKGLQDENVTVTKAIVNASNGSNPPLYGGIMALVMSIVTMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSIAEYASSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAVTKKALQETLERQEQIMAYIEKKKKKKSKRLFRW >KN539840.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539840.1:69099:69971:-1 gene:KN539840.1_FG002 transcript:KN539840.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGVDSSMSRADDVDDWVSTKRAAAPAPMERRERSVAFGADSHSRADDSASWVSNKGYSAAPPPPSDSRRGGPVWGFNRDGGPDADSWGRRREEVSGGGSSGGARPRLNLQKRTLPLANGTDGEGKEDKEEEKGEMQPKSRSSNPFGAARPREVVLATKGDDGRKEEEKEKEEEKLEIQPRTRTSNPFGAARPREEVLAAKGEDWRKIDEKLEAMKMREAPPPERRSFGRRGSPVRGEENGSRPLPESHVEGAWKKPDAVQAVGESEDGSDKPNTAEAARKFEEGSDN >KN539840.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539840.1:54682:65300:1 gene:KN539840.1_FG003 transcript:KN539840.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAERTPFYLYSKPQVVRNFTAYRDALEGFRSIVGYAVKANNNLRVLQLLRELGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDITLVGVHCHLGSTITKHVSQNSVPSYPVRFPLADKTTPEIRGSELRNVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPSPDAEVATFDIVGPVCESADFLGKDRELPTPDKGAGLVVHDAGAYCMSMASTYNLKLRPPEYWRRCGGADTHTTSFDHVLVINTVEFTAIIGNVVIAKSYLKTFWLHSNKKFPASLTTSGGYNLQEHVQLLDNNPLLIFPEGTCVNNWYTVMFKKAAFELGCIVCPIAIKYNKEFTDTFWDIELFRLMTSWGVVCDVWFLDPQQIMPGETAIELALHLK >KN539840.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539840.1:23911:25005:1 gene:KN539840.1_FG004 transcript:KN539840.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGRFGTATASALRSTAIRFLPRGGSRDYENPYPVRGWSGHGGGRRGTRLAAGVGFAQGLKKGCRGSQREPGAVGLALSLRASALAGGGCVGLATAAAVVGAWARQGIGKDGVGFVQPWHSWIRGQRVAQGRVASLLGQRERESKRATAPGWASRRGTRRRQRKGLGQGCTSLASALPAGIAGRGCLVGLETMTSISHARCSMNCLKGIEGEKGETEGSDMGMVVGRWAQ >KN538833.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538833.1:163423:164394:-1 gene:KN538833.1_FG001 transcript:KN538833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEIALEPGYVFHPSDDGLIILFLRLSIAKLPFEDRLINRADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPRFTSKRKTSGRRQRAVAGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAKSADSPCSGSKRKADDGADHPEAPPSARPRQEEAGSEHGEQPEILPEFDQLDAMLSAPMDGNLGVEFDTATTEQYMRYLMNDEPLPWAPTMETVDGVAGGGDEFIETTNGPRMGEEEIIARLAAGETLDDILSTNPN >KN538833.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538833.1:225584:227897:1 gene:KN538833.1_FG002 transcript:KN538833.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMLFDLRSDMVGAASMSLDYRPEYDDIEEAKSPNTSVAAVAVKNADASVYKIIHGFLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNKTGPLLSGISYCIASCSMILLNKVVLSNYNFNAGISLMLYQNLISVIILLVLELFGVISTEKLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTAVGEIYIFRKGQNKKVWAALCLMVISAVCGGITDLSFHPVGYMWQLFNCFLTAGYSLTLRRVMDVAKQSTKSGSLNEVSMVLLNNALSIPLALILIVIFDEWQYVYEVEVTRDPMFWAFATASGLLGLAISFSSVWFLHRTGPTTY >KN538833.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538833.1:143295:145150:1 gene:KN538833.1_FG003 transcript:KN538833.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVRWAADAGHNLTAGDDFFTSSVVKSYYKNHVKAVVTRVNTVTGVAYKDDPTIFAWELMNEPRCDADPTGGMVQAWVEEMAPYSCSLAHLATVTCRNHQGRFKIAVLTTVDSGIQ >KN538833.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538833.1:122929:124405:1 gene:KN538833.1_FG004 transcript:KN538833.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLAGRGFRCLAPDLRGYGDTDAPPEIESYSAFHVVGDLVALLDALGLAKVFVVGHDWGAIIAWYMCLFRPDRVTALVNTSVAFMRHVFIRSGADAVKTTDHFHKAYGPTYYICRFQSQTDQNERSSRSSEILSCTDSMA >KN538833.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538833.1:206537:209483:1 gene:KN538833.1_FG005 transcript:KN538833.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPATARKRALQSQDEQRDPKLHKPTPTPMETALFSAASLFRDADDDQDEMQVDADEQVQSVQYEERAHQFPGMLVVIFAVLESRKCLAGYQSLCSPFGNGMQELKIREFSSHQLNANMLWPGTFLFADWLVKNKSILHGRRILELGSGTGALAIFLRKAFQVDITTTDYDDGEIQENIAYNCKANDLGVLPHIRHTWGDQFPVLIPDWDIVIASDILLYVKQYPNLTRTLSFLLKEYKGCSQNAGSSASTAITNKSGTQVPVKFPIFLMSCRRRIGKDQSLFFEECEKAGLEVQHLGDLVYLIYTKQ >KN538833.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538833.1:116399:117010:-1 gene:KN538833.1_FG006 transcript:KN538833.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLSIVDEDGFVIDLSLTLGLTSPPPSPGGASPSIPPGRGGGGGTSGGDNNRGSRGGGGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLPAGVAAATTTTTAAMAVPDVVGMPTHQMSSMALHSCRPHQGSHVTAADIATLAAPPHYTVDHGVAGIAGGGGDSSVGWRQRQREAGGEKQRQVDLNLKL >KN538833.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538833.1:210800:213045:-1 gene:KN538833.1_FG007 transcript:KN538833.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) UniProtKB/Swiss-Prot;Acc:Q8L7Y9] MENRSFDHILGWLSRTRPDIDGLNGTQSNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMPQNVMSGFKPESVPVYAALADEFAVFDRWFASVPTSTQPNRLYVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFDRLGVRVPSFLISPWIEKRTVIHEPNGPQDSSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASPSSDLSSEL >KN538833.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538833.1:204172:204896:1 gene:KN538833.1_FG008 transcript:KN538833.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDENRVLERRNKSLTREEVEAFWKQHGGEMMSGSPLGTPAAGGMARSAPLSRSKAHASSPRGVRIDPATRVEGFFPHDDAAAATAAESPSKSHDWWTRSNWAFLNEPPQEEIAGKAQSYAPQFHVARIATGNA >KN538833.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538833.1:188061:189644:1 gene:KN538833.1_FG009 transcript:KN538833.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDMILLLFSCLCLLRAYDAWVIYNFFSLCLAWVGGPGAVVGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYNEYAGPNGRPSGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDSTQRKYPSASTVPSGRDVELSGISVMPANSPVTSSVSSNQADQEETMSTPIKDRVDNVGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >KN538833.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538833.1:136181:137719:1 gene:KN538833.1_FG010 transcript:KN538833.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGARAFVSGNLGIPIPAISAPSPSQARRRASLVVVAKVKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLVSASTMHKSLSKDLEYSAGPTVEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >KN538833.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538833.1:124552:125098:-1 gene:KN538833.1_FG011 transcript:KN538833.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIILNNHCEGCAIDIRKAVEKMFGVKRVWVDMYGRRVVIAGRKVDATKLRERLRRKTGKSVTIVSDGTPPPPPDDVPFVGMMHLAPSPPYYPLPAPPPPPPPAHYVAPPGSYLYGGNYPCQNPYHQCVQGAMPARFVGDDTYDDGCCSMQ >KN538833.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538833.1:153055:157559:1 gene:KN538833.1_FG012 transcript:KN538833.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSEGAWQGDNPLRFSLPLLIVQICLVVVFTRGLAYALRPLRQPRVIAEIIGGILLGPSALGRSKRFLDNVFPKDSLTVLDTLANVGLLFFLFLVGLELDPASLRRTGRTALAVAAAGISLPFALGVGASLVLRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDIGRMAIGLKTDVTTISGAKSWGLLVLVMTTACAGKIGGTVAASLLMRVPLREALALGMLMNTKGLVELIVLNIGHDRKVLNEEAFAILVLMALVTTFMTTPAVTAVYKPARRQASYKHRTVERADADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFASRRGQDGGGGEVVVAFEAFQRLTAVTVKPMTAISDLDTIHDDIVASALNKRAAIILLPFHKMLCHDGTLEPVDRAFHQVNVRVLRDAPCSVAVLVDRSLGGAAQVSAPDVSYSVLLLFFGGADDREALAYASRMGEHPGIALTVARFTAAADDAAEDDDAIQKHISNVRKAGNDGAFKYDEVSAHGRQEVAFAIKTLGRGKNLVVTGRSAAVATPLVDKTDCPELGHVGSYLATPEFSTTSSVLVVQKYDSRGDTGTSSSSHAGGEATVEESGVPIRRP >KN538833.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538833.1:177291:182027:-1 gene:KN538833.1_FG013 transcript:KN538833.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26742) UniProtKB/Swiss-Prot;Acc:Q8L7S8] MTRLVGKRAVLIPALDGRDLIARAKTGTGKTLAFGIPMIKQLMEEDDGRSVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPGWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGNAILMFTNSQRRTVRSLERDVGCRFDFISPPAIEDVLESSAEHVIATLRGVHTESIQYFIPAAERLQEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIFLTADEKVQGAVFDLPEEIARDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDFGGGRRSNRSSSFGGRGSSYGSRSSSSFGGRSSSFGSRDR >KN538833.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538833.1:221633:223547:-1 gene:KN538833.1_FG014 transcript:KN538833.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGIGEDGSWHAKFKDSAYVFVGGIPYDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIVRVDHVSKYKKKEEEDEEELQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESKARWEHDRHHEPPMSHKKFPSSAGEQRFPDRAKEENKSTGREGQSSRSEAYKDRDSRLRHSDRGSKDHDRYRHDRSPERSRGDRQRNNDRYAQGRDEKSERYRSEVKHDEGDQKRSRRDTDSSGHYERRGNEDSERYRKSRR >KN538833.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538833.1:190589:192914:-1 gene:KN538833.1_FG015 transcript:KN538833.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) UniProtKB/Swiss-Prot;Acc:Q94A21] MKFGKDFRSHLEETLPAWRDKYLAYKSLKKLIKNLPPDGDPPPVAAAAEVPAGDGDGGIALGNWFARVLDMELQKLNDFYIEREEWYVIRLQVLKERIERVKAKKNGAFTSKSEFTEEMLEIRKAFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRARHQPFFTTEPLTRLVRECEANLELLFPIEAEVLESASSSAKLQPRNDDAASHDPASSVDVETSDVYRSTLAAMKAIQGLRKASSTYNPLSLARFFHGEDGEACSGAITSESDSYSDSQIEDAEDDDKEVQSREQNTAQNAAEGQPRDE >KN538833.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538833.1:197553:198084:1 gene:KN538833.1_FG016 transcript:KN538833.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSPVLGDEKKAARDE >KN538833.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538833.1:149292:150800:1 gene:KN538833.1_FG017 transcript:KN538833.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDGTQFVVDCGRTIFFSGFNAYWLMMMAADPALRGAVATAFQQASAHGLNLARTWAFSDGGDQPLQSSPGVYNETMFQGLDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWARDAGHNLTADDDFFTSTVVKSYFKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRCYADPTGAMVQAWVEEMAPYVKRVDGRHLVTPGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTLSHIDATAAYLGMPLLVTEYGKFLWKEVGANKAQRNYFLDLVLDAIYASASRGGPLVGGAFWQLLLDDDIVAGMDSLRDGYEIILAEDSRAASIIGEHSEQLAALNGQDADVLCRQASSHRKTRLGNSLSCGGGGDTLELLLRMVLACFVSLSRSISSFIVQNFILL >KN538833.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538833.1:125678:132620:1 gene:KN538833.1_FG018 transcript:KN538833.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNWEMAAPWADAKVQVPTKFIVGDGDLTYHYAGIQDYLHKGGLKAEVPLLEDVVVIPGAGHFIQQERAEEVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPAEKPLDYFRGAYGDDYYVCRFQEPGVEKELASLDLKRFFKLALIVQTTGSSAMSIKKMRANNREVTLPPWLSEEDISYLASVYAKTGFAGGINYYRCFDLNWELMAPWTGAKVLVPTKFIVGDGDLAYHLPGVKSYIHKGGLKKDVPMLEEVVVIKGAGHFIQQERAEEISDHIYNYIKKFNTGVSSPKLSRLAFVMPSLAILANNEISREPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLCKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRSFST >KN538833.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538833.1:159477:161870:1 gene:KN538833.1_FG019 transcript:KN538833.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHILFLSLLLLVPEDPNFAAGASANESFITLGSSINTSSTQYWSSSSGRFAFGFYPNGEGFSIGVWLVIGVSRTIVWTANRDEPPIAGGSIIFGHGGALQWSRTPSTPGSQLNPISDGSTPAASAAMLNTGNFVLYDMSRQVIWSTFSFPTDTLLAGQNLRPGRFLLSSVSQSNPASGKYRLENQQDGNLVMYPVGTIDSGSAYWSTWTFNMGLLLTLSLDPNGTIWMFDRKNSYTKILFHANQPSNASPDMEIYYHLTFDPDGIIRLYSHVFFKLGRAPTTEVEWLEPGSDHCLVKGVCGPNSFCHLTVTGETSCSCLPGFEFLSTNQSTLGCWRALPTGGCVRNSSNDETRVTTTMVEVKNTTWLENPYAVLPATTSIEACKLLCLSDCGCDIAMFSDSYCSKQMLPMRYGRMPGNTTLFVKIYTYQTISGTRQRAMSIHANSALISGVSLAIFSLLVLLVASLLLICRHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDVATNGFGEELGKGAYRTVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVHVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGSIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPVMRPAMKSVALMIEGAIEVHQPPPPASYSQSLT >KN538833.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538833.1:214798:220737:1 gene:KN538833.1_FG020 transcript:KN538833.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQSKAVREEEAKFKAGAAEGCNILPLKRCIFSDHLTPVLAYRCLVREDDREAPSFLFESVEQGSEGTNVGRYSVVGAQPAMEIVAKANHVTVMDHKMKSRREQFAPDPMKIPRSIMEQWNPQIVEGLPDAFCGGWVGFFSYDTVRYVETKKLPFSNAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRLDCHESVDEAYEDGKNQLEALLSRLHSVNVPTLTAGSVKLNVGQFGSALQKSSMSREDYKKAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKKRYMKTHSWYKEGSAVNVTLNPKVLNLCSWLMRPLAGTIRRGKSKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQMEGKMRGPYSGGFGGVSFRGDMDIALALRTIVFPTGSRFDTMYSYTDKNARQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFVDE >KN538833.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538833.1:134245:135275:1 gene:KN538833.1_FG021 transcript:KN538833.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEIALEPGYVFHPSDDGLIILFLRPSIAKLPFEDRLINRADVYSADPAELVGEHRPAPGTHGSSSVC >KN545640.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545640.1:276:1256:1 gene:KN545640.1_FG001 transcript:KN545640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGCIHARGLSNCVYLAAPQQFLLLRAPEETKRNEDEDDERAPPPDAAAGGFLEQKGEREENVLWLGHAYAHTEGGCTTIVVLNLNSNREMGYMGPYETQRFQSEWDPLDIVLGK >KN543079.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543079.1:1707:3896:1 gene:KN543079.1_FG001 transcript:KN543079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCFQVYKSTEYILEKEMEDLAGPILKRCRGLPLAISTIGGLLANRPKTGIEWIKLDEHLGAELESSDLRNITKVIVSSYDGLPYYLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYIAKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEVPQSKIRHLVVSRWKRRDERLENINFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSLNLKNEDLRHVGELHHLRYLCLRGTEISKLPSLQNLRYLETLDIQDTKVTQLPDGIAKLEKLRYLLAGVNFSKELLHKVEQPETDNRKANQLGNMLSCLYCNGSDYCGISSLDRVSVRAPEGVEKLRDLHMLGVINVGHGNGVVGKIKKLTNLRRLGVSGVLKEEGQDLCKSIEKLSRLQRLELRSDSLKFLAESEFAAPKHLLSLRLYGNLVRLPKWIGSLNDLAKLKLLGTQLKQGEIMHLGKLRNLAFLGLWDNSYVGYSLHFGPGTFPKLKFLGIDGLKNIESVAIENGAMPELEQLWVNDCKELLDSKDGLSGVPHLTNLNELLVKKCGEKENLMEILQTQVSEHSKRPKFLIGKSIVTTSPKRQRTE >AMDW01024288.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024288.1:71:253:1 gene:AMDW01024288.1_FG001 transcript:AMDW01024288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSTSCSFAAFFFVFDPFPFLFQPLSGIGLARFFFFQTEDLAIACPSISNGIAPAPSP >KN543079.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543079.1:7424:10553:1 gene:KN543079.1_FG002 transcript:KN543079.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARTVLDGVLGGAGSAVADEAALVLGVRREVDFIRDELEMMRSFLKATSGCAAGDMARTWVKQVRDLAYDVEDSVADFAVFADTSSSSSSSSWLPWRIAERHRIAARIRELKARVEELNQRNQRYNLGVEITGDKKDGGVTAWLKKKRYLIVVDDLRSQEEWEQIEPLLVQSDAGGRVIVTTQRGDVPELCASMPNHAYELKPLAEEHAKKLLCQKVYKDAEYTLPQDMLEEANEILGRCRGLPLAIATIGGLLAKRPKTSGEWKKLQNHLGTELENGKICKIKRVITSSYDGLPYHL >AMDW01039734.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039734.1:596:919:-1 gene:AMDW01039734.1_FG001 transcript:AMDW01039734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFEKLISSQQHGTDQPNTPWLGTTGGAEADGVAGAENGAPVSAAVEVQSAPKPAKPVRVKVGAKKRGRPKGSKNKKNL >KN540287.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540287.1:9982:14282:-1 gene:KN540287.1_FG001 transcript:KN540287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPSPEIDDELFNEVYGKAYSGPVSTTTNNVTPRVNDEKRPLEREKSDEEDGPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRNADFFERVPARDKQVRDLFTERTISQIEKDVGCKIKMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTSSSPKRDRSRSPVRTTSDIRPRHTDSRWSHSPRSAPRSQSKGYYNERPLDGRSHDDMPKFSKGSPQARASANYGAKGRPAQSKSPCQPSYIDDSLRSNDGNNQYAATYVPNTWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELARARDKEEDEENYKHRESLREMRENHMKRVTAMRSMHSRKWDEFLEQSFKRHQQAHQTSYAQTSYPDYDQRATQFAATGPPNDSKSEYPYITDSYSAPRPHAAYSEFEHERHDDFGRTYGRTITMGLGTVKMDLDNVLDGSVFMQGIRIPQLLFTCRTLACAI >KN540287.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540287.1:33482:41296:1 gene:KN540287.1_FG002 transcript:KN540287.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAHATLLLVVAVVAAAACWTTAAGEDEEVAEICKKTSYPEVCITTAGKQASKYGAAVDPLAVLNMQVDAFAMRTEAARKHLTEEVKTATPKGAKALDLCDSLYLDVEDNLGAARRAIGFKDALESLPAMIGGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTRPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDAQTQCIIDHQALPCLLSLLTQNLKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLVNLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLICPDIRIVTVCLEGLENILKVGETDKTLAAGDVNVFSQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMDEEDDTMGGATTVAAPQGATFDFGQGGGAAQFK >KN540287.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540287.1:20737:28354:-1 gene:KN540287.1_FG003 transcript:KN540287.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRRASSGRASDLSLSHYKWICDIDYQIQTNGSSFTRKWSFLNSCYWNDENSCIAGAFGICSKENLLKFFGCDMSDNLVQHNRRSEAEISTHVDAAPPDAASNTSAAPSGLVQPPVSPHNACCSQNMVQKRGSQDCHCVYPVRVELFLRNVSLTSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNYSLSQHTVQINPVLVGDYNLLNLTWFRPLALAPVYFSVKVENTKRMFGDINMILPMNDINIISLYLQPSPTFTISPKPSPSQASTVPRHSADTSNEKHMSLITIICIFIGALIAVLVIAMFICFCKLRKGKRKVPPVETPKQRTPDAVSAVDSLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVFKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRTLGASRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWLTQMFPDLSTKSLVSHQPLLAKLSGVEILICSILTTQARPILRDKDTLEELADPKLGGQYPKDDFVRVCTIAAACVSPEASQRPTMGEVVQSLKMVQRSEFQESIPTPPARPNVRQSSTTYESDGTSSMFSSGPFSGLSPFETENISRTAFSEDLHEGR >KN541066.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541066.1:2433:7418:1 gene:KN541066.1_FG001 transcript:KN541066.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEEEQEQEEEDGQDEQQPQEAGEEQDEGAVEDADMDDAGEVLVGGNGDAADAQEGQGESEGFDPNPEKKEKKQSMHSDVAALPCHLMHFDLSALPDTNQWEPRQRRPSLTDKKKPYRGLRFLCSSRIAAEELQVLEVLKGSCLDVIEERKKELSDKLDTLNKKKHDLVQMLKQILNAEEEIRMRTMQASLRTTVPQPSENAADGSSISRLVPRMTVDVNFSDVAGESEAGSNQGTPGRPLHHVHSISPSTASFARSPFGSLQHNSGYTPRSPATFSTASPSRFAATGNQGHPIGHPSISLPGINFVASSPSPAASGGSSSVFRDYRPPNST >KN540287.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540287.1:17912:19950:-1 gene:KN540287.1_FG004 transcript:KN540287.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSIPYMTARVFTMDTVYNFTVNPRDRHWIRLHFYPSSYNGLEPQDFRFSVFTTTGYTLLHNFSVYFTTKALTQAYLIREYSLPRVPEGHFGVTFSPSPMMNVTYAFVNGIEVISMPDMFNDPATMVGFADQTADVSAAAFQTMYRLNVGGSYIPPSNDSGLTRPWYDDTPFVQGPLRGLVYNAGPHFHIKYPSDAAEYAAPPEVYLGGRSMGRDQRLNQNSNLTWSLHVECNFTYVVRLHFCELQLIHGNQRVFDIYINNRTAQTDVDVLEMATERGVPVYKDYAVRLSNDTADEHLWVAVHPSVMLRPQFYDAILNGLEVFKVNNTGGSLASPDPVPNKRSKKLGGGGADSHTSAWLPLYHSHTSGKSSGHITANIAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDGDVKVAVKRSNPSSEQGITEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMTTNILVDDNWVAKVSDFGLSKSGPTTLNQSHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPRDQVSLADYALACKRGGAPPRHA >KN540287.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540287.1:52664:53908:-1 gene:KN540287.1_FG005 transcript:KN540287.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPAAFVNGEILKMFVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMSHYVEIIGIAEPNQAIRAEVCTDFGENFDPAPFNGLCKLANGQMKDLFL >KN540757.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540757.1:14664:24532:1 gene:KN540757.1_FG001 transcript:KN540757.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRDLYFKALENKALAAMDNFTHINECEDSNKYPCYGKCINKLGGFDCFCPAGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHASLLWISVLELVALLADEALPPPPGSNCSRACGGVKIPYPFGFEPGCSLPGFEVICRDTKKGKKPFVSALDGHFELESVSLLEGQARLWNNITSYCYNSTSKNMTMEHSYYVYLDPFGPYSYSVTRNMFIAVGCKAAAFIKIGSGDAVDQITGCFAMCGEDDKLGNYLTSLADGTCKGAGCCMAPISAEAGFYYVYFDMGYNTLDIYNTSRCNYAALMESSSFSFGKSYATSSEFFDTYSGQVPIVVDWAIYKNSCAEAQQDRGSYACVSSNSNCVDASSWSYNCHCSKGFHGNPYLPNGCQDIDECMDSNKYPCYGECINTPGGFDCSCPAGIAIGLGVGIGILLLMLSVIFLIRKQRSDRQKQLRKKYFRKNKGLLLEQLISSDEIATDSTKIFTLEELIVATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNSIKEEEITQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLNRIIHDDSSNREFSLSWDDSLKIATDVAGALYYLHSAASVSVLHRERSITEIVAPEVLEEATEDEMKTIASIAQACLRLRGEERPNMKQVEMSLQSIRNKGLRSGSVAPDINHEMHHQQPSGVDINDLANLASASCYRLEQEFVLTANLAR >KN541066.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541066.1:20145:21892:-1 gene:KN541066.1_FG002 transcript:KN541066.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKIALPTSPMPGAIRDLISPVSSASQEDTDTDMDTVGMDAVFFLEKDLFPGSKITLHFTRGSICAMLLLRGRADAIPFASEKLPEILTKLSVPAGSRAAEDMRTTLAECEAALLGARDQAKHSFGWTVGDVLPPTMTSRRRCSWRPRGAGPSPSPVKTKTKRRSRLTLTTTILHAVLDETTRLHLRVVWDSKDVKANDVFPDGRVVEARHLILVLQGRMETIWSSDGVDFNLNGWFSRPSSDHPERSDKQDNTTR >KN541066.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541066.1:9668:17692:-1 gene:KN541066.1_FG003 transcript:KN541066.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEPRDEWRMVAGSGVPPEPQTYLEFHCADGAVSSARRAPPPTLDRHSAATLSTHTEQRAPPLRHVAAPPQGRTQAASACPASPPFAASTLLMVRMQPPSHRCTLPPSRTSPGMNHHHSRSTTAAAWEALDPDKVGPDLAKEIADPIALALYPIAQRLHSPQPCLVTPPCVASVPRALPPATPLPVSLVHRFFPVHYSICSGRASDLGEDMVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGNKTNNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRIVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >KN540757.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540757.1:39283:41649:-1 gene:KN540757.1_FG002 transcript:KN540757.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDTVAEPAQLSGANLVRHLQTTNRMADYKVAAHVLGERERRAAETEACLQAKIDALQMECNLLAKECAYSEGGKKTNIDSNKNEVSGTNHSSSSSKRKRVGMDNMTIPPVLRKNPHCIDNLKSTKKRGRPCKSSIKPAPTMSNNFKCILQLQLPLSSTVPTSTDVSLSDLPIITTVPPPTAILVGPPLLLESDNQERSWNKRSVFSELGSVDEEYVIEEETAPLLPSSMQQPPSMGLISTGGSPIAPALVATSCASVPDMSPHLVEESNKGNIEMQNQLSHLHLNNLMNQLSFDAWMEESGGPFMSEQVPFSLVLPSSSKSASLLSKIETSLWESEENMVIRFMENVELCMGAICALYRQKKLMVELTCEERTKFTSLNESQAYRATQLAEFLLDGDINGPMKKNKEDLVNHDATGPKFIQEYAIQCSKQLFDIYRNKEDLYFC >KN538850.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538850.1:62043:64542:1 gene:KN538850.1_FG023 transcript:KN538850.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFGREGEIEKSDRSDGIGPLTNEAICQVCLTIEPCRTSSNRLHNKIEIHSQILCALMELTTISPVFLSLLGVPLLYLLWSKASKSPSGGAPAAPPPPPGPTPFPVIGNIPDLLRGGELHRALTGLAASYGPVMSLRLGMASTVVLSSPDVAHEALHKKDGAISSRWVPDNANVLGHQDVSMAWLPSSSPLWKHMRTLASTLLFTSRRLGASRGIRERKARELVDYLGARSGRPVRVGLAVFGSVLNFMSNVFFSEDVVVLGSETGQEFQQLIADSVAETAKPNISDFFPFLSALDLSRRRRAAAKNLKKFYDFFDDVIDRRLSSGEKAGDLLDSLLELHAKSQLERPLIRALMTPAGGVTLGGYSVPSGARVLINAWAIGRDPAAWSPEPDAFSPERFLGREADYWGRTLEFIPFGSGRRACPGIPLAVAVVPMVVAAMVHSLEWRLPEGMAPGDVDVGDRFGAVLELATPLWAVPVKV >KN540757.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540757.1:30335:30877:-1 gene:KN540757.1_FG003 transcript:KN540757.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQGLCHATAEVTKWSKMPSPALQVVCHHDANSRNMKTLHFIRALDFQGALRSSSRTQAYEDLIEKTPFAVALHKIVSLQAGSSVTSSHQQPCPTDEETPPVPGQITLP >KN540757.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540757.1:28607:29044:1 gene:KN540757.1_FG004 transcript:KN540757.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEAAAEGRGLSDGVSQAARELLPNPLAMYESSQVMGEWRDGQGVVAFDGGRQWGTQYGRCCSGKANRELPLAMHIGASGTDGATPWPRRPMPQRWNRDDDGQWRRWGCPREEKDEEIRGRSRARIWVRRGQPPLPRASGTRE >KN538850.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538850.1:31895:33712:-1 gene:KN538850.1_FG025 transcript:KN538850.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPAPPQQDAVALPEELDDLLLNFWDASSDQQQQQQQVAFNSSCILQEKTSSTTATATTTNSNSNFFYDDDDLLGSIFSTGPTLPEKGVAEPLLSSSSSNCQADPQVSEVSGAQPQATPAAPGVARAPPRCSSSSSSLKRAAPAEVDTAAEVEYCSQSSSKRRREEETPTPEKSAAAAAPACRVLCPFAVLKPDGLDGGATLADINARILMRPTSNGKGISIGSLRLS >KN538850.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538850.1:69550:71720:1 gene:KN538850.1_FG026 transcript:KN538850.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRDTVEEATKPNLSDLFPVLAALDLQGRRRRTAVHIRKFHDFFDEIISRRQNAGGEGERKEDFLDVLLQLHSADQLSLDTIKTFLGDLFTAGTDTNSITVEWAMAELLRHPAAMSRARAELRDALGAKPHPDEVGDKVTRLKWNQCPVTNGRKLVGPHEVEAYGD >KN539196.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539196.1:92367:93689:-1 gene:KN539196.1_FG001 transcript:KN539196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEEDQRLPHHGEPKEFIPVDKLTELGVISWRLNPDNWENCENLKRIREARGYSYVDICDVCPEKLPNYETKIKSFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVALKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEFLAKLLKSEGENQAVEGF >KN538850.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538850.1:96802:98344:1 gene:KN538850.1_FG027 transcript:KN538850.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAMADAGRELVLGLGMGRREEAAVEAGRRDHEVRRELEFGSMSSRTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTWFSENPRGQRVWPSDWDTSTAHDA >KN538850.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538850.1:16490:19612:-1 gene:KN538850.1_FG028 transcript:KN538850.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEPSGHFSFPAPPLLPPRRPRQELRMVLELATDEELMEFEEILYGTSYFSPLLKSIAKRPNSDYVDALDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFPWNKQKSPKDNSSLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMIYESLAKRLSGKLLMEAGKYEIKKELLKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQVSF >KN539196.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539196.1:51421:51723:-1 gene:KN539196.1_FG002 transcript:KN539196.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPELSPRGVAALAPEKKMERGWPGEKGRDDPLPPHRSSPTPCRSAAVFTSIPFATVLIHAAAASINAAGEGKGEERRRCRWGGELEPERGERVGELGG >KN539196.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539196.1:98398:100480:-1 gene:KN539196.1_FG003 transcript:KN539196.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MAPQVWMLGENGEEKSFENPNELLPLSRLEEIGVLYWHLDPKKSESEEELKKIRKERGYSYFDLIEICPEKLENYEEKLKNFYREHIHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFIVDSNNYIKLMRLFIGEPVWTAYNRPQEDHPVRQEYVKNVKGDTGFALAAH >KN539196.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539196.1:110953:112924:-1 gene:KN539196.1_FG004 transcript:KN539196.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGGCLLLLLLGVVATVAAGAAPACETARCGKGLCVEEPGPLGLDAYRCDCDAGCACFNTSISLPKGGIPLTDPCVAVNCGPGGQCVKEEGFSYHCACSPGFVNMLNLTELPCIKNCAFGKDCAALGLSPASTPAPAPAPAGNDIAKTNNSFVD >KN538850.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538850.1:73128:78747:-1 gene:KN538850.1_FG031 transcript:KN538850.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNQVIQDPYAPDKPLDESCISTPLYMLLCLLLLTGRDITTQGKRKVRDFDLNKEPSPDPVSPKANCTAASSSEAQVRVNAGKEAEGKIVIKQADNALVKKSAADEEQPAVVLLPTEERRKCTRYRRGSSTTRRRCREDARPGYRMCLKHITESRECLRRWRELKKKLLKASAAEPETADVVSSKTVAGGGGRKDQVASMAMASASASSSRPLLNGATKKKRMAVPTSGEDATGGSSSGDWPLQKLMHFSYICLHIVKLDNKFDSNLMKKILSVIEVFELCKKKEQTYQKITTNMLEQMQGREKCCMTLGSWEEYNVDLDMETDDEEDGDNDSDEGNNEN >KN539464.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539464.1:17407:17748:-1 gene:KN539464.1_FG001 transcript:KN539464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGHSRQRTPWSSNRFQRCGGAVGAKASLGAASAWWLSTKAGEEMRRSQERQTERNLASDLDGKRSSTSPTTSSGKMHGAEADVDASLLFFLAMACCASQHLLRLAIASCAC >KN539196.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539196.1:26118:29236:-1 gene:KN539196.1_FG005 transcript:KN539196.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MECIVGSGLGRWSTSPSSSEPWRPEKQWRKATNVIRAFGSNTYPKPKPKGFFRHVWDALADVFLVVLLVCAVVSLAFGIKEHGIKDGWYDGVSIFLAVFLVAGVSAVSNHSQGKRFDKLARESENIMVSVVRSGRRQEVSIFYVVVGDVVVLKIGDVVPADGVFLEGHALQVDESSMTGEPHPVEVDAVKSPFLASGVKVVDGYGKMVVTAVGTDTAWGEMMRTITRENTDPTPLQERLEGLTSSIGKVGVAVAVLVFAVLTARHFTGSTRDEQGNAVFDKRHVTFNAVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVRENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGAERPRSAAAVNGGVVSLLCQGAGLNTTGSVYKPDNVSPPEITGSPTEKALLSWAVEELAMDADALKRKCKVVRVEAFNSDKKRSGVMLSDAATGAVTAHWKGAAEMVLARPEVKSAIEACTKAGIAVKMVTGDNVLTARAIAKECGIISGNDDATGVVIEGHEFRAMSEQEQLAIVDNIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIVILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAVTTGKMPLTTVQLLWVNLIMDTMGALALATDTPTAGLMRRPPIGRTAPLISNAMWRNLAAQAAYQVAVLLALQYRGRDAFGERANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVLRNRMFLGIVAVTVALQVVMVELLTKFAGTERLGWAQWGACVGIAAVSWPIGWVVKCIPVPEWPFHEIITARRRRRST >KN538850.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538850.1:39691:44813:-1 gene:KN538850.1_FG032 transcript:KN538850.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVWKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKRVYAEEDPLLHSQSAIARRPSRLGKFFEQSITARRVLLFVAVLGMCMLIGDGILTPAISVLSAIDGIRGPFPTVSKPVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFGDGFYKFVPRPVYWPMFVVATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRTPLVLAGLYFVPFFIMEGAYVSAVFTKIPEGGWLPFAVSITLAMIMFGWYYGRQRKFEYEMTNKVSLEHLGELLARPEVQRVPGLCFFYSNIQDGLTPILGHYIKNMSSLHTVTIFVTLRPLLVAKVDQSERILINRLAPNGLYGCTVQYGYADSLNLEGGDDLAAQVTSCLQWHIQMDNTDGRRSPEEEMAQLEAARLAGVVHVRGKMRFYVGEDAGWFDKIMLGFYEFLHGICRSALPVLGMPLQQRVEIGMLYKKNSARHTDMVRMLHLLKTEEGIASTFTNLKYASLIFDLQVQFSAYKYSFQDELNVERAEILYSGNAEVLPRQFQNLLDALQRHHPITVLMFYLKYS >KN539196.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539196.1:103831:104178:-1 gene:KN539196.1_FG006 transcript:KN539196.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSSFTGVFDHGSHGVTLVKVDEAPRKCSSAAAKKTDAAPAGGAPPPKPLLVAAPCDAGEYPVVVFLHGYLAYNSFYSQLFEHVASHGFVVVGPQVNQSILIASRVMNFLVMN >KN539196.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539196.1:22789:23587:1 gene:KN539196.1_FG007 transcript:KN539196.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKAAADKDKDRKKAPVSRSSRAGIQFPVGRIHRQLKGRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >KN538850.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538850.1:12072:14986:-1 gene:KN538850.1_FG033 transcript:KN538850.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKMVISLGSSRRRKRGEMLFRFEAFCQPGYPANFAGAGGFRDNVRTLLGFAHLEAGVHGETKCWSFQLELHRHPPTVVRLFVVEEEVAASPHRQCHLCRHIGWGRHLICSKRYHFLLPRRESAAVADGLCFAINHGGGGGAEKASSKGTTTTTTTATASSRGHLLHGVVHLNGYGHLVALHGLEGGSDFVSGHQIMDLWDRICSALHVRTVSLVDTARKGHMELRLLHGVAYGETWFGRWGYRYGRPSYGVALPSYRQSLHALGSMPLCVLVPHLSCFSQELPMVVTKYQAISGHKLLSLGDLLRFMLELRARLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVWLRAGEA >KN539196.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539196.1:70042:79993:1 gene:KN539196.1_FG008 transcript:KN539196.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAAVAEEDGVAVVRRAFEAGVTFFDTSDAYGPHTNEVLLGKALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLVVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVHPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKMKNLDDNIGAVNVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSLSRTCATPSIMGFEGGTFGSFLGPPPLPDSAAAMQCNAWTKNLDAIESNAGGGGGGQIGRQIIKLELVDLAGVVIDAEEVPGEPAPPDAGVVHGHGAFRGKPEADTVTTCSHHDIAGDRAIVVVAAAAGDDDAAAAAECEVIEVGRRRGGWLLELRKKQLVGNSSDDVHMISDERMHACSWIYMLVRATSRELWQEKGARERSYCSSRLSINEVFPSMPPANADMMMKMALKF >KN538850.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538850.1:109759:113113:-1 gene:KN538850.1_FG034 transcript:KN538850.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRPNPTIRRLDVASPVPADIDIANAVEALPIADIAAELGLRPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKIKFVIGVEVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDIAVASEIMAVLALTTSLADMRERLGRMVIGNSKAGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGYVVTEAGFGSDIGTEKFMDIKCRYSGLMPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNASLAAGAFDAVVCTHHAHGGKGAVDLGLAVQQACESQADPLKFLYPLESGIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVDTMSTMPGLPTRPCFYEIDVDTATGKVMGLS >KN539196.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539196.1:113745:115967:-1 gene:KN539196.1_FG009 transcript:KN539196.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKTTQEAPLRSLADHAVCPIPTLLYVPGFISDAEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTKITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFTPHQRLKGEGLKDPQNAHSGESQVATTESNGSHKLEGANETDPASSSLLLMPCSLLIFKDQAYTDYLHGIQDNELQNLDKVANMLQCPEFKHLNSGDRQGNTDESCGLKQSGIFRRTATRVSLTCRLVLKVHKKLFKM >KN539464.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539464.1:103245:104264:1 gene:KN539464.1_FG002 transcript:KN539464.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFLEWLSLWLPEWLSLWADVVKEEDPTNNVPDTIYSKIGLQLHRRDNHPLGILKNTIYDYFDKNFSGHFNKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFSPDEWSDSGMDGTAYAAADLKKTLEGLAKHLF >KN538850.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538850.1:51060:57619:1 gene:KN538850.1_FG036 transcript:KN538850.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEMMICNPSTRQIVFLPKVSGNNLHSETLALEIWNCSGGQNLEWTRRYVIQIPPDVVMRYPVERPPLIVFREKMLLLAFKKVYRSLNRSVTCDSFYRLHLLHLLKIKLVLLLMCCCRGHDQESQRERRKQNSVGGFVEGPLLVLNVAVQFSGVLVMAMMKRCSDIQVSSTRPRKAMRIAINSWSTILLRYIPEDVLFKILSWLPSKSLIRFRSVCKAWHSTISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGENIAFLMSLYKYQDPNIMHLQYFPRGIRKWIRPVHCDGLLLISTRKHKMMICNPSTREIVSLPEGSHSLCGGMGLGFGFDPHSNKYKVARAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTPVHVKGAIYWKIPPDLVMNHFVEIPPLVVFHGRELLLASNKVYRYDIQTCKLEKIASTFEDFTCYDPRNDSADGEVIGADLALPTWSNDAQVGGGRRDS >KN539196.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539196.1:86103:89431:-1 gene:KN539196.1_FG010 transcript:KN539196.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAVGIVKEEMLETQHQQQQRQEDGGGGGGVAAPRPMEGLHEVGPPPFLTKTYDLVEDPATDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYDPGETSQTEQLDSPYLFDSGVLNELSEPGIPELENLAVNIQDLGKGKVDEERQNQANGQAELGDDFWAELLVEDFTGKEEQSELDGKIDGIDELAQQLGLLLASEFALLLVKQIQLTSGNMTDYGRFPLTEIHDL >KN539464.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539464.1:91247:99670:-1 gene:KN539464.1_FG003 transcript:KN539464.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREAEAELTVYVHPSNAADVRRAVSRQLSTTLFSYEDRFDGVLLAHEVVVNGDRAKILNGLVPYFGVPVHANLLLYCPQPDMILEGKVELLGKESIHAIVLGVFSAAIMADDINEKFKFKRKGDGGKFISRSDRHHVIRKGSMIRFSVKRVDTEMNCHITGSLLPPHTGSMPWLSTHDAEYASEISSGTRRPSNVGIKIKNEQDHKTSDNEDSVNFYVLFVFLFVCFVSYGKAEQSEVLEEAEWLFMSILMNLFVFLSLAMPALHACSGASALEEHIHANDSDTISDNTLEQLGFLDQESNDASVNTEKIQSSTPKCKSVEDIPIAPAAKRCKNMDSKKLVPNSNNNSCLTGSQAPRKLPRKGDHPVQLRGNETFQDTKPPSTWICKNTACKAVLTADNTFCKRCSCCICHLFDDNKDPSLWLVCSSETGDRDCCESSCHIECALQHQKVGCVDLGQSVQLDGNYCCAACGKVIGILGFWKRQLMVAKDARRVDILCSRIYLSHRLLDGTTRFKEFHKIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIDMADAWLKSNCKAETKQIGTLPAACRFRFEDITTSSLVVVLKEAASSQYHAIKGYKLWYWNSREQPSTRVPAIFPKDQRRILVSNLQPCTEYAFRIISFTEYGDLGHSECKCFTKSVEIIHKNMEHGAEGCSSTAKRDGKSRNGWSSGFQVHQLGKVLRKAWAEENGCPSEACKDEIEDSCCQSDSALHDKDQAAHVVSHELDLNESSVPDLNAEVVMPTESFRNENICSPGKNGLRKSNGSSDSDICAEGLVGEAPAMESRSQSRKQTSDLEQETYLEQETGADDSTLLISPPKHFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLADDPSSLAGQLLDSFEEIVSSKKPRTGFCILMLCLAPSQPLPMMIYWNLNVCIWFTGK >KN539464.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539464.1:87867:90735:1 gene:KN539464.1_FG004 transcript:KN539464.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MTGVVVVSPSGCKGGGGGGVGKKRGGGGGAEEERERERQQLSVLEVLLAAVRRSVVACRVEREGGGGWGEEAGEEEEDAAAAAAEVGEMEIGWPTDHVAHVTFDRFHGFLGLPVEFEVEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLHMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEEEFLELVTLLRPTQAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAASGDYTPYSSPASSSQQNDAEYYGSERDMDRSCEMSDMHSEISRSGRQVDFLVRYNTCFDSEQEGVDPLSDVEEGFLRQLEHDLEADKREESAKKQHEISSEIMAVNDVQAELKVEAKAAGNTQKEEGAGSLL >AMDW01077364.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077364.1:69:539:-1 gene:AMDW01077364.1_FG001 transcript:AMDW01077364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATATASVCKDILSTLRIPNATVLKRSFDRTNLNYEVIGKTKTPQKQLGDLLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRSNVQGKWHSGEVKVICATIAFGMGIDKPDV >AMDW01039881.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039881.1:162:1073:1 gene:AMDW01039881.1_FG001 transcript:AMDW01039881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLKQQLEATTESDAARAKQCEFAESELEGLKQEMELRLATIEGLKVNVGESDKAVAEANAVAAETKKQLEMAQATIDSLLAEGARLQECLRSKDAELGQSMARLATLEEDLKKAHNKDDVDGSFGSPDHGEAVEKVVVTIPNGNGSCGGSGAEIEQLRTALEVAEIRYQEEQTRMTIETKTAYEMLENAKSEYELRVHDLELELKSKNDELTEAKATLAVRCDGKSDVMQPELEAKLMKSITDATELKASLMDKETALQSVMEENETLKSEAGKKAAEVQRRYEAAVAELELAKAAEQDVRMRL >KN540025.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540025.1:44637:45029:1 gene:KN540025.1_FG001 transcript:KN540025.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRVLKHGVIPRDAAQVVGIRGFAIASKAKKGGKGAADAAKTPVLSKELKSTTVFGANILKEGSDPKLQPDSEYPEWLWHLLDKRPMLSELRRKDAKTLPYEDLKRFVKLVNRARIKEQNALTAKN >KN540025.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540025.1:8245:11532:-1 gene:KN540025.1_FG002 transcript:KN540025.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKITYVREKLAGHYGAEFNSLREFEDRVFNETSSIDDYISKISTKMAILERPQSSSGIQHQGQMGNQTRLPTTVMQEQPQPQQQPHLMSDNHHQTHGGWQSNVARVQMITGVGEVNWREEMFQKISVLKNSFFSELTDFDRLLHNCQKTEEQLQSLPKKQADQYRRIAKLKDAVRSALDLLQLQKSSIDEGMKVKFCKYESSIHILLRFYRETKAKINEMNANRHNNQQEQPAGLPRQRITDRTPSSARRQNHTDNVIGQSEDKLRGRVESVVAKKKPIDRLINALLHSVEDDRTDVKRQKTQHVNNALANEIDAMNAKLIDAVVRIAGEKDGGTEIEFSYTASSLAPDMKQPSSYLQHMEMGRLLSSR >AMDW01037808.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037808.1:169:459:-1 gene:AMDW01037808.1_FG001 transcript:AMDW01037808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDVQ >KN540025.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540025.1:38687:40787:-1 gene:KN540025.1_FG003 transcript:KN540025.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLSLPFAMSELGWGPGVAAMIMSWVITLYTLWQMVEMHECVPGRRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVCIVYMVTGGKSLKKFHDLVAPPSAPPIRTSYFIVIFGCLHLVLSQLPNFNSITGVSLAAAVMSLSYSTIAWAASLHHRNHNNGAAAVDYSLTAATPAGGTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPERPSKGPMWRGVVLAYGVVAVCYLPVAFAGYYVFGNAVDDNVLITLERPAWLIAAANMFVVVHVVGSYQIYAMPVFDMLETFLVKKLRFKPGMPLRLIARSLYVLFTMFVAIAVPFFGGLLGFFGGFAFAPTTYFVIISTINYKFYTLIKNLPCIMWLSIMKPKRFGLSWCINWFCIIIGVLLSVFAPIGGLRSIIVNAQSYKFFS >KN540025.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540025.1:34005:37375:1 gene:KN540025.1_FG004 transcript:KN540025.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAFRTGSPKKHELKSKQKLEKKLSFYTKVKDAVTSLNATKTICKLVFTVIVLLHEWRGRITQPLLRYFKDKFQIKSKQRSRQKKLKAYDLSMLSEFLPETDASNLHTEAKLNCKSKQALVQREAAQLNAVLTNPQFQLDPFAAIHQHLLSTQPTSARKESNSAKQGKDPKDKKRKKEKKKKNASSASEAMDI >KN540025.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540025.1:46830:63600:-1 gene:KN540025.1_FG005 transcript:KN540025.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRVAAAGGLLILLVAKQASTQAAEKVISVSAVVQPNTKKKPPHQQPKIRKCTEAQKQDILHECRGYVTAGSHIILPDLHSACCDAARSVQNLDMDCIVDLLTSEERSRQVAEAGVQPTAKRSPPSPRIRKCTHAQKVNILHACWRWVKLDHGHRVLPPHNEVYDPCCNALWDVRARRGEALNFDFYVSETFCLLAIHSICHSFCTQRQIQNTKLDLEVLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIETTLSRAKFEELCSDLIDRLKTPVTNALRDAKLSVDNLDEVILVGGSTRIPSVQELVKKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDAKLNELKEAIAGGSTQSMKDAMAALNEEVMQIGQAMYNQQPNAGAAGPTPGADAGPTSSGGKGPNDGDVIDADFTDSN >KN540025.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540025.1:18316:21880:-1 gene:KN540025.1_FG006 transcript:KN540025.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGFRDIIRAWVVDNIFFFLVLRSFVVGEILELRFDNLALRLDDFGCYYFAQVPKNDCDPGLIIFLLCFFLDSRFPLSSKGFYPTYFETKGDTTLVGLHVAERAYWKEFVDRVLKDTSSIDITASNIAVSTRLPVELQVLIKAIIHQILNTESGTIPPLAFYWCCNQLLQHLANSDETKRQKEQSVHTALLYEINLINEELFDTVISITGHKDGGTAINFSYSAVSLARDMELPFAAYGTSPLKPAKLFVPADYPRSSPVYEDDDEQHQGMYNVITGMVDKVFQRALRKLPVPMSIRDMARQWGISVRTVTNGGGTFSSGYGQWESCTDEFASP >KN540025.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540025.1:42527:42802:-1 gene:KN540025.1_FG007 transcript:KN540025.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRIEGGARRQRRDEGNDKNCGEPAPLTALAGQRTYGAAANREGSADKHGGTVSGVSTCRSGIGESRRSEWGCGARLRKVGLISIVGSC >AMDW01054087.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054087.1:158:571:-1 gene:AMDW01054087.1_FG001 transcript:AMDW01054087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LADRMHSLLNYEPPESRESGIVTEQGDVYSFGVVMLEILTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSKMVDPSIQGQCSEKALSRFADIISRCIQ >KN542158.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542158.1:5517:12826:-1 gene:KN542158.1_FG001 transcript:KN542158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQAAEVGEVTAPKLPDKAGDKAQVHDDDKENLVNGNSNLQVKEAHNDEDDGTGSDGFELIDVKENFDSAKVVEEEKASPIEQGKTAALEEQALTRESAMLNQETKQLEELTRRIEELELEKEKLVKGVTEAENRQNLHYTSLQEAQRSLADKDKELADATQSLKELGSELESSKERIQEIEAELAASTDKLHKLEELKDERSLHAAQEAKRASELDKTLETAQLNMKEMETQISSLQEEIKGHQDKAIDHQQVEESLRSTLSELKMVQEALELSKSQVDDLEQKLASQDAHISHLTEELTLHRSSEESLKEKTLKLENELSSAHEELQAKILNLQEMEIKLEEQAKEKLTWEATLEKQQEQILNLQTELDESKGGNETLQGTIADLNSKLAERDSLLRQAEDEHAKAQLLLSEALSHKDELEVNLKSINEQHGESRAAAENASQTILELEAQLQTLHAAEEAFKLQLEEAEARVEVAEKKGSDLEQLLGESENKLVTSSGELKLLEERVQQEAASSAEKEKLLEEATNSVEAYKEKINELQASLDSTTSKNQLLEQEVKDLSDKFTEHQEQAHSVHERSLELESLLHTSKSDAEVAHTRTQDLENELSTANEKFKEVEADLEQYRSKVSQLSDELEAYQTKAASLEAVMESASEKEKELMESLGQITEEKKKLELVIAEYEEKTEEHLKEKQSLEERLQSQESKVLALEESLVKLGEVKESHENTIADLNMQLSNKNDMYTQLESQLSQAGDDHSKTRSLLSEAQLHKEELELNLKSLSDLHVESKTAAESALQRIAELETQVQELSAAEQSLKSHLTEFESKLSSAEKKSTDLEQELKDATEECTSSHLRVDELSGELEEYKEKRTSLEASLLEAKQKEAELSEKLDQVNEEKEKFEELSKKATIKYLEAENQVQALQGELESARHKLEEVESDLEALGIRETSVLDKLKSAEEQLQHKGKALEHATSKKIDLEALYQSLLEDTEMKLQQAGENLTQKETECQELSEKLKAAEEQAASYQAKATAATEEVESVKVELEAFETEISTLETTIEELKTKASNAESRAEQALAESAMMSETNQALKEDLDAKLAMLRELQEQFDSTHAEKEEVFTKLSAHEKTIEHLTEVHSRGLELHATAESKNAELEAQMHEALETIGKKDSEVKDLNERLAALESEIESLTHVNEAMKQEINAKLVKVDELQEKLSSISSEKEEVAEKLVVHEETIEHLREEHSRGLELQSAAESRSAEIEDELHEVLETVAQKEAEVTDLKEKLVSLETENEKLVGINEALKGELDTKVAMFDELQEQFSSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIESKLHEALEMAAQKEAEVKDLSKKLDALEIELGYYEEQATEAAAAEETHKIKFDEAVHKIKSLEEQLAVTENKVELFHTEKEILVIANSKLNEELELHQNKLNELQVALAAAVAEKEGSSEEIHSLRKTLDGMIQRKEELESQVSSTVEEHEELESKYNITLEEKQLLNEKYESAKKELGEAIAKLEEQMNVDKSEKELHISKLERQITLSELKYMEEIQTMQVETTEKDEALTAKMQEHANLVHEKDVLEQQLLEVRKELNDAYRTIANQEEQISVREIKWDAYKKFSEDQLEAEQQHVSELEVQVSSLKQQLQEAEIHYKHKEEQVSLREVQWEADQEHSVSELKAQRQYAAELEKQIGALTHQLQLVEKQYEQKVTEEREKLALVNTEVSKLTQKLSKSAEMEKKIEHLEQKLQAKDSVESTSRDFSLDSSTLPSKQRDRSLAPETTPPNPTQQQELREPSGIMAFKFILGVALLSVLIGVFLGKRY >KN542158.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542158.1:15888:17997:-1 gene:KN542158.1_FG002 transcript:KN542158.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAGPAKYNMAGPESGRVTAGKPSGRINVSDSISMPKAIAAICIRKLKMSKKKKKSLGVVGLDG >AMDW01135806.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01135806.1:526:873:1 gene:AMDW01135806.1_FG001 transcript:AMDW01135806.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLKGGVPHMVVYTAFFYFSLACFAIGIALNIWFLYHPMVGVPRSSLTAYLRDWKGRDLALVAGMVCGLGNAFQFMAGQAA >AMDW01036625.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036625.1:1:609:-1 gene:AMDW01036625.1_FG001 transcript:AMDW01036625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTYENFRYHYNKKDNPYRKSVAANFVEVFFTKIPPPQNNFRSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGMQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWAQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDNTGKSNSSDRSSTQNLGDVNYSSVLLI >KN538690.1_FGP117 pep scaffold:O_longistaminata_v1.0:KN538690.1:102247:103262:1 gene:KN538690.1_FG117 transcript:KN538690.1_FGT117 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEALREVLPLPYFPGQPCWYLQERRGAEAWSAEENKVFERALAQVDLDSPNRWEMVAAMLPRKTVIDVVNHYRDLENDVGSIEAGLVPFPHYSSSLSPASSGFTLQDWDGSDGGFRRGCYLKRGRAPDQERKKGVPWTEEEHKSFLMGLKKYGRGDWRNISRYFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPEDDTSNPSPSPPSVLTTASDQLGSLVDTKPVPPPPSLGAQRHFMSPLPGALGVSHHPYSNVKLEPNASFLAGGGTGPGLDDAILLQMQCGHL >KN538690.1_FGP119 pep scaffold:O_longistaminata_v1.0:KN538690.1:53568:54478:-1 gene:KN538690.1_FG119 transcript:KN538690.1_FGT119 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQATDPSLPVLDVRCLSFTRHKLRRAVPVYISRITMHLATNHKTFGSRWCHASFGSKRASNGGSMCTSLLFYHRGTIPSFVSPPPLGGSQGKLYSHLVDEATATAAVPASIFHESHLTGVHMATWTPKVIDLQEGQQQGGKGGSQTHPRGRRITTTTDAELEKG >KN538690.1_FGP120 pep scaffold:O_longistaminata_v1.0:KN538690.1:8907:10966:-1 gene:KN538690.1_FG120 transcript:KN538690.1_FGT120 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGMPHMYFASSSHGTGAHYQSPGGAPITMAVPDMGFLVAGIGMAPSSFVMPEGALAASYSAMATVPVGVVVPQQQPSRFGGNNGNPGSFKGAWTRQEDEVLKQMVILHGDRKWATIAKSLPGRIGKQCRERWTNHLRLDIKKDVWTEEDDRMLIEAHKTYGNRWSVIARCLPGRSENAVKNHWNATKRSLKSKRRMKKKSVQVVNSPPGQLSPLEEYIRSQYPSAVETAPPPPAVPAPPSDVIVHGAGSVSAGPTVATQEPTGTNPSEMGIYLGLGNPAGPTTQQLAAMNLNMSLAPDLNAYNDQREGYYLPFVPQGNLHYGMHVPAPPVQQQQQGISVDQGLHSSCLSLYHPFPGTHPVNLDFGCQSSNHANAGGYYSEAGPSSGSGSGDPDDVDVIQMASRQFLMPSEAEVTLDLTRFK >KN538690.1_FGP121 pep scaffold:O_longistaminata_v1.0:KN538690.1:151516:153537:1 gene:KN538690.1_FG121 transcript:KN538690.1_FGT121 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEIMPVVEPVIVVDTSGEIETEPNVVAREGKAMKDHMDFESSQPKKPPTKDLKESFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAATPPPEKPSKPSFLRRRRLRGEAGSELAGGRRSCDTDPRFSLDAGRMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPMMSVLEDTPATIVERSDGQIPVEDDADIDPPGGSLQTRDYYLDSSTRRRRSLERSSSVRRPSFEVTEPKPAPTTIANGKESPLGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPGSAKKPRRWRKAWSLWGFIHRRASGRRSGGPSDIADRSFSEAWPDLRVRGYNPKMQRCNSNLSARSSFSSNSGGLGSSRRSHVDVNGTSARRREEHVLERNRSARYSPPGRVDNGMLRFYLTPMRSGGDPNSGVGSPPPDGASHLSSIITCSLCVKCQMRGPKALFCSFGPWGESSMGT >KN538690.1_FGP123 pep scaffold:O_longistaminata_v1.0:KN538690.1:3986:5409:1 gene:KN538690.1_FG123 transcript:KN538690.1_FGT123 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRPEDKQRAASSSSSGAAAAPLRVQDDMAVEEYEQYLRLPELARLWKDRLVAWDKSETVAARIKYAIESQMQGCVFTLGLGEPNLAGKPVLEYDRVVRPYELHALKAKIAPEPKTGYRNKENEALFTIHQILESWLCAASQLLTRLNDRIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASSCFRSRALLHVANATRDLKKRVPCVLGVEVDPNGGPRVQEAAMRLFHSRRRGEGEEAGKVELLQAFQAVEAAVRNFFFAYRQLVAAVMGTAESSTNRALFSPAEEMDPLAQMFLEPPYFPSLDAAKTFLADYWVRRMAGDGDSASSRRS >KN538690.1_FGP125 pep scaffold:O_longistaminata_v1.0:KN538690.1:114655:117287:-1 gene:KN538690.1_FG125 transcript:KN538690.1_FGT125 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTDADIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTDLKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHMTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >KN538690.1_FGP126 pep scaffold:O_longistaminata_v1.0:KN538690.1:140969:143538:1 gene:KN538690.1_FG126 transcript:KN538690.1_FGT126 gene_biotype:protein_coding transcript_biotype:protein_coding MACLYLERSKNCSSHTCPVLEADAYLDANDSVTTYKQSGKVALHSYSFIMNLVFCMPKPYAKRKYRSHNNLAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNDPNISKMLGTRIWPPEGQVLQTDKKKAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGQAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIDLVRYYLNVFLMRRVLSQCRLNLLEIDSDEDEAEEEEDEDQSESTSTSQRTQDAQNVKSIS >KN538690.1_FGP128 pep scaffold:O_longistaminata_v1.0:KN538690.1:48353:49820:-1 gene:KN538690.1_FG128 transcript:KN538690.1_FGT128 gene_biotype:protein_coding transcript_biotype:protein_coding MARWANRSIKYRLWRILDDHCNAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDEATEATMQRFLFPSLLWRIKKAFGVGSERSLRDSLAVVDRHMTETIAARKATPSDDLLSRFMKKRDNKGKAFPEDVLQWIALNFVLAGRDTSSVALSWFFWTLMQRRDVERKVVLEIASVLRETRGDDTARWTEEPLNFDELERLVYLKAALTETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERNRISLSTIRIVRAWDHIGCMDTDVCDSVIRVRVVYFCWDYIEWRLE >KN538690.1_FGP129 pep scaffold:O_longistaminata_v1.0:KN538690.1:23259:24688:-1 gene:KN538690.1_FG129 transcript:KN538690.1_FGT129 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALSASIDSIGSDGGDDEEFLRRIQELAVGLHPGAAGCGWPASVERSASSVGLPLSLRMLKRKKQQQQQQGRWDERLIDCAGESARGAVGRAFSSMVLIIRELQSFTLQMRQALFYEDLQGVRARVHAEMHASFVWLFQHIFSGTPALMVSVMLLLANFTVYSMGDSVAAAATLPPPQPPAATVAMDASQGTPGAGAEEAVPVSEAMAVEETEDELVIWKKIADEATRMQASVRAEALMDPDTLGQLVAPVEAKLDTEDTAEFAATEERYERAVSEEPDNSLLLSNFAQFLYTVQRDHDRAEHYFKRAVRAEPADAEAMGRYATFLWKARNDLAAAEETYQEAIAAEPSNSHHAAAYAHFLWNTGGDDTCYPLD >KN538690.1_FGP130 pep scaffold:O_longistaminata_v1.0:KN538690.1:74002:75459:-1 gene:KN538690.1_FG130 transcript:KN538690.1_FGT130 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAVAMVGAASAASPIVTKSLVGVDAPASGITVVSRQDVHPVGASAVGDLTLSVSDLPMLSCHYIQKGLFFPAPDVPMASLVSLLMSSLSRALAIFPTLAGRLVTLPDDRVVIRCNDAGVEFRHAVAPNLSLDDFIVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAALCRGASPKLPDFRRSFFGESTAVLRFPGGVGPAVTFDADAPLRERVFHFSADAIRELKAISNRRPSGGQDAEVYGKMAHDRKNPEGLSAISSFQSLLRPAISPVYFGNAIQSAATTATVAELASNDLRWAAAKLNASLAAYDDGAIRRAAAAWQGAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPARTGDGSVDIEVCLAPDTMAALLRDSEFMQFVS >KN538690.1_FGP131 pep scaffold:O_longistaminata_v1.0:KN538690.1:105435:107191:-1 gene:KN538690.1_FG131 transcript:KN538690.1_FGT131 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLAITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKETSFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >KN538690.1_FGP132 pep scaffold:O_longistaminata_v1.0:KN538690.1:131004:133115:-1 gene:KN538690.1_FG132 transcript:KN538690.1_FGT132 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLDPDPPPMHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPSHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAVMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGASPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAERVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVREAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVKIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQV >KN538690.1_FGP134 pep scaffold:O_longistaminata_v1.0:KN538690.1:128495:129657:1 gene:KN538690.1_FG134 transcript:KN538690.1_FGT134 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSQSSPYRCALLKRKRSSIRACSSEDDGSDASSSLGGDKRQQEVLAKIAMLQAQKVRITSFLDERSAYLTKFAKDADTEFDLIGQNAMKELDEVGDQIMERLDSKMQAFEETAEVQRQEIEMNERVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKELTVKPIPKLEAQKVKDIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDISSPEAEKKGGKEE >KN538690.1_FGP135 pep scaffold:O_longistaminata_v1.0:KN538690.1:57922:59445:1 gene:KN538690.1_FG135 transcript:KN538690.1_FGT135 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSNDDDGRAAAHEGDADLVLQPPESKREARSYGHHHRLMTCYVRDVVETEAMWERPTREVETLELFPLKSYDLEVDKVRLIVNCYLLSSRKMLADQLLWKLVVGHGVSKFAANFSAI >KN538690.1_FGP136 pep scaffold:O_longistaminata_v1.0:KN538690.1:36336:36644:1 gene:KN538690.1_FG136 transcript:KN538690.1_FGT136 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASGAVGLSDGNMLMRWKDTVALTQGGADASSSASGVTGLNGGDDDDDKWQGLGFEVGVNEVAKSDGLAGKRPAATWRRLETELEVTPDPRGAWDGDRSN >KN538690.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538690.1:203743:204156:1 gene:KN538690.1_FG137 transcript:KN538690.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDGEVMGWTEENLTAVARQSPDTPTLNWHRRVGTYSLRGLDVTMCVRKKYRTLRKFMASVHGLQVSAVFCILA >KN538690.1_FGP138 pep scaffold:O_longistaminata_v1.0:KN538690.1:95674:96548:-1 gene:KN538690.1_FG138 transcript:KN538690.1_FGT138 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAAPLKAIDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDVGGCKPAWNERVVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFSTNPNPSPDSPASALITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQQYDPRAGSGSGNGRYGVGTGIAVGTVTGALGGLAIDEGVKYKEEKSADRVEDKVVPAGRDDDSRGYREY >KN538690.1_FGP140 pep scaffold:O_longistaminata_v1.0:KN538690.1:43599:46708:1 gene:KN538690.1_FG140 transcript:KN538690.1_FGT140 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKEIGRLARETSELVLAVPARSTAALAFPFFLPFFQPEAYLVTSRKYSAVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPYLLRALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >AMDW01035708.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035708.1:386:583:1 gene:AMDW01035708.1_FG001 transcript:AMDW01035708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGAYCTDSEYGCCVPKYGYPSEDFFVKSFMTFDSSENTAVVRCNSDNPFDINK >AMDW01009619.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009619.1:46:192:-1 gene:AMDW01009619.1_FG001 transcript:AMDW01009619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGSNLHGCVDEGAAAASRRRQEQAAEIPIVLFLSMVFPGATVQLQAFEF >KN540419.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540419.1:39672:45497:1 gene:KN540419.1_FG001 transcript:KN540419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSILRSDALSWRKEDVVAKGVRQEERVEEEVTSPLKTTMNVVVAAHSGTEVKKSLFGEGAEQKGDAGKGGGVDDGAMIVLEGDANIGDNAVKSVADEIGLEGVVNSTMQNDQVVKGDRAFGVEKGGTPSKHTYKRVKRGDPTSPRGVSLSREVGVKRGTEGRVEMDIDGGKKRKVPIEQLVEDVANACEAGPADRLSHNSIALRMNLRRRGMDIDTRCVVCNRLDEDGGHLFFKCKEVKHLWRELNLEGERCMMAELQSAMGTIQFLVQLEEKKRIRVAVLLYTWWNERNRRREGEGKRTAGMLVYLVMKQADEFFEIWERSGGGRQEKSKQTWERPDAGILKLNVDGAFRPEDRQGGWGFVIRDDEGQVVQAGAGRSSRYQDAFHSEIIAGLKGLQAAVDCGIAHVHLESDSLMFVQALKSGGYELATMGGLLNEVRMIISTSFLSFRIDQGFTMVSSHGIVNAFFLFAIILVAASEAQLAANADSFMSDACKTVAGSGGGVISVTFCMDALSSGSRSLNASHYSDLVIVAIDLLTSNATSTKAKIDNILKDDGNGLKPGNATMVCLQSCQAAYASVLQGQLGIFYNVQAGRFPEAMSALEKAASMVEKCEKGFGKSNARPLLTAEDDNSFELAKLGALLLHEEH >KN540419.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540419.1:6065:18263:-1 gene:KN540419.1_FG002 transcript:KN540419.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAFFAASILLASTTTTVHGVHIDPNDIIKTIESECGDIIDCVDIYKQPSLKNPLLKDHKILLKPSVDRPKIVEKMMVLGRNNSFKFAEQAWHRSEIAAAYGVNGPYHGASAWLPIWKVGVGPSEFSKSYLAIASPTVREFTPIPGKDPPNIDNQIALRIAVYPQFFGDDLPRLYIYSTDDGPAVWWVSLMDEPIGYFHESAFAAPFIESFHNEMGGHVLDRRPGGRHTLTPMGSGIYPSDGLQNAACIHAYLAIAYTGADQRRWKKPVDSARTRQEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNGFASLQLLSRWRHEVGLNIEIGAFLKKYPHIFYIYVHPVKRNECCKVTPKMAELIAEEDAVIRENEPAIVKRLKKLLMLMKDGTLNMHALWLIRREFGLPDDYRCSILTNHQSDFSLGSPDTLTLVTRDETGFKIENGFREKLGNWQRLPYTKAYDKNDLHPIHNVGRLEKRIVGILHELLSLTVEKMIPLERLSHFRRPFGMEVNLRELILKHFGIFYISAKGSTQHVLLRESYSKGCLVDPNPVYNGKVFQREGALHHCLRAVLSLNYEQAAVEYSKEVRKRWDIIWKRQQMPTESELYVNNGILMSNLHDCFRFVDNLFKVHLRDVCFGYIFKTISKTATTDAPFLSTSLAVLATLFLLALPLSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILTTTKLVSTTGLQVPGHYSFRFSDQSILSLIYDDANVSGVYWPDPDYLYYENNRNLYNSTRIGSLDDYGEFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTISWIAQPQTCMTHCLCGPYGICHYSPTPRCSCPPGYKMRNPGNWTQGCKPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDHLNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFFVLRKEMRSSQVWIAEEGYRVMTSHFRMYSHRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTQNREEFQDELHVISRINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKITDFGLAKLLSRSGSNQNVSRARRTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGRRVFDLIVGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAELVDFRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSVDLARS >KN540419.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540419.1:31996:33567:1 gene:KN540419.1_FG003 transcript:KN540419.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASASHNIKLPTLTNAMGLLVRSNHFSGSATSTSTKCLADCFDNYTGMNHAILDEFCASTTAAATMEIVVSGDNASSIVGQKDHQYYSGRGFIPTLASRTKHHCTTKGGRKAGFRFLPKIGDNRRVFDAMPQRSGATMATTNSKMLLRVRHWVRGHLLLPWPPPHDNNIVLLIDAHTFVKLRRTNMVEAREDMKLTVTKLYILDLSCATPVIGHFGNHGPFQLSVFKIWPQFMLWKIWFFEAKMKLLIVGHPKQFIKYTILVLVKVGLYDLNSNCSLFEAEKGGLMGSKHFSYVEKLEFLSDKLVLLILSPGDCKDILYIIMLSCRCQLPDNHFGPGIGFANSETWSILFSVGIAKVGWHEKILSERSISSTTMTTMMRNIQELNTRRKHMLTITDACYGSWSTRKWIDMAGKRLQWMLGGGEHAGEEIIARDKQEQKQAEKWRGPT >KN540419.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540419.1:24552:29928:-1 gene:KN540419.1_FG004 transcript:KN540419.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEILCDNCPAGGVGIYYPGFWGMNIEEGKAYNLVMYIRSLESIELTASLTCSDGLQNLASVSIQGIDLSNWTKIEMQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRFGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTQDIFLRIKIVNFGPNAVNLTISSRELQAGVNTAKSTVTILTSNNLLDENSFAEPNKVVPVKSELPDASEEMEAVLSPYSFTSFDLALDQYSKLVAEM >KN540419.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540419.1:18883:23750:1 gene:KN540419.1_FG005 transcript:KN540419.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFMRLLGACLLGCNLRHPKPTPARQPSPEACHRIMLAVGTERAKEGWLTPEEESLKLNGVIASVVLELKRGVANDLRLGELRHLAMMSMGMVFSPIPFQPDAPVANHESPAVTEAAEPAEIVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGEIFSESRQFSLTHISTYLVSASCNSPSFTIWDVSQGLGTPIRRGLSSISLVRWSPSGDYLLTAKLDGTFHLWETNTWTSEPWSSSNGYVSGANWDPEGRIALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFARIDSLRGVSKVKR >KN540419.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540419.1:36092:39332:-1 gene:KN540419.1_FG006 transcript:KN540419.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLTFHYKSLILGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >KN544100.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544100.1:2586:4202:-1 gene:KN544100.1_FG001 transcript:KN544100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSKEHDDYDMYEEETPLHTDTRRFTYTELRTITNNFQSIIGKGGFGTVYHGILGNGEEVAVKVLRETSRALSKDFLPEVQTLSKVHHNNLVTFLGYCQNKKCLALVYDFMSRGNLQEVLRGDQYASSLVQVKYHATFQLAVKADVYSFGIVLLEIITGQPSVLVDPEPVHLPNWVRQKIARGSIHDAVDSRLMHQYDATSVQSVIDLAMKCVGNVSIDRPSMTDIVIKLKECLLEGTGEKQLVSGSYKQKDAMDADIARQFHLLISGVPIVSSECISSGITELSYYSGR >KN540168.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540168.1:48830:53137:1 gene:KN540168.1_FG001 transcript:KN540168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPLHLHLLLFFFLAWLLLLLLLQGASSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDIASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVALYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIIGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEMAPLSLQPLMLNSE >KN540168.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540168.1:9219:13073:-1 gene:KN540168.1_FG002 transcript:KN540168.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALASLRLAVSPILNKLLAGASAYLGVDMASELRELEISIIPQFKLLIDAAEKGNHRVKLDKWIRELKEALYNAEDLLDEHEYDILKRKAKNGGEDPEHASSIGSILKKPLRAASNSLSNRRPKNMKLIRQLKELKAILAKAREFREMLGLPAGTNAETVVVTPATSTPPPKVFGRDADRDHIVDLLTQHETCAEASRSAVAIVGPGGMGKSTLAQYVYNDKRIQEHFDITMWVCISRKLDVHRHTREIIESATKEECSRVGNLDVLQYKLKEILQKKEKVLLVLDDIWFDKSQDVKEWDLLVDPILYSQNGATKVLVTSRSKTLPPALFFEDVIDLENMKDTEFQALFKHHAFSGATIRDLQLRGTLEGLAEKIAERLGRSPLAAKAVGSQLKRTMKIEEWKDALNIDNLSEPRRALLWSYQKLHPRLQRCFLYCSLFPKGYKYDIEELVHLWVAEGFVDGCDMNKTMEDTSMDYFKEMVSGSFFQPFSETYASTKYVMHDLLHDLAESLSREDCFRLEDDKVKEIPCTVRHLSVSVESIIHHKLSVCKLQHLRTLICIDPLVDVGSNLFEQVVLNLKKLRVLYLSFYNTRKLPESISQLKHLRYLNIMKTLLSELPKSLCHLYHLELLFLRPKSRLPDKLCYLCKLRHLRMYSDGLELSRIPDIGRLTLLQRIDRFHVLKQKGHELRQLMNMNEIGGHLSLINLENVIGKDEALESKLYQKSCLKGLTLEWNDANNMNPENSLHLEILEGLVPPPQLERFSIRGYKSTTYPSWLLEGSQLKNLESFALYNCSALERLPYFRHCYKLRLENLPNMKELSFLPADLTDLSIDRCPLLLFVTNDELEHHDHSENTRKTEHLTAQFALIGVMAPISGITGALSSDHSSMKQLAALMDSDISKNLQTIESALERKDEVLMTQDVIKAWMCCHEQRMRLISTRRIGLPLVPPSGLSELSLKSCTITDAALSICLGGLASLRRLFLSKIMTLTALPSEEVLKNVTKLDCLVIEACLFLGSLGGLPAATSLSHLRLSSCPFLELARGAEFMPTSLETLTISYCVLAPDLFCGHWPHLEEISIYNCRSSVSLFVGDLSSLKEFTLNHLPDLCVLEGLSSLQLHSVWLIDTPKLTAECVSQFRVQDSLHVSSSAVLNNMISAEGFTVPVSLTLERCKEPFISFEETRNSSSIEQLALDSCQMSSGNLKCLSRLWDLEISNCLNLSSLPDLPSSLRSIGIGDCPNISSLPDLPSSLQYISIWNCPLLQESCRAPDGESWPKIAHIRQKRI >KN540168.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540168.1:34744:37008:1 gene:KN540168.1_FG003 transcript:KN540168.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALQVYREMLDKAPFSPSAVTYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLYKHDKDKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKKCKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLEEAEKLFEEMETKSVLPDVYTYTYLVDSCFKVGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMAKGGVTPPPEFRDSVIDIFKNAGRQEDIEKAFEEKPVPLPPHPRPEYRPRSSPQGLPGFASNQTRGSYMPHQGQPTYGSQPLHPAVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGVVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSPHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTRFNAPQVQQPSYDGPQSSQHAVGSTQPPQGQFGTPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYGASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARVR >KN540168.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540168.1:26121:30836:-1 gene:KN540168.1_FG004 transcript:KN540168.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARCPGDHRGGWASPTTTASAAAAAHSEQPEPRNLPPLSRPTGNKQSSDSLVLSQFLLPHTTYPLHQPRNPLGSSQAASVLLLLHFICATGLFIQRPMAEVALASLRLAASPILNELLADASTYLGVDMASELRELETSIMPQFELLIEAAEKGNQRAKLDKWVRELKEALYNAEDLLDEHEYNILKRKAKNGGEDPSADPEHASSIGSILKQHMRVASSSLSNLRPKNIKLVRQLKELKAILAKAREFREMIGLPAGTSAEGGCTGHSETVMVTPATSTPPPKVFGRDADCDRIVDLLTQHKTCAEASRFVVAIVGPGGMGKSTLAQYVYNDKRIQEHFDVTMWKVLLVLDDIWFDKSQDVKEWDLLLDPILYSQKGATKVLVTSRSKTLPPVLFSEDVIDLENVKDTEFQALFKHHAFSRATIQDLQLRARLEELAEKIAERLGRSPLAAKVVGSHLKRTMNIEDWKGALTIKIDNLSEPKRALLWSYQKLDPCLQRCFLYCSLFPKGYKYGIEELVHLWVAEGFVDARNMNRRVEDTGMDYFKEMVSGSFFQPFSERLGHTEYIMHDLLHDLAESLSREDCFRLEDDKVKEIPCTVRHLSVSVESIIHHKLSVCKLQHLRTLICIDPLVDVGSNLFEQSSFICVRIRGYLTNSAIYKGHELRQLMNMNEIGGHLSLRNLENVIGKDEALESKLYQKSRLKGLTLEWNDANNMNPENSLHLEILEGLVPPPQLEQFSIRGYKSTTYPSWLLEGSQFENLESFTLDNCSALERLPCNTKLFWRCRELSLKNLPNMKELSFLPAGLKTLSIHRCPLLLFVTNDVLEYHDHSENITRTEQLAARFALVGVMGLFSNALSSDHSSMKQLAALMESDISKNLQTIESALEREDEVLMTQDVIKAWMCCHEKRMRLISTRRIGLPLVPPSGLSELSLKSCTMRLISTRRIGLPLVPPSGLSELSLKSCTMRLISTRRIGLPLVPPSGLSELSLKSCTMRLISTRRIGLPLVPPSGLSELSLKSCTITDAALSICLGGLASLRVLSLYDIMTLTALPSEEVLKNVTKLDNFTIEACLFLGSLGGLRAATSLSKLRLSFCPALELGRGAEFMPTSLETLTISYCVLAPDLFCGHWPHLEEISIYNCRSSVSLFVGDLSSLKEFTLNHLPDLCVLEGLSSLQLHRLCLIDIPKLTAECVSQFRVQYSLHVSSSAVLNNMISAEGFTVPVSLTLERCKEPSVSLEETRNSSSVNQLRLHSCQMSSLHGNLKCLSRLEELEIMSCHNISCLPDLPSSLQFISIVDCPNISLPQYVIFARSPILPEPHIHIVV >KN540168.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540168.1:60831:61890:1 gene:KN540168.1_FG005 transcript:KN540168.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLHLLLVFLSSPWLLLLQGVSSLQFTRDDFPHDFAFGAGTSAYQYEGAAAEDGRTPSIWDTYTHSGRCQANE >KN540168.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540168.1:17917:18939:-1 gene:KN540168.1_FG006 transcript:KN540168.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHIAIEQEQGGGLVLTGLSARARRLSAIGGGELCLCLCKTTWAWERRWEGSSCLLLPVSLAASSRERVGLASRKAIRVWAWSCTAFLHYVPALLRTAASCSVKPV >KN540168.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540168.1:42300:46160:1 gene:KN540168.1_FG007 transcript:KN540168.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHSLLLFFSPWLLLLLLLVVQGVRSLQFTRDDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKAGIQIHAVLYHIDLPQSLQDEYGGWVSPKVVDDFAAYAGVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSNCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGIVGMNIYSMWFYPLTESAEDIAATERVKDFMYGWILHPLVFGDYPETMKKAAGSRLPLFSGYESELVTNAFDFIGLNHYTSNYVSDNSNAVKAPLQDVTDDISSLFWASKNSTPTRETVKWFCMLLLRQFLPGTSLDPKGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNNTVIKVEDDPVSTAFHAQL >KN538851.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538851.1:91410:92533:1 gene:KN538851.1_FG003 transcript:KN538851.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIDDGVGGCAGTAADLEWLKMFVTRDLKVLLRQEAIIIRRLVAISPEMLIKTLLHNNIYKVSLALTAGYDYDTAGVLLWKHS >KN538851.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538851.1:47493:47963:-1 gene:KN538851.1_FG004 transcript:KN538851.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVCVLVGLVIGGPTAWGLCYNHEMSPKEDYCDKMNMQYPCIISTTTAATPSPSSGNASIHCFFFAICLISLHRVDDLDAVDKLGIIIMAPPATGYTRTCSTTWSTGAERDDGVHGGDVAVDDSDGEEASIGTRCVCQQLEAHQEQHTRHEPPGI >KN538851.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538851.1:28291:28542:-1 gene:KN538851.1_FG005 transcript:KN538851.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSVGAQTGAEETTVVDVRTRLRAEVATGVISSRVGVEEAVGKDIGKLEGAVGGESDGRREEEDTTMRTHKERREPDRKKG >KN538851.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538851.1:216:1793:1 gene:KN538851.1_FG006 transcript:KN538851.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRKRIGLCLMTTAVLTKEWYINVLARIRINAFRIELVASSYEDLLSSAVASVSCDAAVGNAVYMLPSFYNHDCDPNTHIVWLASADARLKALRNIEEGEELRICYIDASMDVDARQRILADGFGFECRCQRCLSGD >KN538851.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538851.1:16624:18562:1 gene:KN538851.1_FG007 transcript:KN538851.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPDVKPNKRKSRGSRKERSRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRIVCKRWNRLLSGNYYYSLRKRNGMAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPAEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLPSAEVYDPNRNRWACVAEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNLWSTIDDEMVTGWRNPSITFNGKLYSSDCRDGCKLRVYDPNTGTWAKFMDSKHHLGSSRAFEAAALVTLNGKLCIVRNNMSITLVDISDPTMSIETDSARIWETVARKGQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >KN538851.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538851.1:79657:81009:1 gene:KN538851.1_FG008 transcript:KN538851.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLFSLIVLLITVLSLPFPLHASSVDPFSAGATAVRYWNRKIPNNAPHPDFFLSLLSPLPASVSSSLSSPLSISPSICRSARLVCPNSTYFQSLSSTVFIDGCTLSYTCTFTYEHTNFTVKPGIFFRERELKEGNVVRMPDIANELTTARSSFLPRSIADRIPFEAEAVKSLFGLEPNTTLAKAVDETVAQCRSSPSKGETKRCVTSAEDMIDFAVEMLGDDIVVRSTVLPNGPGESIMIGKVKGINGGKITSSVSCHEYLFPYMVYYCHSVPKIRVYEAEILSVQTKEKINSGVAICHIDTSAWNAGHPAFVALGGKPGQNEVCHWIFNGSMTWVITDKS >KN538851.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538851.1:2907:7380:-1 gene:KN538851.1_FG009 transcript:KN538851.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQISNVAGSILFCCAVTVKVVKIIPWDSTWIILAV >KN538851.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538851.1:44480:45083:-1 gene:KN538851.1_FG010 transcript:KN538851.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLAIVLEEGNKRMHQRELKYEELYGKNELAREESWVTYMCDILKNWNVHQDLLEKATRYFFWSIEIGH >KN539269.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539269.1:110554:112712:1 gene:KN539269.1_FG001 transcript:KN539269.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARTLLEIMSVAAVQVTGQVMVVQRNRSAAQSSVLQLSRLPLKRKMNKYFKKNGGSVLQKVDNIVIYSKNEIKKILRNYSEVIGQGGFGKIYKGRLKDNTLVAVKTSIEVNEDRKEDFTNEVIKQSQMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLKDILHGGANRLVPFPLDLRLDIAIGSAEGLRYMHSSVSNTIRHGDVKPANILLTDKFIPKISDFGTSKFLTVDKEFTVAVVGSMGYIDPVFYMTGHLTQKSDVYSFGVVLLELISRKPTMYGKDCSLIVEFQEAYDQANSGRALFDKEIIIEEDILVLEEIGRLAIECLKENIEERPDMKEIVAHLSMLRRSRKLTQENYNVSPELYFKENSIEELSKCFGDDSTTSSAASPYNTIQSS >KN539269.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539269.1:49122:52365:-1 gene:KN539269.1_FG002 transcript:KN539269.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADEERPLIHHLPPQNLVRYLTSVLHESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYTIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGIGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAARNHRLKLPDDSSLLHELPSLTEGGYRIQHTTRFRFLDKAAIPSDSDDNSPVQPDPWRLCTVSQVEELKMLLRVFPVWASLLVFFVVTAQMSSTLIEQSAAMDGRVGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >KN539269.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539269.1:81156:85601:1 gene:KN539269.1_FG003 transcript:KN539269.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLLAGLLLLSSAAEIIVFGRSAGCQARCGDVDIPYPFGIGGGCFRSEGFEIACNTSNGDDGDLVPTLAAANDTIRVQRLLVDPRPEVKVMLPVAYQCYNSAGNVTRDFYGDVELNKNGVYRISDERNVFVVLGCNTVAWTQNGDSGGRGIYNIDECARPRDKYPCYGVCRNTPGDYECSCHIGYQPSGGGPKKQECSPKFPFPARLAVGITLGLSFLIVVVLFTLMIVQKRKMNEYFKKNGGSVLQKLDNIMIFSKDELKKILKNNSDVIGEGGFGKVYKGKLKDDTLVAVKTSIEVNEARKEDFTNEVIIQSQMMHNNIIRLLGCCLEVDVPMLVYEFAANGSLKDILHGNANRLIHLSLDLRLDIAVESAEGLRYMHSSISRTIRHGDIKPANILLTDKFIAKISDFGTSKLLTADKEFTMVVAGSMGYIDPVFYMTGHLTQKSDVYSFGVVLLELISRKPTIYDKNYSLIIEFQKAYDRANSGRALFDKDIAIEEDVLILEEIGRLAMDCLNENIDERPDMKEVAARLMMLRRSRNDRQENYNVSPQQSFEEISIKENCKNFGADIRTNSSRTLLLHSV >KN539269.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539269.1:71011:73879:-1 gene:KN539269.1_FG004 transcript:KN539269.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEERAPLLHPQPQTSRGDADSEYTGDGSVDVNNHPALKRSTGNWRACFMILGVEFSENLAYYGISKNLVTYLTKVLHESKVNAARNSSAWSGACYLTPLFGAFLADTYWGKYRTVLTFLPVYILGLLTLMASTSLPSSMTSSDAGHQLHSVAVYLGLYLVAFGNGGVKPCTSAFGADQFDGGDAAELRRKGSFFNWYTFMINSGSLLASTVLVWLQDNVGWGISFVIVVVVMAFFLAVFFAGSRVYRYRPVRGSPLTGVCQVVVAAVRKWHLELPDDSSLLYEAQVADHRIKHTDQFRFLDKAAIVVTPSSLSGEKGNVAAAAAPVSRWRQCTVTQVEEVKMLVRMCPIWACLVLFFSVSSQMSSTLVEQGTAMDNRVGPFTIPPASLATFHSIGVLLWIPVYDVALVPLARRATGKPKGITQLQRIGVGLAVAALIMAYSALAPRSMRSMCTALGQLAIAAGNYLSAFLLAVVASATTRGGDPGWIPDDLNKGHLDYFFWLMAALLLLDLLFFVFCAMRYKGSTAAS >KN540892.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540892.1:2146:2385:-1 gene:KN540892.1_FG001 transcript:KN540892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAMAGPWMHGEEAGHVVASISEFLQMATCVSSGHAFRIGMGGRSRSILGWLFS >KN539269.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539269.1:119039:119806:-1 gene:KN539269.1_FG005 transcript:KN539269.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSLCTVTQVEELKILLRMFPTWASFVIFFAVNGQMSSTFIEQGMAMDNHVGSFAIPPASLTIIAVLSVLVLVPVYEIISVPLVKHFTGQDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVAEIFSCIGMSQFFYDQAPDSMKSVCAALGHLAIASGAYLNTFVLGAVAVITTSSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLVMFVYSSTRRRENTAS >KN539269.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539269.1:56236:59703:-1 gene:KN539269.1_FG006 transcript:KN539269.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAADEETPLIQHLPPEEQCSQYTCDGTVNSDKKPALKQSTGHWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTVISLFIIIIGMLIVTVSSSPLFLNSSYYNWNICRATVYTGLYLTAVGSGCMKPCIPAFGADQFDSADPVERLAKGSFFNWYYFSMNVGSLLSTTLLVWVVANIGWSVGFAIPMLLSGFGLALFFAGRKVYRYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVPKVTEDDYRTQLTTQFRFFDKAAILSDEVSAAQSSPWRLCTVSQVEELKMLLRMFPVWVSMVIFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPASIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPESMKSLCTALGQLAIAVANYLNSGVLSVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCTIRYRGNNNTLSS >KN539269.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539269.1:86106:87353:-1 gene:KN539269.1_FG007 transcript:KN539269.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRDASSSSGSVYGTHTFDWLKIWRCKLKILTFMWRWWSARNKVNVGEKMSSTWDICQSVEFHLGEQERISKNSQAKQPTVQRWIPPPANVYKVNIDASFFHNTEAGGWGFVASDASGQFLEARAGSLVRVTSALQAEALALLNSLQRVAQLGMADIIVEMDATNLHRALTFDEFDRSPNGALFRQIRAFLRLGMADIIVEMDATNLHRALTFDEFDRSPNGALFRQIRAFLRVNFDHVNISVCPRLCNKVADCLAAYGVCTTASGSPCFWNQAPAFVYELVSGDLPGAVG >KN540892.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540892.1:23783:26011:1 gene:KN540892.1_FG002 transcript:KN540892.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQMMHAAPAAMYNGGGGTTSSHGVWWSNAVGVPAAATCSTTTELAGYTAWSSALAAGYDGMVADNGGKQQAKSTPTASSESPGNNSSVTFQEPASIPDPAAVAAVPQPGLAGFTDWTQPFMNNGAGLHEFLQDGHHDMSASSLMNHSSNNLALQQAGHHHELLSSFGSDLLLSPTSPYGGFQSSLLRSLMEPTAKQQQQQQPALAGLQQYHQYQQQMGHTPAAAAKFAQAVGARDSLQFTNDAPFWNPSAGFGMPAAAAAAAAQDQASVRSAKRSSPAPPRAAATLALKTAMEGVGDSSSVITKKETAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNGAHQVPHLKNSSPDKSKHGEISLKGRGLCLVPISSTFAVASEVPVELWTPFGANFIR >KN540892.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540892.1:13450:15271:-1 gene:KN540892.1_FG003 transcript:KN540892.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVFQLLPLQPFLALAATVAAAASSIDHTLNSSNAVPYDGTRYEQTTYGPTLTKRKPGKERSSTAAGSIHTEMIRAVNHALAHRSCVINQA >KN540892.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540892.1:5981:8149:1 gene:KN540892.1_FG004 transcript:KN540892.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVVVVVVAVVCAAGVAAAGKFDDVVEPSWANDHVVYEGDLLKLRLDSSSGGGFASKSKFLYGKATADLKLVAGDSAGVVTAFYLSSGGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPNADFHTYAVLWNPSQRSTE >KN540892.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540892.1:30185:38371:-1 gene:KN540892.1_FG005 transcript:KN540892.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTGDFSISGDPSSNLQVFLWNGTRPYVRFIGPNNMWSSVFPYSSSLIYETSVSTDDEFYVIYTTSDGSPYKRLQLDYTGTLKFLAWNDSSSSWTVVFQRPAPAIECDSYASCGIHRSKEIQKKHRLQHLKDSSELENDNLELPFICLEDIVTARNNFSDHNMLGKGGFGKVYKGVLEGGKEVAIRRLSKGSQQGVEEFRNEVVLIAKLQHRNLVRLISYCIHEDEKLLIYEYLPNKSLDTFLFDATRKSVLDWTTRFMIIKGIARGLLYLHQDSSGYMSPEYALEGSFSVKSDTYSFGVLLLELAWSLWKDGNAMDLVDSSIRESCLLHEVLRCIQIALSCLQDDPTARPLMSSIVFMLENETASLPTPKEPAYFTARLYGTKDTRENKERSVNNLTPAKPLYPGDMLISDGGVFALGFFSPTNSNATLYVGIWYHKIPNRTVVWVANRDNPITAPSSAMLFISNSSDLVLSESGGHTLWEARNNITTGGSGATVVLLNSGNLVLRSPNHTILWQSFDHLTDTILPGMKLLLKYNGQVAQRIVSWKGPDDPSTGNFSLSGDPNSDFQVLVWNGTSPYWRSGAWNGALVSAMFQSNTSSVTYQTIINKGNEIYMMYSVSDDSPSMRLMLDYTGTIKMLIWNSNLFAWSVLFSNPSYSCERYASCGPFGYCDAAEAFPTCKCLDGFKPDGLNISRGCVRKEQMKCSYGDSFLTLPGMKTPDKFLYIRNRSLDECMEECRHNCSCTAYAYANLSTASMMGDTSRCLVWMGELLDLTKVTGGGENLYLRLPSPTAVKKETDVVKIVLPVVASLLILTCICLVWICKSRGKQRSKEIQNKIMVQYLSASNELGAEDVDFPFIGFEDVVIATNNFSSYNMLGKGGFGKVYKGILEGGKEVAVKRLSKGSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKTVLDWPNRFKIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQANTTRVVGTYGYMSPEYAMEGVFSVKSDIYSFGILLLEIISGFRISSPHLIMGFPNLIAYSWSLWKDGNARDLVDSSVVESCPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIFFVHKKRATEYARVNMENSVNGVSITALEGR >KN538684.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538684.1:690159:692394:1 gene:KN538684.1_FG137 transcript:KN538684.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHHLHLPLRLLSRNNPSAPLFRHAFSTLDTPEPPPPETEAEAEAAAQNSIRLLSPTLAAPLVHGVISGAAAAGRADLALQFFRFAYRRAGFSPEPATFSLLIPILASHRMLNHARCILLDTMPSFSIAPEEATVAALIAAYGKANIPQESVKLFRLMPDLGIARTALSYNAVLKAILCRGREAMARRIYNAMIADAVTPDLSTYNTLIWGFGLCKKMEAALRVFGDMKDHGVTPDVTTYNTLLNAWVRAGDLESARKVFDKMPGAGFAQNSVSYNVMIKGYVEAGKVEEAVGLFSEMGEKGLRLSEKTFAALMPGLCDDEGRVVEARKAMDDMAERRLTPKDKSVFLRLVTTLCRAGDLDGALDVHRKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVMDELLEKGTLLSPKSPVLEGPAYNPVIEYLCSNGNTSKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVRTDPESHALLVDSFLKKNEPADAKTALDSMMEQGHVPSPSLFMSVMVALFNGGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCLPDLDKLLIALCENDKVMEAHKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALMDSLKAGGYSKQADILSRILADNASSTSKRGKRVAMGA >KN538684.1_FGP138 pep scaffold:O_longistaminata_v1.0:KN538684.1:747344:748913:-1 gene:KN538684.1_FG138 transcript:KN538684.1_FGT138 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVNLVVDNAGGGGATAYKLYRVAASALFSSPPRRQAANVLDPDDVEDAAGGLPPPAITFHPSSLSGGPGNVDFLRLSGDDSLLALDADGRGLLYSAASAAVRYMPDPCKPKIEPISFTADDGRLYVIERVPFSEYDITASAVVNETELWVTAHGAGTFSFDTQAGKWRARGEWRMPFMGRGEYVEEHGGWFGLSSTPVKGLHLCSCRLCSYDVPVVRCWLDGLDRLPAAAPPKQSFLMEAYAVHLGSGRFCITRFMEEEDEEEEEKDNISVHPFFHVAGVNNNYKKKKNDRFLLLTGVDVVAGDGAVVVVHKSIRYKFQNGDFVRGYSRVF >KN538684.1_FGP141 pep scaffold:O_longistaminata_v1.0:KN538684.1:718713:721068:1 gene:KN538684.1_FG141 transcript:KN538684.1_FGT141 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGDTHAMLGRMDESIACYGKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKLRLAELLKEAGRSRNRKQKSLENLFVTNSQRVKKDAGRRWSNFGFRS >KN538684.1_FGP142 pep scaffold:O_longistaminata_v1.0:KN538684.1:749904:750859:-1 gene:KN538684.1_FG142 transcript:KN538684.1_FGT142 gene_biotype:protein_coding transcript_biotype:protein_coding MFELLLYMSLGARLAPEELEEVERLELWMLRAFTSFPVFSFFPALTKMLFRNRWAAHVAVRRRVGEIYVPLINARRAGAGDGDDPPCYTDSLLQLRVAEEGDRALTDDEIIALCSEFLNAGTDTTVTLVEWIMAELVNRPDIQAKVHDEVRRRPELTEPDLQAMPYLKAVVLEGLRLHPPAQFLLPHGVQSDAEAVWTAAREFMPERFMDGGEVEVDVTGSREITMMPFGVGRRMCPGYTVGTLHAEYLVGSLVRELEWLPETEGEAADMAEELDFTTVMKHPLRARVLPRPSSLY >KN538684.1_FGP144 pep scaffold:O_longistaminata_v1.0:KN538684.1:722848:730647:1 gene:KN538684.1_FG144 transcript:KN538684.1_FGT144 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRGGGRPRKAPEDAAAKENGEKTNKEEETQASPEENGAGQTQASRTARKRRKGPVADPSSTELPPRKLRDRRNVPAVDYKENKGDKEFADGKNKIKYSLRIARFLLPWLKQLHQEQMLEKSVEATIKGIDVTDLEVPQAQFNNDERIYCDNCRTSIVDFHRSCKSGHYDLCLSCCQELRQGLTTGTVVTCDTAVDVPEIEGKEGLQEGSSHSSAVGQGASDQQNDRLIGSAAPSEDCTPSLIWRAKSNGSIPCPPNAGGCGDCLLELRCLFKENFISDLLDKVNSVVNKETEQELGGSRCSCFTESGEVNNETSRKSACREDSNDNYIYCPTAREVQSGALDHFQQHWLKGQPVIVRDVLELTSGLSWEPMVMWRALREKRDKKEHERLSVIALDCLTWFEFMYHQDVLVVPVSYLGFNSTIETTLYFKLVDINIHMFFEGYSRGAVGSEDLPVLLKLKDWPQHSSFEERLPRHGAEFMSALPFREYTDPKYGPLNLAVKLPKHVKKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILMHTDEVELKAERITAIEKKKESLRKDGKNLHVLRPDRDDDTSIALGESTEVPRSRGLANGSSIKQPAPNVAVMDQGGVHTDMVADDAEGNLSLSNGQSPNQSNAHNMDITFSKGETDHSICTINGGEEMGNGFGREDKCKSSNGVGSSESSDCQRRSRQRDARSSSATGEINETSTETNKFTISIEPKDDHPFVEGNQTEGGALWDIFRREDVSKLHDYLMKHAEEFRHYNYETVKQELSLGHLNRNLVRLCLSQQDSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVNEDKLEVKKIALYALDQAIDDITGKSCNERTKDEGGEEASAPSVS >KN538684.1_FGP145 pep scaffold:O_longistaminata_v1.0:KN538684.1:699067:700639:-1 gene:KN538684.1_FG145 transcript:KN538684.1_FGT145 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGPDDFFRRRAADHKSTVFRANIPPTFPFFLGVDPRVVAVVDAAAFTALFDPALVDKRDVLIGPYVPSLAFTRGTRVGVYLDTQDPDHARTKAFSIDLLRRAARNWAAELRAAVDDMLAAVEEDLNRAPDPAAASASYLIPLQKCIFRFLCKALVGADPAADGLVDRFGVYILDVWLALQLVPTQKVGVIPQPLEELLLHSFPLPSFVVKPGFLGDDGEALLQYVYWSNGPETGEPSPGNKQCAAKEVVVATACMLVAELFRRYDDFECDGTSFTKLDKRELTPS >KN538684.1_FGP146 pep scaffold:O_longistaminata_v1.0:KN538684.1:709836:714227:-1 gene:KN538684.1_FG146 transcript:KN538684.1_FGT146 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFQLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLIAALAEAIFSCVIEDARNNYLGGTGGLFHKWKSNCSLDSSVCIHRISESEVVNSARRRLDSFDLVQSSHVAGKTKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKSFKNTKLGGHHELANNKGEVLLSHSQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCNGDVMVDANIGAWVGELPDFFKGINCDSHDDSVDIQSTGAISQGEQPSLVSSPIKMPSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWAANPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMSVNPESFFALVRPVQDPC >KN538684.1_FGP147 pep scaffold:O_longistaminata_v1.0:KN538684.1:752501:753107:-1 gene:KN538684.1_FG147 transcript:KN538684.1_FGT147 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQMVTQGIWDRDSVLLQLPHFTKELARRCQENERRPIESIFDLAEMSIDEMRDLLQLSNPQLQDIIEFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLKRARVKLEFAASEAGRKEYMIYLMSDSYLGRDQEYEFTVDVKDAGAWRGLIPRQERG >KN538684.1_FGP150 pep scaffold:O_longistaminata_v1.0:KN538684.1:684849:687120:1 gene:KN538684.1_FG150 transcript:KN538684.1_FGT150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like serine/threonine-protein kinase NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/Swiss-Prot;Acc:Q8VYY5] MDIHKKLLLASLSCVLLIQVASSDGTAEGAGTTSWTCVCTAHPLGEPNSNSSLSSNCSSSCHCLQGISQEGKKRVSNKTVIVTLLVCVILTTIAFLGTTLYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >KN538684.1_FGP151 pep scaffold:O_longistaminata_v1.0:KN538684.1:694470:696542:-1 gene:KN538684.1_FG151 transcript:KN538684.1_FGT151 gene_biotype:protein_coding transcript_biotype:protein_coding METGKAIELVLKRLDEVRTRMDGVEKLLRILICREEKRAKGWEEEEEEEVDKMQMVTAAAVCIPMLLCDISGPPKRKRKRKKEEDASEKKKKKKKKKQQQTEEAEAEKKKIEARKAKQAAYERYLANFFDFEPFPRTPDHILNEMPEEERAGENRLAASADSITERRKLLYQRCIKDYMDKDDHE >KN538684.1_FGP152 pep scaffold:O_longistaminata_v1.0:KN538684.1:707164:708694:1 gene:KN538684.1_FG152 transcript:KN538684.1_FGT152 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGDSTVDPGNNNRLQTAMKANFLPYGANFLGGRPTGRFSNGRLITDILGTDTTTPIALHLHLHKYFRVEQMCFDLEVGIFEAKLCGYAAEKLGIARSIPGFRDPRLRSGQLRRGVSFASAGSGYDEATARSSNALSFPNQIEDLWRYKRNLQRLVGRRRAEELVRRATFVVSAGTTDLLFHYLASNQSAAESGPQYENQLISRVANYTQVMATLGGRRFVFVGVPPIGCLPIARTLLGTGTTRCHENMNLLATSFNERLVEVVRLLKNQPNIRATFVDTYTTIGMATISPNNYGLTETSRGCCGTGVIEVGQTCRGRRACTHPSKYIYWDAAHHTERMNQIITEEVIMNSIGEIYA >KN538684.1_FGP153 pep scaffold:O_longistaminata_v1.0:KN538684.1:745764:746367:1 gene:KN538684.1_FG153 transcript:KN538684.1_FGT153 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPVVALFLVTVLIASHGAMMQWAAEAETCNYQIYKCEGVCYKRGKCNACCKQLGFLGGKCNLLRGMLCLCCHEDDDQPPPSSTHLPVAAIDHDHDHAANMP >KN538684.1_FGP154 pep scaffold:O_longistaminata_v1.0:KN538684.1:704346:705434:1 gene:KN538684.1_FG154 transcript:KN538684.1_FGT154 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIGGEDLLVDNANQQDYFQAWTNSFDSVTLMEPGALQEPSYLDLDPSYFDLGSYLDPDHQQMASSSCSDIALLSDTSFLQPLNMRNAPYVQLPMMDANINNEIGAATSSSELAQLIPQSSDHSLLQPLNINDETAYDQLPVIDTNSSNNNTGSEFPCVNFQSSNTGSLLGGSSTMFDGQDQQTSHIVLPEKSCPDPEKRQRAVQRYKEKKSNRRFVKQIMYASRKATADTRRRVRGRFVKASLEQGTSSNDNKQPKHEGN >KN538684.1_FGP155 pep scaffold:O_longistaminata_v1.0:KN538684.1:663904:679813:1 gene:KN538684.1_FG155 transcript:KN538684.1_FGT155 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPPFSAPTNGANQRAMASLRSPLRGGPDMPTSRRCSPRLSGAQQDEVAEVARVGMLKRVTNNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSRKRKRMTAKSYRALFKRSTKASSTVVVTSDRVGKENTSSGNVAESNTVPNFPSTLERANLFLVDQYGRQNSISSLQSAPIPPIHYEEPESGLGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGQGCKRSYHISCLDHWLEYLSPGMWFCTACTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPESVINDTPGGRDLLSLFNKTDHKEKTSWKKEWTEPHRLLRKRPLILQEEADEFFCSSHANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRLKAAKRLSIPVETKVKHKTCQKLQRLPDEWPPGFDNDHLFSINQLLEFWYKSHGAVLVDDKEYVTKTILFTLTVLPDVCQPLLIVTTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRSCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYENSAFKYFEQLKKLSTDFRMVLLGSPIKDNVPEYMNLLAFLNSEEKGYSDYVDADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSYISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCNHPYIVNEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSVDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQGLRTSLKHSLLRWGASFLFSRLDEVQQDDHASKSSEMERHFTDEIIIEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEEDPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDNALPDICTTSSPALQPVDATQQKSGSENLMSTPKNLHAQLKQELSKLIEVLQLPDNVRLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEERLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDYIFPNQRMDLHDNFMNGALQEGSCIAAQMVSEEQELIAVPGTHMECHLSTDELPDIVEKRINLIDNVFSLREYRIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFREHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQNSNSHDSASPVSDCQQLCHDRLKMAVRNGFVEAVMVAGQPASEVVDFPENNTCYSPDGIGLQKAKSPSTRPSNDDSINQESSASEYTSTENVERDNANPSTLPGVATSPAMGVYANNDVTVAADHANLESTMLASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQDPPAEAKLTENLGVTAWDVQPEMQTTTSTLDSPSGRMCPDDNNQTVHQPDTPTSPLQEGPTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSREVGTQTDQSSMLERQSISVPLVQSSVSSEHPPTEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVPAHLESPTFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGLLTTQPAQNFQPELQPSTSLFDASLESNNISQTDCQSDRTVVFLQEGTTTQQHLLDTRVVVDDIVAEEPSHSESPTYIIHETAALVVSTEVETQTCQSNIPIQQNTSHPAQQSPVGIEATLEFQPEMQPSTSGQDQSEEVEQEGMLSSAIQDLQPEMQPPNSVQGQYPGAVLSIAAAVQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRSTSTQDAPFERTDLPGIPVPQSITTAHQSVVPSWDLQTGVEPMGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLEPSSTIQTVQLESSEDMNQIGVQSNSALSSEQPTQPFPMAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSSYAQKEAELDTIYRKVFINQSLAEYFRRKILPLSAAQGGSTRPTIGQLVQSSQEPSARILAEQVTASPVRAQVLHSSGPYVQPSLVVQPSSQATQPESILPGNIYRAVSSPFSSTPMPMPHGTYRAAGAQSHAPSPHLQQLRMPSPYATSHGNQHQRPSILASLLPFVLPSSSNPSLTAPPSLNTVVHRTSGPLNAGAGSQHAGSQISGVNPSGSSASASLNSWLPARLALTSEARGTVSSTEVVCLSDDEL >KN538684.1_FGP157 pep scaffold:O_longistaminata_v1.0:KN538684.1:756896:758067:-1 gene:KN538684.1_FG157 transcript:KN538684.1_FGT157 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDTAGVGADATACKLYRVAASALFSSPARRQAAAKELDPDDVDDAGGLPPPAITFHPSSLSGPGNVDFLHLPGDDSLLALDVDGRGLLYSAASAAVRHMPDPCKPKMEPISFTAGDSVLYVIERVPFSGNPGCFEALTYGLLPHDLDRMGWYWRSLPPPPFAKVGYDGDFRRHRQRREYDITASAVVNETELWVTAHGAGTFSFDTQVGEWRGRGEWRMPFKGRGERWLDGLDRLPAAAPPKQSFLMEAYAVHLGSGRFCIARFMEEEEEEEVEEEKDNISVHPFFHVAGVNNNKKKNDRFLLLTGVDVVACDDAVLVHKSIRYPFQNGDFVRGYYRLF >KN538684.1_FGP158 pep scaffold:O_longistaminata_v1.0:KN538684.1:687408:688580:1 gene:KN538684.1_FG158 transcript:KN538684.1_FGT158 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARKIAGGGGRRASMNIGGGSPVVAAEAEGQRRRLLQGLIYGGGGSPVSSSSSYRTTFRRLMSSDAAADHPPSTPKSLLRSPNALEKAEVEALKLEVKQKKEELFYKLATLNWQYKKRSKEAQIFFFFLESKYQLIYYYKERCLRAQSTLGSTSSFAEQPLKAGQITVWINICRKGPNKKEKKQSGPSLATAFSTDEENMNFDFDGD >KN538684.1_FGP159 pep scaffold:O_longistaminata_v1.0:KN538684.1:741037:744514:1 gene:KN538684.1_FG159 transcript:KN538684.1_FGT159 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEYEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSAAGLACFVFTLGNLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPERVLKMEEAIKSRNFESFARIISLVEKWNQSEGTPQLTGAEAKPLSHLNSLLLFRRFLRPTQQISNCHRSIGVNAMEVAYTFDAGPNAVLIAPNRKNATLLLQKLLYYFPPQDNDLSSYMVGDKSILSDAGLKSIEDVEALPAPAETKLPSQKFKGDVSYFICSRLGAGPKVVTDESLALIDSVTGLPKGV >KN538729.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538729.1:119675:125279:1 gene:KN538729.1_FG013 transcript:KN538729.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding WVTEYRVDGFQFHSLPSMLYTHNGFSTFTGATEEYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQGFLIAQLVARNDGAGPRTAQGRGGRTRHWEVMQMAVTAEHLCILSRRQIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFRLANRQWELLDKGVHKHIFNFDKDIMSLDGKERLISGGSPIVHHCDDTSMG >KN538729.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538729.1:222678:238577:1 gene:KN538729.1_FG014 transcript:KN538729.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSCHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEDVGVGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGVSKEYYAPALGGIMLSIGIKLSIDDFAMAFKRPVPLTIGYMAQYIAKPLMGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGVAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMLCTSLCIGSPLAINRSKILSSEGFLLLLPIVTFHIAAFIVGYWISKLPMLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGSSQAVPAACSVVIMAIFGLTLASYWGNGLRIRDIGQDSFHKLLQHLHSFMGQVPEFPRHWRLQYTEDVLLVLCKPLLVTSDQDVLEFMVNLQETEYFFNVI >KN538729.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538729.1:159238:171998:1 gene:KN538729.1_FG015 transcript:KN538729.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYAPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPEESQKISESDKLMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPGLLHWVTHNLESENQIFGALYVLRVLSRKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIGNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMSLFINLLERPVPVEGQPLDPDVRKSWGWWKVKKWTIHILNRLYTRFGDMKLQKPESKAFAQMFQKNYAGRILGCHLQILNAVRTGDYLPDRVINLVLQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVDIFRRYDEASIEIKPYRQKDGALLAIGTLCDKLKQTDPYKAELERMLVQHVFPEFNSHVGHLRAKLDMSKMTCISNTALDNYSLSDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASQEADDEADDSGALAAVGCLRAISTILESVSSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPSISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGSDHFLACKNPDYQQSLWSALSSIMMDQNMEDSDIEPAPKLIEVVFQNCKGNVDQWVEPYLSLTIDRLRRAHKPYLKCLLVQVARGFQPADEYDDSDDDFSDDEELQSPIDEVDPFILFVETVQGLQASDPIRFQSLMQTLDFRYQALASGIAQHAEERRVEIEKEKLEKANAQ >KN538729.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538729.1:127793:128973:-1 gene:KN538729.1_FG016 transcript:KN538729.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCEGPSLMLGRRTHHRLHCATIVVRGVHPVAPPHHRIQLCHILGCSLPPPCEDVAPGRPRPYRCSAFPLPLLSHDVTKPSSADEVDEGWRRGGVPGVEGIHETPQSPCLLETQFGLRINVSRLSLGYQFSSGILSISTLISKELSYQTHSESTDVRRDGFGRFTHWIASQMYPLVFILDFGRSSIANFW >AMDW01040848.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040848.1:286:2667:-1 gene:AMDW01040848.1_FG001 transcript:AMDW01040848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEAKDGPGLKVAIVERLENNQMRIVRFGHLASAKVEVVVLHGNFNAKNEEQWTPEDFSKQIVCGREKSAQLLTGNLTLKLNGGEALLENATFTDNSSFTSTKKFRLGLRLANNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTNSGVNTVQKFLQSYFTDEKKLFQTFSKMSQAAWKTIISHAMTCEVGDDLCLYEVKGNNVGLFFDAIYQLVGVKFGDSYKPINELDEIDQSAVETMKQLAYANISGIQYDHKMVNNYPVPLRRFHCGGTSMLTDFIPKQQIPTCGQYNSALAGQPFESTENFSSFHEASNASVDMSRFVQGQTSNVQFSQQLGMGNFNPHHSNQGTFIPRPRITPLCIPNTQKTYFNPNAHSSIQADHAATRIGQYAHNERSHSPEESYKRFSPDNFLHTDEVVALMQPHLVPPSNSENFSNLLNLSSNDQTSQQIAAPFQPSRTNSFDSSSCDQLIQNFISQFSSNEGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASHRPPARPRLVPIV >KN545137.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545137.1:134:1830:-1 gene:KN545137.1_FG001 transcript:KN545137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFADYLNLPQDSESFRAPDMHHGME >AMDW01029999.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029999.1:130:231:-1 gene:AMDW01029999.1_FG001 transcript:AMDW01029999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEE >AMDW01033096.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033096.1:260:427:-1 gene:AMDW01033096.1_FG001 transcript:AMDW01033096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DELEAELEDLEEEELENELPEPPQRTSMEPSTRVTTSQPANDLAELTKLQAEMAL >AMDW01039718.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039718.1:83:853:1 gene:AMDW01039718.1_FG001 transcript:AMDW01039718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TIENNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQRNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPETIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCAGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHAYLNSCKASGSSTESIAEAARQRGIELSVTPP >AMDW01037241.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037241.1:167:442:1 gene:AMDW01037241.1_FG001 transcript:AMDW01037241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGEGPYTSVSDGRIVKWLPPPERRWVEHSCSVPEL >AMDW01034999.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034999.1:108:500:1 gene:AMDW01034999.1_FG001 transcript:AMDW01034999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLAKITIDGVAFDIAASRKCSLCSRQEEEEGPDHCCCLRRRHEKWSYNSSDVVDGAGEAVSLHVSCIKQMAQRRWQAGGQIMLASEEMIEEGGPLHGIPSERARNIVGAVVRVIIAVIFGDRTAVEGDVGS >AMDW01015116.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015116.1:39:209:1 gene:AMDW01015116.1_FG001 transcript:AMDW01015116.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KLFVYLYGSEPRLCLTDTTLIKEFLSSKYAHATGKSWLQRQGTKHFIGGGLLMANGA >KN541036.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541036.1:6494:10283:1 gene:KN541036.1_FG001 transcript:KN541036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMEEHLGNTTSTTFLHQPRNLWKRPRGTVLRIEALALVAIVLTFFVAVLGSCRRWSNHWIVQKGFLAANVLSLSLGTYSIGIMQSSSVKSEMYPIWTVCLFTLLGCTDSVTSYNGLDYKSPLLKMLFQLGLYCGYVLLMSISTISTDVGNIAIGMLSAITFVKGFHRSLALVLQSRMRDMEAKTVGLQEPRFLSRGRDYGEERENMIVDFPPDLENLVYGSERPALSNTVHMADIDLICQEKDELQLCSDVCVAFSLSHQLQRYILGLSEHVDNKVDLSEDIIDYKWALKVIGVELAFLYEVFFTGNAFLHFYEAKAASFWALASFIGICFVGVAVAIPRTMTSRRTTSLGSGATVVVDTTTADLFITLVILVSLALLQLMHLIWCWTSNWARLAFACECARNQKKGIGIQWSWWMRLKWFAITRTNWFDKYLWQDKLGQCSLAGKAGREWKVLGNSITSMGGRQMHGLQYIGHVVSVCAVTVFYYECRLRCKEADSLRSDEPVV >AMDW01014182.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014182.1:92:235:-1 gene:AMDW01014182.1_FG001 transcript:AMDW01014182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFAGGAVMELEMGNYFYMDSTTGLECLTILPSPAGDGSLLGSLIQ >AMDW01034279.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034279.1:17:437:-1 gene:AMDW01034279.1_FG001 transcript:AMDW01034279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CKSYLANSLFVFGEFGGNDYNAMLFGGYSADQASTYTSQIVDTISSGVEKLIAMGAVDVVVPGVLPIGCFPIYLTIYGTSSSSDYDSLGCLKKFNDLSTNHNNQLKTKISALQSKYKSARIMYADFYSGVYDMVRNPGNY >AMDW01033230.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033230.1:13:216:-1 gene:AMDW01033230.1_FG001 transcript:AMDW01033230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHSRSLKEEQQCRITGGSWVVQATAMLVATAVTAQAAYRARHAPWDLAFVLFAYADLGLLFLCLS >AMDW01038393.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038393.1:357:761:-1 gene:AMDW01038393.1_FG001 transcript:AMDW01038393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCRKLSNYMMYLLVNHPSMLPLNVSSEATLAEAAQLMKVVRGLLIYTAGKSRGPMHAAELACGGELITFVWLLMVKAGLGDSEAKRILIANSACADTNTKEAYAFYFAS >KN541053.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541053.1:1874:3346:-1 gene:KN541053.1_FG001 transcript:KN541053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSMSQKITLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLFFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYIHGILRSSTHA >KN539476.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539476.1:101931:103382:1 gene:KN539476.1_FG001 transcript:KN539476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAACADGAVAVNATAVRGGVRCACAAGLVGDGFAHGTGCSKGTSCSNSGQASDGRECCQGRFCSKKSVALAGFFVSLFFLAAAVSFWLFLRQPSKDTLWDIDPACIPKILGGVCNARQFTYEQLDEATKRFDDSEKAAVNVQVDGGGTVHAGVLDDGTVVAVQRIGYDTAEKLRLVLDRVELLSEVSHRNIARVVGFCCTAAADSGTGNALLLVHEHFAGGTLEDHLRQVKGRVLSWYHRVNIAIELASALTYLQAHDTAPTFLHDLKSSDVFLDDNLTAKIAGYKLARPVAYYYYAPHQHPFDSVAPKVREGRLHEVIDVTLLAGKQLPAPNEEVRKVFELAVACLLSAENGLCMLGVAKELMLIGRNNIGSSSKIEISLEETFSSSSLLQMISMSPETLHHHLP >KN538717.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538717.1:311460:312791:1 gene:KN538717.1_FG045 transcript:KN538717.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVKPSYPASPAPEEEFVPSSMFDKVTYDMQMAIIYAFRPPGPSVADIEKGLAAVLGVIHDAVARADAAYFRSFVDFASSGAVEGEGLAPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGCPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFDHNLEAFKQSCYSIE >KN541053.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541053.1:19929:26202:1 gene:KN541053.1_FG002 transcript:KN541053.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPGRQAADAEKRSQCKAMAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETIVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDTTGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIHHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENAIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKDEYSQWVVASQRSLLEVMAAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKSDSLNMSLQDGSFENSCNMCVSLWTYANGKDSPRSLFDMDEDPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGNSILFFGCRNRNMDYIYEDELQNFIQEGALSELIVAFSREGPAKEYVQHKMTEKATEIWNIVSQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >KN538717.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538717.1:360869:363747:-1 gene:KN538717.1_FG046 transcript:KN538717.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) UniProtKB/Swiss-Prot;Acc:Q93ZY2] MLRFGAFCFPEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPMASARKAMWTREMDWLLSVADSIVELTPSIQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFWYVDRGIVVDDSGGPFSSSSSSCGRPSILKAAMAINSDVLAEMEIPEVYLESLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKSWGGKVKGLVGDTEKSHVLSQRADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAADSVSIFNRGIGVPVQKRISSSPFSIQHTPYASPFATPTFCSSTPVTGSPGRVQPPLNKNNLPAKQEVKVEKLFSGDIEKVWTYAGNLSARKDAGDAPERD >KN539476.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539476.1:1916:2308:-1 gene:KN539476.1_FG002 transcript:KN539476.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVAPFIALSLLLLAVIANGCTPNCPGEQVVPTPTHHGKNGGHGRCPMDALKLRVCANVLKGLVDVEIGHGPNDCCSLLSGIADIDAAVCLCTAVKANVLGIRVNLPVDLSLILNKCGKTCPSDFTC >KN539476.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539476.1:65843:67077:1 gene:KN539476.1_FG003 transcript:KN539476.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPSSCLVESRCSAPILRSARHLRRGGRTNTELAACPTPTPCHAAAIPALLMDPSEGRKMSSFKEERSEGKVPATAIDPTNEKKKRTKMVRYTQDQIQYCFANSVELSDDDEDDFKLTEVLSKECLGRMSQEYLAKLYAMEIAEEKEKANLKKIQDVLRNERENIFNIRDKPEDVLKQYYTKGYAEYEVVVDDDKGDEDNKVHARVAPPGRRRFRNGVAMKKNQSGGGSIIRKIN >KN541053.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541053.1:14077:14301:-1 gene:KN541053.1_FG003 transcript:KN541053.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIGGLVRRLRVVRAWPRRRWEHVAGDAVPKLRVEVLFQRVIEGVREPRGGLRLVAGEHTGALAAAEGGDNP >KN539476.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539476.1:42601:45273:-1 gene:KN539476.1_FG004 transcript:KN539476.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MRLSSSLQDLPTFSRIDALERGSSTGSDLVSGRAKPIRTLQRDGAVASFSKEKTPPSSPTNRKKCMRATGCAIALFLLVFFIYASLRYFHVFLSEGSPEYYVILDCGSTGTRVYVYEWSVNHDDGNTFPIALKPLGNAPKKKSGKLTGRAYQRMETEPGLNKLVHNETGLKMTIEPLLRMAEKLIPRRAHKHTPAFLYATAGVRKLPSADSEWLLDKAWDILKNSSFLCSRDRVKIISGMDEAYYGWIALNHHLNMLGTSSSKMTYGSLDLGGSSLQVTFETDNSIQDETSMSLRIGSISHQLSAYSLSGYGLNDAFDKSVAHLVKKLGGATGNGKVQVKHPCLQTGYKEDYICSYCHPLKLDGSPSVGGKTTGKEKQGMAVELIGMPQWNECSALAKLTVNLSEWSNASSVDCNTKPCALPSTFPQPHGQFYAMSGFYVVFKFFNLTADATLIDVLNRGQEFCEKTWKVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIDSGSITWTLGVALLEAGQVLSTRIDIQGYRILHREINPNILIVLFLISIVLVICAILCVSNSIPRSFRKSYLPLFRQNSAGSPVLSMGSPFRFHLWSHITSGDARTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGMWKPGQTTLQSRRSQSREDLTSSLADLHLPKV >KN538717.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538717.1:324171:330385:1 gene:KN538717.1_FG048 transcript:KN538717.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLIESVRKEIGKIDEILSLWGYILDFRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALLGVVMDVSKGMSYLHQNNIIHRDLKTANLLMDENGIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHDGKHKEKILGGLFSALRGRGH >KN541053.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541053.1:5994:9365:1 gene:KN541053.1_FG004 transcript:KN541053.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGPQLQNGGLRSSMVQSWNLQRIIENALRSIRIVIFTSKLNLLLPFGPASIILHYTTRRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCIMLVAYASYLYFQLSGRNNAYSPIGSEEMPNEDAAEEDEESEIGMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSND >KN539476.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539476.1:11997:12377:-1 gene:KN539476.1_FG005 transcript:KN539476.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIAPLLALTFLILFFGCAVTNCTGKPVAPTPPSHDDHGRCPIDALKLRVCANLLNGLIGVKIGRGPDDCCPLLAGIADLDAAVCLCTVLKANVLGLINLNLPVDLSIILNKCGKNYPSGFTC >KN538717.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538717.1:354299:356088:-1 gene:KN538717.1_FG049 transcript:KN538717.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 9 [Source:Projected from Arabidopsis thaliana (AT1G76110) UniProtKB/Swiss-Prot;Acc:Q9SGS2] MAEKLLYPPPLLSHEEVANDRVAFMDTLRRFHSLMGTKFMIPVIGGKEMDLHALYVEVTSRGGLAKVMEERKWREVMARFSFPATTTSASYVLRRYYLSLLHHYEQVYFFRAHGVLLPPAASALTKTPRRKMRGSSDQSPAAAAAEAGKRMALPERLGGEPCSFSVTGSIDGKFEHGYLVTVKIAAETLRGRDPAQPRPNRSAYNFFFKEKHPELKATHPHREREYSKMIGDAWNRLADDDKMVYYRHSAEDKERYKREMQEYNERLKLAPSTMAG >KN539476.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539476.1:69311:70347:-1 gene:KN539476.1_FG006 transcript:KN539476.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRATGHDPGGPWFGSVTETYPLPLSAPLLRPLSCDIDELQDIFLATVDTIASTLEWAMAELLQDRETMRKLQEELKKVLGSKTHAEYADMDRLPYLRAVIKETLRLHPVVPIVPNVAEEMVEIHGHVVPRGSTILVNLWAVHRDAEAWPEPSRFLPERFMLRQHGQEAAGRALGTATTEFGLIPFSAGRRVCLGLPLATRMLHAMLGSLLHRFEWTLPLEVEENGVDMSENLGLTMTMATPLQAIAKSI >KN538717.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538717.1:375169:378931:-1 gene:KN538717.1_FG051 transcript:KN538717.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQVSRISIPNSAPSAVYEDQTQQSQACCSFGMLFYALLYRLSGDYNPLHSDPMVAQVAGMNAFIFVH >KN538717.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538717.1:384247:384684:-1 gene:KN538717.1_FG052 transcript:KN538717.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIENEIEVAPETGVMPPDWPARLLVSGLEDDDIQVIEAAIRLQTNPALRCICSKNDDIAFKAFCFLAGLSACLFSLLLVDVNKGAMLAFLVPGLLFLVMTAIHTVVDECDRRRAKRIRAKARIILEESLLLSPVFFFLNKVQL >KN538717.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538717.1:332071:353292:1 gene:KN538717.1_FG053 transcript:KN538717.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLACLYEKDVKFEPCTTSYKSLLRLQSLCTVNSLSFPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDNEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGRRTRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASAFSNQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTETEHPTRSMLSSSKEVGTYIEPTPQKPPASLDNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDRKSTGVYSEEKESMSYTAHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKDVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTAHDDSQDTTQRARETDSVTRRTRDQGAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQSPEKAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEVEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYDVTGPLQTRYTDDQDDWMQARDSTTPRHMNQDAIEETEMADSEPSKERTLDSQQAFPQKQQPAEDLRFAASTSNRRYFDDQDSTKKSKHTASIPRQMEAQGSLEETKAGKSRLPREQPFLQDATPPLRQAEAKDARSLTPPSQEGYAGPQAVSKQRGDPGSISRRIRAQDARVTFVESKADDSTFTREQPSDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPLDYQRSDSHLQNQEEIEDPRGAISPHKRSYTDVEDMTKHPGDKILGEDSQDVSEETKALDSTIFRGRAHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLGRTASTPTEKAVQDGRDDFREWKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTMSFQRRYPDIEDATKEPIYKPKEMVGEDAQAGFEETMALDAATHPRDTPRAAITPSKQVTAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSLTQQPSGYQRAAASLPKQEAADSRSTPLPFQRRYPDIEDTTKEPRDKLKEAVGEDGQDGFEETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEETVGEDSQDGFEETKVLDSAIFRGRAQPQDTRRSAITPPKLEESKDARGATPHPPTRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQRSDVRQAAASLPKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKVSEEAQDGFEETKTLDSSIFRGRAQPQDTQRVVVTPPKVDAAKDAPGAAPLPPTRYPTREDVRQPRRTAFTPTEKAVRDDRDDFTESKSVDSTPSREQHSDVWRAAASLPKQETADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGGDAQDSFEETKALDSSMFRSRGQLQDTRRSAITPSKLEDVKDALGATPLPPRRYPTAEDTSRQPTRTASTPIEKAVPDGRDAIRELKSVDSTSSREQPSDVRRAAASLPKQEAADSYSTTVPFQRRYPDIEDATKEPRDKPKQKVSEEAQNGFDETKALDSSIFRGRAQPQDTQQAAITPSKLDAAKDAPVATPLPPTRYPTGEDDSRQPRRTASTPTEKAVRYGRDAFTESKSSDSTPSREQLSDVRRAAASLPKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVIPSKLEGAKDALGVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPRDKPKETVGQDAQDTYEERVTTDSVLFTKQPSDMPRAAITPSKKAATDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQTPTRMDQDAIPSAQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHTPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDESTMPFVSSVKQGSHVGRDAEPHEGPLLDTNGAVVDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPGALDPASTRDTTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAATEWTKALPKPIDQQQTPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQEKVSQAELSLKPHEGSTPHMHGSIVDEKKTRPLASPTKSSNDVLDLTPAGADIHPTSSTEPPRSALPVQEAQTPSAIQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGRDLSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSYEYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTETPGPAPLTDVYDSISTQYIQDGDLDKGRPSSTDREAKMPRMRSASALHDQYDSTPDNIESAKQKITLKDQDSANFAQKYSSSEPKEEESTVAAPDQTKEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSTPSHEQAPNVEVPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKPSADQEVMSPKVVPATSLDRQHVTVPDDEQKIAKPGQEHGSFSEGSTTDSRDAITDESATTSTSGREKVLDASILTATHDDAHPPTVRNLPEHETQAPASTQSASVEASDKAKSTDQEDMKPMASQASILDTQRGTNGDKPIARSLHDQGAQSPELTHPQQPPESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLVPHALQDKGSPRSTKPPPRVESSEKESQIAEDGQTVLLQSSVVQESTPSLAGPRESSSSDSPYPSAENQVSTAKAVEPLPPTTANQLEGGSPWKFQYQVDHIGMMDRVQPSSEATPGVVAGISATPGDNQPSPARESAEVAEEGTDQQKADQASVQSPQDNMDQVKETEEQDTGTGETYQATVQSPQDIKEQRDETEQQDTRTGEAYQDAPAPDAPQDKGSPRSTQPPPPIESSQKESKIDEDGQTLLTQTSAVQESTASSVGPRESTPYPSVEYQGSAPKAEEPLPPTTGMMDRVQPSSEATPGVVAGISATPGDNQPSPARESAEVAEEGTDQQKADQASVQSPQDNMDQVKETEEQDTGTGETYQATVQSPQDIKEQRDETEQHDTRTGEAYQDAPAPDAPQDKASPRSTQPPPPVESSQKESKIAEDSQTLLLQASAVQESTPSSAGPRDSLSLDSSYSLMENQGSVPNAAKPLPPSIDMMDRVQPSSGPSLEVSSDEKTTVPLDGQANNLSNVSPSVTASQVLGRSENGSGTGAISGETVPSNSQENSEGTPSEEISKQQPQTNMSSTKLSKDDNNEADGSANDTKPGDSEDNPSR >KN538717.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538717.1:359053:359332:-1 gene:KN538717.1_FG056 transcript:KN538717.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSTISAVMLLKKFDVKDCSALDEMTVDLGPKECVKLLKASLESTTALTDVFSGGVSIDRLE >KN538717.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538717.1:380311:382866:-1 gene:KN538717.1_FG057 transcript:KN538717.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAVNCAVQNVSLDTLFLIVIQGAAVIVLGKFIHLSLRRHNLPSAISQIVAGVAVGSLGLHDMVVHVEVQNVEDTYGWYVSEARIFYMFYVGLDADLAALWNDAHRCTVVTYASVATCLLLAAFVSGGIYGSMMHTPLASSRTPGYSASERLGMGVLALLKVGVTMALLRPVAAYMNRRNAGRHRIGNWELVLLLVAVSFVGNFPEHAGFDGVPASLLLGLAFPREGPVARSVMDAIAYPLHALALPFYFGAMGMRINFGAMSGAIVVPAVLLTLLGLFGKCAGTMAAARYLKMPLPDALRLGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVVGSIISTVIAGPVFAVLFRKEKDAYACSDQALEHMPPDKELRMLACVHGARGAPAMLSLLELLATTPRAQPTIHVLHLFDASRKHVGPKRYHQRVQDSDKHIDRRIDDATQVNGAVDVFTSVTGLAIRQFDVGDRGAAMKNAKNIHRRLEEVRAGLLLLPYHKEQRYDGKMVCRRDDRCELNRKVLELAPCTVGVFADRPFWRGGASFRLPTKISTSEETTAARSQGDQKAGTQIIAPPELVVGLEGWAQMIECPEVGPVGEMLASEESLEMGSVLVVQQRTAPPPPFHLNIPPAI >KN543321.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543321.1:1418:2880:-1 gene:KN543321.1_FG001 transcript:KN543321.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQENKGKELDSFNDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQRQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVFVP >AMDW01034494.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034494.1:267:458:1 gene:AMDW01034494.1_FG001 transcript:AMDW01034494.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATRYFNTKIGPYSSAKMTCAKTATSSVDCNHGKVAFANPFGGAKRTYSSSTTTKCPHCVESRNA >KN543321.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543321.1:4183:8980:1 gene:KN543321.1_FG002 transcript:KN543321.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MPPCQNPAPAAAPPEEIQRRGAEAAPDSAPWDQHLAQGIGRRAPNPGEISPSAQDVASAAAPSPEKKRKRKSKAKAKKSKKRRAEGADSASDAVEGFSVFKGLAAKKDEEDSEKKVETGKSEDFEVVRRRKEVEREIERAAILRKKFDIHISGQNVPAPLENFEELVSRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIKALPKLKRKKHRVNRDPISTLPDED >KN539612.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539612.1:46118:52138:1 gene:KN539612.1_FG001 transcript:KN539612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFYDDCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMGHIQHSVRIKCKVLDGNCHKIPSWCSKCMLAPIKVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISAIIAYCVFTLHDTAYSEIFSLWAVSDKRYGGLSFSSKEVGQVLAVAGGGLLVYQLFIYRSVHKFLGSINSSRIASALSIPILAAYPFMTHLSGFRLGIALYLGTILKGALSITIITGTSLLQNNAIVLLGPRTPKCSLLPSAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDANAETPFLWQEEEEKKTVTAAAEPAMSAL >KN539612.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539612.1:89432:92188:-1 gene:KN539612.1_FG002 transcript:KN539612.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEFEANDPQQLHTKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMRRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEVDELLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWMNVYYERGYNGGSIWRALRKEVYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRDHYNKKDNPYRKSVAANFVEVFFTKIPPPQNNFRSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGMQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWAQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDNTGKSNSSDRSSTQNLGDVNYSSVLLI >KN539612.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539612.1:23730:26052:1 gene:KN539612.1_FG003 transcript:KN539612.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYNNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDGDLGMSLFD >KN539612.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539612.1:54203:59550:1 gene:KN539612.1_FG004 transcript:KN539612.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIKVKKKKASSKVWQPDTDPISISFQVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVLIFDSRGTESKLYQRLEWTCEVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNMLMPSNIDTTIREADA >KN539612.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539612.1:61973:65693:-1 gene:KN539612.1_FG005 transcript:KN539612.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNQLS >KN539612.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539612.1:16266:22521:1 gene:KN539612.1_FG006 transcript:KN539612.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGAEVPLLKVKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTIWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPKEKADQNGSLDCKKSLLSNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKVIGHIKASRIAAILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPQQHDTN >KN539770.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539770.1:367:1360:-1 gene:KN539770.1_FG001 transcript:KN539770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVNRIHFFKVMIGDFAHGILSVDFSSSCHLEWLKLEILVENSCNLLIETGVQKSELKPGIPQDQLRRPYSTERLISSKFFILTSSLFMPAFLPL >KN539612.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539612.1:60635:61473:1 gene:KN539612.1_FG007 transcript:KN539612.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIREAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKAKAEYDGGTWKWRSSVK >KN542030.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542030.1:3309:14526:1 gene:KN542030.1_FG001 transcript:KN542030.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALPDDLLANVLGRLPPSSLAVSRCVRKDWRALIDNRCLLRAELLPLRLSAFFFKGQILTSNPYFFSSRSTARRIGGRLDFLDTFDDEDLQIMDHCNGLLLFFERIANPATRQWMHLPTIPMSPCVALGLRTDFCLVYDPMVVSPHHFEVFSIPLVPENIFYRSGEKLDPDSNSSVGESLEWPLSSRCTTHVFSSRKWRWEERSFVRQQGVEPANETIADLQFHPWQFQRRALYLKGEIYVHCKNNSLMRITLSNDKYQMIKSPVESKIDDGNGDLQLGKSEKGVCFALLMKDNNFPQFQVWLLNKSSSCGGQVEWALKTNVSLEAIMDNFPLNTDNSFSRPWILNYVTEEAIRRAQEEEEEFEWDFENGIILETKDKAEAHHLNNIYFIGFHPYKEIAFFWVSSSRVISYHLNTSKVQELGILFHLPDCLDSGCDAPYNGQSNTRRKGLMTYKQFTQKLEDDVSPGEAESRYQEYKTSYITSQKQDYFDHHKNEDRLKDMYHPTNLLSVIERRNELCKAAAKNLILDLRSGTLDLGPGMTAGTASKSGNDSDGIPADDEDCHNKIRRHHRGPLEETELVSVAPKAHPVSSHYRRIQTDIHQTLALVKKLDEEKGIVGNILTTGDHTKSNGDKSYAGSTGPLVIVRGLSTVKGLDGFELLDTLLTYLWRVHGVDYYGMSESTNAKGLRHVRADTKNANMDKSSAADWEKKLDYFWQERLTNGKDPLVALTAKDKIDASADKVLESYVTKVKDDNYGWTYGCGAKGCIKVFHAPDFVLKHLNLKHPDLVSKLISRVQEDIYFQNYMNDPNAPGGTPVMQQHSPEQQGPTPSELTPGAFGGQGSFVEIPTPPVLIPVPGAGPLGPFVPAPPEVVMQMMRPVMPMYPPRPPNPRRLRSYKDLDAPDEEVTLVDYRSL >AMDW01036972.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036972.1:68:350:-1 gene:AMDW01036972.1_FG001 transcript:AMDW01036972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SETTLSGNTTSKLNPKLLQESSPIECLQKHIKKVIMREFRMQRSELDFLKFIAERGLVLEKVVIVLTHTCSSSADRLRASLSAFTASTRLANED >KN539612.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539612.1:28540:31670:1 gene:KN539612.1_FG008 transcript:KN539612.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHIFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLLQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGMGLSFALYSAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGGGVLFSWAQKRQDAFFFPGDQVVFLMLNFVELIGLIFTFEPFMVLPAASDECS >KN539770.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539770.1:57137:62634:-1 gene:KN539770.1_FG002 transcript:KN539770.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKKWPLFAALSLVLAAFASSSPPPRAPRSATIVNDEPNIEFQTLICLRLHLTNTDGILASWKNDSYQFCSWSGVTCSKRHSSRVVALSLESFGLNGQIPPCIANLTSLTRIHLPNNQLSGEIPRELGQLNKLQYLNLSSNKLTGKIPDSLASCYQLQTMDLRSNNLQGEIPQNLGKFSNLQVLDLGHNMLTGGIPEGLGMLRNLSVLRLNGNSLTSNIPFSLGSSPSLVSVILVNNSLTGPIPSLLANSSSLQVLSLTNNHFSGEIPPALFNSTKLQILSLGRNNFVGSLPILTNIDSPLQYLLVQSNDLAGTIPSNIGNFSSLLWLLLADNNFEGSIPMSIGTIPNLQILDMTYNFLSATVPASLYNMSELTYLSMGKNNLTGKIPYSIGYTLPSIQTLIMQANQFQGPIPISLANGTNLEVINLHDNAFQGVVPSFGTLPNLTELNLGMNRLEAGDWSFLSSLSNCTKLNFLTGNIPISLGNLQELFVLSLSQNKLSGQIPRSIGNLNQLSELYLQENFLSGPIQEALGRCKNLVMLNLSHNSFNGSIPTEIFTLSSLSEGLDLSHNKLSGKIPLEIGGLINLGPLDISNNQLSGQIPSSIGDCVHLETLHMEGNLLDGKIPDSFNNLRGTIVLDLSQNNLSGEIPHFFDSFINLRLLNLSFNNLEGQVPTGGIFQNASEVFIQGNQKLCASTPLLQVTLCNTKISKQRHNSNIVKTVVFTALPLVLLSCFALILLKKRKKFKQEVHQSSNNGKNFSYADLDKATNGFSSANMVGSGKYGSVYRGTFEFEKQVVAIKVFKLDQHGGPKSFLAECEALRNTRHRNLVRVITACSTFDPIGHEFKALILEYIPNGNLEDWLHLNQITYGLNIQLSLSSRITIAADIAAALDYLHNHCVPPIVHCDLKPINLLVDDAMGARLGDFGLKYGFGSKISTEGDVYSYGIIILEMLTGKRPTDGMFNDGLSLHIFVDKAFPHNIGKIIDPKIIPNLEDEHHNHATVRILSCITQLAKLGLSCSVEIPKDRPVMQEVYAEVVAIKEAFLELQG >KN539770.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539770.1:45940:50006:1 gene:KN539770.1_FG003 transcript:KN539770.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLFVSFLLPCWANAVPVATLSTPETATNTATDHLALMEFSGVTCGMRGARRGRVIALHLPDLSLQGSIASSLGNLTYLRQLDLRANSFHGIIPSELGRLAQLEHLNLSSNSIGGEIPPALASCERLQVVSLRRNSLQGVIPAAFGDLSELQVVDLKHNMLKGSIPRELASLQNLKVLIVGHNNLTGDIPVELWSLKSLSYLDLKANMITGQIPTDIGNLENLSELYLSLNHLTGPVPSSLGDLKKLQILYLDNNQLSGPIPSSLGNLSYLAVIDVQVNGLTGNIPESLGNLNLLSVLSLTSNKLTGQIPGTLGNLYSLTEFYLNGNELEGLIPPSIYNLSSLLFFSVGQNKLSGYLESDVGNKFPKLKRYDVFNNTFHGTIPLSLCNISTLEMLQLAINSFSGVVPNCLGNLKNLFALLLGENQLEARNDEDWSFISSLTNCTKLQYLTLSKNKLEGVLPKSVANLSTSLGTFGITNNAVSGNIPEGIGNLIGLVTLHLGENLLNGTIPDSLGNLQNLVELDMTLNKLSGLIPTTLGNLTMLNRIILDENMLNGPVPSSLGSCPLEILSLANNQLVGPIPKEIFLISTLSDFVSFQGNKLTGILPPEIPEFIGRMKGLTNLNISFNNFEGQVPKLGIFLNASTFFIEGNSGLCGGIPQLNLPPCINHTSKKWSHKLVLATSLGSITLCIILACSLFIIWKSKDHVRNIRQILSLPNGPRMRVSYADLVKATSGFASENLLGTGSFGSVYRGTIMNDGQEVSVAVKVLRLQQRGASQSFLAECETLRCIRHRNLVKILTVCSSIDSSGVDFKALVFEFMPNGDLDKWLHHHLLEDGNHRVLNLSQRIDIAIDVACALEYLHCHKPVSVVHCDLKPSNILLDNEKVAHLGDFGLARFLHEDDTSLPVISSGWATRRGTVGYAAPEYGQGNEVSTLGDTYSYGILLLEMFTGKRPTDDEFAHDFNLHKYVELALRDQVTRVVDQDLLSATEDGEQKTPMPDSITGITIASITSILKIGVLCSKELPADRMQISDAMKELLHIKEKYRTHLPRIDDQQVKK >KN539612.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539612.1:12776:13288:1 gene:KN539612.1_FG009 transcript:KN539612.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGCRRQAAEAAAPLLEKKGSTGGGVGDGYCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTDLCASNNR >KN539770.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539770.1:18657:24073:1 gene:KN539770.1_FG004 transcript:KN539770.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGSWQLDLASRRRLPQGLSRGVGGGASGEEKRCYGDGEVVYDCGGVEQRGQQREDDDVVTAGGSGTTTRRRPTAWCYWQGAAARSGDGRRLRGEVTVVRTFKQAVPTSMMPLYNNLAYLCVPAGLYKLTYISNTTTSTPAANANIVILLDKLMSPPVGSLDSLVLEAIVLACFLCTASSLVPTAALQNASDTDFQALLCLKLHLSDNAGAMASWRNDSSQYCQWPGVTCSKSHTSRVTELDLESFNLHGQIPRCIGNLTFLTVVHLPFNRLSGNIPAEFGHLHRLTYLNLTSNGLTGAIPDTLSSCSNLEIIDLSNNSLDGDIPSSLSKCSNLQDIYLFDNKLHGIIPEGLGILSKLSVLYLSNNHLAGNIPLSLGSNSFLNFVILTNNSLTGGIPPLLANSSSLIVLDLTNNQLGGEIPFALFNNSSLQSIMLGVNKFVGSIPPIRNISSPLVSLCLSQNNLSGSIPSSIENFSSLQQLVLAQNNFQGTIPSSLSRMPNLQQLDLTYNNLSGTVPPSLYNMSTLSYLGMGRNNLIGEIPSTIGYTLPSIEKLVLQGNKFQGQIPTSLGNATNLKVIDLRDNAFHGIVPSFGNLYNLIELNLGMNKLEAGDWSFLSSLTSCSQLVSLCLDKNNLKGTLPSSIARLSKSLEELMLTGNEISGTIPQEIDHLTNLAVLHMGQNLLTGDLPDSLGNLPNLFVLSLPQNKLSGQIPLSVGNLSHLSELYLQENILSGPIPTSLGHCKNLEALNLSFNSFDGGIPKELVTLSSLSEWLDLSHNQLNGEIPPEIGGLINLDILNISNNRLPGQIPSTLGDCIHLSYLRMEGNLLDGRIPDSFINLRGIVELDLSQNNLSGKIPEFMESFGSMKLLNLSFNDFEGPVPTGGIFQNVSEVFVQGNKKLCGRYPSLQLPLCNVKASKGKHTTKILKIVGPIAICLALTSCLALILLKKRNKVKQASDPSCKELKKFTYADIVKATNGFALANLVGSGKYGSVYKGRFEFEEQPVAIKVFKLDQVGAPKSFLAECEALRNTRHRNLVRVITACSTYDPTGREFKALILEYMANGSLESWLYPKVNKYGLEKPLSLGYRIKIAVDIASALDYLHNYCIPPMVHCDLKPNNILLDDVMGARLGDFGLAKFLQSNSSSMFKSSTSLAGPRGSIGYIAPEYGYGSKVSIEGDVYSYGIIILEMLTGKRPTDEMFSNGLNIHKYVESSFFSHKISEILDPNVIPNFEEDTENNFDPENHLKTGMLSCIMQLAKLGISCSMETPKDRPAMQDVYAEIMTIKEAFSALRV >KN539612.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539612.1:78047:88877:1 gene:KN539612.1_FG010 transcript:KN539612.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMKLLLLVVVAVAVAASASSLTPQHAAVPAKVPRPGGGVTLHLDHRQVMVDNGIVQVTLSNPGGHITGVRYNGDRNLLHFDGQPNSAGYWNVVWNFPGSNNPRGMIDMLDSTEFKVVSSSEDQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKVQAEAEARKWPYSFPESPDFHKAGQRGSVTGRLFVRDRYVSSKDMSAGMAYVGLASPGKPGSWATESKNYQFWTRAAPCGSFSIGNVRAGVYNLYAWVPGFLGDYMYTSQVTVTPGRSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFKISESDISKDWLEHVEADATYTLRVELAAAQMSRVQVVVNGREDEALTTAAAFGDGNAIARHGVHGVQWSLDFAMKGYMLQEGENVVLMTQTRALSPFFGVIEWFYEAQLEIPHDEAIVFLVPPIGCPLRAFHGDLFFISLRIPTTISDADGAYTTQRNDREDIMDSIASIWYSSSLFGIHQDRSRIERGDSKKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQA >KN539770.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539770.1:81923:83426:-1 gene:KN539770.1_FG005 transcript:KN539770.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYRAVFAALGALMLGTLVYTCVTDGSPFRLELLTPWLVATLIDFYVNVTAISFGAFTVISAPTPICVPLKN >KN542030.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542030.1:15992:19556:-1 gene:KN542030.1_FG002 transcript:KN542030.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDFNRLFGGKKACVEIQNQTRDLVKLLVDGAVEIFEELLVQVELQRHMPPPPDGGVPRLVSFVVEYCNRLLSEKYRPVLAQVITIHRSWRKETFSDKMLVNAVLNIVKALEANFDVWSKAYDNVTLSYLFMMNTHWHFFKNLKATRLGELLGDAWLQEHEQFKDYYLTVFMRDSWGVVSPLLNREGLILFSKGRATAKDLVKQRLKTFNASFDEMFRKQSAWVIPEKDLREKTCGLVVQAIVPAYRSYMQNYGPLVEQDSANCSTLDVRPNNEPVMRILVAYEHRLFYSSHGEELEFEESLAAYWFISVPGFLGVQKFSKSDMGVLGSIAKASNNLYYDLDELKILMESASSAC >KN539612.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539612.1:38383:42812:1 gene:KN539612.1_FG011 transcript:KN539612.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTATKVYHDICPGCAIEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRDLHIARTEEDIGFYAGFLGASYMVGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAAAPAGAGVLFSWAQKRQHAAFFPGDQMVFLLLNLTEVIGLVLTFRPFLAVPQQHK >KN543220.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543220.1:5212:6108:1 gene:KN543220.1_FG001 transcript:KN543220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPPGRYVQPEQHRPAAANDAAAPPASFPIVDLGRLSSPSPDDDGGGGDEAAKLRRALDSWGLFQVTNHGIEASLMDELMSASKEFFRQPLQGCPA >KN542126.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542126.1:1152:2339:-1 gene:KN542126.1_FG001 transcript:KN542126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRQFLVISDATEGVKWAGQKRFFSMERNANGFKLMESARLAVKKIKALGNARIEIDPRNEFYVVAVATAISLWLMSRQYRSLGDPTFPGGSMKTLQAWKRVSKLNSGKSNNADE >KN540709.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540709.1:25637:25813:1 gene:KN540709.1_FG001 transcript:KN540709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASALASNIKSLPH >KN542126.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542126.1:15821:16249:-1 gene:KN542126.1_FG002 transcript:KN542126.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKKSIELLGELGLPMGLLPLEDIEEFGYNRETGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >KN542126.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542126.1:8917:9348:1 gene:KN542126.1_FG003 transcript:KN542126.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRAGAEIVTGDGVCRKRSVELLGELGLPKGLLPLEDIEEFGYNRDTGFMWMVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGE >KN540709.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540709.1:35417:35869:-1 gene:KN540709.1_FG002 transcript:KN540709.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRIPGRQAGNSGECTQRWNHEWLDAAQLDEAGEASSGGGAARQGCADAMFGSALCDFVLCIYTVSIHVDHAGVDIHDAAERVLTTSSFTTMRSDLIRSFGKKRTAQSSLAMSSFMVGVVDGAPFAEADGDGISAQLRCCSVAYVVA >KN540709.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540709.1:32286:33081:-1 gene:KN540709.1_FG003 transcript:KN540709.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLNLDDALALHYAVESCSREVVKALLELGAADVNHPAGLAGKTLLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAQTAAVNAAPIYGESPGGGGGGGVYNASGTSSSMVNLSLDNRMAYLCSG >KN542989.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542989.1:4295:5505:-1 gene:KN542989.1_FG001 transcript:KN542989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNVSSEKKALAAAKRRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPGEHRRKLVEQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLDKGAFYAVKDCFCEKTWSHTPQYGIGYCQQRPGKPGLGTAKDLLDALVVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVDLDQVKVVHYCAAGSKPWRFTGKEENMDREDIKMLVKRWWDIYNDESLDYKEEEDNADEASQPMRTALAEAGAVKYFPAPSAA >KN542989.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542989.1:8536:11073:1 gene:KN542989.1_FG002 transcript:KN542989.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLPAVGSHVGVADLAVELVPAGRHPSPNIMGCLGGSITEMMAVTNGAIHASSKEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARQQNVIMCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >KN540934.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540934.1:3808:8009:1 gene:KN540934.1_FG001 transcript:KN540934.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELLMIFLHHIQAIGVLEAVDVSVEKEGLITELRKELRVSDVDHRELLNRVNSDDIIRSIREWRSAGGPQAMLPNNAQPMHDLAPSPTTSGRKRQKTSQSFPALPAPPPVMHSQQLALQGPPSSSTAKKGASSGAKGKKTKPGQKVPGGPSVKAMTSSAGPSGRGPHMNRNFPVGLVSFEPSEALHINPLINRKVMSRWPEDNSFYEATITDYNPETDLYALAYDINTANESWEWVDLKQMGPEDIRWQGDDPGIYQGVRGAPGSGGKKSSSRGGPTPGTGRGRGLPKHVSRKDFPPSQNGVGKRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKVLKEQEQSLIDAIARLAEASDGESDEHNRVRRNAPYAGSQHQANYADAMAVDGGHMLGGADAV >KN540934.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540934.1:34211:37767:1 gene:KN540934.1_FG002 transcript:KN540934.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDDGLICSTSCYKMCGHADKSSIIDGEIGWPSMEISPCSTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRKAVSVQVEEYQVSHSAVVNDDSSISIPTNQNNSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDQIMDQIDDMKEISSIVYNNTMSAEQHVNSGSEFEKGNESSDNLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNHWVQSSFNISFDTKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRTNTKNPRLLLLQGVVGHSSAGLLSMDSMKQVEEYQVSHSAVVNDDSSISIPTNQNNSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDQIMDQIDDMKEISSIVYNNTMSAEQHVNSGSEFEKGNESSDNLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNGNWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRTNTKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNNVLATPNLIKQCESLHFEKFIEEHNITGGKRSAKTLLFLEGFRKPLGCTA >AMDW01084468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084468.1:535:1697:-1 gene:AMDW01084468.1_FG001 transcript:AMDW01084468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KN540312.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540312.1:42:278:-1 gene:KN540312.1_FG001 transcript:KN540312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVVLAMGASILLVVVVSKLMISFAAKPRLNLPPGPWTLPLIGSIHHVVSSRESVHSAMRRLARRHGAPLMQLWFGE >KN539047.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539047.1:64317:64805:-1 gene:KN539047.1_FG001 transcript:KN539047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALWIARAWCAQLLLVGGLRLKRMDHAESLESVHRHADAIQDRAQATAGEDEWWFGEPDGHVLPENDAHGAEVNHIGEYAEWHEERQRLDGVEPELEHQHGVHDELASFPNPIASNPQRKSTNQSKLRTTTTPSDDMPTLIDWISSPLLRTRLASTKTNPEI >KN540312.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540312.1:45044:49992:-1 gene:KN540312.1_FG002 transcript:KN540312.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTEWMRDRADKLKEDVCTLFTPTKDILRRMYLVDEIVHLGIDHLFEKEIETALKDIHETEFASSNLHEVALRFRLLRERGFWVSPDIFNKFKGDDGNFLNEFAEDPRSLLSLYNAAHLFIHGEPELEEAISFARNHLESMSSHSVLKAPLADQVKRHLRLPLPRTHKRVEMLHYMFEYDQENEHNPVLLELAKLDFNLLQQVHLKELKDISRWWKDVLAYMGLNHIRDRVIECYTWSYAVYHEEDLALARMIFAKLVALTSVLDDTYDVHAYTSIEECRMLNVAIQGWDDSAALLVPEYLRKFYEIILRTFREFEDQIPRNQRYLAAFSKAEFQKLTSNYLEAAEWYHRNHKPSFNDQVALGTATTGTRSLAAGLMLGMGDAATTKQAFQWAVTSTDAIISCGKIGRLMNDISGFKLGSQNKADMACAVEAYIEEHKVTADVAIARINEVLEDEWKTTNQARVDHRALLPVVQRMINITLGIQLFYGNDCDAFTFGKQLQEVLEDLYVKPMSLL >KN540312.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540312.1:29696:33717:1 gene:KN540312.1_FG003 transcript:KN540312.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQSAEWMRERTDKLKEGVCTLFTPSKDMLRRMYLVDEIVHLGIDHLFEKEIETALKDIHESEFASSNLHEVALRFRLLRERGFWVSPDVFQKFKGDDGNFLNELADDPRGLISLYNAAHLFIHGEPEFEETISFARNHLESMIQDSVLKGPLADQVKHALRLPLPRTLKRVEMLHYMFEYDQDNGHNPVLLELAKLDFNLLQHVHLKELKEISRWWKDVSGYMGLNHIRDRVIDAVSLVPEYLRKFYEIMLSTFREFEDQMLSNKRYLVAFNKAELGSQNKADMPCAVETYINEHKVTVDVAIAKINELVEDEWKTTNRARIDNQAVLPVAQRLINLTMAIPMFYGYDSDAFTFGEQLREILENLYVKPMPI >KN539047.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539047.1:123291:127143:1 gene:KN539047.1_FG002 transcript:KN539047.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLLAWPTGLCPTHAGHITTPWLVALLRLRLRHTDGPAPDESARNGGDDDRNGGSIAEIQTRVDLGVAFGSEKLLNLEMLLMEIAHRATEIEPLVLDAESISAESVQRVSEFDLLHCILDSEVKELEKLVDSIEVDIGNGGKMMASDEDSVSEVNSKLRDAAVSLNQMQDLISAIRRQSANFVNVIDPSQDNSGTSEDGGYENGHGSSRSAMPAEEQRNTLQLLNQSIASELDLGKKLHDSESIMEELKLKLHHVEHESYFLEESVEAISERMFAAENASELFLGASKELISKVNTIQFHLSASIRREGDLKSKLEQSLTESNGSNSTLEKMKQDSEKEVMPTQAQPDSEFLTLQDKIQQLEEWLRESKSGLPLTIASTGENEVGEDEMSTFENIVNDIKDAIFRAESRTQNAEAKCKQLSHANIQLDEELKSLKSQGSDRAGLLEEKLKESETQLLHAKASIEAIVERHSIFKSSMSDMEQVIDDLKEKYLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVDTLENSLCKANQLKMSAAKDIGIKTKTITDLVAKLALERERLHLQIVTLTKKNRLLAQKCKENITEVTLLNNKIAASEGELKTTKVMEEMVSDSSPTQTKVKRTADTIGEEEDEITAPPEDNSGACSTPDTSCWLLFSSAYYTNEVTMSYDLLTQLLGPDRKQE >KN539047.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539047.1:29232:31125:-1 gene:KN539047.1_FG003 transcript:KN539047.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITMSPSSVSSHHLDVDAASTSEDMSSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQSLRQLAGNLQ >KN539047.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539047.1:77929:83377:-1 gene:KN539047.1_FG004 transcript:KN539047.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSTPSKRPFQKNSSEQNGRGKWQKTKHNSSQQPQLIVQPGVPIFRILCPTSKSGNVIGKGGGIIAKIRQETGVKIRVDEVVPGCDERVIVITAIDKDREVSHVQTKENDGGVACSVDGNHGMEKDHTKEEKDESNKEMDDSEKGLGKEEKDDLEKDHDKEDRDESGKDNDKKADDSSVAKDTNSEPEAQLELERGMPLAVKAILLVFDRIFVNEMENGTGDASGERNHVSLRLLVLDSQVGWLLGKNGSVIKQMSTDSCCEIRVSKDKLPLCALPRDELCQITGELDSVRKGLNTVAQLLFTHPPKESDVPGAHNSGSSRSFFNQPDVLPPGMQPNLHLPFQGPNVAHLPNFPEALMHGHGPVPPEPLTFRLLCSSDKVGGIIGKGGNNIKSIQNDTGCEIKVLDTVPKSEDRIVFISGPAHPGDGISPAQNAILHVQRKIVPTSNTKEGPAICRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLSKDKIPKGVPENDEVVQISGASEAIQEALMQITARLRNHLFRDRMASTVPNVQPPFGLVDPQFGPYAGNHDSISPRIFPNVPQFHKDFIGRPLDEMSAPWTMKGMQVVGDPISLPDIPGMAHRGMGGFPGPGQPSIVSTITADVMVPKLVLPSLCGEDGGCLNRIREVLWKISQSFHCEIEMLWKLIVLLLAEGRFGDAYGPWGPPCVRPWAFCDWLRYYFVKFETHISTFIICRSCTGYWSSPAILTYFF >KN539047.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539047.1:106313:106786:-1 gene:KN539047.1_FG005 transcript:KN539047.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITLILVVITVSTMLASPVECTKIPGAFPIGMSPYNFTTMIDIFKVAMLVPTEDCTSNVEMCISETCSYIRKALDGVVNAAPPAKQAETKEATAKMAGIAATMLDTAMASGEKRQVAAVSIAFMLAADAIVASAPADKFRVMDETFKAAASPIA >KN539047.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539047.1:127877:129331:-1 gene:KN539047.1_FG006 transcript:KN539047.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAHLVLALLLPLALLPPAARGTGETPQYTTVHAESDFEVRRYRDTVWMSAPSDDISFHVATKLGFHRKNWVFVREHKIAGLCCYLLAILQALTLDVIPARLFQYLMGANLNSSRIRMTTPILTSIVPGAGPLHSSAYFVRLYLPAKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLALSLSRSPWANSTNYPSKSAYSIAQYNNPFRIIGRLNEVWFDVDCKSTGVEAY >KN539047.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539047.1:9207:13201:-1 gene:KN539047.1_FG007 transcript:KN539047.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSDTTSKRVDQINSKWQFHLSIDDNTDSSCLFKERLTQALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDQQSIGLLQYRAVSMMYMFSVDGENAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQNCIAVVELIMTSKKINYAGEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYRRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSMYTGDDDYILEFFLPPNCRNEDDQNALLESILARMKKCLRTLKVVGNGDTNGVCLQISNVLIIETEDLKTNLHFENSEGCFRESPESNGSQRAHEVDNDGNKVSIMSERHLLADDNSQNNGASVGRPNGSGASDSLHKSNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSQNLEKASPNKVAELSNLAVEGDRDSSLQKPIENDGNLAILMSQQGFIDANNNLQLEADKASHSRSSSGEGSINSRTSEASCQGSPANQTFVCKPIASTFAEPQLIPEAFTKEPFQEPALPLSRMLIEDSGSSKDLKNLFTSAVDQPFLARSSNLALMQNSGTVTIKASFKEDIVRFRFPCSGSVTALKDEVAKRLRMDVGIFDIKYLDDDHEWVKLACNADLEECMEISRHSGSHVIRLLVSDVAAHLGSSCGSSG >KN539047.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539047.1:149666:150839:1 gene:KN539047.1_FG008 transcript:KN539047.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCMFRPYNCPYAGSECAVVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >KN539047.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539047.1:137656:139580:1 gene:KN539047.1_FG009 transcript:KN539047.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN MERISTEM SILENCING 3 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/Swiss-Prot;Acc:Q94A79] MAAIANNDTSVEEAEQRTIQSILKQDETAAGIICQLKIRHYQHASKLPLMKDVLGVVATLGKINNDNLSRVLSEYLGLDNMLGVVCKTYDGVEGLETYDKEGMVDKTSGIHGLGRTIGKFLDGRFTVFCLENIRPFSGDFMIDDPQRKLLLHKPRLPSGEFPPGFLGFAVNMIHLNQANLSCLTAGGHGLRETLFYSLFSQLQVYKTRAELRNAIPLINDGAVSLDGAILRPNGSFCLGNRASLGIQFPVTANLGVSNLPVTITELEEQVKHKNWEKERLLEDIKRQEDLLNQVKDLFSKKKEQFMAYITQPGMLQSIDDRLFDQIVAD >KN539047.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539047.1:94281:96122:1 gene:KN539047.1_FG010 transcript:KN539047.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEDGANGGTGGTWTDEDRALTASVLGTDAFAYLTKGGGAISEGLVAASLPVDLQNRLQELVESDRPGAGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEMGPAASAGSDEAKQRMRKRVLQRLHSAFGGVDEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWIPNTERNVFPANYCYRGYLANAAGFRTIVLVPFETGVLELGSMQQVAESSDTLQTIRSVFAGAIGNKAGVQRHEGSGPTDKSPGLAKIFGKDLNLGRPSAGPGTGVSKADERSWEQRTGGGSSLLPNVQRGLQNFTWSQARGLNSHQQKFGNGILIVSNEATPRNNGVVDSSTATQFQLQKAPPLQKLPQLQKSHQLVKPQQLVSQQQLQPQAPRQIDFSAGTSSKPGVLTKKPAGIDGDGAEVDGLCKDEGPPPALEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMEVERERLIESGMIDPRDRTPRPEVDIQVVQDEVLVRVMSPMESHPVRAIFQAFEEAEVHAGESKITSNNGTAVHSFIIKCPGAEQQTREKVIAAMSRVMNSG >KN539047.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539047.1:39056:43028:-1 gene:KN539047.1_FG011 transcript:KN539047.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGDALPLVLVLFILFCLPGGGGLMVGGGAHAGGGGGVGDPELFAELWRACAGPLVEVPQRDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPYKILCKVVNVELKAETETDEVFAQITLQPDPDRPFLLKVNLLDVYFGSHCVICRIGPEKAAILGLQENLPTLPDPPLPEQPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMATPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKVGFNVGMRFKMSFEGEDVPVKKFSGTIVGEGDLSLQWSGSEWKSLKVQWDEVTNVNGPERVSPWEIETCDGTAPAINVPLQSATKNKRPREPSETLDLQSLGEHPGYGAVSSSSVCQNPLVLESWLKDFNSSNKGVSPTLSEISQKLFQVTSNEARIATWPARAAYQAEEPTSKLSSNTAVCGYQTEEVAPNASKVVEGKKEPAMFRLFGVDLMKCTSISTTTDDKSSVGAGETSAKGTGSHEDSGQLSAFSKVTKEHIAADESPREIQSHQNYTGRTRIKVQMHGNAVGRAVDLANLDGYEQLMNELEEMFNIKDLKQKWKVAFTDDEGDTMEVGDDPWLEFCQMVRKIVLYPIEDEKKIEPHLKLLSSANPEQDQKTGF >KN539047.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539047.1:3866:7463:1 gene:KN539047.1_FG012 transcript:KN539047.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYGLRVRAILAFHQCGAVKRSTFTVWSKPLIPLPQWVLDEMDKLPDLSYTDRYQRRNKEYISLGCDILPILKGRSPMQAYSDFMRSFRDAFKEYLGAIVTEVQIGMGPGGELRYPSCPTEKLSQPGISSELGEFQCYDKFMQASLSARARLIGMQDWGNGGPAGTDGSRQNPEETSFFRADGGCWNTPYGRFFLEWYSGMLLLHGERLCMVADAVFSGTGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRNGYLPITQMFARYKAALCCSCFDLRDEERNNSKSSPEGTLRQLMVAAKMCNLPLNGENSVTRLDDTSLNQVIRSSRLYSGGTSGTSFSFNYVRMNKSLFEFHNWNRFTKFVRQMSDARTFLARLEFRRGQHYLSSMSVVWVVSRACA >KN539047.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539047.1:117197:120631:1 gene:KN539047.1_FG013 transcript:KN539047.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MPLNARDLPFGLADRTNSHITRCVSTAQSIPVPMLPPTFKTLLRRRWPKPSPDGGGDDDADHLPPSDCAIIKANLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTALSAGDIPATNSLLAAAASLFSRFRNAFDSNTIRLDLKYCLENFAAPLLEVFLSTSRRLQAAAPTVTPPESRPVFECLRLCCEIFYSLNSIDLPEFFEDHMREWMTEFRAFLTTSYPPAIEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPSVSPSRGQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDDDEELFEGNWVEYVRRDAEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLSAYAADRTNNWKEKDAAIYLVISLMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWESEPMLKATVLRFLKEFKDQIPKATALALLPSVIRFLVHESNVVHSYAATFIENLLIIRDMVPVPGANVITRAPRYVAADINPYAQPIVQNLSKALSFPESYENPYLMKCLMRVLGIANIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGQAGEQDPALLPVFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLLSRSSTEDSAFYMLNTLVENVSFDIMNPHINEIWSALFTRLQTRQAVKFVNSLVVFMSLVVVKYGPGVLVSSVDAIQPNIFMTILQRFWIPNLKFIKGTVEVKLTSVASTKLICESALLLDGAAAQTWGKLLDSIVALLSRTDQDGSQQEQNDGADADSQRTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPIIEQNVDPANKGALIQLCAAYNTNIV >KN539047.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539047.1:155607:157821:1 gene:KN539047.1_FG014 transcript:KN539047.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIAVSPSNNDALDLVVAQLDGDQSSYHHTPEVFLLYLAVPGVPLAKMQVLESDSVAAVKLRIQNSKGFVARNQRLVFEGRELSRNDSRIRDYGVRYGSVLHLVIRLSDPRRTAVRTVYGRKFKFQVDQRRNARYMKQEISRNVESPNGIGESVTLVNGEKLDESTLISTICETNTSDTDFLANKSENFNGNEIEESFEQLSISSDVGNNLQFDDAKEKYPLIEPILVNPSVTLTPKITGMIEATLAGLEMEHTPVMSSEGTGGVYFMLDSSGQEYVAVFKPVNEEPMAKDNPNGYPLSSDGEGLKRGTRVGEGAFREVAAYILDHPISGYRVSDELGFAGVPPTVLVRCLNGYVDQTKYDCAEKEPKIGSLQMFVKNSGSCEEFGPRAFPVQEVHKIAVLDMRLANADRHGGNILIRKDENGQIELIPIDHGYCLPESFEDCTFDWLYWPQARQPFNVEALDYIKSLDEEEDIKLLKLNGCEPSSKCVRVFHLSTMMLKKGAVRGLTPYEIGSMLCRENITTKSKIEEIVEEAEDVVLPRIGEKAFMEAISGIMDRHLNELFK >KN539047.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539047.1:135738:136402:1 gene:KN539047.1_FG015 transcript:KN539047.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPAERGVEAPPLTLSIFEFNSKVMQDELQKLALKVNHHEENITFLKSELNAVEDSCADLGSRPDVESWICEEDE >KN539047.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539047.1:45646:48969:-1 gene:KN539047.1_FG016 transcript:KN539047.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKITEITRTRFPSKRGEKREKDFIALSSRQRFDYSISKSVFSLRIPGASTQLRLPLLQIYEGKVPSEIIDCKFLPIVKSSKVKPLEAFMKSSIGKGLTIVKSFTVILHRMFSRRRAITRLPLSL >KN540180.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540180.1:49421:53396:-1 gene:KN540180.1_FG001 transcript:KN540180.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAIPNESPHTDGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELEDLIDKKWQRRDYLGVHPQKQEGLSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKDEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGAYKKSVPCDELAPIVADILVERFGAVRREREEDEE >KN540180.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540180.1:1039:6448:1 gene:KN540180.1_FG002 transcript:KN540180.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ASSSPSPSPPPSPEKEAEAVPTAESCVNLGLELFSKGRVKDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAIQGGDGAPDLLETVGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRIIELVQLRDITRPVILAGSKASITRAMQRAERYRTELLKRGVLLIPVIFGASQKAQTKSRLKAEERFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEELLSKLER >KN540180.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540180.1:43745:45148:-1 gene:KN540180.1_FG003 transcript:KN540180.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVANKHLLSLSVLIVLLDRPLLQLGSRASPVSGFQLGVVEGSRMAGAQVAHRGVPWHGKGVQVIADIVINHRTAEHKDGRGIYYRLPPRLGPTHDLPRRPLRRRHRKPGHRPPQQARPAGAHRLARLAQDGVDIGFDAWRLDFAKGYSADMAKIYIDATEPSFAVAEIWTSMANGGDGKPNYDQNARRQELVNWVNRVGAPTAMPRRSTSPPRASSTSPWRAIELWRLRGEDGTAPGMIGWWPAKATTFVDNHDTGSTQHLWPFPSDKVMQGYAYILTHPGSPCIFYDHFFDWGLKDEIERLVSIRNRQGIHPARGRCCWLLPS >KN540180.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540180.1:26893:29885:-1 gene:KN540180.1_FG004 transcript:KN540180.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTHGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKMNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFNQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLINAYAKCGKLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVFTACSHADLVKDGWRMFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPVPGQTSWQNDTAWRLTLHCRGVSSKLVGADPGATQSWIEAVVVYPTLCLPSSLVQRTEVHDPQIPLKLAPNQRVFCGLHMEIVLEGYQYVIEYIRKISFYEL >KN540180.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540180.1:16437:17366:-1 gene:KN540180.1_FG005 transcript:KN540180.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSASSRMHGEVVLNERIHDKMHGEVVLNERIHDKVCNEQFIPEELTNGVKIDLGI >KN540180.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540180.1:48306:48972:-1 gene:KN540180.1_FG006 transcript:KN540180.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLHVHLASGAGKELVEMIGFVYQLNISPKEGKPDATFSFTDDDFLAISSGKLNPQMVFIMGKLKIKGSISAAQKFTPEAIQTVGLSGVRQYKQAVGVVILV >KN543217.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543217.1:2675:9949:-1 gene:KN543217.1_FG001 transcript:KN543217.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSGIISPSLGNLSFLRELDLGDNYFSGEIPPELCRLSRLQLLELSDNSIQGSIPAAIGACTKLTSLDLSHNQLRGMIPREIGASLKHLSNLYLHKNGLSGEIPSALGNLTSLQEFDLSFNRLSGAIPSSLGQLSSLLNMNLGQNNLSGMIPNSIWNLSSLRAFCVSENKLGGMIPTNAFKTLHLLEVIYMGTNRFHGKIPASVANASHLTRLQIDGNLFSGIITSGFGRLRNLTELYLWRNLFQTREQEDWGFISDLTNCSKLQTLNLGENNLGGVLPNSFSNLSTSLSFLALHLNKITGSIPKDIGNLIGLQHLYLCNNNFRGSLPSSLGRLKNLGILLAYENNLSGSIPLAIGNLTELNILLLGTNKFSGWIPYTLSNLTNLLSLGLSTNNLSGPIPSELFNIQTLSIMINVSKNNLEGSIPQEIGHLKNLVEFHAESNRLSALAILSSLYLLITWHKRTKKGAPSRTSMKGHPLVSYSQLVKATDGFAPTNLLGSGSFGSVYKGKLNIQDHVAVKVLKLENPKALKSFTAECEALRNMRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWIHPETNDQADQRHLNLHRRVTILLDVACALDYLHRHGPEPVVHCDIKSSNVLLDSDMVAHVGDFGLARILVDGTSLIQQSTSSMGFRGTIGYAAPGQQVLPVFCIF >AMDW01036146.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036146.1:160:324:-1 gene:AMDW01036146.1_FG001 transcript:AMDW01036146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLNIWVLREYLEIELKILDPVCEPDIERLVDDFIFICFLMGNDFIPHIPSLQMKE >AMDW01040411.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040411.1:2:935:1 gene:AMDW01040411.1_FG001 transcript:AMDW01040411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DQDIVDGFFTCVETFYHGDLEKQEKVINEEVDKFKDKQGHFGKPVAKAGCKDYGFSPGWMVEGGDEESDVEAVTGLTWKLIEEACGTEQYTQLRRSARLRTREVNEDIEQHVEEEPINTNDNEEDEIDFESDQDEVMTTKDYEEEGETDN >AMDW01036338.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036338.1:147:611:1 gene:AMDW01036338.1_FG001 transcript:AMDW01036338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPTPQRFLRQGQVVHQGGNLRVPRVDLTIPQRPPRCHEDVCIAIVEPAIPEHEWDHHRALISDHIFEVHMYEVHTAYRHPSAVGMFQLCSVMHRDALVNSPPAAFDGMHTVEFVKHDQGPNWRACHFNREGWFLLLDFPLDFVDRHHINLA >KN542109.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542109.1:182:1097:1 gene:KN542109.1_FG001 transcript:KN542109.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRGAATLKARALKDVWNVAAVIPVEKNAIAAAGNHHHHHKHNAQKQHHHRHHGNGSNTSSSFSDEVAAVDDDDDDNNFLTICSQELLARGTELLKRTRKGALHWKVVSVYIHRTGVVMLKMKSRHVAGTLTKKKKNVVVDVCRDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGSQREHDMWTKGVARLLATVDGRRKRFA >AMDW01035677.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035677.1:265:507:-1 gene:AMDW01035677.1_FG001 transcript:AMDW01035677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYK >AMDW01085265.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085265.1:53:387:-1 gene:AMDW01085265.1_FG001 transcript:AMDW01085265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHCLVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALD >KN543123.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543123.1:9645:10070:1 gene:KN543123.1_FG001 transcript:KN543123.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSSSSTSTSAGVTFALVADDPGVDEMRRVKPGGGELGEYRRVDADPGVVEGKQGMVAAVVALVDGRRVDAVADLLVFEGKRGRVDPFVTLVVALAEPGVLEFRRVDADTSILEWRRPSLADGPDGAGLLEKRPNALRS >AMDW01030459.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030459.1:89:358:1 gene:AMDW01030459.1_FG001 transcript:AMDW01030459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQ >KN539966.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539966.1:64345:65733:1 gene:KN539966.1_FG001 transcript:KN539966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGWSAMHAFVQLKAVQFDERVVPDEYTLAAAVSASATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSARILFDSITQKDVIMWTEMVAGHSSLGEGELALRYFVSMLQEGYKVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEGDICASGSLVDMYAKNGALPGAYLVFCNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISLLSACSHCGLVEKGKFYWFCMMTDGILPGFKHYTSMVSLLGRAGLLDEAVDLMMKSPFAKKCPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDFVAEIRKRMRGLMADKEPGLSWVEMKNVVHVFSADDECHSQIDDCHSELLRLKGNMELLDTCENEFMSSG >AMDW01040461.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040461.1:118:1255:1 gene:AMDW01040461.1_FG001 transcript:AMDW01040461.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEWWSFEILVLLSGLLPNPQLETSVLSICTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSNEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >KN539966.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539966.1:9988:10470:1 gene:KN539966.1_FG002 transcript:KN539966.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARPAWATTAWARAARLACREAQGGAGLGDDDDDEDAVAHSTAQAIEVKHHPPTPTRAHAQYSSRAHIAHVAVVGAVTQARARGARTCGAGHTGLPSRQAEGGRAGAGSGEGQVGRCCRGSPPGPDRARRLPIHFRYSPMGTPCFVLGVTTLSLLGAR >KN539966.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539966.1:48522:52760:1 gene:KN539966.1_FG003 transcript:KN539966.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYHHHMLSFQSNSDLGGGAGAAAGMVMAPTSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLSKDRRKKPDGFYTGKKIRDMKVYDGGKVHKSHKVPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >KN539966.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539966.1:25144:25840:-1 gene:KN539966.1_FG004 transcript:KN539966.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQAPAAAKVAESSQLKEIPVVIMSSENVPNRISRCLEEGAEDFLLKPVRPSDVSRLCSRIR >KN539966.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539966.1:67756:70417:1 gene:KN539966.1_FG005 transcript:KN539966.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRCLCGALQEMSLLSHFCLCLQRKAGSCCRCIRFLLVFVFVLHIHGGHSQMCDPADLAALLAFSDGLDRMGAGLVGWGPNDTSCCSWTGISCDLGRVVELDLSNRSLSRNSLRGVAVVNVSSNGFTGPHPAFPGAPNLTVLDITNNAFSGGINVTALCSSPVKILRFSANAFSGDVPAGFSQCKVLNELSLDSNGLTGSLPNDLYTIPELRWLSLQENQLSGSLDKALGNLSKLTLIDLSYNMFTGTIPDVFGKLRSLEFLNLASNQLNGTLPLSLSHCLMLRVVSLRNNSLSGEIAIDFSLLTKLNAFDAGTNRLHGAIPPGLALCTELRTLNLARNKLQHLPNLASLVLTDNFCGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGNIPPWLGNLDNLFYIDLSNNSFNGELPASFTQMKSLILSNGSSGQASTGDLPLFVKENSAPTAKGLQYNQLSSYPSSLILSNNMLVGPISPAFGCLVKLHVLDLSFNKFSGPIPNELSNISWRAAYFQMVDNFFDRFYVITMVDFHVEILVFGGVVYS >KN539966.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539966.1:11188:19733:1 gene:KN539966.1_FG006 transcript:KN539966.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/Swiss-Prot;Acc:Q9LIH6] MATTNHLVSLALLLLLALFGASVRRAGATTFEVGGEHGWAVPPAKDAGVYNDWASKNRFLVGDSVHFKYAKDSVMVVTEDDYNKCKAEHPIFFSNNGDTEVGLDRQGLFYFISGVAGHCERGQRMIIKRHRADTNLALARARRHLRLAESKGFLVLAVLAAGGAAKLEELLVEETWLEALPGELRKPYALELCRFVAHERLHSPVPVYPPPHLVFHALHATPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLANIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAIIKTISLKKSGIVFILWGNSAQAKKRLIDETKHHILKSAHPSGLSANRGFFGCSTCISGLPYMRRHNIYLMLDNPPMAGTFLKRIRSWRGWDYLPLIGNSRPFEDIMWSVCLTTTSALKWAPLQLKHRKSPILEKIGTHLDGSLAAKQPVSCTVRRSF >KN543098.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543098.1:116:4161:1 gene:KN543098.1_FG001 transcript:KN543098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LKVTDLEIQTISWPRVVEKVVLLQKSQRLCVVKDLTEHDIIMRIMRKQNYLIGMVNKGIIALPIPSWLPGVGPTVSSRMHGKKSYLMLPKALEWTLNWCIFQTMFDRKFCVRKDILTSPSLLKKRLVFMGIAMFLLSPCLVIFPLVYLFLRYAEEFYNHPSTASSRKWSNLSKWILREYNEVDHFFKHRLNNSSVNSLNYLKQFPTPLVSIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTIVFGTIATVSRNVVVDELQVIDPEGAMSFVLQQTHYMPKRWRGKEGSELVRRDFESLFQYTITMLLEEMASIFITPYLLIFVVPKRVDDILRFISDFTVYVDGVGDVCSLSMFDLRRHGNRNYGSPHNAVKSMRSSQGKMEKSLLSFQSTYTSWEPNADGKKFICNLQKFKEKQIRQHTFQTTESSQLGLSSRGQTAVFHRLLPRNIYPGNGVIFNFDPLGLLDTDQRACPYILDWYYTHQHTNREAGSSSHLNEASPEQQEEIWPPLSKPLTEIEDEQIWDSDLYRRARSYLEASTSSAFFRQATTFKRHGREQNSTSHQWWAQASRQQADPRNSFQGPPQDSFLEPPDFRNHLEASHDSSHQSDCRLTSRRSTDPQDSFVEPPDFGDYMSCHSSSYHGDETSDGNSELNQSNNSWRSPHALSKTRYMGDDDLDLEQGPSFHFTDAPQKDGGSEGDGHGVANIYSSTPASLPVRIIPRSSDPV >KN539966.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539966.1:55151:60716:-1 gene:KN539966.1_FG007 transcript:KN539966.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQKVTCQLLGVVFEEITPQELQTHATVRPSVVELLLEIARYCDLYLMETVLDDKSEENALLALESAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTSLDIISQLSIAAVGYAIMTTRSPDLSWQMRATRVLPMFLIPALAALIYSTITSVTKMLDNRDQHTLENLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESNRDATLSKSNDAQTTGPRQRKPGHLSNSTGRTYGPELLGGSYAYDGDEGVTTPNQRTVDHFRGPAGLARKEDFAFITYYCPHCNALNGSRQHDEHEMVSPGKETPISHSDGSIGHAGANLANSGAGSPIVKDLPTVEELPAESSVATNLPSAEELPAESPIANNLPAVEELAAESPVASSTPAIEELPAEGTVEKASIDHPAS >KN540957.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540957.1:12508:15164:-1 gene:KN540957.1_FG001 transcript:KN540957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAMVVAMSVLLLGGGEAAAPRKPVDVAFEKNYVPTWAEDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHIKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGEPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGREKTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDADQYRRLAWVRKTHTIYNYCEDRERYPAMSPECHRDRDA >KN540957.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540957.1:34565:37544:-1 gene:KN540957.1_FG002 transcript:KN540957.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding HVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFQDGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKAAA >KN540151.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540151.1:4520:10635:-1 gene:KN540151.1_FG001 transcript:KN540151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVRDDFISYGVGDAVLNELQATPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >KN540151.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540151.1:39426:42763:-1 gene:KN540151.1_FG002 transcript:KN540151.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHAAAWLILRPERHLKFTGGAKHMPKLQYATSIDRTRCQADGRLSFREVLNLAGESYCHRGNQARGCSVENYCIEILRKASYWLYGIGVGVTIRAQQEVSCCFINDGLIHKGNVQNGYTGRSCVPLEGLVKSQELIALHRNLILCMFFPKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTVVRDKSTKSFILFIRGATSVKDRLTTATAAELLGMCIAEWLQRLGGLQIRPFPVSAEQLSNFQTTELR >KN540151.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540151.1:30292:36580:1 gene:KN540151.1_FG003 transcript:KN540151.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein plastid transcriptionally active 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46780) UniProtKB/Swiss-Prot;Acc:Q9STF2] MASPYRLPSHSPQSKHANAIPHASARPTHLHTFLIPRRPCPPPVRPPDTPAMPPALTSNPPSFRPLSTPLTRRRAATTFLCRVGPGKPSKDTGADDEPKKRSFFADFVVQAADLAGVTHVVVVYDLGAGDPSGESTYNVLDGFTSFFSNLFSRVQSLPLNEFLAKVVETDVRYTLIKTSLTDDYSPESTYGLVLAKEGASSTTSSTETGKVSKLQIAGLVADVFSNVAVAENKVVQVSTSSSVTSKTIEEAFSAIPEDSRRKEYQDAVAKAQAEEETRASQRTRQAEEDTTTVKAGGKKTPSDEAAASEAQASLENLLSRAKGFGTDFSWEKLSTQLAGVATQDSDEVEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPTPKQPESKPDVRPVFGGLFKQETIFVDED >KN540151.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540151.1:24740:24964:-1 gene:KN540151.1_FG004 transcript:KN540151.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSATSYQLLGNFAAGQKLYRLETATGADGDGDGDGVPTIIFSASSRLVRVKLPTLSATEQHLAALQCTSEYI >KN540532.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540532.1:8937:9221:-1 gene:KN540532.1_FG001 transcript:KN540532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPPVSAFAAGEEERRRMSCNPWVDSVESYPPKAYI >KN542765.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542765.1:2835:8294:1 gene:KN542765.1_FG001 transcript:KN542765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIVSIATGALKPVLMKLAALLCNEYMISKDVHKEIESFSSELTAIHSFLLKMSEEENPDAQDHAWMTDVRELSYDMRTLLMSSCKCKNSLAKMKTKSRIAKAIRDFKSQITKVGDRHASDDGCESMQHQPKVVSIVGFGGLGKTTLAYQVYQELKGKFDCSAFLSVSRNPNMMRILRTILSEVAQRDYALTEDGYEQQLIIKISNFLSNKSYLHVKRKIWYFIVIDDIWNVEIWNIIKGAFSMSCQCSKIITTTRINDVARPCCSSFSGHVYNIRPLDMVHSRHLFHRRLFNSEEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLVNKPMTKDQWDHVKNSIGSALERNPSVDVMISILSLSYYDLPPHLKTCLLHLSIFPEDYLIEKDDLILRWIAEGFIHKKGSYTSFELGEMCFNELANRNLIQRCSNKDDWKVHDTILDFIISMSIKDNFVTLVASPDQTIGTQNKVRRLSLQIGIEDGNSILQRRLSDLSHARSLDVFCDQPKLPSLLEFRHLRVLSFGYCKWLRSHCIANIGRLFQLRYLNLKKTGLTELPKEIGCLQNLETLNVMGNHMVQLPQCITRLGNLVHLFIGNQIQLPDGIAKMQALETLQGADLSKHSSNIVKKLGQLKNLRELNLLIYDYDACTEEHMKTIASCLLQLGTHNLRRLNIMTSIMIRNIYLPDPWCPAPLKLEELYISGSPMPRVPTWIGSLVNLKRLGLALEGVSCEDLSIIGCLPSLLQLSLRVPGYRDNLIIGGCYGFSCLRDFCFIGQQPIFTAGSMPRLELLVLNINASKPETLTNAALENLPCLMTVQYLLYQYDRKDHGIEIAEAALKRAVESSCTSPTPLWAKEYTFFNKGGPCRAQRHGAQIRNRRRSLALGKIAQLADYSELKELANSRMMPSDSSLYLCDLV >KN538728.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538728.1:220686:225776:-1 gene:KN538728.1_FG063 transcript:KN538728.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELLLWDWEIRAESSGALAQNTSLHEFLDSYLQFRHRWYDLPHRAPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAFRGESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNCKLTSSLVENAINVQPNILDGIKIVLPQFLGIFHTMQERCMKSLQVLDFVNDAIVTLDAFVDAYQPAALLFCTSFETSYGVEELLNTLPRLYDSLLPSLLHGFQVMSSSQSNGETASDIILSDIVLGIRMLSRRTVSFGWRLLEFCYLNDQLVERDVEACTKMFPAKVEDPMIRGDIIIQTLKDINREATFSQDHPGKTFLQALEKEFKLMNRIGDIRKKGWIHMDDEQFQFITRLCGSTLTSWNSVPDLPVSSYGGELQQKNEDTAITESKITQIRDLFPDYGKGFLAACLEAYNQNPEEVIQRILDGTLHQDLLALDTSLEEMPQLKPAATVGKDKGKGILVETEPQIMNKPHKVNTEMHRYVEHSSSSSVPSASQGPSSSVPSVPQGRFTRKTNDDVPDSATLDSQKAKDAVRSAVLESQYEYDDEYDDSFDDLGFSVVESSYEETDGANDAESSSQGPRWSSQKKTQFYVKDGKNYSYKVAGSVAVSSAREAAVLRNVQKDTIHGLGRGGNVPLGVPNRQQHRDMEEEEGSNANNFGRGASNIGRGGSYPRSDHGRRGGRGHGDPPPEGENTNGPPGFGRGGRRGGRNHGNQPEANENPNGQRGFGRGATRGGRNHDHPAEDYEDPDAAQGFARGGPAPRGGRGGRRGGGRDNHHRRDRAMKKHMQGLTGL >KN538728.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538728.1:194701:198671:1 gene:KN538728.1_FG064 transcript:KN538728.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLNRTVDWLPDCDAKGKKWRRRTSDQGRFNTLPDDILLSILERVDMRTVLRTSVLSTRWKHLPLLLSDVDLDVDEFIHQNSSMSAHEAMAVLIKLMSSLFGSPQSESIIKKLNLSFYLLTDLETSLKFLFNIGELVCNAIDSGKVKSVELTITTEKRSTECTNNDKPLHAKSLVDFFNISRSLSCCLTKLFLSTTMFFEADLCQLLISCDQLQHLILHLCKLRDSSKLKLDMPNSKLRLIELYSCNIKTVEFLCLPKLEHLLCESWYFSAAPLSFGVIPCLEGLELVCAVYRNQSGFKLTDLMCGASNIWIEPEGKKLRTALNKITKLLLHGIYVKFNLLWTLVLLESAPSVKIFGVKVWNHSCDQDTLDREIFSERRNDLWDVAQLDSSVHYNQLEKLNFGGFNPTIKEHLDLVRTVIERAPKLKSVFLEDREPCKGCEAMDNPIYPSTISMFPQNEDEKSTVAKQLKAGTSRPVEIIFC >KN538728.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538728.1:233433:234438:-1 gene:KN538728.1_FG070 transcript:KN538728.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MTPACKRKVEDRKDESCTDNVARKKARSTAATVVQKKGNKNAQSKKAQKGACSRSSNQKGSNGGGDGGNVQSSSTNYLSDDDSLEMTSCSNVSSASKKSSLSSPATGHGGAKARAGRGAATDPQSLYARKRRERINERLKILQNLIPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQQ >KN538728.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538728.1:190163:192668:1 gene:KN538728.1_FG071 transcript:KN538728.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPITAATTVDNNGSTSMREGDDAAAAADGGSGEPRPKLKPLHWDKVRATSDRAMVWDQLKLDEDMIEALFMNNSTPAAPPREVGRKAAGVPSFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYSGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRNSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMISSSKDDRKHGLKVVSGLSSELGNVKKAATMDFDVLHGYVNKLETGLEKIKSVLQLEKKCTQGQRFFMSMQDFLKEAEREIERVRGEERRALGRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISATSSLPVLSLYGQRRENNSDDDSSSS >KN538728.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538728.1:202841:205402:-1 gene:KN538728.1_FG073 transcript:KN538728.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSAESRGVQGKPHRKIIRDDKKKRIQAGIASDDVGDEEDEIIVQMMNARGERRSATKVATTYDDLSGNSQPASILIEHIGDDLVSPDYG >KN538728.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538728.1:228912:232138:-1 gene:KN538728.1_FG074 transcript:KN538728.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPALARASEDNIAIVVGACSGTGIRLLVRMEPKHSAEMSKHLDKQNQALMETYRAMSHELHKVQVEEETIMRKLYELISAEGLLPKRKKESQAQKAGKSTQENKELEP >KN538728.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538728.1:199436:199690:-1 gene:KN538728.1_FG077 transcript:KN538728.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSGGASRVAACRRLGGACGAESANGLCEIPVLPFHLFDEGEVPRGTKSPDGL >KN538728.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538728.1:215643:217123:1 gene:KN538728.1_FG079 transcript:KN538728.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIKLMSSLFGSPQSESIIKKLSLSFCLLTDSVTSLTYLFNISELVCNAVDSGKVKSLELAITTEKRSIDYTTGYCFGFPRRGGKKLRSALNKITKLFLHGIYVKFDLLWTLVLLESAPSVKVFGVKVWNHACDEGTENRKQLSERRNDLWDAAQLDGSIHYLQLERLEFGGFNQIIRALGFHKSCH >KN538728.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538728.1:169244:170721:-1 gene:KN538728.1_FG080 transcript:KN538728.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASTSAPATPTSTLICREDGNDLFSADPADDDGGGGDWELSIADDDHVLLMDRDDEYLALMLSKERCAGGGGGERGDEEEEEEVEEWMKNARAWCVGWIVKRDKEWALQLLSVACLSLAAKVEERRPPRLPEFQLDMYDCASMMRMELLVLTTLKWQMITETPFSYLSCFTAKFRHDERKAIVLRAIECIFASIKVISSVEYQPSTIALAAILIAQNKETSPNLDELKAVVGSLWQQLDTGHVYSCYNKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDSAMGGANNVTTLEATPDKKRKRLHSPQRQ >KN539107.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539107.1:139675:140706:-1 gene:KN539107.1_FG001 transcript:KN539107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASLPADLLFSISSHLREPEDFVRFRAVCPQWRAAVSHEEHAFFQPWIMASRWLEDEYSENFVFYSLSTLKTIKVRVPDMKGKHVAASGSGHLVAIDNDDDLSAVLVNPLSGKTTALPRLPEFFHNNGAHGWVTGEGVITVVLYNWMSENMALWYRGGGITMKGWAVVPGRKLWLRIPYYLRMLAAHGDQMEMHLTDLDRDNDNSVVLLQETQKVELLGGCWHGSDELFKATTPYHHEWFSLYRMVEQEEIPVHDIKNAIVVQSRDSCTRTYMIPASCDFAALCSGNAFYYLWKQFDDGGSYYALYKKCLASEELTFVKRLPEDWKLSDEWFMPTLKIGTL >KN539107.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539107.1:8959:16362:1 gene:KN539107.1_FG002 transcript:KN539107.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATAASSSTTTNVAAEVLGLPEAKLNWMILRWAFIDKAISSFGALALAWATIVLLGGFSTLIKQKDFWFVTIISFMEAARLFNSAVDPRDQFILNAPEVVAGQTERFEAMENGSWQRHISINRQVSSSSVTAQGLRAMVASYYAKRCSCGFFLAKLLLMGFALVQYSAAVASVVLAALRLSKQDYVDPADQGSSDHKSIKGSLNLFYGLVLVQGASDLLAQAIFAVADVQLVLRITKAYQLGPLGKQMVTHYILVTYLRCSGGNVREAMNMDLVSFAMELVRSNSVADRLVGVSVLDSILRVPKYRALALMRLRASADTVGGVVSMLGLTNNTREEVNTRGHAAGVVLELSSRDLLLESFPAMLPIVSSLIVAADSSGNDVTVSMEFTWFGVKILNKIMDNPDNCNKVADADGQVIASIVNLTAVTDDDRSLSSISSSAVRDEEIILEAVQVLHKLVSAAGDSGRVLRCKVSDNVYVLRNISKILQHPRSQVKLLVEAIGVLACLALDETGREEIASSPQIIRKLVSFLVPRSQMISEISADRRQLAKPNAEALVMLAMDNQSIVWKIQEELKPQDMQKLVEMLSADSTEIKTIVAKLLGILHANSRAEHAHLQKTIINTALPALLKAIKSEVEKLEDPVLYAGEHANNFQEWRTKQGALLESFVGLSVQICTSIHASDFNEALRSANVTVYMVMQKLRKILDLYKSPAIEFPGIRRVAVELIIWMKQCSSHCNEVFFQCEMDKALKEVAGTEERLEMFKIFYYGVGIVKHSEPISSLVNLALGL >KN539107.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539107.1:126407:128876:1 gene:KN539107.1_FG003 transcript:KN539107.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MEEYDPARPNDYEDYRKDKFKRAKEAEVRKELERRRREEEERERERELREREGRDALNISGEEAWKRRAAMSGSAAPRAPSSPPHGDGFAIGNSSSSGLGLGAGGQMTAAQRMMARMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDENSSKQDKKPKSVNFDGPPTRVLLLRNMVGPGEVDDELEEEVASECSKYGTVLRVLIFEITQADFPAEEAVRIFILFERAEEATKAMIDLEGRFFGGRVVRATFFDEERFGKNQLAPMPGEVAGFD >KN539107.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539107.1:60182:68840:1 gene:KN539107.1_FG004 transcript:KN539107.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQDSHDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCVALIVSLLRSDSVRACEAAAGLLRNITSVKLYRDVAIESGAMEEIFSLLCKSTITPEMLEQSLCTIWNFSIDENLRYKILSSGMLTRMVRFLDDEDIKVKEAAAGIISNLALSHSNHGALVEAGVIPKLKGNKESLDWNLLGKDILLIFYDEVQLLQNKEDDYKIIRKEAKSSLLALSTDEYYHTLIIEEGLVRVPLVGSAVYEAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVGEKETEPDEAKVNAMIGRSNQQFLARIGAIELDDEGNEQSGGSQRNDLYTILPWIDGVARLVLIIGLEDSSAIAKAAKAIGDASINEHMRSSFKEAGAVKPLLQLLNHTDVPVRKAAVYALEKLSVSSIVCEQIKTDRGLKLLADIVKDPNTPVEQLEKIIIILSRIFNTGISMVAVPDSSASNGSEDTMHSEKSNGSCGDIDGGTNGTSFAYLKQEETSSVSIIDFDVISRLTRVLRETSPSLQEQVASVLEHLAAFDQHATAMTAARIESVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLDFDIFVRSINTEKCIALLRKMLKSSIPLQSKDWLAACLIKLQSTAGLSGHESVSSIDMEITIYETIPRLVDQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAIATAGGIFPLVKMIEEGDGDALEASLAILYNLSMDPDNHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >KN539107.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539107.1:48337:50906:1 gene:KN539107.1_FG005 transcript:KN539107.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIASRAARSIELGGSGGGNVAPSDLAFVLPASLIAHNAEGLKRMGNWWLRRSRTSGG >KN539107.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539107.1:130207:133498:1 gene:KN539107.1_FG006 transcript:KN539107.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTLTPKRRKAEASPSPSPSPMGDSSDGGYSDSDLHDAEDSFYSARSGSEDDRQDSSSNDDDDSEEEEQEEREMDEEEEEDDEEDDEEEEMNEEEDDDDEGEEMNELEKEYRTLQNNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQEALLERNRATKDVTDTENSSELNGEDDEWSEVQKLQKRITPFRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLGVFGEEVGEHENNKEENNTEGDPELIDDSEFYQQLLKEFLESCDASASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKVANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTA >AMDW01038534.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038534.1:464:810:1 gene:AMDW01038534.1_FG001 transcript:AMDW01038534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSV >AMDW01027081.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027081.1:161:340:1 gene:AMDW01027081.1_FG001 transcript:AMDW01027081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPQFRYTQPPSKVIHLRNLPWDCTEEELVELGSPFGKVVNTKCNVGANRNQAFIEF >AMDW01023745.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023745.1:54:236:1 gene:AMDW01023745.1_FG001 transcript:AMDW01023745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACQDALEKRVGNQLKEAMHEDLLIPNTFVGTLYDVDCMERMLEQIIATNTLAFSASLEI >AMDW01077378.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077378.1:62:682:1 gene:AMDW01077378.1_FG001 transcript:AMDW01077378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDDVSIMSNLLPPWGFSRVNFEKLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCQISGPICQSLFSLRSLSVVDLQGNDLSGAIPEFFADLSSLSVLQLSRNKFEGLFPQRIFQNRKLTAIDISYNYEVYGDLPNFPPNSSLIKLHVSGTKFSGYIPSSISNLTGLKELGLSANDFSIELPSSLGTLKSLNLLE >KN546783.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546783.1:129:447:1 gene:KN546783.1_FG001 transcript:KN546783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDE >AMDW01010209.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010209.1:18:107:-1 gene:AMDW01010209.1_FG001 transcript:AMDW01010209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAVRRRAPELVAPAAPTPRETKRLSD >KN542615.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542615.1:566:5536:1 gene:KN542615.1_FG001 transcript:KN542615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVISQSGVMPMLDRAKDIISGKTSELKKILNKLEKIIEEGSQFLPPSVGTPGNGTNDSNVSNTANKMTGTVTTSSALTEVIIGRDKERDEILRMLHETADDCEPSSWNSKCYSVIGIYGIGGSGKTTLAQHVCNYERRDNYFCLIMWIHVSPSFSVSKIYREMLEAASGEPSHFFCNLDSLQMKLESALTGKRFLLVLDDIWPEKDVNSQYKLDQLLSPLKVGKRGSKVLVTTRFADAAMSLGARDPLKVPDLIEKDFLLLFMHYSLDGVSLDSREVEQFQTIGEEIMKKLKGSPLAARLVGARLRKQLNPMFWRRVENQDLLTHTMGTLWWSYRQLDGQVKRCFAYCSMFPPGHMFARNELVKLWMAEGFIKTTNGDEQMEDVGQNYFDELVSCSFLQTTKTADGKQICKLKKLRTLIFTTTFGGSAITIEALEDMLKKLRKLRVVQVCLDGDMMMIPASICDLKHLRCLTVYSFGWIKVNLPRNFDKLYHLQILEIPNTGDFSCSNVKRMGNLISLRHVRYPRNYLLDSVGLTFPRIGELNSLRELSDFWVGKEKGHELQQLKNLNNLHGTLRISGLENVGSKERALEAKLTNKKHLTELSLAWNQDHICNADLHMEILEGLCPPSQITSLRIYGYHGWKYPTWLSQNQNCPVMSLQSLHLWDCFNLEALPNIAELFGNLRELKVFNLPRLTILPRLPDRLKMLHIHECKALVVTCVEDVEMIRSMLIERVSQTDLAMKITHPEEISTFSSEQPDMFNVMLRDIIGLSSPVPENSENMLASIIPFICCQIEPEDYSRLLLPASLECLRLIECIITDTVLQNCLLGCTCLTILVLDGVPFCKAIPYDMLKSLVELYINDCVHFTRLQGVADLSNLRRFALGKCPSIETLQEAEKLTALDWLGVDDISLVSQLLSREACSSLNHLLIQDSKEPREEEILEQLTSLKSLNLSDCNWINLPENLSSLTCLQKLKLFMCKNVRSLPTLPACLKSFELDHCDELFMKSCQKSGDPNWQKISHVQYSNTSMLVPHRMQPVPSLPSSTEGSSLPRIATMRAKCG >KN541988.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541988.1:10860:12317:1 gene:KN541988.1_FG001 transcript:KN541988.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETTKEIINNLSEEPQRMYKVMEMELSLMYDILYTKAAVVHTWIGYCIRALSPFAIATSFLLFYFSGSEAKDGQNGVDTAVTYVLFGGALLMETTSLLSALGSSWTLSFLCARRWSWLQHVALCVGRWHQLRRAVLAVRKRVAALTGGLLGGSRNWSGTIGQFNLLYFRAAQVNPTNKQFGRLIKKLGYGDEWDTGCYSWDITIPELVKQKALRMVSKYDLNTMGLLRHNWGELALKKYPELKKRVEQNNDLKELWGVDFHESIIIWHVATDLILERERKSGEDDAKEVQRVGLIRALSNYLMFLLVAHPDMLPGLPQKWLYQRTCENLDEKCKDRRDELISSGGKANNVIFKVLMKLFGGHNNSSTSIGLRQTNALAKILYKSLPSKFDTAIPRLTYARLVAMEIIKWKDEDGQTMKKPDPVKVLLNLWTAFLLYAANRCNREAHAKKLNTGGEFITIVWLMVEHIYKTKTKGKKVVPVGQ >KN538841.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538841.1:188499:191529:1 gene:KN538841.1_FG050 transcript:KN538841.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQHREESSEAGHGELHQGEMSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQHREESSEAGHGELHQGGSSEVQADPNDELTEADKMGEEGNLQGDYDHLTPAERRGSSEVQADPNDELTEADKMGEEGNLQGDYDHLTPAERRYMEQKQKIDMHKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >KN539273.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539273.1:91854:93066:1 gene:KN539273.1_FG001 transcript:KN539273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMFGGAGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQCKVLSFLGYDTVKL >KN538841.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538841.1:163474:171147:1 gene:KN538841.1_FG053 transcript:KN538841.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MARLLVLRSAPYHRSHLSATATFLLSPSNPKHPHSASASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSDDEQVLHDFVGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRVSLLLGTKFSVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMTMLKERVGQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGGSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVKDIVNYFD >KN538841.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538841.1:195202:198608:-1 gene:KN538841.1_FG054 transcript:KN538841.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVSGDVRQQNFSESGTVIMGRQTARRTPSSVTQMPESPPACVNLAKDLTARCRARALQLTDDEILSITQDLESAIENLCDYIGRVPASALGSNAYADCAVKALSVRGFLEDDMLTNVVNNMPNRKSFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTDPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTLRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHGPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDELNLSIIRVLLALTAHAKPLAAVVSVVRENHRGHSIVELMSSWTEALGVAATRLLITLSAHMGHTIAERLCKAQGQPRRLVRSIVRAGRAAITERHAAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMEHDFASVLTALLAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPLEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLIEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESVLRRLHKMPDYSATYMSVEF >KN538841.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538841.1:215200:218345:-1 gene:KN538841.1_FG056 transcript:KN538841.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATMGGVKVAGGGGGGVEKVRCPSVAAVARSRMRPWVLRATTTVLLWTCVMQLTAVGERWGPRVLKGWPSCRTAQEAASAALAATRLPMPVPVVEKAPLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKQRVELGMFHSMPPISWSDISYYRNKILPLIRKHKVLHLNRTDARLANNGLPLEVQKLRCRVNFGSLKFTSEIEELGRRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSYAKRKDGLCPLTPEEIALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLQPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDQYNSGSMLWDEFSSLIKSVHANRMGAASKRTVIHDKPKEEDYFYANPQECLRDPNLLRTS >KN539273.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539273.1:20539:22052:1 gene:KN539273.1_FG002 transcript:KN539273.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPDAEFRPELFLPHGTNIEIPWNGRSPRADFTFQDWHYQDRVLGRVLVKARYKTVSDMPSKLVMSDAMAYGGQDEDLPTIWEMIPPNPPLNEHKHQGNWGNNANHDIKHNHNGLEHEIDHMGEQQDENDMLVEPIPGEQQDSITALGDSPSPAKRQKTSRIDNPVVRALQFSVIDEASQPAPITPKPRKSRPKVPITSANLRRSPRFLGQDKMDLAFDVPKKKSKVQPIKKFKFEAGKGLPPPIPVLTAAEDWGGLSWAAS >KN539273.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539273.1:69453:71351:-1 gene:KN539273.1_FG003 transcript:KN539273.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHNLGLSIHVIYIMFAAHQILGGGFHLTNQDVNMTMFGKSLQSKVGDATKSYMAMHQTYEGTYFGFVATLDVYGFEINYSQRIISSVWIVNRGPNDNLEENAIRIGWQVFPELYGDSHTHFFTYWTRDSYRTTGCYNMRCPGFQLTLGSKITPGDVISPVSDVDGARQKITIKVSRATSILFGGSVLAVEGASTPPMGSGLLPSILSDKAASIEDILLVDEDGKIAPFDVKTIKDETSDLCYAMTPIFGESTSRCLYGGPGGCVVG >KN538841.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538841.1:208766:211329:1 gene:KN538841.1_FG057 transcript:KN538841.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPGHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEMRRSLRPLELAKQRSRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRSSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGKTPYEGTDGFDIYMCTQQQEQREEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >KN539273.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539273.1:57713:59026:1 gene:KN539273.1_FG004 transcript:KN539273.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFAGAGADERLRKTFACYLSTATGPVAGTLYLTDRSVAFCSDRPLSFAAPSGQTAWSYYKVMIPVAAVAAAEPVTMKESPPEKYVHVVTVDSHDFWFMGFVSYDKAVHHLVHAVSSQQRGGAAAATDTAAVAGDGK >KN539273.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539273.1:113066:114350:-1 gene:KN539273.1_FG005 transcript:KN539273.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAKKLPPGFRFRPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPVPHAPGARPKFV >KN539273.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539273.1:36934:41370:-1 gene:KN539273.1_FG006 transcript:KN539273.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNARVDLRGGDRRRRDNLVSSCTAVCPPVGGDDDAAPDDGDGHTIAIIGAWNGKCSGVGCCQANIMLGYPSYTIQIKQLQEKNLHSFDFQYIAYITDETLNFTEEIAGRIATPAALPATLDFVIRSNSSCSTTPANSTAGAECRSEHSFCEDYKGGGNALLGYSCVCSEGYRGNPYVAGGCHDIDECHSPGYCYGDCKNTEGGYLCQCPLGLTGNASIPNGCKDIDECMHPESYSCYGQCVNTFGSFQYHCHSGTEGDPTIRGGCIKIKHSVSGLTIGLGVSGGISILIMALSAPFIVRKIKLHKVKRMKEIFFKQNHGLLLQQLMSQNANIGERMILTLRELEDNFDKTREVGGGGHGIVYKGILDLHVVAIKKSRIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGSLDDHLHVDGPISLSWVDRVRIALEVSRALVYLHSAATTPIFHRDIKASNVLLDDNLTAKISDFGASRYIPIDQTGVTTVVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPIYRTDRGDSLVPHFASLLEEGKLVEIIDPQVKEEENGEVEEVATLAAMCTKLNGQDRPTMREVEIRLENLRAKTKLAPYNIEPSRYEVSRVVGHCISARGDIEEVIISRQYSMEDEMLSLERYPR >KN539273.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539273.1:79108:88699:1 gene:KN539273.1_FG007 transcript:KN539273.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAREHVERIRRERFYIGREERNPLAEDIHQAVTYLSQELYSKDLHFLMELIQLRLAAFFTCVISSSALNAEDNEYPSDVEPALEFVIIKKDITATGAASTLLVFNNERGFSAANVESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEEPSEDCDIGYIVPKWVDEKPSIDDIHAVYGYSKKLPTTTIILPLKSDKILPVKNELSSTHPEILLFLSKIRQLSVREINDDPKASKLSQISISSEVDYKTQKDINAESYTLHLAMQENKRGDKEECTYYMWKQKFVVKPECRDKKRMEVDKWVITLAFPHGQRLSRGARSPGVYAFLPTEMVTNLPFIIQADFLLASSRESILLDSQWNRGILDCVPYAFVGAFEALLKSSSNAPSFALPPIFKFLPVATSSIPVFDSVRLSIKNNIATKDIMPCESFDTQKVFCKPSEVARLDGAFWRILIMAKKRGINLQNLCSHGTSVLNSYLDSKEYNDVLGFLGVGYVNHEWYGKFIDGSNIVTQAPEDIYLEILSFTADNWWLKFSNTSMSDVPLIKYVTGADCPSYCSVSGANMHHKRICIASNVNDLPWIIGWSKELSTTSNMFFLPLNTQKSLNTFCRRTQIIEWLQKIVKLEIRNLYEYAFAASKTIYKASSAIAYCHFLYHSHASKYITEESIINLCHAMPLVDKCGSVIKQKNALLVPAEGSNWFTLIGTNPWRSQKYIDLSADYMSSGTYAGNYTPEGQLITFLRTYAQAMDVPFMHPPNASFPSVSSPLARENALLLLQWIKNIRSSNISLPHNFLSCIRNGKWLKTSVGYKSPSESFLSSVGWGSKLQIQFIFSGVPIVDEEFYGSKLRGYVEELRAIGVQFEFANANLRIADQPLSMENVILLLQWIKDLRSIGVQLPHNIRSYIRNASWLKTSIGYSSPSRSFLLPAHSGNLGQIISVFSDVAIIDQEFYENKISAYKDELHGIGVQFEFADASVHIVNYLMSKSSNGALSRVNMFAMLQFIRNLTENNLTSVNFIEKIKKGCQFKTCLGNRLPVNSILFNSEWENASVISSLPFIDTLFYGEDILEYKPELELLGVHVAFKQNYQLLVDNFSLIRDQITPDVTILMLKCLRYAETPQHFVKKLKELKWLKTCLGFRAPPGTFLVNDDWKCLLNIVDDVPLLDLKFYGDEIRVYAGELRMVSVIVGFIEASKAIACRVTKLLCSSLFTEERGVAMLECYRELSTKHGKLPVDLANCMKHERWLHTSLGFRAPQEAIIFGSEWEHVSKISNLPFIDDYYYSEYGQGKGISIYRDELMALGAKAELKHGAPFVISGLKIPHDASAITPEAVISLLKCIRSWKMLGSALPDNFMSSINLRWVKTTAGYRHPKNCLLFGPACSSLHRDDGPFVDEVFYGQEILSYESELHTLGVIVDARAGCALMAQCLKSCSNGDAISRIYSYLEALRWKPRNANDNWIWVPQGSDNGQWVSPDRCVLYDRNSLFGSQLHVLVTWYDYKLLRFFKTVFGVKGHPTIGDYCRLWIMWQNSKSTPTPKDCAAFFEFVDKNWNTEIGKYLAGSITKVPVCSEDRILLLPKQDVFIPDDLLLEDLFRMQAEQPLFVWYPPASLSLLSPAKLNEIYSTVGVQKISKVVTWDESEDLKLDHSLTMVQKGTVIKPGLLRIILAFLADPALDFPAEKRHEMVSCLTNVVVYETAMPLTASYQVGLSSGRSLKVKSARIFRWEREESRIFMTKNYGSVSLENAERVQHAAYFAEEISKGLLFERTDRVPALAELITAGFLLDFDVPAVRFLLKFKNVKCYHLDTATLRVTNSPLFICIKVYFFMCKAALDFWTTTCVLVWRMVVYHRGIANFFVLGRQSMRLQD >KN540207.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540207.1:4365:6045:-1 gene:KN540207.1_FG001 transcript:KN540207.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCRKWQEHYYREHMDVSRIRFFRLMTGDFAHGISIPEKVAEIFSGQITKGFNLKSPSGETWRVGVAKVADELILKSGWEDFAKAHELQENDLLFFTCNGHGNGSCSFDVLIFDASGCEKVSCFFTGKKNSYMCKNFNSIGGQVAGQYLSSDSEDTSTPSVLVGSPHKASTSKKLSGKTKTNPRKEPEDPNCSHWHVIEEKNTDDDEHADYHYTRFANYLTGEERDEIFSLVSLQPGNPVFVAVLQTAHVRRRNILIVPTRFAADHLERKSHDILLIRPNRKQKWSVKYYYLSNTTRGFNCHRWIKFICENRLREGNVCIFELMKGARRPTMTVHVICKADNRFVLLG >KN538841.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538841.1:172803:173312:-1 gene:KN538841.1_FG061 transcript:KN538841.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEIASFPYLSSASAVSFKTHYHVDTNDFLLQYNSLLVPQATSYQHVTHLPHETNLPVGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRVTHENCQLRDEQAKLQKQLEKTPVENTESTFMGPDN >AMDW01028294.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028294.1:10:132:-1 gene:AMDW01028294.1_FG001 transcript:AMDW01028294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRSRASSAARITDEQIGDLVSKLQALLPEARLRSNDR >KN538841.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538841.1:222020:225049:-1 gene:KN538841.1_FG062 transcript:KN538841.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIKRLSTDLPVPSIPAIPPIPTAVAPEPDAAELERYGITEDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNNYTSLYENKYFEELKVKAEEEKMDAKKEVTETSQATTAEQKDMKVQSKTSTSTDPEHDLDVFLLGDLGSDDEGPVLYDLFFICLLIDGDDDGLDDDFDKIDGTSGLESDDDDKEKVAGKAESAKE >KN538841.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538841.1:201941:203951:1 gene:KN538841.1_FG063 transcript:KN538841.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPTEGSEPLLPRKQRDGGDDGAASSHDHFDGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLILVMLAAVLTDASIELLVRFSRAVGATSYGEAMGDAFGAFGRGLLQLCVVVNNVGVMVVYMIIIGDVLSGKSSSGGVHHHGVIEGWFGPNRWNGRFSILVIVTLGVFTPLTCFKRVDSLKYTSALSVALAVVFVVITAGITTIKLMRGQIPMPKLFPDVHDWSSTWRLFTAAPVLVTAYICHYNVHPIHNELKDHSQIRPIVRASLLLCLAVYTTTSFFGFLLFGEATLDDVLANFDSDLGIPYSLVLDDAVRVSYVLHLMLVFPIVFHALRFNMDGLLFPSARPLSSDNRRFGAITAALLTVIFLAANFVPNIWDAFQFTGATAAVAIAYIFPAGMALRDRHGIATKGDKYLAVFMIVLALVANGVAVYSDACLGTF >KN540207.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540207.1:49551:60909:1 gene:KN540207.1_FG002 transcript:KN540207.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12D [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/Swiss-Prot;Acc:Q27IK6] MAARGTPMSFAPVTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTERNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRNGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERHPPEHRQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQRVPRSLSFTSDIFERSGVDVDDGTEGMNMDEENDNDAHDRRSLQDLRISNKQLRLLEETLAGAFRRESVAEATVKQLEAEIEQLNRLVNFWWQETTFVIFLEGIINGCLMEALVRDKLPAESYLLEENNTLLKEIDLLRAKIDKNPEVTRFALENIRLSNKLKSYNQFCNEGEREHLLNEVSILRNQVLQILERRAEAEQPNNFPANFELKRTSQELETCRGELQACLEANKKLAREIADLQNELSNIHSSNREGHPNVVEKFSSALNQYDSHAPEKKDQCFQEGFMINTDDILNLQLELDIIKTILAEERTTRAEVEKRITCLDDELNAANIHILQTCRQSETMQRELSDARSVIEALESQQIMLINELDELKESNQQSLEHLKKRDLEISRLNNELDVHRRQEFLAMEEPKVQLLKCFENDDSPLQTKLKRMQASLEKARKLNTRYQRDQASHSSAQQEMDEVSRQVEVETAEVIMCLQEELISLQQQLDASSKNELLANQRIDEARLEREQLNDRLLEVMKDNECFSALIEEKDKKIGMLTNDWDKLASDIGNFLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQSRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQENAMSVLRSQVSNERYVNQQQLQELQRIQLLLDESIESFVQKEVIEQSYISLQRAMEEVIHHLESQLDQSKRDLTQLLSETQDKEQAFERLKNEENGVLLTVLSDVLKAKGVIHEFETGFNAIQSSFSVDPEEVVCQNSDLNLEDRVGCDPTGAFEAGEKHNGDVLCKLSKEMECVVYTMQMLQSQMVKLLQEKENAKEYHFQSQRTIKDVSAKVLQLKSEIIDKEKGYEARLKELEIKMQEKEKDTAESFISWNKEREALELEVSEAKSLAIQKSFEASTLISKFEEAQATISDADTTVNALVEANEKAKLQIQNFKENEALFLSEKERLLTEISSLKMLLDVKDQTYENLLLEKLEFVSSDVEWMKSKLQQFAELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDRAKNELEMCSVLKGKLLLDINHNFSRIAKKEQEATELNSRLDAFEKKILHLQAQEEAMLARSNSMYNELSILVEEIDATNKSALATESKEKEELRHQLDEALLCNAMLKDIIQEDMDLPQVNNYMKGCSEFELCNRLADYHNELVLTNIIAKDIESFVLSSELVQHKAQLQKQELMFIDALDGLATEATLSRVDKDLGSAVIFSLLDDSSKIMIDFDNLKHNKDELMENLHVLSEENLNLRSVVGSLESSIELLQTELDGKTKALMELQYSHTAILEEFKLKSKATELGVSRENDLRSENNLLKHEYLDIVRKEQMMAELVANLDSEKLFVTIQGRLEQVADQVQMYTSDQLNMVTKVSNEIDFIQMSIEGLITHNGFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELIQLTEAIESLEQELDSKSNELDDAVSGRQLLEAQILKSNQKVSALEEQLSSKINELKEVSVEKDELTSKLNHIEGISYTMEDELADKGKAIERLEEELIELRSLLDARSSFLQNLQNDFSKLLDEKKYCETQVLILNEKLEMAQALAEESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELENTVCALENKVDIIKEEAERQRMHREEIELELQKVRQQMLAVPSSGQATSSLEGGMGDFTDSSRHSREIKNELLAAQENIRILQKDVAEKETEIAQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKSDNTSANACSTRPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELSAAKQRIMELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWAALVDNQQQMDTQESAVTQAHESKEQSGELMKLRSQLDELIEERQ >KN540207.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540207.1:20791:23136:-1 gene:KN540207.1_FG003 transcript:KN540207.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDYATTSDVDRLRLEHYSHLDAAAPGGRVCLDYCGFGLFDSGWDSSSSSFTLSELNANLSNHALYGGAEAGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVSWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKRRGRRRDSAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAMDGLEDDSGVHKDEKPASDARNGSQLPAFSGVYTSAQVREAFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKGGHFKKGKLGSPLPSSWFAGRKSNKRMSPNLTSRISRSPLYDGQVISFDAAVLSVSHDADCLKEDPEEEIYENGRRNHFRQVSEIQEEPEVEEVVCQHAMNGGGDHKESAIRRETEGEFRLLGGRDGNSRFAGGRLFGVEEIDAGLSMGRRVSFSTEANIIADRLHRASDAAEASGYAFRDDEGCVSDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPGSKGGDGDPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQRIAEKNGISLGIGFLSHIKVDLNHKQLNGAFDIPEASFYKNGRRDSKKVTIRVEVVTASLGFLTNFEDVYNMWAFVAKFLDPSFLESERLTIAADHSEGQT >KN540207.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540207.1:7401:19118:-1 gene:KN540207.1_FG004 transcript:KN540207.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNAPKDIFSFKFYVQYSQVQEVWCFGVPFPIYVPREDSHKYRTHRHCGCFPAEASSISLQYFQRNNHRMRKSFTTCKECIFYHYWNHMGDQKRSFINVMIGDFVPIGLDEVHSKLDVGLIWLSLQAVPTKFANIIRGQISGVVKLEVPNGKTYDVQVAKEQNELVLRSGWGAFARDYELKQRDILVFTYSGSSRFKVRIFNPSGCEKELSCVMMNNTPCSHEWSMSYHDYHVQSPSESGSMSHTVCMECTASCYWHNMNKRRFFVVMMDVGDFQKELTIPKMFAKNVQGLISGVAKLEVPDGKTYDVEIAKEHNELVFRSGWEVFASAYELEQGDILVFGYSGNSHFKVQIFNPRASLMITIDNHPELQKGKHGKCGYRMNKSGTTCMDCITNHYWLHMDDRERYFFKVMMSVSDIKDELAIPKKFAANVRGKIPEQVRLEVSDGKIYNVQVTEEQDELVLRSGWANFASAYQLKHGDLLVFIHSGHSHFKVLIFDPSCTEKEFSCVVTDSTSHVHERSISHDNHLQSPRSEILGKNYSLCSSRKRSRMNPADYPSQRPDVLSSEDIKDPMSSGGLQKSKMSRYVLPMLYNMTSAQEAEVLALEKKIQPQIPLYITAMDRTSVASGSLVFSKDYAVRYLLDQNRTIKLCQSGGSKTWDISLDMGTDDLYALSTGWLDFFRGNLLQEGDICVFEASKSKRGVALTFHPFKESHCPKSSEYTLSTKSPTRRVPKRDYFATNLTNLTDQQERKLRLLDPKPRSGNLKLDDDLEIECLNNFDLKKHHQTVSISKGPGLLADSHQPEMQKDLVKDTNAVHTTIRMDFQVYIYCRCLDMVALWLAASALLKHGKLALRADWQSGHRMEKSHRVCKNCVANHYWLHMDNHGKSFIKVMITDFKNGVTIPAKFARNFGGQMSGTVKLETRNGKTYEVQVAKELNNLVLRSGWERFASAYELEKGDILVFIQSGNSHFKVWIYDPSACEKELPCIITEQLPRVQQRSISHDNHTRLKRNAKSAKLYVDSSGHTKETSEINPASSPSWKPTERVPSSEELDEPVDLANVQKATKSFYSLPRMCNMTSAQKAEVDALEKRIKPQIPFYITVMDKTSATDGLLAISKDYAVSYLLDKNETIKLCHSGRSMTWDISLDIDTDDQYALSTGWLDFIRNNHLQEGCVPSTKHGVSKPNYIVSRFTTLSGQLKIKVEAKVQAIQSEIPIFVAVMRESFIRGRSRYMCFSAKYAAKYLPRENNKIMRLRLPKKSYKYKAVFKINNKVHKLGGGWGKFVDDNKIKLGDICLFQLMKNKKKLMMMVHIIRKSEFC >KN541895.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541895.1:6627:7316:-1 gene:KN541895.1_FG001 transcript:KN541895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDAEAVTPVLSGWDSWENFKQNAEIHKATRLIVDYARLFWGYEGLLHIILLSKWDPHLDDRWSQLPITMIQQMLINLQDQLEKKSKSFSDPSLRYLFLLSNSYFIREDFLEPSNCVYILTLKFMQYQEKYMLASWEPVLYCLQYKMPLWFPKQSSQLSRFKSEFQKTCRHQKLWKVLNPKLRQKLREAIIDKVITGYKRYLEDHPELEKFSSDLQDMEDMVNELFEG >KN541895.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541895.1:20349:21964:1 gene:KN541895.1_FG002 transcript:KN541895.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASESLQCKVLALRVSIHCEGCKKKVKKVLQRVEVSSFIGEASLETDFFPFSFHFKKAEKNVTKTDRIMCSVDSEGVYRCDVDGRSNKATVTVTGKVSADTLVRKLRRAGKHAEQWPEEQQQQQPNGDLGNAVMLYFI >KN541895.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541895.1:14350:18173:-1 gene:KN541895.1_FG003 transcript:KN541895.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPEVAAAIRDEVFRVAGGRDPTAASFDSDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTLVAKGTRVTYHAYAMGRMESLWGPDCAVFRPERWLSGGRFVPESPYRYPVFQGGALRGVRDQQQLLISRMSTYNAAIIG >KN539485.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539485.1:42254:53685:-1 gene:KN539485.1_FG001 transcript:KN539485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLRSYPRLPDTLTRITKGVDSSPLEVASYLKLLMGVFQKGEDQKGESANMKPIPLLSSYDMGKFNLSHRVVLAPLTRSRSYGNLPQPHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIEKTEENYFLDFSTPRSLTVEEIPDVIKHFTLAAKNALEAGFDGVEVHAANGFLLDQFMKDGGSSRTTDKAAILWSHVQLPFDGSAIFKDQMFPVCMQAFQPNGQAPISSTNKSLKPAVRANGIDVATFSTPRRLETDEIPFVVNDYRVAARNAIEAGKRHPITFFTPDILIRSILNYPNTGFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMMSKCAFQYSNSLPPLIKAAQQPPFVLLLGRIEEIWPLI >KN539485.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539485.1:28777:30091:-1 gene:KN539485.1_FG002 transcript:KN539485.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPKEEYFSVRFGTYLQAGNLQIGFTFKLDSGNFEKCFFVKTYCIIVHWVAARNAIGARFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALALFMANALNKFGILYCDMVEPWMGCFQVRAFIAACGYNKEDGNKAVSTGYTDLVAYGLLFLSNPDLPRRFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDV >KN539485.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539485.1:54931:63283:-1 gene:KN539485.1_FG003 transcript:KN539485.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDSQSASSFPSTQCNVHLLQIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQETSRGAGEREKMDPVPLFNPCEMGRFTFSHRIVLAPLTRARSYGNLPQSHAILYYSQRATKGGLLIAEATGVSSDAPCTNTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDLEQEPISSTDKPVEKNEDMDFPVPRRLAVEEIPDVINHFRIAARNAIDAGFDGVEVHGAHGFLLEQFMKDGVNDRTDQYGGSLQNRCRFALEVVDAVSTEVGPDRVGFRISPYISYFGCHDSDPDALGVYMARELDRRGVLYCSAVEPEMVAATTAVDGETTTMSRRMMMIPHRLHGMREAFRRGMFMVGGGYDRDAGNMAVASGYADMVVFGRLFLANPDLPRRFQLDAPLNKYDRATFYTHDPVVGYTDYPFLDDDREAMSDHTG >KN539485.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539485.1:87424:87878:1 gene:KN539485.1_FG004 transcript:KN539485.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGREVEAAAPSGGRRIRPPEMRPGGCGLDIGLWADCFLYEPLVIGLSWADYPMCTHLPTKRRGQGYCWSTHESSSVSFRKLLRLAKVKPEEIQRPS >KN539485.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539485.1:17838:20084:-1 gene:KN539485.1_FG005 transcript:KN539485.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVRRQVTFCKRRMGLLKKAKELSVLCDADIGVVVFSPHGKIYELATNGNMEGLIERYKSNLPEAQVESTEQNIPMVIQQDVLFLRREVNLLQNSLRYMYGEKDINHMNLGELQSLESNLEVWVNNIRSTKMQLMSREIEMLKNKEGILKAANDILQERIIEQTSILDVRGNMMIPQVPFQLTTESNDYF >KN543096.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543096.1:2531:4975:-1 gene:KN543096.1_FG001 transcript:KN543096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNGAVDSLLGVLSKVVKDEAKLLGGVEGDIQFIRDEMDSMNGFLLHLTKSKTPHNDQLRAWMKQVRDITYVADDCISLYMRDLIPPEDVLWPWLRHIPKRLRTFPARRRLAIKIHKLKDRVREVGERRLRYDVKLPEQHNSEESLLLDILNKCVEIEGDHVAIMKMLLRALYAYPYGTVEELEDLSKKLEPKGADVAKLVMIFCYSKLPTHCKSCLQYLVTMGEEDKISRACLVRRWVAEGLVPLRVVEPPLTTTMEEAGEVCFKELVFRGFLLPAPPPPQDDGVAVGTCSLNLKSCVVDEAVKKFIPDISKSENFVVDLPTHLQHQLKIRRMLFKVLPEEYRLNVIDLGGCKRLEKSHLKSICKVTSLKYLSLRNTDVSSLPKKMEDLWQLETLDIRDTENMPATAMRRIYLHNLKHLLAGDNISTDGGGEGNKNESGSTVLVPHKIGKKTETLRHVRIKDGQADLARIGSLEQLRKLGVVLDGSQGNITHLLEAISKRRDTLRSLSVWITEPPPPPAAEHMAGDDVFVTLDHSQEEILAPSKLESLDLKCFFKGGNGGDKRGYKLPTWINEKLQALSKITLRYTLLRDDGLRILGKLKSLRCLRLLRKSYIESEVTLEEGEFGELRLLLLDHVSDKTALLIKARAAPKLEKIVWNLNKTKTLVTISPDDISGINNTAILRFKAADAAAPTKEKVDWNCNSTRKITPDIMRGSIEFNLVQEGAQEFLK >KN539485.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539485.1:69380:73078:-1 gene:KN539485.1_FG006 transcript:KN539485.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit beta 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/Swiss-Prot;Acc:Q9C667] MAAPPPPLSGTGKPPTLPFSLKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDVAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIEKTASALVSELRLMSREIEDHEIAHVAAVSAGDDYAVGNMISDAFKRVGRKGMVRIENGRGTENGLEIVEGMQFERGYLSPYFVTDRTNMSAEFTDCKILLVDKKITDASEIIRILDSAVKEDYPLLIVAEDVEEKAMADLIKNKLKGTIKVAAIKAPSFGEQKTQCLDDIAIMTGGTLVREDMGYTLEKAGKEVLGSASKVVVGKDSTLIVTDGSTQHVIEKRVAQIKGQIENSSERYQKKILGERIARLCGGIAIIQVGAQTIIEMKDKKLRIEDALNATKAAIEEGVVIGGGCSLLRLSMKIDRIKESLDNMEQKIGADIFKQALSYPTALIANNAGVNGSFVIEKVLLNDDSRYGYNAAKNRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVIVEAKEGKPVRIRPPMPPKSLIPPMPASASGIRV >KN539485.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539485.1:10274:17367:1 gene:KN539485.1_FG007 transcript:KN539485.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNLTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVVSHILTTFLFLESLLSSSVQASILSLLLPADTFDPEQKKLQKWDDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQVALQTLSHHGWLINAKVMLYFVKLNEKKIWEPGSPGEEGIQSSSDERSANSATAAATEEQLLFIMMAQWSGIFHELG >KN539485.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539485.1:30973:33801:1 gene:KN539485.1_FG008 transcript:KN539485.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRSETELPIRNSKIGRAQYMHSFIQWDTAGQERFRTITNSYYRGAHGITIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLTESWVVETAAAQKIWEPGSPGEEGIQSSSDERSANSAAAAATEEQLLFIMMAQWSGIFHELG >KN539485.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539485.1:96404:101246:1 gene:KN539485.1_FG009 transcript:KN539485.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVRPSGRASRPSRAYLRNLSLCSVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAATPARIQGRTSPKRIEGPSGINLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGRVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASTSYPSSYGSTHSHKQLTGGPVNIEQSSMSSMSEDGTRNMSNGSQAARYAANPQDISQSITMPYDMSSLRPEEQFAGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPLNPFDDWSRLQENRGGVDDYLMEEIRVRSHEILENEEDMQQMLRILSMGGSSANMNHGDGFPPFMPSPAPAFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >KN539424.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539424.1:30350:33047:-1 gene:KN539424.1_FG001 transcript:KN539424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLRIGKVLDCFSFSMCCARSSGCLCLRASEEDDDEEAAMERKSLVSSSSQVDQVIRLRDLVDGTRTLGFHLEPKTVELKVSMHCNGCAKKVQKHISRMEGVTWFEVDLEKKKVVVTGDVTPLEVLQSISKVKFAQLWPLPLPPQPAAPATVSSA >KN539485.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539485.1:34162:36306:-1 gene:KN539485.1_FG010 transcript:KN539485.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVFSPHGKIYELATNGNMQGLIERYKNKSNLPEAQAESNEQNIPQVIQQDVLLLRQEVDLLQNSLRYMYGERDVSHMNLGELQSLESNLEVWVNNIRSTKMQIMSREIEMLKNKEGILKAANDILQERIIAQTSIMDVGCNMMIPQVPFQLTTESNYYF >KN539424.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539424.1:72905:76087:1 gene:KN539424.1_FG002 transcript:KN539424.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGHGPRLLGRFPNGRVEEFIHARTLSAVDLRDPEISAIIASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCPSDEAKEFCLDSMENEITALENKLSEDYQCVGFCHNDLQYGNIMIDEETKLLTIIDYEYASFGPVAYDIANHFCEMAADYHSEKPHILDYTKYPDTDEQKQFVQSYLSSSGEEPDAEKVNNLIKSIEKYTLASHLIWGLWGIISEHVNDIDFDYMGYARQRFEQYWLKKPAILTCHVVE >KN539424.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539424.1:10789:14284:-1 gene:KN539424.1_FG003 transcript:KN539424.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVNPRQTGKSESLTPWQSATEAAAVPPTAATYALYLSALAARRLPDAALHHLRLISRPGSPVPPSPTAYRVVVKSLVVDHGRLTDALELKDEMLASGFVGPDPQVYNLLMAGFVEAGDGAKAMELYEELKEKIGREPILDGIVYGSLMKAYFLMAMEEKAMECYKEVLGAESEVRFGAESYNGVVDAFGRNGRLDDAIELFERMLREHDPPLKIAVDVRSFSVIVDAYCAAGRFGDAISWFRRMGEWEVVADVAAYNNLIRHLSLQGLVSEAELLYKEMGESGLKADEETYVLLMQGCFRVDRIDEGINYFDGMAELGLKPDATAYHKIVDGLIGFGMLDNAHGYLDKMRGKEISPSIASYEALLKAYIGEASLDKSIDIAKDILLDEKVVFSNEMRDLLEGALREAGREGDITKLYEDVEEKKAEAAARAEEEKARAEALAREEREKRRAEAAAKDEAAARASAAAIEAILGHKRKTENVTGEPVPDANSLDGVFQSRFGITSAGDGALQGTSSGGETKQGDEH >KN539424.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539424.1:36361:41931:-1 gene:KN539424.1_FG004 transcript:KN539424.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEETEEEEEEEYESDLDDAPLPAVRRRDAASDDEEEEEEEGARPSPPTKAGSDAESDGQGAAEVYDDDDAYEDDEGYEEYGEVYEEFEQGRGGAGGVPTGAVAAAGEEAGMGMKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGRGRQRRMVGDRRLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRGRFRGRGGAPVGKVRGGSRDNFRGNRSQTYYRDGAKNYIYVPKEPHSYHDNTKKVQQVLNDNGKNRTIKPPNPRDGDANNFDFVRKESRPLYGNAKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNLENTSSNANLGKHQHQASNSQPERGFPMKQSFASNLNSASPPFYPSRPSHQELPVSQRGNGQPSTTTRPFSSPIGMEHVSPTPQYGPLLRGKAFVPSAGHGKLHAEVPIKGMDHPSFHSSTSSSTSQFPIATNQVTGNSVKSPHPIVQQRLVQSFNQSTPKMPGQMFAAQFASSDKLPSSMQSTSTILTEGTEISAPHGSNKSNTRLMAKGQHSDQGEEHASFMYGGAQVLGTTGSLGDENFHGTPALFPVMQFGGQHPGGTGVPSIGMALPGFVSQQQLGLSNSEMTWLPILAGASGALGATYGSPYITVDGSYYPRTSEHASSSVSLREPSASSQLKSQEITGKGIFHPFPFILSSQLIFGDELWQMNIVMMVLMRTSSVRFRSVQDFYLKAFLGPCNTSVSDLQHFGLYPE >KN539424.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539424.1:57298:59795:-1 gene:KN539424.1_FG005 transcript:KN539424.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPILPCEVVRMLAANGVARVKMFDADPWTAGALAHTGIQVMLAVPNDQLARLAGDPRRAYRWAEQNVSAYLEAGVDVRYVAVGNEPFLKSYNGSLINATFPALKNMQRALDNLGLGDHVKAVVPLNADVYNSPENKPVPSAGSFRKDINALMVDIVNFLNMNNAPFVVNIYPFLSLYQNPNFPLNFSFFDGGSKPVYDKGMVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEVGWPTDGDKHANVRYAQKFYDGFLKKMVRNIGTPLRPGWMEVYLFALIDENQKSVLPGRFEHCTPLGYGSSCNNLSHDGNISYAFNIYFQTMDQDVRACSFEGLAKITTINASQGGCLFPVQILSASERVVPLRFLPTSFLILLIVVSILT >KN539424.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539424.1:3971:10323:1 gene:KN539424.1_FG006 transcript:KN539424.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53350) UniProtKB/Swiss-Prot;Acc:Q9FK07] MAALASKVAQMQAKACDAARFAAKHGCAYHRALMEKNQKYVADPPTIERCQELSKQLFYTRLASLPGRYEAFWKEADQVKNLWRNRKDLNVEHAGVAALFGIELYAWFCVVSRSDIVIKSRFNEGALLMQVLCVAVHNHYKRIYSESSNKRSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDGRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCVEAIESDDLIAYGLIPEFIGRLPITVGLNDLSEAQMVQVLIEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAAARETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFNCFSSIYEWVVSILCKANIFTQ >KN545448.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545448.1:117:1010:1 gene:KN545448.1_FG001 transcript:KN545448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSVSRIALVKPDEARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTRTSKQTKVTCILYVLPSGSLMIFAMELGLPLEKLVYLNLQNLHTITKVQLEEEQSFLMVVYNPKVSAVVDTCHPRYFWCSHRRTSTGLEVAFRASLIT >KN539424.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539424.1:77910:82246:1 gene:KN539424.1_FG007 transcript:KN539424.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFELDSHLPPSNWIVVRIDGCHFHRILFVRFSKIHTFEKPNDERALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWSLVKSGKTEKEAQQALKGTFSKDKNELLSQQFQINYDDEPAIFRKGSCVYRDKVETMVKTDRCGNPIKRTRLVITNANVDIIGPEFWENHPYILREALRLMNASASLMMESFPDIVFGYGFSNEYSFVFQDKTELYQRQESLILSSCTSRFTLFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKILCDYLSSRQAECHTTNQYNTCFWMLVKSGKSENEAREILKGTLSKDKNELLFQQFHLNYNNEPAMFRKGSCTYRQKVEESADAEGRENTTRERWDVIVAHVQFQLTELRIVMIYLYLAEWKIRLKQRRGTPVW >KN539424.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539424.1:94921:98610:1 gene:KN539424.1_FG008 transcript:KN539424.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEAVPMTGEEFEYLACDVASKDAAQFAAEGKVGVVVSGGEGQGEATGGRNGKGRGRGSPVLGVGTVVFTEVLGRTLGGRPLLSARRLFRRVAWHRVRQELLVWNHMIMTYELMAPICTMSWHNLYALAIKQLNVPIKVKIFEWNAGGLLTRIEGLRAFLPKPEMMTRPRNFTDLKNTVGQQIHVCITRIDEKANELIISEKEAWAMTYLREGTLLQGTVRKLFPYGAQITIGDTNRGGLLHVSNITRGQLTSVGDVLKVGEEVKAIVIKSTAPGRIALSTKDLESEPGLFLSNKEKVFSEAEEMAQRYRDQISEKHQPAELDSSFDEVAPFDDEAVSYANWKWLRFSKSDKTNFNPRAESGL >KN539424.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539424.1:104037:106700:1 gene:KN539424.1_FG009 transcript:KN539424.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSRSGSTKAKDLSKGSNNKGYAAAGKDAGFESSPVISEPVLVTPHNNEAVQEVGRGENSSLQGEVVVRDVSQDLEKQNTVVSDASNDPERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRATWLIVKFQALVRGRNVRLSTNTIQVNRKLVQQQSGSDKRDAWKEKLSSNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTIGRVWRPISHPKRAAVTDAKPHTRKASYAMETESGKLKRNSRRSSAAPVESSQTNMAMETEKSRRNPRKFTSSTADSVPESQLTELEKVKRNLRKVTNSMAEASKVSTPATEIPERQEVQCEKPQRTAEEVPNYPEIQEPKNGNLLENAKTDILVPDLQPEPEVPSYQVETEEKVAELTVTDPAVETMPLQDIHNEENALVNDMEQRSKEEPLSTESLKSSKRRSSFSTKTEYPENGSKNSPAVPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSNGKLNSHSPRTQRPTHAGGKEGVKADKSMLSSRDASGKL >KN539424.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539424.1:44570:53264:1 gene:KN539424.1_FG010 transcript:KN539424.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNKIGSETSSRLYMSTEGSQQKELVTINHKQRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNHKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTSPAEIQKVAPPRAADPSSKTTADVKLEISKSLIDFDSDFEPRQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPMVQILNSVSAPQISFPTRQSTKSLSFSQASNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGVASQASIQGSHALPSNHSSNILVGTLTSQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANIVGPIELLFPSSEARLYVRLLRRCARDALAAGAGAVHGHVLKRGFASVSLVSNVLMDTYAKGGLMAACRHLFDEMPNKDVVSWCTFIAAHASRGRCFEAIGLFKELLSSEVKPNRFVISSVLNACARSGVIEQGLMVHGLVVKSGLGADRFVEVGFVDMYAKCGNVGYSFRMFNGIPVKSSVAWNAMISGFVENNCFMDAVDLCQDMHRVGIAMDVVTLRVVAGVAAILGAFELSRNIHVYALKAGLGRDCFVVSELIRSAGKAGETQYIKKLVPAVRRHDASLYSLAISGYHSNGCQGEAVKLAEVLLYSGLNLREGDLVTVINICQTKEEVQQMHAYTFRTGNLSYTNVCNSLISIYSEIGLLIHAESIFKTMQSRDVISWTAVMAGCVKNLQYERAFGYFLELRNSGEPLDQHCVANIINACTRLEDMYKGKQIHSLALKLGLLLVDFISASLVNMYAKCHHIEWASQLFSSTLFPRNLVIINAMISGYCWNTLPQKALLLFCREYRSGLRPDHFTFSTVLGACADIGAKEAGEQIHGHLVKIGSEYLDVVVGNAIINFYVKCGCIANACRFFHSMRSRNINSYAMLMLGYIQNRCSDEALQLFSMMQQSGLRANRVTFARILRGCADLCAIHLGRQLHASIIKMGLISDVYVANALVGMYKKSEGWVESKRNSKETLAPEQDSKDNCYSEQRDIESTLEEIGLFTLEEEKDKETFADERKVYTGAASVFGRDLRTDSIIRNWKNAKCNESGHLLNHKNAEYQEIGGEPFKLFALLQEDSRRSDKFVLLVITDNSHLKTKGAGFINAELARRPGFAPALDFPP >KN539424.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539424.1:19863:19997:-1 gene:KN539424.1_FG011 transcript:KN539424.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYNNDNLVERDAHGRVATAACAGAPIVPGGPMSRAKSTAE >KN539424.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539424.1:1057:2904:1 gene:KN539424.1_FG012 transcript:KN539424.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLACCTAEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRRDFLHWWNK >KN539424.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539424.1:62403:71514:1 gene:KN539424.1_FG013 transcript:KN539424.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRMGSSCQQEDDYEGTKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYHPNLVFSDAKLGLSGLSESDEVTKELNEKVDRIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRCGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGDELGCLISNSFALEQLKLTYCKELNYFKIPCVLQRLSKLTVFGCTTLQLIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFYTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVFSEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIDGSVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEDIWYHIHSLLPLQDAARTACVSQIFLRSWRCRPNLIFSAKTLGLNDNWLERNKVIGELNGKVDHIMKNHSGVGLKTFGLRSYNLVDACYLDCWLQIAVTPAIEELILSLFPEDKANYYDFPFSLLFNRGGSSIKHLHLSYCVFCPTGGLNCLRSLFLYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPIIETLTVFSVSEIINTPIAPLRFLHLKHLTVFLHTVPRAVSPTYDYLSLAYFLDAYPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIIGFCSAKSMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >KN539424.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539424.1:82426:87306:-1 gene:KN539424.1_FG014 transcript:KN539424.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASSPLLPRRGHQLQEPAMAASQMRCGGRRENQKGLVQRCAETMMLFCGVDCGCGALRLWRRVLLRKWLNVGSGSGDSDFSADECDASDGELDGEDRDNESYGEGTSLDGLGAGTIGRADWLPYVQHKHLFDKTDMAGDEIKSMPYRLRRRKSETLRTQYIDIRELRICVGTWNLAGKFPPSDLDIQDWLDKEEQADIYVLGFQEIVPLNAGNIFGSEDSSPIAVWEHIIRETLNKICPDKPQYKCHSDPPSPSRFNPSDYVMVMKDELLSESDSDNYDELHPLIKQNDDIAIDNDGVHDKTYQNFSSASNGRVHKGKDFSRMDSVKTSDQSPNLSYEKDRSKLEETTKLLYHPERLGMIWPEQPLDMMAQCLRASTSLKALATPASLKSTVNFPNDDLSHQVNSDNGVIKSKRPYFLRIGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSTPKGILGHERIIWFGDLNYRINLSYERTHELISKQDWDGLFENDQLKRELSKGHTFDGWIEGDISFPPTYKYEFDSEKYVSDEPKSGRRTPAWCDRILSRGKGIRLISYRRGELKLSDHRPVTAVYMADVEVLCHRKLQKALTFTDAEVEYHLASSSAEGR >KN539424.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539424.1:27568:29020:1 gene:KN539424.1_FG015 transcript:KN539424.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRPPGVLAVTVNEVEALRELYNKMSYSIIKDGLIHKLREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMSLPYLQDITMAFPSFVMHSEAHD >AMDW01040861.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040861.1:80:2490:1 gene:AMDW01040861.1_FG001 transcript:AMDW01040861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTLISFSVISLGSLGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAMQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDMEKWKIVGETFSPMKSIALSSTILFLVLVARAAFVFPLSFLANLTKKTEEGKISIKQQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLIPARHLNRESSALSDPPSPKSFLDPLILNGSDVDPEIGVGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSVPLLQGNEN >AMDW01026406.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026406.1:1:264:1 gene:AMDW01026406.1_FG001 transcript:AMDW01026406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGM >KN544196.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544196.1:2703:2913:1 gene:KN544196.1_FG001 transcript:KN544196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAWLCAFQLHALRAVPVLCAFEGHCLWIYGGQVRSGRKR >AMDW01040850.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040850.1:70:1974:-1 gene:AMDW01040850.1_FG001 transcript:AMDW01040850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVSGDVRQQNFSESGTVIMGRQTARRTPSSVTQMPESPPACVNLAKDLTARCRARALQLTDDEILSITQDLESAIENLCDYIGRVPASALGSNAYADCAVKALSVRGFLEDDMLTNVVNNMPNRKSFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTDPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTLRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVA >KN539731.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539731.1:76912:83106:1 gene:KN539731.1_FG001 transcript:KN539731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFVPYSFASPRVCQCVLVVCVLVRRLHTAPSNAAAAAAVTDGGDQDETKSAKDDDGDGKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGDNNNKFYIIQALESDAGGNFMVYSRWGRVGTRGKGKLQGPFSREQAIDEFERKFHDKTDIHWSYRKGSHCYAHKYTWLEMDYGEADKESNKKTSSITNQLKETKLETRTASFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISDVISGADRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGANNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFK >AMDW01051178.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051178.1:2441:4928:1 gene:AMDW01051178.1_FG001 transcript:AMDW01051178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASCPKSCGQMSVHYPFGIGAGCFRQPDFNLICDNSTQPPKLLLHDGTTEVVGDEDSRIGMDVGLSFWIRVNISATIPMVPGVAEYNYSWNLSSFSILDANLNITGCEFDTYIINNESYSPTTAICKNSCPNEEITETVARQSCNGTGCCTTPVGIGVANLQLRFVRSSSEGFLGANSSRSSLWNRINIVSSYAGVMWGINNRPTCASAKDNQTDYACVSINSECNDMSLDLGYLCECNSGYVGNPYVLNGCTRDEGYHPVQQKANCTRWCGNIKVPFPFGLEEGCSARKLFQLNCTNVTSSMLQFDRGHVVTCIDFTEGLVGIRLASYFEEEHLDMYISGEPDLYASLGEAVISVQWAAANLTCQEAKQNLSRYACVSVNSTCLGVNSTDGYVGYRCKCVHGFQGNPYVIDGCEVSTAKFLRTVFESMIANKLRMLSATVKIT >KN542783.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542783.1:3560:5278:-1 gene:KN542783.1_FG001 transcript:KN542783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPNPLRFLRQGHIVHLGGNLRVPIVDLTIPQRPVRRHEEFCLALVEPPVPEQDWDHHRMLILHHILDERLFEVRNSFRHASAVGMGGGGESWTVSVFLLEGDFLNLPPDEDLPPEGSQPDLNDPDNDDDDPDAGNIWQMGQSPAGPGDWDDLVQQQQAADAEVENAWGQDHPMGQVMEVNPDGLLALVPSILEVSAPIPTDVAPVRNEKVQVSVQDLVQDPKFIASTYVPAAIHATNPLTALVLPKTTMFDFAPLVGQGVKDWALVPSKSDEDTMSSQAQTLEVLEVMPLSVQPPSSPVCQAPALPVLPKAPVKKGDGKTLLYNPYRRQSARLQQSKEEVHLQVDPRMGIGKPRGKSAKKLKELASIVKIFDGGNIKESDFAEYAPDDNHSDSSPCECSISLLQKMESSCSYKKN >KN539731.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539731.1:54658:55276:-1 gene:KN539731.1_FG002 transcript:KN539731.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDPSSGSSHPTNRAPIPTRVGPYDYQPAVMCQCGAKAARWISWSVDNPGQRYYRCRNRGVGCNFFDWYDPATSSFLRELLNDLREAVLSLRREKNELQRCVEELRPKVEEQCIELGVAMNEVAQLRLVAAENEANMAAMRATHM >KN539731.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539731.1:42649:43408:1 gene:KN539731.1_FG003 transcript:KN539731.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGATKVKGSGKEKDVPPEQEGRSTEKDFCHEIDETAPDGIEDSDWHGEDTSLKPARKAEDQCKWFVWVDEEWGCRTKKTIHKLWEMVDLSNPRSARAKADMFKALHLSDQLAREKDKLAAEK >KN539731.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539731.1:11536:12039:1 gene:KN539731.1_FG004 transcript:KN539731.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILSLTVDSANADCDCCISARAKACCYACIAAGGSDTICKNTCCFPCTLTDSVVAKMKEMGDLAKMEEGQA >KN539731.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539731.1:30018:32036:-1 gene:KN539731.1_FG005 transcript:KN539731.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVFDLNEPPPPELDLNEPIDWISSLDDCHDSPPHKLDYDGDEEGRGNEDEENGDGGEEVDGGDEQDDQAGLEAQVHAGDEHAEGVLMQDLSLGDNLRKRRYYSDELKIAIYLELLAKTDPPVLHRGVSKQVALKFGVPLRLVQHVWQNGKEKGGVDGVVNKLFKNVGRKRIEIDLEAIRYVPSGERATLRRLADALGVKKTTLHSRLKEVKFRWR >KN539731.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539731.1:64417:69280:1 gene:KN539731.1_FG006 transcript:KN539731.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTICMNFKISISKSILGAFSLDCIGSAFNAKGVMQCPNCRQIERGNWLYANGSRPSQDVSNDDWGHDEDFYDANQPETSRSVFLPFRFQWCPIGRLAQLPSVFDEGESAPPVTFHDFMGQNFTSEHLPVSAPGATPPGPYIAYFQPLQSSASSSSSHVTERTIDGTTYHDHWNPLPGPSDGRPLATVHPIDFHHNHWTHLPNSYSQPNSNNGVAEQMAIPVVPMRVGGLDGDSQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRRTAVQAVQDSMNFTLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEANWWGSTPQSHGATDHSAAPGRRLFGQWIGAGRSPPPPPPPPAENRSPDNSSYRQMHIPRM >KN539731.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539731.1:23269:27253:-1 gene:KN539731.1_FG007 transcript:KN539731.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAWFDCHCLRLHHHTSHEAGGNSLRCRPADGRAMETAMAATCSALSSLGDIMLEVSGEEEETREGGAVELLAAELTAVHAALRDDYLADMAPARLDEQAKAWAGHARELACDIGGLDAAKDDLIKKLCDGDGDGDEQSEQRLKTVSIVGAAGLGKTTLAKMVYDTLRPRFDCGAFVSVSAINPDMAMVFMRMLRQLDDDDKHESVGGEEPSVSGEAQLVDQLSKFLRDRRYVQYLIVIDDLWDKPSWEMIKHALVENYCGSRIITTTRNFSVADQAGMPYELKPLSAENSKILFLQRIFGHDNKICLDDEFAEVADKILKKCDGVPIAILALASLLAGKIGDKKEWYKVHNSIGSTLDNSADVKNMRMTISVGYYRLPANLRACLLYLSIFPEDYEIRRDRLIWRWIAEGFIQDGNHGESLFELGESYFNELVNRSMVKLLDIDYSEDGIREEYCCRVHFSVMDLISSLSSEENFVTILNDEQQTCPSNKGCRLSIRGSKASVDTTNQATMSVLQARSLSVFSPAIGSINLSEFKVLRVLDLEGCDISQSHHVLNDHLGSLIHLRYLGLRNTRITELTEDVGKLQFLQTLDLADTRVKELPATVFRLGKLMCLRVEFQTRIPSGIGDLVSLEELSDISTRDSPDLANELRNLTKLRVLKITLWQPTQSTEEALVESLRNLRRLQDLHIYAATGNGHRRLLDLLQDGSWTPPPRLRSFSAEATYISCSPLRLLPAWITASVVPRLSVLLIQVRELRQVDIDALGRLPVLGTLRVEPYEMKEMVVIGRDAFPCLKECRFRNSDLGPVIQRGAMPRLRIIEFCFGVRQTKDLGNGFDFGLANLGCLEEATVYINCKEATEPEAEEAEAAVKHAADTHPNHAYFDMNTYGEELMRFDDDD >KN539731.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539731.1:44298:51027:-1 gene:KN539731.1_FG008 transcript:KN539731.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMWTLKVYFDEPDKGPGMAERKMDRDYICYFNLEKKEERKVTLCILRKKTTGNNVSPLKFYADCQHNATPTHKDHNSAGSDSEEMDEEEEDESSECDVEEVDPEKIEDERQELITELARRKAIRDDPEKHCEGDTYVEDLFVTDDRTFGTEKGTTGEGDKRINIELEDATGHNYQTCGKKLRPDLKLRKENLQMKRKRSADARLIKNKKQSTQSQSDVTLPADIGTQSSQAGPSTVCAEHFAPQAARAARGRGGGRTRGRGRGGRGAASSRLQRLLFGETGTSQQ >KN540485.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540485.1:3038:3492:1 gene:KN540485.1_FG001 transcript:KN540485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTLAVSFLFDDLQCVLSGTVARDNVCTHRADAVASGHQLGERSSEGQRESFQFVLITCRHDYMIIDGRFCLAIMAEKFFEENITR >KN540485.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540485.1:39210:39710:-1 gene:KN540485.1_FG002 transcript:KN540485.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPSMLPSLAPPWKVVRHDRSHLTELLLGKMAKRNSSMVGLLGGYNVVVVLVLLQHTLHCFDLVPEEELVPGDREVAEEDLGESDLDAADDAGNVSLKFSPWCDESWLKIPLLPSKEAISLAVVDGILVGGDLGGGVEDHGWRGEDSLCSGGVEPNTLEGTAW >KN540485.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540485.1:31026:36528:1 gene:KN540485.1_FG003 transcript:KN540485.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQTGVQQQKLISTVEQVIALHLQMLRRARSEEELARVFNLAMDKIFGILKGAAGLAADANSIKEFFNWFRPRVLAAIYSGGEGSAPAGENRTAPLHQIKGDLQKLEHDLWLIQSTTSTMYDLIDRLEWHSHKETEAWHLRQIKDAMYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLDNVNFGGKLSKVMEIQNRLNHVSDQAKGLGLHKTLKKFDRLVRPETCCVLDEPDEIFGRQKELEDLIQILGVRGCKRVRHVAHSSTAEARMPVLPIVGMGGVGKTTMAQQICEDQVVKKHFDCIIWICVSDEFEVNRLTRVVLKSIGVESQDSDTRNTLMDKLLYSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLKGSMILVTTRSSEVANLVSKSDPYELKGLQDDVLRNFFKLCAFGSVQSWSNSCRNRPELERIRPELERIGEAILPKLKGSPLAAKTLGRLLKSNLSVEHWKDILRSELWKLEQKETDILPALRLSYVYLPQYMKKCFTICALYPKDHRFEKEFLADIWVAQGYVEAEDASSCFDDLVNRSFFQKAANQSDSYVIHDLMHDTAQLVSGGECFIIQHVSDLTKIPGNVRHLSIFTNGNISCTELVNICTQNKKLRSLVCNETYRSSKQFAPVIDCWFKELPNIRVLIFNLSTVRKLPESIGNSKHLRYLGLFGNSTFENLPSSVSCLYHFQIIKAKDCVFKRYPQGFSDLISLNKIESNGFIYNKDSCDKQCLRWTQPGTSDEDLQMTGEQIEFLPHWNLQHLVIDGYRGKSCPSWLRLDCLKMLASLKLSYCNDIQSLSFFEPPFPDSEESRSIDHLEVLNIRQCPNINWQGLVALPSSLKRVTLENFGRSTDRFVSCFHGLALLTILTIQCEFLTSIPLQVWRSNLRSLEDLCIYQCSSLTSICVSEASSRHTVGVFSSLSNVTISSCDALLSLDEFLMPAYMPVMKTIHVKGCQQLASVQVDELHRFSHLEELSIEYCPKLNMQRRMTLPSSLRKLSLVRCPSIKYIDNSHLGSSMTLKGLRLRLISCPNLISIAGAICVSEIESGYINYCPKLVEITQPFTRGYDW >KN540485.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540485.1:21762:23940:1 gene:KN540485.1_FG004 transcript:KN540485.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSSCMIQEIACNLQEALTASIVLTVAHITACDQPLSETISPKNTAQKWIRLLGAPHHQVQNQSHWPTMIMFAAFRACHYVEWESSDGGSSHLPLAADGRPVTWRQLPKIKENSTFEESFPVQPYILLFLLDDEMLYYYVSKESSRDISE >KN540485.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540485.1:48642:48965:-1 gene:KN540485.1_FG005 transcript:KN540485.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFWYRVTQNKPNGPRAPEFANFAKPMGGTALNTGSSPRFDVFGNDFGWGGQRPCGGGGTNKFDGKVTVYKGPTGAESMSLEVCLTLAALAKLGADEEFMDAVTTP >KN538855.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538855.1:153264:159602:1 gene:KN538855.1_FG029 transcript:KN538855.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLKLTKALVASCRSLIPSSLSRLLLLSYNGQFPVPMPKQSSSLPALSSSLRLALWLDWSSSSSTCTDVVPAHMRENLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >KN538813.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538813.1:156492:157643:1 gene:KN538813.1_FG001 transcript:KN538813.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVDTVSASTSLVAHHLFDQRSKANHHLRRTLHVVSCRPLPTHFAGRRLVARAPRQHQPRLADWTVKALAMGVTKEASPHREYRGIPGEGADMGDIGITNPKTTWPPQNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWFTLAQEEGKSPPPAFVLKRIEGMKSEQAISEVLCWSRDPSELRRLSSRKEEIRCNLRGTAFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIDAVGVRSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPIYELNAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEDEDSSPSTSVGVDDLFW >KN538855.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538855.1:205460:206041:1 gene:KN538855.1_FG030 transcript:KN538855.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTIARRLCCSRPTSGGRLSAVWAHVYSTETAKDTGAKKYKYPEVYDPYGPLPTPSQKVVDLADRIAALPPEEIKQIAPALLFRLNQPPPQAISGQGFSFGPQGGGGAAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKVAGGVAVME >KN538813.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538813.1:146238:146894:-1 gene:KN538813.1_FG002 transcript:KN538813.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYYSLVLLMLAVWASLTLVMAGDPDILTDYVIPANGNPMNITGDFFTFTGFSKVFNTSSAPEPNSFTVTKATMAEFPALNGQSVSYATLVFPPGTFNSGNQPAVALSAFGSAAPGVVPVPVTVFGTGIDDAVLAKSFKTDVPTILKLKANLTPPNKS >KN538813.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538813.1:120022:123015:-1 gene:KN538813.1_FG003 transcript:KN538813.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVLLLLLCCNCGVEMVAAAAAADESSPALVGKGGSDHGSCPGGDDDDGNRQLWVFGDSYADTGNLGNLGRELTHAWYYPYGITFPRHPTGRFSDGRVLTDFVASAVGIATPVAYKLRRRGGHGGEVASRGMNFAVGGSGVLDTGYFQRNISSQIDLFQKQLRGCGPTGVALVVVSGNDYSAVVDKNNGTSEAAIAYIPTVVRGLREQLRRLRDEVGMKKVVVTNLHPMGCTPYFTRLLNYSGCDTLANAGSDQHNAALRSVLHDLDPANTTFLLLDLHTPFLTLINADKFPVRLRPCCETFTADGHCGQEDDAGNKQYTVCDDPERHFYWDGVHPTQAAWAAVADAFTPAIHHFLST >KN538855.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538855.1:161817:168594:1 gene:KN538855.1_FG032 transcript:KN538855.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVDGGGGERPGSAPMRLCVHVLEARGLPAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRVAGEIRLRIYLSRTAICDEPRNMPMQLINDTPCSSMRSVGTTASSLSARSVGTTASSLSASVSSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDNEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQTQEESIWKLAARFLGNFAFIFSLCIALYAIAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSAVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASKKGRDQEILTGCDVEMLVLSTI >KN538813.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538813.1:208707:211519:1 gene:KN538813.1_FG004 transcript:KN538813.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVRSSPVADLPGWPLFSPPKLQLQKCTKCPREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLMLDQAKTILSLADVDIEGVTGPSILAALSTWMCKPGYASLPLPYARAGNKLLDLIETTASRLPVSSNELFSMLDEASENTFLSTNPTACIQKFIFNGEADKVAPELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDLKDEDVTDRFPGSVDGTTDSSGILSLKEATSDPGLYEQEDTQLPTPVASEDNSSFADLPVEHDIHDPGHEVNPSVTLNQQVFSRHRVGRTENFAQNSFASGGSAIGSKHPASVRHSHYRGANAGAVSNRNKTWTWKVRTEIEEHSPKDELNIDDGQEIVLNKKSRVLIGSISVAIEDGSECLEDNQYSKEYPIPASQLNIGNHPVTKVMQPFNHGEEGNGYNAHNDVEVNITPTAQDHSSSSVMTDGNNCSSCCNAGLAEGGGLRGTIFSSKEAAAFLSQRWKEAINADHVKLVLCPEG >KN538855.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538855.1:188472:190068:1 gene:KN538855.1_FG033 transcript:KN538855.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MAILGGAAAAAASCCVDLFFAHMPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVREDDTGYKFSSRNLMSCTEYQFQYNQSMSIHFFDLITCTLQSSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKAGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >KN538813.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538813.1:118575:119322:1 gene:KN538813.1_FG005 transcript:KN538813.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VTDDGVQTRSHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVDAASCADLKNPSWDPDVLGMKKHKLLREGILPSMATNRKVQRLLNEFMDLLSEYEAAETNVEQMDVTPTPPPPPAAATEGNNNGAS >KN538813.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538813.1:212463:216069:1 gene:KN538813.1_FG006 transcript:KN538813.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNLAAAEPVRDAILADAPAASLDLMELDLASMDSVRAFASDFAAKGLPLNILINNAGVMATPFSLSKDGIELQFATNHVGHFLLTHLLLETMKKTSRESNVEGRIVNVSSEGHRYNSIGAYGQSKLANILHANELARRFKDEGVNITSNSLHPGSIITNLLRHHSILDVLHRTLGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFSDSNVNEASEKGNDMELAKRLWEYSIELIT >KN538855.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538855.1:182024:183334:-1 gene:KN538855.1_FG035 transcript:KN538855.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNDEILDGQNEAVQSQVSLPQDIQRIIIGFLPGRTVLKFCSVCKFWRDCIVEPAFVDHHLNCALRFRQAIACFTSVDNGLVQMYMFDPITVNFKRTEPVFSSRFHMSQPCNGMVCAYDLKGAAEVLNPTTRKHLTLPASESVYQAQYSEYFLGYVHSTKEYKVVALRHWIKHLTFEVCTIGMLSWRTVRGSEEEELLKTTKPVVVNDEMHWLLLDDESSHFTRKILSFNLTDEKFSYLDVPDSVRDRDLELVEGEGKLHLWSMPCKGAAYTESEIWLADSTRQFWVHLHNIAHPSVLGTKPFFMYKSKLFLGSQKRFIYIDILDGTVCYVDIPSGENIISSGMFVESFVPALTGTGLVNSMALLTGSRYAGSSSRGSGPSSRAAGSSSTRTRRSPAATRWSSAVVQSSKRAKRTTNLVWKMFTEGTSKIQQGL >KN538813.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538813.1:203197:206793:1 gene:KN538813.1_FG007 transcript:KN538813.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLVGVVNLIKKLKIKRIIIGSSNKFMDEIILKCSNDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETEGEESIETGELNSYEEEVEHSSGETAHRTDEIQSFRSITERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRNPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLIGVCPESCGLVYEHLPNGTLLDTLSNSKGVSLSWKDRVRILAEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDTADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLHIMLRMANSPSKSRKWTSISIHAT >KN538813.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538813.1:166179:176616:-1 gene:KN538813.1_FG008 transcript:KN538813.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKYASTIFALTLLLASRVSCFGASLSPLPHAARSSDGMGMRMPCHARHLLGQLPTLGDSEGFSGSADLRVICVAMVAPTIDAVLLSHADIMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYFISRRQVSDFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNHVYKRQQDQDFIDALVKVLTGGGSVLLPIDTAGRVLEILLILEQYWAQRHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKCVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEKKASLGSNAKASDPMVIDASTSRKPSNAGSKFGGNVDILIDGFVPPSSSVAPMFPFFENTSEWDDFGEVINPEDYLMKQEEMDNTLMPGAGDGMDSMLDEGSARLLLDSTPSKVISNEKTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEVGKADDKLTLLPPSSTPAAHKSVLVGDLKLADFKQFLANKGLRDFLQVEFAGGALRCGEYITLRKIGDAGQKGSTGSQQIVIEGPLCEDYYKIQRVRVGVDGKEKKRIQSRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPSASAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >KN538855.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538855.1:198389:199013:-1 gene:KN538855.1_FG038 transcript:KN538855.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSSSRQSRASPVPYRVGPLEYQPPVMCRCRPPTKAARWISWSMDNPGRRYYKCQNARDGGCDFWVWCDGPTSSFIKDLLNDLRDAVTSLRRKIEMLEKEVGQRRAHGEQHRKEVEDARKEVEDAKNVIALKNEEIRSLKAMNQKLLIGVEIA >KN538813.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538813.1:139417:140654:-1 gene:KN538813.1_FG009 transcript:KN538813.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVEELPKAIVRRLVKEKLAQVAADGADVIVNKDAMSAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFPEFVEPLNTSLQEFRNKNAARRSGTTQKKAEKKRKLDKESLPQEQNDAADNGKADED >AMDW01024035.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024035.1:27:329:-1 gene:AMDW01024035.1_FG001 transcript:AMDW01024035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQD >KN538813.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538813.1:126974:129262:-1 gene:KN538813.1_FG010 transcript:KN538813.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEAAMEARNGHRIPPTEKKVIIDTDPGIDDSVAIMMAFEAPGVKCDRAGRPEVPVAEGSAEPLKGGKPHVAAFVHGSDGLGNTSFPDPTTTNKVEQSAAEFLVDKVSESPGEISILALGPLTNIALAMKKDSSFASKVKRIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVYFTDKDMLELRNSKGKHAQFLCDICKFYRDWHVHSYGVDALFLHDPVSFTALVHPEYFTFKKGVVRVETQGICKGHTSMDMGLKKWNSDNPWTGYSPISVAWTVDVPKVLAYAKELLFNAQ >KN538813.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538813.1:150507:151771:-1 gene:KN538813.1_FG011 transcript:KN538813.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMDRGLIRMVSVHCSQQIYTRATNT >KN538813.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538813.1:162693:165419:1 gene:KN538813.1_FG012 transcript:KN538813.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQEVPDLLPPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADVLVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFHMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTGVEVYFDYMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKSLRRAAEARGHTIWARAPDSAGHYNSEPNLTGFFCDGGDYDSYYGRFFLNWYSQVLVDHADRVLMLARLAFEGSDIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYASIAAVLKKHGAALNFTCVELRTMDQHEVFPEAFADPEGLVWQVLNAAWDAGIPVASENALPCYDRDGFNKILENAKPLNDPDGRHLLGFTYLRLTKVLFERANFLEFERFVKRMHGEAVLDLQVVVVYHQQIFEL >KN538813.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538813.1:223013:231642:1 gene:KN538813.1_FG013 transcript:KN538813.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLEEFRFRLENVVRGHAVSQSDDSSAQNLELSTNGRTESSPSSSEYNLERHQRTRLNIGLQQIEGTATVSESGSNTPSIAEGPYEPHSQAESWQDDLEQERRDWEQFSHAITGEESETNWHDDTYNGSSHEGTEVEGGQDAHIPESHDELASGNLPPQSHGEQQDNSHLPEENEELHGSDLQQSRGEWNESSNPFIPTEVHNEWHSDDHFQGVNEEWHDDDDESNDTADNWHDDNSDQPIDHDSALIRRANTFVPADDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRAPLSWDLEGAPPAPDSPEQSQEQHRDEEEQELHDNVVRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKETIDDGSKWIHVRKGTCCICCDTPIDSLLYRSSDSEVSAWDPQVLRAHAQCLESEELAALSVNRTLLPILDNLLLQVYTMLRPKPLDYDQRTTLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTADTDDQYARKKKISAIRKFAKVLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQQLSHSELMMRFLADGSDFESVERSTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGPDQHANSTTAEAPQVVHRHPTQDKASTQCAHEPKPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPPQQAAGSNSGVTYAGAQQLQRNEN >KN538813.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538813.1:185809:190563:1 gene:KN538813.1_FG014 transcript:KN538813.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPAGVERMLVRAGGGTRSLREIDEEEDDDDDGGGKTYVSVGKDLKDGKANIQWAARKLQPQPQQGDVNKLLVLLHVHQPADRIMSGFSKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEILVIEKNNVASGIVELIDQHHITKLVMGTSSFSVEASQFGDKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPQRSNSISHPFPLSRHLENGVENISPIRPNSVDVAPKGCSPNSSHQSNGVSSPTLTDLDTVDGSSVPVSSSSSEEHQHSMFRARENSLCKEKIAVEERLTREKVSLEKEHLQIYNELQKANEQIMELERKLMHANSLMEEHQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPFPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNVLLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAIVNYPDNKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHQMSPMTYLSFTHYELIPNNALRFAIQEWQMKQQL >KN538813.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538813.1:196929:201334:1 gene:KN538813.1_FG015 transcript:KN538813.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICAQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKATPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERDESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVQVSENLYFGELKQKNEIEVKLATTMEEVDRLTRTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLSHRDLLPNHALRSAIQEWLQSNTD >KN538813.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538813.1:148639:149411:-1 gene:KN538813.1_FG016 transcript:KN538813.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLLVVLAVVSAPVAQVMAGDPDILTDYVIPAGSNAENITGDFFTFTGFRNPLSMNMSMPMPNANFTVTKATMAEFPALNGQSVSYAVLMYPPATLNPPHTHPRSAELLLLVNGALSVGFVDTTNKLYTQDLAAGDMFVFPKGMGMVHFQFNSGNQPAMALSAFGSAAAGLVSVPVTVFGTGIDDAVLAKSFKTDVPTIQKLKAGLTPAKKA >KN538813.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538813.1:130461:135545:1 gene:KN538813.1_FG017 transcript:KN538813.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVGSKGELDNHELNLEDLEDAKMQQSRRPKISRPFAPSARPQPKAITGLGLTFTIRDAVLRMEQDILKFIHDPTRTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRSGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRANRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIPQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQLVVLGVVAPCTFRCRRGTFFVLELLGPCRLLKLRLRLPPEVASD >KN538813.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538813.1:152261:154182:1 gene:KN538813.1_FG018 transcript:KN538813.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARAPARAAARFVQRRLFSSGGKVLGEEEKAAENVYIKGPNPGEQASTAGAAANTVKTGSGSTESRSAGVSTDKNRNYAVLAGTVAALSGLGWYLLSKPKKSEEIVD >KN538813.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538813.1:217103:220661:1 gene:KN538813.1_FG019 transcript:KN538813.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate transporter, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00370) UniProtKB/Swiss-Prot;Acc:Q8GX78] MAMGAVLSSRTFASPLSSSGKQHPPQNNKCTCSSPPTRDKFSRLTPRITIFQVCNYSRSASMERFQLSARFHQPVVDSSTNYLTRWFYNASLKRRRIECFLTSDPINTGWLKPRRWDNFTSLDTACVQPDYKIPVRTRADCKAEQYEITGSPLSPSDVPAEAVLIGDTNETSPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSVWFALWQRKVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVERGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFQVAVVLYIVGTVVWNAFSTGEKVLE >KN539252.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539252.1:40504:59765:-1 gene:KN539252.1_FG001 transcript:KN539252.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA-binding protein-associated factor BTAF1 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/Swiss-Prot;Acc:B5BT18] MVLGEEIIEAVISEAGLIGGFHLGGGIPLEESPGVIVPFMIEGHLLEKEILAIHDLLAGRERERRHEKKTDDGETNSSRSLSLSDNNDEKKKDKFSSGDEKEDHEKQLKQIRLDMEALRDDKTQMEVILDEKIDEVRKISSKVNDLEVQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGANEEGSSVNEDLNERSPNTAATKKRSIPYSTSEEVKAVKKRRERDSDTMTRSDKYRSDGTDFDKTSKGTEATKSLYLKKKLWDDEKSKYFVNLQVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQPPQDPKKLGFAGGLVLRSGHECQLSPSLLRSGSTRFTCAFPLTQLAGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENVKHTSVKDLFASAEAEKHASGLSGGIGDVGSTLRHADTTATSELAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKQNLWRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWGANMQNNGFYSFNTGQNIQHLVASMVPSAIALEHSVGMALSSICDDLITPLTFFQDTVDATTDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLNSPFADLDDKNNLDSLKRAHGIDLNEDIDSGQLEPVLKRQKKEESNPEVMDIQLDKEPSNGDYSKTEASLSTEPTVSSGEPNLAHAKVESPFQVDGSANPSKVYPYCTPPHETLNSMPKLSSAHLPENSKFIKLMKLANYSAVKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLNYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTAIGEDNEFDLNSVTLVAGEEKMGSNDNPYVLATLTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTRNSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAASSYFSNWVQLATTPYGTALDSAKMFLPVALPRGSRSRAAAKIKFARLEHENTRMISFGSTGENTSQEKHSEASLSVSKIIVGSDSDKSVTHTRVLTSMALGLFASKLPEGSWQVVLGPLASDLMSLSGVQRQVASMVIVSWFKDLRKSDPAAVDTLLAFLSSLKGWMLDLLACSDPSFPTKDSPLPYAELARTYRKMRNEANNLFQSIESCALLKEYTSNLNFEADMLSVDDAINFASKLLLPSEPDFSLDSDKIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMAGLPSKLNPVILPLMAAVKREQEEILQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGESKSEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLKPVKTGDDLMKEDPSIAQLGRSCEDKEPQSLINNIQVVRSVTPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTANVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVAADIAESRARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRSQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISTIVKENELEQSTSQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDLLKEIGMGTGDELHDLHHSPKLVALQEILQECGIGSEISSPDASAAIGQHRVLIFAQHKALLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSGGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >KN538813.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538813.1:136503:138593:1 gene:KN538813.1_FG020 transcript:KN538813.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNSELVLQNCYIMEQNQRLKKAAELLHKERQRLLSELKAQLLSNQHRQPPANGHGHGDDDKPAAGGEPIQAQPSRLDWPEEAMGDEARKAVESALGWKKMELPKLGMRIERRRQRLPPSAGGGGWSGGGGGWFRWFRSGGFWDAAKQTLLTIVGIIAAFFLIANFNVLVAGIVNSLLAVLRQIRRALSFVAQCILQGVQSSAPRRSSPSLDTGNQAAVVVKDRVGKSAKERVVRKWGNGV >KN541068.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541068.1:2597:5495:-1 gene:KN541068.1_FG001 transcript:KN541068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAVILTRKIKNRRAKMLRQRFFNQNRGHLLQQLVSQNADIAERMIIPLAELENATNKFDESREIGGGGHGTVYKGILSDLHVVAIKKSKVSIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPLSLSWEDRLRIATETARALGYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGVTTVIQGTLGYLDPMYSYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPEDDGLVAHFTALLAHDNLGHILDPQVMEEGGEEVQQVAVLAVACVKLKAEERPTMRHVEMTLENIQSLFLQQEVLQSMTNKKSKENHVSRSYPANEVVRNLRSPLLSAARNRFVALGCPDIGYLSDDVGYYITGCTSARRREASLRTRLFAGTRSWRRTSGLTPPTAAARTSTEATTSPCPSIVILVLDWAIRNVGNCDIAKRNKTDYACRSTSSDCIDSTNGIGYRCNCSKGYDGNPYLDGGCKCIQYTS >KN539252.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539252.1:97806:101575:-1 gene:KN539252.1_FG002 transcript:KN539252.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAFLCSNIFGSTADCVEHLYLCSGGPETGLPRTNLPSAVPRLSNLTVLTLCSIALQYVSASVATPNVEKSLNSLRELQLLMFGMANSNLADIYSFLKACRCPQLERLFVQLPTNTYDSFTTNYLEVAEEEPPEGGLENLRLVKMTNFKGYRNELRLVDFLLRKASHLNKLFLIAPKEVHPQGLRKVHSEALPHFLKTDVLHLERASAIAQIIFDESVSPQILPLHSELLGNRHEKDLQAYKVWYNIFAIYVLKCLVLLDTSNIDFSCNHISEKGTDETYGVLEEA >KN539252.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539252.1:17599:20040:-1 gene:KN539252.1_FG003 transcript:KN539252.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSLFHVSIILLLCTARCKAADSESAALLKWKSTLIAASLLSSWSFDNSTCSWFGVTCDHGGHVTELNLESAGLKGTLDALYSVAFQNLTKINLSYNNLIGAIPANISMLLTLTVLDLSSNNLTGAIPYQLSNLPMIVNLNLGDNHLTNPEHPMFSMPRLKFLSLRSNDLNGSFPHFLLNRTSLRMRSIDLSDNTFSGLIPDSLSKMVPRLRYLNLSSNGFYGSIPHSLSRLQKLRTLYVWMNNLTGGIPEELGTMSLLEELDLSSNPLGGPIPASLGQLQGLQVLDMSDAGLVSTLPPQLGNLTNLEIMVLSQNQLVGSLPPSFARMQQILYFSIRENYINGSILPEMVSNWTTLVKFDVGNNLFTGSISSWISNMTNLQYLNLSGNKFTGSIPVEVGYMMNLAILIISNNNLSGKIPFSIGNLSYLQFLAISNNHLEGGFPSSICKISSLNFLDLSKNHLFGELPECLSNLSALQSLHLSNNNFSGFFPTMLKNLKNLAVLDLGHNKIYGTIPSWIGESNPLLRILQLRSNRLYGRIPWQLSKLSHLQLLDLAENDFVGPIPSSFANLSSMQPEPRDKIYSPIQLYYIAITWKGMEYTFQGIRASVIGVDISSNLLSGEIPSELTILRGLQYLNISRNYICGGIPLEVGNLTYLESLDLSWNKLSGPIPPSISNLMSLGKLNLSNNLLSGEIPTGDQLQTLDDPSIYGNNQGLCGFPLKISCSNNSSYRATLVGVKAHHQELETLWLYCSLMSGAVFGFWLWFGALFSCSHLRFAFFSRIDAMQQKIMQNITHLSNMLCFPSSPPECVY >KN539252.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539252.1:63723:67547:-1 gene:KN539252.1_FG004 transcript:KN539252.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRVVFLLLLVAASALVESSREGGGGEEKLGKFLDGWRRHLSSGPAASSLVLSGDLVDKIWSVCLQDIVSPEDTFGFGESFAWDELSSHSTEDELKATLFMELMALLPHEKSSFTYDCIRANCFSLGVPQIFSVALSNYLESQKSLVGSNFYPRRRLVDKLIGDVPSMAPAFAPSMSSGNEVRSPLPVTEAPLTPSNSLNMEPPSPHYPSKPARKHQGVPPPVSPSEEHHDYMKIVLITVLPTATLSFLAAFLCFYCCGCNKSKVSVGSSPDVHVPASPLHKDDHGVRPSNAGVSMSKCFPCCFKTSSDATTPTRVTGGTQENNATSDAPKPMPPPPPPPPPPPPPPPPPPPPIKKGAPPPAPPKGTMARFPKMSPTESSHSEESSASELASESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEEMIEELFGYGAGNQNNVKDKEISIADPSPQHVSLLDVKKSCNLAVVFKAMNVRAEEIHDALVEGNELPRLLLETILRMKPTDEEEQKLRLYNGDCSQLGLAEQVMKALIDIPFAFERIRALLFMSSLQEDASSLRESFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMENGRSPPFPSTSDDNSNESLQEDGNYYSNLGLKIVSGLSNELDNVKRVAALDADALSTSVANLRHELLRAKEFLNSDMASLEENSGFLRSLESFIEHAETETNFLLEEDKRLRMLVKRTIRYFHGNDEKDDGFRLFVIVRDFLVMLDKACKEVGASQKKAANKSQTNGNCNNPSSQSNPQEQQFPAVLDHHFDSSDSND >KN539252.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539252.1:88092:90054:-1 gene:KN539252.1_FG005 transcript:KN539252.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPVIFEEQQERVITNQYCKFDSQVLTLFGSSLFLSAMVAGIFASPMSRAFGRKWTLFVAAVAYLIGAVLGAISFNFIVLLTGRLLLGVGVGLCIHASPLYISEMAPAQQRGMLNILFQLMITVGILSASLTTYWTSKIAGGWGWRVGLAFGTVPAAVIALGSLAIPDTPVSLIARGEGEAARATLAKIRGVDDVRAEFEGLTTASEESKAVAHPWRELFFGGRYKPQLAFAVLIPFFQQLTGINVIMFYAPVLFKTVGFRQDASLVSSVITGLVNVFSTFVAVMTADKILVGTFIGLQFGVSGTGAMSEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSAAQSVTVAVNMFFTAFISQIFLTLLCHLRFGLFYFFGAWVLLMTVFIATLLPETKCVPLEEVAHVWRKHWFWRKFIVDSPDRGGAEMRKRIALEMS >KN539252.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539252.1:11829:14703:-1 gene:KN539252.1_FG006 transcript:KN539252.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHPNVPNVENSWAEMHMAVLTDPLARMPIRWKPKPHKFILNSTSLRMEYLDLSANAFSGPIPDSLPQIAPYLRYLGLSSNGFHGSIPNSLSRLQKLQALEVYENNLIGEIPEELGNLTNLKTLVLSRNRLVGSLPPSFAKMQQLSFLGIESNYINDQQLDKSTVLDPLQQYLAMSDNHLEGELPGCLWGLKDLIFMDLSSNTFSRKIAASDTPNHDSNLRGLDISNNNLSGNFPIVLRNLSELKVLNLGYNRISGEIPSWVGESFSCLMVLQLRSNMFHGSIPWELSQLSNLQLLDLAENNFTGSIPGSFANLSCLQSETRCVFTLSKVYPVLQSIHYIDIDWKGLERPFKAIGILATGIDLSNNSLSGEIPSELTNLRGLRLLKMSRNFLQGNIPNGIGNLILLESLDLSWNKLSGPIPHSISNLTSLDWLNLSNNLLSGEIPTGISTRISPNSDDKSEEISRSKNLSKFLLESLAAKFLLEFLQIQMTKFLLESLAAKFLLEFLQIQMTKVKKS >KN539252.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539252.1:104581:105201:-1 gene:KN539252.1_FG007 transcript:KN539252.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLRQKEEENAELKRKFEGYHVRWLQHEIRLSSLKETIDEQMISLQVAQECVEKRSREMLSLYDRQESSESHVKMSKETSARLPHSSRHYAGIARGTCTKFRQQSQALVESREPWQPSTQGGNSIDDLEKLKSKFCMWKKDYKARLRKAMAAELDLERRHRSICWI >KN539252.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539252.1:119753:121658:-1 gene:KN539252.1_FG008 transcript:KN539252.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHCSISSSDLLSKWMGESEKLVTNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRVKTEFLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTKGDFESLARRTDGFSGSDIAVCVKDVLFEPVTPPPITRTDLDKVLARQKATVSKKDLEVYTRFTREFGEEG >KN539252.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539252.1:124078:127993:1 gene:KN539252.1_FG009 transcript:KN539252.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEPGAASRLGAAFLARALATTGLPAPRRPRALQVQGITEPGAASRFGAAFLARGGFSTDASAAAQDSAKPAAATGGEGGDGKSGKSEQGDAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKQEPSVGTAAIGGPFNLLNHDGKPVTQKDFLGKWTLLYFGFTHCPDICPDELQKMALAIDKIKEKAKIEVVPVFITVDPERDTVEQVRDYVNEFHPNLIGLTGTTDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDADSLADGIIKELKGHQ >KN539252.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539252.1:71959:73440:1 gene:KN539252.1_FG010 transcript:KN539252.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRTILMDRYEIGRQLGQGNFAKVYYARNLISGQAVAIKMIDKEKVTRVGLMVQIKREISIMRLVRHPNILQLFEVMASKSKIYFVLEYAKGGELFKKISKGKFSEDVARQYFHQLISGIDYCHSRGVYHRDLKPENLLLDENESLKVSDFGLSALSESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVSGYLPFHDTNLIEMYRKIARAEYKCPRSFSAELKDLLYKILDPDPSTRISILKIKRSAWYRKSSDVNALKSKHETGDKVYKGEATTSDTTECSIFEGNRVSSRDKVYTNGEATTSDSPECSNSDGKQASLSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAAAIFAKLNELARRFKLKIKKKENGVLRLVAPKEGIKGFLELDAEVFELAPSFHLVEFKKSNGDTIEYEKLMKEDIRPALKDIVWAWQGGQHQQSEQSMQGMQGEQQPSCLPSQQPQG >KN539252.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539252.1:26737:27453:-1 gene:KN539252.1_FG011 transcript:KN539252.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQPETRDKFSSDETYYIDIIWKGMEYTFQERDDCVIGIDLSSNSLSGEIPSELTNLRGLQFFNMSRNELYGGIPNDIGNLKVVESLDLSWNRLSGPIPPSISNLTSLSKLNLSNNLLSGEIPIGNQLQTLDDPSIYANNLGLCGFPLKIPCSNHSSSTSTLEGAKEHHQELETLWLYCSVTAGSVFGVWLWFGALFFCNAWRLAFFCRIDAMQLKLMQNITHLSNMLCFSLSPSERVY >KN539252.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539252.1:2794:3803:1 gene:KN539252.1_FG012 transcript:KN539252.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKWMTESPFLPRERLFKQQQYFQNLTKHTYLKGRYDVVTSVAIPLALAASSLFMIGRGVYNMSHGVGKKE >KN539252.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539252.1:90986:92968:-1 gene:KN539252.1_FG013 transcript:KN539252.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKMPRACGRQSSAKFQSKKPKGGSKKTDQMDSPTDPFIWPGQLGFAYTLQTTRTIRTLSFAKQKNYYLHTFNSMSPTPRTSFDPNRSAGMEVAECSEKLQILKASASASMACSIVQFPVKWQSIKYKLQQLCSNLNAPGDNDSCDEHMILVQFLQTAMATVSHIQAIASQCSDESYNGGRLRLRSDLDSISSKLDVHLKDLKEMVSSRISVHSQAVIATRPAIGASLSNKRFYINDLFLRVRIGDLAQRKQALVTIGELLSEDIEYVKIVALDIDGSITLLISFLESGDACIQEQAARIVSLIAGYDSYRGVLVKSGVVAPLVQLLDSPSCTSTTVSSRERAAHALRELTSNSDNVWAVCAQGGVTVLLIVCANVDSRGKLVSSAFAVLKNLSRVEEVKMFMVEQGAIMELVKLSRQKEEERKVGSVELLHYMALADANVREAAISMGMIQSLTQLMNPDLPYSSKAREVALSAIAFFCLPSKALTDDLISSNFLSWLLTYLNNGDYAVLECTLNILVRLTRISEEYSKMVGRAGFMTALVSLLGAKSCQVREMAAQVLCNLLLLHSNRVVFIQDGDNLNRLLQSLELGDGKTMAKDLAISCLVSLAETSAGRKKIISSQHFVSLKGLADSGDLPAKKIVKKLCANKLQSILTRIRIT >KN539252.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539252.1:107173:119390:1 gene:KN539252.1_FG014 transcript:KN539252.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] MGDLTTSSPASEVPFRVSFSGHGGHLRLDPTPNPPSPIPEFVLPPAYPPESPSSVKEYLEANYLNPELHLPTAADGARVWDLDWFELSRPPLEPSAPRTMLVPAWEPPFRRRRPPLSSSSPQESQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLHDDAAAAAALEKAFPEGARNGDWVRELMSGGPAQVNPPGFRKGLDLGNLKEYKSHWKCYQDGERVDEQSTSSSNDTMDKYSVQFDDLFKIAWEEDADDKVPREDDVQQLVGDEETNDVDKQNISKLQNASETIENLDIEKQKDRAQGDVSEPQSDLDQMLLSSVKDTSRDSSGSGDGSMAKEGKVWALVGGDEDIVTNFYKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIHVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEVFKKKNSSKLGMKPGSKPGTTAVRAGTQGRNPDTSSRGRDQKNPKHHHANSSAAAVQQSTSGPRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEIRLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTLKDLVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCREEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMALEAEAHRESITEAIMQLPASQQSLTPGRLVVVKSQSDDDHLLGVIVKTPSAALKQYVVLVLTGDCTSSALAPDSSNQNEKEAGDFKQGYFVIPKGKRSMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGFEVRAIENKEIMSICASKIKIDQVRLLEDPSKTVYSKTVQMLIKEQPDRNKYPAALDAIKDLKMKDMLLVENYYAYQRLLQKMSENKCHGCIKLKEHIALMKEQKVYKDQLNDLKYEMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPSLTPKLADARKRIYDTAIRLGKLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYRKMETASNAIKRDIVFAASLYVTGI >KN539252.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539252.1:30460:33210:-1 gene:KN539252.1_FG015 transcript:KN539252.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMTMLFRISVFLLLFCTAKATDDSGAETEAEALLRWKSTLIDATNSLSSWSIANSTCSWSGVTCDAAGHVTELNLPSADINGTLDALYSAAFENLTTIDLHYNNLYGAIPANICMLSTLTILDLSNNYLVGVIPINISMLIALTVLDLSGNNLTGAIPANISMLHTLTYLDLSSNYLVGVIPINISMLIALTVLDLSGNNLTGAIPANISMLHTLTYLDLSSNYLVGVIPINISMLIALTVLDLGGNNLTGAIPANIFMLHTLTFLDLCSNNLTGAIPYQLSKLPRFAHLGLGDNHLTNPEYAMFFTPMPFLEFLSLSYNYLNGTFPEFILNSNSLRMEHLDLSRNAFSGAIPDSLTEIAPNLSYLDLSHNGFHGSIPHSLSRLQKLQELYLDGNNLTGGIPEELGSLTNLEELDLSSNQLVGSLPPSFARMQRISLFEIGSNYINGGIPLEMFSNCTQLMIFGVSNNMLTGSIPSLISNWTELQYLALFNNTFTGAIPREIGNLAQLLSVDMSRNLFTGKIPLNICNASLLYLVISHNHLEGELPECLWNLKDLGYMDLSSNAFSGEVTTSSNYESSLKSLYLSNNNLSGRFPTVLKNLKSLTVLDLGHNKISGVIPSWIGESNPLLRILRLRSNLFHGSIPCQLSKLSQLQLLDLAENNFTGPVPSSFANLSSMQPETRDKFSSDETYYIDIIWKGMEYTFQERDDCVIGIDLSSNSLSGEIPSELTNLRGLQFFNMSRNELYGGIPNDIGNLKVVESLDLSWNRLSGPIPPSISNLTSLSKLNLSNNLLSGEIPIGNQLQTLDDPSIYANNLGLCGFPLKIPCSNHSSSTSTLEGAKEHHQELETLWLYCSVTAGSVFGVWLWFGALFFCNAWRLAFFCRIDAMQLKLMQNITHLSNMLCFSLSPSERVY >KN540540.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540540.1:42937:46146:-1 gene:KN540540.1_FG001 transcript:KN540540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRRRHRRRLLAAVVFLLLSAVVARPAAGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSLPSLLLNSHLDSVPAEPQQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGFAPTRTLHISLVPDEEIGGADGFEKFAQSEEFRDLNVGFMLDEGQASLTDEFRVFYGDRLVWRLIVKATGAPGHGSKLFDGAAVENLMDCVETIAGFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEVNHLGLLLFNLGYNVMLKLRDVLNSQFLEDKVFLRGIKVYEHIIRALSSFKG >KN540540.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540540.1:472:1329:1 gene:KN540540.1_FG002 transcript:KN540540.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRFVALSFIVLLSIGLSNAARVARYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQSSGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGGGGGQNGGSGYGSGFGSGYGQAGGYGPYGGNAYAQGGGQGGGGGGGQNGGSGSGSGSGSGYGQARGYGPYYGPYGGGGAYAQGGGQGGGGGGGQNGGSGQGSGSGSGYGQAGGYGLYYGGYWPYGGGYAQAGGQGGGGGGGQSVPGGSGSGSGSGSGSGSTGVRYP >KN540540.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540540.1:24103:28372:-1 gene:KN540540.1_FG003 transcript:KN540540.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEQIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPATSDAGLKAIAAYAAQLAAADDGLDAWVFDVDDTCLSNLFYYQAKQFGAYDPVAFKMWASKAICPGVPGMAQLFQTLRGRGFRVFILSGRDQQTLASSTAANAAAAGFAGDAGDAVYSCPSYYA >KN540540.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540540.1:5130:7625:-1 gene:KN540540.1_FG004 transcript:KN540540.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGRAVEYWMNLVNENGENVLGLIRNALHGKVKTPDRNSPKYRSFIGLLDTRIDLDEKIKPGDSNYHAALCIMAAKLAYENELVIKDAVENCWKMTFLEFFNCWNDFQNDYTTQAFMFADKPEDAELAVVAFRGTQPFDMEQWSTDVDISWARAMAEEPNKNYFSPAFALAKHANAAWELARGLAIGRVAGGEYAEGWAMRAARVVGLVFPGLPPHAPQDYVNATRLAGASLRKLLD >KN540540.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540540.1:46607:48904:-1 gene:KN540540.1_FG005 transcript:KN540540.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAMVVVVALLLGGGAVEAEYLVIGRNTLYWAVHNSNQSILSASLRVEAAKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWLDSPEKGSGVSLNLDWKIGIAAKDWDTVAKKEKIEGVELELRKLEAAVESIHHNLLYLKAREAEMRTLWHLQGFFRKKKLI >KN540540.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540540.1:3185:3460:-1 gene:KN540540.1_FG006 transcript:KN540540.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRGWAPQVLILSHAAVNGLVTHCEWNSIMEAVATGLPMVTWPHSVDQLLNQKMAVEVLGIGVGVGLDESVTEGHCGGEEGGGEGNREHT >KN540540.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540540.1:33569:37441:1 gene:KN540540.1_FG007 transcript:KN540540.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAKVLHGGALQLTNDSNRLVGHAFHAAPVRFLDDGAGGGGGVVSSFSTAFVLDIVTVGSGGGPGLAFVENMYVGFSSATGKLASSHYILAWSFRTNGVARSIDLRRLPKVPRQSSPPPRLLIIKFAAVACAGTLTLIAAAMVAVLWLRRRAALADTLEEWELEHPQRIPYKELYKATKGFKESELLGAGGFGQVYRGVLRRRSGEAVAIKRISNGTRQGMREFVAEVASLGRMRHRNLSREEKLQSAMSPSSSQPHSSSIAIAGGGGGAKNALHGSIMAYSMHLGDWKNASMSASKL >KN539328.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539328.1:43204:45025:1 gene:KN539328.1_FG001 transcript:KN539328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLGLRFHYKTGNLYIADAYMGLMRVGPGGGEATVLAKEADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLMRHWIRGPKTGNSEPFADLPGYPDNVRPDGKGGYWIALHREKYELPFGPDSHLVAMRVSAGGKLVQQMRGPKSLRPTELCEKKG >KN539328.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539328.1:23693:30502:-1 gene:KN539328.1_FG002 transcript:KN539328.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTATQTAAATALALIVVLVFSPSPAAAAAARMFKTIDARRSQHLDLGGSLVGPESVAFDGKGRGPYSGVSDGRVMRWNGEAAGWSTYTYSPSYTNNKCAASTLPTVQTESKCGRPLGLRFHYKTGNQYIADAYMGLMRVGPKGGEATVLATKADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLMRHWIRGPKTGNSEPFADLPGYPDNVRPDGKGGYWVALHREKYELPFGPDNHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPVASAVAGCEGVFHVASPVPSGRSTNPEVEVIAPAVTGTLNVLKACHEAKVKRVVMVSSIAAVFNNPNWPEDKAFTEDSWSDEELCRKNQNDHLRALQGAEERLQLLKADMLDYDSVASAVAGCEGVFHVASPVPSGRSTNPEVEVIAPAVTGTLNVLKACHEAKVKRVVMVSSIAAVFNNPNWPEDKAFTEDSWSDEELCRKNQDWYYLSKTVAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNASSRVLINYFKGDRDTVENRLRNVVDVRDVANALLLAYENPGASGRYICSSAPIRVSDMINILKTLYPTYTYPKNFVDVEENTIYSSEKLHKLGWSFRPIEETLRDSVESYKAFGILD >KN539328.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539328.1:64152:69413:1 gene:KN539328.1_FG003 transcript:KN539328.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKHIEAEKGAIHPVASIMMLWTPLPSFMLVAILVPFNKRKGAGKDRDNMIFLVFYVFVVLLGEFCSSCSCAQVYVVYMGKGLQGSTENRHDMLRLHHQMLTAVHDGSMEKAEASHVYTYSNGFQGFAAKLNKQQAMKLANMPGVISVFPNTKRSLHTTHSWDFMGLSVDAAAELPELSSKNQENVIIGFIDTGIWPESPSFRDHGMPPVPTRWRGQCQRGEANSPSNFTCNRKIIGGRYYLRGYQTEESGQSRSAIKFISPRDSSGHGSHTASIAAGRFVRNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFDDAIADGVDIISVSLGPDYPQGGYFTDAISIGSFHATSNGILVVSSAGNAGRKGSATNLAPWILTVAAGTTDRSFASDIRLANGTLIMGESLSTYHMHTSVRTISASEANAGSFTPYQSSLCLDSSLNRTKARGNILICHRTKGSSDSRVSKSMVVKEAGALGMILIDEMEDHVANHFALPATVVGKATGDKILSYISSTRLSAKYRSYFQKGHFASMSSLSLKNILQPDIAAPGLNILAAWSPAKEDKHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLGNKRNAIATDPNGRTATPFDFGSGFADPIRALNPGVIFDAHPEDYKSFLCSIGYDDHSLHLITRDNSSCTDRAPSSAATLNYPSITIPNLKKSYSVTRTMTNVGCRGSAYHALVSAPRGINVTVTPKVLVFENYGAKKTFTVNFHVDVPQRDHVFGSLLWHGKDARLMMPLVVKVDTAAKA >KN539328.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539328.1:117540:117809:1 gene:KN539328.1_FG004 transcript:KN539328.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTYQGLTTCQALMAQNPAHDSLDLYPGIRLTVPLRCACPSPAQAAAGVRYLVTYLLGWDDDSSTVADRFGADYQAVLFANNLTDDST >KN539328.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539328.1:19070:20570:-1 gene:KN539328.1_FG005 transcript:KN539328.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTVCPPLILGPLLQSTVNTSSSILINLIKGGGGDDEEKTDKRRNVVDVRDVAAALVLTYENPAASGRYICKAYDIKVSEMVDVVRRFFPDINYPKFVGGEDERILSSKKLQKLGWKFRTVEECLRDSVQSYKAAGILK >KN539328.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539328.1:91031:96461:1 gene:KN539328.1_FG006 transcript:KN539328.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYVPSATSKSTQALLLATNPRSSSPEERAAAAGYIMLSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLALALSSMASVSGGHGHLVLVQCICTICMYVASALELGPRCSGGDFLGTLWSGTVHYSTLGCVSKAYHRVQQANETFSMESVGPNYCPLKLGRVECIVYPVYLSSFFLWKRKKLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >KN539328.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539328.1:75:9185:1 gene:KN539328.1_FG007 transcript:KN539328.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEVPPSYLPAEADENGDDVGMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTVVDNAGPFWKSNEMYLEAIKKHLFLSLLKNSALSAMSVFQLLCSIFVGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLVKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSPISSETPGSMDNHTTNGDGSGMDYDMQPDTSSSDISDSSSLEQRRAYKIELQKGIALFNRKPSKGIDFLVRSKKIGHSPEDVALFLKNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVNKEIKMSADSSTTQIKQPNSISKLLGLDNIINFVNWGQAEDKALGANDLLIKHIQEKFKAKCRKSESVFYIVSDATILRFMMEACWAPMMAAFSVTLDQSDDKASAAQCLKGLRFAVHITSVMCMQTQRDAFLTTIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVESEQKNHKSSSGQSSKRTSALQNPAVMAAVRGGSYDSTVAKTSASSLVTPEQISNFISNLNLLDQIGIVELNHIFTHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWSRIWKVLSEFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFSSAAADDTKSIVLLAFETVEKIVRDYFPYITETENTTFTDCVNCLIAFTSSQFNSDANLNAIAFLRFCAVKLADEGFGCQEKCTDEPRNLVMSDGNATVNKDDSISLWIPLLAGLAKLTSDSRSTIKRSAVGVLFDILKDHGQLFSQSFWTNILESVIYPLFSGERSSSNDPTSTSSIPEDDFSNLETQTLAVKCLVSLFINFFDVMRPELARVASIVTYFIRSPYKHSASIGVSALMRLIEGVGGELSKEEWKDILLRFKESVAHTFLVFSKIVRMMQDIEIPDRFESYSENDQYSDHENYGNEEEEANMETTSYAIVKLKNHMALLLLVVQGIIKLYEEHRKYLSSDHINILLEMISAIATHASEFHKACSLLEVSEPAIVHFENESYQTYLKLLQALFRDYPSMSEEMDIESQILRVCEKILRIYLHCAQREPSNEALHRNASIHCVVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCLRFCYSILLTLFQLTKGAQDYLSTSLIYLICFEILEFKGWIPDSISGIQFWSMYRPPAIRIPGRRWGMHRHFDRSSARGGFVTLAPSCPFQRSSLTFTFYMDQGSRAVAEGVELLFPQKWQMLEILII >KN539328.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539328.1:34518:35561:1 gene:KN539328.1_FG008 transcript:KN539328.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTATQTAAATALALIVVLVFSPSPAAAAAARMFKTIDARRSQHLDLGGSLVGPESVAFDGKGRGPYSGVSDGRVMRWNGEAAGWSTYTYSPSYTKNKCAASTLPTVQTESKCGRPLGLRFHYKTGNLYIADAYMGLMRVGPGGGEATVLAKEADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLMRHWIRGPKVGKSEPFADLPGYPDNVRPDGKGGYWVALHREKYELPFGPDNHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKST >KN539328.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539328.1:36054:38441:-1 gene:KN539328.1_FG009 transcript:KN539328.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVTGAGGFVASWLVKLLLSRGCYTVHGTVRDPGDAKNAHLMSLDGAAERLRLFKADLLDYGSVAAAIAGCDDVFHVDILAPAVTGTMNVLKACSEAKVGRVVVVSSVSAAMVNPNWPEDKAIDEDCWSDVDYCRATKNWYTLGKTLAEIEAFDYAKRSGLDLVTLCPSLVIGPLLQPTVNASSDCEVKIKLRNFVDVRDVADALLLLYETPGVSGRYICSSHARRMPHIIDLLKSWYPGYKFADRFVEVSDEPQFNSGKLEKLGWKIKPFEETLRDSVESYRAAGVLD >KN538695.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538695.1:358699:364673:1 gene:KN538695.1_FG057 transcript:KN538695.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MAGMAAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCMKNSAVQSVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIITKNNLPFMVKNVEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAIVSGYSGFLQSIKGAADLHTSSLYDHHVNSADGDVSWLKTDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSSLSRSPQTVDTTRDGDVTMFDKVDINKGHIDLNFHPTAVRDEERHGGQPRVSMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPAPVESEERTSNNDGGRVATAEKPESMAVDEAGDNQPDKAAGDSAAALA >KN538695.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538695.1:319633:330862:1 gene:KN538695.1_FG058 transcript:KN538695.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVRISGEGEGVLDVAALHEAEREERCSLRLRVRDAPEEGFRLGQWPVVPSDCVILEYVIAGMVISGCFDGPDEGVSGLAHLVRLRFVTLRVHAFAVSRSADSVSIASFRVRLGLMEQAFAACESLLEVTRHPWRKSFMNMMAWVRPEVMTSAAIYGMDGLARPINGGANGDFTPKKDSQFDLAAFYEAVKPSMEAGLLEEELPDLLPHLRTYQLRAANWMVQREKGNTMISSPNQHYVHSAPYCVPIDFMHKKSRMFYNPFNGNVSMHPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRPYSADCSVSSNIKGADQIKRHKRERVECICGAASVTSAYQGIWIQCDICDAWQHASCVGYSPKEEMHVNDDDGDEASNNEKGTLKSKNRRKKKDKYCIAETEEKYICTLCLELIEAAQTNISSNATLIVCPSPILAQWHSEIIRHTRPGSLKVCIYEGAKNTDLTSTQKSDMAEISTADIVLTTYDVLKEDLSHDSDRHDGDRRFLRYQKRYPVTPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLRTHPFDTYRWWVDIIRDPYEKGDMVAMNYAHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIRRIRDDANKSEPISDSNVVSNLYLSNDDIAKLLVPLLKLRQACCHPQVGSSGLCSLQRTPLSMGEILQVLIGKAKVEGEEELRKIVVALNGLAGLAVIEQNNQEAISLYKEALALARENFDDFRVDPLLNLHINHNLAELLRASSEYLLECPLKEQTSEVHGARKRKETSPADSDLCGIKRKKICENSRSSLMTDNPETSKEDKNINTQVCGSEEMDVENDSGCHTSSVSTLSMELQNQNMNWWLYALDCIEQNKDSADELIRKIDISSNKSTTGLGSTGMSSRVQTIAGLKYTIQTGIDSLQSSRQQVMDRLLELDKTMDSPKDEDIECQRYCPNCYDGNGSLCIQCELDDLFQGYEARLFLVKKSNNDSVIASVEEARDLQRRKYELNQFFRNTKTNEGSEPGDDNKNPRSAREGFLVYRHPSRIETSLRVILTHSKTIMGEQSAKIAKKHLLLFEAMRKEFSQARNLSIAQTQLLRAHDEIKMSLSRLQLKEKDDEPSAVNIVTREELIPYNVQFTSEKFLSLSSLARIRGQLRYLKGLVLSNKKPLGKHGESLPESGNSVAIATSIPATGQTASDIINEPCPICQEKIFDQKMVFQCGHFVCCKCCLYMTEQAAAHFGRSKKWIMCPTCRQCTDLENVAFVVENQRGNADREIEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSFAANNISYVRMKGGRKSQTALAQFKGLASSISGEKAKKSFSKMQPAQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFIHRFIVKNTIEESIYKLNRGRAVCSTIHRKSKNFKDELVLTLKDIESLFPVATPDQPSEQENKNYGGHMRSLPPSVAAGLAAERRLLEQHDNQH >KN538695.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538695.1:280703:281011:-1 gene:KN538695.1_FG059 transcript:KN538695.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLCCGVAAYLYYVLWLAPERLRAHLRRQGIGGPIPSFPYGNLADMRSHAAATAAAAAAGKATGEGRQEGDIVHDYRQAVFPFYENWRKQYGTHVYIDQ >KN538695.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538695.1:272314:276464:-1 gene:KN538695.1_FG061 transcript:KN538695.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHVSRPDIVRELSLCVSLDLGKSSYMKATHQPLFGEGILKSNGNAWAHQRKLIAPEFFSDKVKGMVDLMVDSAQVLVSSWEDRIDRSGGNALDLMIDDDIRAYSADVISRTCFGSSYVKGKQIFDMIRELQKTVSTKKQNLLAEMTGLSFLFPTASGRAAWRLNGRVRALILDLVGENGEEDGEGAADVVRGRRRRRRLGDALFGSLDVPEGGGGGGDEEMKEAFKVFDVDGDGFISASELQEVLKKLGMPEAGSLATVREMICNVDRDSDGRVDFGEFKCMMQGITVFGA >KN538695.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538695.1:373807:379277:1 gene:KN538695.1_FG063 transcript:KN538695.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRGRRDYGGGWWLASGGSGRMTLAAVMATRAPRPAFIRREAIRAAEAAADEVFAFGSVPLKTYLPDGDIDITILGNTAPDSTFISEVRGILELEEQEDGADVAITGLQFIDAEVKLIKCVIDNIVVDISFNQIGGVTTLCLLELVDHQVGNDHLFKRSIMLIKAWCYHESHILGAHRGLISTYALEVLVLYIFNIFHKSLHSPLEVLYKFLEYFSKFDWDKYCVSLNGPVPLSSLPNLTGESYSVEPSGIHDELLFGPNGSCDRLIVLKKDSDGSNMNFRPKYLNIIDPIKSSNNLGRSVSKGSFYRIRGAFSFGAQNLSQILMLPTDLIPTEIFGFFVNTLKSHGRGKRSDVGNNGSFEPSLGPESEYALGEDSSDVKESDMSEDENRSPDLQRTSDSCFYNKVSGDSFSSHSPFSQENGNNMKRHYDCAREEYLPLGRSSMEQHIYANNQSQILTPSTRINALDVSNSCPAETNRSDLHEEKLPLSHFSPSNLLDLSGDLDLHLECLRKVQYHLESMFDWLIQEASFLGAVNNDSFNIPTRSSFSNTDGRAPVSSADTERGNLSPVYCSHSTRKISQKSVSRTEVQVNAVCQQNVAFPSGTNNISKRLALPPSPVADSEKSPVSPLHNTLDIVGTHGAGMHTLNNVSLLSGTDVLSNAFAQLSFPAVNSVDYKYCWSYTTTNNRATSSQKTNRVKGGTGTYIPIMNYHTYKERIFYYNGRSQREMLPDRPFKIKTSPIGYIRRRSSPEMGCSSSSNGGITFENTSHTPSKKQDHSSKSTVTAEGGFAQERAPASQEWNICTNMNMVDSQNPGNEDLVRPNNESRELQILHPSEENREMTASLSSSSVELPHCVGNGLNGLQESNTSQPSSPATEASSPIKTTQVEGLEFGSFGPISGTSFLCEKFCEEFPPLPARKRPAVAAVSTPVTVSSSPAAKESKPEGFYQLRDEADFPPLKAGARNGFNHRVGR >KN538695.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538695.1:314665:316687:1 gene:KN538695.1_FG064 transcript:KN538695.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDARVVRHVRCPKCFSVLQEPTGAPVYQCGGCGTTLRAKNRTGNSQEVISAPSSLGSGLPPHSKHLGSSDVASTSGSTPEAQISGGQQGADMTSRRETDDLVSARNNAPERVVPAEEEEEHVQSISQLAVGNSEDLTRGDAATAADAQCSDRASEGKVQFSERREDSNTNLQDVQRSRDDESDAEGKKSSEETSQSPRHDVVELPPSSAQIPDSQPAPAVLRREDDPATSPPHGHARRSPENLAPLQKRILKTVPADFAVSTKTVRKLRCGSCSAVLCYSYRDPDRKKHGDQYSADGSPAAPRGHGRRGDKFAFLDDFGHVDVSYSTEDEQPLHVSRNSSFNTVDEMAAAATAPPQQQHGSSLHRLMGYGSASDLLFRQHSPDLYESFSERTTQEAAALYDRKGKGVCVDLDDDGGDDDSDEDCSGALKRSRLRGSGWPLPGILNSKGTPGMGAIRIKS >KN538695.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538695.1:284370:285940:-1 gene:KN538695.1_FG065 transcript:KN538695.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MVEANNAKNWPTVPPPCVGYVWRYMAWGQYARDVAAVADQIAAYAAQLAAADDGLDAWVFDVDDTCLSNLFYYQAKQFGAYDPVAFKMWASKAICPGVPGMAQLFQTLRGRGFRVFILSGRDQQTLASSTAANLAAAGFAGYDRLIMRSAEYRGMSAVVFKSAMRMQLMEEGYRIRGNVGDQWSDLQGDLVGDRVFKVPNPMYFVP >KN538695.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538695.1:339141:339538:1 gene:KN538695.1_FG066 transcript:KN538695.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFISPRRRPQSTDIAAAATWGVFAGTAAIYLVQIGSVMFVRLFGMLSVSRSVAWRVGSVLGLLCSICYLMISARYTDYVYGL >KN538695.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538695.1:366559:370113:1 gene:KN538695.1_FG067 transcript:KN538695.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAGADRDRAAETSSPPRPTKHRHSASFDGFAFGAGAGGPGPGLGKQQDGAGGAFSEVMEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMAKSNDAYNTGMQQVPYSPSFFQLSEQHAVQHHAGVPQLPHQFQQPHPSVPSHQMLSHPNSLSDVMQQDSLGRLQGLDIGKGPVAVKNEAEVVVKSEGSSISAGGEGH >KN538695.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538695.1:380547:384849:-1 gene:KN538695.1_FG068 transcript:KN538695.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G56310) UniProtKB/Swiss-Prot;Acc:Q8VXZ7] MRIEPLPTAALLRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRDTDDCWSNVKRGKKDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGIFTCQVRPGSLHHEKDDAAIFASWGVDYLKYDNCYNLGIKPKDRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKASTHWVHSVITRMAPIFQNMTKETTEILSNKEVIQVNQDPLGVQGRRILGQGKNGCQEVWAGPLSGNCLAVVLWNRCEESANITVKLPFVGLDGSSPYSVRDLWKHETLSENVVGTFGAQVDVHDCKMYIFTPAVTVASS >KN538695.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538695.1:332553:334816:1 gene:KN538695.1_FG070 transcript:KN538695.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRRHTSSWAPPSMFQAISFGDIAAELCGGEPHQAAESADRRGDEQAAVQLAVGDVAWRRRRRKKRIGREEEEKRRGRGRAAQAEQLRSKSPFVRMVTTKELEDGRQWRKYGQKHIQDSPNNPRSYYRCTHRPDQGCMATKQVQTSESNSSEFVISYYGEHTCRDPSTIPFVVEAEAPTADYANLISFRSIGGASTSRVDPLRQSRHRLMAEAVDPTPSCSFTNCHSPVLSPECASEAAALSSSLPLSTVVGSAVTTPSTSIVGSAPADYDWPSGLAGGDMAGSFPSSPSSLGFMTGSFGNLPGDDDDMFGFDP >KN538695.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538695.1:300059:305485:1 gene:KN538695.1_FG071 transcript:KN538695.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSIRNPLSLLLSLQLSLLLFNPLSVADLASEKQALLDFASAVYRGNRLNWSQSTSLCSWHGVKCSGDQSHIFELRVPGAGLIGAIPPNTLGKLDSLQVLSLRSNRLAGSLPSDVTTLPSLRSIYLQHNNFSGDLPSFLNPNLSVVDLSYNSFTGEIPISLQNLSQLSVLNLQENSLSGSIPDLKLPSLRLLNLSNNDLKGQIPQSLQTFPNGSFLGNPGLCGPPLAKCLLPDSPTPSPASPSSAPTPMSAHHEKKFGTGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLANGSFSTKLHGIRGITEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRVSSDEKMKDSNPPSIVMCSWDSIATGGGGEVRLGGAATMADEEHAEKREEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTENLTVTEPGELNFTGMKKKKKKPVDLDLSINDIGDGEDILDDQVVEEEEGEGIVLGGAPRYPWEGTDRDYNYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQFASI >KN538695.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538695.1:342230:346808:1 gene:KN538695.1_FG072 transcript:KN538695.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMGWAVLLVVVASMAGEAAGRFVVEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANKKACRSFDDFDLSFKPKPGGLPVFLLVDRGDCYFTTKGWNAQTAGAAAVLVADDRLEPLITMDSPESSGTDYIEKITVPSALVTKKFGDDLKKALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRNFRGTAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCRSQCINHGRYCAPDPEQDFNIGYDGKDVVLQNLIQICLFKVGNETRKPWVWWDYVHDFSIRCPMKEKKYTRECANGVIKSLGLDLERINKCVGDPEADEENPVLKAEQDAQIGQGSRGDVTILPTLVVNNKQYRGKLEKSAVLKAVCSGFEETTEPDVCLSQEIQTNECLESNGGCWQDKTNNFTACKDTFRGRVCECPIARGVKFVGDGYTHCEASGVGRCQINNGGCWKETKNGKTVSACSNEESTGCKCPPGFKGDGIKSCEDIDECKDKLFCQCKDCSCENTWGSYECTCGGSNMLYMREHDTCISKVASSSVGWGFLWVIFFGLALAGIGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETPNQHRPVEHADI >KN538695.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538695.1:306754:310728:-1 gene:KN538695.1_FG073 transcript:KN538695.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPGGAWKCCVFLPHLMCEFSRLLKTKFSGEGSSPTADPEQYLASDICICIVPNKVKTERKNVPEKIQNNFLNFPCKGFFPPDPELFTAKIILPMGPPDVISENDEFDFSDVFGTTPVQTPTGISVAGPDSPAPLAESNEGVYNDPIVIIKRSHSLVGPTSLVSQSLRLSNVTLNKTEGSSEPAEEKERNLGQLSDEEFDNATTENEGIGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHDNGIMHRDLKPENILLDADGHAMLTDFGLAKEFNENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEGGKRLGSGPGGSDEIKRHKWLKPINWRKLEARQIQPSFRPNVSGLTCIANFDECWTSMPVLDSPVATPVAGGAGHSSFAGFTYVRPAPFLQDVKPPSSSRLKD >AMDW01022958.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022958.1:62:274:1 gene:AMDW01022958.1_FG001 transcript:AMDW01022958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVLDTYPFRLLLETAVRKEESKAALFVDVDAILFEHILWLAGHHDRSSSSASSLLHLDLKEIIDFYSQDA >KN542387.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542387.1:1124:2562:-1 gene:KN542387.1_FG001 transcript:KN542387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLLKIFKKQIAEKMKKKFMSSSSDVFRKHKAKKAKGVVKSDSSFSRFSAKYFRRVVSSLSPHQMFVIEKYGFKNLLLFDSGGVPKKFVAWISSKVDLKTYEIILKDRVIPITVESFRDILGLPFGGLSFGKGYDEGKNFILSKFGINSLPSVRYFGDLLIEQKQLSDDEVIISFLIVALACFICPNSSLYPSVKYLTIFEDLNLLSSYDWCKFVYDWCMNRIKKFQKSKNLGGCLYYWAVSYLDTVDFGERNVPIGFPRMSVWKEGMVKRYSDFDKIDDDTFGLRPPRVICSTSNSQILQLDG >KN542387.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542387.1:5207:8893:-1 gene:KN542387.1_FG002 transcript:KN542387.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAEGQAEICIEALLTNLTACWERSRSGSNRPECKIPLLCPPQRPAAVCIGPNHHNPFYHLMEQEKKVMLYGILILVDEQHKAAVLRRLVDAVTALESVAKEHYYMEQVPCDAMRRTAGFVQMLLLDGCYILGKFVLHDLLPVRANGAGTSQQQQHGTGSAMQNMELVRDVFYRLDNQIPFCVLRAIYGVLRESTAAAPTLYRWRAATFYHATGVIFMKRHLRHGASSGAWRWFVDGGGARSVLDVKFHPLTLRLRIPPLMVDMNTSTVLRNLMMLEQHNPSLGSQVTAYCYFLSQLAGTASDVALLAKKGIIVSLLASDGDVATMLGELCVGITINPADERSHNYLLDTRKGLERMYKTRVIRWIAQLYHRHLSNPFVLMVLVAAMVGFVCELIQAIYAVKSFKAPTITSICGAGKP >KN541063.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541063.1:31504:33818:1 gene:KN541063.1_FG001 transcript:KN541063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFRRSAALQAMAAFSVLALVVPDQVQGRGKCPSFSCGRLSYVHFPFRRQGDPAECGVPSYELTCADSNATIQIDKATYLVTDINYSDQYLWVVDASLDSANNCPLPRWSQTPYNENYRLGEDSHRRVQVQLSPDVDWFATFVKCSQEMNSSNVMYRPVACRSGNSSFVYVLTGLGSYLAENLEPSCGYLAMTPLVLGGLENWRTATAAATLEDVNYEDVVRSMSEGFAVRFPFMSGGFIDCLRGLICRDEHVYGVACRFVLMRVDEVEKFLQLQQMLTPTRYSYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDVRVAIKMLKGDANCKGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPQGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHHGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRGFGAISSKSDVYSFGMLLLEMAGGRRNADPNAENSSQAYYPSRVYRQLTRQETGEITAAADMHELEKKLCIVGLWCIQMRSCDRPMMSEVIEMLEGGVDCLQIPPRPFFCDDDYIPAMESLYLSSELELAAISEEEDEESITGLN >KN541063.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541063.1:20336:25088:1 gene:KN541063.1_FG002 transcript:KN541063.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQEDRNLQLACTSCLGSFGEGLPRQCLQGEDQQKHRRCRPSRSQMRTKTGFHLADAIGMLGRHDNSLKRESDARKRLRCQPSQDWAKFSPVVVRKVYLFGLSNFNMKYYGKSKSKVRFRLTARRLLKSSDESITYTESSKKSSSMVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDSTKMPVNTRTTDFGGDPEIKNASISHEKVGSTQYTSAGSSSGTGKKVKHIALPEDVPVERTAQLISDHFQLKEDQSSRATSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFASEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIRYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVATVKSIERDSNSCDIARAGDNVAVSLQGIDGSKLIAGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVEEFSKCRALGRAFLRSCGSTIAVGVVTRVLGQDEN >KN541063.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541063.1:13031:15427:1 gene:KN541063.1_FG003 transcript:KN541063.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISSSITYTLQKALLLITYLLGVVAADVGGGQDNNLHYCPPFSCGHLHNISYPFRLQGDTRDCGIGPRPWYDLSCNNGKATILINTRTYYVSSINYTDLSFLAIDATVQDDSNSSSCPLPGADLHPPNIDWPRPRWEISNDSFVDLDTDSGSIWACFVNCSKPIIADANMPRYRPLACLPANNSFFYLNRFHNCAVGELQPSCRYLGMIPFEFDGQDYSQLENASYTDLVGFIRKGFRVRFPFEIIRGQRGISTTQCLNDSMRYFKDHISGASIRNITRAIFWSDIHFASCRATDHVYTTKLMSFVVIIVSVIAIIKLHFVLCRLLLVPLVVFIFLAHKYWKTRITIDAVEKFLRMQQMIGPTRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSVEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGKIGYIAPEMISRSFGTISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYKQLTRRETCEISDIIDMHELEKKLCVVGLWCIQMRSSDRPTMSEVIEMLEGGSDDLQVPPKPFFCDDEQPLGVKPYHLSSDLTAISEAEEDDDDESISLFQSFSYQ >KN541063.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541063.1:855:2842:-1 gene:KN541063.1_FG004 transcript:KN541063.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELALVAGPCLLLLSSLLLQLQATAWEDKDFFSYCPPSRCSEHGPKIRFPFQLESNNNTRPSSCSVPCMKLACSGQDTILDSRYSYLGSPYKVTAIDYKHTTLTIIPIRDLDSCPLLNSVPLPSQPLHYHYHGANWSSCDIYNWGSAALVSCSEELTQTNIPSTHIAGPISFSCLSTNSTHFSYLVAYDVPTFLIPIQCEVVSDGPIPIPYFYSGHASLTFRQSAERILNFADTTAYWRSFANPAAYNCSQCEQQGRRCAYSSQRNQTFCMRRDVIFQLSVAAVQDIFI >KN541063.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541063.1:8826:12444:-1 gene:KN541063.1_FG005 transcript:KN541063.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTETNTGRVKMSSGHALAAALLLFLLGAQLKKVTAWEDKDFFKSCPPSRCSEHGPEIRFPFQLESNNTTPSSCGLPCMKLSCYGQDTILDNKYLGRPYKVIAIDYRHITLTISPLAVAGLEYSSSCPLLKSVPIPSVQFPAVPLPSVPFPYYRHFENWTSCDIYDWEPAALVSCSSEFKPATIPDAADYIAGPISCLSNTSHFSYLVAYDVPTFLIPLDCEVVSDGRIPIPHFSSGGVYKFRESAERVLSFSETTTTWTFLGNAVAFNCSRQCEFQGRRCAFSSRRNQTFCMRPGSRVKVIAATSSVAAFVVLLSTAATALYLSLRKRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKLGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSNTSQKLLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCAREQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGKRNSDTSVESQNEVYFPEWIYEQVTTGHDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQMPPKPFFSANSHPKL >KN541063.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541063.1:29911:30635:-1 gene:KN541063.1_FG006 transcript:KN541063.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVVVLLLSLLIYAATAWDAESFFNFKSCPPNQCSKHGPKVRFPLRLASQSPSCSTPLMELSCSGQDTILYHPVLGSCKVTAISYKHAAMSIILLVDSTPHCPLQKLISTNLSTDVYKPQKLEAASLPDCKVVSKGIQAPSTFKETENGVIGVDELVFTWYSSDITRDCQKCENEGKHCGFSSPRGRAFCYYGIFSF >KN541063.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541063.1:3079:5470:1 gene:KN541063.1_FG007 transcript:KN541063.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITYTLQTALVICSSLLVAADVGGGQSNNHYCPPSSCGDLHNISYPFRLQGDLRDCVAAPRPWYDLSCSNTGKATIQINTRTYYVTSINYTDLSFLVTDATLQDDDSSCPLPPSDHPPYSTLYWPLSDDLLERTTDSYGFLDLATSSDSAWACFVNCSKPIEDTTSPWYRPVSCLPTNNSFVYLNEFYGCTIGELQPSCRYLSMIPFDTQHISVSQLQNASYTDIIGFIGKGFRVRFPMDHSSLNHHISTKECLNNSVRYFNEHITGASIPNIARAIFWSELYFTRCRAAGHAYTTKFMTLMVIIVSAIVIIKLYFVLFRLMLAPLVVFIFLAHKYWKTRITIDAVEKFLQMQQMIGPMRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHVAIKMLSGNSNCNGDEFISEVSTIGRIHHINVVRLVGFCSEEMRRALVYEYMSRGSLDKYIFSSEKSFSWDKLNEIALGIARGIHQGSNSSQAYYPSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGSDELQVPPRPFFCDDEQFLGVESYNMPSDLTAISEEYEDDDDESICLFESYQ >KN541063.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN541063.1:17637:18029:-1 gene:KN541063.1_FG008 transcript:KN541063.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAPELYSRNFGAISYKSDVYSFGILVLEMVSGRRNLDPSIETQHEVYFPEWIYEKVFTGQQLTVGMEMTQVEKDRVRQLAIVALWCIQWNPRNRPSTTQVVNMLTGRLQDLQMPPKPFFSSASESIP >KN544747.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544747.1:2191:2627:1 gene:KN544747.1_FG001 transcript:KN544747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLNRAIGADVFVTANTNRMNFDYISNPQNYGFTNVQMACCGQGPYNGIGLCTAASNVCDDREAFAFWDAFHPTEKANRIVVGQFMHGSTEYMHPMNLSTILAVDNEERHL >KN540873.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540873.1:904:1614:-1 gene:KN540873.1_FG001 transcript:KN540873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLIYFALFVLAAARGAVCQKGFLSIDCGLDDNSSGYTNPYGITYVPDGSYVDGGENHRVAADQESRLSRRFQTLRSFPSGERNCYALPTVAGAKYLVRVTSIYGNYDGKNSPSALHFDLYLGVNFWDTVYADRNQLYEALFVAWASWVPVCLVKTGPGIPFVNVVELRTLGSELYPDLTADES >AMDW01039070.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039070.1:3:834:1 gene:AMDW01039070.1_FG001 transcript:AMDW01039070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FQDLLTSAFADEEVLQRRRPTRPVFVGRDQDKRRLLDMILYPNVPPRPQDGAESSTGVSVISVVGAAGVGKTILAQEIYNDSIVKESFVLRGWVFASHSCNSQGLDQDIAHSFETEQQENLQRSHSSGSSLVSVIQNKKFFLVLDDVHDNMHQLWGRLKPTLAEGANGSVVLLISRSEEIKYSFGETAQILLDHLPFPDLMTIFEHHAFGKQREASLQSIGKQVVQKLHGLPLFAEAIGRLLRQKLDEVYWQKISTSNWWYLSEDDDDVSLPLVAIM >AMDW01038881.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038881.1:59:565:1 gene:AMDW01038881.1_FG001 transcript:AMDW01038881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YSATSVPVSGDNNVVHKAEDVVSTMLAKGFTLGKDAVGKAKAFDEKHGFTSTAGAKVASIDRKIGLSEKFTIGTSIVNEKVKEMDQKFQVSDKTKSAFAAAEQKVSTAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEDQHKGSGPSGGHSYTPIQ >KN540873.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540873.1:8263:19079:-1 gene:KN540873.1_FG002 transcript:KN540873.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLFFVVFVFATAPHGVVAQQAGFLSIDCGLEANDSYRYTGDNGIVYISDGPSFPSGVRNCYSLPTVAGAKYLVRTASVYGNYDGKDSSSALQFDLYLGARYWETVYPDRNLMNEVLFVAWAKWAPVCVVNTGHGTPFLSVVELRKLGSETAVEADNNGTKLIVTTQFKEPMEFKVFMHFLDFQNSQLRQFSVSINNKGSSQVTPSYLATGTLQNALLYNATDGVCTMTLTSTAESNLPPMLNAFEVYTIIPNDKPMTFPGDFNIIMEIKIEYGIKKNWVGDPCFPTEFAWDGVNCGNVSGNNTARIISLNLSCNELNGPIPASLQKNNTGPSFVFSFDSDDGHICNKTSNPSLSTNKSKRAAIAISIVAPVVAIDVLILLCFIWRQKRKSNSDPLRESEHDNASPRRNNHGDALQKVENRQFTHKELEKLTNNFEHFIGQGGFGPVYYGCLEDGTEVAVKMHSDLSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCSEKDHLSLVYEYMAQGSLSDHLRGNNGVGEGLNWRTRVRIVVEAAQGLEYLHKGCSLPIIHRDVKASNILLSQSLQAKIADFGLSKSYLSETQTHISVTPAGTAGYMDPDLVADARLRGAYEVSSMWKVVDTALLCTTDIGAQRPTMGVVIALLKESLALEETRVDNTFSGTTGTASDTTVSSADFGPLAR >AMDW01035671.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035671.1:237:554:1 gene:AMDW01035671.1_FG001 transcript:AMDW01035671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLEWTSTSGTAAWGNHHGLIGPAAAFDSFPGESSFMGAESFSNMSMDWTGTTSEMATASIVQDEMIELPLSP >AMDW01006312.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006312.1:26:148:-1 gene:AMDW01006312.1_FG001 transcript:AMDW01006312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDR >AMDW01014515.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014515.1:7:177:1 gene:AMDW01014515.1_FG001 transcript:AMDW01014515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQACVGCYYVCFAENPTSRLFDPTIPERLHKMQEGADPLVPTPRFPQQHPGAA >KN538704.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538704.1:441364:449510:-1 gene:KN538704.1_FG053 transcript:KN538704.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPTMLWSTLVLLAPLFSIPRHCRAQVIMNYSCNNGFATASAGRGADTVWGLALCRGDTDHAVCASCVAAVPAIAFHQCRGARDVTVFYDRCIARFSYGDFTARPDNTEVLMVSPSKYQVTVNAGHFDALVTRLAGALADWAAYNSTLRYAAGVMASSDGFPSTTGYMVHNIYGLVQCAPDLAPPACRACLEALIVDMPQAFGGRIGGQFNAVWCNLRYETSVFYDGDPAVRLVASPSLEGPNVNGSTTLIIGNRRRRPNAATVVVSVLAAVIIALLSVLSIYLWRKLQAKQYTADQDVEAGSLLFDLATLRKATANFAEVNKLGHGGFGAVYKGFLRDGEEIAVKRLDKASGQGIEQLRNELLLVAKLRHNNLAKLLGVCIKGEEKLLVYEYLPNRSLDTFLFDPEKRGQLIWETRYHIIHGTARGLVYLHEDSHIKIIHRDLKASNVLLDSSMNPKISDFGLARLFDGNKTASVTSHVVGTLGYMAPEYAVLGLLSVKLDVYSFGVLVLEVVTGRRNTDAFGAVEESNNLLSYVSPI >KN538704.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538704.1:428992:431139:1 gene:KN538704.1_FG055 transcript:KN538704.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCATRAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLRLMPLADDEAAATLELDLKDPGLAFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQVLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSCFNGDVV >KN538704.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538704.1:376959:396914:1 gene:KN538704.1_FG056 transcript:KN538704.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTTVSVRTRVGKLPDGRQLVLWLSAVVVSAAEEGFLTVVYKGDFPPGDPFQTVRVARNDTKKMNAAGAAGSPLPAAGDNDDTAVLLAAFADFEERCGEPDRARAIYQHALRGEPPEPRAEELREKLLSLEKRFGDRHSVEDSIVTKRRSQYERAVTTNPLCYDAWFDLIRLEESANAGDANRIRDLYRRAVANELDAEDVPRASGVYRECLRTIPHKKFSFSKIWVMAAELEIRDKNLTAARRLLGNAIGVAPRPKLFRRRYIEIELQLGNVGRCRILSQKYIEHAPSSSHAWRSYAALEKKLGETDRARYVYDLAVSQPALNAPELVWTDYIQFEIDAGELDRARQLYERLLGKTQHLNVWLSYAEFEATACSGGAAIAGNAAEKAERVRRCRAVFRRADEHFRGCADDPAMKEARAMLLQQWLAKEAAFGDLGEVAPVEKKTPRRVKRKRSLLADGNGGGGGCEEFFDYIFGDEEDATAAAGFKLMKAAYEWKNSGHVITY >KN538704.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538704.1:470725:470841:1 gene:KN538704.1_FG057 transcript:KN538704.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKSGSGDLMASGKVVAEATMSVFQQKSVEGVDKKE >KN538704.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538704.1:371877:372549:-1 gene:KN538704.1_FG058 transcript:KN538704.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDRLDLVVGHLEEMRGGGRRSSCGGSPSTTTTTVSSSESGSSSVASTPKGVSCRPAKEVLEEARAKGSLVDRIAFLEARVLKMEEDMEVTSSDVRSSGSDERKQQRSAGGNKKPAEKGKRLKSLVKSCVRGKLNTKD >KN538704.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538704.1:438314:439192:1 gene:KN538704.1_FG059 transcript:KN538704.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAARVSRASSRVRAVARCGARGMAARLCRNGRGMSRWLRVLQGRRAGGAQQGNQAQWEHFRHEVETSRTMRGELVDALKLTLQLLFLGLREEGGMWAQVGRLLPKGPTVVRCLSKVVATSDVDHALAVVKSHYSRVDLEIIGKGYAADCSDKDF >KN544641.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544641.1:62:925:1 gene:KN544641.1_FG001 transcript:KN544641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLHHLLSIISLVYAIYSEEGQLYTYMVLISETTTPGINLRWFLDTVGMKRSKAYLVNGVTMFVAWLVKQMRTFSCILIFAVPTILLVMNTVWFVKILRGLKKTLAKRQ >KN539631.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539631.1:48794:49426:1 gene:KN539631.1_FG001 transcript:KN539631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAMRDLGWRRSDVVVSTKLFWGGQGPNDKGLSRKHIVEGLRGSLKRLDMDYVDVVYCHRPDATTPVEETVRAMNWVIDHGMAFYWGTSEWSAQQITEAWSVANRLDLVGPIVEQPEYNLFSRHKVTDPLSLSFLPSPQLDLIFQNP >KN539631.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539631.1:75720:76817:1 gene:KN539631.1_FG002 transcript:KN539631.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLKGAKINGTKIGGGRGSPTFRCRASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAAQADNQRVALLSEAMSAPVLVATAEQTLEEVECHFETVSGLPVIDASLRCVGVIVKSDRARASHGSKTKIAEVMTSPAITLPSDKTVMDAAALMLKKKIHRLPIVNQDRQVIGIVTRADVLRELEALLEV >KN539631.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539631.1:27454:32458:1 gene:KN539631.1_FG003 transcript:KN539631.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSSKFMITYKRKRVTSHVYTADGTNLKSSGASSSVPVSSLSPKYGVGADNNMLEEDNFSTSTKQQDVFDSREQVIKEESPKQSANAAGKERAELKSHESLSQKEQPEICSTHTAIGDACENKLECIDGTNNQSLVSSCVHADRTTNQAEDSSASVSVGVNSHQQPNNSTRPSQSKSRFSPMLTFRRRVKNKIGLEEPAAGSCSRDNDKHCSKLSCNPPSSPLDAIPLCRQTAGSSLDVEDKVTIAGTSTGQSVIVDHLLEQKSSHIPKSSVHHMVPSQPAEDANQSSIPEEGTPVSEFTRVQDTSELDARVEDSNRTPVDVIEVSKVIEVKRDEHGNGQTNCLQSPRKNINVNLLKPTNRSEAADLLESQDSTKNIPIIVLDDDSDERGKEQEKSEVLDQLIQEKNKGRFSLGQINLNLNCAELPQERLLSLDETSVYKLKDQDQCVHERKQMSHPIERLFFTKEKDAMHGKQHHEETSTMHSSYSNLFDPAPSSSWITGNFKEPSVMPSELKFRILDKAPEFNLDLKLDSFPDSSVSALRHDKLFRGGTSSGSHFLTERLGTYSYKRHSSPWSEEELDFLWIGVRRYGTNNWNAMLRDRRLRFSNSRNAEDLAKQWDKEQRNLLGVDFLQSLRSSARGPPPPSHIPEDYVGSSSWTGCSKSPFLSAPTDLSLGDMYLRSARTSERGQHHLSNLGMLNLHATDNVPRNLSLGGFPVASSPYGRSSSKRRRASKLPKSYYDNKAVWCQDPSERVAQFLPINQEPINNLPEWLTKDAEMAGVSRLDADLWPSMQAPGHSAADRLNEMKPHVLPDGSLKRAPKRKAEWRAFSKKLFQSGDGALDLNQRAAAIAGPLGAIGTSDTGASSEETVSDS >AMDW01027539.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027539.1:151:372:-1 gene:AMDW01027539.1_FG001 transcript:AMDW01027539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PFIAFFGAFGYLLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQ >KN539631.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539631.1:54582:56375:1 gene:KN539631.1_FG004 transcript:KN539631.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLCDAFQNLVGKIFQNPVESEFLPLYSTYGLGLTTWSPLASGVLTGKYAKGNIPADSRFALENYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLAIAWCASNPNVSSVITGATKENQIVENMKALDVIPLLTPEVVDKIEAVVQSKPKRTESYR >KN539631.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539631.1:65002:68316:-1 gene:KN539631.1_FG005 transcript:KN539631.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSDQSLLSASACAAGDSAAYQRTSAYGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGEIGDIVVGTVLGPGSQRAIECRAAAFYAGVPENVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNAMGWEGQVNPKVNEVQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATAAGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFQKDGTTTADPAVMGVGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDQFSNVRHN >KN539631.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539631.1:13502:26446:1 gene:KN539631.1_FG006 transcript:KN539631.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVTVKGSNVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVERQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVDKINKSIGQDPNSKCLIGVLDIYGFESFKTNRCFTALSLKDFLKCKMLDPEYCFFMFSVILLFQKVKIGSSEHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASHCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQQCLTSQKRWSIVVEIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQTICRGELARRIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVARKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMCMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQEHAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEVEDPKEPQSAPPAIKDYGNGDPKLRKSCVDRQLENVDALIDCVSKNLGYCGGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIESAYVVKPLMQNEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQFLQD >KN539631.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539631.1:77468:82505:-1 gene:KN539631.1_FG007 transcript:KN539631.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MESSLSFFFFRWIAPLAAGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGDASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELIDICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPVSAASIASGEDQQGQVSATRI >KN539631.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539631.1:57688:59706:-1 gene:KN539631.1_FG008 transcript:KN539631.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVAGPTAGEPPGTEAEAEEVEESSAAGDEEEELELGLSLGSKKQQQQHAPCRILTARDLQPAAALSPDSSVSSSSPAAAAAGGKRGEGPTATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQAKENTSEADTKKTVTNESDVQKDKEEGEKKGRVAGWVKVNMDGEVIGRKNVCQLCEEVEDHEDIRCKWSWLDSFPPPITFHASQSEWNRLLLSAIPGNSQNHCFNKRQILRVCDEEKSYTAGEAWSVLDD >KN539631.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539631.1:37447:38766:1 gene:KN539631.1_FG009 transcript:KN539631.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGWGSGSHARAERKNKGKGSYASCLPDLSCLRNRPSPAAAASASARRAASLRSDRGGGFITIENSVAEMEGGGAFGRVEDVDEEGAGFITMEKGTISSSRSRSRRPAGEDDEAGDMEDEKPCLLMELAPEEAAAAFEVEKVEEEFLAMLEDKYWARSKEIEKGLGVSLDMGLDLGLDLDSLIKDAEMELAKAEQAWRSKVGAAIVEEEEYMDLVRRWSARDAAAFPFGSPI >KN539631.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539631.1:234:3928:1 gene:KN539631.1_FG010 transcript:KN539631.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAWRRHLLDGNISPTTAAAISAFRSASLAPQGMEAPFRASGTSPGPRRGCPTGVDLRGGFGFRCRIRWSGWREVHVREGAGGQGDGASRPQGNRREVVCEVNDTLNLQIFLRIYNKKFRDSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDVDSIKAVMAKSNVVINLIGREYETRNYGFDEVNHHMAEQLAMISKEHGGIMRFIQVSSLGASASSPSRMLRAKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVDSGSTKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYIDVPLPIARAIASPREMLLNKVPFPLPTPSIFNKDQINAFSVDTLVSDNALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >KN539631.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539631.1:69533:72864:-1 gene:KN539631.1_FG011 transcript:KN539631.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGSHGHRAPVKPRVTTLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPHVLARDSFVSSKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLPEASSGSNSGVHICGHDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEVKLTIKSFNIPELLIEIPENATVGSLKKTVSDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFSLECEAGQDSHPGVIVPEEMDFVGASVVDKSATVKCEEPAENQQLMQDFPGCSLSDPGSVDYPVEWSTQETSASSQAIVPFADPNSLALANVPLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >KN539631.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539631.1:90223:91101:-1 gene:KN539631.1_FG012 transcript:KN539631.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLVSGVYQSPKLSDKFDKYVFVTIMRPFNLAELLRSLAERLHEGSSKKEELLENRVSNTLEWDQIKPRLFPLLENTSRIIVTTREENIANHCSGKNGTVHNLQVLKPNDALCLFSEKSPSLVSSYEPMQLKRCLLSHFIHTRIARSYCPLSISVLTKLAVPHVHAIL >KN539631.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539631.1:41510:45930:-1 gene:KN539631.1_FG013 transcript:KN539631.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRNTRLHHGFPLVVIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGHDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQDNNWWISKVVRKKHESSVTSVAWHPNNILLATTSTDGKCRVLSTFIKGVDTRGSHASTSTDWKFGEQIAQLDLSSMWAFGVRWSPSGKTLAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDILFLSERTVIGVGFDCNPMIFSADETGLWSFVRYLDERKVTTSTSRASQLSEAFGKLYGQSKQVASSDTVEPSKPRGGVHENCITYYPLLPICSSKVDSYQLHID >KN539198.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539198.1:74195:75043:1 gene:KN539198.1_FG001 transcript:KN539198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDMITPGIDAQGNPIDPEKIQADFEDFYEDIFEELSKYGEIESLHVCDNFADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVTDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLFGHLHRSRRSHSHGRSRSPSPYHYRRDYDRRSSSRSRDRDDYYRGGSRRSSERHRSSYDSDGSRRRHRSRTRSPVRDGSEERRARIEQWNREREAAHV >KN539198.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539198.1:131566:134674:1 gene:KN539198.1_FG002 transcript:KN539198.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGCLVASTNRGCKAIAESGGATSVVLQDGMTRAPVARFPSARRAAELKGFLENPANFDTLAMVFNRSSRFARLQRVKCAVAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLAAVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >KN539198.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539198.1:46979:56146:-1 gene:KN539198.1_FG003 transcript:KN539198.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQRIKSYCQEYLTLHLLSVLECVFFTSFRGSAGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRGVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEGKKGPKLHAPSDPMSAPLKMVPMSGTGPSSPPSRGTLSESSGGQGSPLNQAEKCSAHFSFFSVADANPFVTRPTKIPPRRGRIGGALAQRRRRGGEVRGREMSVRIKAVVDRFVKELQEALDADIQDRVMKEREMQSYIQEREREVAEREAAWKAELSRREAMLLVKRFVKCCCSDCNKAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRIDFLEDVRPVLTFDCTMYAFQFFTQEKKISLMAKWGLRVPPELKAALADDGYGRKAGNLAINKLPLLVMNKITIEHTPRNPPHSDADKKGKKDAPEFQTEGFSLVKRQLEVLNAESIMLRRTVEDLRAEIGGNRAASMPGKGDARRMPSSLAPPPPQPFLAKPDRHGNGKEMVDSGPKPVSNEASEELKKALEARRK >KN539198.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539198.1:76227:79006:-1 gene:KN539198.1_FG004 transcript:KN539198.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLARSTGHALASAASQVPPLSALLSFLGEVAPMARHAAASPLLSRLGSVARAFSSKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLVGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAFLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVQSLIERTRIPCVNCLKDAGVSAKDIDEVLLVGGMTRVPKVQDIVSQIFNKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSESDIEKMVREAELHSQKDQERKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTNEIQSAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQQGGGGGSGSGGNSSSGGDQTPEAEYQDAAKEAKM >KN539198.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539198.1:98477:103251:-1 gene:KN539198.1_FG005 transcript:KN539198.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPRRRVVCVTGAGGFVGSWLVKLLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFKADVLDCGSLTAAFAGCEGVFHLATPVPGEKIVDPQARGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSERYLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADSIEFFEKAGLLDGRPCRLPYFAVQE >KN539198.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539198.1:37548:38226:-1 gene:KN539198.1_FG006 transcript:KN539198.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPIPPSFANLSLLEDLDITGTSISGPVPASYLAGATNLRTLVIADSRLAGPIPPSLAGDHPNLRYLDLSGNFLTGAIPPGLVHGSFRYLDLSHNRITGRVAKSLMDVRLEHLNVSDNELCGEIPAGRFMAAHGADCYARNRCLCGAPLPPCCDGGL >KN539198.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539198.1:80405:90790:-1 gene:KN539198.1_FG007 transcript:KN539198.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRVCVTGAGGFIGSWLVKLLLSRGYFVHGTVRNPDDPKNAFLKQLENATENLQLFKADVLDGGSLTAAFAGCEGVFHPATPVPEQQMVDPEASVQKLVVVSSIAAVFANPSWTHGRPKDETSWSDKKLCMETENWYSLAKTEGEEMALEYGNKNGLHVVTVCPGIVFGPMLQTLQLNTTTKALLYIIQGGHGPDTMNNKFWSMVDVRDVADALLLAYEEAGPSERYICALEQMDLKDLLSLMKTMYPNYNYVDKMVDLDYKAEVTSEKLKNLGWKPRKREETFADSIEFFEKAGLLDGQPCRLPYLFRDPKNAHLKQLDGASEMLSLFKADVLDSGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKTVAEKTALEYAEKKGGPNVMRNMLLHIVDVRDVAEALLLVYEKPESSGRYLCAPYHISPKAIVEFLKNIYPNYNYVKWFCLQMYRNLAINKYNLDDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPLLQNVLLSTSSKVLLYVMKGGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERFICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESYEKAGFVDDEPCRLPYLYRVPDAQE >KN539198.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539198.1:64934:65416:-1 gene:KN539198.1_FG008 transcript:KN539198.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGRRLASLLELVHYHELERIDPTGSANTKRKLSCSGEVFHACCSSLLLLPPPTSPTLSLDPSRCPLPLVSACSSSVSIAFPRRHDVSEARGRQMAATVLTSLAAAVLSSSGHCRSCTFFLLPLAVLPADCRSTTTPYAARLPHPLPLTDRILHHLS >KN539198.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539198.1:2554:5346:-1 gene:KN539198.1_FG009 transcript:KN539198.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLFTLFLGALFCNGVYAKFTRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSVWDNFTHIPGKILNNDNGDVANDFYHRYKEDVSLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDDVIAKGMIPFVTIFHWDTPLALESKYGGFLSEDIVKDYVDFAEVCFREFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSPYVSKSCGAGDSSREPYLVAHHIHLSHAAAVQLYRTKYQPTQKGQIGMVVVTHWFVPYDNTDADRGAVQRSLDFIYGWFMDPIVHGDYPGTMRGWLGNRLPEFTPEQSAMVKGSYDFIGVNYYTTYYAKSIPPPNSNELSYDLDNRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPTIYVTENGIDEGNNSTLPEALKDGHRIEFHSKHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLKRYRKESSYWIEDFLKRH >KN539198.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539198.1:107232:112982:-1 gene:KN539198.1_FG010 transcript:KN539198.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFPSGDPKNAHLERLQDASQAAPANLRLFPADVLDLDALTHAVQGCDGVFHLATSVPEDKIVDPEASLMSEVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKNEPTVNTSSKFLIYVMKGGPDVMNNKLWHIVDVRDVADALLLLYEKPESSGRYICSSDHICTRDLVNLLKKMYPKYNYMNNIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKIFDGHPCRLPHLFRLSADN >KN539198.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539198.1:66279:69573:-1 gene:KN539198.1_FG011 transcript:KN539198.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFAGILNKDARKYLNNIVFYVFNPSLVATYLAQTITLESLAKLWFMPVNILLACTFGLILGWIVVHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCHTYGLAYSSLSMANTGVTIVSDLLQLGAVFLWTVAYNIMRATSKVADEERVKRFASSMFGAIDFKKIFAPSTIAVIIGFIIGGTPLIRNAIIGENAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGLRGEASVQPSVIASVIVVRYILLPSLGTVLVKSAVRLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >KN540147.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540147.1:10477:12339:-1 gene:KN540147.1_FG001 transcript:KN540147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAHVLPMGEDAPMLPPSSSKEVIPVPIAIVAPSIGIQDGMDPYSTYVLTVRIIRAGSRFEGAWVFDWTIDSDTTNFKDFVDDICEKYPWGIDETVTVQYLDSGLNLFCTISSDNDLMTMFKCFGQNKTGDVFITINGSSDESIIGRLCTPSVPIPSQACISQISNEPLEDGNLADNMVDMYLANPFEHFEHVGVDEEDQYSIGSDTPESDNNESNTSDSECAWVKDVNHMG >KN541559.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541559.1:9743:11715:-1 gene:KN541559.1_FG001 transcript:KN541559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRKPETEQRPHKVSFFVDKKSAQEVIKSLSGNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPKIIHATERCAAGIIEAIGHFKLGPSVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVVQYFKNITHANGVIIQPSGLECSLHASVDALSSCYGEKQGKKYRTWVDRLFVSQSGSDSWLVRFDLWEAEVYPRTHQKLYEGFRQ >KN540147.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540147.1:47238:56854:-1 gene:KN540147.1_FG002 transcript:KN540147.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQCNTLEDLLFDDIGDPLPIKLSVLQSITNDFSEEQIIGSGGFGIVYKVLEIWRRRLEQDSSKMRQTPIEMRYRQIIEACVEISETCIAGEPDKRPTTGDILRRLEKEEAGNWSIVPVTPVVDWISSLSRLMESIKRMAVTSPEPRTIRGEESKAFQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLMLYGEITVSTGKVSVDDVPAAARGTAAGVAYPVARGDGWMELKLAEFAADEKKLLGEKKVIVDFREENDHVKKSGLVIEGMEFRPNK >KN541559.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541559.1:25348:26327:-1 gene:KN541559.1_FG002 transcript:KN541559.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAGSDKVKEKCDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >KN540147.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540147.1:25266:27165:-1 gene:KN540147.1_FG003 transcript:KN540147.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLLKIDTDIDEGNLNHQHDHNSTILMGNVCVGTTCSLSKNGLLCAIWRNGSTTTSSTPSHPTISRSVPVVQPSSPSSPTSEPPPPQQQLTSTSPPRPIVISEPVRKPTQPHPRPKQPTKPPPSSSTQPQQRHQSQVKKHISSAGLQVESVLQQKAENLKDRYNLGRKLGQGLFGTTYLCVDKATRKEYACKSIAKQKLVTDDDVELIRREIRIMHHLAGHPNIISIRGSYEDAVAVHIVMELCTGGELFDRIISKGYYTERQAAEVARIIVSVIESCHSLGVMHRDLKPENFLFVSNEEDAPLKLIDFGLSIFFRQGETFTDVVGSMYYVAPEVLRGNYGQQADVWSAGVIIYILLCGVPPFWADTKQGVFDKVLHGMLEFDADPWPNVSESAKDLLRKVLVRDPKERLTAHQVLRVFSPSPSSSLTDCNSHSRQSNTTILLAGHPWLEMCSNGTGKTTELNVEHLYEREEMYYK >KN541559.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541559.1:16896:17315:-1 gene:KN541559.1_FG003 transcript:KN541559.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRECREPCQVMGFDVPEGVMVLVNAWAIGRDPAHWDSPEEFAPERFEGGGAADFKGTDFEYIPFGAGRRMCPGMAFGLANMELALAALLYHFDWELPGGMLPGELDMTEALGLTTRRRSDLLLVPALRVPLRDHER >KN541596.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541596.1:24904:25293:1 gene:KN541596.1_FG001 transcript:KN541596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRARVRCRPVHRLPSSWSSAGDELSWEEVSEMSYRYRAPPPSHWLLPRWPIATFALCTEEKWMRCRWWSEESGKKRCGEEMMGRRHRWWGDRRRHGMRVACRHVGYRVGTYASLTASTSYHPSPRQ >KN541559.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541559.1:14869:15348:1 gene:KN541559.1_FG004 transcript:KN541559.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDLKEQPKWCRVLEESSHNKRNKISESEAYTSSSNQEIEEKTERKEKRLERQKAAKQRKKGKGAPSTLGDKPSQNMVLFHEAITTKAATLLKAAEATLIGAEAKIKENAIAKREEARVEKYQMYLKLMEKDTSTFNEAKLKRHEDVLDQLARELVEE >KN541596.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541596.1:1924:2376:1 gene:KN541596.1_FG002 transcript:KN541596.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVMIASGVSCCSAYLSLSILFFGRSGAAAVGSEGESGHGWMMMGGSALVRSSS >KN541596.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541596.1:18410:21142:1 gene:KN541596.1_FG003 transcript:KN541596.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASEAACRRAASCFFEGLVMRMHQYGKLRGHDGCVNTVSFNPAGNFLVSGSDDMDIILWDWLAKTQRLIYPSGHQENVFHARVMPFTNDSAIVTVAADGQSDIIFDNMPYTQVRVGQLNEGGEVTTKQIGAHDDRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRNDSPTKLFTCYSFSNSRRRVRLNTIAIDPWNPNYLSIGGSDEYVRVYDLRRIQLGASNDMNQPVDTFCPKHLMGGKVHITGIAYSYAREILVSYNDEHIYLFQNNMGLGPNPESAQAEFLDRLEQPQAYTGHRNFRTVKGVSFFGPNDEYVLSGSDCGNVFVWRKKGGELLRMMHGDKSVVNCIEPHPHFPFLATSGIDKTVKIWTPSANKVMSLPKNAKQIIASNKRVREIDASRPELTLSSDLIMHVLRLQRRQSELYREHEPAAADLASDDDESFFAGFDDANRNARSNSDPRECIVT >KN541596.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541596.1:7872:10983:-1 gene:KN541596.1_FG004 transcript:KN541596.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA-binding protein ARP1 [Source:Projected from Arabidopsis thaliana (AT3G54770) UniProtKB/Swiss-Prot;Acc:Q9M1S3] MATRPSLIVSSQPAAAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVSSTTPRSHSRFRVATYAKVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRAQPPHLLRPSPPATPGPHAPALPSPHHHQPAPGTHPVQFLTNASYSRPRYSPNYVADLSYNAKLGQAAAAHGTSGAYLQGHFSYPAAAQGGMLAANGMMPVYPYYQYHYHGAQGLGVPAAHFFPPVSAAAVTTVPAIISKPTVMAPPKVEQVTGCS >KN541596.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541596.1:5604:6862:-1 gene:KN541596.1_FG005 transcript:KN541596.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCDKEDEKEEDGSNVHGKGKGEDISNLKDGSIMDNGGQNSGSISEKGASSTPSTQAPYSAGFVAIVPDVVPRHILALGIHRNEKGQAIFTAF >KN541596.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541596.1:22997:23290:-1 gene:KN541596.1_FG006 transcript:KN541596.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGLGIEFWIDLLLTILGYIPGIIYAVYVLVA >KN540364.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540364.1:16443:16898:1 gene:KN540364.1_FG001 transcript:KN540364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDRGHQLVVCRRRAQSSPPPLLPVHTGYASIHMLKDRKHRELQRQCRIETETDGGDRVHTVDLGQDDPSLSGRVVDHVVGFISRIILVHAEEKAIAAILGKDRPVSRQEGQGRVRNKAVLLPLFVLFFSAFNAIQWGIKMRMSWRGTE >KN540364.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540364.1:37808:50973:1 gene:KN540364.1_FG002 transcript:KN540364.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLFLLILLAPHLIVVAASAEAEPPVSFSFDFSVDDPSTYLQNLKFQDDATKPQQKGPVNLTCGLYVCGNVGRMSYAHPVQLYNNRGSRREVASFSTNFTFAIKSINNATRADGMAFFLASYPTTFDPEEGIDHIGIDVNCVKNHDHTKILPNNLTLDGIMTAYITFNGSTQKLVASLVLHDHPSQGPIEVAVGFSAGSALYKELNQIISWSFKSTLGLEKKNYTGLIVGLILGGVIVLVLVLCLLLSRRKWIRIQNAFYKGTAGARRFEYHELATATENFSDDRKLGKGAFGVVYRGFLKQLDREVAVKKIVNELNVGHKDFFSEVITISEARHKNLVKFYGWCIRGHSWNIFHFMCGWCWNMDNKELFLVYELMKNGNLHEYLHESKVAAVQSWPTRYKIAKDIGSALFYLHHDCKPYILHRDIKPGNILLDENFNAKLADFGLSRIANPDNNVMLRTTAVGNAEENVYVHTTAVGTEGYIDPQCQKDGKVRFNCPSDVYSFGIVLLEIVCKGKSREQICGLYRSKRDVVKAADTRLEFGGDFERREMERLIILGLWCSAFETHHRPTMKQAMDVLERDAPLRDLDFITNSALASSDHDACSASVAKSNEVEPLYSGCALLPS >KN540364.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540364.1:20386:20667:-1 gene:KN540364.1_FG003 transcript:KN540364.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLGGGGGKKAISSSSRRRRKAGPELSFRVEDGVWRKEILMEERCQSLDFSGMIYYDVAGRRLEQPPPPRALLHSPLPSSIRLVANAAGGY >KN540901.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540901.1:22871:29944:-1 gene:KN540901.1_FG001 transcript:KN540901.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRAEYSPNDDLLEQEFMLKGRWFQRKDLEVVNGQGKKLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNHLRTDGNISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKVVKRKASFDIMELDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLSPHGSLGQGHWDTEHDIEYRFAQSPTARTATTEDAIAQLRSRRLMSRMEVPTGATAEDRADRNEVMDSDNGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAIMESLKDLELSNTKAAQSVASEAASRENEENGCNGATVTVLEPDASSTSVRPTPTDAPGKDVTTCSTKAKSPEVESASNHTTNNAASANTSSSLESNASTHVTNGKSSSSESQKPTQNANGEDGTRATLVVQKSRTGSLMDGLTHKWGSLFKNND >KN543297.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543297.1:260:3135:1 gene:KN543297.1_FG001 transcript:KN543297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGARAAQLPYASAAVAPTPTPSLSGFARRLPLLASLALSPLPPSFSASASAVRRERDPPMRPVSGALSRSRPTTRVFCSAAATAPREGKELLVQHLLVGEQDVRLLVDLEKNIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCVVEDIPPEELHAKMQDPNFLEEAQLIDVREPDEVDKASLQGFKVLPLRQFGTWGPVMTDEFDPQKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >KN542935.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542935.1:304:1133:-1 gene:KN542935.1_FG001 transcript:KN542935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWSKYRPPVNWGRHFKGWKRTPEDLSSEQSAIEAIAKSLCWTKVQQMGDIAVWQKPINHVSCKASRNELGSLGFCNSNQDPDAG >KN543297.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543297.1:5574:7604:1 gene:KN543297.1_FG002 transcript:KN543297.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDNDDKNHQLENGQSGAVMASNSSDRSDRSDKLMDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEVFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLADTLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQASIFTF >AMDW01040504.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040504.1:602:1546:-1 gene:AMDW01040504.1_FG001 transcript:AMDW01040504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVFLVPLLNEVSRLECIEIKLWRPPQESLCTMRNLVATMLVQELESLGMGMLLMLVSATGQFFGYSFTFAEGPWRCLHQLQPCELATVQDCWKEIELGYCILEIEKKYGDNSEHGQCFIEAPHLPWDPAKFMFILCEDQAASYVHENKLYWSNDGSNERTLMVIVSHYIIGCAIRLQLFYLPIELQPYTPPHYLARETSWLRAANSYGRNYLSTVKGLLKRFKEDLSSKLQQFPHFTDLFEWHCHKNLWVLSCWGYKMVVLSWMIWNYVRRISWFASYRQSFAGKLWKCPELFCDKGELLGGDMQQKKFNRSFFE >KN540734.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540734.1:32747:42620:-1 gene:KN540734.1_FG001 transcript:KN540734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAMEISTSLLLTTVALSVIVCYALVFSRAGKARAPLPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKVYGPLIRLRFGSSDVVVAGAAPVAAQFLRTHDANFSSRPRNSGGEHMAYNGRDVVFGPYGPRWRAMRKICAVNLFSARALDDLRAFREREAVLMVRSLAEASAAPGSSSPAAVVLGKVVNVCTTNALSRAAVGRRVFAAGAGEGAREFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARMKKLHRRFDDMMNAIIAERRAGSLLKPTDSREEGKDLLGLLLAMVQEQEWLAAGEDDRITDTEIKALILNLFVAGTDTTSTIVEWTMAELIRHPDILKQAQEELDVVVGRDRLLSESDLSHLTFFHAIIKETFRLHPSTPLSLPRMASEECEIAGYRIPKGAELLVNVWGIARDPAIWPDPLEYKPSRFLPGGTHADVDVKGNDFGLIPFGAGRRICAGLSWGLRMVTMTAATLVHAFDWQLPADQTPDKLNMDEAFTLLLQRAEPLVVHPVPRLLPSAYNIA >KN539153.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539153.1:61168:64778:1 gene:KN539153.1_FG001 transcript:KN539153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATGLEREELAAELKGEKRFDMDPPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEHHH >KN539153.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539153.1:119206:124080:1 gene:KN539153.1_FG002 transcript:KN539153.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIFKARVYGTRVPDGVVYPGERDAAAPDEAAAIPLPDGAEAFGLPFVPPYLAGGDFRQGANFAVGGATALNGSFFRDRGVEPTWTPHSLDEQMQWFKKLLTTVSSSESELNDIMTKSLFLVGEVGGNDYNHLIVRGKSLDELHELVPKVVGTITSAITELINLGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRNLYPDVSIIYADYYGAALNIFLAPLQFGFTVPLNSCCGSDAPYNCSPSILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYANPPLSETCRGGAYKVSQLHQCTDNPTNTVTYDSMSSFI >KN539153.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539153.1:41590:45993:1 gene:KN539153.1_FG003 transcript:KN539153.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLPTAAPAWPTASSWQRMWVHPGPSFPHRMMTRHPLPSSLHAARLMPCKCTAPSSPIAKHHFEEQINTTLRNIHSVEFYSSNLHEVALRFRLLRQQGYWVSPDEFNKFKCEDGSFKSDINNDPKGLLNLYHAAYLLTHNERALKEAILFATHHLELLSGSLEFPLAEQVKRALQIPLPRTLKRVEALNFIFEWWKDVSSDINLDYTRDRVVECYFCAYVVYYEKEYARARMMLAKKIMLISLLDDTYDVHATLEEARKFNEALQRWDKNAVSLVPEGLKRFFLSIMSNFRDFEDELEPHEKYRNAYNIKAFQILSNNYLQEAEWFHQKYIPSFTEHAAVSLVTGGAIELPVSIIVGMGDIATKDAFDWALSCADAGRAFGEVSRFMDDLAVSQNGREKMDVANAVECYMKEHGVTSDVAEAEISEMVEGAWRTLNQARFEDRVYLPFVQRIANVSMSIALLFHGKRDGYTNSHELKDMFESHFVNPIPLNHLDAVEDM >KN539153.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539153.1:82604:86487:1 gene:KN539153.1_FG004 transcript:KN539153.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGPSYWILFLCLMLAIANFLKIFSNSIVHASSKFINSQAYTILMQIKWICPTAPTRAVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVKLGIGGFSMGAAAALHSAACYAHGRFTNGVAYPVTLSAVIGLSGWLPCSRTLKSKMDSSQTALRRAGALPILLSHGRADEVVTYRNGEKSADFLRGSGFQYLNFKPYNGLGHYTIPEEMDDVCKWLSSRLGLDRSRG >KN539153.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539153.1:88455:91091:-1 gene:KN539153.1_FG005 transcript:KN539153.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSTRAVSYERRRSRVSPYPSNGKGCARRSEESAAAAAKQAAEWEDVRCPVCMDHPHNAVLLVCSSHEKGCRPFMCDTSYRHSNCFDQYRKASKESSKDSGASAAAAPECSECQQPIKLSCPLCRGPVSHWTKDYDARKYLNAKVRACTKESCEFRGAYGQLRRHARENHPTVRPTQVDPERQRDWHRMEQQRDLGDLFSMLRSGLSAREDGIGVSEGEEDISERTLHSPSITMVFIVRTGRSILHYREAFPGHHRRRTILLLGEAFGRESSPLGGASGSGDADTTARENDEGDDDVTLSTEASVGSQHDGEVDGIQLSLLLPPFLELQIYSDTEEAALDIQTKDRRCRCKWRSRFGCVRLRLFVLNLWMNRMVASVRNQVKGCACCNCKSGCRKLYCECLQVTETDIQRFDDNGDFSGTSGYSSGTIMGQYKL >KN539153.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539153.1:27094:29288:1 gene:KN539153.1_FG006 transcript:KN539153.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAPLPAMASLALPILRSPHNLTLTVVAFLFFQALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVETPALAPPDVTIDLAAQQQHEAELAAAAAQPLPDDDDDLIE >KN539153.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539153.1:137826:137996:1 gene:KN539153.1_FG007 transcript:KN539153.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDGVVPELTTVDVATLELVVAGSSDSKLVTMLMAAVDTKKRRKRSWRWWMRGG >KN539153.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539153.1:87412:87738:-1 gene:KN539153.1_FG008 transcript:KN539153.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAALQQGRRLPSNVTKALQTVVGPRAVDGGWASVTDGDAGDGGRAGARDGRAGTAGGPALGMAMLARTSERKWAEMRRGAVVALETALGDAMLRRKGPWAMRIK >KN539153.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539153.1:49773:51779:-1 gene:KN539153.1_FG009 transcript:KN539153.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGVTPDAKSRTDLLAVTARSASAADALALLAEMRGKGRPLDAWMFDVVMRACFKEGMYDDAVRLFDEMPASEIEPDQRVYSVAIASLCKLRDANRALLALRRMQDAGFVPWDFTFNSVVDVLVKGGRMEEALHIKDELLATGKKMSVVLATTLMHGYCLQQEVRKALDIFEETLRDGLVPTDVTYTVLIRGCTEEGMPEKAYELCRQMRDHGLLPSTNEFNMVIKGLLNDKLWKDAVSLFKEMADSGIPDAFTYNILIHWLCQRRKIREALNLWEKMNETGVKPYIVTYHSLLLCYCVNGCMDEAVKLYTEMPGKGFTPNVVTYTTLMKGHINKAAFDKAYALLAEMKQNGVSCNDYTYNTLINGLCVVGRVCEVGEMLKRFETEGFVPTAMTYNSIINGFIKAGMMGSAFAVYQQMCAKGIPPNIVTYTSFIDGYCKTSCCDLALKILNDVRCKGLRPDIAAYNSLIYGFCQEGNMSHALQVLVLMLKDGLLPNISVYNSFITGYKNLKMMEEALRFYEKMIKEGIDLDTATYTTLIDGFSKDGNVTFALKLYSEMVAKGNIPDHITFTALTHGLCRKGDIDDARKLLDEMNRLDIRPNVLMYNMLINGYLRDGKLQEAFRLHDEMLERRIMPDDTTYDILVGMKSLGSDSPIDAENPNLSSTG >KN539153.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539153.1:20815:23867:1 gene:KN539153.1_FG010 transcript:KN539153.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPLVVHRLTGPKASRVRVQRDGAPHVLYTSRHSSNFTSSIHYVAYYNILLGSYCGLLPNGNFEEGPPKSDLVNGTVVRGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAVTFSAARTCAQAEQLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKMDEVALVIHNPGVEEDPACGPLIDGVAIRALYPPTLAKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFAVPQGARAVELVGGKESALVQEVRTVPGWTYRLSFAVGDARDGCAGSMVAEAYAARASVKVPYESKGTGGYKRAVLEFAAIANRTRVVFQSTFYHTMTDGSLCGPVIDDASLVGLRKKTAGRRLLL >KN539153.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539153.1:98939:104540:1 gene:KN539153.1_FG011 transcript:KN539153.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMYGETDASAVSVSPVLGSAEADATEIDVIAGMQEEAPGSTPAMVVMYGETDTAAVSVSPVLESREPDAAEGDVVAGVQEAHGSKFSMEVMYRETDAAAVSVSPVLESGEPDKAENDAVEEMQEEAHGSRFAMEAMYEEPDASAVSVSPVLESVEPDVTEIDVVSEMQEEEAPDSTLAMEVTSGETDTAAVSACPVLDGGDPDAAEKNVVAGMQEEEAPDSTLAIEVTSGETDTAAVIVSPVLEGVDPDAAEIDVVAGMQEEAPGSTLAMEVTYRETDATAASVSPVLESVEPDAAKIDVVARMQEEAPGSTLAMEVTYREVDAATVSVSPVLESVEPDAAKIDVVARMQEEAPGSKLAMEVMYGETGTAAVSVPLVLESGEEGSLQESMQRPSSPTMNIEQESTQRPFSPTIGIETSSPEMAPAGSENCKVSWLPLPPPTPLGESMPSLPVAAAPKVLLVMPEEAVESVPSSEALDAEKPASITQAEPSSPNTPPPGFENFKSSWLPLPTTPPLVETTDVLPDVVVTKAVEAPIEEVSRPLPALEVTNMESDTVLSILPTTVLPTEGTEGLLQQPLLRPPSPVVQSEPCLQNEMAPPGFENFKSSSEPCSAEEIAPPGSDNFKSSSEPCSPEEMAPPGFENFKSSSEPCSQEEMAPPGFENFKSSWPPLPTLPQTVPDAAAADALAATVEEAAGPPPALELEAMDVDMDAIHPPPLPFDSGVESSQKPLPRAPSPIMQEAPCSPDRAPPGFETYKSSQLLLPSPSLAQTTNVRQDQSVTEPVSVIEEAPQPLHSVEVMGAHMDAVPPLLPSSESGADGLSPQQFAQPPPAEKDTTTCLPDMVHSGCDNSEPSQLLSLPAVISPVQTPDGLADVPAIDRVAVALEESPQRPLVSGEMEAGTVPIQSSPLKNVSEGSLPQLESESHSPTSQAADSLLDASDSKSVAVASEEMSQLPLASQATTTDLVSMTAMQPQSEGIVDESLQPQHPPSSTAHDSPCLQDSVPLVPPPPSPYLNKEVGQMVCGSCRILLAYFRGAGYVHCTCCQTMNYVLEAHEVGKVHCGHCATLLMYPFGAPAVKCSLCLFVTEIGERNVRRRLSIEQPTRTNSSGLAEA >KN539153.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539153.1:53046:56318:-1 gene:KN539153.1_FG012 transcript:KN539153.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPWKVLEFYSGIGGMRYSLAASGARAEVVEAFDINDVANDVYELNFGHRPCQGNIQTLTASDLDKYKAQAWLLSPPCQPYTRQGLQRHSADARAFSFIKILNLMKNMCFPPQMLFVENVVGFEVSDTHDQLIEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPVSFQNPSDNSKLLRTPIFLTLVRAGHNRCNPNEDELELVCKPISDFLETRSLNVADQDSSGTISDNIVCPESKRCCCFTKSYYRYVKGTGSLLATSNASLVLPLNLNIHGSFSFYDYLLKLQNLKQISKEDLEISSLKELGLRFFTPREVANLHSFPSSFHFPDHISLRQQSHCLNGLLSLTYLLWQLAHDATQDKHIDLPLPVLSTLKPGWFVGMIRVLPEADGCGSTLQPNWSEFPTVVQLPTSRAWGLVDPLVGSIVKKTASMMARPVSVKLTRILPCRVEKLLQHFPFAPGISFNNNVECLRYYSI >KN544028.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544028.1:3434:3730:-1 gene:KN544028.1_FG001 transcript:KN544028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGFRNASGFDAAGVDMAAVGVLDNSFYHANLQNMVLLRSDWELRNGTDPSLSDSLFAFRENATVWEMEFAAAMAKLSVLPAEGTRFEMRKSCRATN >AMDW01039488.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039488.1:44:955:1 gene:AMDW01039488.1_FG001 transcript:AMDW01039488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACMLIMQLQRRRHKKEKIEYFKQNGGLRLYDEMRSRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTK >AMDW01031587.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031587.1:44:278:-1 gene:AMDW01031587.1_FG001 transcript:AMDW01031587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVD >KN538787.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538787.1:69783:80132:1 gene:KN538787.1_FG032 transcript:KN538787.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLKLFQVFPLLTKKFSYEEQADLVWQFLCNIPVNMMAEFLPWLSSSVSSDEHEDIRSCLCKIVPEEKLLQQVVFAWIEGKTTRKATENSTKSNSEATCDCKDASSIDHADNHISSHEDSKAGNKKYAESIDGQVERHPIDEILYWHNAIRKELIDIAEETRRMQQSGNFSDISSFNARLQFIADVCIFHSIAEDQVVFPAVDSELSFVHEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSELCSHADQIMETIEKHFCDEETKVLPQARMLFSPEKQRELLYKSLCVMPLKLLERVLPWLVSKLSDEEASSFLENMRLAAPSSETALVTLFSGWACKARSEDKSNSGEYLCLTSGEMRCLLDEVDGLEKCRPFCPCASRSNTDASLHPQTENGSRPGKRGNDAESVPGTNGSDLSQTDDTEARPCSKKPCCIPGLRVETGNLAISSSLASAKSFRSLSYNSSAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFGDISDALAELSQLHERLTHPHIEVSEAEKNDFNSSDEIDWTRKYNELATKLQGMCKSIRAALTNHVHREELELWPLFDEHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNMMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSEEASSAPEDSHLQDKIDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPKSEECSEGAGIPGCAPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKISDHTMERILMLPDHTRESDTGDDVHGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAFAYFGESAYFSLCKSREYLLSCLHGTKISTIIIILMVYFGMLDALLAAEELPEEYRDRCQHVVGPRLPWAAGVSSSPCGWPDIHYGSSSVRHGGHVGQRGDAVMPIDASTGARSTVEDVGALAADREERVCLDAVAWLRRSLAMPDELPRPRHMTSSPFDMLSGGGATQDLVVDTSAIVMGDGSILLPVRMARCPDRRCWRWRFTGRSGRALRSSLSLTSLAPKPSPHSSTMAVAPHSSTASMPCAVRAWVSCHRVWMANGGT >KN538787.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538787.1:16485:17462:1 gene:KN538787.1_FG033 transcript:KN538787.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPLLLLSFLLLIGVHASVSHGSPLPPTYNTSICSKSYKCGDVNISYPFHLSNAIGGTYGYAPFSCGYTDLDITCRWDRGKETPTIQLNGSDYTVLNISYDSHTIVLADTDALRGSCPRVRHNVTFGQAYEWLQYTGPSDNLTFFFGCNLVPPPPMDPGLTRFADKYQINCKNFSNLPNDGDSFVFTSGELDASTESELDRRCSQIIVVPVNGDILNSSNQSALPSGEYGKVLKKGFELAWKSRKDEQCYQCEQSKGQCAYSQYRAFLGCLCSDEKVSTQDCRNSGAPPPTSSKSFDADLFFNSLLQSQQLVDYLALSIPIFR >KN538787.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538787.1:179522:179635:-1 gene:KN538787.1_FG034 transcript:KN538787.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCTSLNWSADGSTLYAGYTDGTIRIYKISGFSYAG >KN538787.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538787.1:4743:5820:1 gene:KN538787.1_FG035 transcript:KN538787.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLLLLPVVLVLFAAADASPSACRNATCGGQTVAYPFWLLNSSASALGCGYPGLGLRCVDNTALILPFRTHQYRVLDIEYGKHTISLTDADMQHSDTNSSCPHLHANLTIDDNSWLQIASSDSNITFLYNCKSNSSLLSSTSAVKLTGCGAEPEHIGSSYVFLDGWITGEAYDYECETVVVAPVIDAHKKAMVGVPGSLPPANKSFGEVLSAGFELTYSAHSDKCGKCERTKGWCGYRHNETSTTMDFTCFCDEGPTKSHCECDTAENDFSGP >KN538787.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538787.1:46120:47773:1 gene:KN538787.1_FG038 transcript:KN538787.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGAGDADGGDGAKRKEDALASSRLLDPDFKPSKLSQDRLDKFKELHNKRLQIKEKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVVKIPAAKAGKLY >KN538787.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538787.1:19380:20303:1 gene:KN538787.1_FG039 transcript:KN538787.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCRRRRLLLLLLLLLLVAASHGDASGDTYDTSICLEKPTTCGNVSISYPFYFPDKTRDISGSSNSYCGYPGLAIDCDDGKPTLQLNGAEKYKVNNIDVGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLFFPAGMSLDYLVFFLGCSFPNLFLSPENTDPITCSFIGLTGQSYVLPKDQVPPGNWPRFCQKTYEVPVVKYQPMNPKGDAWRKGGYGQVLLQGFPLSVNDSRRPPNCTQCEESKGRCGFSQAGEFIGCLCPNGRVRPLRCGASDLTRDLTTGKPFVSRFVLFLQRPKGVI >KN538787.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538787.1:114044:116489:-1 gene:KN538787.1_FG040 transcript:KN538787.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGVGRDGSFASQKRPRRVSVRMERSRVGDGCCCSCSGRGGVASTTAARPSTGMVVIVGATGTGKTKLSIDAAQELAGEVVNADKIQLYDGLDVTTNKPQPGCGLEMLSEKKAETGIL >KN538787.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538787.1:96797:98735:1 gene:KN538787.1_FG044 transcript:KN538787.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDQHGPVIGIDLGTACSCVAVWQHGRAEIVTNEHGGRATPSYVAFTDTERPVGDAAKSQASRNPTNNVFGRPEAVAVQGCPGPWRQADGCRELQGQAEAARRGGGRVHAALKDEGRGRAAEAYIGGPVKNAVVTVPASFDVLQRRATKHACAVAGLDVLGVIHEPTAAAVAFGIHEIAGDKNVLVFDLGGDRVRATAGDPHLGGEDFNSRMVEHFVAQFKAEHKKDVGRNARAMVRLRAACEQAKRTLSSASWAAIELERLHDGADFYSTITRDQFDELNLDLFCKCLDPIKKCLTGAKMDRSSVDDVVLVGGSTRIPRVRRLIQDLFDGKELRKDISPDEAAACGAATMASLGSDDSLVDLFLFDATPHSLGVAAAGGAMAVMIPKNTPIPVVAREKTISIEPNHKKGVVISIFGERPQASENTLLCEIELPGAHSKAKPGVKLSVSVCFSIDADGVLTVSARDKVNGHKNQMRIMQQGQLNKKEIERMNMEAKEYMAADEEKERIKANNLLEEFLYNKRRAIEDERKKVDDALSAVEEMIQKVHSDHVSTARELSEALKKLLIECSSSTIAGKQE >KN538787.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538787.1:23705:28474:1 gene:KN538787.1_FG045 transcript:KN538787.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRVLLLLLLVAAACRGDPTGDTYDTAMCGAQASITCGGVIVRYPFYLSNATRALPKYANSSTFCGYPGLEIICGGGGDKAVMMLGNDSYTVSRIEYASLTVSLADADVANGTCPVVSHNVTIPPPPSSLHLADTVGMLIFFFRCAFGPAANAPPKPPSIHPLTCGENSEDEPTQSFLLPASPLPPGDLWHRGCEAVYGVPVLGGSLPSDANDPAWRKDGYIASLRKGFQMSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLVDSDACSKISDSTLRLAGSKLKKKIITGVVGGVLSAVFALGLIAIVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSQ >KN538787.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538787.1:29276:30551:-1 gene:KN538787.1_FG047 transcript:KN538787.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSEHHFNRHHMFNRYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEITMKEGASSKDSEPASSEKPQEAPKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >KN545591.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545591.1:681:809:-1 gene:KN545591.1_FG001 transcript:KN545591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCYLVSYPSSYLPPPHPLVIVDSTLNSSTSEAQTGKIKP >AMDW01036480.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036480.1:64:294:-1 gene:AMDW01036480.1_FG001 transcript:AMDW01036480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVQEYNGELDDFDAMA >AMDW01022648.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022648.1:67:291:-1 gene:AMDW01022648.1_FG001 transcript:AMDW01022648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >KN545079.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545079.1:1149:2330:1 gene:KN545079.1_FG001 transcript:KN545079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAKLVVFVLLALLGSSNGDGYTSPGPVAGGLTVGHYKGTCDRAEEIVRDAVKNAIRGGDRGVGAGLIRLFFHDCFL >KN541992.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541992.1:4172:5452:-1 gene:KN541992.1_FG001 transcript:KN541992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGTLSSGITSGSSHGTRSSGSEGDIQLQVQMDLKRKRRKESNRESAKRSRLRKQQHLNDLTSQVNQLKMENQQLTTTLNMVTQSCAFAESQNSVLRTQMMELDSRLSALREIIFYMNNGNQSPSNPTIAATTYPSTTTMTAATTGHYDYYDVFTANAWGSGMQMMQQPIDQFLYQC >KN541555.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541555.1:718:2272:-1 gene:KN541555.1_FG001 transcript:KN541555.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLAAAVASASAPAAGAQQGGVLDAYKKALATAASVAAYAVLARSMSRELLPDELRAIRELLAGVEATPAEVSEMLLRSEDADAALRGLVEFLRERTRRRARQEAAIENEVVAEKGNAA >KN541555.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541555.1:16214:18019:-1 gene:KN541555.1_FG002 transcript:KN541555.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALFIPILLHLVTRRKYSSYNLPPGSLGFPWIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKVSVFGSPTVLMAGPASNHFVFSNQDLIFTQTKAINVLIGRSIMTLSGDELKQVRSALQGYLSPEMVTKYIWKMDEEVRKHIDLNWVGHKTIKVAPLAKRLTFNIISSAMFGQGAAPFREALATGFEKVVRAALSIPVNVPFTKFNKGLCASRRIRKLLGQIAHEREVAFQQGHCSSADDFFTYMLALRSEGKHSLTVEDIVDNAILLLIAGYETSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNEPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRDYHIPKGWQVFTAQIVTHLDANFFDEPSKFDPSRFDNLSSIPPYCFVPFGGGPRMCPGNEFARTETLVAMHYLVRQFRWKLCCKEEGYRKDATPMPVLGLPIVLETRSPPDYAHA >KN539723.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539723.1:31509:33601:1 gene:KN539723.1_FG001 transcript:KN539723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNGPFGRLPEHLLVEIFIRLPTCEWVQISCVSKHWASIFQGECVWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSQNLVSSGGDIDELVGHTYLYLKEQLERPVVAPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENHQTFLLLKHLSQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSRVDYHDALAGAKSRFQPVPSAWLGH >AMDW01039146.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039146.1:222:816:-1 gene:AMDW01039146.1_FG001 transcript:AMDW01039146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YCSSKPAVTKFRSGPAYADFMRQWHVAVYFTLKFQDIAGGLDSALTATITPVGTHENQEKLKTLLLKQSVKLLESLQACWSDDVLVFSHCDKFLRLSLQLISRYTTWLSSGLASHKASDGGTSSPADAEWALSVPVEDFIY >KN539723.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539723.1:63128:78085:1 gene:KN539723.1_FG002 transcript:KN539723.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNQKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQSFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLYSLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKTATKIALLIVQRGYTMNPPCPASECAHMWGPSLIGSLKDISLHNSLRQPALDLINILIISDASALISFKMKYESFTKGDVSNSVIFVDDDDELPVFCDAEEMDYGCWNDFNVLYKLTCRECKDWRCVPLLWYLIMVQLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSSVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMMFQEMFGSNLYTILSDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVSMFTEPIACIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVGHSEPRMPTEDRHVSLKQQICSPASDTVASSKPVSKDRSIIAAKEGLGRAKVPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSVLSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGLSFSYVYQQFVTIPGINKGNFTLVFSAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASASKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSFDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQWEDIESLLSSALISSKKPSSKCPSKKQK >KN539723.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539723.1:7365:8854:-1 gene:KN539723.1_FG003 transcript:KN539723.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMARKGGPRDRRPIHPMTGRPLDLEGVTVVDDSNVPEGGAEEPVLEE >KN539723.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539723.1:34253:35469:1 gene:KN539723.1_FG004 transcript:KN539723.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLLLLLLPLLIFSIGAALACADLMLDRFEQWMIRHGRAYTDAGEKQRRFEADIAMPGESSDDILPKSVDWRKKGAVVEVKNQGDCGSCWAFSAVAAIEGINQIKNGELVSLSEQELVDCDDEAVGCGGGYMSWAFEFVVGNHGLTTEASYPYHAANGACQAAKLNQSAVAIAGYRNSEPKTDADGGGAAKGGEKYWIVKNSWGPEWGDAGYILMQRDVAGLASGLCGIALLPSYPVM >KN539723.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539723.1:47768:53241:1 gene:KN539723.1_FG005 transcript:KN539723.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATESALPLSGGTGAGKWPPSKDTGSYDSIPASLSEDELAELAFMPNSGGIFGKWRGSVLERSGSAPPTMEGSLVALGHLTGQQSGNLGAILPNLGTEANNSESKENIYYDSACVKYYMSKVNLNPRFPPPLVSRNQFGKSEERKPFSLDDSSSRSLLLGHPTLPTHKEEPEDEKSPSFDSSSADDAQCDSAQSTSNLEGHSPNLVDSIKENFHRSNGLYDNSFDLLNANSGDGGSIYSGISSSKNSSLDVVQSLDLNGFPPDAHQCTPRPIGTPVSTKLTSDSLPASSPPTSSCSYYSTITEACQQRNPSMAVKPGEPVGTMLDSSDFSVKNLNISPDILSSSYVMQQWQKNAPVWNGLSNVVHGDPVPMIPPGINLPQVPFVDNSRFGHMKFSGDVQLMSQIGMATPFCTPNSFGIPCYPNLQSPNVWVPPFGIGGYGFPGPFVPPVITNFTPQLPGFPLAVNLAAGTDLFHPYKMYEHLGVPMPSLVPDQSLTHYFQQPPIHPYGVGNPYDTMVSSNNFVGNPASVFGSPIIDPSEQKFQIPVTTVAANASTPIKGGKSIGNYETASPYFGIPMPYPAGPTLHGQPASGTSPCDKRNDVKGFQPPQKNMPVSSEIQGQKRREKFDDPKAHFSVEELISNKTHRVELSDIKGQIVKYSSDQNGSRFIQQKLENCTIEEQNLLFAEVLPHALELMTDVFGNYVVQKFFEKGSPQQKREIGDKLVGHVFSLSLQMYGCRVIQKLAKYYLSKFSSDCYSIHGIVYSKHQLALEVIDLEQKIVLVGELDGHVLRCVHDQNGNHVIQKWIECIPLEHIGFLVSSFQGQVAKLSMHTYGCRVIQRILERCSNNSECLCIIDEILQSACILAQDQYGNYVVQHVLEKGNEHERGQIITKLAGQVVPMSQNKFASNVIERCFEHGGSAERELLVKEILKQTEGNNYLLVIMKDQYANYVVQKMLTTCNEQHKEILLSRVKIHLPLLKKYTYAKHIVSLVERLCGDGAVQSESKKTVTKGC >KN538702.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538702.1:394594:394941:-1 gene:KN538702.1_FG057 transcript:KN538702.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSDDGAAQQAVLDAAELIGTAGGAKKRPRSEPHVTSDDVVLPASFDSDNNNTAAGLLPFDDPFLFGDQFGDLNGGAFASLMDGLFAAGEVTNVAGESVGLWSFGDDCLSAYY >KN539723.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539723.1:4323:5568:-1 gene:KN539723.1_FG006 transcript:KN539723.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MCAACPRELQVECGGGGAIACRSACEAFGQDRYCCAGEYGTPAACRPTAYSAIFKTACPRAYSYAYDDSTSTFTCKAAYDYTIAFCLPTSGIKKSDAVFLGAQIIDGDGGNVPP >KN538702.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538702.1:462204:465136:1 gene:KN538702.1_FG058 transcript:KN538702.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIAFLFLLLLLAASSHAAASPVPQPRGFYINCGSEKEEQIGSIKWIQDEGFIAVGNMSAVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSLYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEDFWNVPPANALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKTEIVLTPNETSPVGPLINAGEIYQIVPLGGRTATRDVVAMEELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPINPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMRKIQLDDEGRRAKASETVG >KN539723.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539723.1:36830:39943:-1 gene:KN539723.1_FG007 transcript:KN539723.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVAKLMRSPSASPSPDPKLVKEGQVVIRARNLRINSQYIPAKAFKSRKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTPRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGGNPTMGLAAEKDNLDIIQHDWALPKMEHHAEEVLRKLLKK >KN539723.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539723.1:20600:22754:1 gene:KN539723.1_FG008 transcript:KN539723.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMEGSGGGGSAKKKEESLPPGFRFHPTDEELITYYLRQKIADGGFTARAIAEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSIPKSNKDEWVVCRIFAKTAGVKKYPSNNAHSRSHHPYTLDMVPPLLPALLQQDLFGRGHHPYMNPIDMAELSRFARGTPGLHPHIQPHPGYINPAAPFTLSGLNLNLGSSPAMPPPPPPPPQSILQAMSMPMNQPSTTNQVMVTEQMIPGLANGVIPQGTDGGFTTDVVVGGTGIRYQNLDVEQLVERYWPGSYQM >KN538702.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538702.1:368381:371433:-1 gene:KN538702.1_FG059 transcript:KN538702.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQAAESYTVEELVAVNPYNPDILNDLEGFVNDQVSNQTYNLDANLSLLRLYQFEPERLSVQIVSRILIKALMAMPGPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEASKNRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEHHIANSGWVIEKGARSQLIVLPRNEFNHPELKKNTAETVPFEHVTRIFPVLS >KN539723.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539723.1:2277:2399:1 gene:KN539723.1_FG009 transcript:KN539723.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGEARTAMEAGVELRHFRIGRFEGNPEGNLAENKVKN >KN538702.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538702.1:324160:326245:1 gene:KN538702.1_FG060 transcript:KN538702.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGPYSALVSLASDSGGARPDLAGAAAAYTSFTDESGYDVSVSKSRYGFQTIREEEEKKDSQDAKVRVSEIWRLQRREGPLLILGFLMGIHAGAVFSVFPLLLGQAVEVYFDADTARMKRQGEYLAMAVVGLGVACILTMTGQQGLCGWAGARLTTRVRDRLFRAIMRQEPAWFDEEDNAMGVLVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFGLDWRLTLVAMACTPLTLGASYLNLLINVGARSDDGAYARASGIAAGAVSNVRTVAALCAQGSIVGTFNRALDGPAAKASRRSQLMGVILGLSQGAMYGAYTATLCAGAHFINNGVSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPAAIAGILTILKRRPAITGDSTKRRITIKDGKPIDVELRKVTFAYPSRPEVTVLSGFSLRVKAGTTVAVVGASGSGKSTVVWLVQRFYDPGDGKVVVGGVDARELDLKWLRGECAMVGQEPALFSGSIRDNIGFGNPKASWAEIEEAAKEANIHKFISALPQGYETQVGESGVQLSGGQKQRIAIARAIVKQARILLLDEASSALDLESERHVQEALRRASRRATAITVAHRLSTVRDADRIAVVSAGRVVEFGSHDALLAGHGDGLYAAMVKAETEAQAFK >KN539723.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539723.1:54682:56351:-1 gene:KN539723.1_FG010 transcript:KN539723.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAVAVAGAAAGIAGTLVCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIRGLYAGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKTSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALAEVIQEEGLPGLYRGWGASCLKVMPNSGITWMFYEACKDILLADKDKRKA >KN538702.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538702.1:431701:436298:-1 gene:KN538702.1_FG061 transcript:KN538702.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 10, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/Swiss-Prot;Acc:Q43128] MDELGEPLLGLENFFDEDVDLENLPLEDVFEQLNTSQSGLSSADAAERLKLFGANRLEEKRENKIIKFLSFMWNPLSWVMEAAAVMALVLENGGSQGTDWEDFLGIVCLLIINSTISFIKENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGIHTFFGKAAHLVDSTEVVGHFQKVTHRSSDCNKNLTSGCAVLTSIGNFCICSIAIGAIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSREMDREMIILLAARASRVENQDAIDMAIINMLAGPKEARSSITEVHFLPFNPVDKRTAITYVDSDGNWFRVSKGAPEQILSLCYNKDDISEKVQLIIDRFAERGLRSLAVAYQEVPEKSKHGHGGPWVFCGLLPLFDPPRHDSADTIRRALNLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGRHGGGGGGEAVPVDELVEKADGFAGVFPEHKYEIVRMIQGEGGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFKVRSLKQNSDEISSAMYLQVSIISQALIFVTRSQGLSFLERPGALLICAFILAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYAPLDLIKIAVRYTLSGEAWNLLFDGKSSPMASVQICAGHG >KN539723.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539723.1:26273:29333:-1 gene:KN539723.1_FG011 transcript:KN539723.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPCLELGYNARGSFCSESKSSIAKQTQELKSKDDGIQKLEKLIEEKSKKIATLQSEITSLETKRSLAAEEQAGKANARAIELEKQLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTTQLNQLKEICSMPVHGAWLPPWLVTHTARAKEMMLSHWNEHGKPAVNSLLQKASEKSVQAKKWAEPHVEAAKTKWIPVIKEKWVTMKTNAEPYVQKVSAKSIELYQASKDVVLPHVVKAHKIADPYFQEAKKVSKPYIDQVAKATKPHVEKIRIILKPYTKRAVHIYGNFLEKATTYHQQLIVISFFPSIFSYALQLIGLHTLCFCHTSPIAFEFRNSLLLRVAVIDLN >KN538702.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538702.1:465638:467268:-1 gene:KN538702.1_FG062 transcript:KN538702.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMEKGMTAYEAARERTVEENKRKMEALNLRHLSAAIAVAPKTPSPMKQKRRRIIEAAVVAPSPPRRSRRLANLPEVKYAEVAPDGSERMKRSPRKAIDSIYLATRGSISMEARLEAARKAEELESQLDPEFPSFVKPMLHSHVVRGFWLGLPRHFCETYLPKHDAIVTLLDEKDEQFDTNYLAYKNGLSGGWAGFALDHGLLDGDATVFQLVKPTTFKVHIIRATVDDGNEVTK >KN538702.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538702.1:317085:319081:1 gene:KN538702.1_FG063 transcript:KN538702.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNVDKTQMMKDVKQISVYMAFLAAVVVVGAYLEITCWRIIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKMPGFVHHVFTFVFGYVVGFAKSWRIALAVFAVTPAMMACGMAYKAIYGGLTAKEEASYQRAGDVAQQAISSIRTVMSFVMEERLAGEYAEWLDKAAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSRLVANGEIKGGDAIACFFGVMVGGRHAHQPPRTRFLLSSMAPVAGVSWGLALTLSYMAQFAQGTVAAGRVFEDVEFAYPSRPDAMVLYNLSLVIPAAKTLALVGVSGGGKSTMFALIERFYDPTRGTITLDGHDLASLNLRWLRSQIGLVGQEPVLFSTSIIENVMMGKENATRHDAISACAMANVHTFVLALPDGYDTQVLTVNTHTINLTDYLLINHR >KN538702.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538702.1:437227:447701:1 gene:KN538702.1_FG064 transcript:KN538702.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLDDGGIYHVERREQQAPKGISTTTSSTILTPASPLSLSHRPKTPTPPHHSRCFRRPPPLPRRRLRHSCVLICQIRSEYNFRSCFSMDTGCLSSMNITGTSQTRSFAGQLPTHRCFASSSIQALKSSQHVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMVGGQAYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHVRSLGGEVRLNSRIQKIELNPDGTVKHFALTDGTQITGDAYVFATPVDILKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKDQMGDVSVNRPIKAEPAAGSIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRTSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEIDAESSKACKRRKLRSALTRNYQVVPSDQLFASTKAVGRKDAPE >KN538702.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538702.1:408574:408923:-1 gene:KN538702.1_FG065 transcript:KN538702.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFVYKGEHAFCSAECRSQQMAREERREIEMLVRRRRDAFHSQRASPPAKIGGHARLQIAAS >KN538702.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538702.1:425462:430676:1 gene:KN538702.1_FG066 transcript:KN538702.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPWLPLLLLCSSCCFCIWPQKQILVAADTDPNDVTVLNTLFTSLNSPGQLKGWQASGGDPCGQSWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTNKLERLNLAGNQFTGNLPYSIFSMSNLKYLNLNHNQLQGNITDVFSSLYILTTLDLSFNSLAGDLPQGFTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNHFTGWIPSQLKKINNLQTDGNSWSNGPAPPPPPYSAPPPPNRPNSPGQNNGGSSSGGSSGIGGGGVAGIIISLLVVGAVVAFFVIRRRKRRAALEEHFEQHQPFTSFPSNEVKDMKPIEESTTIDVESLPSPASFSLKPPPKIERHKSFDDDDLSNKPVLKKTNVAPIKATVYSVADLQMATESFSMDNLVGEGTFGRVYRAQFTGGKVLAVKKLDSTVMPFHSSDDFAELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNLLLDSEFNPHLSDAGLANFISDAEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSTRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSSRRTDDQEQDFI >KN538702.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538702.1:467776:472826:1 gene:KN538702.1_FG067 transcript:KN538702.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MVQELDREGSRSSEKHSTVTLIHSQSSDLQYPVNVRGLENVLKAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQRHQEKTFCSEYEKSKVLADRIALQSAAEGVPITIVYPGVIYGPGKLTTGNIVSRILIERFNWRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRPGERYLLTGENLSFKQIFDMAANITNTKAPLFHVPLWLIEIYGWISVFISHITGNLPLISYPTVRVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKDEKLIKF >KN538702.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538702.1:365706:367474:-1 gene:KN538702.1_FG068 transcript:KN538702.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGAARALSQAEQDVQMMLAADVHLGTKNCDFQMERYVYKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYIDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAPAQDFAAITDYTAPEQWSADQWTSDVAAPPAATVGDWGAAPAPVAAAEGWDQAGAPVATEAAVVPPVAPTGWDPAAQPAAQGWD >KN538702.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538702.1:330366:333260:1 gene:KN538702.1_FG069 transcript:KN538702.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALDHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSSNQKSAPTKFKQESKPQERPNTPSRSPAKIVSAKEEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVARRKNIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSVFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVMLGLQNISASVLLSASLDPYYVVCKFLRTGDIFERSISAHGQ >KN538702.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538702.1:378691:379002:-1 gene:KN538702.1_FG070 transcript:KN538702.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTSSFTDSVATSESGGSPAKKARSDDVDSSEGSVGGGSDTLGFTDELEFDPFMLFQLPYSDGYESIDSLFAAGDANSANTDMNAGVNLWSFDDFPIDGALF >KN538702.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538702.1:401263:401667:-1 gene:KN538702.1_FG071 transcript:KN538702.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKYEPETSESSESNALPDFSWQGLSASDEFAVAAVPLDSDDDLAKKRPRTEPEDTTTTTTHSGSGDDTDALFDALLFADQYNYFNGGAYESLDSLFSADAVQTTAAADQGMGLWSFDDGCCLVDVEASLSF >KN538702.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538702.1:421462:422265:1 gene:KN538702.1_FG072 transcript:KN538702.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKSSGCRRATCDHRLDHCKFMEATRTQEEECTQVSNKTTSRSPDNMLLSSQVQPENFTDEATKDQYLCNSGLQAANRESKKKNLTFLTKFQNRIIASLASESSQCRNSFHRSLLSREIIVREYVKLARIIRRTAAACFSPSSDAAHEDYDYLPHMQLDKVTHAISREAFGPLYLVT >KN538702.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538702.1:460818:461312:1 gene:KN538702.1_FG073 transcript:KN538702.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEPSQCTFESLIRQRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTAERSLKERLFRADPAEVWSIHYLGLKPWTCYRDYDCNWNIGDQRVYASDAAHARWWQVYDDMGEAMRSPCRLSERRKIEIAWDRHLAEEAGFSDHHWKINITDPRKWE >KN538702.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538702.1:417155:417815:-1 gene:KN538702.1_FG074 transcript:KN538702.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVSVENINPKVILGSSSIANARREINIYLTFFFQRLQQQLQTQPGSLPFDEIVYCNIGNPQSLGQKPITFFREVNINYLPWFIGKL >KN538702.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538702.1:373181:374758:-1 gene:KN538702.1_FG075 transcript:KN538702.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADFTPARVPRRLTAAELLPVTPTPPASERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDESLAVSYQEALSAAKCKIKQCPRDEQMASATPELMEEDANSSRNRVSMSMALQLQYAAMIAECDREMEEIAAVERDLERCRRQVFERRGHLVRQASLLLD >KN538702.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538702.1:341965:344977:1 gene:KN538702.1_FG076 transcript:KN538702.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQKEGARRTREEVPAVGRVAIIGGGISGLAAAKQLAAHDPVVFEATPHIGGVWKHCAYRSTRLQTPRPDYEFSDMAWPNRDDPSFPTHVEIVDYLEDYADSGSGEPLQGKPMWELAVSSTDDPDSDDVQVYKFEFVVMCTGKYGDVARMPVFPPGKGPEVFKGKVMHSLDYCKLNEQETVELMRGKKVVVVGYKKSAIDLALECAEANQGEGGQPCTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYERPNQGIFRSLLCRLMTPLQKAGVSKFIESYLSWKLPLSRYGLRPDHPFVEDYASCQMAILPDGFFDMADRDLIRFRRSAGGWCFSENGVILDDGTHVDADLVFLATGFEGKDKLRSVLPEPFRGLVVNKSSMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFALPAVEEMVRHVDGETEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKSNFLAELFAPYNNQDYKEE >KN538702.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538702.1:473401:475432:-1 gene:KN538702.1_FG077 transcript:KN538702.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGHAAAAAGVSSGSEDDDEEEAGFSRSYFLAKEKEPSSGKKRARAACKLSDLNLVDEQVLRASLAEIPPKHEREVEALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKMLLEDFASTTLSDFTVIVVNGYLPSINLKQEVLVILWRTFDFYIKALNSAKFSALLPFQVIVTIAEIFWEQTKLKRKRQTATRSQLQPFASQSIDDILSFLNNQTSDNGDDNVCLLIHNIDGPALRDPESQQYLAQVSCCPQVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFSPYKVEGMHFSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELT >KN538702.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538702.1:386032:389269:-1 gene:KN538702.1_FG078 transcript:KN538702.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLVCSANPNNHRSRSSDITRHQKGGSARRKSKPYQEKDDSENIDEFDTDIMSSKNGPPISLTSNSRPQATSVPGEREKEIVELFKRVQAQLRARGKGKEEKKPEQAKAQGERGSVDSLLNLLRKHSVDQRRKSGDEKEQSVDQTKRSNESGNKQNSSIFIKNDTQEEQKKPHPAAFKRPASNFRRRSPVPNVKFQPVTNVDAERVINNINDAVQEAKPTLENKAATDEPDSVSTFEPNSVIEPENLSLDDLDHISDDEPDASDTDEPSGEYDEPSLQIPSVPIIDESHDTTLKSSLGGPDLSTLKVTELRELAKSRGIKGYSKMKKNDLSQGTDWEDFLGIVCLPIINSTISFIKENNAGDAAAALMARLALKTKVLRDEQWQELDASTLFYMMDFRRKCIPSVKWIPTFFGKAAHLVDSTEVVGHFQKILTSIGNFCICSIAIGVIVEIIKGEISKDIDITSKLIVLQISEKNEYGITGISL >KN538702.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538702.1:456446:458790:1 gene:KN538702.1_FG079 transcript:KN538702.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSGAGEAVKPSSSSSLSPVAGLRAAAIVKLNAAFLAFFFLAYMALFLHPKYSYLLDRGANSSLVRCTAFRDACTPTTTSTAQLSRKLGGVAANKAVAAAAERIVNAGRAPAMFDELRGRLRMGLVNIGRDELLALGVEGDAVGVDFERVSDMFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSRYGDVDVVVASLPCNRSDAAWNRDVFRLQVVGRDGEWWMYMVDVERLEEKLRLPVGSCNLALPLWGPGVCEDDLTRVCVGQSHPKSVGIWSGNVAKGHELIMGKVLIINSSSSLDFLFSKTYTRIE >KN538702.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538702.1:357425:364057:1 gene:KN538702.1_FG080 transcript:KN538702.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMEPTELEIEEDHTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVLITRRRKVGTICGHEIYSVGKSEMIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITDKNTGQVVYETMFVWNEFLSRAIRNHLKNTTWTVALIHGFFKQSKLSVDGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEIPHQISSVVQHRGSIPLIWFQETSRLNIRPDIILKPDVDYKTTRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLPDDKRLKFLHMDLSKLSRRKGTNVLSLLNKVASDVLDLTDFLHCEITTSKYEDASSGQGAVANSGDIESIEDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLQLNETPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYSNAYTDPEKQDSINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCDNTGGPVSDCNVGENNTSSELLPMQPLEDIREPSDSAPEISIEPNPCSSTNYSTLSGRHSISEERQNYLRRLGYPELHSSNFLDLDLLSSSGNSCEEEIYERSSLINSPMDVVSIESTTSYSEQGHNDEGRDDTDLSRSSSQMSDIRDYSDRFAHWVDGGGMLCY >KN540064.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540064.1:18945:22519:1 gene:KN540064.1_FG001 transcript:KN540064.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRESQYRAYWFSRNEKIIDRLLADCSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVNKNYFHVLNYRELLFNDFGDRVAQLLHVESAMPFWQNRSSSCIQQQSLIVNTHLLFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKSRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLKADSPDDQITYSSFCQALCQLGLVHPDRLNSEEIKDLWSEADHDGDDIVDYKEFQRCIWSPTCCSQEEEDDTEIDISDGSLVTFEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRTP >KN540064.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540064.1:46715:49792:1 gene:KN540064.1_FG002 transcript:KN540064.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEREAEAGRDASSEGGTIGSKIASDQREKKGKIIAEVDELGYIEALDTDGTGDDSEEDDVNVDEVLKEMRREQEDPLLHCEGDTDVEDLFVRPETNKVIPEESSFSPEVTFAGTLLHGNHLADYSMARGAKGEDVQGDEDVQGEEVHGEEDVQCIDSVLIKDAMLVQYEE >KN540064.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540064.1:36346:38968:-1 gene:KN540064.1_FG003 transcript:KN540064.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLQYLAQPLSTSPSPSPPPLAPFILEIVYLLDPAPLQLGSWNLFAYKDQRAINSSHHNTYSSHQLFSVSRFVNVVFPIGIQKVEDVTCLETSWKETKVVPTRRSTERKEQENYVGPFLRSKGRQNLMKPKATSFSDEHISRDDNKSSGGHCNDGQREMVQLESAQEKKPVKKMNKFNLNELPESMDDDYL >KN540064.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540064.1:31970:34537:-1 gene:KN540064.1_FG004 transcript:KN540064.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTEIIHGFYLIAPNAIRMSSFPQRGKHRKTMLSIPEVKRSPNLMKPKATSFNDEHLSQDDNKSSGGHRNDGQREMVQLESAQEKKPVKKMNKFNLNELPESIDDDYL >KN538914.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538914.1:108455:109921:1 gene:KN538914.1_FG001 transcript:KN538914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISIEFCIISARGLGRRSTLLKPQWFSIAWIDPNSKYCTKIDASGNSDVNWGTKFSLSVDEHDMSLQQMELTVEVYRREPVFLREHLQGTAVIQMKEYFDKFSQGKELSGVTEETSSFQLRRKKSDKPHGFVDISIRICKEENNCATFSGSQEGLKYPDQVGITLAIEDGPVYNYPPMPSNHFKGYTQDADHYSNSMPVTTATRPGQSPPGSNYSYQPPMVPPILPHPTSNPSFFTPQYPTRGPVPQTYINVPPRMGSQNSTPNFGMGLGAGALAAGTMIFGENLLSGQSLNTGLDGASLSISNDAPF >KN538914.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538914.1:64112:66983:1 gene:KN538914.1_FG002 transcript:KN538914.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPALIADDVPTNVTSQITDAARPKTTSSVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVVTTRLLVVLLKPFRQPRVIAEILAGVVLGPSVMGQVEVWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVAVAGMALPFCIGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVNSTALSSLWVLLAGVLFVLFCFYVVRPGMWWLIRRIPEGEVVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPGGQLGVALIEKLEDFVTGLLLPLFFAISGLRTNISKIRDPITVGLLVLVFTMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLICVHTTRNVPSVLSLLELSNPTKRSPIFIYALHLVELTGRASNMLAAAAASASKQNRSSSSSTLPPVTEHIFNAFENYERHTGGISIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVVPFHKQQTVDGAMEPINPSIRGFNESLLSTSPCSVAILVDRGLSAAAARMAALHRVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFVPPDYRVRSYSNTNYRSVASDADPRSIGMDTEGKTELQMDEEYLGDFRTRNIGNDAISYSDKVVANSEETVSAIRNMDDSLHELYIVGRRPGEAGSPMTASLEDWMECPELGPIGDMLVSSDFSMSVSVLVVQQYVVAAAAPAPATTAPAGNADPVRQYVSNANQRPSAAYRTSAASTANSRWSGGGTVGF >KN538914.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538914.1:27118:31492:-1 gene:KN538914.1_FG003 transcript:KN538914.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDERLLMAAKWLPWAERLRHKSWKVRRDANIDLAALCDSIADPKDARLREFVDVWDLSVNFRYAKGICDAIVAKCLTGRPRIVEKAQAALLLWVGLDAAEVFVESMEKAVKNKMAKAVVPAIDVMFQALRKFGPKVVRPKKILKMLPQLLDHPDRNVRASSKGLTLELCWWIGKEPVKAILFEKIRDMMIKELEAELANNSAIAKPAHKIRFIRCYDCTWTLIDEYDLVDPVDTLTPPEESGLCDGVKATKWSERRDATELTKLSSTKRIATGDFEDICPTPKKNDGCDFSKCYEPETTPIPYLKQDLLNDLHAVVRGLKEDNTEIKSSLVSARAQIDELMTAHNAVTDRRRKLKEKNDSACKLSARVVELEEEIQFMSSIIVGFVVLIVALCLGE >KN538914.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538914.1:166667:168929:1 gene:KN538914.1_FG004 transcript:KN538914.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHILTAFLVVSLLFTCIPPAKSADLNSDKQALLAFAASLPHGRKLNWSSAAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPLPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSSLTFLDLSYNTFDGEIPLRVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPANSFLGNAFLCGFPLQPCPGTAPSPSPSPISPSPGKAKKGFWKRIRTGVIIALAAAGGVLLLILIVLLLICIFKRKKSTEPATASSSKGKTVASGRGENPKEEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRVDQHQNVVPLRAYYYSKDEKLLVYDYIPSGSLAVVLHGNKATGKAPLDWETRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTIPPAPARLVGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQVAMACVAVPPDQRPKMDEVIRRIVEIRNSYSGSRTPPEEKQKDESAAP >KN538914.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538914.1:1510:18396:-1 gene:KN538914.1_FG005 transcript:KN538914.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSRVLLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASAAPVIEDVEPSEMSLEEIEEKLSSVVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKLDYIDTEINLPADIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQSTGTVELFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKAVSQRGIPARSSVTTISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGENFGYSDAHMVPRQMATATPGPADWREALDIVALEQSGEAVSRSMAGSMISRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQAVFQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINSKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >KN538914.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538914.1:169886:174356:-1 gene:KN538914.1_FG006 transcript:KN538914.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSMVLSFIGFCIGFSFGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGMKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHGNLNPEWNEEFKFISFLYGQFGKNEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGLQNEKSAGEITLELTYKPFKEGNVEREDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKRALIRNMESLGHIDISLADVIINKRISEMYHLINSKREQCCHAIDIENGIGTEK >KN538914.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538914.1:157679:158596:-1 gene:KN538914.1_FG007 transcript:KN538914.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFLDIVLPKPLSVPVIDRNGLVCWPSTADPSDCLLWCPFVREDTLDGASGNLLRVIAGTVIFFTGAERTCSAFGADLGPKPTPGRALPADSGRCGSLVLRFGGVSLGKSGISNGLTRARAREFGELGRDDGAEEGAEFRPLLVTGRPMDVMLLLPNILPTEGVDERELERLTEGTVLVEEEVRLIVLVLPEDELGRLAAEPVLEDELDMIRGREVGVDGLEFCADVVLAIVEVDIVLGTEDLVLTGVEGRVLFGVDGRGFTGVDGRGFTGVDGRVLVGVEGRVGT >KN538914.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538914.1:119301:122133:1 gene:KN538914.1_FG008 transcript:KN538914.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTNAEKCFSPARAMSPLPLVRPPPSPGAAGQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAIVSHLGVREHDRLPIASPNQMHPLPQVPNFCGNGFNPWTGTLPEKNGFPRGTMGWEGAAHDPSYIVKKIVRLEVPTDAYPHFNFIGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPIKASLFVSFKEEQLKGRPGYEHLSDPTHILIEAELPADVIDTRLAQAQEILEDLLKPVEESQDFLKRQQLRELAVLNSTYREDSPHQNGSASPFSNGSTKLGKQ >KN538914.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538914.1:55937:58798:-1 gene:KN538914.1_FG009 transcript:KN538914.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNFGSEERILWPASVLAGIGMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQHSENGKAE >KN538914.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538914.1:34187:39313:1 gene:KN538914.1_FG010 transcript:KN538914.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMQWLLLLFMLLVSLRLSFSQTNPQDVSALQALMKNWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMRLSGINLQGTLSNAIDQLSSLTYLDLSNNLNLGGPLPPSIVNLKQLTTLILLGCSFTGDIPEQIGALRQLTFLALNSNKFTGGIPPTLGLLSKLFWLDLSDNQLSGKIPVSSGSNPGLDQLVNAEHFHFSENQLTGPIDEKLFSEKMNLIHVIFDNNNFTGPIPGSLGRVSSIQIIRLDHNQFSGPVPGSIANLSRLMELSLASNQLNGTVPDLTSANALTYVDLSNNNFMSSPAPRWFSTLTSLTTLFMDSDHLTGTIPSALFSFPQLQQISLAKNSFSGELNMSSNISSLLRVVNLTNNQIFNAEVDPSYTGSLILSGNLICFNNISFCTLKQKQQVPYSTNLGPCGAISCPTDQSANPVASQNCACASPFQGLMIFRAPAFSDVTNPKSFQPLEFTLVQNLSLAPGSVAISNVEFSPGEPLTFTVKVFPESGTSFNHSEVIRISSSLVNQTYKAPAYFGPYSFIASTYFASPSGKRSSMGKGAIIGIAVAGFLLLVGLILVAMYALRQKKIAKEAVERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKRCTNNFSETQEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGMHLDWKKRLQIAVGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGTYIVREIRTAIDQYDQEYYGLKSLIDPTIRDSAKMVGFRRFVQLAMECVEESAADRPTMNDVVKELEIIIQNEGAQLLNSASLSAQQFGYAKGRDPDPYGDHVPINDDSSSGAFDYNSVYSYSVVEPK >KN538914.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538914.1:85684:88883:-1 gene:KN538914.1_FG011 transcript:KN538914.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRKRGKEEFDTHDPKEMGSLLPYLMKRSEIIEIVGASDIIFALSQSGVCAAFNRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISFLDSIEILLPIELSWVLFLVTAYDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISEILTGKCLAKIKAGNLNKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRLGLVHVWSN >KN538914.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538914.1:109999:111414:-1 gene:KN538914.1_FG012 transcript:KN538914.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACLRFGLADHARRLFGELPSRDVVVWNAMVNGFAKLGCFGHAVECFRMMREDGEVEISSFTVTGILSICTATADLGRGAAVHGLVVKSAFDQEVSVCNALVDLYGKCHKVDDAAMVFEGMADTDKDLFSWNSMLSALHYSADHAGTMKLFSRMRRVAVWPDAVTVAAVLPACAQTAALQVGREVHGYIVTSGLACHGALDSFACNALVDMYAKSGALDEARRVFDRMQQRDVASWNIMIDGYASHGHGKEALELFCQMTEVERLLPDEITLLGALSACSHSGLVEEGKNFLKRMKEEFSLEPQLEHYACVTDMLGRAGRLEEARKVVEDAGDVGVGAWRTYLAACRMHGDKERAQEAARMLTTTEQSESGGWVLLANTYGWEGNFDELEEVRGEMKRRGVQKAAPGCSWVEVGGDDRGSGAVMHAFVSGDRGHPEADMIYEMLHALISWMRDCNHLSNTTPLYSVEHS >KN538914.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538914.1:184296:194279:1 gene:KN538914.1_FG013 transcript:KN538914.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKQGHIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKGHIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLI >KN538914.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538914.1:128897:130876:1 gene:KN538914.1_FG014 transcript:KN538914.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 13 [Source:Projected from Arabidopsis thaliana (AT4G35550) UniProtKB/Swiss-Prot;Acc:O81788] MTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESPTDKKPKSDRPLHQNIAMRDHNSERISEMHHFDTEHEQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPSTSYKHPCFI >KN538914.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538914.1:134074:143450:-1 gene:KN538914.1_FG015 transcript:KN538914.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLATEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLHMNNNSLSGQIPSELSRLPELLHLLVDSNNLSGPLPPELAETRSLQILNIKWYCKFVEHVIPSPEIYLSQADNNNFSGSSIPAAYENIPTLLKLSLRNCNLQGGIPDMSGIPQFGYLDFQNNSLGTIPAAFEPPEEVTIMLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDGLKRGALAGILAGTITASIAASVFTTIFIMRRRSKRRTTSRRSLLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGTPTPRAASPPARWLPGSVACRANWVIWPLL >KN538914.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538914.1:81877:84962:1 gene:KN538914.1_FG016 transcript:KN538914.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDARIASGGGRILSLNNAQNDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRVETKASDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >KN538914.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538914.1:42783:44518:1 gene:KN538914.1_FG017 transcript:KN538914.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAQKVMLINDIFPGPTINCSSNNNIVVNVFNQLDHPLLFNWHGIQQRKNSWMDGMPGTNCPIQPGTNWTYKWQPKDQIGTFFYFPSMGMQRAAGGYGIITVHSRLLIPVPFDEPAGDYPVLVGDWYTKDHTVLAKNLDAGKSIGRPVGLVINGKNEKDASNPPMYTMEAGKVYRFRVCNVGIKTSLNVRIQGHSLKLVEMEGSHTVQNSYDSLDVHVAQCVSFLVTADQKPGDYLLVASTRFLKEYSAITAIVRYNGSNTPASPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLCTSKGKVDGKERFALNGVSHLDDAQTPLKLAEYFNASSGVFEYNLIGDVPPATTVPQKLAPNVITAEFRTFIEVVFENPEKSIDSFHINGYAFFAAGMGPGIWTPECRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNIRSNMWERYYLGAQLYVSVVSPARSLRDEYNMPEIALRCGKVVGLPMPPSYLPA >KN538914.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538914.1:101649:106184:1 gene:KN538914.1_FG018 transcript:KN538914.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEENLPIKASGDNVVPEKGKYTTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGNVRSIVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSDAILHRNVHKIKISPDIPKKDSTMTCTLASKPWRQPEGWVPDIHPRTYMNVKIKIFAYANPSNGIYLEDTYRVSMGRQDSKLA >KN538914.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538914.1:175735:180486:-1 gene:KN538914.1_FG019 transcript:KN538914.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSMLIDFSGFCFGFSAGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLPKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNTDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTGQIQIELQWRTS >KN538914.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538914.1:48259:52295:-1 gene:KN538914.1_FG020 transcript:KN538914.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRFSRSLLRAAATTTTTATAACASASMAGKHAASRRWVTPSRELQRCWYASLPAAAAAVPGKDGEAEVTAEEARRLMRLANVEALKRKLGDGEAAALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKKLQTQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFESRQKKLIQRQSFNLDRYLELQRRCKGPLEKMTERYQEILMGLAVLSRWFHVDEKQMVRKQTGSSSLKPDDRARGRRSNGQRLKHDRFLTTALGWIRYSKLTGRLADRSDSTDLNEAQVVFQQLQRKQYKNRSSLNWETRVKFKPSPKLK >AMDW01013201.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013201.1:5:244:-1 gene:AMDW01013201.1_FG001 transcript:AMDW01013201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PDRCGNVSVPFPFGIRDGCSLEGFGLTCNTTSNPPRLMIGNSTLQVVNISLANSTLRAVDIAGAVNITYGQIDGNGTWVG >KN543334.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543334.1:481:6148:-1 gene:KN543334.1_FG001 transcript:KN543334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIPASSQPFKLILGSSSVARKHILTEMGLEFEVMTADIDEKSIRRENPDELVTVLAEAKMVFMVMIGLYQVLEFEKSETLAAPMGHLDWTRPIDLEVVVHEGIIREKPTTKEEARQFLKGYSGSHVSTVGSVVVTNLTTGKRLESLDKAEVYFHDIPDEIIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVNLERNPDHYSGWMARLGPGAITRLADNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKINTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKLN >KN544961.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544961.1:1189:2263:1 gene:KN544961.1_FG001 transcript:KN544961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GIVCPLSMQRWPIGTCNGNAARSFDFSGKRKPTNLEEILTIDIKPGWKKGTKVTFPKKGNEKPNIIPSDLVFIIEERSHARFKRDKDDLIYTHRISLVEALTGCTVQLTTLDGRNLTVPVKSVVNPTSEEVVKGEGMPITKEPSKKGDLKIRFQIKFPTNLTSDQKSGIQQLLPKP >AMDW01054853.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054853.1:849:1961:-1 gene:AMDW01054853.1_FG001 transcript:AMDW01054853.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAALLVAFTFGQIGADTPETPNFLTQLTQMQDNWPSILFAMSGGVFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSSAMVNHSNTNGYKLSSSVFHSCGESVSSVLPKFYF >AMDW01027366.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027366.1:212:334:-1 gene:AMDW01027366.1_FG001 transcript:AMDW01027366.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRLDAVVVYADEDGFLDVVYKVGFPHDDPFRPVRVARDQVQ >AMDW01037496.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037496.1:145:586:-1 gene:AMDW01037496.1_FG001 transcript:AMDW01037496.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDSPVMVSSASVRKTVKMSETCDFIPYVDDDDDGNSEEENSASSGVLPCDGMQHDTPDYIRRGAAAARHRIAPLELFSGPSPPQGPPSPSPANGGAALEATSNDGVAEPQ >AMDW01067886.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067886.1:53:439:-1 gene:AMDW01067886.1_FG001 transcript:AMDW01067886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEIEGIGKLNSPEKKKKKKEKEKEKRRRKHKPDEVKKEMVVDAIPKEEENLKSPEKGSPKKKEKRRHKHKHKPKPDGAQAVLKEEEESNSKSKAPAEGEKKRKRKKKKLVTVKLSDELMGYLRTKE >KN541252.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541252.1:13568:18952:1 gene:KN541252.1_FG001 transcript:KN541252.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQDALQVTKDLADNCRSNGTAFRLGAVLKDVLDRFLPDDVHIRCNGRIRVAITQLSWRPRGLLVDQFDSKDDVISAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDEVLDKLYELGYLDAADLVTQFQEATDEESKERIVANLANFAYDPYNYTFMRQLNILELFLDCITEPNERLIEFGIGGICNSCADPANASVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCNPSTKKDILKPEVLKASCRGLTSKGFYMLSLHLWLFAFLPSAVGMFNVSCQGLKAARTASAREYNSVLL >AMDW01024450.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024450.1:43:210:-1 gene:AMDW01024450.1_FG001 transcript:AMDW01024450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDCIPHYPPVGYADVSVELLIDTRLSSFLWRHDSESQSHDLKCHLRGVTGWHGDHR >KN541252.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541252.1:20056:30559:-1 gene:KN541252.1_FG002 transcript:KN541252.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERGVKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIFMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTEIEIPEFNVSYKPQKISPKFQHSVRHLLHQKIRDSYTHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCTANAPQSLVSGMNKFLSNLLVELPAHNLPRPSMSYALLIQKFFGLGELHRFTNVTFWRTPESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPYVLDTHFSGSHLSVKLEDEVVHITKTGMYNLFFISCDPKLRGLSMSGKTVWRNPGGYLPGRMAPLMKFYVFMSLAYLLVMVVWSSQYIRFWRDIMPIQNWITLIIALGLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEAADDDDEESQSLTKGTDGDVGMVKVDKDRSGGVSSAFSLEDEAEEDKRE >KN541126.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541126.1:549:6896:1 gene:KN541126.1_FG001 transcript:KN541126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYRAVFAALGALMLGTLVYTCVTDGSPFRLELLTPWLVATLIDFYVNVTAISTWVIYKEVNWISSFFWVVLLYCFGSIATCAYVVVKLFEIKTSGPSQDPLDLLFLRQGDLSERKSSFVIIGRIIFSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLIDFYINVFAISVNYILWFLEIVPSMGCSQGVKLDIYCHLDMLVNMLWKESFEMPIVDVSCRLNSFTARLPEANHACPAMMSDRVWPRRWQAKEPPLGSSSAHRRSLPLPSPHQQHISIPFSVLLTSHRNNSLEPIMKEGNEKIELMAGKWEPMDEKDKKNNLVVEPTNGQ >KN545476.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545476.1:22:794:1 gene:KN545476.1_FG001 transcript:KN545476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVALCSEFLNGGTDTTSTALEWIMAELVKNPTIQDKLHGEIKGAITSNSGKVSEEDVQKMPYLKAVVMEGLRRHPPGHFVLPHAPAEDMELGGYTIPKGTMVNFTVADMGMDGAAWDRPREFLPERFMAGGDGEGVDITGTREIRMMPFGAGRRICPGLGVATLHLEYFVANMFHACNNGIIISFL >KN539344.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539344.1:28019:30996:1 gene:KN539344.1_FG001 transcript:KN539344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIQEACKKNIMADVVSSKVEEEEEGKSKSKMGSWKPYTTCSWASLASGLFALLDFSRLGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHTVVRQKQVKGSAATVSDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGAGSSSEKGSMMHENRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >KN541126.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541126.1:26089:26437:1 gene:KN541126.1_FG002 transcript:KN541126.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLSSFRLLLIRLVLLALLIGTGLPMLSAQQGSPGVHNPHGPPQTHHTGFSYGYLGIDSMRGNH >KN541126.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541126.1:12812:16396:-1 gene:KN541126.1_FG003 transcript:KN541126.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIGTLTPSLLTFAVLYAFLTLPLIPSLSSTALDDESNKDLQALLCLKSRLSNNARSLASWNESLQFCTWPGITCGKRHESRVTALHLESLDLNGHLPPCIGNLTFLTRIHLSNNRLNGEIPIEVGHLRRLVYINLSSNNLTGVIPNSLSSCSSLEILNLGNNFLQGEIPLGLSNCSNLKRIVLHENMLHGGIPDGFTALDKLSVLFAHSNNLSGNIPHSLGSGSSLTYVVLANNSLTGGIPPVLANCSSLQWLDLRKNHIGGEIPPALFNSSSLQAINLAENNFFGSIPPLSDLSSIQFLYLSYNNLSGSIPSSLGNSTSLYSLLLAWNELQGSIPSSLSRIPYLEELEFTGNNLTGTVPLPLYNMSTLTFLGMAENNLIGELPQNIGYTLKSIEMFILQGNKFHGQIPKSLAKATNLQLINLRENAFKGIIPYFGSLPNLTILDLGKNQLEAGDWTFLPALAHTQLAELYLDANNLQGSLPSSTGDLPQSMKILVLTSNFISGTIPQEIEQLRNLVLLQIDHNLLTGNLPDSLGNLSNLLILSLAQNSFYGKIPLSIGKLNQLTELYLQDNSFSGLIPKALGQCQKLDILNLSCNSLEGTIPKELFTISTLSEGLDLSHNRLSGPIPVEVGSLINLGPLNISNNKLSGEIPSALGDCVRLEYLNMEGNVLNGQIPKSFSALRGIIQMDLSRNNLSGQIPEFFETLSSMVLLNLSFNNLEGPIPSNGIFQNASKVFLQGNKELCAISPLLKLPLCQISASKNNHTSYIAKVVGLSVFCLVFLSCLAVFFLKRKKAKNPTDPSYKKLEKLTYADLVKVTNNFSPTNLIGSGKYGSVYVGKFDAEAHAVAIKVFKLDQLGAPKSFIAECEALRNTRHRNLVRVITACSTFDPTGHEFKALVLEYMVNGNLECWLHPTSYKNRPRNPVRLSTRIEIALDMAAALDYLHNRCMPPIVHCDLKPSNVLLDNAMGARVSDFGLAKFLHSNISSTSDRSTSLLGPRGSIGYIAPEYGFGSKISTEGDVYSYGVIILEMLTGKRPTDEMFNDGLNLHQFAKEAFPLKIGQILDPSIMPDYENEDNDANNDLDHDNCLMDGMLNCVTKLVKLGLLCSAVAPKDRPTMQSVYKEVAAIKEEFSALHG >KN539344.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539344.1:90895:92958:-1 gene:KN539344.1_FG002 transcript:KN539344.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEEKNIHVSQRDDKAKWIIDNYSNIRSFTEHDIEKITSNYSTLIGKGGFGEVFRGVLDDEDDVVAVKRYIRGDLREEFMEEIRIHAQMSHKNIVKLIGCCIGKNRLMMVTEFISNGNLEDALHNSDIFIPLSTRLGIAIGCAEALSYMHSMHLSSSSLICHGDIKPANILLDVNLTAKVSDFGISKSLSGGITRWTSNVKGSIAYMDPIYYREGRVTSKSDVYSFGAVLLELIARKSMKEGGISCEAFRQACAKGKGLRELLDIEIAEECNMNILEEIAKLATKCMIVDNIKKRPQMNDVAEHLRTWIFQVRNGGHEKPAWESTLDKVHDALKKGMQSAGIFSSSIISNPQKHNFGIFRSNDVRIFTKKDLSGITNNSSHLLGKSTFCNVYKGILDDNTLVAVKTYSVFSYDEDLRNSISTSITIMSKIAHRYIIKLLGHCSDADHLPILIYEYAAKGSLNDILYSKEDFPLELRLKIAVKTAEALEFLHSSASCVIRHGNIKPSNILLDSNLLPKVAGFTSSRRIAESSNDQVASMEFAHIHYMDPIHIQSGHFTVKNDVYSFGVVLLELISRKKPVYHCHDENRRLIPEFIRAYKTAKSGKAMFDEGIMAEEDIDVLEEIGRLAMECVSLEIDGRPTMKEVAERLKMIGIAWKQSAQHVHGSYDKVTEAEAVGIDETEDEAN >KN539344.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539344.1:13586:14014:1 gene:KN539344.1_FG003 transcript:KN539344.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHLMIFNLVLLVLSSDMVMAARMTNYGRLDAPVCKKISFEVHCDDIKPCVQLCATQDPLYPIPSKVASIVCHNSSECECMYCPRAIRN >KN539344.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539344.1:31775:33808:-1 gene:KN539344.1_FG004 transcript:KN539344.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESIARYLSRDLPYELSADDVYLTSGCAQAIEIICSVLARPGANILCPRPGYLFHEARAVFNGMEVRYFDLLPESGWEVDLDGVQELADKNTVAMVIINPGNPCGNVYTSEHLAKANEVYAHLTFGQNKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFQRTKVVESIQSYLDISADPATFIQGAIPQLIENTKEEFFEKTVDVLRQTADICWEKLKGISCITCPSKPEGSMFVMVKLDLSCLQGIKDDMDFCCQLAKEELVILLPGCAVGYKNWLRITFAIEPSSLEDGIDRLKSFCSRHSKPKVHRSLET >KN539344.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539344.1:47978:51939:1 gene:KN539344.1_FG005 transcript:KN539344.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRTGNTGPSTALPDPTHHSLQPHSPPHPLTSGPHPYPDCDLRFGPGAAVEAYCDGAWSPGVVRRIVGEGEYEVSVNGKNNEIVVTKARELLQPQYKWNGKNWRIVSAKRRLRHQFVSGKNQRSPADEHSSDDEQKQDTESSAWTMSRKRSKKEFKATELPEVNLPEDSNAVSREGDSGSNTKYQQDDASNLTTVLQSAVATMKGFEESDSQHNSWDATSTVQPKRRKQAARRLKRYSLERQLEGETHIQQQLDKTLEDNLNANQVTYQELLPLTPPGFESIASGKRSRDWNTDGLSEINLHPSLFDDELAATISSICQDNHNGDAETDNMVTQVAEISHLMDKPMLPFDLSVGYEVGGKEGKGSTHLPIGNSGSFPCTSDNTILRSCSLAGNSMASDMKCQLPGQQALFTKTKDTWSAFEMMEVFQKDPQEPHFLPLQQFPEAVRENMAIGLFWSYIDAGDAISKLPITDSMKIFEKHNTTLNYLVGNGFNVQSLQCKLNKALQFKLDRTRSLAYREKLKEQVPEKQSSLSRIGASRDENDSAIANLEMELGRRRWDGQMMSKKMEDEEAELSRLKAEDSKAQEACRDAEKQFRSILVGEKCINN >KN540567.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540567.1:239:1891:1 gene:KN540567.1_FG001 transcript:KN540567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDQSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEILAHCLKLFSMLVILYLQDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPIRYVP >KN539344.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539344.1:11303:11695:1 gene:KN539344.1_FG006 transcript:KN539344.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHMMIFSLLLLVLSSSDLAMATNDGNLAAADCKTILFPAYCDDIKTCIPLCTDNSPLKPAPSQLSTVVCLDLGCQCTFCPETARN >KN539344.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539344.1:106500:108945:-1 gene:KN539344.1_FG007 transcript:KN539344.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNVPIKTRDLSEGNDEQRWAIDNNIAVKRYIRSELREEFMEEVSIHSKIDHKNVVKLVGCSAGENTLTMVTEFISNGNLEDALHKSDISISLNTRLGIAIGCAEALSYMHSMHSLVDLDSLVCHGDIKPANILLNENFTAKLSDFGLSRLLLGGITRSTNTVKGNIDKRPQMNNVAKRLMVLWKALRGGDRSISRRFFWRTENDLDTGSGQGISSIRSTSSMLRRLGISLRKPSNYEIIPELWNVKTFTKGEVIEFTENYSYLIGKGWSSDVYKGTLEDNTLVAVMKSHEANDDQRKDFSNAAIVQSQTIHKNIIKLLGYCFEDDIIVLVYEYAAKGNLSTILHGEEDLPLESRLKIAVKTAEALSHNHSCVIQHGSVTTNNILHGSVTTPNILIDSNFVPKLAGFSLSRSPIGDNDGASFGSDNMNYFDPSFPKYVKLTVKTDVYSFGLVLLELISRKKPVYQEGNNRLVSEFIRAYDRDGSGHAMFDERIRTQENIPALEEIGSYTYFKGYWVIVI >KN539344.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539344.1:39960:44572:-1 gene:KN539344.1_FG008 transcript:KN539344.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKLGYDGSVYHMAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSARRRSIKRSRKEFNATQQPEGMLPEDSEDVSHSEMNTPLSELCKSSGSNHSPKSCSDPSGMKKIQVLSKKIVSNCLVPVKGILDASTGHRIPQNESREDGIGKTMVNQEIISDMMLTNGQANTSACGTSMNEGYAMLSTKKFGKQKMALSRRYNPVRKARGGLLSVQQLHTKKIMPSELKRGKMRLIHALQDMNDPSDNIQLKGNSTSPSREIICALSVSSQCNTPSPLGKQIKAFDFVSREADSGSNTKFLILKKFARKKGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVERQLEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQGSIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGMALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQSLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRIDALLDENDSAIAKLEQELGKLRWKGQKMSKKKEDEDAELSRLKAENNNAEEARGDAKRQFDSIRAELWQRLAIDSR >KN539344.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539344.1:19710:24298:1 gene:KN539344.1_FG009 transcript:KN539344.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MERASVIGGTMVSLMVKLSSIAGPRYSLMAGARSDVIFLGAELESMHAFLEKLSGVDGPDPQVRCWMKEVRELAYDVEDCIDEFMHRVDVVHGAVTSNHGFSSLRGLVSHATRLVAVAWMHHRLAGELKGLKARAIEVSERRSRYKLGDDIGMLGGSAMATDPRVSVLYADTPDLVGIDRPASEMVNWLTDDVCTLKVLSIIGFGGLEKTTLAMEVYRRVGGQYSCKAFATVSQKLDTKKLLKDLLSQIAQNEVDHMGTWEEVAELFHRYFIIIDDVWSKSAWEKVRCALPENNHCSRLLTTTRIDSVAKSCCSHPDDLIYRIEPLKASDSRNLFFKRIFGYEDVCPPQLKEVSDQILKKCCGSPLAIISIASLLASKPVMLKEQWEKVLISIGSALEKNSDLEGMKQILSLSYYDLPYYLKTCLLYLSLYPEDFKIERDSLIQQWIAEGFIGEERGQSVEDVAESYFNELINRSMVQPMDINCDGKAHACRVHDMMLELIISKAIEENFVTLLGGHPVAAKPQGITRRLSIQCDKEITKTKGGMNLLHARSLSLYVQACQLPPLSDFRVLRVLNLEGCLGLCDNHLKDISILFHLKYLSLCRTWISKLPPEIGDLHSLETLDIRDTNIEELPGTIIRIVQLKYILSGGHTWGKIKLPDGIGSMASLRVISGFNICCSSTNAVQELGTLKGLRELTINWTDFSSGDMKRQEAMMNTLGKLGTSNLQSFAICSRNFGSLEFLDSWSPPPNHLQRFRLSAYYFLPRVPRWMASLCNLIHLNINIEKLSNEDIQILQDLPSLLHLDLWLKSPQKEDKIVIHGVGFPYLQELIFSCEGTSLIFEPAALPKLERLQMAVHVKEAKSYGYQFGIEHLRSLKKIYIQLLCAGASALDIEDAEDAIHTIVKFHPGHPRIDIQKCGMDMHLEERNKRQHPEETNVQNMNASKEDMNHANKKRKEYQSSSAQ >KN539344.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539344.1:2859:8930:-1 gene:KN539344.1_FG010 transcript:KN539344.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLVVILGACSLMMKRSDMIKIARVAGRMTGRAVGRLMLFRGQMDEILEQTAAKQVYKHKYHVTFAVLLSCLDVNKELKDAMTQLDSIRYEVQNLSRFTPGQFMRQHNPEFNQFTMTTQLEELRHQIRSMVHDEIESFYRTNPDKFSGRLDNTDTVNRSVSPVEGREVDEAVIPTMLASKDMKLANTGSTDLHSKATMYARLTESPEMSGSSGHQFKERDGLLNVLPISAESAGLLPSRSDKPQGSDLLLEATLEAEVNKELKDAMTQLDSIRYEVQNLSRFTPGQFMRQHNPEFNQFTMTTQLEELRHQIRSMVHDEIESFYRTNPDKFSGRLDNTDTVNRSVSPVEGREVDEAVIPTMLASKDMKLANTGSTDLHSKATMYARLTESPEMSGSSGHQFKERDGLLNVLPISAESAGLLPSRSDKPQGSDLLLEATLEAEVAEHAKSFAQQHHDELRKE >KN540567.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540567.1:26294:30786:1 gene:KN540567.1_FG002 transcript:KN540567.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIGRVHSHCHNALSYCCLLVSLVFVKVTLHFAVKPVKEKNRGEIVNVISHDILGDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFADEGDGGSADYDVTAVCYHPFAGECDAGEDKYEGPEFRILLHPTLDGGILKGTLRNLLVENALCHKGIADLFGAVGKADIAPPSYPASAFFPEAAHVPAAPVPSPPSQVGLVAFKDLFMSINNIVVISTIFDHKWTHLKPMKALFNASTVSYDVKEIERPEENGETDDRMPRSEGRFGSAVTGCIERIYGGKRR >KN539344.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539344.1:78217:87587:-1 gene:KN539344.1_FG011 transcript:KN539344.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7N [Source:Projected from Arabidopsis thaliana (AT1G59540) UniProtKB/Swiss-Prot;Acc:Q9S7P3] MDNGIRYFAEDEIETITSGYTTLIGKGGFGEVYREVLHYDNLVAVKRYIRGDLIQEFMEEVRIHSQINHKNIVKLIGYCRGENSLLMVTEYISNGNLEDILHNRKVAMRLDTRLGIAIGCAQALCHMHSMHLSTGSLVCHGDIKPANILLDDNLTAKISDLGLSRLLSGGITRHTLNVKGSIDYMDPIYLQKGCLTPRNDVYSFGIVLLELITRKKILPPSLSSNLIQRRHHHNDFTLIDHVFDGAATNERIYGVLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSGDHPGIIPLAVRDVFDTAREVSDREFLIRVSYMEIYNEEINDLLTLGSEKLPIHESLERGVYVSGLREEIVNSAEQVFKLLELGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNHMDSGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHVEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLAMELDEERRLRETLERRLAEQQKMLDGISNTSISPDQFTDSIQFDALRTPTSKERPAEFVASRANYSKDVEFSPIPENLGTVADEDLWMQLNKGCVTDLEMLEMTPGFKCAPSLADDKASVATPDEEPIDARCQRLEKDCTADRQQLEDSKARRAALEDERDTLKRENSSLLDALAKARQDADRLVADRLEALRELDVEKSRMDELKQEIKLFSQAFSLRQGQLTSLYTKSKAIVENCKTSQLALP >KN540567.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540567.1:19894:25574:-1 gene:KN540567.1_FG003 transcript:KN540567.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRYLQEHFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLDRRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEFKLTEDIIKARFFINPDELALITSKHDSKALKMHGGLDGISKKVRSTFDHGICASDLDTRQNIYGVNRYTEKPSRSFWMFVWEALQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLEGDHDAEYRACTKVKVEPFNSVKKKMAVLVSLPSGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLILNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSLYQLFVLGALMFGGERLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGNFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >KN539344.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539344.1:111552:113150:-1 gene:KN539344.1_FG012 transcript:KN539344.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRTVHDNPNIRIFTEGDIEKITNNYSTLIGKGGFGEIFRGVLDDEDDMVAVKRYIRGDLRDEFMEEVRIHAQVTHKNIVKVIGYCIGKKSLMMVTEFISNGNLEYALHNSGISIPLGTRLGIAIGCVEALSYMHSMHLSSGNLICHGDIKPANILLDGTLTAKVADFGLSKSLSGGITRYTENVKGNIDYMDPIYLSAGRVTRKSDIYSFGVVMLELISRKRVKEKGGINLIAAFNQAYANGKGFRGLLDTEIANECNMKILEGIGKLAVECVAIDANKRPNANDVEKRLLMLWAAQHGKEENIIRRLYRRSPPEIISSSSSNKLGNARIFREGELKKVTENYSSHLATGSSYNIYKGTLEDNTLIAVKKYFDRYEAGKEEFCSRVAMVIMSPVVHKNITKLLGICLEANPPTLVYEYATRNLSNILHCKEDFPLELRLKIASKTSRVLEHLHSSRIALRHGDVMPSNILLDDGFVPKVTAFTLSTRFTEDNATRMSMVKGDGNYMDPYYRHTNLMLLKSDVYSFGVVLL >KN540567.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540567.1:18252:19341:1 gene:KN540567.1_FG004 transcript:KN540567.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDYKKHKGPDVTVPSGYFFVPKPEQLIRDYLNHWITGRPIEELRDIVREADVYGSDPATLTEAHKAYGHDGKSWYFLTVAKWKGGRGGAGTAGRLNRCVEGGGTWHNSQRRRVIEGYGDRQAFEYRAPGNKKTDWLMEEIASNLPAAITDEGIMVICKVYLSPRAKEATADEEERQETNVPDVGCSYSGGGETSQATASMDNCCSTTTHTADDTANAAYYYGDIKPEDYDGGDYGIGINADGELVLCGNGHGGIGTQGQMPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVEGEVDMNDFFNDLFVDFDGAGDPNPNPNPNGGGDSHGHILCE >KN539344.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539344.1:24695:25627:-1 gene:KN539344.1_FG013 transcript:KN539344.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCCHCRNHVCLHDDIISKAFQASDVRSAGLIWYIMLKKGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKIVKENW >KN540567.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540567.1:37724:38390:1 gene:KN540567.1_FG005 transcript:KN540567.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQTPVERKLSNGGGGVEEATMVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVMGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYAEALWLRCGGEAAEIGEYTMEHAAKYVPPSCRPPDASGLMHAIHVFLLATSPSP >KN538682.1_FGP117 pep scaffold:O_longistaminata_v1.0:KN538682.1:575023:576005:1 gene:KN538682.1_FG117 transcript:KN538682.1_FGT117 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPLLLRLLVAVSLVVAGVAGDDEKTCPGAPTMTVESACRNVSGTQAMYDTCRDALAGVADPLSDHDVTVYALAAAHGAVAAAEATMGAAIDEVRNNSSLPGDERDAYT >KN538682.1_FGP119 pep scaffold:O_longistaminata_v1.0:KN538682.1:552369:555699:1 gene:KN538682.1_FG119 transcript:KN538682.1_FGT119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] MSSSSSRRPARRAALTARSSYDESLLLRFGLRVLVLEGRARPGGRVYTTHLGEDQAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDSCPLYHHDGRTVDMKLDRSMDLVFNTLLEHATRLREYLKKAAEGISLGEGIERLRRFYKVAKSVEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQYEMEGDHCFLAGGNARLVHALCDGVPVLYEKTVKRIEHGEDGVSITVEGDQVFKADMALCTAPLGVLKSRSIMFEPELPERKLEAIQRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKERSKRGEFFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPAVALHRVLGILKGIYGPKGVTVPDPIQSCCTRWGSDPLCSGSYSHIRVGSSGTDYDILAESVNDRLFFAGEATNRAYPATMHGALLSGLREASKILHASESRLNSDYKKYALQKSIRLINNVLDDLFMEPDLECGRFSFVFSYITPEEEQAPGLARITLEKPLLLPSKKRKVKGNQKDQDPVAEKIDQEVFYLYATVSQEQATELMECDDDKSRIAVLCKDLGVKLMGYDSTYDVCSHLISSISRAQKARKRLQGPKSLKTGL >KN538682.1_FGP122 pep scaffold:O_longistaminata_v1.0:KN538682.1:592752:593309:1 gene:KN538682.1_FG122 transcript:KN538682.1_FGT122 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPATGFLAAVAMAFAVAAVVVDGCDNVPSMSMADACLKASPSQPLLALCHSELLNAPESGEVTVYAVISARWAQWAYEASSQAAAGLLGNNNASLAAGERAAYEACVARYASARARVMAVQTQLLGCSYASPKQELIDARVDVEACGGELSRFTASPLYAMNADDQLKATLAYELTGLIIGK >KN538682.1_FGP124 pep scaffold:O_longistaminata_v1.0:KN538682.1:467568:470810:1 gene:KN538682.1_FG124 transcript:KN538682.1_FGT124 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKLEVPSFGSGANYGQVIFALGRGESMSLMVSHGTISWTDYPLLLRNHNLFLSCDIPEGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQLVVS >KN538682.1_FGP125 pep scaffold:O_longistaminata_v1.0:KN538682.1:603620:608180:1 gene:KN538682.1_FG125 transcript:KN538682.1_FGT125 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFMWEGASRAFNHRPTFTNLVLVLGAASSGGLVAYADSNSDDTVGKPQGPPKKKIVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDIKFWEAECFKIDSSNKKIHCRSNIGTNLDGNGEFLVDYDYLVIAVGARSNTFNTPGVEENCFFLKEVEDAQKIRRNVMDCFERASLPYLDEEERKKNLHFVVVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITEFAEDKFGRDGIDVKTGYKVVKVAKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFISEFMKQIGQGKRRVLATDEWLRVLECDGVYAVGDCATINQRRVMDDISEIFRVADKDNSGTLTVKEIQDVLDDIYVRYPQVELYLKSRQMNGIADLVRTAKGDAEKESVELNIEEFKKALSLVDSQVKNLPATAQKYYRLTLSRNQLMKHNACYNYPTKSVIEQYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRALVISDWSRRFIFGRDSSCI >KN538682.1_FGP126 pep scaffold:O_longistaminata_v1.0:KN538682.1:581590:582191:1 gene:KN538682.1_FG126 transcript:KN538682.1_FGT126 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRADAIVFLLAMALFLAGGAVRVERAPDAGEATVFAVAAAKYAKESYESTMEAAFRALQNASLPGDERAACGACRDTYYAQARSSTVAAMNLLAECSFGQLGGEYAAAADAIKACRDAQSKLRSPAIYGLAVSDLMVAALASGLGELVIDKQ >KN538682.1_FGP127 pep scaffold:O_longistaminata_v1.0:KN538682.1:589266:589841:1 gene:KN538682.1_FG127 transcript:KN538682.1_FGT127 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSATVFVALTLLVAGSVVVVVVDACDGVPRMSAVEACKQASVGPAMSRTCAETMGTSADEQEATDFMVAAANAATESYKAGKEAVGKVLSNPLAPDGERLPCLVCANKYDDASMLVASTADDAKRCRLSADSLPDLVTAVSSVGECATKMFEESGNTSSVYATAITNRDWTVLVLRLATLVVPRQQLS >KN538682.1_FGP131 pep scaffold:O_longistaminata_v1.0:KN538682.1:548672:551340:-1 gene:KN538682.1_FG131 transcript:KN538682.1_FGT131 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDAMFSEVGNVRVIAKGNLVTYKGPTMVACTLHAVSILLKEGLEWDWFINLSASDYPLVTQDECRDVLLISYEMPPKRLEFRVFASRIVYFMTKDDILHVFSSLPRNLNFIEHMQLSGWKVISRAKPIVVDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFRGTAVGHDLHYIAWDYPPKQHPNMLSMKDFNRMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGQFTPGAWCDGSSEGGADPCSSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLGYDQTKRDWYVPKGRG >KN538682.1_FGP132 pep scaffold:O_longistaminata_v1.0:KN538682.1:670856:671644:-1 gene:KN538682.1_FG132 transcript:KN538682.1_FGT132 gene_biotype:protein_coding transcript_biotype:protein_coding MQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGVAKLQEHIRSDVAMAKVFEDLVRGDDRFEVVVPRNFALVCFRIRAGAGAAAATEEDADEANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEEHHVRSAWELIKKTTTEMMN >KN538682.1_FGP134 pep scaffold:O_longistaminata_v1.0:KN538682.1:568920:569186:1 gene:KN538682.1_FG134 transcript:KN538682.1_FGT134 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDLAVRFMGRVAGDLASCETAAARLRDDCDGSLAGMDACRRKLFGYPASPLYGRNLADRNKTMLVGLLSNLVPTPTPSPSPSPET >KN538682.1_FGP135 pep scaffold:O_longistaminata_v1.0:KN538682.1:579332:580294:1 gene:KN538682.1_FG135 transcript:KN538682.1_FGT135 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALTLSLLVTAASLLAGGADGCDGVPRMSAVDACKQASTAGVMWQLCAAMLGTSPEPKEVTGYVFAAMDSNIAQFLISYEAADKLYFETK >KN538682.1_FGP136 pep scaffold:O_longistaminata_v1.0:KN538682.1:587305:587871:1 gene:KN538682.1_FG136 transcript:KN538682.1_FGT136 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATFISVLSLALTAALLATGGVDGCDGAPRMSAVDACKQASTADVMRQLCAAMLGTSPEPKEVTGYVFAAMDSNIAQFLISYEAADKVRQDAASSEQLSAACETCMGKYDLAKMKMVYSGDRLRSCDMSPVTREDLLTAVVGIDDCATLLLNAAGDKTPLRRMVLLDRDRAVLLLQLAILLLPNKS >KN538682.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538682.1:585923:586595:1 gene:KN538682.1_FG137 transcript:KN538682.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIFAVLSLSLLLLAAGGGADACEDYDVPMMSAAAACERASTGRAMSEMCADEVGTATAPDQENNCIIFS >KN538682.1_FGP139 pep scaffold:O_longistaminata_v1.0:KN538682.1:556450:559035:-1 gene:KN538682.1_FG139 transcript:KN538682.1_FGT139 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSSPAEIAAQARATGACLVLASRDGAGKIPPLDAPVVLVPEILDHSAAADDGDDDQRVFAAFRAMLDDGDDETPVPVVGQDDAAAILYSSGTSGRSKGVVLTHRNLIAMTELFVRFEASQYHARGARGNVYMAALPMSHVYGLSLFAVGLLSLGATVVVMRRFDAGDAVAAIGRYKVTHMPLVPPIMAAMGYGMTESTAVGTRGFNTSKHKKYTSVGLLAPNMHAKIVHLESSSCLPPGFSGELWLHGPGIMKGYLSDDDDACTRKDGWLRTGDIAYFDLDGYLYIVGRLKDTIKYKGFQIAPGDLEEVLIHHPEILDVAVTSAEDEEAGEIPVAFVVRRSGSNLSCKQVMEYVAKQVAPYKRVRKVVFVEAIPKSPAGKVLRRLLRNSHDTAAAATSSCSISSKL >KN538682.1_FGP142 pep scaffold:O_longistaminata_v1.0:KN538682.1:571255:571803:1 gene:KN538682.1_FG142 transcript:KN538682.1_FGT142 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISFLSPLLVAVSLIVAGAGAGAGAEDEKTCPGAQSMTVESACRNVSHTQAMYDACKGALAGVPNPMSDHDATVYEHATVVAANEQVTYNGSLSGEEKGAYEGCVEAYAAAEHAMGAVLNKLGACSFGGGLADDYMSGLLAVESCRDRVIKLPASPLYAMVLVDRNKVGLALFLGKLLGV >KN538682.1_FGP143 pep scaffold:O_longistaminata_v1.0:KN538682.1:610416:625120:-1 gene:KN538682.1_FG143 transcript:KN538682.1_FGT143 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAEGERRSDRKGGKMAATARIPPMRFTHKPALDCSAGATPTLQVFSVEVEATSGGLQWPLDVFGIVAMRDDLDRNRNIVFHRTRDNCQTLTEQDRNLVLIGPTRAVALSPPEPVTIEVELKVKGSTESEDKDFSFLAVPLICHKTYYSRVFHSGSHTSKLSTLAFRFGYVVRSVEATIFVQVIHGSWPDGLRGEFAAFTSGVRCKTMANTDSIDHEKIVLLDSRGEKVAVTADGKIKLSRCVVSVESNAELKVSVKAWKAENNVVENVNVFTALEADEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERQTPQMDKLKRQLAEAEAALEARKKPPEDTGPRVVGEGLVIDEWKERRERYLARQQIEGADSA >KN538682.1_FGP144 pep scaffold:O_longistaminata_v1.0:KN538682.1:524082:527538:1 gene:KN538682.1_FG144 transcript:KN538682.1_FGT144 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGDEASALRAEESASGGVDVWSDAVSSHDPDHLLVMVHGILGSNADWQYAANEFVKQLPDDVIVHCSEKNINTLTLEGVDVMGERLADEVIDVISRKPELTKISFLAHSVGGLAARYAIAKLYRHPSDTSKGETKGTICGLEAMNFITVATPHLGSRGNNQVLYFFHASWIYSLLGRTGKHLFLTDDDEGEPPLLQRMSEDYGDLYFIPSTCQFFNSWHSEIVGWRTSSIRRKTELPKWEESISEKYPPHCT >KN538687.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538687.1:96901:97553:1 gene:KN538687.1_FG001 transcript:KN538687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDLAAVLAMSSLLLLPLLVSSVPMSASLHLSSQQQHLSSPNISADDMVAATTDVEVNDYPAPGANPRHNPKRPPGREMSVQSMVAAATNNVEVNDYPAPGANPRHNPKRPPGRE >KN538687.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538687.1:167332:169311:-1 gene:KN538687.1_FG002 transcript:KN538687.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRREEEKKKKDILAGSDDESDGGGGEEDLSKIQINEEYARRFEHNKRREALQRLEERKKKGLVPEEEEEDDDDDKSSSDDDAAAIASRRVDRQMFEVIRRIRRGDAAILDTKAKMYSSSSESESGDEEGKKPKKKKKKERPLYLKDVNARHLLEEGPEFAAQASHGGHSSSSSKYDKIAYDEQQRKGLEAFLEAEKAALADADGDGGEDDDDLFQVKPKGGDGGDKEDDDEEKKETEQILIDIFGKDEELDENSKFLKKFFLERPYLETGSEKKYSLDDIQELSDEEEHLIEQEDYETRYNFRHEEAAAAGADVMDRVMGHSRFVEGSVRKKESSRKQQRKNKEERIARAKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIDSDAACKLGADDLEEDFDPEEYDKKMKETFNDNYYEADDVDPEFGSGEEIDLEKPDFDKEDELLGLPKDWALDGLEDGSSATAEEASQKKKGGKDIANGEGTNQKMKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKQVKPNSFGLSTYEILASDDKDLNQYVSMKKIAPYREAEWKVTHHKKLIKDSILGGQNKEGKKVKTKKSKSEEGPASSEPKKEELPNEQEDADGKAKSTRSERRKRRRRELKMTTERQAAYGKINPKRHKSH >KN538687.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538687.1:60567:67277:-1 gene:KN538687.1_FG003 transcript:KN538687.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRNRDAEFDMAEMDRMVPVVKLDPARQPWLTVPGRSSCTCDCCNVTTKKKPSKKALIPAWLFRSDDDDDDDQHEESAEEEEEDNQLQGLDKHFAEYRIGPQYEIRGATIKRYPYTDGYELTDKLNAELPAGERRYTPTFVLKGSSHRDGFFGLSWHSEYRMHETAPTSLLRSRFTIPHPRWDYEADNMLQVCSLSLVAGAAGDGRRLLVYGIVAARDDMEGLPNFVFNRTRDNAQEVTLSSPALELSSPLRGISAFEHVLLEFDLKLKNTAADADADDVLVDACIEFVDRTITCSAGRLLRSRIEGPICSLDMDYMFVKSGVEATVETPVVGSFMARERASLVGRVLLGGVREPSSMLVLDCSCDRELLGLVVAPQRGFAVVCWGGVGVACQVASSATITLFRFRLVFAVSSPALELSSPLRGISAYEHVLLEFYLKLKTSDDGGGGVLIDGCIEFAGGITRYTGRLLRSRIIQGPAGCSPTKAQKVGSCEKMIGEFAGDGESGEVVPVGLKVTWLTGFSTHEIFSSTIL >KN538687.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538687.1:194629:196764:-1 gene:KN538687.1_FG004 transcript:KN538687.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding VREESRRRGAALDEMRTRVELRRARVEELLDAKEALSGEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHDLPNGRNLDSNTNGAHRTLSEENGSLPEDNGTHVLNVIKLPQIHALTFLGWQIGKERRKQKDICEKDLQRSAAVLGYAAHAVLLIASYLHVPLRYPLHFGGSRSYVSDCLPSAETASLASAERTCINMTEPKLTVYPLFVECQEDDSTKASYAIYLLHKDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYVYS >KN538687.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538687.1:5084:7354:-1 gene:KN538687.1_FG005 transcript:KN538687.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELVATDGATGTTNIAPPVCSKEMHAKCLLLGPNVKGIADRAVTAFQSKMGVSKVVLISSQSMELMVDEEATCTDTFDLPKVMHANHSMLGFNANTDTFQAGVAYPQRLDAPERIAAPEKATLLMAQKLSSNSCLKFVALNKCLIKWPKGYKEPLMGHPKRNPWLPPLSRWEDWYVPWDAVNYVGMRFYFMQPWPPPIEVSDLALVIQSIVVLPTEMVIDLHWVELKPWPPPYKHGIIRMNRRASITLWRTILINSGTKGSLLIAELYELYLGHALLTIGDNGNYGPLGLSVCKIGSQFMLRITRYLEANLNLSIVEGPKQNLNGLILALDEDDLHDLGDYYFLFQAKWLGLMSSEYLGSMEQLEFTFNKLMQVTYSPGGSKNSIGIILQICCRQLHCSNSCHKCWLTKKLIEVGKYSCNRYWKQVQIIGSYWQWSHVIYMSRPNKQLGDIDQAAHNLPTLINSSMLRCKNHPLQCALFAGIYCIGAWIEHVGANLVESMEGAMKDMNPTMQSLWFHDTLIQTWKTSQSIEYQFNSDESDCVVNFQEIWFEPSWHHLTQESFIWAKQFKCTGIKSIGLYLLIHSWCPINDWCYINHGDKINQNLVQVHEDITCHMVFVENTSYYQEYMVSKGPSQLMMHHISTAAATTEGRNRTGNGGGWLHGGWTNGGGDLEAGLALCRVSFSFFHLSIP >KN538687.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538687.1:131045:139970:1 gene:KN538687.1_FG006 transcript:KN538687.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDDDEKMKGCRPKLFGTKDKKVVKRADYQSCSAAHLVESGELLKSVGQSANYIHKLSPGQVPQSHNLLPPSEHLQEVFAEVRSIRLSHLLGHSSSTTKTEPFSFQEIVPLNAGNVLVVEDNEPAARWLALINRTLNKPVDSNADIFQHKPSSSLDSTSSLSSSNLDASFSSRARTASGSSAIFQKSSLKSIRKPYMPTQRKLLKFCNCSVEMTRKSYKDACFGCPQAYANETDSSEDDTDDRSNDPCGYIVDGMNSAASASRDQLKYNLISCKRMVGIFITVWAKKELVHHIGHVRTSCIGRGIMGYLGNKGCISVSMTVHQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFQRICRAGRRIPEKILDHDRVIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIERKSGRVFKGWSEEKIYFAPTYKYSSNSDSYAGETATSKKKRRTPAWLQLYWCDRILWHGDGIVQLSYFRGESKFSDHRPVCGTFIVDVEIQESRSKRRSSNTNIRIGAEELLPTSKSKANKNKGNKGIYSKADYFFVTGERVFLYQHFLSDDEANHLVSLARAELKRSAVADNLSGKSELSDARTSSGTFIRKSQDPIVAGIEEKIAAWTFLPKENGEDIQVLRYKHGEKYERHYDYFSDNVNTLRGGHRIATVLMYLTDVAEGGETVFPLAEEFTESGTNTEDSTLSECAKKGVAVKPRRGDALLFFNLSPDASKDSLSLHAGCPVIKGEKWSATKWIRVASFDKVYHTQGNCTDDNESCEKWAALGECIKNPEYMIGTAALPGHG >KN538687.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538687.1:169628:171188:1 gene:KN538687.1_FG007 transcript:KN538687.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKAVRFLIDCAPSPPRRALPLRPFLLSLVSDGWVSVAVAMMQKKSTDNINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLGKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIINTTPASQ >KN538687.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538687.1:170:2287:-1 gene:KN538687.1_FG008 transcript:KN538687.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARGEDPYVFFEWKVTYGTKTLLDAPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDEPLLFTWNGMQHRKNSWQDGLAGTQCPISPGTNYTYKWQPKDQIGSFFYFPSLGMHRAAGGYGGISVVSRLLIPVPFDPPADDHMVLIGDWYTKDHAAMAKMLDAGKSFGRPHGVVINGKSGKAAADPPMFTVEAGKTYRLRVCNVGIKASLNFRIQGHDMKLVEMEGSHTGSYHYGQINITRTIRLMVSRGHIDGKLKYGFNGVSHVDAETPLKLAEYFNVTDGVFRYNQMTDVPPAVNGPLHVVPNVITAEFRTFIEIIFENPEKSMDSVHLDGYAFFAVGMGPGKWTVEERKTYNLLDGVSRHSVQVYPRSWTAIMLTFDNAGMWNVRSNVWERHYLGEQLYISVVSPARSLRDEYKNALRCGKVVGLPLPPSYLPA >KN538687.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538687.1:147883:150050:-1 gene:KN538687.1_FG009 transcript:KN538687.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KN538687.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538687.1:111221:111331:1 gene:KN538687.1_FG010 transcript:KN538687.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >KN538687.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538687.1:124739:127329:1 gene:KN538687.1_FG011 transcript:KN538687.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH--cytochrome b5 reductase 1 [Source:Projected from Arabidopsis thaliana (AT5G17770) UniProtKB/Swiss-Prot;Acc:Q9ZNT1] MAEKPIHLLPPLHLAAAAAAASAEGGSHEGKDLWHEHLENGFVIVNAFLKGQDATGEEVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFREMKVGDYLSVRGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVTRAILENPSDNTKVHLIYANVTYDDILLKEELDSMVETYPDRFKIYYVLNQLCFHEQPPEVWNGGVGFVSMEMIQTHFPAPAADIQILRCGPPPMNKAMAEHLENLGYTKEMQFQF >KN538687.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538687.1:172657:178036:1 gene:KN538687.1_FG012 transcript:KN538687.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTVVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSVPCTLLSVDTMDISGEQHHDIRHDIEKRRLDAHGNVIEARKEGIGGAKIESPLQKHGGRLSKGEEYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNINVPELSALEHGFNFSVTEHFRDGNIRPKPQPGVFLFYDFSPIKVIFTEENSSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >KN538687.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538687.1:191091:192632:-1 gene:KN538687.1_FG013 transcript:KN538687.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFSAKENTASLNDGEIKDGLNSSREDAIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDSSLDSPFGRVGCHDGSPGFDHDQAEGTTPGTPRTCIASVLDEIDPLSSADSSHPDPIQNDDSDNHMSLQDSRTVSDNNYESVKSKANKNDDKNVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDIDNDKVCKRNPSDILSCSDETQFPGSAPSVLHTRRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEAMDIDEPSASDFQRQFSDKSASTLSTVTESDIISSVADQEDISDSIKNDSSREYESPELPTIPTMGSDIICVGGT >KN538687.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538687.1:57286:60043:1 gene:KN538687.1_FG014 transcript:KN538687.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDRLVPVVKLDPARLHRSAPALCGCCDLEKKKRREIQIPAWMFRSDDDGELAAVTEEEEEEEEHESQQRSRKQVFAEYMIDSNPLTNEETARHIDGFEVSDRLNHGRSPGQQCYSPVFILPHSSHKDGFFGLDWHSEYRMHEMTPTHLGNARFSEPSRFEYDATQMLQICSVRLVEYLSHDRPHALVYGFIAARDDLDALPNFLFNRTPEDAQEVVLSHPILDLSSPLRGISAFEHVLIEFNLKLKKGCCSRDDEVLIDGCIEFTDRTISFNCDRKLRSRIVGSVGSVDMDYFFPRHGVEATIEISFTDTCLKYYLPKIRSYIVMNGDTQGICIHEIGRHELRRMHVQNLLTSSSMSRSSSVLSVTFVIAVPLNDELEIRCNLMQRPQDIEVPFHFKVQKLGSFLQSSEKYAELLPSGVKVTWSTLGFHTVSPMDYQRLLR >KN538687.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538687.1:13015:18787:-1 gene:KN538687.1_FG015 transcript:KN538687.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLLVLALVVVAAAGVPAVLCQTNAQDAAALEGLKSQWTNYPSSWNSGDPCGGGWDGIMCTNGRVTSLRLLSISLQGTLSSSIGQLSQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHILFDSNKFSGSIPAEVGTISTLEVLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTVNMGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRL >KN538687.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538687.1:76977:78610:-1 gene:KN538687.1_FG016 transcript:KN538687.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAAPRGSKGPKIGGGGGKR >KN538687.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538687.1:102486:103079:1 gene:KN538687.1_FG017 transcript:KN538687.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPRDLVAVLALSCLLLLLPLLVSSVPMSRSLHLSSQQQQHPPSLNLSPDEMAAAAAAARGRGRRPAARMDVEVNDYPGSGPNNRHDPPKGPGRA >KN538687.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538687.1:121227:122198:-1 gene:KN538687.1_FG018 transcript:KN538687.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAITRYWCHECEQAIEEAMVDEIKCPSCGGGFVEEMTDEEIERLTNRQPEPGFSQWNPIEHPGEMMDSDDEDNDLGREFEGFIRRHRRASTLRRVLDSIQDDLADDQERDSSILINAFNQALALQGSVLDPDEGQGDQGGSTNDDGLLEEYVLGAGLSLLLQHLAESDPSRNGTPPAKKEAVEALPTVKIEEVVSCSVCLDDLEVGSQAKQMPCEHKFHSSCILPWLELHSSCPVCRFELPSEETKDLNEPSNIGRVEDSHEEVRADGPGNVSESSNRPWAIVPWLNELFSTREAQNAGGVSTDQQSPHASGTNPNAGHS >KN538687.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538687.1:112522:114554:-1 gene:KN538687.1_FG019 transcript:KN538687.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLFLHGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLHGQANVGTTKNALGCTGVADRIPGTSALAMASASAIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPASLEDAKIKISELVSQVSNECLSNAVTEIRESSSIHRLEPRQIQFVESSANNCLTAAEGFKEHRLQNHGVLKAYDDSTLFCRKQSQDQESQYSLNRSLSERRMGHLYSGKQYHKSEGSDSDTEVLHEYITPQKNGGGSTTSSTSGSKEINVEKLYLDEPSCKRQTVDYQRESKLLDFDQQSSGKNLDLNTHNIDDNDQGYRHFDLNGFSWS >KN538687.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538687.1:162651:166329:-1 gene:KN538687.1_FG020 transcript:KN538687.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEEKTQVAIKTLRRLGPAMAGMKQGTKPVPGSSLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVINLHDVYEDVHGVHLVLELCSGGELFDRIVGRDRYSEFDAACVIRQIASGLEALHKASIVHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQLLRHPWVIGDCAKQDLMDAEVVSKLQKFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLTSEELDNLRLHFGRICADGENATLSEFEQVLRAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGFSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDITEPGKLDEVFDQMDADSDGKVTFDEFKAAMNKDSALQDVLLSSLRQPGAQ >KN538687.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538687.1:68515:74679:-1 gene:KN538687.1_FG021 transcript:KN538687.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLAHPLASLSSSSTRVEVKVMGDVFVSSDDIARNLIIDYGSGKIALTAACSVSLALPSTPPSTAASLRSSQQLLCCHYHRRRSRFRRCHRRRLLRGAHNPLSLSCFGQCGGVAPPSQRREAQEAAGGDLHAQGQGPTHYVVNKTALAAQGSNEKAAVDANEVSLPLSNLSNTRQHSDRENNMPGKHGNDGMSKDMGRATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYKGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQLNTSSSLSQGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKNAGSAWSRSYEAQKLFLGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHSLRENLEKVAVKPCYFVYDGGAPRYFTQGLLDDLPMEMEEGREYAACGAQVISHLRVFDAIAAYDAQILSHKDHFTPDV >KN538687.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538687.1:21646:23865:-1 gene:KN538687.1_FG022 transcript:KN538687.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGGRSMALSLLLFAVTLSLLEMYRGRFASSELMTIAGGFVSSLLFLFLLTFIGNYQEANGVKTGWGAVVVAELTALIVAGTVHRVCITTCFLFSAGFLYEVDKLSGMILARSESKARRH >KN538687.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538687.1:181388:182443:-1 gene:KN538687.1_FG023 transcript:KN538687.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHADPVLEADQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGDAEDALNLSSPKPRNILSHFLKMMLFTIIKPFHSMRGPNGAGRPLFPQLAQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGAEHEPYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADSQGTLSMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSPEGSSGGHTSRKETDAFVSGAFDGPFKFAVKALMKRRTYLLEMNGF >KN538687.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538687.1:93319:93861:1 gene:KN538687.1_FG024 transcript:KN538687.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPRDLAAILAMSCLLLLPLLVSSVPVSGIVHLSSQQQHPSSRNIYADAVNLSHVLQFLNSRIRWQRSDSEHGFVYVHAGIRWQRRRTWR >KN538687.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538687.1:154018:158390:1 gene:KN538687.1_FG025 transcript:KN538687.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAGGSGGGGSGSGRDAGSAQRGPMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDGADLDGGGGSIAGQSQPALGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGDRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGRKRGATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKFRNEVNHAALVLEIGFSFRRRPDPAKEAAAECAGGEVTTVALRRRRRRGGRGQCEPGEAEAVEQVESQAELEAKRLPVDDTRSHHMELFRDGCRFHIST >KN538687.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538687.1:30011:54227:1 gene:KN538687.1_FG026 transcript:KN538687.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKSPSKSTQVGYPALSNRGGMHVGGSPVFTGNMSAIDGSIQASSSYLTKDGNHNSALGLAAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGNFGENSLFDINDFPQLVGRPNYAGNIQGLYGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTPESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNPATQNGSVRINKMHYTIGILDNFIKLVSINTAHTAAAVATTKVEEDMPPSPCHAATEAANVTVAMWTLDQSAGGATIKRYPYTDGYELTDKLNAELPAGERRYTPTFVLPGSSHRDGFFGLSWHSEYRMHETAPTSLLRSRFTIPHPRWDYEADNMLQVCSLSLVAGAAGDGRRLLVYGIVAARDDMEGLPNFVFNRTRDNAQEVTLSSPALELSSPLRGISAFEHALLEFDLRLKNTDADADDVLVDACIEFVDRTITCSAGRLLRSRIEGPICSLDMDYMFVKSGVEATVEALQMAARSQRLQGLDFSENGVQNQEMVPNDHYVEEQNITNAEWRSNCYEYHPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSSVVLGSYLGTLVRKPHLAPLNILKWNDKLYKRIYHPKLISEVQRKFAIDGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTKISDQNQENAQNIKHPHTLGRKSFARKRKELEHDGVEVDRATFFDECHKTKDGRYVNDATQDKMNEVYMKLAEKRVDGQELTEADFEQAMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNMSGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLARLIPRQEAANVAGEDAARELEGAAGSPPRPRRRLPYDRRPRRPPSPRVRYTVRDDNPDAQELALDSLLTFLAVVDPCDASRYAKDICDSLVARCLGGRPITIEKAKTIFLMFIELHAVDIFLDSMENAVRKKVQTVVIKSVELLQKALQQFGSDVVSAERIMKVLPELLESSDSRVRKASEVLAIELSRWIGRKALRRSVIKKIAERRKGNLSELIITGCAANDKASRQESTIGGELFREKSVGNTFRNAANALYSDAGANNSACKGIVEKHEPTKTTDVRVTNKSRDATDKFVEDRTIETANTSVIDISSEIRNLKIYNPGTVVGNANISNYCVLKLDMKDPVKTFFNMRNCIGHHRWWCYTNSDLCYEHGHKTRRSWLLPRSGMFFQYVEVRGKLMCFVGDACTSWLSAVITEKTVAEFPHESGKKYFVEGSWGKLSWKKLTCSGNYSPSLGTTTLGGESLEDACLIIYRHVNDGDAFDKEVLQACFTRVIVHLWDTLRNNEALRRVLCAFNPLFSSCVNELGRDLVPDLKNWKQICQNLEDSIINDIPFVQIHCTSPDSVLAALERIRILYQNNQVRVPYDFRNLPIKPDEWGRKGRRGAVRKDGTQSLGSKSSGGQAHDLGAPSRYPSFVLRDWKKLDSQDTVEDLFDMDIVSDDDMMDCLDGTMYQTDNAMLPKQMPYHPAPSRDLLKSGQSQRKENQDNLLMSKFVSAKEFPCLCTMEFRLFLLQLLMRSCLSTFTPRAHEEMLWQLSL >AMDW01068966.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068966.1:918:1314:-1 gene:AMDW01068966.1_FG001 transcript:AMDW01068966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTGSSSSVHPNTPPRSLSHSSGGLCPPQLKCSCGEPIASFTSGTPRNPGRRFLKCAKQHGCKAWLWEDFLHEYVDEMVAYCIKDATQSRDETISAMNYQLED >AMDW01009048.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009048.1:18:209:1 gene:AMDW01009048.1_FG001 transcript:AMDW01009048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KHCIEKIEYEGEKVIVRGGFCAEELRTCIWRKAGCKIIVSIVIVEVWPPPKPKPVEVNVSVKTE >KN539879.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539879.1:63150:65949:-1 gene:KN539879.1_FG001 transcript:KN539879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLTNTFILSQTTTGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQQSPKRDSSSVGLQIHHGSFEAPSELASRPHWFPLLTEHPPIWTAQPHPLFPNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFDPTAPSSSSSSRHHHHHH >AMDW01029630.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029630.1:55:354:1 gene:AMDW01029630.1_FG001 transcript:AMDW01029630.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQLLQLLVAELDELWEPDATARELEQPAAAVETRLLELKVASLQEENHCLEEAQASELNDVRTKLARTKEKLRELRARVEHDQEAAASKAATTLAR >KN539879.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539879.1:43845:47852:-1 gene:KN539879.1_FG002 transcript:KN539879.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPVLAAVFFGVSIALRDGVGKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPQEYQRFEAVNLLLALILLFTSYKLFAEEDEESDLSDNFIVKTCQRFIPVTDYYDGDRFFTTQEGLWKATPLLLTVAVIELSDIAFAIDSIPAVFGVTRDPLIVLSSNIFAISAFTFVSTCILHSFSQLMLRVSFHNFAVICSIKVPFHAVGLRSLYVLISESMSELDYLQPAIGIVLGFIGTKMVFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASTEEKDK >KN539879.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539879.1:29024:30297:1 gene:KN539879.1_FG003 transcript:KN539879.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSAQRIALTVSFFGLLSFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLTTIVGHAAIFYPYKSKSVPRRALFRSASLSVFFVIAELVSALAFAMLLWATVTEGLHRSNNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVFASDVDGTKV >KN539879.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539879.1:9363:14023:1 gene:KN539879.1_FG004 transcript:KN539879.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGQDAPPPPPEKGVEVEVFPAWARGVEECEARLGVSAARGLSSREAAARLRAHGPNELAEHPGPTLLQLVAQQFDDTLVRILLAAAAVSFALALSSSAGAVTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALREIQSDHAAVLRDGDWLPSLPARDLVPGDIVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTAHQVPHDDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWMPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDAEGKVRSFKVDGTTYDPRDGRIHDWPAGRMDANLQTIAKISAVCNDASVAHSSHQYTATGMPTEAALKVLVEKMGIPEGMNGLSLDPSETLGCCQWWSNVAKRIATLEFDRTRKSMGVIVKSKSGRNALLVKGAVENLLERSSHIQLQDGSVVPLDEKSRKAILENLHEMSIKALRCLGFAYKEDLAEFATYDGENHPAHKLLLDPANYAGIETNLIFTGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDITLKSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWNNFTVTPFTAGARTFTFDDNPCEYFHGGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTSSSGPKRRTRKQKGE >KN539879.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539879.1:22677:23552:-1 gene:KN539879.1_FG005 transcript:KN539879.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQEDYIDMDLTPTTTPLPPPPPRLCGGGGFREFEFHSSGAVVSKAFASPADELFYKGNLLPLHLPPRLQLVQKLLQEQQVQVQGTKKEVEDDDMVDMSKVCAAKKYSWSKRLKLMKRWTSREYIKSLFLATAKPTDIAVGGGGVMDQEELCGHRKSFSGIIRRVRVAATKAASASAPGTSPLCSTSSSSSSTPSCGNASGFFTRHGAAAPALKRSSSAGSSEEGAIQGAIAHCKRSQHLQQQRRSVSDVVFYSVTNTPRVSSAAAAAAAGEVAQGERQEMCRG >KN539879.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539879.1:50861:54323:1 gene:KN539879.1_FG006 transcript:KN539879.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) UniProtKB/Swiss-Prot;Acc:Q84MZ4] MGGTVEGENEGTRAGLRWYPNPAPAFSNPNPLRLAYSTTNASWFESRMRSVELGAEEGEVAGGEGESKRAAPPARDIRRYKCDFCSVVRSKKGLIRAHVLEHHKDEVDDLDDYLECGGGESRKEMDRDCKGGGDSYAVLTANFPGQLIVVVSLLFWLDYFTILLHMDRPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPVEGCNRKFTIKGNIQRHVQEMHKDGSPCESKKEFICPEENCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKAFTNLECLKAHNKSCHQHVVCDVCGTKQLKKNFKRHQRMHEGSCVTERVRCHFKDCKLSFSKKSNLDKHVKAVHEQKRPFVCGFSGCDKSFSYKHVRDNHEKSSAHVYVQANFEEIDGDRPRQAGGRKRKAIPVERLMRKRVAAPDDDAPACDDGTEYLRWLLSG >KN540618.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540618.1:26944:27093:1 gene:KN540618.1_FG001 transcript:KN540618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVGGGEAIAGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSS >KN539879.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539879.1:17047:18643:1 gene:KN539879.1_FG007 transcript:KN539879.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVRWAAAASSSSPPPSSLPEEEEMEFLHECAASTPVEDVAAALAGVAALQARLLSLCRYLRERGAAAAAAGEVERAVAEAESYASKEQVRHNRFLSPRALREHIKNIEKTAVTTLQESPERLCLQHKSSGDKHENVQLWWAGKELATGKKLCDYIGVNDKTKIVIRLKHVPQSS >KN539879.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539879.1:37703:41989:1 gene:KN539879.1_FG008 transcript:KN539879.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHPHSLPLTCQYTMDADEISPTNCWSSLAKGIETEGTSAFHQSCLSYFLSLLVSLVVLFKVQNPIICRNPSVLTAELFNHVPDVIDSFRCKKLNIEFEEDEEPEEVLHPSDAGPFQHTDKEYATPNPNDVGHLSEIPSQQEQAEMPRLPCLNKPVEYCMSDEYIDLLDKKAKAFFSRVSPVKQRSRKETIANGLQYLTEEAFLAFRNYIAEKDAFEEVDYKFGEILHHCFSVEEYRKVYCHYNFTVEMKNKDEECWTSRLYFAETKLMHGVKYYFCTPLEATDDGCCNACKNQGVNELKHPSEGGYEKGQSSTWCQYFDEDSDEEC >KN540618.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540618.1:32890:36867:-1 gene:KN540618.1_FG002 transcript:KN540618.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFKIKGQKKEEAANTNGRPPAKKQSPGELRLHKDIAELNLPKKTNITFPNGKDDLMNIEITLRPDEGYYVGGAFVFTLQVPPTYPHEPPKVKCTTKVYHPNIDLDGNVCLNILREDWKPVLNINTIVYGLNLLFIQPNDEDPLNHDAAAVLRDDPQKFRRNVQTAMSGGYVDRVHFPRFLYEQAVQQSATE >KN540618.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540618.1:28599:32031:1 gene:KN540618.1_FG003 transcript:KN540618.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MDDFLIKFFPEVYARKSAHLHETDYCKYDSQVLTLFTSSLYFAGLVSTFAASHLTRRRGRRATIMAVPLYLSEIAPYNIRGAVNQLFQLTTCLGILVADVINYFTDKIHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVEMGRLEEARRVLEKVRGTRKVDAEFEDLREASEAARAVRGTFRSLLAARNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVVVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGHGEELSKGVGTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFILFAALIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRKDPKYQGHHHHHHQMAAMPAAGGGGDGAAKSGSSEETENSELASASYLWWNLKLSKSSPVSEKPIVYRVEASDFSQETDVKTCVASAT >KN539986.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539986.1:66323:69053:1 gene:KN539986.1_FG001 transcript:KN539986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKAARKGKAKAVMLVAPPQPQPAKLGVGDILRALDEHFLKASQSAHEVSKLLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVRNEMDDDEWETHATTLDKLLAWEKKLYHEVKDFEVIKREYQQKLAVLNKKKQRGVTSSSLEKTKSVVSHLHTKYVVDLQTMESTVAEINRLRDQQLYPKLLELVKGMWHMWDAMYLHHKTQLKIILELKSLDISVAPRETSEQHHDRTVQLWNVVHEWHTQFDKFMTYQKQYVGSLYTWIKLNVIPIDTNLKPNSSQPHETTPPIKRVLHAWHEILGKLPDEAAKKAINTFAEIVKTILVHQEDELKLRMKIEDTRRDYGKKRRQFDDWAQKYMHQTAGILPEDRNPDGARPDPMAERKAAMEKLELSMKELEEMYVKQCRVVREKSLSLLRTNLPELFRVVSDFSLQSAGMFKGVWSIAHTNDQLDE >KN539986.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539986.1:2125:3271:-1 gene:KN539986.1_FG002 transcript:KN539986.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVGSAPETMDLETENRLASLLLEEARRLQAEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMKRRTKDLGDARGEKRKSELRNQSSSPRVEEEGIAYNSSYSDQEDGLGDDDIEKFLHSRVKRGRGAVGSRMDEPGPYLNVASCSQDKDNGPNADTRVEEKWERRVQGPEKPLFLRSRSPDDYWRKETLDGEPSSPELHKKKEKKKEKSSEKKDRKEKRKKKDKKKSKHRHHHHHKSRQRE >KN539986.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539986.1:50832:51629:-1 gene:KN539986.1_FG003 transcript:KN539986.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAAGAQPEILHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWRSSTNLPEDLKERFAEYASSGRGQKEMSFDLDDKIIAAA >KN542532.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542532.1:303:3028:-1 gene:KN542532.1_FG001 transcript:KN542532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHELIAEVSPEELLGAIGELYHHVLGYVRSMALKCAVDLGIPDAVNRRGGAATVADIATDTGVHPAKFAVSPLIVSSFFSLPDWFRSEPGASRSLFEMAHGCSMWEMASKNPAQNSVINNAMVANCQTYLELVVAVQGHVFHGLSSLVDVGGGHGTSMEVISREFPHIKCSVLDLPHVISQAPAGNGKVQFIAGDMFKSIPPADAVVLENILHDWSDDDCVKILQRCKEAIPARKDGGKVIILEMVRGLGPRDSKIKDMEAIQDMLLMFLNGKERDEQEWKMIFSAAGFSNDYKILP >KN539986.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539986.1:45923:49779:1 gene:KN539986.1_FG004 transcript:KN539986.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCIPFRYKDNNDETSDDGHKKLVEVLMINSQSGSGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVHLFPSMCSTIKLYLFAILSVHKQLLGFYDFKSKTHQDKFCPEGMCRAAVFALRVKEELAAWPEQSTRKRTWLTLSEAVERSRYPWVREALTTGFTTWHENWSNGDDHVDPNSR >KN539986.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539986.1:8340:15137:1 gene:KN539986.1_FG005 transcript:KN539986.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPTRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVTPDTFTLNIIIHCLVKIGQCGEAIELFNSMRERRTKCPPDVVTYTSIMHSYCIYGQVENCKAIFDLMVAEGVKPNIVAYNSLLGAYASRGMHREALAIFNLIKKNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSVGMLKEAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYLSFGDYEKALELYTSMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVESKVSSTKEFLWTIRGLEESLGPVQRDGSKWMRDWKTASEMIENLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMMTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDAGVHPTLYMYQNVLPYIWRENGMDFAATMQEKINELPPS >KN540133.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540133.1:24841:40934:-1 gene:KN540133.1_FG001 transcript:KN540133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKKQAIEYVKQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAPSVKWSDVAGLESAKEALQEAAILPIKFPHFFTGKRRPWKAFLLYGPPGTGKSYLAEAVATEVDSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTELLVQMQGFDNSNDKVLVLAATNMPHVLDQAMRRRFDKCIYIPLPDLKARKDTFKIHIGDTPHSLTEGDFESLAYQTEGFSGSDIAVYILLPPISKIDFDEVLLRQRPTLDSSLANKTILLKRDSGITIRSMYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGGGANGGDAAVATRPKTKGKDGEGGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >KN539986.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539986.1:57034:57480:1 gene:KN539986.1_FG006 transcript:KN539986.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVLSGGVLGAAARAVDDGRRWLRDEAGLMIRTMATEALDGGVLEVATVTNYGEFNSLRKTVVGRQTASRCSMAVRNAATA >KN540133.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540133.1:61368:62744:-1 gene:KN540133.1_FG002 transcript:KN540133.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSKHIRLLLTLGSELALLLVARGESETLIGLLLVDPDRALCTEDAGMLVIRGRKLFGMLRRLTLSPLLRCDLLTAGTELPEGTLPFKVLPLEGEQDCRLGRAAGPGSTEEDLELGAPGLPRVAEVGLSGSDVLRFGGASSEKPGISDGFHGLDLTVGELPLEGVDAIVFAGEELLDIVGAGLEIEEDRTGAAKLPCWVEVAEGRLVGVDDLDTGLLCAGMEGLDDGVDDLVAGIDDLEVGVDDLLAGADALPEGIDVLVAGPDVLEGVVDLAEVSVDLEVGVDDLGAGGPDLEGTVARDVGVEGLEPLEVLVSAGRPVGVEGLDELDVCPPEDDGLRVVTAEFKLFDDVVLNGRVVLDGGSG >KN540133.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540133.1:51567:55257:-1 gene:KN540133.1_FG003 transcript:KN540133.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MAKRRSPRVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGSDTLDCHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMAKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIADPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFVSLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTETDTTEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKAKKTRFTHTIKGKGKSKV >KN540133.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540133.1:46276:50809:1 gene:KN540133.1_FG004 transcript:KN540133.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MAELPDEEDRGYAPASRPRRHPKSETFARGEIPGWGFQEIYQEKNEYMANTLEEEEKEDANSSIPRAIKDFVLPAGFPGSVSDDYLEYMLWQLPTNVTGWICHTLVTSTLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKKIIDSGLLKQAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVFIMDTSDYVTCNEEENILTWERFLHPQISFGVPMERMLGEGESSDVVDRLLKLYRNEKYILFLNPIGTSGAAFFVTFKEAATSMSVLRSLWQAHWLDKNQLRQDDVFSWLEESVLALEHGFPDFLKQMEMAGWDQNQIILKVPKEPVLVMEHPDQEV >AMDW01144182.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01144182.1:382:603:-1 gene:AMDW01144182.1_FG001 transcript:AMDW01144182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRYSWEKWAAAFPGSKVYIGLVASPEQDSAWMFQKDLYYEMLQFVRSLPNYGGLAIYDRYFDKKANYTGQG >KN543639.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543639.1:5444:5776:-1 gene:KN543639.1_FG001 transcript:KN543639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >AMDW01040721.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040721.1:323:1427:1 gene:AMDW01040721.1_FG001 transcript:AMDW01040721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNVSDMYDLSEAFHAMSLRHTCVLFILEQFEKICVKSGSSQLIQRVIPELRNFFAKALRPSHRNAQP >KN539870.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539870.1:41718:44167:-1 gene:KN539870.1_FG001 transcript:KN539870.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASIPKKCKVGGKGKKRRSVIQEVAVFVPTIRIPVDSDVAHPLRGLVSKELVDRLSKFRDRVVALSEDIYCADVSDVSELQHALEEYLPVVLGLTMKESRLESSVEFRWRTLDDDQECCLSSAWYEVLSVIHMMAMLALFEANLILIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQVKKSFPSYFQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACELVSYFSQAHYCLSGCDTSDSFGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGNEASSHISAVCCLSAADDLVADSKRACLSFCLANPITRVPPPWGIMRNMHKKIPDAACKRFQMYGYLFEQDNNSALQSLPDLPEFALSLRPEGYELPSTDSIWDNVDSQPQIQSLKEHLDDEDEVETK >KN539870.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539870.1:7463:13931:-1 gene:KN539870.1_FG002 transcript:KN539870.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAVIYLSQEIYSKDVHFLMELIQNAEDNDYPSGVAPSLEFLITSKDITGLGAPSTLLIFNNENGFSPSNVESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISRQPHIFSNGYRIKFNEDPSSECNIGYIVPEWVESKPSLSDIQELHGSSKPLPTTTIILPLKSEKVDVVKKQLSSIHPEILLFLTKIRRLSVREDNSDPKCSTINEISMESEKNYQVRKNIHAESYTLHLSAQENKEQEECGYYMWRQKFPVKPENRVDMRADIDEYVITLAFPHGQRLSRGKQSSPGVYAFLPTEILTNFPFIIQADFLLASSRETILFDSMWNKGILECVPSAFLNAFVALVKSSADAPAMSLPSMFNFLPVHPSHVPFLEPVRSAIKDKVRTENIMPCESYTLQKMFCKPGEVGRIKPGFWTILKKAQECGVDLKNLSAHGTYILSCHFDKSTYDSVLAFLDVKNVSAKWYAKCIEGSNLVYELPEELYIEFLYFLATNWDSFSSTSMKSIPLLKYVDRYGAPTFWSIYKASQSSGRLCISSHKKYIQWLISWNQEFPSCNQFFMPLSTQTALYDFSKNTFVTNWLRGHVNVQVVSVHGYGLNIIAKLLDHDRRSIVAFAHFLYHSFKMGHIEGYFVTQLCHAMPIINIYGKVVKTKTNIVVPAKGSKWVRLMGTNPWKDEKYTVLAADYMSSGSFARKSTPDGRLFKFLTKHLQVSDVPSIDPPDASFPTVSSQLTVDNALLLLEWLRNLKSRGVELPAKFMDCIRRGSWLVTSVGDRPPSESFMSSAEWTGLLQIGSSFVDIPIIDQKFYQNKLNVYKEELKTIGVRFEFQEASVYIGSHLMSIAESNMLTRDNVYSLLQLIRFLQENNLSTSALVDSVNSGQWMKSTLGYRSPANCIIYDSDWAVASRISILPFLDVQFYGDSILDYKPELELLGVLVGFKDNYTTVIDNFEFSSNAISSEATVLILKCVRYVSPCDDFITKLKDIKWIKTNVGFCVPSESFLIDPEWECLLKVFGEVALIDLGFYGSVISSYKEELKKTGLIAGHLEASNALALLFKQMVSKSSLTKANVLALLASYRQLKSHQPSPMKLFNCLRDEKWLHTSQGFRRPSDAILFDESWWLLSPIASLPFINDEDTGYGLGLEIYDYKDELKDLGVTVEVKDGANFVIVNLKIPNDQSAVPAYTVLSLLECIQNWIACQVSLPKDFLDKICKKWLRTTMGYKSPNECLLFDHKHSAICMEDGPFIDEVFYGSEIASFKDALAAIGVVINIENGCDLVAQHMKFHSCSDTISRIYMYLMDCNWKPVNNSSNWVWVPSGIQSGEWVSPANCVLHDRDNLFSSQLHVLDKYYNKKVLGFFALVLGVRFNPNAEDHCKLWSKWEASVTELTMADCSAFWGFVLENWTKATENLLSACVTKVPVFNEGKIILSKKEDVFIPDDLLIKDLFDKLPQESIFIWYPPASLPYMSRARFNCIYNSIGVRTISESVEWNESFTLGDTGLQEVNVSTVIKHGLLQIVTAFLANPVLDIPAKERHKMVSHLLSVTILETNEPITAGYSVKLSSGRHVSVKASRMLRWERDNSKLYMQRCDQETSHRGKIEFATYFADEISQGLLFEMEDHIPELIELVKFGYLLDFQDSAVKFLLKSKNLQLFPEDEEFLDSAMWS >KN539870.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539870.1:28395:30335:-1 gene:KN539870.1_FG003 transcript:KN539870.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQFAGAKISVVLLTGLVALATLVNCNTEGDILYKQRVAWEDPQNVLQTWDPTLHNPCSWMHVLCNSDNTVILQFKVRIIVRFLLSRDLGDADISGPLIPQLGGLKNLQYLELYGNRLNGSIPAALGKMEHLVSLDLYSNLLTGTIPTSLGAMSRLRYLRLSWNKLRGAIPPSLGNLMSLEDLELHKNALSGSIPASLGNVKTLNYLYMLIPKFANREITRYFFTKLGTSKILLTYNSSFCLHKLHPPPQKRLNGNMLTGTVPLEILSLLVSNLVELNVANNNLDGTDRKTGTRVTTIIQDMPKTAS >KN539870.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539870.1:23116:24973:-1 gene:KN539870.1_FG004 transcript:KN539870.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSSAAAAATALFTGLLALATLVSCNTEGDILYAQRQAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLELYGNELNGSIPAALGNLSSLVSLDLQGNLLTGAIPDSLGAISTLRNLRLYGNNLTGTIPQSFGSLTSLVKLELQKNSLSGTIPASLGKIKTLEILRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRVTTIIQDNLKTSG >KN539870.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539870.1:53002:53337:1 gene:KN539870.1_FG005 transcript:KN539870.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGDPRGTDGNARGGHDVAGGSSEGGDDGSTGWRQRRGLASNTDGGDDGRETHRGIDGGAEAARMTARPPIRRGGGRWRRGKLSSSFPRGGSGVRPADTKLGMVQPVMSR >KN539870.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539870.1:18898:20643:-1 gene:KN539870.1_FG006 transcript:KN539870.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSAAAALFTALLAFATLVSCNTEGDILYAQRQELKDINNVLTSWDPTLVNPCTWVHITCDNSNSVIRVYATLLFPLALPCSEDEKENLRKSRIALQGFGIGRNLHGNNLTGTIPQSFGNLTNLVGLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEINVARNNLDGTVGSTGLRVTAIIQDRLKISG >KN539870.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539870.1:37206:39027:-1 gene:KN539870.1_FG007 transcript:KN539870.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKFAEAKVSAVVLTGLAALATLVSCNTEGDILYKQKVAWEDPENVLQTWDPTLHNPCSWMHITCNSDNSVIRVQLYGSRLNGSIPATLGKLKHLVSLDLSNNLLTGAIPPSLGAISNLLILRLSGNNLTGAIPPALGNLKSLEILELRNNALSGSIPASLGDIETLNYLDLNDNMLTGTVPLEILSRLVTTLDELNVAHNDLDGTTRKSVTRVTTVVHDMPKTSN >KN541825.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541825.1:6081:6344:1 gene:KN541825.1_FG001 transcript:KN541825.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTTVTEGILHCKRTD >AMDW01032637.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032637.1:172:316:1 gene:AMDW01032637.1_FG001 transcript:AMDW01032637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDIMPAANKGEFLKSGDSYLARALDLKICSESDVFVPAIPGLFYGHVA >AMDW01040671.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040671.1:143:1465:-1 gene:AMDW01040671.1_FG001 transcript:AMDW01040671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVEVEYEPNKHLNSRKGGLAAGEESTLGFLTYAMPPSAFFDPTSDKKFLEELVRDMKKPSVSVVALQLLVELTGSKRRVCKLGKPMPRFGNGMDRSLIVMK >KN539152.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539152.1:65650:66821:-1 gene:KN539152.1_FG001 transcript:KN539152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMIPNAWFFKLRDMRAAWGGAGAGGGGGGASPGGVVTQSSVAVARAGREEEEVEFKKPTVAVAVAACDELDGKVITSATDIIIDLRTEKRPDKVLPPIVTKPARRELDGCDLEEKHIDVVRRASAKKPTPLLEQSKPRRSVSSARRLKTRANTPRIVAKKSKPPPPAAARSPAPTTKPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRNAADLEDLLACYLSLNAAEYHDLIVDVFEHIWANLADIKM >KN539152.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539152.1:107711:108918:1 gene:KN539152.1_FG002 transcript:KN539152.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGRWRRSGSSMLKLVVALCFFAVAISLCCVCFSSGCGGSGCGGFRRRAVLLRLDYRTRATAYFGANQDHSSSGRRWRRLLAEGPGSYPPRCTAKCGACVPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >KN539152.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539152.1:42638:43734:1 gene:KN539152.1_FG003 transcript:KN539152.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYSTGYGTNTAALSTVLFNDGAACGSCYELRCDNDGQWCLPGSVTVTATNLCPPNYALPNDDGGWCNPPRPHFDMAEPAFLQIGVYRAGIVPVSYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGPGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSYLDGQSLSFQVAVSDGRTVTSNNVVPAGWQFGQTFEGGQF >KN539152.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539152.1:73983:74751:1 gene:KN539152.1_FG004 transcript:KN539152.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAITLSHSQSASFGHHHHHHQTMPSSFRPSTASTRSVKVYAKEEDEEKGSKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKICKEDTRGEPRQVDKLGRYAHVACLENPKPTNIFAKLFAR >KN539152.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539152.1:78182:78595:1 gene:KN539152.1_FG005 transcript:KN539152.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSGLEIFLAVVALIAAICAISWTVRCLIGRRFEVSTGDPNGERQGLLNKEEVVIIDVAAATEARGDDVALCAICKGRLAVAVADGGGQPCRRLRPCGHVYHAECIGLWLQRGTTCPVCRADVVASRNEIVGAMA >KN539152.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539152.1:22417:31301:-1 gene:KN539152.1_FG006 transcript:KN539152.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGATLAVVAVLVAAVVAPPAAAGGTVPRACCGGIQSLLAAANNTPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVSLPYKISTSVNCNAIN >AMDW01040073.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040073.1:439:1153:1 gene:AMDW01040073.1_FG001 transcript:AMDW01040073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRATSPDSGRGANGYGYSHQTKPAQTTPSYNHPQPPPPAEVRYTPPAMNPPVVPPVVAPPKPTPDTILGKPYDDVRSVYSLGKELGRGQFGVTYLCTEIASGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEE >KN539152.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539152.1:113390:119137:1 gene:KN539152.1_FG007 transcript:KN539152.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSILMGRYEIGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRDVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDPNPSTRISIPRIKRSTWYRKPVEINAKNSEAVTTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPSELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEPPDSVLSTGRDEEEGSSHHGNGSGAARENPENPLPDLALAKSESDRIKAFYQTVLSAIVVFIVAALSGYKDMKPLYSTTNHKKVHLSNLLVIEGFCMIATFICAAVLMMYEFYTYHHDRPRGRRRCRDLTALIAVAGAMLVATDTILVVIANRDNAVFAVLFVPVLLLVGMAVYTGASMEEQPPNSPHDGGYDEATKSTFDLATVSAMAALGLQGTIVFGYLKTPEKKSEHDDPPLDLAVCYIASTVCLTVMAVCAMPLALLPEEKRKALVGIIGSLRHVLLASLALMAVVVSVEFLDGFVVLSVWPEAVALVLYYAAQLCSGRQPGGGVGSRPWIEFVFRIVATAGFTLMAGLYAAFLGTDHYSVYLKAAMLVLLMAVLSSLSRLANPVYMPEIDGALLEFCVAGVALAFPAVSLLVACPLVLKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLENNKEEWFVKQGSMVKLVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKVAVPMFFLAMDSPQNFDSDALAGTVFRSNLVGNETFSSIYTAQAPAVFPVKVQNEIDFIKLIRVMSTGDPLLMELV >KN539152.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539152.1:125617:127985:1 gene:KN539152.1_FG008 transcript:KN539152.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MISTEEDFDSFQDDSEELQMQVTKKTLKRVISLAETFIAIATGILAAAFSAGKDVHLHRHVLAAGGCFLVVTYLSALLLIYMKLFLSDHRRLRRWHVRSLQLLCVTSGASLVATNSLLLVLIGEGNGLLSLNLLPVQGIVGVLAYHATPMEGSARDEAFEAQVKSARKVALFAAATAFAVQTTLVFGAFSNAALQAMGGRRLDLSVSFLASALSVFLVVATCMPLGFRDQGARDKVLSIVRYLKDGVMAVLAVTAVTLGQEFLGGAAALALFPEITVAAMYYAVSMPADYAAAPRDAAADRKMEVLPTVVVATFGFGMLGAAYAALFGTPEYDLYTKALAFTLLTAVVSSLGRVAGPLCNAQRDKSSAAWVTFLSSILPIVEMLVAVPLAAKVMVDFLAVPGNG >KN539152.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539152.1:135363:136962:1 gene:KN539152.1_FG009 transcript:KN539152.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERLDEIGKKIKREPDTAGLVVAAVAGTGTGTATPIEHRVPHRLGLGGAVNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRAEIFKHRLE >KN539152.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539152.1:75133:76446:-1 gene:KN539152.1_FG010 transcript:KN539152.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MESATEKVRRTPSSCLLLRISDICKVRSVGVAPTVREKPKADGSATGESSEDGGAHLKVHPHHVSDHESVSECSSARCEEAFVERLLDAISGLKLSYVNLQQALVPYDPEEITIADERFTSELQETAGLKDLYVNMNKWRNPMYQCYVGSRIQEQQKLAVELQAGMCKRDSEIVCLRAELDELERKNMELEEKIGQSALQKEGSFAIGMGVSTDMFMELFELSTKSIHDFAKLVVRWMKLSRWNLGNLTSPIDNSVVYDKRSHKKYAVEAYFGCMMLMGHKEEYLSLDVFDYVMSFSDPFDALMKAPDSCFGRFCREKYLAILPPSMEDSFFGNLDHRSFVENGGHPRTPFYQAFVTMSRYVWASLTVARSLNPRAEMFYVKGGTEFRSKHMECVPSKITKEGDKASVGFTVMPGFKIGCTVIRCRVYLSMVNERNF >KN539152.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539152.1:45646:49639:1 gene:KN539152.1_FG011 transcript:KN539152.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRTAGTAAALGLSVVSSVSIVVCNKALMSTLGFAFEMKLFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSIGFYQVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVVGHLKTCIILGFGYVLFNDPFSWRNILGILLSLLGMILYSFFCLMENKQKAPELSAPFFHTKVKGGEAGTLLLVQNGSAKAADGVVTEGPMWRSNRDLDA >KN539152.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539152.1:179:2209:1 gene:KN539152.1_FG012 transcript:KN539152.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding WMSNPREEKESVISSPVSCGIARRKASERLQWDWTLRWPSKPMYKHFAAIVVAADVDEDASPVSVTVARTDKIEVTWSQSRSSLQTMASGAKKLVFVGGEPDVPYDLDTLLHASAERANQSSMPIYIEQIMKRKRKSQIHAQTTANMVSVLDYLGKLRVR >KN539152.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539152.1:82953:85927:-1 gene:KN539152.1_FG013 transcript:KN539152.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELRELNHDLATLLDLLPVVELGLADDVLDVLALASRQCRRASPAPESEEALKASVLSLIQEIEREIVPERERLEEILVECVLFSATPRPSDSNSKADAEAEDSEPPAPPSDFRCPISLDLMRDPVVVASGQTYDRESIDRWFSSGKSTCPKTGQVLANLELVSNKALKNLISKWCRENGVAMEACEASKSEQAQAVAANKAALEAARMTASFLVKKLSVSFSPDAANRVVHEIRLLSKSGSENRAFVGEAGAVPLLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVVHIMSSGATWRAKENAAAAVLSLATKKDALAALLTLAGERENVGKLVDAGVAEVALSAISKEETAAAVLAALAKRGEASNWDAQFGTFAIIGGWLMGPGAGAAASTGPWARPRSDCDDWDQRPDSPPAGEADMIRDTSVPNFDLQILIKLAVLGKIQKERKKKRWVFMLLSCSSEAIDRKEKQRMAFLFPDSVKMLHSNFRSKEGLTILNWCGAIVTTDNAACIASGDLVFQSKPNCFPGNEGSQPI >AMDW01039161.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039161.1:121:806:-1 gene:AMDW01039161.1_FG001 transcript:AMDW01039161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DQLCDRYITSEDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMEKLQDAPKPREELDLLFFQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >KN539152.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539152.1:121495:122933:1 gene:KN539152.1_FG014 transcript:KN539152.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLPDDVLTIILRCLAPHDLAMSRSVCKLWCTIIDTHRMLRVDLLPHSVGGIFINFHDLGLSKFFARPSTGPTISGNFNYLPLTSIVRGHCNGLLLLYSHINRPGMKQFYVVNPATRQWVQLPPPPRPDIGIMHLDNLYLAFDPTLSSHFEVFQIPYVDVFRHRSELNPAIEGIEWPPSTCVLHVFSTRTRQWEERSFVREGEAAGSLAIIRRDFPNFLHNSVYWRGVLYVRCQTNFVMRISLSDGKYRIIKPPVEIERYEESNIYMGLSQKRVYCTFFDDPDIIYILDESYGKMEWVQKNTISCLVIHAFQQIDGPWTLQDINCHEYPDAYGHDNSEAIEEQNFDWDSDNDNFINTTDERNLRYGRITFLGFHPYKEVVFSSHSLSRGLAYHLNTMKFRGFGKIQDLGNLGPKYYGGCMGIQPFIEESFLYTPWMGEFPKDIN >KN539152.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539152.1:50609:55568:1 gene:KN539152.1_FG015 transcript:KN539152.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRRFRSADGKWSRRSSGRRALRVGGTVEADEVHVAVEVSEALFRVPEEVKRELGRWFRRRDRVAGEEFYWFRPATASSDDDQVLDAALPGSTYQVFREKMEIVASKMEDLAQCVMRVLSDNARNPEDSALSTGAASILCLTLYNCNKLKTHWSEFGSTNPPNSYALSIHLSGRDQEICLRNQSGSTFFSLPAGSMLVTIGKQIQFCYVRTQEWSNGEFKNAVGEILFELTDEPNPFISLELLYSPGHLRLPDVGRHARCIDHPKTVSFRDQILVALVLLVFFYLFWR >AMDW01040608.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040608.1:710:1035:-1 gene:AMDW01040608.1_FG001 transcript:AMDW01040608.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKEFTSVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIE >KN542077.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542077.1:10:1872:1 gene:KN542077.1_FG001 transcript:KN542077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQVHCQVVKSGSDDFPVVGASLLDFYSSCLDLDAARTLFDALHANNELLWSPMVVALVRFNLLSDALDLLQRMPPPRDVFAWTAIISGYARGVKEYCCKALELFVQLLAEDGVMPNEFTYDSVLRACVKMGALEFGRSIHGCLIRSGFESEQLITSALVDLYCRSGAVDDAVMVYNGLQMPSLITSNTLIAGFLSMGRTEDAKLVFSQMTEHDSGSYNLMIKAYADEGRLEDCRRMFEMMPRRNMVTLNSMMSVLLQNGKLEEGRKLFEQIKDEKNTVTWNSMISGYVQNDQSSEALKLFAVMCRLSIECSASTFPALLHACATIGTIEQGKMVHAHLCKTPFESNGYVGTALVDMYSKCGCVSDARTAFSCIISPNVASWTSLINGLAQNGHWMEAIVQFARMLKNSVKPNEITFLGLLMASARAGLVNKGMRFFHSMESYGVVPTVEHYTCAIDLLGRARRVREAEKFISKMPIPADGVMWGALLTACWYSMDLEMGEKIAEKLFYMGTKHISAYVAMSNIYAKLGKWEDVVKVRTRLRSINAKKEPGCSWIEVKDMVHVFLVEDRNHPEREEIYLMLEDLVSNISYSETDDETHGYYLEPASLDFLTSQKGLANQ >AMDW01036658.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036658.1:3:645:1 gene:AMDW01036658.1_FG001 transcript:AMDW01036658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALRRGLLEIGVVPDNGFTYDHILGTKVGGSIFDAQGRRHTAADLLRYSRPDGIDVFLRARVARIVFSRKGTKPVARGVLYHDARGGSHMAYLNHGARNEIILSAGALGSPQLLMLSGVGPADHLRSFGITLVLNQSAVGQGMSDNPMNAIY >KN542077.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542077.1:16660:17250:-1 gene:KN542077.1_FG002 transcript:KN542077.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRSSSGCQSGWTLYLDHSNGGHRQYYARKNNELDDDDDDDDMVSDASSGPPPHLRDEDDDEVWHQQQRRQRNQLVGCHAGHDDDDGSSGVGGGYSTCTARSKRSRRKRRAIAGEHSVVDAAVVVVLRHREHNGDDDDVDDTASSSAVSSSVQPSCAFSARHLQQWSSAAAVRGTSIYCRPPPPATQCYIATD >KN542077.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542077.1:5168:8382:1 gene:KN542077.1_FG003 transcript:KN542077.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAYDLPMAGAEEEVDDVMKDELDEEGKEVDYSSSPVAMKVGEEKEIGKQGLRKRLLKEGEGWDRPESGDEVQVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALSKAVKTMKKGEKVLLTVKPQYGFGDQGRPASGDEAAVPPNATLHIDLELLSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAVVRVRFIGKLEDGTVFAKKGHDGDEPFEFRTDEEQVIEGLDRTVVTMKKGEVALVRLPPQHAFGSTETKQDLAVVPASSTVWYEVELVSFEKEKESWDLKDNAEKIEAAAKKKDEGNVWFKMGKYAKASKRYEKAAKYIEYDSSFTDDEKKQSKALKVSCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRAQAYIELADLELAELDVKKALEIDPDNRDVKMVYKTLKEKIKEYNKRDAKFYGNMFAKWRKLEHMENKKVPGKREAQPMAIDSAA >KN540362.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540362.1:41266:42857:1 gene:KN540362.1_FG001 transcript:KN540362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGGNSGKLFDGMHPCLSEQGSPRTQSPAAVDPRLDTSGVVLGGMPKKMSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARVRSVCRHWRTSANGHVLPPPLPLLVLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGSFDGWLVGVTPNKDRSDEYNRDADGDCFLVNVFSRKVIRLPQLCHMRYNFPAYSSKTLRIVNGSGEVHFGVNDIYTMSLCNVALSASPESSKYIVAASSDHKGAPVLALWQPGMISWQVCSGVEIDGPRDLSFYQGKLYMLMRHRTRLFTCELEEDDRGFLVSRIELSLTELPRHHPYQEGGGISCNMVVWRGELLLIIRHYNGDYRKRQLHKVEVFALDVDTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTIVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >KN543928.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543928.1:1916:4740:1 gene:KN543928.1_FG001 transcript:KN543928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVKHRNAQMFFDRDIECIYKFFRKRFHLSSEKCEEQDGSNIGDDENSRPSFLSVQKAAGSLDKELAASGFTRKEQVEMDKYIDQNAEEESSDDDSTSEQDNEDGDDVAVKIGSLKIAEQDSAEVPDCTLASRDSNEPETFAEE >AMDW01031655.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031655.1:6:238:-1 gene:AMDW01031655.1_FG001 transcript:AMDW01031655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGS >KN541842.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541842.1:2349:4897:-1 gene:KN541842.1_FG001 transcript:KN541842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPGEEALDDAIAFARHHLRSIQGKLRSPMAEQVSRALDIPLPRAPRRLETVRYITEYEHEPAFDGVALELAKLDFELVRSLHLRELKALTLWWKDLYNSVKLSYARNRIVETYFWTCGIYHEEEYSRARIMFTKVFGLMSLMDDTYDAHATLEECYKLNKAIQRWDKSAVSILPEYLHVFYIKLLNNFDELEDCLEPTEKYRMSYAKTGYKQLSEYYLREAQWSSD >KN541288.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541288.1:11768:14344:1 gene:KN541288.1_FG001 transcript:KN541288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKVTKFGPWGGPGGDYRDVQVAPYRLVRLTIRSGDTVDGISFTYIGIDGLVYHMGHWGSDGGVPHEIHLGLMDFVMEISGTTGMWVSGMRNVLRSLKITTLKRTYGPYGNPKAGIPFSFSVDGSDRITGFFVRAGFITDAIGVYVRHC >KN541875.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541875.1:1219:1884:1 gene:KN541875.1_FG001 transcript:KN541875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGVVEANAMESPPSQTTAMANSGSCVVDMDRMVSHDNSSEPSSGEKSMVRRHSIYRVPAYIKNMTNRNAYRPQMVSLGPFHYGERPLKPMEEHKQRAVAHVVSRRGKPRQEFIAAVEEIAEELRGAYDHLGEEWSGERFVEMMITDGCFLLEMMATFLSGGEVEGYAPDDPVFSKHGDLYLRGCIISDMLVIENQLPLQLLRKLMFVADPENFEVLNY >KN541288.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541288.1:20194:23352:-1 gene:KN541288.1_FG002 transcript:KN541288.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNKGDVIHFDGWGGLGASAVLKAIATRLKSSASLLGEAATVMKAGLDKIIHVDCSLWQNTRAVQKAIAIDLNLPQHVIALFDQWDEKDDFDGVHQVARGPIQHVKEEILYNLRDRGFLVIFHNGSGRYIDLKDCGILVAGLLGKRVLWTSRGRFSLHSNDIREVHERKLAESNVSIYADLSSDSILNSVRHLLQVEAEEVAFYSGVLEPDMSTKHVMECILYKALRGDDYGINWGTNAANYWVCDGIIKDATNGDRSAWEIAEALHSAMHLEDWHQVWAVNIRDAFGLSSKEWRHTNRWISTTHQYVATVKVPPQATSFFVTEARSLIDKSSSMILRGDMFGHSDRSILRVLHLSQCTFSFSSPPFLGCSNLRFLLLDHCKDKDIDDQVAAHLDEEDEHQQSKMGHHNRTCFEELWVLDISYTEWYWLLSEEMMDQMVHLHELNVKGIKNATWISHLGPGHVARSNSCRPPNLGKLRVTCCEITNQASSFVEFPDLSTSSIKTIALDGCVELEKLAHNFLPLLLESFIFVSNVAANIKIISFQGCTQLKSLLLRGLLESLVEMDMSHTAIKMLDLTAMQAPRLNKLILLGCEKLRAILWPREWKKPELYVLHIDTTDARWVGEDKSSKKEAASGDTSVGSSSRKVLHGDQAVVNFDFYISLRNARFIRSLLHDRLGNRVSVEISSTANISATDGFKEASREMHTGICGCKQPVPTVNLQKPIDNLYMDDINAHFEDILQADDGDRDASDGGDAPSFIYTWPCPSNCSKPYSAHCYISVQDEMQTNLRQGTTTIIKEASGITLPDFVHDSALSLHLHDCLSITSIPGHASAAIDLSWRILWWCRIERCPNLEGTIFTAPRTRDNIFRSLETFWASQLLKVFYIWDWDTSLFQPSYNSFENLKFLHLDRCPRLVHVLPLCASNSNGCRSLKTLEIVCCGALKDVFPLDSDSTIVFRGLKRIHLHELPKLQRICGRKMSTPQLETVKIKGCWSLRRLPSVGRHDSTPPTVDCEKEWWDGLEWEGMEANHHPSLYKPIHSHYYKKALRRTSLLR >KN541875.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541875.1:19792:21108:1 gene:KN541875.1_FG002 transcript:KN541875.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEINRWVPFLLSYTITPTSTTPVDELGVHPLDVLQKNVRGTRRNSQMSTEDDVHMPSAAELRDAGIRFKVSTGKGFAGTVSFERGVLRVPEILLYDNAERMFLNLMAFEKLHPGAGNEVTTFVYFMDELINTARDVRLLRAKGIIKHGLGSDEAVANLINNTLTKGAVMESNSSLIDVMLEVDAYCKTKPCSWLRAILKKTYFTTPWVFISFAAATIVLIATIIQTVYAIMSFNKTT >KN538838.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538838.1:207267:210837:-1 gene:KN538838.1_FG046 transcript:KN538838.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSFDHMLGWMKRLNPEIDGVTGGEWNPTNASDPSSGRVYFGEGAEYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGDNMTAAVMNGFSPDSVAVYRELVGEFAVFDRWFASVPSSTQPNRLFVHSATSGGATSNNPELLAKGYPQRTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHGAFRDHAARGSLPNYAVVEQHYMDSKSHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNQTLMVVTYDEHGGFYDHVPTPVTGVPSPDGILGPPPYNFAFDRLGVRVPAIVISPWINKGTVVHGPNGSPTATSEYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQGRTEPRTDCPEQLPMPMRIRLTEANEEARLSEFQQELVQLASVLNGDHQLSSLQDTIRDRMNVREGIAYMRGAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRTSPAIEQP >AMDW01032279.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032279.1:216:459:1 gene:AMDW01032279.1_FG001 transcript:AMDW01032279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKMMVAGLPPLGCLPVQKSLRGAGSGGCVTEQNEAAERYNAALQKALSKLEADSPGAKIAYVDIYTPLKDMAENPKKY >KN538838.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538838.1:180064:180879:-1 gene:KN538838.1_FG049 transcript:KN538838.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGGGSSGSGGAATCQDCGNQAKKDCGHQRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLTGSASSSPATASAAAASKKPRLLTSQTTTSHTSTSNATTPRSFDTTSSHQDASFRESLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRGLAATSNDDSTAGGVPNISELHLGGASISGNAMREGGSSMVHSDLYGGGGGSGGGPHILGGSSYVNTMN >KN538838.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538838.1:217309:217767:-1 gene:KN538838.1_FG051 transcript:KN538838.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASAASPCESSALFAAFDHDGDGRISAAELRLCMKATLGEEVSDEEAGQLVASVDADGDGLLCEAEFVRLVQAAEVEEEDERRGTGLREAFGMYEMEGEGCITPTSLRRMLRRLGSDQVDIDDCRAMICRFDLNGDGVLSFDEFKIMMNA >KN538838.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538838.1:224589:225029:1 gene:KN538838.1_FG052 transcript:KN538838.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAEFRRVFSAFDRDADGKISAAELRLCMKAALGEDMYAEEAEALVSSADTDGDGLLDEEEFTKLAVQLEMGDEEERCRGLMEAFRMYEMEGEGRITPASLKRMLSKLGSHQGIEECQTMICRFDLDGDGVISFEEFKIMMDA >KN538838.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538838.1:164947:168424:1 gene:KN538838.1_FG053 transcript:KN538838.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDVLKAIAAYMDNAQLNFKIPEAAAVGGGGDRAVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPQCPQCKHLFDFLSVHRSLDGCIHDYMFEESVCLLLRATWFEPLIVEAHEEALDEEELYHIYQYDDGVEDDLDEEAYYMSRSPSIRIGNRRWGDNGYVRGGRKEARPVSRQSLNDTDAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRALKREAADKAAAEKHLKHLQRLGLRKAPEATAEATPEVEPQLNE >KN538838.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538838.1:113379:116879:1 gene:KN538838.1_FG055 transcript:KN538838.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding DLVLMRQNNINAVRNSHYPQHQRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGWIRGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDSMLKIENVQFFATTEALEFSWLLHGDGCALGSGSLNVPSLAPQSTHLINMESSPWFTIWSTCAVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRAPTDNDKGGSYAKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVRMRGRIYDSGDVILDYEVSPKNDLPPLPRVGVVFNADKSLSRAKWYGRGPFECYPDRKAAAHVGVYESGVDDLHVSASYYDAAELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >KN540766.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540766.1:32402:42898:1 gene:KN540766.1_FG001 transcript:KN540766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLGKLGSLLAQEYTLISSVRSEIQYIKDELASMHAFLLNLGHAADPHHDEQTRDWMEQLMIKCGEYRVARMPSILLANLQINFRLPLQQIDPDLRILMYFLLVDDVWSVSSWDSIWLSLPKNNNGSRIVVTTRFKSVADASTHQQTGDIHMLDRLPDEKSKRLFNERIFSGDDSCPDEFRETKDKILKKCGGLPLAIVAVAGLLARDPRSKSHWTKVQDSLSSELEMNLTPEGVTQILNLCYNDLSADQKNCLLYLSIFPKGCSINRKRLVRRWIAEGFIVEKHGKTVEEVADDYFNELISRNIIRQVDHSSNGKVKTSQVHDMILEYIVSKSSEENFITVVGGHWLTAMPGNKVRRLSLHSSNPEHAKDAIERMNLSHVRSLTAFESLEQFQSFTFKFGILQVLDLEGCKGLTTSHLDKICKMFHLKFLSLRKAHVKKLPSDIGKLQYLETLDIRETNVQELPPSVADLKQMAHLLGGNKTTRLGLRFTEAISRMIALQTLSGIGICKSSAGALADMHNLTKLKKLSIYNVKDFDSKNLSHELLSAIEYLTGCSLKSLAIDDGFTGFLNLMDSLSTPKYIHTLELSGELPRVPKWISELQNLEKLTLSLTSLSTDALFILAQLPVLFSLAFSVSAASQDHGVMEILTKNTMNSGGKILIPSDGFHSLQLLRFSAPLLPLLSFLDGAMPKLQRLELRFRILEGAHGVENLASLQQVLLRVSQQAPETTKVQVSDIRSSVSLHPNRPTVVVDEYYGLWGGSIINLGTKKHRDRFFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGDPADMGIHAFIVPIRDLDTNAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGILKVSVTIAVRYALLRQQFGPPKKPEISVLDYQSHQHKLMPMLASSYAFHFATRYLVDKYSEMKKTNDEDVMADVHVLSSGLKAYITSYTAKSISVCRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSATWNYLRDSMGTYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKL >KN538838.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538838.1:201438:202767:-1 gene:KN538838.1_FG056 transcript:KN538838.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALPLLAFLSIFLTPATAVSSSTLQLARSHSVTPNAGAPLSAWAASVAAQSAADTARIVSMLTSGAGPLTTRAKPKPKNRANPPVPIAPGRQILSIPNYIARAGLGTPAQTLLVAIDPSNDAAWAVLGQDSLALENNVVVSYTFGCLRVVSGNSVPPQGLIGFGRGPLSFLSQTKDTYGSVFSYCLPNYRSSNFSGTLKLGPIGQPKRIKTTPLLYNPHRPSLYYVNMIGIRVGSKVVQVPQSALTFNPVTGSGTIIDAGTMFTRLAAPVYAAVRDAFRGRVRTPVAPPLGGFDTCYNVTVSVPTVTFMFAGAVAVTLPEENVMIHSSSGGVACLAMAAGPSDGVNAALNVLASMQQQNQRVLFDVANGRVGFSRELCTA >KN538838.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538838.1:223150:223608:-1 gene:KN538838.1_FG057 transcript:KN538838.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDEFRRVFGSFDQDGDGKISATELRLCVKASLGEDMPDEEVQALMALADTDGDGLLDEEEFVRLVTKMEVVDDEEEDDDDDEKCRCLREAFAMYEMEGRGCITPLSLKLMLSKLGTHLDVAECQAMICRFDMNGDGVLTFDEFKTMMMA >KN540766.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540766.1:1761:2147:1 gene:KN540766.1_FG002 transcript:KN540766.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGMDAAIDELQEWFSKGKDGTQQRYLAIVGFGGLGKTTLAMALYRKLGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADASEDTLHGIEGWGDEMLKKKLLEQLTGKRYDFGIANINFIIWI >KN540766.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540766.1:13283:16946:-1 gene:KN540766.1_FG003 transcript:KN540766.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSPPTASWRRERAHRSAQVKRIAEDLDDHWLHFLTGSLDKSAKLWDTRTLTLIKTYVTEQPVNAVDISPLLDHILQEEIGVKGHFGPINTLTFNPDGRSFSSGGEDGYVRLHHFDSDYFQQQDLT >KN540766.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540766.1:8592:11929:1 gene:KN540766.1_FG004 transcript:KN540766.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPETAVLTFIREEQEAVLKMLEPFPGMISELLEAGRITDAHERHYLAFMEMEVSTIVASLRMPLPPPRDRCGFNLNRQREWLTFLDDNGSRARYVVEYLCNQHGEEAPRALLRRAMRCFRRPRSFDHYIHFMAYLLYCLCSNDPRLELPSSELMPSPPQAAQVEAAAVHDHLVGIDGTANELLGWLMAADESLRVMAIAGPAGIGKTTLAMELHRRLRCQTQENYFQCHVVANFSRRPHRSKLLPQTILKHIIEQLEAPSSPNSLEITMLELEDDPELLARNISKRLKDKRYFALIDDIFDESDWEMIKGAFSNNNCGSRILFTARDERIAGWCLSNYNGIVHKMKPLNDSDSEKLLRTKAFSSMDGCLPDNLRLLCDEILNMCRGIPLFITSMADWLKQHQQQYGSSAVPRVEQVRLLLKQFEHWLSFDYSDELRQSSLYLSMFPQGYVFENKDHLVTILEDEGFIPWDRSPDFGKRNFSWLLNRKIIIPAAENCGLNIDEDDLCQWQVNPFILRFLASRAAEMGLVFTSSTLTSAPSGGGNTTRIARCLALHHPDPQLPAMLQQMDLSQTRSLLISGAVDRTTVALDKFGYLVLLDLEGWENLKDEDLLQICKMFMLRYLSVRNTRVSKLPPQIKELHFLGTLDVSHTTISEIPSEVWELEYLKMLDLRGTWIRHLPKKVKELIRLERLDISHTKISDLPSGVCRLPYLQTLDLRGTLISQWPDQFVQTKQLRHLIVGGAGAGSGMINSDRTVLTKIPETIHRLRSLEMLATIDLSEFSAKSIESLGDLKYLKVLTITWSFHQCSDRDYQQALRSSIERWRYLKSLTIHCGLGCSMEFLGSLTKPPEELEKFKVIAGKFASVPQWIKGLEYLTFLQITVCKQVVDDVKILASLVKLQRLVLGLEFIPENPIVIEKEGFKELERFSLDCPVPWLTFEEEAMPKLTYLRLNLHASPASEMSVPSGIDNLKELTEVTLCFNIRYINSPNIKMTTDAVSKEVDKHSNTIGLFINGIREDVIRSSDKKEESATGSEVDAAEDGAQAADEAALRTAIQVQSEIEVEGETESHT >KN540766.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540766.1:2659:3648:-1 gene:KN540766.1_FG005 transcript:KN540766.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSEAVKSLTGKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLSKLKRRDDVDHDEQRQDWMKQVREVAYDIEDCVDDVGHRLGREPRGSGAAICFRRAWYLLTTLYQRRRIAAEIGNLKLRAQHVSERRTRYGVENLQGNGGGGGSGSGLGVGANAPRDRLAPLPRLIGTMEPVGMDAAIDELQEWFSKGKDGTQQRYLAIVGFGGLGKTTLAMALYRKLGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADAPEDALHGIEGWGDETLKRKLLEQLTGKRYDFGIAIYLSVPTMFISTAIHMIFFLTVVVQYNLLS >KN540766.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540766.1:18081:24411:-1 gene:KN540766.1_FG006 transcript:KN540766.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKEEMEGVLRAMDRLPGRISDLLRSERGHAGLSVLARRGFVFTETELSSLVAALRQLLASAERIGPGHVDSQTVNHCAYLVDLARWIDDLIDGYAPDKAETELRELNLAWIEQLLLVTDAPPAAPDVVVDVGPPSILVGIDVPRKKLARWLTASDDGEAQLKVLSVVGPAGMGKTTLAMDVFRQIEGQFQCRAVARLSARPPHTDKLLRHIMSQITGSQAATLEGDECALAYDIKQYLQTKRYLIVIDDMWRTSDWELIWDAFPSNRCHSRIIITTRIRSVARSCCSHPWPNGLVHEVKPLGATDSERLFSAVAHGWPRPANSGRVSNEILRVCDGTPLLIIAMAGLVSKQMQEEDYDDEEGDQSGVTMDISRPCVAAYLPSGIRELKQVEDTLSPSYDNLPCELRLLSLYMSTFPQGYVIDKHLLIRKWKAEGLIAVHTLQSGFEERAEECFSQLVQRYIIRPVRTRRRACDCECNPCSYQVNHFMFQLLASKSADKNFVTTSCCDTGTLRSSSGGLQIRRVFLHHGQQQQQQQQAADQEVPAQMEEEMFSCTRSLTVSGEVDGISLEMFPHLVVLDLQGWEKLKDDDLPRIFSSGKLFLLRYLSLRNTRVSELPPEIGMLSSLETLDASHTRIAQLPPEVCTLRSLEELDLRSTRIQQLPERIDDLVALRHLRAGDGAASTRIPKGIDWGMLRDTLETLAAVDLRECSADVVRKLSLLRCLEVLSVSLSLRQCTDKEYQDNLSFLVQRLKCLRSLTIRCELGCSMEFLDFSPEDAPQNLRHVAMHARFLTVPRWIAGLNHLSSLHIRVCKLAPEGVKILGRLHRLECLELGLDFLPREAIVIQGQGLMFSSQNRSNRAPLNSKIHEEEEDDDDEKNGIYPFRELLRLSVDCRVPWLVFKEGAMPKLTDLELKLSTGPASHESAPSGIANLLSLEQVAVQYDAWYINSRSVRATVDAIRRQVAELRYTVKLVNNGVEEDVEAVINPRRDS >KN539901.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539901.1:35691:36524:1 gene:KN539901.1_FG001 transcript:KN539901.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLVVLFLSLCCASRLRGEAAQQWTSATATFYGGSDASGTMGGSCGYGNMYSAGYGTNTTALSSALYGDGASCGACYLVTCDASATRWCKNGTSVTVTATNYCPPNYSESGDAGGWCNPPRRHFDMSQPAWEAIAVYSAGIVPVSGAVAAAWVKGSGTEWLSMSRNWGENWQSNAYLTGQALSFRVQADDGGVVTAYDVAPANWQFGSTYQSDVNFSY >KN539901.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539901.1:68942:71974:-1 gene:KN539901.1_FG002 transcript:KN539901.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGELASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELIHKHLTKQIQSTNI >KN539901.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539901.1:5567:6269:-1 gene:KN539901.1_FG003 transcript:KN539901.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRADLIGRSCKKDILHAVSTLQGIKSMDIDEEKCTLTVLGPVDPVKIVHRLKKKCFAAAVVSVEDDKPKEPDPPAPEKKKEDDDDPCQCQCKEAECACVKVCVASCYHSPCSLPDCYFYKSYSYSYKPSPSFGFGYHLESGGHCIIQ >KN539901.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539901.1:40238:41195:1 gene:KN539901.1_FG004 transcript:KN539901.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMLVLLASLCALLLTASAAKWTPAFATFYGGSDASGTMGGACGYGDLYGAGYGTRTAALSTALFNGGASCGACFTIACDTRKTQWCKPGTSITVTATNFCPPNYALSGDSGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCQRSGGIRFAVNGHSYFELVLVTNVGGSGAVAQMWIKGSGTGWMAMSRNWGANWQSNARLDGQALSFRVQADDGGVVTAILYALIDVA >KN539901.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539901.1:60056:61687:1 gene:KN539901.1_FG005 transcript:KN539901.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGELASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGYMEGPFKEHPPHGKRVEFFGICIFHVDEEMKVEKTEYFYERGNFLASFLSAPAAAAAAEAASGSGCPVMGGN >KN539901.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539901.1:1573:2511:-1 gene:KN539901.1_FG006 transcript:KN539901.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIKADLIGRACKSEILAIVATIKGIKSMDIDAEKCTLTVVGIVDPVRIVCKLRKKCFSACIVSVEDDKPKEEEKKDPCKEAKEKLEKAWKEYCEKCNVKLKPGCPCSCSTPCSFPPIGCHDRGICPPPCPPPCPLPCPLPCPLPCPPPCPPRGYGYGCYYEERYPGGECVIQ >KN539801.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539801.1:60520:61711:-1 gene:KN539801.1_FG001 transcript:KN539801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPLASSSSKLCSLLILCLAFLAAVDRSAAGIFDEIELIWGASRTYFFMDGDSEALALSLDQSQGSCFRSREKYLYVQIDVEIKLIEGDSAGTVCTIYTISEGPWEIHDEIDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADYHTYSIVWNPKRILILVDGKAIRDFKNNEDQGVPFPTWQSMRTFGSLWSAEDWATQGGRVKTDWKQAPFVTYYRNYNVTWCRPSPGVAWCGDEPKDSTRFDLDANTLSDLQWVRSNSMIYNYCDDSVRFNATTLPKECTLQ >KN539901.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539901.1:56034:59082:1 gene:KN539901.1_FG007 transcript:KN539901.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTVDSDCLIAYLSVLLLYIFMVLDAERESPKEFQPLPFHYIEISKLLFDHACDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTPVTDRGPRRDLRRSLVSSRLGKNWYLLTVNL >KN539901.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539901.1:25104:26042:1 gene:KN539901.1_FG008 transcript:KN539901.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >KN539901.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539901.1:75:545:1 gene:KN539901.1_FG009 transcript:KN539901.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQEAAALPSAPALTSTDGAHQEPLVAAMENSFPQIAQLPPVSETEPMPVIANGANEEAVRSVLSPLLKEFITSFAVAGQTGTGMGLNMGFGGAGLNADIAGLGFGIAGPNPGLPGADRWRQQQILELEVYLKRIELVREQVTATLDELRSSEG >KN539901.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539901.1:62244:64887:-1 gene:KN539901.1_FG010 transcript:KN539901.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKLVFEPIKWLIEPIKWLILNSLIYVNAEQRTEYVSVNGREEQIELDVFNFTGAGGVALSMYNTDESIRAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDENKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSVTSKL >KN539801.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539801.1:34880:37202:1 gene:KN539801.1_FG002 transcript:KN539801.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYWPYVPILAIIRSMLLWLLGSHNCISEVEKKIGSMREMGEKRRRGHLNPAGFAGGLHDHEEKKKNEEHKLDMSGMSMDALPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLESLPNTIEECRALEELHANFNELTKLPDTLGFELHSLRKLSVNSNKLAQLPSSTSHMTALRALDARLNCLRALPDGLENLANLEALNVSQNFQFLRELPYAVGLLASLRELDVSYNSIAALPDSMGCLTKLARFSAVGNPLVSPPMDVVEQGLDAMRAYLTARMNGGDGKRKKKAWLPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRFLTMLSPRRLFSPRRNSPKHC >KN539801.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539801.1:65194:65490:-1 gene:KN539801.1_FG003 transcript:KN539801.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRRHRFTTKAEEPRKTAARPMLTGPSIQEAHASRAEWQTHRQMSAQGNDERTRPANRTGDGQEQAVLGQRAIWAIQPLTCLTTRSLLRINVCTPY >KN539901.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539901.1:31940:34388:1 gene:KN539901.1_FG011 transcript:KN539901.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGSSWSSLLLAAVAVALAVAAAPSLAGDPDYLQDICVADLNSEVKVNGFPCKANATADDFFSGVLASPGAAANTTTGAVVTGANVEKVPGLNTLGVSLARIDYAPGGLNPPHTHPRATEVVFVLYGELDVGFVTTANKLLARTISQGDVFVFPRGLVHFQRNTGDKPAAVVSAFNSQLPGTQSIAATLFAASPAVPDAVLAKAFQIDDEEVDKIKAKFAPKKT >KN539801.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539801.1:77209:77778:-1 gene:KN539801.1_FG004 transcript:KN539801.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSEILRSIGANEARYNCHAVTDGYVGSAEASVYGVRGGEEPFLVHAHGIPAIRPCDAEESAAHALIAVIKKECRVEIEDTNWLDMNRYQAEVFRLKRALGRVRKERNSLAKKARLLEIGWDRALDSLASVNQICNDTCSFALGGPGADDLNHREVGVLYDVHRLGEYAESKMDEGLANLSSATYRCL >KN539801.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539801.1:14933:23899:1 gene:KN539801.1_FG005 transcript:KN539801.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYVLFSLLLNVCYNIDFAAPPVDGALGFGIKEEQLTALTRDHNYSALQQYGGAFLWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRISVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSVDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTNLIPVLICVRLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSASISSLIVEGIAQNTSGSIFEPENGQDPEVTGSPTEKAILSWGLKLGMRFNDTRTKSSILHVFPFNSEKKRGGVAVHLGGSESEVHIHWKGAAEIILDSCKSWLAADGSKHSMTPEKLLQISEFKKFIEDMAASSLRCVAFAYRTYEMVDVPSEDQRADWILPEDGLIMLGIVGIKDPCRPGVKDSVRLCAAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKAFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNVMWRNLIIMALFQVIVLLTLNFRGTSLLQLKNDNQAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPERPLGDFFACCCPGSKQAADAKGDDADHSDV >KN539801.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539801.1:73301:76222:1 gene:KN539801.1_FG006 transcript:KN539801.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLVLLDEQGDMMDAQIPGRRVDQFKPLLKEGAVYYIKYFEVAEARPQYRPVDRLLMAKFTAHTTVTEDIGPPSTFPSYACKILSFDELRARAYKKDILSDAIGIMTAIGPVQTVSCAGVMKAVLNVHITNGSLHGNPQVVRMIEANFGQKEAINVKVSDICDLNPHEALGNSYVVNIIIRDLVPTEPWWYIACSTSNAVEPHAIVLSSTQSMPPSTLFVLPDTKDLSLPCDIPRAK >KN539801.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539801.1:3226:4184:-1 gene:KN539801.1_FG007 transcript:KN539801.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAGSSKAPASARLKLRRQHDQQREVCDALPVPHLLQSLLLLGPAAGSAAHDTFARRIRKTTPIFPSRCDYRRKNLVVSFGAGQSTSRWPVPSLFFLPGFNGSGKSVLGRAFSRVTPAGEIEALRKGSSMAGGEHRGGRGGQANVKKTSPTPLRENIRT >KN539801.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539801.1:45770:48160:-1 gene:KN539801.1_FG008 transcript:KN539801.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHTPPSSQPKPSAAHGPRSAPGSGGELRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLKCQPTTPAIDYLAYRKE >KN539801.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539801.1:80960:81792:1 gene:KN539801.1_FG009 transcript:KN539801.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSRQMACALVALVFGLSCVGGARATGRIDEGLEVMWGDGRGSVSPDGQVMALSLDRTSGSGWRSKNTYLFARVDLQIKLVANNSAGTVTTCYFMSEGEWDIHDEVDLEFLGNVTGQPYTLHTNVFANGTGGKEQQFHLWFDPTTDFHTYSIVWTSQHILVLVDGTPIREMKNHADKGIAYPSSQRMRLYGSLWNADDWATQGGH >KN539801.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539801.1:57344:58059:1 gene:KN539801.1_FG010 transcript:KN539801.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLGQQQVGAAAAALVFVVVCCVVAGCSGARGRGFREEFDVIWCEDHVRVTDEDDATTRQVVALTLDQASGSGFQSKDQFLFGEFSMEMRLVPGESPGTVATFYLTSEGDAHDEIDFEFLGNVSGEPYVMHTNVFAQGRGNREQQFYLWFDPTADFHNYTILWNPLNIMYVCYAFTQQTHSSYN >KN538955.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538955.1:100025:101902:1 gene:KN538955.1_FG001 transcript:KN538955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQTLMVYSFTLFSILPVKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVPKPQ >KN538864.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538864.1:102601:107147:1 gene:KN538864.1_FG033 transcript:KN538864.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGPVAVAAAAAAPALGISPEMYPTEDDLAYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPISHHAYASLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQYDPSHAEDFIDFLISANRWQEAADRLASVLNDDGFRSVKGKTQHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEEGAGSEGEEEAGRKNGMDKLSKKFLADCWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPTRQVATYVEAVKTVDPMKAAGKPHTLWVAFAKMYEKHNRLDSAEEIFKKATQVNYKAVDHLASIWCEWAEMELRHSNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQLKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIVLNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVRRYQRSKLERARELFDEAVKQAPPQEKKVLYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDCARAIYVHASNYADPNSHPEFWNKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMANLERQLAPGPSTAPPAPSTATAPANRMMNFVSAGVQAQAESSSRQQQAAATNNEDIELPDESDEEEDDVQIAEKSVPAAVFGELGKRAAENREEESSGAQENEQLGALERIKRRRQ >KN538955.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538955.1:163953:167353:-1 gene:KN538955.1_FG002 transcript:KN538955.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEDGGGGPEFVRWREDFVSQERGSRVVHYYLEDAAGASHLAVVGTERSLRHMLYVVSEDFREAQGADGGGPGVFARKWRSRREVVDWLASFLPAKSLASKLSKFGSHMGNDIGLDGYSEPDSFMGHNLGKACSSDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCVNTLPNSSMVGIRFCFRQYSKNKFKRFDLRSLRGYFSQAVVLSLKLPPEQEKDDGSDIIKTFEQWTPGKTKFPKQFERLYSKCLGTKICRGPQEESIASYQKPSSKQSPRKHLSVKFIGPQNQHMPTYNVGDRIEVLSQDSGIVGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEWVPVSTLARPDKLGLRCPERLRVRPRPQQNSLADGTNLLPGAAVDVWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKSHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVERPDSRNSAMSDQELAAVQTNSSEDKQTGADQPAEVSLTDMASAFAEDQKQTVLGKRPRDDDAEQHCNGEVGIDVGKL >KN538955.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538955.1:81990:82496:-1 gene:KN538955.1_FG003 transcript:KN538955.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHQHHQPQPSRPPPPAALQWLDPGRQKRMWFVLRGYISMSLEPERGGEDIVVCTVATTEEEVFLGSMLEHGVDVADLDDGLKRLARVAPLLGRRRGLVLLPDQRAAEALTVDRGPSSRHRNKVAGVAATPSSMARRPASATRLMDNDIIICLYYEEKTLYKWNIS >KN538864.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538864.1:154726:156936:-1 gene:KN538864.1_FG034 transcript:KN538864.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding DEDELKEKAQEARRVKMLHCPSKAMDIENEIEVLREQLAEKSSNCVHLLKELHLHQSYEKNDVSSYELEGLESLGSMLRIVSQSDGFVDLSRSTIQWFRVQPEGNKKEIISGAIKQAYAPEPHDVGRYLQAEINHCGEISVVKTAGPVDPAAGLVDYVETLLRNPETEYNVVVLQVNGIKQPTDSIHVLSVGKLRMRLAKGKTVIAKEFYSSTMQLCGMRGGGDAAPQSMYWQPRGDLSLVLGFETARERNSAIMLARRFAIDCNIILAGPGDKTHW >KN538955.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538955.1:45795:46301:-1 gene:KN538955.1_FG004 transcript:KN538955.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTCKLHPGHSLTRHHYGGEEGHACVCALCERIIAGAGYRCGECGGGFDAHEACLSLPMRVAFVGHPAHELTLSLLTASRWCDACRVASHAGRCVYRCVACGFDVHARCTSLLDGEQQHGRKRGVARRVGMAALRMGFFGLRVADAVTGGFGSPVIEVIETALNL >KN538955.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538955.1:140707:142016:-1 gene:KN538955.1_FG005 transcript:KN538955.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRVGVASHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGGAPDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEDEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEV >KN538864.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538864.1:61193:63609:1 gene:KN538864.1_FG035 transcript:KN538864.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVIGCLAVQLSLASLLLCSSASTAQHTLGTGSSLSVEDRSRPFLVSPDGTFSCGFIQAGDDGDNAFSFSVWFTAARDGAVVWTANPDAPVNGRGSTISFRHDGELALADTNGTTRFTKDTKLVAGYFSLYYDNDNVLRMLYDGPEIASIYWPLPGVSIFDFGRTNYNSSRIAILDDAGVFRSSDRLQAQASDMGVGVKRRLTIEQDGNLRIYSLNASTGGWAVTWAALSQPCQAHGLCGKNGLCVYLPSLRCSCPPGYEMIDRRDWRKGCQPMFSVGNCSQPAAPERFKSVVVPQTDFYGYDLMFNGSSITFELCRNQCLSDCQCVAFSYRFDGVGRCFTKGRLFNGYTSANFPGNIYLKVPIDFDESSPLVSARSAAGLTCNPNVSIVTVPAAVYGMAPRNSGKWTYLFVFAGVLGVLDLLFIATGWWFLSSKQSIPSSLEAGYRRVMTSQFRRFTYRELKGATANFKEELGRGGSGAVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWAEMTVLGRINHMNLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDTAEGGGGMSTTTLAWKDRYKIALGTARGLAYLHHECLEWVIHCDMKPENILLTRDFDAKIADFGLAKLLQGQFNPRQAMEMVRISLACMEDRNSRPTMDDIAKALTAFDDEDEHPAYRS >KN538955.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538955.1:170152:173928:1 gene:KN538955.1_FG006 transcript:KN538955.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPTWSSPHLAVTAAPTSAPAFLPLISQRRHLSSQAPVRRIQLRSHCIKSPTEPGPEPEHEDDGGGADEDAAARSEHPGIIFQERLDKFRDDYRAALGLFYYSRDRGNRDHFLYKNADEKEMALKVCTNAQSALDLASEVMDVAAFGLGTTEISQCTADQMVRTYTTIFCEAANESYHNRVKMETILSFLDALGGLGAITHILVVDHTSVNETVLHGVTCTELYVYDLIKCRRAALPSISGLEYKCKRFIWCNMKGYIMVEYLEHARCDDLVCGLRCLCRGFSSTSAPAMPPQSRSGLAEDARASSVVRAKDRTPPLPPRAQAGTSVGGEAREAYQEDW >KN538864.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538864.1:12718:14076:-1 gene:KN538864.1_FG036 transcript:KN538864.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTELPTDILIEFVTRLVLADWIKFGAVCKTWKRAADTALNLRLRPKPEPPWLMLAPAAGDSPQHLAVADFYSFSDGRRRSVTLPAPAIQSCMWIGSAKGWLVTADDECGLHLLNPISGTQHSLPSITTTGYFDALPRTDGDEARFLFKVASFVETYWPEGHTGFVGWCSDIEISAEEIRSSRLLKAVPLWDPSSGEYSIMMMHCPRNRVVLARGRDAKWMPLQTRHRYEDVIVYRGQFYMVTLDGVVQTWEHDDVTTPFNPRNVAPQFMSRHEDGLPLYIRKYLAQSPNGNLMLILREHSTDRCDSESDDDVTAIEEENDKDNYNAAHNYHYDPEPEPELKPDPTVKFQAFILDERPGGSEWREVHDFGGASIFIGSNSATFFLSDRIPGLGADCIYFTEDNLSFFWDRKQMPRDIGVFDLKAKVMKPMPLPGVHMKSWPPQIWVTPSML >KN538955.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538955.1:23160:23829:-1 gene:KN538955.1_FG007 transcript:KN538955.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQRSHCAHPQHLLLKTRYDSTSHHVCDICEAKLSGLVGYRCNACDFNIHEACADYFKETASFFAHPWHTLTLCRRPPENKGWVCDLCRGECPPGNFVYRCIRLFVASPLHTQHDLNMMPGVRGSCAACREDLDVCHYRCGFCVYTLHIGCISGAPSRVDQGSGGTTNQNNISSGGQGTGNTAVQISNTSSGGHWPGHWKHHRSNQ >KN538864.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538864.1:9013:9384:-1 gene:KN538864.1_FG038 transcript:KN538864.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQGAGAPAPPVLSLWARGHRFLCRVCVLSDDDETVTVPRLTRDGMWRHYRLEHHRLILPFVCRNCGNRFPEREDYMFTFRSMSVSAERRSSVPWNFLVFSGWFCSVLETGTLLVGRLNIG >KN538955.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538955.1:150578:152695:-1 gene:KN538955.1_FG008 transcript:KN538955.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPDTACWSLPIKMLGSLISYSPSVTENPDELIATGVLASMQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRMGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGKLDMLSKPVRVAAEKLMASTAENTGLVFSVCMPYNSTSEIVNAVNKACAERRDILQREDVDSVANNGVHSDISVADLDHHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTCASISFLLQYACKQTENIIKLKASVNYQVLLHTFDLCFTQSNKLPQKLFTFYLGNFCASLNQKNFCSIDSEDS >KN538955.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538955.1:107498:116395:-1 gene:KN538955.1_FG009 transcript:KN538955.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGESDGYPSQKGIINDAQAALDHLVERKDIDTSRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIAEVKQPIIFLSGLQDELVPPSHMRLLYEKAFEHNKNCRFVDFPNGMHMDTWNSGGDRYWRTIQLFLDQYAPEIQSCNTSCKSEIANDDVEDFFRQCDPAALVGSCLKRKTCAFMGFPMSIGKKRLFGMINDLPTIFEVVSGKSKAKPPSANNHSNSKSKSSNKTKSSEPRAKQPKPQPQPLAKNEGREEEGGPDDEEGGGGGGGGREEEHGETLCGACGESYGADEFWICCDICEKWFHGKCVKITPAKAEHIKQYKSLRIEGQWFYIRFCY >KN538864.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538864.1:51653:53989:1 gene:KN538864.1_FG042 transcript:KN538864.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding METIPCLILFSSLQILAFSSASPEHTLGTGSFLSVEEYEKPFLISPSNTFSFGFYETGDNAFSLSIWFTNTVEKTVVWAANSESPVNGHGSKLSFTQEGSLVLSDEKGFVVWDSKTMLGQDSRVALLDTGNLVITDSKGSVVWQSFDSPTDTLLPLQLLTKDKRLVSGYYSLYYGTDNVLRLIYNGPEISSLYWPNPSESIFDLGRTNYNSSRIGVLDNTGHFTSSDGLNIIASDSGLGINRRLTIDQDGNLRLYSLNKVEKSWIVTWEAMPQHCDVHGLCGRNSICEYSPGPRCSCLPGYEMADLENWSKGCQPMFTNNYGQAIGQVIFVEMRHVEFYGYDTGFNISVSLEDCEEFCSQQRSCVAYSYHAGSGYCYTKGLELFFTTTACLFLRSKQNIPKSVMDGYELMTEHFRKFSYRELKEATGNFKEELGRGGSGVVYRGVLDRKKVVTVKRLTNATEAEEEFQSEISVIGRINHVNLVRTWGYCSEGKHKLLVYDYVENESLDKHLFESIDAKKLLRWNQRFTIALGTARGLAYLHHECLEWVVHCDVKPENILLTQDFEVKIADFGLAKLSKRDCSCLQLSHMRGTVGYMAPEWALNLPINAKVDVFSYGIVLLEIVMGARISSQTTTEGEKLDLTQIVEALKQVVACGDVTHIVDAKLHGQFNHLQAMEMVKISLSCIGERTKRPTMDEITKALMACGDEDKYADCTE >KN538955.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538955.1:138077:138918:1 gene:KN538955.1_FG010 transcript:KN538955.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAASTGSPVALSPTEVAGSFVGRGRLRIAATTAVSPISKHAAPAYRSPPGVVAPSSTLPTEKRGRKGSMYIAAWSVVLEGPQVLDTVIVLVVISTA >KN538955.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538955.1:181456:183120:1 gene:KN538955.1_FG011 transcript:KN538955.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVGEGDGGGRRWSGGEGVDTGGWGMEAAVAGSTYQNPVEAGSSGGCWVGVGRRRGRIRGPVISRSLDFHFCIYRCKRDMNVQTQRDLSESVTAPNILKFSLNCQTGSHQFNDRCHLGIFMRRQLFLRSQQLSSNQREIVDAWNIRAYLGTSEDQS >KN538864.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538864.1:30654:38407:1 gene:KN538864.1_FG043 transcript:KN538864.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MQRETTRVMGDTADAATDEVYAQVSLVADNEEAERRMREGEDGAACDGEGEDAVKRPARIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSGFINKKKLVSGDAVLFLRGEDGELRLGVRRAAQLKNVSPFPALHNQISNTSSLSEVAHAVAVKSIFHIYYNPRLSQSEFIIPYWKFMRSFSQPFSVGMRFKLRYESEDASERRRTGIIIGSREADPMWHGSKWKCLVVKWDDDVECRRPNWVSPWEIELSGSVSGSHLSTPHSKRLKSCFPQVNPDIVLPNGSVSSDFAESARFHKVLQGQELLGLKTRDGTVSTASQATEARNFQYTDERSCSINMSNNILGVPRLGVKTPSGNPGFSYHCSGFGESQRFQEVLQGQEVFRPYRGGTLSDACIRGSGFRPPDGNHASGAAFKWLAPQGCDHHGITTSVLPQASSPSSVLMFPQTSSKMPGLEYIYGCLDRNENSRHFKIGPTQDMARTDQTLRLWPHLISGKVLDECTRNEKLHSPVGGAEHESNTNKCLSTNGCKIFGISLTEKAQAGDEVDCGNASYHSRLQSLKPQMPKSLGSSCATGVYMQKQGLVSYSLVLKDTTSILAISSLYVLVASTSVFPQAVLPHPGLMTGEMLMMHEGCLSSLL >KN538955.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538955.1:80310:81569:1 gene:KN538955.1_FG012 transcript:KN538955.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASIITHSSHPEHQLTRTKLKSPFNCKVRMKPGDTTCYRCKSCKFSIHVNCAGESVQRDPKLQGGAPVEMPGCGQLGNNGKGGKCGKEVGVLGPLKKNSSRIAKKRLAVQDPPTKNRGVPDGLTKGGSQIKESTIANVGMTRDSHIKGSVAANVEMNENSCVKGSVAANIKMTKVSQSKGSTTTVKMTERSQVKRSTTTNVGMTRGSRTKRPIVTTNVGKNVLSQSKGTMVRLDAGMLGVKMLGGVGNSHILETGATLNKESTTEERSTKIGESYIYETKVENTNEEMVDDDEGEEEPEEEGEEEEEEEEEEEEEPEEGGEEEEPEEGGEEEEEEEPEEGGEEEEEPEEGGEEEEEEEEEPEEGAEEEEDDEEEDEEEEEDVEEDENEEEEEGVEDDVPVVSFFAKMSGIMMNVVVRED >KN538955.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538955.1:47996:50240:-1 gene:KN538955.1_FG013 transcript:KN538955.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MVPKRHGPGLQDLGFLPLTPIPLPPESCPQYPDTDENIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGHENVEKVYSDTTIPSDDQTKTADSTVTKKRSAGFLFDEEGLFNVDDEGRTEKQQCAVAASNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPAIPQIYKRLVDLLSVPAVDAQAAAISALYNVAEVNMDFRLKLASERWAVDRLLKVVKTPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTAGQAIWGNIN >KN538864.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538864.1:80844:82663:1 gene:KN538864.1_FG044 transcript:KN538864.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVTAGKPKWAVLCWALKRSSHRGAEHNIARDRERLVFVVASNREKGERNPKPTTSELVRVSSPPTSSPQLRAPSPTSPRPVSIHMGRKIKQVPWREGLKKKERQKRNVETMGFDPELENEAWSRWTDLEDHEDPLLDLIDSTGPTNAPQPQLDVPVPVPQPEADIIIIPAPAQAPHHPVITEEPEPEPAPVLPAVPGEPSAPNSDA >KN538955.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538955.1:93148:93828:-1 gene:KN538955.1_FG014 transcript:KN538955.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAATAIAAPVAHIGHVLPNALDTTAVDWINAARVPSPVTAGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAAVEAPAPANL >KN538955.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538955.1:103819:105688:1 gene:KN538955.1_FG015 transcript:KN538955.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTQISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHAPKDEDEFSKPLTAEISVAA >KN538955.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538955.1:130160:136781:-1 gene:KN538955.1_FG016 transcript:KN538955.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRHYPCPSRPIAIIGRAVLLARTTWAGPKGWTWLVGAMGGGCRTVVAVKKSGGGVGGEVGSTCQLHGWKGMGGKRRAGGWGGVRLRDASAVSDGAAASPPLPRKPLRFSPISEKKDAADAKKEATAEAKPAAKPAAKPKKPPVKPLPEMMQEEIIPPLKAALEAEDDVSQVELSFQDNRLEGSFIKDEVPYYFWAFFPNGDLTGPKGFALSSYGTEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEEIGDDGAGDSDLGFSKWADKLRGGAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSVEEGRRILKEIALVLSEDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLEMVLRMSASI >KN538955.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538955.1:159928:162882:1 gene:KN538955.1_FG017 transcript:KN538955.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGHTVITWHSRASDVGASGHQVGTFYSASVAVEADYFCASHITFEDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQDVAQINHRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQSCHKLTIQAFTNSSILYLTAKELLTLGCTLHAVATSYGAIAASQRSSPSEESGFSFVGCRLTGSGMLYLGRAWGKYSRVVYSYCDLSGIIVPQGWSDWGDQSRTKTVLFGEYNCKGPGASTKQRVPWSRTLTYDEARPFIGRSFINGEQWLRL >KN538864.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538864.1:74173:78800:-1 gene:KN538864.1_FG049 transcript:KN538864.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLEAAGEANLELLLPALWMQPEQKQLCPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVHGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLVFLKAIMEQARNLQTVILKEEEPCEDCEAIGTPLSCINDYDFPKSKDEQDNVVEQLRERISSDSQIIFQCL >KN538864.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538864.1:40262:40526:-1 gene:KN538864.1_FG050 transcript:KN538864.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGYRCEYEPGYKQMHVGDEANFLPCVIPNS >KN538955.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538955.1:122914:129290:1 gene:KN538955.1_FG018 transcript:KN538955.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPFIRQAAAHRPPLIREKEKEADKYTKKNGAWTKVRPSHNANAFATNGRSSSPCYTQLYYQMKQLGHKMYDQDSPSSDSGQSHQEESAMNDSSPNERHTSTQSADAYYGGVLTGYSSHAIVHPQQNGTANSRVPLPVEPAAEEPIFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEAASGGASSGNRTCLQNGTGSAPSASAPSEIASVSTSREFLGNHEQSHFPSAGFLPTMSFRAQNGGDGKLVANAIHQRVSMMR >KN538864.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538864.1:89206:94032:-1 gene:KN538864.1_FG051 transcript:KN538864.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYCGIGFGASSAPSQDLILSSSIPLGYCLLVLENNIGASTPYQKHLQMVIKKCEMRIELLTKFAEHKLHGSYGPVVRLWLGPSQLLVSVKDSRVIKELLTKAEDKLPLTGKTYNLACGKLGLFISSFEKVKSRRESLKSFLDEKLSVGTGGSSFKIIQIVLDRVDSIMARDFLDCRYFSQHMAFNIVGSALFGDAFFDWSDASAYEELMMTVAKDACFWASYAVPPFWKPDYRRYRTLCARLKLLTQGIVAKSRNQNGVLSLIDLSSCQRSERMIKDPCRGVSLLDGVISSRCLNEAAEGPLSSEEEICGNIMGLMLHGISTCANLIGNILTRLALFPNLQCQLHSEIVAGHSESSELKIDDVLRMKFLLATVCESARLLPAGPLLQRCSLQQDVNLNSSITIPAGAILVIPLHLVQMEASTWGNDACQFNPNRFLKKDINFEEILAAAHKGSNGINLFTDECDKTESFLPFGSGSRACVGQKFAVLGIAMLIASLLRSYEVQPHPALSKEMESLVDSNSLHHIPNPKIILKKRSI >KN538955.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538955.1:89767:89967:1 gene:KN538955.1_FG019 transcript:KN538955.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGADDMAAAREEEGLRARRRRGEEVKGKAARRQIMVISEGEEKIIKNEMIFRRQRPALVLLVT >KN538955.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538955.1:53974:54510:-1 gene:KN538955.1_FG020 transcript:KN538955.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSCFYHPEHLVTEHHYGEGSGSGSGNPCAACERVVTGDGYRCEHGECDFHVHRSCLALPASFALHELTFTRLTCSRWCNVCDVISHAGCRMYACAPCKFSAHPRCTPVLDGTHQQQPQQQEGEEKRSVGVRAAKVALKIGVAVGLTAVDVGTTGGLATVGSLVIAPIVRRAIDKI >KN538864.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538864.1:42037:44319:1 gene:KN538864.1_FG053 transcript:KN538864.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLYLIILSFFPSLLVFGTSASPRLTLHTGSSFSVEDYKHTFLTSPNSTFSCGFYPVGTNAFTFSIWLTNTAGKTVVWSANRQSPVNGHGSKVLLHDDGYLVLTDVNGSTVWTSTMSAGEGSMAALLESGNLVVRDSSGTILWESFTSPTDTLLPTQQLTKDTRLVSGYHSLYFDNDNSLRLVYNGPEFSSIYWPNDDYTMFRDGIKVKNNSRLAVLDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSLDASDGSWTVTWQAIVEMHYVHGMCGKNGICEYLPELRCSCPPGFEMVDPQNWSKGCRPTFSYNCGKERYKFIEIPQTDFYDFDLGFNQSISFEECQNICLSTCSCIAFSYRLTGTGVCCPKGLLFNGYKSPAFPGTLYLKVPYSTNLQASSTQSALTCSPGSQEIATPSDHPRWLYFYIFPGVFGALELIFILTAWWFLSIRNDIQNSAEGGYMMIRNQFRGFTYQELKEATGKFREELGRGSSGIVYRGVLQDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTERLLVWKDRFNIALGAARALAYLHHDCLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTMGYMAPEWATNLPINAKVDVYSYGVVLLEIVAGQRISSYTIREGKVTKLKQFIENVKEALATGDTKCIVDGRLHGQFNSEQAMVMLIVAVSCLEEEKQEADHA >KN538955.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538955.1:95687:99616:-1 gene:KN538955.1_FG021 transcript:KN538955.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis factor 10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/Swiss-Prot;Acc:Q9SYU4] MRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALDDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPRYQILGIFLLIQLCILGAERLRRSRGVPVLNEDGNIISDIRHGKTADLATSSELLRLSVSSTSCYATEFYFKKKATKHPVVRANAPFVSVPVRIPPPQPVAMSSAGVALWSGVMRSLNAPYAELRLHIRV >KN538955.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538955.1:156001:156219:1 gene:KN538955.1_FG022 transcript:KN538955.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREKLVRRMWDVYTRTGVDRVRLPRFWQEAFEAAYEELAGDDMQASETAVSEIARMSVHRPELEQSSNKY >KN538955.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538955.1:67076:67635:-1 gene:KN538955.1_FG023 transcript:KN538955.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSCFYHPQHLVREHRYGAKSAGVACTACERRITGDGYRCRKCDFNIHHACLALPVSASVDEHREHALTLSSLAASGTCNTCKQQQQQQQEEAGTAWEQTVQVGKGILEFGFFVLRATDDMTTGGLASPVIDVMEGLFGLYDSTRTVRRRNRQSAA >AMDW01013093.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013093.1:29:214:-1 gene:AMDW01013093.1_FG001 transcript:AMDW01013093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIVSTYLPLLAMVLVQLGLAGLNVMSKLTMASGMSPYVLLAYRNFIAAAFLAPIAFLVE >KN542610.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542610.1:338:8871:1 gene:KN542610.1_FG001 transcript:KN542610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPATKHSVFTRCSPKFANDACCALSPIHRQALAALGLGDIAKMTLNGLEQPDLTCWLMDRTDPKSMTIDISENKKIVITPWKVKTVLGVPLGGEPLQLLDQDIMSDALADLAIELDLPPKSDITASRLIEEIKNRPKDPSMVRYFIMVIVNKMLLPSTGLYIRPKDAWIGSDTQKVARINWSKAVFDALRDSLVLWHKNKTGPRQQAYIRCCVAFLVLLYIDNLKLYTKQLVEDISQEDRVTDSSENYVFGNLPMSGILGSCYSHPDYDKEKEPRGDNSGTPFADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVQTCHARVSDHIVSIIRGESRTQPPLGPQPQPTSHSQPDSQHGPVASPTSEEAQDHHIHNTPDISPTNSPAPQPCRIITPDAVFNPTPQITSTEPHPHLPGELFLTMDNTAIADENQALTPQPDADFQGGCDVGIIDFTMTFKGTCTTQSHTADGIEGHHDLPDADDEHGIETDTSMQGNTAVNVTTEGTNTAKSPSAHQNDGHHHHPDADVEHNSNFDIPTPGTIQPYAPAVEPAPPVFGVPNTIMALTAHVQDETAEHNMQGDSSHDDDDNLSLSLPPDELLSDSQLAAKIDQICILEGASHDSTEVNKEADYAARQHASPVKHSVKSASPVKHCVKRSASVCCPLSHIPSNKLRINIQLQGLLNIENVTRVGSKDKFSPRALAEHLSECLKGVDLSKAEQLLLPIINNDHWTLYIVYLNQGSFDILDSNDYDQIGGKQSQHHYPLAQKVLKRLSDGFQSFMPKVFKKFGNYHREFVKCPKMVPCSNDCAFYVMRYMERYQGNPDKLADDFQPPESRVLRAQILHQLIFHRFNIAPCIHPAIEDLRPLDDGEGSSH >KN542610.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542610.1:9449:13860:-1 gene:KN542610.1_FG002 transcript:KN542610.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEDPGPSDVRSHVPALVADRSHLMQGNDVQRHDDVQRGGLQQLSGGDGGGDTGSESTRTDRPPCVDDAHMRTPTRNVMHIHGAHVPDEMVPKFGMEFKSYEMAYAFYNKYAEHAGFDVRKSRSRAAYREICCSREGKNKYRGYETKRERRRGSARIGCRAYVRVRNVVREGEVVSVVFDDVLVQHNHPLTPSPSAVKHMRSHKQHGDTLMEFVDTMQQCRVPQSSIMGVLSDMHGDRESIPFTTRDLENRTKVFSLDAQQLVKWGRRSSVAFERATSVMKGLRNQLEEIPADVHGLDADDGVSEHEVEVGDGARPSIHRGASAVDEISRRPPPKSTTKGRGSDPIETVRLGAPGPKKCTRGCSWCGLKDGHNVSTCPKNPANFERLAAASSRGKRKRGRPRGGGSGGSRGRKGVRRTLMDEWEGGQEDEGCSVQGDEGDGISVPNDGDWEERCWLSTGDSTGRRVDGWNVWVGVDNNVTVHDGLDSCLSISRGESIGAYMSGGADFGKELAKLPDGSPASPSIHGDSSGSHSPDRLTPSPVMREVDLPPEFRVRGPPTPDWPPPPTETDEERFREDLEQYYNDGYVSTPCPSPPSDLCDSEENLEDEDEQDDNRRHGDVLPKVNQSKDTASPPSKRKKV >AMDW01040724.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040724.1:234:1559:-1 gene:AMDW01040724.1_FG001 transcript:AMDW01040724.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILELKHLKQVSLPARPVVCKGLVIVIALIVLRAIVSPFLAVNPSEKEGFYDPTADLLPGIRRGKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLRPITFDKVFDFTKFNARCQGFVRLARYSEVSNQTKPFKLQKGSGRRWTVEKDLDQLLQYRRGEADDSEVIEIIGKHPFLWPDHWPVKDYARIFDCLALVPEIETEVVKVISKIREAGLKARHEAGISHNKHVKDGTINPPVPYIAVHMRIEKDWMIHCKKWEQRSNSKEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAFEKKRLGVTDIYNRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTQRLYNMGEASSCGENVGLSSYAYNVIGDDGGPQRWMTDMSDTSLQNLSYGTNNISCH >KN540388.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540388.1:20733:24433:1 gene:KN540388.1_FG001 transcript:KN540388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPALAVLDLSVNVLSGAISPGFGNCSWLRVLSVGRNNLTGELPGDIFDVKPLQRLQLPSNQIEGRLDPERIAKLTNLVTLDLTYNMFTGELPESISQLTKLEELRLGHNDLTGTLPPALSNWTSLRCLDLRSNSFVGDLTGVDFSGLANLTVFDVAANNFSGTIPPSIYSCTAMKALRVSNNLMVGQVSPAIGNLKELQFFSLTGCTSLTALLVSYNFYGEALPDAGWVGDHVKSVRLMVMQNCALTGVIPSWLSKLQDLNVLDLSGNRLTGPVPSWLGAIPKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMEEFNPGHLPLMFTLTPNNGAASRQGRGYFQMSGVATTLNFSDNGITGAIPPEIVKLKTLQVLNVSYNNLSGGIPPELSSLTRLQIVNLRWNRLTGTIPPALKELNFLAVFNVAHNDLEGPIPTGGQFDAFPPRDFTGNPKLCGEVISVPCGDRFDATDTTSSKLVGKKALVAIVLGVCVGLVALVVFLGCVVIAFRRVVSNGAVRDGGKAMESTLFDSMSEMYGDSSKDTILFMSEAAGEAASGVTFVDILKATNNFSAGNIIGSGGYGLVFLAELQDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLHDWLHERRAGAGRGAPQRLDWRARLRIARGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRRPVEALPHGQQRELVRWVLQMRSQGRHGEVLDQRLRGNGDEAQMLYVLDLACLCVDSTPLSRPAIQDIVSWLDNVEFIG >KN540388.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540388.1:49232:52170:1 gene:KN540388.1_FG002 transcript:KN540388.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRVVVVVSGVLVLLLAISICGRAAVCAEAERAALLSFLAEASPPAGDGIVADWRGSPDCCRWDGVGCGGAGDGGGAVTRLSLPGRGFNGTISPSIGNLTALAHLNLSSNSLTGQFPEVLFSLPNVTVVDVSYNCLSGELPSVATGAAAHGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLASLNASNNSFHGSIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSRLRVLSAGRNNLTGELPGELFDVKPLQHLQLPSNQIEGRLDQESLAKLTNLITLDLSYNLFTGELPESISQMPKLEELRLANNNLTGTLPSALSNWTSLRYIDLRSNSFVGDLTGVDFSGLANLTVFDVASNNFTGTMPPSIYSCTAMKALRVSRNVMGGQVSPEIGNLKELEFFSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHVRSVRVIVMQNCALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNLLSGVIPPSLMEMRLLTSEQAMAEFNPGHLILMFSLNPDNGAANRQGRGYYQLSGVAATLNFGENGITGTISPEVGKLKTLQVFDVSYNNLSGGIPPELTSLDRLQVLDLRWNRLTGAIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKNFMGNPKLCGRAISVPCGNMIGATRDDDPDKHIGKRVLIAIVLGVCIGLVALVVFLGCVVITIRKVMSNGAVRDGGKVVEVSLFDSMSELYGDCSKDTILFMSEAAGEAAKRLTFVDILKATNNFSQERIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPFGVVLLELLTGRRPVEAASPPHGQQRELVRWVLQMRLQGRQAEVLDTRLRSSGNEAQMLYVLDLACLCVDSTPFSRPAIQEVVSWLDNVDTIGRS >KN540388.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540388.1:40278:43555:1 gene:KN540388.1_FG003 transcript:KN540388.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHQVVVSGVLVLVLAASVSDRAAACVEVEREALLSFLAEAAPPAGDGIVGEWQRSPDCCTWDGVGCGGDGEVTRLSLPGRGLGGTISPSIGNLTALVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFRGSIPSLCVSCPALAVLDLSVNMLTGAISPGFGNCPQLRVLSAGRNNLTGELPGDIFDAKSLQHLHLRSNQIEGRLDPECIAKLTNLVTLDLSYNLLAGELPESISQNTKLEELHLMHNNLTGKLPPALSNWTSLRCIDLRSNRFTGDLTGIDFSGLDNLTIFDVDSNNFTGTIPPSIYSCTAMKALRVSHNLIGGQVAPEIGNLKELQFLSLTINSFVNISGMFWYLKGCTSLTALLVSYNFYGEALPDAGWVGDHIKSVRVIVMENCALTGTIPSWLSKLQDLNILNLSGNRLTGPIPSWLGGMSKLYYLDLSGNLLSGEIPPSLKEIRLLTSEQAMAEFNPGHLPLMFSVKPDRRPAERQGRGYYQLSGVAATLNLSDNGITGTISPEVGKLKTLQVLDVSYNNLSGGIPPELSNLTKLQILDLRWNHLTGTIPPALNELNFLAIFNVAYNDLEGPIPTGGQFDAFPPRSFKGNPKLCGQVISVPCSSKFEARYHTSSKVVGKKVLIAIVLGVSFGLVILIVSLGCLVIAVRRVMSNGAVHDGGRGVDASLFDSMSSELYNDNDSSKDTIFFMSEAAGEAAKAVTFVDMRSQGRHAEVLDPRLRGKGDEAQMLNMLDLACLCVDSTPFSRPEIQDVVRWLDNVDTIGISDD >KN540388.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540388.1:30458:33652:1 gene:KN540388.1_FG004 transcript:KN540388.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHVVVSGVLVLVLAATIVCGHAAACVEAEREALLSFLAEAAPRAGDGIVGDWQRSPDCCTWDGVGCGGDGEVTRLSLPGHGLGGTISPSIGNLTALVYLNLSSNSLSGPFPDVLFFLPNVTVVDVSNNCLSGELPSVATGAAARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGTIPSLCVSCPALAVLDLSVNVLSGVISAGFGNCSRLRVLSAGRNNLTGKLPGELFDVKPLQHLQLPLNQIEGQLDHESIGKLTNLVTLDLGYNLLTGGLPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGDLTVVDFSGLANLTVFDVASNNFTGTIPPSIYTCTAMKALRVSRNVMGGQVSPEIGNLKELELFSLTFNSFVNISGMFWYLKSCTNLTALLLSYNFYGEALPDAGWIGDHIRKVRVIVLEKSALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNLLSGVIPPSLMEMRLLTSEQAMAEYNPGHLILTFALNPDNGEANRHGRGYYQLSGVAVTLNFSENGITGTIPPDVGKLKTLQMLDVSYNNLSGDIPTELTSLARLQVLDLSWNLLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPLKSFMGNAKLCGRAISVPCANMNGATRGNDPIKHVGKRVIIAIVLGVCFGLVALVVFLGCVVITVRKLMSNAAVRDGGKGVDVSLFDSMSELYGDCSKDTILFMSEAAGEMAKSLTFLDILKATNNFSPERIIGSGGYGLVFLAELEDGTRLAVKKLNSDMCLVEREFQAEVEALSATRHENLVPLLGFYIRGQLRLLIYPYMANGSLHDWLHESHAGGGAPQQLDWRARLSIARGASRGVLHIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPFEVLRHGQQLELVQWVLQMRSQGRHGEVLDQRLRGNGDEAQMLYVLDLACLCVDSLPLSRPVIQDIVSWLDNVQFIG >AMDW01115766.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01115766.1:66:392:1 gene:AMDW01115766.1_FG001 transcript:AMDW01115766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLATWFSPFASRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPVIKKVPVLLHNGKPLCESMVIVEYLDETFAAVGPSVVPADPYERAVARFWVSYIDNK >KN540397.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540397.1:3357:5349:1 gene:KN540397.1_FG001 transcript:KN540397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G18390) UniProtKB/Swiss-Prot;Acc:Q9ZPX1] MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVFSAT >KN540397.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540397.1:30273:34791:-1 gene:KN540397.1_FG002 transcript:KN540397.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKWKMMSCEQSRRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKTEVNHLGALRCYFSKLNTEDAQKPYSFHQTNKQKTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTAVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNW >KN540397.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540397.1:27922:28184:1 gene:KN540397.1_FG003 transcript:KN540397.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTLSKDPPLMTKEVNVLVDVSLEKKMIAGTTRYKKNLLLFQLMTSSTNSELQP >KN540397.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540397.1:16050:17878:-1 gene:KN540397.1_FG004 transcript:KN540397.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLVKVRVVRGVNLAVRDLRSSDPYVIVRMGKQKLKTRVIKKTTNPEWNDELTLSIEDPAVPVRLEVYDKDTFIDDAMGNAELDIRPLVEVVKMKIEGVADNTIVKKVVPNRQNCLAEESTIYISEGKVKQDVVLRLRDVECGEIELQLQWVDIPGSKGV >KN540397.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540397.1:42683:46861:-1 gene:KN540397.1_FG005 transcript:KN540397.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVEAVTGCRFEVTDPASEETVLARVLQVLLACVRGRAAPALANRHVCNIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPDVDATVVADGQTAGSKNQGLSDGEIGNGKSDFVCLNSSGDEVGGGFGVVQDQAMSELFGVPCMVEILQFLCSLLNIAEDIEVNPRINPIDFDEDVPLFALGLISSAIELSASSINKHPKLLAFVQDELFRNLMQFGLSMSPLILSTVCSIVFTLFYHLRQELKLQLEAFFSCVIIRLGQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQSSNIFEDLANLLSKSAFPVKSPLSTLNVLALDGLVSVIQAIAERTDNAPQHHEQTVPEISEYFPFWQLKCENTNDPDQWVRFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGAHLLPERLDPRSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNIRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWKSKRTSAYIACDSFPFLDHDMFTIMAGPTVAAISVVFDNVEHEEFLTGCINGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSYINDPVTTFGEDTKARMATEAVFTIATTHGDHIRSGWRNIVDCILRLHKISLLPGCLTGDTADDQESSSDMLPSKLASSRAAPQVVPISTPKKSYGLMGRFSQLLYLDAEESRFQPTEEQLAAQRNASETIKKCQIGTIFTESKFLQADSLLNLARALTQAAGRPQRITSSLDDESTSVFCLELLITVTLNNRDRIVLLWQGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVKGNATHIKSQMGWRTIISLLCITARHPDASDVGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMAESVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREELLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLNDLSSQSSFSKLWLEVLDMIEKLMKVKVRGRRTEKLQEAIPELLKNILLVLKANRVLSKTSTSEENSLWEATWLQVNKIAPSLQPEVFPDSEDDVATQSAKNKSDSPAQSEGVNV >KN542297.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542297.1:11304:12127:-1 gene:KN542297.1_FG001 transcript:KN542297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTRITTKLLVNRVKIAPLYSWYSWLVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQDLFNDEGCEAKLRLDEGGKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMATSVIIAGTDGVSVKTK >AMDW01036680.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036680.1:263:374:-1 gene:AMDW01036680.1_FG001 transcript:AMDW01036680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSDAPPGDAAAGEKIFRTKCAYCHAVDKAAGHKH >AMDW01038929.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038929.1:132:401:-1 gene:AMDW01038929.1_FG001 transcript:AMDW01038929.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MILSCRYLLICSATLALLLLLRPCSGLSLDTVREFLTREEDTVVFGLIERAKHPRNTPAYDPGYLAGGGHGHDASFAEMFVRESEAVQAK >KN540371.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540371.1:3931:13078:1 gene:KN540371.1_FG001 transcript:KN540371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRQIWAENSRENRVTFDLNNSAVNHIPRRFSNPTNSYDKNFQFDSREQIYQYQHFYYDDIPDESVSHLNSSSRQMQNSSIDLDHYLCVPQSSTQKSHRPDQMLCGYTVPENPVVPTWHTGRTWMAGNFNHEASTRVVNPMPQGYRVPQSPSVLPTCSERNTMNINLSEFPAKNDQSKFATNPNDQIGASFGLCDSHFSDVHAIGKKRGYDTITDHQISFDAYLEQSNSGRQFYSGPLSTSSETYLLTETCKRMRSENHSNWLDGFMGNVSSISANMSGNWNTNNVLAINHGACTTLADVQRSMALEESRSSQQYTDPTLPSTSNTQFIGSCAQHTNLPDSAMNSLRENIGHGNGDHQLESLEIRPTQHYTRNQPATTENCHLQTSGETTSTNPTEKPKVRSRPRKEVEPDGKPKAWGHPRKQAEPDGKPKARGCARKTSGANEKLEDRDPTTKENVGCEIIQSSLDYLEAIIEKLKLLSINRTSDNTVEEAPKNVGALVPFEGKVKKRGSRAEVKIDPVTNLMWNLLMAPDKCEGVEGMDKDKERFLEEERRVFRGRIDSFIACMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPVKSEGPKKPAAAQKSTPTPPEQKDSCYGVLGESAKFPLVKLTQEVGSSGCDQVSVLPTSDLNKAVPYDRDTTYHICTGLDHGVNISDVVQSEVSLYQQHPIDASINKNKAKVIDYSSGSFLYDNRDGSLRQHMYSSFPFQPSQEAECSATVKQSFFQQFISSEEVPISTGHSFYDNSFASNRNEDPYIEQQDCFNNLQEAYTTGTIQINSERSQPECSQQQDNDIRVQAKTCEKHSSSNLCGNMNSHSDDPPGVASCSIGKSKHTEKRSKARNVRGQTKMKPYDWDNLRKEVLRNHGNRQRSDKAKDTIDWEAVRQANVNEISFVIKERGMKNNMLAERIKDFLNRLMRDHGSIDLEWLRDIEPDKAKTFCDPFRDFLLSIRGLGLKSTECVRLLTLHHMAFPVDTNVAWICVRLGWVPLQPLPDSLQLHLLELYPMLEHIQKYIWPRLCKLDQLILYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASSRLALPGPSKRTSTPEYPNAAESSHTKYTHSWPVGQLSWNTNHPGHVYGDQQQPIIEEPSTPEPEPEIAEAREAEIKDFFGEDPDEIPTINLNVEEFAQNLKSYIQANNIEIEDADMSNALVAISPQAASVPTSKLKNVNRLRTEHQVLIKENQMIPPHIFFLMDPRQDHVLPSNLYSCTSYTDDKDFNRYLLITTPAKIHLMFHEVGYGTSQDEQFTLGPQFLQYLEVCQLKRYNIAFGEV >KN540371.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540371.1:50031:52268:-1 gene:KN540371.1_FG002 transcript:KN540371.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKALFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >KN540371.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540371.1:27123:27416:1 gene:KN540371.1_FG003 transcript:KN540371.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLVHAFFHPDMVDVCDEGKDTRSDRSFMANFMAAVGFVDRLWDVRRIIDVCRDGGLDDGERRATVAGVLRRFEELLDERARAVKLGTFKITPLAN >KN540310.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540310.1:4887:5750:1 gene:KN540310.1_FG001 transcript:KN540310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVVTAATGALKPVLEKLAALVSDELYRRFKGVSSDIKFLTDELAAMHAFLLKMSEVEEPDVQDQAWMMEVRELSYDLEDNLDEFILRMDDKTAKQSDFIGRCKNMLTKMKSRHRIDKAIEDFKAQVKEVGERHARYRTCDTIINTSNVRIDRRALAIFENASNLVGIDESKGELIKLMAQEGGCASMELQLKVIAIVDPGGLGKTTLANQVYQSLKEDFDCGAFISVSQNPDMMRIFRTVLSEVSHMGYTDTDAGDENQLITRISNFLADKRCCLHSFYLCDLF >KN540310.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540310.1:49570:51527:-1 gene:KN540310.1_FG002 transcript:KN540310.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKNKRDGSNNGLIFSNLMHGVAAGIYGYPPHQGYPPQAQSYLLLPEAYQPPRPVGYPSGGYPPAVYYDSYVHQGSRVAREQCPLSYANNAVTCREDGQMNCENGTVNMEKSAMSSNKMATSLLKSCGNVMPCRNMERSGPAMYKVDMRGSTKQFSMDSKMMMCLIVFGCLIAALDIFRNVA >KN540310.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540310.1:37190:41231:1 gene:KN540310.1_FG003 transcript:KN540310.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVSTATGALKPVLAKLAALLGDKYTIFKGLHKEIKSLSTELMAIHAFLLNMSEEENPDAQDQAWMTEVRELSYDMEDIIDEFMVHVDDESATPDGFISKCKKSLANMKTKRRIAKAIEDFKSQINIVGERHARYRSEKTICRTSNTIIDHRALSIFEHASNLVGIEGPKNEVIKLLSDDDGCESKQQHPKVVSVVGFGGLGKTTLAYQVYQQLKGNFSCSVFVSVSRNPDMMRILRTILSEVTQRDYAVTEAGDEQQLIIKISNFLSKKRYFVVIDDIWNVEIWNIIKGAFSMNSRCSKIITTTRISDVARSCCSSFRGHLYNIRPLDTVHSRTLFHRRLFNPQEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLANKLRTKDQWEHVKNSIGCALERNPTVEGMISILSLSYFDLPPHLKTYLLYLSIFPEDYVIRKNDLILRWIAEGFIHKEDSNTLYESGEMCFNELVNRNLLQLEKQNYNGCRVHDTVLDFIISMSKKNNFVTLVTSPCLTIEGQNKIRRLSLQVGSEEGNSIQRTMLSHARSLDVFWLHLQEIPSLVEFRHLRVLSFQGCRWLQSQHLANIGKLFQLRFLNLRKTGLNELPEEIGFLQNLQALNLEGSNMHRLPPHITRLGNLVHLFTDIRIQLPEGIAKMRSLETLKWVDLSNHSSNIVKELDQIKNLRELKLFMPNDDICDEHVKVIASCLVELGRHNLRRLYIVGYTELPNSLLPDPWCPSPLKLEELDISDSPMVQVPSWMRSFVQLKRLGLILKDVSDEDLTTLGRLPSLLHLHLNIPRGEPGYEDRLLISGCHGFSCLRQFYLTGQQPIFTAGSMPRLELLRVEMDESKPEFLTNASLENLPCLTTVKCLLFNFQRGEVAQNGKTAMARAMSSHPNHPSLVYE >KN540310.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540310.1:10545:20402:1 gene:KN540310.1_FG004 transcript:KN540310.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRQLFHRRLFSTGEICPSHLEEVSDQILNKCGGLPLAIIAISSLLANKERTKDQWDHVKNSIGCALERNPSIDGMISILSLSYFDLPHHIKTCLLYLSIFPEDYIIKKNDLIRRWIAEGFIHKEGNYTLCELGQICFNELVNRSLVQPDKIKHDSWRVHDAILDFIISQSIKDNFVTLVGSSYLNVGPQNKVRRLSFQAGTQGNSILPKRLMLPQARSLHVFGYIKEFPSLVAFRHLRVLCFRDCHWLKSHHLANISKLFQLRYLNLKNTYLNELPEEIGCLQFLETLNVKGIPMVPLPPCIAQLGNLVHLFVDPWIRLPDGIVNMQSMETLKKGLEHEDLCLLGCLPSLLHLSLSRHYRSGQEALYKDKLVICGYHGFPCLKRFYISGQNPMFSMGSMPKLELLSIELNASKIEILTNGGFDIGIGNLPCLTAIKCIIYYNGKCVHEEVEVAKAALERARLRDEFIALIPQPIDLPVAVFPDAEIGFDALCDRFLHGYRNMASSSIVNGDSSGKHSAISQRTKNIEKNNTDTTNKFITHCSGNGAEDGRTSSGNVISGYEGVCGTTFGVIDSKADTTGEIVDVTGCELSSGDKESLAAGVPELIVYPIEDGVPPMEILPNSSHRDGSIYRGTDCWKKEYHIADRNETKVPADHGLVELYGYIAVRDRLDTFLNYFVNFSRDDPIVVEQGSLIHMAGPKRGIQLIGTNLIEYDMKIKTVMGAQMDLKFKEIETDFALIAVKVTWSTFNMKSWLCDEWAKSGAGSYAPNPTGWPASLTMPALTDAGQIKRQPALTQRAMVSHAAPALLTIPVQGKSGHIAASER >AMDW01033529.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033529.1:18:385:-1 gene:AMDW01033529.1_FG001 transcript:AMDW01033529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNSAAENFIDGVNKQIIGFQQYKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTK >AMDW01030374.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030374.1:81:380:-1 gene:AMDW01030374.1_FG001 transcript:AMDW01030374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRRAVELRRSSHHALCSNCYPGSLDAQKAAGKIVVCVGTDPMVSRRVKKLVAEGAGASGLVLIDDAEKSVPFVAGGFPFSQVATDAGAQILEYINST >KN543661.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543661.1:1110:2711:-1 gene:KN543661.1_FG001 transcript:KN543661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRQSGTGATVFTPMHQSLLMGFEVTTASVGQVAGKIPFGSL >KN543661.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543661.1:5532:6233:1 gene:KN543661.1_FG002 transcript:KN543661.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCNWHGVTCSTQFPHRVTALDLSSEGITGYISPCISNLTSLTRLQLSNNTFHGRIPSEIGLLSQLRILNISMNSLEVLSLSENSLDGEIPPSLSQCSHLQEIYLSNNKLEGTIPPVLGDLPELRILILADNRLTGEIPLSLGSKLSLTHVDLGSNSLMGRIPKSLANSLSLQVLRLMSNNLTGELPKDLFNTSSLIAIYLQKNNFVGPIPPVTSTSPQ >KN540420.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540420.1:41825:50797:1 gene:KN540420.1_FG001 transcript:KN540420.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSSSFLRLPATSSPHPPPADDASAAYAVVVLNQRLPRFAPLLWDRGILLLPCLDDALRSLFEVWCGLTDDDVVVCGVSWWVARAARLRVCADGGANRVFDGMPELLPAEDPDQVRMRSTAASTSVFFTMNSFLPILGCQRYKPDVIKGDMDSIRPEVKEYYSNLGAEIVDESHDQDTTDLHKCVSFITRNPPGSEESNLYILVLGALGGRFDHEMGNINVLYRFSNIRIVLLSDDCSIFLLPKTHSHEIHIERSIEGPHCGLIPMGSPSASTTTTGLRWNLVGKEVDGIEDSVIFRSLQALAVPLIGNACHIFMHGLNSVQEFLQIYGAEKLQQALQERPKGKPLLTVSNHVAAMDDPFVIASILPPSVMLEAQKLRWTLCASDRCFTNPILSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNNGGWVHIFPEGSRSKDGGKTIAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLIIHNSDETQHISRGILYDNATERIGQRLQELKAEVDRLAAEQKSELQHHHARDTVNYGTRLWQQVDWEAFGMESSMLSPEPSDVQEPLKKAKPVLHLESEHAKPELHLEPEQAKPELHPEQCVPGAPSAAICSDFGVPLFFRQHTDPSELMGFAARGLLKNGRFMEEEGYRELQRQGTMNMFWGSQANNTVLYGKVMLAIVKTMKSPRSAVCKTSIMACTDVFDSFGNLLSSASDDAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMAASMPPLPLLRKLKAYVHHANLRVRAKAAVAMSQCASRMDVATMKEFGMSAMLQVAAELLSDRLPEAREAARGMTLE >KN540420.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540420.1:32744:33673:-1 gene:KN540420.1_FG002 transcript:KN540420.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPPRIQPVSAHLHSSANPKDSDHQEKKQVCYTVWMKSLVFNGRGCTVYGQDGRAAYRVDNYACSRSREVFVMDSGGKTLLKLLKKNFGVFKTWQGYSCCNSNNGDAAATMEDQPWFRVQKEYKILKKEGRYNVRAVVEVALTGEVYRIIDGASHKSEYRIVGAGGEVLAEIRRKQTDAGVVLGDDVLTLTVGPTADRLLVVGLVVVCGLLDRCI >KN540420.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540420.1:23297:23569:-1 gene:KN540420.1_FG003 transcript:KN540420.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAWKRDRRAILAVELLLPPDGDDINCGLVEPKPRVVALSGRPVGTACNGSGGDDDSSGRRAARAGVDDEVEYNVCEGDGAGAGRVLTR >KN540420.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540420.1:9338:12298:-1 gene:KN540420.1_FG004 transcript:KN540420.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKRQVAPPPRTIGSSSGPPGVPLPSAAPYSYGGPWFPTPPPGWFAPPSQAMPSSSACPLSAAGKTNINVQLDLEEWILLCWPLHSTELLTGTPIDLLFVCIYCCRPMIIMACSTRDTCDQAPARLLHVPTINLDYQGHVLTW >KN540420.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540420.1:20831:21256:-1 gene:KN540420.1_FG005 transcript:KN540420.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVFALFLGTTTILVVSTAPHAHSYPAPAAPPHQCIGAPAIGGENPLEYKEYIVLLRPWPDAATAGMDDDDGARRSWYLSFLPGNITAGGKPRLVRSYKHVVNGFAALLTEAEMDAVSKKPGFWRCFQNGIARLCLQAP >KN540420.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540420.1:37014:38458:1 gene:KN540420.1_FG006 transcript:KN540420.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASPSAEWHHGGGGGVQGKQAVYTVWMKSLVFNGHGCTVYGSDGRVAFRVDNYGCRGSRDVFFMDTAGNTLIGIQTKMVDEDTSLKLGLSLFVGNSLDKVFHQAVDA >KN540420.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540420.1:14669:18084:1 gene:KN540420.1_FG007 transcript:KN540420.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEDDADDGSSGSGGRLFGGQLQSNIDSIVATDKIPAAARPKRNPRNEEIPHPEDNEVVVFGAFFTAGLGLPVSPLVARVHEQFGLELPQLTANEVARLAIYEYAMRVDGLRASARHFASLHFASCQPKLVIDGGETKALDFTSVNFQVRPGLTKYFPARAASDRWSTGWSQCWFYLDIRVGSGLHSTNKAILYCRLPEVDANEEGLDHLHQSLRRVADRLGMRDLTEEFVMLRVTPLQDGWEHNLTSGDKDTAGAYLGLMSSTALLIPVSAAIVEAEKILGKPLLKEKQERVQRIGSWDRANRVVARFGLQLPALPSLREAEDADAAKAGGKRKVYNIVLLLLTILHSSVAMAQSATQTHQEPSRRYPSYVLGRTVLRMRRRARNWPKRFVINELYRFLQKNFRSNVSTLKLDHAKTLQVGMIYEI >KN540420.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540420.1:31783:32142:-1 gene:KN540420.1_FG008 transcript:KN540420.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPWFRVQEYKILKKEGRYNVRAVVEVALTGEVYRIIDGASHKSEYRIVGAGGEVLVEIRRKQTDAGVVLGDDVLSLTVGPTADRLLVVGLMVVCGGAASLTAASDYIHGYIHFKIY >AMDW01039686.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039686.1:69:1000:-1 gene:AMDW01039686.1_FG001 transcript:AMDW01039686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGAYFQRDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGKISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQ >AMDW01018240.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018240.1:5:100:1 gene:AMDW01018240.1_FG001 transcript:AMDW01018240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYPAEEYHQRYLEKGGQSAQKSCTDPIRRYG >AMDW01054475.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054475.1:159:715:-1 gene:AMDW01054475.1_FG001 transcript:AMDW01054475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYMSPEYVIRGQYSTKSDVFSFGILVIEIVTGRRNSGPHFLEQNEDLISIVRRHWEEGNIVEMIDHSLGRNYPEAELLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFATHSPTISIEGNSGYSQTVTQLSPR >AMDW01123576.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01123576.1:2:262:1 gene:AMDW01123576.1_FG001 transcript:AMDW01123576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADENGRSVYHGNLRGGGGASLHNAVWAVEEVVAGVPPTRYVLLRGAYDRYLGSPDAPDREREGCCSLEAAQRDRDVLDVGAIMWRAV >AMDW01032974.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032974.1:135:412:1 gene:AMDW01032974.1_FG001 transcript:AMDW01032974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTKDGVP >AMDW01130768.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01130768.1:77:867:-1 gene:AMDW01130768.1_FG001 transcript:AMDW01130768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKP >AMDW01040804.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040804.1:32:2302:-1 gene:AMDW01040804.1_FG001 transcript:AMDW01040804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPFPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQRLPGYDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQVLEKSLLCSYKSDTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSACIWMLSSQSQNFVNKADGQDDVRIIPKLTLFGHSARIWDCYVSNSLVITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKVVSDDVNYDSEVFSISSPTVSGQYGPMDSVPVKVIICLVHHSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSNNVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTVVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKSLEC >AMDW01034529.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034529.1:2:502:1 gene:AMDW01034529.1_FG001 transcript:AMDW01034529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFEAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSP >KN542832.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542832.1:378:12166:-1 gene:KN542832.1_FG001 transcript:KN542832.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] TGLAAIFINLAVENFSGWKYAATFAIIQHSYFVGFFVYIVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVKFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNMSAKDACKNQKVVSLPRVSRIVDIISVLRSNKHNGFPVVDRGQNGESFVIGLILRSHLLVLLQSKVDFQNSPFPCGPGILNRHNTSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRQDLLLEENGNNVTTELQSTSVRVQFTEFIQKNLHLYQFRNNIPLSTAATANFTRGELATALRKNPYHVNILLAGYDSDVGASLYYIDYIATFHKIEKGAFGYGSYFCLSLMDKLYRPDMSVEEAVDLVDKCIKEIRLRLVVAPQNFIIKIVDKEGAREYARRAYTDSPPEAATSEAATVAA >KN538893.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538893.1:67503:69673:1 gene:KN538893.1_FG027 transcript:KN538893.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamidase 1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/Swiss-Prot;Acc:Q8S8F9] MGSDASSAAAAAMGVLRAAVPLQPDADLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVGRIIT >KN538893.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538893.1:42988:46712:-1 gene:KN538893.1_FG029 transcript:KN538893.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPALDILVREPDGFSVWSGPPYPTGSAPPVRLPKTTCSATYFSSDGSRLLATVASASATVYDCRTLSVVRSFELPGLLAAALSPAGTFLQTFQKSSSPQEKNVTVWHVDTATALYQHYQKNMSKATWPMVQFSVDESVACRMMTNEIQFFDPKDFTKGFVYKLRMPGIAAMQLASAPGSHVAGFVPEAKGVPATVQIFSCDKDAQGQAVARRSFFRCSTVQFHWNKGSTGLLVVAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPEKFGDIADLTISLGSIKIEETKKQGSLHFLIFHVISAQGSKSAQPSSKAPANIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGASADDN >KN538893.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538893.1:14600:20601:1 gene:KN538893.1_FG030 transcript:KN538893.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLSSWMRGFLLPSLWEAEVAVSAAALLVAALLLLFLDQAVALPDGSPNSRGRTSYVIKLELLSAKYLIGANLNGSSDPYAVISCGEQRRFSSMVPSSRNPLWGEEFNFLVRELPVEFCTAPVNDSKVTITMYDWDTVCKCKVIGSVTVAVLGEDETGATWFDLDSKSGQICLRFSSAKVFPTSERLFTKVILVLWRGLSCTMGVIIPLQDIDEIKRSQHSLINPAITIFLRTGSGGHGTPPSCSQNGRIRYKFTSFWNRNRTFRALENALQSYRTTLEAEKQVRMHSLQQRRSSDVICSKTDDLKTAERSIEQAKAFQPFINEHVLVDATSLSKWYPSDEYGGKIREVMFRSLCHSPLCPPDTAVTEWQRASFSKNKTNLIYETKHQAHDVPFGSYFESSQWHLRTTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEYRREVCKILEAACDYVLKEESNNQASHEIEAISLT >KN538893.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538893.1:2195:3697:1 gene:KN538893.1_FG033 transcript:KN538893.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGVLYDWDTKVDVKVPCALLPPPPGFPPFPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPAHRLPPPPGFPPLPVPAKAEPVYASPVDEGDAIRAFMQQLEWSELYNGDDDAPAPDDSTASRPQLCAPYDDDIDANLRDMEKDAAERPSPDYLDTVHGGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTAHQLSLVGATAVYTAAKYEDQGTVFKLDAKEIAYYGEFASAQEVLAMEREMMAALGYRLGGPNAETFVEHFTRYSKGKEEVRVQRLARHVADRSLESYGCLGYLPSMVAAAAISIARWTLNPPGALPWSSELQELTGYSSQDISSCVLTVLNTQ >KN538893.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538893.1:79439:80941:-1 gene:KN538893.1_FG035 transcript:KN538893.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGVLYDWDTKVDVKVPCALLPPPPGFPPFPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPAHRLPPPPGFPPLPVPAKAEPVYASPVDEGDAIRAFMQQLEWSELYNGDDDAPAPDDSTASRPQLCAPYDDDIDANLRDMEKDAAERPSPDYLDTVHGGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTAHQLSLVGATAVYTAAKYEDQGTVFKLDAKEIAYYGEFASAQEVLAMEREMMAALGYRLGGPNAETFVEHFTRYSKGKEEVRVQRLARHVADRSLESYGCLGYLPSMVAAAAISIARWTLNPPGALPWSSELHELTGYSSQDISSCVLTVLNTQ >KN538893.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538893.1:92630:93829:-1 gene:KN538893.1_FG036 transcript:KN538893.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAILDHPCLAELLADQTALPMFDPFSGGGTPPIDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLTGAVVQEPLPLPEGGDHPEPPKKKIKVAPLLPERADQPVVTSNSSTTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDDFSRFHELADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKINASMVAARCGYTSETRHKMVSCMETEILAALDYNLSGPTAYTFVEHFTRYYGDGKKEKLLKEAAHWFADGSLLTYGFHRYLPSVVAASSIFLARLHVLGHEPWSQDLAELTGYKAIDLMGCVCDMYSQIACPRFALFQEYFFENP >KN538893.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538893.1:148592:148924:1 gene:KN538893.1_FG037 transcript:KN538893.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSASAGQKVPLIDASMAPKMEEACPTTPEEPRHLSPADERLAAKESDGELNKSHAEVCREADKNSAAYLLAKRAKLQALIKEEWAKMDFSRVHVGDWDEEAGCYK >KN538893.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538893.1:40211:42036:1 gene:KN538893.1_FG038 transcript:KN538893.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTCKPNSGLIVDRPVAGLGRTGRLLPHPQYSLTTHSVRFPKLQKQVYPRLVLVAASQKKLPPLCASSGKVNPEAENDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGSGDSGGPEDESFKESLDELVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQSEGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >KN538893.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538893.1:20964:22589:-1 gene:KN538893.1_FG039 transcript:KN538893.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MENLECTVGEDGLNFQCNLCDSEVVHSMAEILFRGLATASVDSTTGDIFKSPSSVAVGMKSELAEYLIQRSMTLVREAVDGGEDHSEQLIKASTMPTEFLSDLIDGFVASKRNLLSHVSGFLSSETRLNKIKDFIQKMEMENFWAPDVREVTAGTILKSIDMKCIIHCPERFDTQDKLAEHRNLCRFRIVNCKNDGCLASFSANHIEKHDSVCPFKVVPCEQLCEQHVMRCEMDRHCASVCPMKLINCPFYQVGCESAFPQCVLDKHCSERLQIHLMYILELTTRHDAFVNDMNQRLHLLEKAQSLNELSGALDNRTLTLTAKEQEAKIKKLEQDLKVQETKLKKLESEFKSGKV >KN538893.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538893.1:60175:60483:-1 gene:KN538893.1_FG040 transcript:KN538893.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTQLYETVMRVDGGGGAGAGADVDEASMREAFDVFDRNGDGFITVDELGAVLASLGIKQGRTAEDCGRMIGQVDRDGDGRVDFLEFKQMMRGGAFATLR >KN538893.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538893.1:70984:75643:-1 gene:KN538893.1_FG041 transcript:KN538893.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFPSFFSSFSCLLLLLLFLLLSKMAAVFLEEMELAVELDLDATTSFFGVYDGHGGAEVAKYCAKRFHNMLLEDVDYINNLPNAITSDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLRRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRIENITDDTEFLVIASDGVWDGMTNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSSDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEQLDINNDND >KN538893.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538893.1:87320:88522:-1 gene:KN538893.1_FG042 transcript:KN538893.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAILDHPCLAELLADQTALPMFDPFSGGGTPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLTGAVVQEPLPLPEGGDHPEPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDDFSRFHELADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALNYNLSGPTAYTFVEHFTRYYGDGKKEKLLKEAAHWFADGSLLTYGFHRYLPSVVAASSIFLARLDVLGHEPWSQDLTELTGYKAIDLMGCVCDMYSQIACPRFALFQEYFFEDL >KN538893.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538893.1:83984:85183:-1 gene:KN538893.1_FG044 transcript:KN538893.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAIMDHPCLAELLADQTALPMFHPFSGGGTPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLTGAVVQEPLPLPEGGDHPEPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALNYNLSGPTAYTFVEHFTRYYGDGKKEELLKEAAHWFADGSLLTYGFHRYLPSVVAASSIFLARLHVLEHEPWSQDLAELTGYKAIDLMACVCNMYSQIACPRFALFQEYFFQD >KN538893.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538893.1:54170:59264:-1 gene:KN538893.1_FG045 transcript:KN538893.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSEKIQNPDLSDIEEKVYDSLKQLCNIDVVPYSLTLGYSYWSAGHVAHLNIPDDLLPYKDVIAKVIYDVRISSRVTCSIPDSSFLSTLNMIKAHVHEHEAYLQFVSECKTKNYPRIQTVVNKVGSITNEFRVPQFEILAGKNDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSENKSDSQVAANCSSEDIDPANESSTSNGNHSDVRESCHKDINDSSVISTTTKRRQESSNGGDAYCQEDASHTKKRNNKRVKGSGPPPVKPWEHFDHVVMNLPASALQFLDCFSGLVQKKYWTGSLPWIHCYCFIRSSESEELILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFQLPSACLKDETEDHIQSVDWLVMSTLLLDSNAFSVSRVWVEFM >KN538893.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538893.1:23802:28635:1 gene:KN538893.1_FG046 transcript:KN538893.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYPWRSPSNYSCRVYARALFYLFPELSNRYEEAKAKGMDNYDRELEETIERLISECERKIQRALKRLEEDDAKAAIAISVTEVTQSKEVIEFSKQIKEKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEEQAKHLLEAFNKDRVSLMTSLQTANQSIPPPPAAAPPDARTQEMIDEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQPVPDSAKMSTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIREKLSELQEEKNKRRKIDRAEHERRSRDRSLERDRASSKDRHRGDRGSSRDRDRDYDRSRRSHDRYHDRESVTTVGIGARHIVEGFSMNRIVWCFNSEVHVGKMLIS >KN538893.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538893.1:64470:66719:1 gene:KN538893.1_FG047 transcript:KN538893.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHAEWPAGKRADGLKAKPLKPLAAKQGLQEKKALRDVSNIGKPPVSTRKPLQDVSNTAKPRGRNISDGTTLKKTALRSHEATKNPVKKTVIFSDETAKCHEWAKDGVEGTHFTGNDSQKLEKDSQDKRVKKKVEKIMSALHDWPDAVFDHVLFPSEVVAAFFEEVKEMELEPEILPENNRRRSSSGDKMKLTEDPFAEDELDYYPFLENNPVEFQLRDELPLLEPGMN >KN538893.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538893.1:35925:36832:-1 gene:KN538893.1_FG049 transcript:KN538893.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRISLLAVVLAMVAAVSASTAAAQSCGCASDQCCSKWGFCGTGSDYCGTGCQAGPCDVPATNDVSVASIVTPEFFAALVAQADDGCAAKGFYTRDAFLTAAGGYPSFGRTGSVDDSKREIAAFFAHANHETIKFCYIEEIDGPSKNYCDETSTQWPCVAGKGYYGRGPLQISWNFNYGPAGQSIGFDGLGDPDAVARSPVLAFQTALWYWTNNVHDAFVSGQGFGATIRAINGALECDGKNPTAVSNRVAYYQQFCQQFGVDPGSNLTC >AMDW01040623.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040623.1:252:1774:1 gene:AMDW01040623.1_FG001 transcript:AMDW01040623.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSYQMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQLNQ >KN540918.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540918.1:35008:38551:-1 gene:KN540918.1_FG001 transcript:KN540918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATQLIEKRESIMKSIFIGNISILTLNFHCSSDNKRSGHIPYRDSKLTRILQLSMGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEITNNAKINMVVSDKQLVKHLQMELARLEAELRTPDRASLELKKQRDNAQSKLEELQKKMGDNQPAWNPFGSPQRTHSNIALQLVISITYYLCILQIEESSEARQKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSEKKLVAEIKRTAKTGNEVQADERT >KN540918.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540918.1:74:1693:-1 gene:KN540918.1_FG002 transcript:KN540918.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEAKPERATGGRSRAVPVALLLVVLCAFSFYLGGIYSTGRSLLDVNGIVVKGASSSSSASSAVAIQKDTNTKAVVVFPECPADYQDYTPCTDPKVVSINRFFQIQQAGSYLILMRFDLLQRWRKYGNYRLSFMERHCPPAVERKECLVPPPQGYKAPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKEGDKFIFPGGGTMFPNGVGAYADLMAELIPGMRDGTVRTALDTGCGVASWGGDLLGRGRGILTLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSAAFDMAHCSRCLIPWTEFGGLYLLEVHRVLRPGGFWALSGPPVNYENRWHGWNTTAAAQKADLDRLKKTLASMCFKPYSKKGDIAVWQKSTDPACYDKLTPVSSPAKCDDSVDPDAAWYVPMRSCLTSPSSTSSRYKKLALDATPKWPQRLAVAPERIATVPGSSAAAFKHDDGKWKLRTKHYKALLPALGSDKIRNVMDMNTVYGGFAASLIKDPVWVMNVVSSYGPNSLGVVFDRGLIGTNHDW >KN540793.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540793.1:15912:21720:1 gene:KN540793.1_FG001 transcript:KN540793.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFTAHRRQAELVAPARPTPHEHKALSDIDTQRGLQFYTAGVEFFRRRHHAAAVFSGGDDDNSGDPVGIIRAALAEALVSFYPLAGRLRELPVAGGGGGKLVVECTAEGVVFVEADADAELVAPARPTPHEHKALSDIDTQRGLQFYTAGVEFFRRRHHAAAVFSGGDDDNSGDPVGIIRAALAEALVSFYPLAGRLRELPVAGGGGGKLVVECTAEGVVFVEADADVRLQELGHGQPLGPPYPCVEELLCSNLVGEPDVVVGKPLLFLQVTRFRSNEGFCIGYHFCHTITDAFGMAQLLHTVYHLASGDGESLTDPPVWERDLLAVAPRRTSPRITHYEHPAYEPLPISSSAAVAQDDVVRTTPREQMVTRYFHLGPTEIATMRAHVPSSATIFELVTAALWRCRTAALGYAATQRVRILVISSARWSWKRDPPLPRGFYGNMLVPQIAEATVSEICGLPLAHAVELVRRRKFAVTDGYMWSMLDMLARRSWPLINLDWTFVVADAGGLSQSLGEAGTAIVGKWERAGGGITADGQVVAASLHSYYERCRRGEGEEAAVVSMCLPAPAMERFAREIMVCSSSVMSAI >KN540918.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540918.1:19840:23053:1 gene:KN540918.1_FG003 transcript:KN540918.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPYPFYGSLYSSVPLPSFLSPLVPLSPSGSGDDSHTVVHLHRRNIYSEVDTSGAAPEPSRRFTVDLVGPRVLYCADEAVGLLLRSGGSHHVEFKSVEGGSLLYWEGQLYPVPDSRQAIFNDAATLKLTEKTVLFRFFELVRAHIAAAADEGEEASDKKISDQDLDLPFVEYLKKHQLPPKLRAVVLYAIAMADYDQDTDCCEKLLTTREGIQTIALYSSSIGRFANAQGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPISALLMDEERKNCLGARLTSGQDILCQQLILDPSYKVPILDVPSDGSDSNLLRKVARGICIISKSVKQDSSNLLIVFPPKSLEEQQIAAIRGLQLSSNLAVCPPGLFMVYLSTPCADTSTGKQYIKKAIDALFAPQASDSLEGHLEETSENNEDLRPTVIWSCVYVQEITEGTSSSLLSCPTPDEHLDYRSILDSSKKLFADICPNEEFLPKKSAAVYADDDSDSAE >KN540918.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540918.1:6146:13961:-1 gene:KN540918.1_FG004 transcript:KN540918.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAVFNNLFEAFTFFLKFRESLCLRESLLLHYDGNNTAIAASWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >KN540918.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540918.1:4674:5015:-1 gene:KN540918.1_FG005 transcript:KN540918.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHARPWEDASMLTWLKSATDQELTDRRRRRRCGARLSTRCGGTWETFGEGTGRRSGGANGDGKIDVAEERGGKLREKRASDRDWDIASLTDLSSYKGCTSGSLNINTVNSR >KN540918.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540918.1:24723:33643:-1 gene:KN540918.1_FG006 transcript:KN540918.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRPPNCQQPRRIQGTEAGHLARNYPSSPSRVKKAVGEEAQAAQVAQKNGALESLIYAREEVDAPSPRLLAWWRWEAILEQEALLAMVLGRQPVDLPAGAGGGGGRLRDSPDQLSGGGHYPRRPHCVPTCKGQGADSTRMRFFTKLSFEGIPQHAWEEEAMAQLIRDLDGELVELVPPADSRVLTLFAWLKNPSMVPAVLEMSCVVSLSCWVGRIDSTGPWLSEQGGGRPFGGASGAASGLPGRTRSAPAVERILAALAEATNQRLEVEPAEEVQETVNGDLAAGSASAVEERAVVAPEVTRAFSILSGVSFLPQVPNISCLVFLLIQAKQAEQAEPHMVTLVHDSQSLGDEQPERSLVARSQSADQFRRADTKECRSLFRLTLEELGGCRLAGAESAATPERLLLTPGFDDDMVAETCLEANLLPHVDQTPLEGAQGRLSSEVVRPATTPAKVGRAREMQTISKILRKTSQIYEAAQETWAANVATKEAPRPRRGPCLIEELPIVFRHRPASAGQPLRISRETDNEQLQVIKFINSISATPAPSILGERPPQMAPATKERRKRNTIPDDFQPWRSQRLMNQGTGARKHVFSKHVEALVELLDVDIAHRPSVTFEMTPGLQGPALPGFSQVPIASPAWELKLKVTSVGIELLEGHSGDLRWCLHFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMTASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQELAQHCHSLYDYAPMPPVTCPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEDACKILETSLDDLVLGEVRTAVAVCKRLTNDCRYTRFFLG >KN546638.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546638.1:3:437:1 gene:KN546638.1_FG001 transcript:KN546638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAADLSSATNTLPCISYRDSIRSVAADVSGGGGLLFQSVNSASTWSSSSYASDCSGHSSGVSVAAAAGFRALTAHELREIARRMVADGYAQRMVQAFDDAATVEALEEVALERWFFELDVDWVLRIREGQGSRQLEKSAASSSSS >KN543145.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543145.1:4333:4854:1 gene:KN543145.1_FG001 transcript:KN543145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGNFSNQETLYEVLSVRKDATYDEIRAAYKSAVLNTHPDKAQMALNPLVSSSERNEFLSVQKAWEILRYPKSRAEYDKLLQSSRQNLEIVATEIEIDDMIVESTADSVELLYPCRCGDYFSITSRELCQIGISVREDGEMELHTSDSVPASVVLGCGSCSLKARLVTNKT >KN543145.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543145.1:6309:8704:1 gene:KN543145.1_FG002 transcript:KN543145.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLRSENPMRGSLRPASPHTWHSSLVRVLRGGVEKKANLSQRRRFTGKVYRFGTGLELGALWIKEQVDWEIATETLSKEYHPKLPATNHYCKSLSSLIRETYAHCHVPCARIPAAGWSSGDDSDDDDSLLDEVLDTKQVIMNEMRNRQMKKRARCSLDSPMSSPFVWSFTPLDPTSVLEKFPSPKESVTEEEEKTKGKEEEEQAATLRRACDDECEIFTVSNFSKAVIRTIWMTE >AMDW01033147.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033147.1:22:447:-1 gene:AMDW01033147.1_FG001 transcript:AMDW01033147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDRNSSMVPVDTTVHLATIVGNHDIQNSVEVKANNVATTEDPSDSVADCEVPIVRSIQQKDE >KN542599.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542599.1:2582:14029:-1 gene:KN542599.1_FG001 transcript:KN542599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RCHKRLRALPPPIPREGPDQSASPAPQGRNPSRAASQVPTIAPEGGGGDGGWGRRTEGTQAGGATATTMSAVVCGKRASSSFFEDLTHPTGGSPPAAKRTRFGGAFSPPPPPPTWPRGVTKNDLVARLSTQFPAMSLEENLVVGEDFGFGVTMTLNDLTQMIEKALDKSGNDVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQVSAEVVSDGNEIGAPSESAPCPENFPSNGSEWVELLVNEMTTASNMDDAKSRATRVLEAFEKAVVSHVNAQGPHDFQKEFSFITIISWGNTAVKQENAVLKGQMELLTRENTILKRAFAIQHERQKDYDAKNQELQDEKQRIAEFQEQVRNLELNNYRLSMLLRQAQQGSSIPGRFNPDVF >KN540947.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540947.1:13359:16245:1 gene:KN540947.1_FG001 transcript:KN540947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSKSGVKVRGWFLVSKDIFKPLCFQGILEDGLKLIEFGRYSIVKAFCIAFVLTFFSVFDVPVFWPILLFYWVVLFTVTMKRQILHMIKYRYVPFSFGKQRYNGKRVASADDLTLPKD >KN542008.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542008.1:1702:2511:-1 gene:KN542008.1_FG001 transcript:KN542008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMRLLLFVASCPTAAVLLCCPACLSRRHQAGATAQVKVNVMFSGMTQCFGENKEVWALPMYSPIISFSCINNRNPNRILWFKQLPNVQEQEGQSD >KN540601.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540601.1:6923:9350:-1 gene:KN540601.1_FG001 transcript:KN540601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTDEQIEDVLAFFGGRNDRRIAAASATATVTKVIIMEAPETGPRAAAAAAVVAAARRSTRPIVSYLRLLLNRLRGTSDEQVINRSNDAWNLYHRLTGSSSRPAIAGHGAFPTGDTLDCLLRPRYFTPRRPLFQNCSLYRVLCQVRRASPSWKELAIGLGLAAAVVGATLLVRYYGEEAKRRLVADLYTTAYLKGEFDRFECSTATTMLLYAFVFALVASLLECFITSEELGELLRCLGLNHTEAELQAMIEEAAPDGNGAIDFHEYLTIAHNWVIRDYHDAEEELIEASFPAI >AMDW01037707.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037707.1:405:531:1 gene:AMDW01037707.1_FG001 transcript:AMDW01037707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLP >KN540601.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540601.1:23083:28539:-1 gene:KN540601.1_FG002 transcript:KN540601.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAVRKLLLLTLLILALVAAAAHAARAAPGGDAVTRAARSLLSRASCCTHDGNTKFLDQLKFGELATNRTMWLIEIAEVERLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERELIVAGFFVCLDSFLSLLTIMPARIAITVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIAQAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITSFLLFVLAQNILEAEGPWFDSFLINASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLATWYVNLRLKNKQHVD >KN540601.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540601.1:13493:21246:1 gene:KN540601.1_FG003 transcript:KN540601.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDSGREFVEVKEFGVPDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKIRSLRAPNALVQTVVLRDVQKLVETENCILAVLACSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDNGSYEEAMEQFSDSHVDITYVLSLYPSLVLPQTHIIGEHDRLQDLPELARESSDVTDDMEPYSLQLHESDDKSPLEIKKMSHNALIALVKYLHKKRNGIIERATAEVTEEVVSGAVHHSSILSESYRSKKPNKMIAHPIICLISKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLEERSDYMVLLELYKSNEMHREALQLLNQLVEESKAEMGKTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSVSGINPNLQNELVQQYLSEVLDWHKILKEEGNWTEKTYSPTRKKLITTLENNSGADDVRFSPSGTDSGRSDGDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVLKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVNSVKRR >KN538720.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538720.1:85614:95246:1 gene:KN538720.1_FG030 transcript:KN538720.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEVGMLLGISDKIDSLRVRLDGLKEFLADTERRRITDLHVQGWVKELKDAMYDATDILELCQLKAMDQDSRRSNNPSLLSLRNPLNAHHIGSRIMALNQRLDGIKQRAEQFSFIKLDRYGDCSRTAQGHGLRRTTPELDRSGVVGNKIEQDTRRLVELLTREEEEASAASISSNVRVVAIVGVGGIGKTTLAQNIFNHQDIKEKFDKIIWLSINQEFSDPELVRTAITGAGGEHSGHQELSLLQPILREAISGKKIFLVMDDMWSVHAWNNSLRIPLVNSAAQGSWVLITTRDERVAREMKAIQPYHRVDILSRQDAWLLLKKQVVSTLKYEYAIEKLTSTGFGILERCGGLLVAIKAIAGLLNHKEINKIEWGKYQVLHGFVNGKSNEPEELGKEYYNELIIRNLIQAMPNSEWSMHDVGRSFCQHLAKDEALSSQMGQLRVSDILKWREYEIVSTLKDEYAIEKLKSTGFRILERCGGLPLAIKAIAGLLNHKEINEIEWGKVLRSPSWLVDGMPEEINHAIYLSYDDLDPHLKQCLLYCSLFPKYGKPNKHLIVEVWISEGFVNGKSNEPEELGKEYYNELVIRNLLQTMPDDDNNWTMHDVVRSFCRHVAKDEALPFHMEHLRVTDLDSNRYRWLCIQNELDWSAWQEQNSVRTLFFYGSTHIKLKANDLCSKFSNLRVLSIVYAQLATFDSLCQLKNLRHLYFSRTDIRSLPDGIGKMKFLEYIGITCCEQIQQLPGSIIKLERLRSLNLMGTNIKSIPRGFGRLTSLRTLYSFPAQMGSSSSKDEWCSLEELGPLSQLRDLHIKGLENVSASSSAAKAMLGAKKHLAIWWLECTDRQRDDGFLKEEGIISIDEQRRIKEVFDELCPSYCLEQLYIRGYFGRQLPKWITSKETVRLDRLTSLKLDGLPCCTKLPDGLCQLSGLKLLQIRRAPAILRIGHEFLKIQQHNGDCHPSRAAVAFPILEKLEFIGVFEWKEWVWENHIQAMPLLDELILDRCKLRQLPLGLASHARALRVLKLFTVHNLKSLQNLPSVVELHLYENPDMESIRGVPKLQVLSINYCPKLKLLEGIPALQKLYLLDFSMKTLPGYLQDVKPKSLVLDCSLPLLGNLSMGASCSDWDKISHIQQISGYAGEMRIRRRWSSSGLCITYICMFTRSLRRRKPFISSSVWIFLINLSCIAGIIDGLLKDKERRTKELRMVKLLCALLAVVGFVSILPHCYGLLL >KN538720.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538720.1:55012:57685:-1 gene:KN538720.1_FG033 transcript:KN538720.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSAIRARKMLERFEGFDGIQRLVDVGGGDGSTLGMITSRYKHIRGINYDLPHVISQAPSLPGVEHIAGDMYESVPNGDAILLQWMLLMFSDEDCIKILKNCHQVLPEGGKVIIVEGCCHMCLPATPPQCPSSRHRPGEEESRGAGKGGGEKGPAPGRHGGSRGSNDKVKDDIGGRRCQRRWRELEGRGVVHLLPPSRARAPIHAGKRCLGARSRSSGGGSSICPARRRSTPSPDPLGVAQLDATLLIAGLLDSLLTASTTTAWPLPPPRQLPPPPHLTSGDLIADPDAGDAEKE >KN538720.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538720.1:46580:48046:1 gene:KN538720.1_FG035 transcript:KN538720.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWFLGISLAVLLLCYVGTNRRGDGQRPPGPRTLPIVGNLLDLRGGNLHHKLASLAHAHGPVMTLKLGLVTTVFISSRDAAWEAFAKHDRRLAARTVPDTRRALAHAERSMVWLPSYDPLWKTLRSIAVTHVFSPRCLGVARSARERKVHDMVDSFRRRAGQEVDIGQVLYHGMFDLLANVLLSVDAHPKLRDLMEDIVAILAKPNASDFFPLLRPLDLQGIRHWTAIHMSRVLHILDSIIDCRLAQGTDDQCKDVLDSLLVLMSTGKLSRRDVKILLFDILAAGTETTKITVEWAMAELLRNPNVMATTRAEMKAALGGNGTITEADVVNLPYLQAAVKESMRLHPVAPLLLPHLVVEDGVRIGGYAVSKGTTVIFNSWAIMRDSTAWERPDDFLPDRFLGKTELDLWGKQAKFIPLGSGRRLCPALPMVELVVPFTVASLLHAFEWHLPKGMSAEEVDVTERYTSNDILVMATPLKAVPVVVT >KN538720.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538720.1:107009:110692:-1 gene:KN538720.1_FG036 transcript:KN538720.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDVVLSSVGPAVADEVARFLGVPKEVQFIRNELEMMQAFIKTASSTLHPDAAASAAAAGDDDILRTWVKQVRDLAYDIEDCLLDFALYAARISSLPTGISWLRPGSLAARRRIADRIRELKASVEELNHLRLRYNIVVVDDHHHHHPSRTYHERVVAMLPGGHGSSSDSDELAFQESEIIGRADEKEQLKDLIISRCSSGSPSPSVVAVWGMGGMGKSSLVRMVHNNPAVLDVFDCSAWVTEYLREKRYIIMVDDLLSQEEWDQIWQVLKPLNMNNKGSVVIVTTRRKDVAGHCTGLAPAMQGHVYELKRLDEGQSKDLLCRKVYKTPNYTLPEDMEPHISRILKGCWGLPLAISTIGGLLANRPKTGMEWKELHEHLGVELESDQLQDITKVLVSSYHGLPYHLKPIFLYLSIFPENNEIRRTRLLRRWIAEGYIAKNRDMPVEVVGERFFNELINRSMIQSSKVSHGLKVDRCRVHGMMLHIILSKSIDENQLFVIKKHCNEVPQSKIRHLVVNRWKKRDEKLENINLSLIRSLTVFGECPASLITPEMRMLRVLDLEDTANLKNEDLKHIGKLRHLRYLGLRGTDISKLPSSLQNLLYLETLDIQDTQVTQLPDGIAKLEKLRYLLAGVNFSRDLLQKMPQFGMENHNSNLLGNLASCLYYHNARSCEISSMDQLSVMVPEGIEKLRNLHMLSVVNVRKSKDVAGKLERLTNLQRLGVTGLGEEEGKKLWNSIKNLNRLQRLEVLSKSLSFLVNNSDIDAAPPKYLVSLRLCGLLNKLPIWIKSLNDLTKVKLLGTQLEQDEIHLLKDLRNLASLGLWEKSYIGNSLIFNDGTFQKIRFLDIDGLEIIETVNIKKGAMPELQQLWVNRCQKLSDDDNGLSGVLHLQNLNELVLKKCGPKEKLVQLLQSQLSTHVKRPKFLVGKSIPQTSSEASTSTASQTG >AMDW01084972.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084972.1:99:390:-1 gene:AMDW01084972.1_FG001 transcript:AMDW01084972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTAPETDPNKTVVEEVTGWLRLYSDGTVERLTPPGAEPFTAIVPPYTEPRNGVTVHDVTTARGVDVRLYLPAEPPAAAHRPRRRRPLLLHLHG >KN539999.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539999.1:41613:61693:1 gene:KN539999.1_FG001 transcript:KN539999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAVLRLTVMYVGPTLIQSFVDFTSESPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALGGVVAVMMFVVAGARRNNRYQFRLMTERDKRMKATNEMLNYMRVIKFQAWEEHFSARIEAFRRGEFGWLTRFMYSISGNIIALWSAPIAIAALVFATSVLLGVRLDAGLVFTATSFFKILQEPMRNFPQSIIQVSQAMVSLGRLDSYMTSAELDEGAVERGPAVGAGTTAVRVTVRGSMAYVPQTAWIQNGTIEENILFGRGMQRERYREAIRVCSLDKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDADVYLLDDVFSAVDAHTGSDIFRDCVRGALRDKTVLLVTHQLDFLRNAHAIYVMRDGAVAQSGRYHDLLRTGTDFAALVAAHESSMELERESAPSNGDIKTAKASSRLIKAEERASGHVSFTVYKQYMTEAWGWWGLMLVLAVSVAWQGSTMAADYWLAYQTSGDAFRPALFIKVYAIIAAVSVVIVTVRSLLVATIGLDTANIFFRQVLSTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVVPLLMLNLWFRKYYISTSRELTRLESITKAPVIHHFSETVQGVMVIRCFQKQDNFFHENLSRLNASLKMDFHNNAANEWLGLRLELIGSLVLCVTALLMVTLPSNIVLPEYVGLSLSYGLSLNSVMFWAIWLSCNIENKMVSVERIKQFTNIPSEAEWRIKETAPSANWPHKGDIDIIDLKFRYRHNTPLVLKGITLSIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQLYSDDEIWQALERCQLKDAVTSKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSQTDAVIQKIIREEFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDSPANLIERPSLFGALVQEYATRSSDI >AMDW01019570.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019570.1:8:286:-1 gene:AMDW01019570.1_FG001 transcript:AMDW01019570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ETKACFVALALALAYFLTASRHRLWSSSHLIKGFLFAVTQPVTRFLVSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLA >AMDW01016564.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016564.1:79:264:-1 gene:AMDW01016564.1_FG001 transcript:AMDW01016564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KAGASHGRSRWGAVKELVADDEEQDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNK >AMDW01038324.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038324.1:72:586:1 gene:AMDW01038324.1_FG001 transcript:AMDW01038324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SIIARNTRNQNLRKTQHLAGSKPFSQLSYEKRNPETREEPTDLELWCMMHTKDGTWTNQASKDIYDTGSTKISERESNASDDNIVTNLERNLIFQSAYQQVTESKKTKLH >AMDW01038845.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038845.1:72:778:-1 gene:AMDW01038845.1_FG001 transcript:AMDW01038845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRLASVFPYRYWATTLTCLSGSLQAFVIGILISPTKSAWTLKWDLQLLTVVYSGVFNTGISFVLMSLAVKHRGPIYPSMFNSLSLIVMVIMDSVLLGTSIFLGSILGTAFIIVGLNAFLWGKGKELKQAVAQHTSHKQNTDHNEQGGDEIA >AMDW01037862.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037862.1:105:478:-1 gene:AMDW01037862.1_FG001 transcript:AMDW01037862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ECNLEEIEEEELSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKSHSAVILKAETIADKVEWMKKIRGVIQSKGGSVKGLNTPEGGSMRQSHSDGSL >KN544357.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544357.1:359:1264:-1 gene:KN544357.1_FG001 transcript:KN544357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHTENRMRGFLAAAPLVFGILLNLDTAASAWEDKDFFSYCPPSRCSEHGPEVRFPFQLESNNTTQSSCGLPFMKLSCSGQDTILDNKYLGRPYKVTAIAIDYKHPVLTAVPLADEGNSSSPCPLLKSISIRPSLKTDNGYHYIMYQNPCETYDEHYYAALVSCSTEFAPASIPDATDDIVGPISCLSNQTHLSYLVEVHASMSLITLDCEVMSDGPIPIPVFHYSIYDSPTFRGSAERILNFSDTTIRWYNYECSYRAECEQQGGRCAFSSQRNQTFCMRRGIISKPLYNRQYHPRLSSVQ >KN544357.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544357.1:2347:3366:1 gene:KN544357.1_FG002 transcript:KN544357.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLVSSLLAVVAAVVVGAGPNPQYCPPSSCGHLRNISYPFRLQELQHSCRYLAMIPFDSWHISDISSQLQNASYTDIIGFIRKGFRVRFPIGPYQPVHMNATECLKDSKRYFKQHTSHARIQDLTRALFWSETYTEVECGVKGASKKDMIFLGIIVSAIDITKLHF >AMDW01013054.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013054.1:106:231:-1 gene:AMDW01013054.1_FG001 transcript:AMDW01013054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPD >AMDW01040353.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040353.1:357:1319:1 gene:AMDW01040353.1_FG001 transcript:AMDW01040353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TDPNYRRIAVSCFVQAAYLLELDRQEKRNGESALAPNWWKPFKYKLVRPLIDSRDGSIYGVLLEWDQLAALSDLIVLRPNGAPKVVLAIRGTVLKQSTVVRDLEDDFRFFTMESLRGSVRFTGALEALKSAIDKHGSNNVCIAGHSLGAGFALQVGKTLAQDGIFVECHLFNPPSVSLGMGLRKLQEKAGKVLKRYVSGSSSNATELSHPTEDGGAASEIGEEKLIKEVKRWVPNLYINSCDYICCFYADRSGVATVTAEKHEGHSGTHSKLFVIAKGPKKFLEAHGLQQWWSDDYELQLAVHDSKLMYRHLKSLYVNES >AMDW01032265.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032265.1:36:408:-1 gene:AMDW01032265.1_FG001 transcript:AMDW01032265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TIPAKFARNFGGQMSGTVKLETRNGKTYEVQVAKELNNLVLRSGWERFASAYELVKGDILVFIQSGNSHFKVWIYDPSACEKELPCIITEQLPRVQQRSISHDNHTQLKRNAKSAKLYVDSSGH >AMDW01037646.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037646.1:399:728:-1 gene:AMDW01037646.1_FG001 transcript:AMDW01037646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GPTLIQSFVDFTSESPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQ >AMDW01007456.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007456.1:10:81:-1 gene:AMDW01007456.1_FG001 transcript:AMDW01007456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DELLSDSFPYREIENGILWEVDGK >AMDW01032567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032567.1:52:447:-1 gene:AMDW01032567.1_FG001 transcript:AMDW01032567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITGALPEFGGGASLRWLDVSGNAIGGQIPSSVWRLVGLQRLDISRNKIRGTIPASMAAMASLRWLDISGNALVGRIPDSFARMARLRHASFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRQI >KN541468.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541468.1:2740:5184:1 gene:KN541468.1_FG001 transcript:KN541468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLDVGFYSKTCPKVEEIVREEMIRILAVAPTLAGPLLRLHFHDCFVRGCDGSVLIDSTASNTAEKDAPPNQTLRGVCNAVSCVSAVALFCYRRRRSGDELRGVRRWERGWGSEKKTPNPFGINAAQTTHTVCHPWAEEGGTVGLEICQSSPYGPALLSLSRAQTRPVMPIWPMKAHW >KN541468.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541468.1:19089:21434:1 gene:KN541468.1_FG002 transcript:KN541468.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSLMLVAPTVFVAWELISWWLTIADFPVDEIVGDLSIVVMFYVFCFLLLLIEEESHFYTIIAVFLVVAALPLFFSIVFGDVAAMVVSWIGVLALTVLLGYRLRLYSSHQQHKVMMMTRSDDKLNDQKQELAKSRIHQLMIIMNRWTHRRCHRHQQLVPHHTFTQRSLSSPESERRGDGCTTPELCVIEV >KN541468.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541468.1:6395:12706:-1 gene:KN541468.1_FG003 transcript:KN541468.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAVVTAIVNLASKIDKAEQRTHRNKKRCHELAQRVKQVAQIVKGGHEQQVAAKDDDNPTLQARTEILNRLKKALDQALQLVKSCRRNGGVLSLLSKILGSDELEANFQDVNSRINDCEHDLNTATGVIMEKKINQILAHNHRRENKPREINAVKNSEKVGCSNHRHKEKKNDHRRHKDNREHNDKDKDHHRHKDDKTNYHGHKDNHVHKEDDKGHHKHKDVKKDHHGHKDNHGHKNEGKDHHEHKVNENDHRNHNNHGNRDDRKDNHRYKDKENYCRHKNEETNHQWHKNEEKDKCRYNDERKDHHRHKDHQGHKDERKNQHRHKDNINNHQKHKDNGKDHHRHTDERKDNHRHKDGRQDHHKACFAVDKNWDATLAWASDGRMLKSGADAAATRDMLGSLNEALDEAHKLVESCCGDGQMYRLVGSRAVDAKLDSVNNKISNCLTDLIAIFVVVDRNHHRASNSNNSARLKQTKINTAGNIFDHHTASYHGRRLNSRSLRVMNPALSHHQLANNSAWGKQSEINTGGIRTASDRGSSNYSVRVSYTCKNGNKSVQQNGVNSSNSMRSEKASTAATARPPLQGSYSDAGVAGYPNGQGYALYQYSIEDDPTSCAVM >KN540464.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540464.1:15098:19429:1 gene:KN540464.1_FG001 transcript:KN540464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRIPIPLADVRNSLLREPKHGTSVQINYGSGFEEKNKGSEIKKQTGNLDKTVEELSNVYRGAQETNRKLYQELFPIQIMQMCGWLLKVVRWENLNCVHMEAHGNRRSSPTYLVMLWIISVASLLITCRGSIQKQVLFPGFTAAQMDYIDNDGIFLLSNGSVFGFGFVTSNVSDNTFYILAVVHMATTTTVWSANPNSPVTHSDDFFFDKDGNAFLQSGGGSNVWAANISGKGTATSMQLLDSGNLVVLGKDASSPLWQSFSQPTDTLLSGQNFIEGMTLMSKSNTVQNMTYTLQIKSGNMMLYAGFETPQPYWSAQQDSRIIVNKNGDSIYSANLSSASWSFYDQSGSLLSQLVIAQENANATLSAVLGSDGLIAFYMLQGGNGKSVLIYIGFWIYKRKRHPPPSQDDAGSSEDDGFLQTISGAPVRFTYRELQDATSNFCNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEWIFHSKEDDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIVHCDIKPENVLLDDNFIAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPSEISEKAHFPSFAFKKLEEGDLQDIFDAKLKYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCEVLQPPVSSQIGYRLYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >KN540464.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540464.1:38025:42659:-1 gene:KN540464.1_FG002 transcript:KN540464.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYHCYSLHQSTKRKVEEEDLQGERRPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAEAAGVAATAPPSQCQAPVHRKLASGGGGGGGGAVTGEVYETELVDGGAGFADGEAARAFFARLDEQLNKMRYKFGGDQSLRGTSEQEQEGQEKLTKDMIARSPDEGDDDQLTIPQELGDSGRLGRPREEAANTKPRMMLPGGRAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSTNGSKCGDKLSVNKRKVHQAEKMIRGALVELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >KN540464.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540464.1:31894:33723:1 gene:KN540464.1_FG003 transcript:KN540464.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNVVSWSVLIAASSRLGVLGDALSLFAGMLRGRERDRPNSFTVAALVAGCARAKDAVAGEQVHASAVKLGVDEDESVAGTLVDMYAKCGRVGSSWRAFVLTPQRSVLSWTSMIACLVNHGDSGYRDTAIVLFKKMLVLKVWPTNATFSCILKVFDVPELLPSGKQVHGCLVKIGTEVDPALGTALLAMYGRCGGMDEITRLACRIRHDSFSRTSLLVAYARNGCNMEAVRVFRDMLMGHMPIDQSAITSLLQVCSSLGQLRVVKEIHCYALKNFFRLDTLLLNAIITVYGKCGDITSAEVVFNTLENKDTISWTALLTCYVQNDLSQEALFFFREMVRKGLESSIFCITSVLRACSAISSLSCGWQIHSRVVKLGVDDDTSVENALVTMYAKCGVVQVALKIFNSIRNRGIISWNALITSFSQHGNEVAAIQLFDMMQEEMVCPDDYTFVGLLSSCSRMGLVAEGCEYFKQMKSKYNLEPKMEHYTCMVDLFARAGRFSDAMKFIDAMPCQPDQLVWEALLASCRVHGNLDLGRMAAKKILEIKPEDPSPYIILSSIHASIDMWDEKARNRTLLGFQQLRKDVGSSQLDSQGFSDDIFNTLQVGVT >KN540464.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540464.1:20810:22562:-1 gene:KN540464.1_FG004 transcript:KN540464.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLCFVKKVKARDYVLYLSLLDVLSRNEQIPLEAYSELSLLFRDHDDLLEELAKFRPLPTPSTVYSHSSVWLLFFLMPLLVLSILLKCFLLQQPVAS >AMDW01018089.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018089.1:48:238:1 gene:AMDW01018089.1_FG001 transcript:AMDW01018089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFA >AMDW01018533.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018533.1:89:280:-1 gene:AMDW01018533.1_FG001 transcript:AMDW01018533.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KPAAARVYRALSPPFYFIYTVVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIVVVGTAIT >KN541265.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541265.1:6446:7342:-1 gene:KN541265.1_FG001 transcript:KN541265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGEPSLCAATAAVSGNDAAAIAVVRSARFRKERARASDATRAAERKKRRALHQAARTLAPAHKQAATPIPATTRRPSAVVATSTPTAPSRTTAPSLATILVPATTSAPKLATASSPTKVPTLSVNPILSIIGIPSPTTTSSLATTTTPTAVLFPRSTLSSASTPSFTTTSSPVTAPKSSTKYTVATEIVLSPVFPPSCQTTSPCTGGMPIFANRNVAFKKEGSSICAATHAAERKKRIVLQRTFVLPNQATTPNPATMPAAVNRADVISLPNTPSPDVMPTIAATGQPNTANSTT >KN541265.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541265.1:21086:27462:-1 gene:KN541265.1_FG002 transcript:KN541265.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESPPWAELVPDIVREIGRHLLCEIDRHHADGLCRSWRDALLQLRPPPPPLPRLVLPEADGPAFYCVPSGCRPHPFVLPPAALRERCFGSYDGAWIFQAVDQAANHVLLNLITHQQLNLPNLLRFHSFMLPALTFDFEMTRNIGGALELDVVDLLYSSHGAGAFLFLTRREDIHVFRQPIFPQGDVMQSTPLYFERRGDDDDDDGRPVLDRYLVESRGKLLMVVRLGDREPGRLPTTTFRVFEREDELFNNYWTKLPDLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFHDPMVVYKDAAQRRYPCSDNGRWSGAPPPAEVERCFPEQGAGICDALRDRVCKLLGVAAAINLYSSACTHKNQTDTRFKGNDQIKHQEKSRLVEKYMELYQSAVQPHVYTNHEEVATLDFSRNIGPFVLPAEFYAKEVDEHLDDGARNRDDKAKILESHQRTNQDIIQVKKSECFSNTLDKEIDWGD >KN540975.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540975.1:24567:27870:-1 gene:KN540975.1_FG001 transcript:KN540975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWRVAAAQAQQAPKTDPVEAAALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTNPFIKCDCSFSNNTLCHITKLALASNSLSGQLPKELGNLTNFLSLGINLNNFTGVLPEELGNMTKLQQLRASDNGFIGKIPHYFGSMTNLEDIMSFSGFEGNSFEGPIPENLSNLTRLENLILRNCKISGDLRALNFSKFRTLISLFIGTIYVRMHDSKSFSHRAMYVKNNNQRSSIAQIF >KN540269.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540269.1:190:3924:-1 gene:KN540269.1_FG001 transcript:KN540269.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAAKLLYRVNQCEVDRIHSALIPLMRALIKKELLDHTDPRVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIRDMFHHFFRAVSNTHQEHVISYMETIMKFVIEDITDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERIIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNSVGAFMHDMKEVVSPKSSTMMGKTIGQPADSGDELKPEIVQGTKEAPNLNKKALDGRIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKRKFIAEGLY >KN540269.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540269.1:21685:23034:-1 gene:KN540269.1_FG002 transcript:KN540269.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKDLMTEKAEALMEKMKELNFAFTAMCYNSLMTLYTKVNQHEKVPSVIQDMKADDVLPDIYTYNVWMRALAARVDIKGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLFEKAEAALKELEKRNTSNDLEAYQFLITLYARTQNLVEVHRVWRSLKRNQPRRANMSYLNMIQALANLKDLPGAEACFKEWEAQYINPPKTNTKVPGTAETSSNESDVKATKDKGTDGTELKHPKYDIRVANAMIRAYVTEGMFDKAVAVKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKMVHWCADRAIKKGHSAGRIWVPPHEVTEILMDYFEKNKDVDGAEKFVEVLKKVQKDLGTVVFEPLVRTYAAAGKKLPGMRHRLKIENVEVSEETAKLLDSVCIDQ >KN540269.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540269.1:16674:20499:1 gene:KN540269.1_FG003 transcript:KN540269.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARCISFLSTSSSLPCATKLPCCSVSSVLPSSPSSHQCRGRKRSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVCVQRHLNNNQILHSCFPLQKDFFHGLEKIVVCLLCSDPDDRFAPMPVLARMQANLIDQLLSRRTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKCLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYRFSPEFPVIIHNELMFLLLPSCSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLQ >KN540269.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540269.1:12058:12861:1 gene:KN540269.1_FG004 transcript:KN540269.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTMGPSNSSEDTKESMEHLKGKQDSFVVTTKNDHVDHSVLESSSMLNLGKQDEPDKVEILAKATKDVPEELETIETKSMNSVKNTSKNVGKRTRQDNGGSKIKGHYYRNLVNEIL >KN540269.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540269.1:48853:49230:-1 gene:KN540269.1_FG005 transcript:KN540269.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIAGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVAAAKPGGRSVHNIDRLIDEVLMA >KN539645.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539645.1:72555:76718:-1 gene:KN539645.1_FG001 transcript:KN539645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLELLRRRSSPHRCPFSSSLDGGEELCILYDHLQHSEDHAEIKSLPSHPHSHLKMVYITGFYGQKDQLELALYILRNSDRLKSMKINPKPIIDEYLRERTSVNMCSVRRDFHQRLRAISNADAVLALLRRYGFTDADISATVRAFSRILASDPARTLQPKLDYLRSVGITAPLLPRVVSLSPVILHRSIESHLAPLIASLREVLGSDSRIVTALRQMPFAMRCSPKATFLRTLPVLRDVHGLTPSELSKLVASQPGVILLGPGRAGEIVQAVKDAGVEPGSPMFVYIFAAFSKLKAPTLENKFAIYRSLGFGKDDIAVMLRRLPNAAGISEERLKRTVGFLTAKAGLRREDIVAYPNLLSRSLDSHARRCAVLAVLRREGKPEGQHRVPHALVASLARFMKAYVRRYEGEVPDVLRAINGEIPFEGFGLGELEKKKPQRQEKIRR >KN539645.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539645.1:9907:12671:1 gene:KN539645.1_FG002 transcript:KN539645.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERWNFWGGVGSTAMEFGRERNSVRIAACRCVGFLRRIKGEVALIRSKCSMTDHYYAPLRLILLLILSATCFGSELDVQCLKTIFQSVTDPNGILKSSWSFVNNGTPGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPQGLQNCTSMTGLDLSSNNFTGPIPQDISRQIPYLTSLDLSYNRFSGQIPENISNMTYLNTLNLQHNQFTGQIPLQFNLLGRLTSFNVAENRLSGPIPNNLNKFPSSNFAGNQGLCGLPLDGCQASAKSKNNAAIIGAVVGVVVVVIIGVIIVFFCLRKLPAKKPKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFCKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSETQFTSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEEGKDCKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEAYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELITGERPTHVSTAPENFRGSLVEWITYLSNNALLQDAVDKSLIGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSAEDDLMMLPPLSTDGETLDELIVAQ >KN539645.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539645.1:46002:49267:1 gene:KN539645.1_FG003 transcript:KN539645.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLGRWRELLRGATGSAGICDAMENGIIEEEEEQEAAPAEEDEEQELDPEAVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKILVDEWVSTTNVALTDNSPGSSKTSVVDDADDEGLPSPPLDEGAFFATQTTAIQLSEFFDEMDEDGNLRHNSDGSLGNKRENNGRRPTSHPALTKQEPPRNMGAVEKVQSRRPELARQEPSMRQANPQTPQNSSLQVKQQAMLHKQSKPLSSDSGPGRPLRAAPQQKPFVEMKSRLAREQVAVERKPAASHVDTFPWRFCLAIEKEDWNEGESDWEICVWALSGFGGERGFHRAE >KN539645.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539645.1:29485:33276:-1 gene:KN539645.1_FG004 transcript:KN539645.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVADRGPVGGAARRHTSRQQRRPLTSRKTRHHHHQSTTVRPQPPPRFASLSDGGPADRPDGGGGGGRLAGVPGHQDSGRQGQVLRLRQAAGLTKPGSGEPSSTLLTSDGPVTGSILEDGEVFGRDKEREQLVSWLIGSTPEAEGEDRSAAAADTIPVAAILGLGGIGKTTLARVLCHDHEVKGAFDLIMWVCPAGNYSKLDLAKQILQSAELPDDTNSFDRLQWRLKEAVSSRRFLLILDNVWNKDEDENSYRDMWADVLAPLRFGRAGSKIVVTTRKRIVADLLNASKFVWLNGLEFTDVWLLFKKFAFDNNDVDRHPELKEIGEQIAVKLKGLPLAAKVVGGMLKRKPSLTEWKRILKMEIYDNVSSTLELCYQNLQEHLQPCFAICSIFPKNWRFKRDKLIKIWMALGFIQFRPDDTKNQLQEDVGKEYFNQLVAQSFFHERKEGRRTYYCIHDLMHDLADNVSRIDCARVESVEFEKKDIRIPDTVRHLSVTSDAVMQLKGRAELKRLRTFIILKHSSSSIVPLPDDVLKELKGLRVLGLDGCDMVELSDKVGQLIHLRYLSLCKTITKLPKSVTKLFLLETLYIPKRCQLEEFPKDMWKLKYLRHLDINRTNTSKIVGIGKMIHLQGSIEFHVKKEKGHTLEDLNDMNDLRRKLHIKNLDVVASKEEASKAGLSKKQSIKVLELEWNSPGKSVPSVDAEVLEGLKPHPDVEEIHIRRYHGNTSPCWLDRKDITFLKYLHLTNCRKWAVLPPLGQLPFLKVLHLKEMCSLKQIGSEFYGTNPTAFPYLEDLEFDDMPKWVEWTKEEEKYDSVFPRLRKLKLLSCPDLIKVPPFPQSVRKVSIENTGFVSHLKLSSSSSSKANKVKLETCSAAVLTNGLFHQQQVQEIVDLTLRHCQDVKFEELHALTSLKRLQISYLEITDEQLGTCLQGLQSLTLLDIVHCSKITTLPQIENLSNLTKFHELNIRQCPQLSSLHALPSFATLETVLIENCSRVTVESFPANFNSLTSLRKLSIMNCTGLESLPSGFPSSLQVVHLIGCKPTLLSQLQNKDGPEWDKIASIPMKLIH >KN539645.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539645.1:78801:80593:1 gene:KN539645.1_FG005 transcript:KN539645.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENGGEAPAPEPELKLFGSWASSYTHRVQLALRLKALEFVYAEEDLGNKSEALLRLNPVHKKVPVLVHRGRPLAESVIILQYLDDAWPESRPLLPSDPFDRALARFWCHFADDKLGPAVGAVFASTGKEQEAAVQQVHDNLALLEAELREGAFKGRRFFGGDQVGLLDVVLGCGSYWLAVFEECVRLSWSLLPLQ >KN539645.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539645.1:20958:27466:1 gene:KN539645.1_FG006 transcript:KN539645.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGCGGVARGGSARDVHSSKDCDMEYDDSSSRQSGEPLWEHAEGVERSVDYSDGLPPTSKAMFQSNKRKSKAADEDLHMKLRTRLDAEVARMFYSSGLSLKAATDPFFKSAFSRATSMPGFAAEEDEHQDDQPSLVTQMFFSIGLSPTITRNPYYRSSFAMAALFQIPGYVPPGVDQLKTTLLQKERADIENMLQTIKNTWRKAESMFWDIGGNADILASLVPADLLEFADFSLDEPEFELAILEGLEGSGNGIMQSYVILRLCYYICKSVETGQFAFYARVIVWRIDRIHDVSERLVDAAAEEEAGEAGRGVRSPNRVTGSVLTEGKVFGREKECGEIISRLVDCPENACSSALPVVAIVGHGGMGKTTVAQFVYNDERIEQQFDIKAWVCVWDRSDAAEITREILQSIGGPDDTLYGDNSAKLDILQARLEVLVRSKRFLLVLDDVWNDEGKTELDNKDLWRKVLAPLRSAGRGSKILVTTRMKLVARYLNSTHVVSLDVLGSSGCWLLLKEAALGGESKDIPPDLQEIGRTIAAKAKGSPLVSKALGQMLRNTRSTWRWRALLDAEISHNIIISSLQHSYQHLPGHLQRCFAYCSIFPRGCRFQRDKLVKMWVALGFIQISRKEGKKEFDLAQEYFQDLLSRSFFSTASKDNQTFYFLDNLMHDLAQHASVHDCIKIDEGMPSVIPPTVRHLSVSTDYFPQLKNKCRLESLRTLFVLRSSSLPLSHLPSKFLSEFKNLRVLDLSESDILELPETISQLFHLHYLALCRMPSKLPKCINRLIQNVVLDMPLLLFPDSHAREIENNKGVQLVYEGCA >KN539645.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539645.1:56939:65630:-1 gene:KN539645.1_FG007 transcript:KN539645.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCILVDEQDNVIGHDSKYNCHLMEKINSGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEDKSLGVRNAAQRKLFDELGIQAEELPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVNLSPNPEEVADVKYVNRDELKELLKKADAGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLEEAIDMKTIHKL >KN539412.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539412.1:69146:69576:-1 gene:KN539412.1_FG001 transcript:KN539412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSEGMNPSGEKRLLPRAESDGDGTKAAGPSQRNDVLRPGADAAEEGVAGDDAAPSLADGGGAGEGGGRVEAQEDELQEVVADKVQKVGVVVVIHGGLVHSDLAGDELNLEIVKFVFFHHIYPLVVARE >KN539412.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539412.1:46007:52077:-1 gene:KN539412.1_FG002 transcript:KN539412.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLHDDLEFVSGGGDDDDYYLEFDHDSGHGFHISAGAAASQRNKQMDDTSALDYKEGKDMQGIPWERLNYSRNQYREMRLRQYKNYENLTMPRDGLEKECKQAEREDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAGQLAPSQISTMAVKGNLMVAGGFQGELICKYLYLAHSFTLCQYVDKPGVAFCTNLTGNNNSITNAVDIYQAPNGGTRITTANNDCVVRTFDTERFSLISHFAFPWSVNNTSVSPDGKLLAVLGDSSDCLIADSQSGKEMARLKGHLDYSFSSAWHPDGRVLATGNQDRTCRVWDVRNLSRSVAVLEGRIGAVRGLRYSPDGRFLAVAEPADFVHVYDAAAVSVDCVLMETGLFMPRF >KN539412.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539412.1:79722:80522:-1 gene:KN539412.1_FG003 transcript:KN539412.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARSAAVACLLVVLGLAAVAGVDGATASSPAPAPAPALVDCTAEALKMADCLGYVTPGKSALSRPSKACCGEVHINGINGGRHSVPKSIPSGENKIICQQGG >KN539412.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539412.1:101620:102852:1 gene:KN539412.1_FG004 transcript:KN539412.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQAARHRSQKRFFRFHCHSKKRTAASPRKKKALSRGMQEEETAPPVVVDAGLRRRKAQPSSSPSAMLKLLREPAVLLGEGTMGSTYGLRRGRPVLKRIKQGVRWDVDRAEFEQRVTTTAIAAVQDDEHVVPLRGHHYSEEEHEALLLYDGFRRGSLWERLHGKIKNSVNPNPLRSLLIILNLTMVAWKTRLLLPPASAGLGDALVHRPHGGARRGVYPPRRPIELPRQHQVLQHPPRRRLQGARVGARPLSSRHRATQLTLIPAVPGDDNSPRLPCAPEVKDIGGISQKADVYSFGVVLLELLTGKDPASVATEIMDLPRWVRSFAIAEWTGTVLDAALVENLRRGSGDEDAMLDLLKIALDCCITEADLRLDMDKVERLIVEIRRP >KN539412.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539412.1:40622:43489:1 gene:KN539412.1_FG005 transcript:KN539412.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLCIYAFPTNIAALQDLVGGHGDGHAAVVQVGIQGTLFYLAAFSYAPYVAHTTIIYRQVCCAKWKVKLLYMQARTDKPENFCALCSDNAGAGPRLLAAAVHLHFRWWKLLVVCERSFSSRGLNETLNGLAGDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKTSMLLQDVSPSLLQFLREHQPQWADSNLDAFFASAMKPNLCNLPMSRLGGFSRQVILPLAHTFEPAEPSTVSVPGSYQARKHQKLPGYTGAP >KN539412.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539412.1:89537:92495:1 gene:KN539412.1_FG006 transcript:KN539412.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTELTAGGGGGGIMGKEGGGGADGVDLRSSCMDGRHQSNGRRWLEEGKHLVEERGDEIDKRQAKKARKTSADLLLLDRIGYPDESQDDGGSTWTAPMVTSCAVHFQLSLRPKEPPGVSRMLFKAVVPADILTSYDPNNTANPFIRPSARFVLIVISSNDKAILLQALCAGGIDYFVMDHLDHSPPAMTRLPEMPPCMWGLRNMGLMRRDGSCSYVVAGLERGRSEEGWHVFFLSTSTNIWRRKLVCLAPDHELRDYHWEVSSILTYREDDIEATTQQPLDLDFTLLPNVTMEEAKEARLSEYPLQRDRCVGVSSNGLRYVEVRAHRHRRPSKSPVAPPPLCDDCRAGSITSWVLYDHSGAWAEERTLKLADVWRDESYRSTGLPKGVVEFPLIDPFDGNIVYFSINEGKVGDGREFCVHLGTKQIKACSSSYKGLNDGALEPVFTASLKSTRNYDVCFDD >KN539412.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539412.1:32627:32845:1 gene:KN539412.1_FG007 transcript:KN539412.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSELPSYRVRDLMHVGRDGHELMCELLARAVAAVKLSSGLILNTFDALEAHELAKLWRDLSVPVFDIGSL >KN539412.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539412.1:59067:60133:1 gene:KN539412.1_FG008 transcript:KN539412.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVYLEANIPKRTGCFPLGFPPPQSETASRLGLFSRPGDTRQPSYGDRLMQSQQLSQDYRARTQANNAPRFGDTMSRIAGGENSSYFGTPSRIFDEHKQSLVKGKRDFVHVLLKRNKTFVTVTDVRGNKKTGASAGCLEDRKGRSRLSKYAAEATAEHVGRAARKMGLKSVVMKVKGTTFFNKKKKVILSFREGFRGERVREQSPVVLIHDVTQLPHNGCRLPKQRRV >KN539412.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539412.1:65758:66708:-1 gene:KN539412.1_FG009 transcript:KN539412.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVTTSVSRSMRNSNWQNNVGASTTNPAPAATMPPEQEEGAAAVEDLTVGAEEVMASIGDRSMPATTGGRLEGYRPSARMEIRFDGIFVSGRVVAVDGGTVEGADAAEEAGDDAAPSLADGGGADEADGQTGESRRKRMESSRSSQRSSMERLSICDFNY >KN541251.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541251.1:849:1151:-1 gene:KN541251.1_FG001 transcript:KN541251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPEDDERPTVPLLEPKPAINGGGGGSSEEEEEVGSLGRRLVEENKKLWVVAGPSICARATSFGVTIVSQAFIGHIGATELAAYALVSTVLMRLSVGIL >KN542894.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542894.1:205:1751:1 gene:KN542894.1_FG001 transcript:KN542894.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SCDLFHGKWVHDSSGPDYTNNSCRFIETPQNCMTNGRPDSGYLYWRWKPYGCEMPRFEGEKFLEAMRGKHWALIGDSILRNHVQSLLCLLAKVEEPTQVYHDKTFKSRKWHFASHNITVSLIWAPFLVEAEIFEDDDGVSTSELQLHLDILDSNWTRQWNSFDYVVISTGQWFPKTAVYWENGAVTGCHYCQDKSVAELTFEYAFRKSLRKTFQFITSSPHKPVVFYRTWSPSHFENGEWSSGGTCTRTVPFKPGETGDRESDMKMWRIEREEFAKAVVHDRHNNAGRLKLLDTFELSLQRPDGHPGPYRTYHPFEKATSAKVQNDCLHWCLPGPIDAWNDIIMQMLAIN >KN542894.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542894.1:7443:11309:-1 gene:KN542894.1_FG002 transcript:KN542894.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETAEAKWFHKMGRGRDVTKLMAESIEGDSGEVGGGAEEELLLHNTLKASILEADHLFNPTLATGGAPRIFRKGQMWVIDDKVVNPRWYEEFHIYSAHFATNIIFSVNAAQPISATLIACTYLPIELLSSQAIERHPDILDVN >KN541251.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541251.1:28570:30926:1 gene:KN541251.1_FG002 transcript:KN541251.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSERHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTKRMMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKR >KN541251.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541251.1:16366:18271:-1 gene:KN541251.1_FG003 transcript:KN541251.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQSYGAKQYHMLGIYLQRSWIVLLCCAVVLLPIYLFTTPLLIVLGQDPKIAAMAGTISLWYIPVMISNVGNFTLQMYLQAQSKNMIVTYLAMLNLGLHLFLSWLLTVKFHLGLAGVMGSMIIAYWIPVFGQLAFVFFGGCPLTWTGFSSAAFTDLGAIVKLSLSSGVMLCVELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLSATGVRVANELGAGSARRAKFAILNVVTTSFSIGFMLFVLFLIFRGRLAYIFTESKVVADAVAELSPLLAFSILLNSVQPVLSGVAVGSGWQSAVSYVNVTSYYLIGIPIGAILGYVLGFQVKGIWIGMLLGTLLQTIVLLFITIRTDWEKQVEIARERLNRWSMDENGRQQNSGENP >KN541251.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541251.1:5286:8663:-1 gene:KN541251.1_FG004 transcript:KN541251.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSDDEKLKVPLLEPKPATNKHQEDDDAEEEEVGSVRRRVVEENKKLWVVAGPSICARFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWLVLFCCAVILLPVYIFTTPLLIALGQDPEISVVAGTISLWYIPVMFSYIWAFTLQMYLQAQSKNMIVTYLAFLNLGIHLFLSWLLTVKFQLGLAGVMGSMFRIVVQHHIGAPHWLYEECRVRVANELGAGSARRAKFAIFNVVTTSFLIGFVLFVLFLFFRGSLAYIFTESKAVADEVAGLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVTSYYLIGIPLGAILGYVLGFQAKGIWIGMLLGTLVQTLVLLFITLRTDWKKQVEITRERLNRWYMDENGRSQNSIGNA >KN540535.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540535.1:894:6991:1 gene:KN540535.1_FG001 transcript:KN540535.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NMDGNIENPAEDMSGIASNLDNEEQAIPLDDVPEQYKEDPENTYDEETKDPSYEESGIPYNEDQVNINDGNVGHQHEEDQAIPSEEGHAYGGEAQGEQQANAVTDEKKWPGWPGESVFRILVPAQKVGAVIGRKGEFIKKMCEESRARIKVLDGPPGVPDRAVMISAKDEPDAPVPPAVDGLLRVHKRITDGLDGESDQPQRAAGTVGPTRLLVPASQAGSLIGKQGATIKSMQDASKCVLRILESVPPVALSDDRVVEIQGEPLDVHKAVELIASHLRKFLLDRSVLPLFEMQMKVHNAHREQPMPPPQTWGPPPPWGHPSNVPPGGPGYGGNPQFMPPRPQDHYYPPPDVPPVEKQPHYGISSYGRDAPPTGAPPASGNQHPQHGSSQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEISGSASQVQTAQQLIKLLPKAPHKLLLLLLNQSTRATAPTHRTEEHHTDLLLAAQVLTMEEAMAGPHAPCLSMCFKIRAPVICRGNPVVLEGREGIRMMMNKTDKQTRTSMSNQEWHLGDGMDDPLPTHHLA >KN540535.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540535.1:9210:12462:-1 gene:KN540535.1_FG002 transcript:KN540535.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLDTQFRPYSRGRAVKTHLHIEDSISLTLVLDTVDPHGERSEELRESEASLAGLAPAAAAAAAMEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGEACAKFRKFQFGATAHRYPFQQHQHQQKLQAEMFKRSNSGISLKFDSPSATGTMSSAFMSSLSMDGSVASLEGKPPFHLIGGPVASDPVNAHHAPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERLRENGGRTCDWIVTGKICSKKGGIRFEDFKGRLTKGSEQLKHRVSLEKDKQDLAFSVHKFTCNDLPLYVAFALLKDQNFLLAEESFSIKAVSTC >AMDW01038453.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038453.1:189:429:-1 gene:AMDW01038453.1_FG001 transcript:AMDW01038453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIALDDGDEHAIPNLNEAVAEEAAVADQEDDQVGGDLQGGANHELPGGDFQGGANHVLPFDLNLYASDHQGEIHL >AMDW01040479.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040479.1:120:545:1 gene:AMDW01040479.1_FG001 transcript:AMDW01040479.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IISMHDQWNCYRASEEGQGKRTRSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPGFSIEGSFRRRSCKIRNSVGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPMICSS >AMDW01035653.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035653.1:23:457:-1 gene:AMDW01035653.1_FG001 transcript:AMDW01035653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RGVYVSGLREEIVNSAEQVFKLLELGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNHMDSGDAIRVSVL >KN541813.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541813.1:8161:10756:-1 gene:KN541813.1_FG001 transcript:KN541813.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIHRYRATENRLYKCMDPVQKYFRRRVTIWGGQKGIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILKDIHQIISKKALAAQEAAGSGPPTQGTTINVTDSAVNTKRGCCSS >KN541813.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541813.1:18503:20352:-1 gene:KN541813.1_FG002 transcript:KN541813.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQQEESSGGRAEAWGPLAQPNLGLGARRRPTATGSPSIRGMITEVMQKSSIEKLFMAIEPLIRRMSKGRQMNLASGDIFVGLTNGVSTMGELSFTDNSSWTCRHLNESIVFLWMVMILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLMEKDKVFTFSLST >KN541813.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541813.1:12557:15648:-1 gene:KN541813.1_FG003 transcript:KN541813.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPNLVPLLGTAFERFAIIMSVALVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVFILILAFDVGLSYFKPFWNSENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFIVGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLHRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKFFPSF >KN538921.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538921.1:99134:105216:-1 gene:KN538921.1_FG001 transcript:KN538921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDECLEMIAASFRNFQVLRLVSCDGFSTAGLAAIAAGCRVDRHLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGEVNITVLERLVTRCRNLKTLKLNNAIPLDKLASLLRKAPQLVELGTGKFSADYHSDLFAKLEAAFGGCKSLRRLSGAWDAVPDYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCRNLQQLWVMDLIEDHGLAVVASSCNKLQELRVFPSDPFGAGFLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCILEPHTPDYITREPLDAGFSAIVESCRGLRRLSISGLLTDLVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCLLTLGACRQLARKMPRLSVEIMNDPGRSCPLDSLPDETPVEKLYVYRTIAGPRCRMKIHSLFQDMEMHGL >KN538921.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538921.1:56470:68842:1 gene:KN538921.1_FG002 transcript:KN538921.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSMEAGAIPSFYKKKPEDGSISNRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMGWFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFPNNVHIMLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVSLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >KN538921.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538921.1:9371:18966:1 gene:KN538921.1_FG003 transcript:KN538921.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQGNSITVSPRSKVSRTSTKAASGPAESRSSEDSTKRRRSEDSGSSCRWPSYISAVSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDILSPMCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENKHARGVSCGARHSAVITDKGDVFCWGWNKYGQLVGTNTSVPILKCHLASQMQLSSTELLKILIMEGFIPFIYKAIVQYRKEGQVSLGDLFFDEPSPSSYFRLPGDSGRYQQQQQAAMSGLFSQATTADSDAAAPRRSPLRCTVHHRRPATATP >KN538921.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538921.1:158395:159308:1 gene:KN538921.1_FG004 transcript:KN538921.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQFLLNAKFVEAEWFLHGALGRGIDFIDGALSGGGPPPTGARKATLDFRATEVAAELGYQEVGHIRAITQSMGGFPRPAIDLSDAVFAAVMDDAMATRLDPPFDPYASSVNFLLASYILPHITASAALQASMLAVEAGQDAVIRMMLYERADEVVAPYRGRTVAEFTRRISEWRNAASRCGAKDEGVKVLDRRQGAERRTISNILGAGDDSLGFARTPAEVLRILYGSGNEQVPGGFLPRGGNGTIARGFFQLA >KN538921.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538921.1:5760:6157:-1 gene:KN538921.1_FG005 transcript:KN538921.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMASAAPEPPFRPREKLVEKQRYFQSVHKPTYLKGRYDAIASVAIPLALAASSVFLVGRGIYNMSHGIGKKE >KN538921.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538921.1:162675:163371:-1 gene:KN538921.1_FG006 transcript:KN538921.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSDAPISNRPEEEVTVEKTPEMEAAAEEERLRYLEFVQQAAAQVLVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHGVPLDLLKFLDRKLVSRN >KN538921.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538921.1:146478:156263:-1 gene:KN538921.1_FG007 transcript:KN538921.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARFPYSPAEVAKVEAVQFGVLSPDEIRQMSVVHIEHAETMEKGKPKPGGLSDPRMGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDIKFKQALKIRNPKNKLKRIYDACKNRKICAGGDNLDVQEQQGTDDPVKKRGGCGAQQPNITVDGMKMVAEYKAPKKKNDDQEQLPEPVDRKQILSAERVLNVLKHISDEDCLLLGLNPKFARPDWMILQVLPIPPPPDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAVRKFLDAATMENINETISKAKNDVKKLIKQFRDNQLEAEAGRTTMESFENRVNEVLNKARDVAGSSAEKSLSESNNLKAMATAGSKGTFINISQMTACVGQQNVEGKRIPFGFTNRTLPHFTKNDDGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAIWIESQKLDSLKMKKAEFDNVFRYELDDENWKPNYLSTQHAEDLKTISEIRNVFEAEVQKLEADRFQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVDAIDKLQERLKVVPGDDDISIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKNIKTPSLSVHLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYFEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAQKGEIQDEYGEDDVFLKKIESNMLTEMALRGIPGINKVFIKEGNVNKFEDNDGFKTEKGWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAVLCDTMTYRGHLMSITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDPLRGVTENIMLGQLAPIGTGGCDLYLNDQMLKQAIELQLPSYLDSGLDFGMMTPACSPISGTPYHQGMMSPSYLLSPDIRASPTAADAQFSPYVGGMAFSPVSSPGNYTPSSGGGYSPSPPVCTPGPGSFTSSSPYNPVSPFYSPASPLSCPLTSPSYVPTSLPYSPTSPIYSATSPIYSPLSPIYSPTSLSYSPTSPVYSPTSPVYNPTSSAYSPTSPSYNPTSPSYSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPSSPSYNPSSVKYTPSHAYSPSSPNYYSLTSPTYSPTSPSYSQPSPSYSPTSRVEEEAHEEAEEEEPKR >KN538921.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538921.1:123567:125897:1 gene:KN538921.1_FG008 transcript:KN538921.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGPEPLKYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPAAAAANSPGGGGGGGGGGGKKKKKNKNKNKNPANETLFCKGKCINSK >KN538921.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538921.1:39824:41390:1 gene:KN538921.1_FG009 transcript:KN538921.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTLRRARAHPSWAAAARGRLATWGAGSGGRVRHPRHGAASASLFSSLSSSQTSAADAQLLRVINMEISYAQKDCKNRNWSKELGEGFPFEIQDKDGTNMMTLKRSDHKEQIEVEVFLPSAVNEAEENGEPEDQCEDGKHRTHTGNGVLAQYCIPLIVRVRKEAASYLKISCSSYPNELMIESLSFEPNDESGDSASLEAKLSNLPEEFQKAVYSYLKSRGISTDITDFLHAYMINKECHEYLSWLRKVKGLIKS >KN538921.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538921.1:22411:24088:-1 gene:KN538921.1_FG010 transcript:KN538921.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVAWWRPGTWGGLAMRVGQVAFAGASIGVMASGAGFANYTAFCYLIASMGLQSLWSLGLACLDVYALTVKRDLNNALLVTALLSFAASCSAGGVMVLFKRDVLFCRRYPQLPCGRFELAVALAFLSWALSATSAIIMFCLLAAF >KN538921.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538921.1:81513:91149:1 gene:KN538921.1_FG011 transcript:KN538921.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKYGDGDDDDSEEEQLSPSSSAGEEEEDEEEVEEEDGEEQQEEQGEEEEGFSGDEEEQEVEGEADGEQVEEEEDEEDSSGGEEEAEAEGEEEEEEVEEEQGAGEEEEEEVDEEEIEAVTTGAGGDDDDEEVGDDGGAKEESQSTEDDEVAAGKDGGGEDGDELEDATGNAEIGKRERAKLREMQKLKKHKIQEILDAQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAIKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTQRLNELYEKEVKYLVQANQKKDTVGEGDDEDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQRYAKVFQERYKELNDYDRVIKNIEKGEARIYRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQEYDERERLARKDKKNMSPAKRSSSRSFDTPPQSSSKRRRQSYTEANAGSGRRRRG >KN538921.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538921.1:113928:120827:-1 gene:KN538921.1_FG012 transcript:KN538921.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MEDGAAGGDVSADRVIAELLDMGFEFDEISRAIGAVGTRRADVLEFMLGGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNAGCGRGSCGEKSSTSYPCLAASDDPRAPVSADMCSALKPESQFLLANSRSESDLGDKISAVLRKHFGFSCVKGFQKEALDAWFAHKDCLVLAATGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVAMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANHLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFHYALNTSICRAKILVKYFGEEFGPDGCRMCDICINGPPQMHDFKEDAVMFMSVLQAQNGQAMEDMGCSNVPRYISGRQRFGEVPNFRGVVSYIREKFPRFATTDKVWWEGLARILEGRGCIQEATEIGLFGGLHLPSFFYFSSEIEILKPRVLIQYPEVTEAGLNFLSMQSEEEGLYEYLDAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLASKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >KN538921.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538921.1:191932:192240:1 gene:KN538921.1_FG013 transcript:KN538921.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPQATIDFILAWRKAPSPNLEEMDDSEFLSPEHRRQREELHAYLDKLEVEFEEFQEEVRCEVQETGGYLQTFDEAAHAETEKVVAQAREEWVGIDWAALHRL >KN538921.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538921.1:133463:137029:1 gene:KN538921.1_FG014 transcript:KN538921.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLELGLSQDGHIGVLSARSLSQFYHQVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLPEDISEAALVAEVHRLNADPAVHGILVQLPLPKHIDEEKILNEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKIKGDWIKPGAAVIDVGTNSINDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIIQ >KN538921.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538921.1:137525:141291:-1 gene:KN538921.1_FG015 transcript:KN538921.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVALATQLARPVQAMLKLSVARARLCVGRIDDAELRKKLTDGVEIDGLKGMLDKVTIDSDAVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQTGGENEAGSSTENPQATGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHATNGKEILSVNSALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQLRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGSYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADNIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFRALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLTATQEEEVDRTEFAQVLKQKLRNP >KN538921.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538921.1:75120:78500:-1 gene:KN538921.1_FG016 transcript:KN538921.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLDSEVSAQLGGEDYFEIFSFVVSLLMLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKIANRLDRVVADLGRKPLKILVQALANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >KN538921.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538921.1:31539:35552:1 gene:KN538921.1_FG017 transcript:KN538921.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFVLNTGAKIPSVGLGTWQAEPGVVGNAIYAAVKAGYRHIDCAQAYFNEKEIGVALKKVFDEGIVKREDIFITSKLWCTNHAPEDVPVALDSTLQDLQTDYVDLYLIHWPVRMKKGAGFGGQNVLPTDIPATWAAMEKLHDSGKARAIGVSNFSSKKLEDLLAVARVPPAVDQVECHPVWQQTKLRKFCTSNGIHLSAYSPLGSPGTASMKAVGNVLAHPVVVSTAEKLGKTPAQVALRWGIQMGHSVLPKSTHEERIKENIDVYDWSIPEDLFVKLSEIEQARPESASAADALKLCSCWVFLFGQDGELVMVLMNGDFDLQMKLIRGEFWTHPEGVYKSIEELWDGEI >KN538921.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538921.1:92120:96120:-1 gene:KN538921.1_FG018 transcript:KN538921.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLASWRSGCCLRRRGILGVTPAPEFDYYVLQQEEDSNGKRELRETEREEILAGMYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRLAEHAAAEVALNELSKRGPSSSLAAKVLVVHIIVVSQWMDIVKCPGSLDVIGGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAAWSELKQLPRVGEPSSSSCPPDHDDDDQEQIIVARTLASLNQTNGGKTPQQKEKQQSSNRPSSRRPSYPKSNASFYGRLHLQKHAYPSVPPEQAMYHMWHQVQATQQKPHFPMVPTMGSTGFPPPPTVLHMYPPPRGQFTMPSSQDGLGLLPCYPEASPVLPRYFSPYPASFVPRRPLPVNVHKIHEKRLVGADMVELPDAAVFSRYTAPDFSGTSENAVQDNKKEEYTESSPASEQESKSHTASSSAARSPSQKLESNQDIEIMGGLRLESKKPAEQPPESSSSRVNPVLLCETGQRHHYSSVRHGDPVHRESPQISVATSPSLIRRGDPAHINIPQISVATPPECRSPRAQAPPRFGTRMPVNLPSSLYQQRPPWLAASVTIRTTVPVCSARPNVVNSSAGAAQPAVQILSASPRKEEPEARTNTSDTSSAATASSELNKLHI >KN538921.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538921.1:164674:169750:-1 gene:KN538921.1_FG019 transcript:KN538921.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRLDGKGGGSGGEEEKNGEVEVEVADGDGEGGRLEEAKDEGGGGEFAGGDARAASSLSSAAAAAEEEEASNGELGEGDAYPASSDAAVGEEKGELGEDLEEKAPAPVPEANGAAESDVEEKPEEDNEGEEVATGGGDDGELGMEKEVDVSAGAVEAPQPEDKVAPEAEANGDLGDKAEEEVSASAVVEESNAPEESLEKDVASEANGAVAAVELAVEEKLEDNKGEEEETEAKPEPVVDDTSSETIAPVSAESAVEESTEKEQTVESQASESEEIVGVEKPTEDESNVDGAGLGSSAPSLRPTAPRPVARSNLFSPSELAVTAEPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKAMLLEAEGKEELNFSCNILVLGKIGVGKSATINSIFGEEKSKTDAFSSATNSVREIVGNVDGVQIRIIDTPGLRPNVMDQGSNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDDYSDIEQDEDEEEYDQLPPFKPLTKSQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDAYGYANIAGENDLDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLALLNKFPANMAVQVTKDKKEFSIHLDSSISAKLGEDASSLAGFDIQTVGRQLAYILRGETKFKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLIPVAASIYRSFRPSEPSFAY >KN538921.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538921.1:36832:38684:1 gene:KN538921.1_FG020 transcript:KN538921.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTNAAIPSVGLGTWQISPGAVQDAIRAAVQVGYRHIDCSPQYGNQKEVGLALKKLFDEGAVKREDLFITSKLWCTHHAPEDVPEAINTTLKDLQLYYLDLYLVHGPVRAKKGTKLSVENYLKPDIPATWQAMEKLYDSGKARAIGVSNFSCKKLEDLLNVARVPPAVKQVECHPVWQQGKLRKLCQSKGVHLSAYAPLGSPGSPGNDGPNVLSHPTVISIAKKLQKSPAQVALRWGIQMGQSVLPKSDNEVWTRENIDIFDWCIPEELMAKFYEIQQAWLHILTNYLLKA >AMDW01040892.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040892.1:1494:3275:1 gene:AMDW01040892.1_FG001 transcript:AMDW01040892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQFTSKDYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTGFLIICYQCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >KN541706.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541706.1:18206:19970:1 gene:KN541706.1_FG001 transcript:KN541706.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKQEKVSLKKNKLRKVNRLKGYHSNSQLQAVHSKRKRVQCDAADREDLLSQQRYPFKDIYEDDDLSFSTEKYHNDANQEEPHYQEAPPSPWSAHSFRQEEFDMNKINYLEDSQMMASQMAKEGQKMFVKRTDNAHDQVIQSHVRSKKTRPSPMEVGSTVLLMTAKYPNKEGVAYANLLSCNPYAQVGGVELGNQFWKVRINHPIRKNEELVRKING >AMDW01031405.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031405.1:27:328:-1 gene:AMDW01031405.1_FG001 transcript:AMDW01031405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFNQLKDQLRKLEADFAQALSGHGRSETLQTSSAVQVSNKTKYDLTGQSITNATATNDQLRSLVTDKRARRDEYANVISSQLE >AMDW01031915.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031915.1:17:445:1 gene:AMDW01031915.1_FG001 transcript:AMDW01031915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRNERSLDLVTLLGDDETKILTADNHGHTVLFDAASYSVVHFPKLNCSKGYDAMAVSINRAAPQQPDCLYVLNLRPHPTTSNHCFEVLSYGGFCERIPIWRSLPPPSFTTTTQTTITSYTVVGGDTIYVSSKLCGTHAFDT >KN540360.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540360.1:23534:28911:-1 gene:KN540360.1_FG001 transcript:KN540360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERNAGEGLLPVRAGGGESEGNAGSPLLGSARGDADLVEVKEIAERLKRAKSMAAFCSSVPPLERDRKLTWHSEMVLFWGTGVKLERYLCENDVEIVGVNCFPDLQTVDLNFAESLEHASPNVTGVQVITGYCSEAGVINCTSHSDEITKEVGRPAEREDSLDEYQDASPFLHQPDSEVGAAVAHKSDFSIEEIKNLDSVSPAASVAANEISVEMDGVSKDQLSGQPNMTDLSGESIVGKEVEPTVMLESSDEFSVNVHSDNTDSVDSKPDKTSEFIGDVNGSTSFISDLTSQSTSPIMVESLMEDSMDALHIISEVSPSLEEKAGSTNAESVTENSRIDFVQTEDQLKLTNAVNTLTDCSSQYKCVKDTLDAQLPVENPFLGNSVCSLDGHQSDHVVTNMDSMWGFDDEDICSEGIKAKGSELGFSCEENPQHVELVDKADENPSVEKPNGLSEEVVCSKEIGPEVPIIGQVSASQHVALLMDQVSTKNPFILDDTRSDDLFELPTENYHSEAQNVAESKLQVDFTPLPLDQLIIADQTSIAEGQQFVITDDSVPAISSTCGNGHAVCTEDVSVSSTSDPAKNIFLHDASVKNSTQEDGEPTSGINFVPSEVFLPAEFSTMPTSQDINALKNDGNEKTPLEDISTKDNVEEKKETEGTSVKEMNSILKADNVEEEKLTDDTSAERNAIQHIDDAEKKQAADTVSRETSALQNIEERENVEDTGAKGVPAVGSLENADAENQTEDTSAKPECKSDNADNKKQSYDTSTEEMNAKNQAQDTSSKEMNTIQNTSNAEEKKQTEDPAVQEGNKQKEGISPTVAKQSSERVHVPLKVLLAEASVETKEKKTTAKERVLSFRRRVSKDDTSSAKSGSPKPGADDNKFWSSPARLPENNAEKKSKARKQPWMPFICCHSVH >KN540360.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540360.1:12913:14111:-1 gene:KN540360.1_FG002 transcript:KN540360.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KN540360.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540360.1:34733:36024:-1 gene:KN540360.1_FG003 transcript:KN540360.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNEIIPGLAASAAAADRWVAENLVPYYPETRVKYLLVGNELLSDYSIANSTWPRIVPAMENLHVSLRRRRISSVKISTTLAMDALTSGSFPRPPSAAAFRPDIARDVVRPLLRFLNGTNSYYFVDAYPYFVWAGNNDTLGYGGVKLGIAETGWPNGGDYEQIGCNAHNAAIYNRNLAARMARSPGTPARPGTKMPVFVFSLYNEDLKPGPGTERHWGLYYANGTAVYPGNGTCDAIQPGGECFRPNTTAAHASYAFNSYWQQLRKTGATCYFNNLAEETTKDPKIRIL >KN541946.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541946.1:9371:14124:1 gene:KN541946.1_FG001 transcript:KN541946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKRSEEWMRERVDRLKMQVGCKILKSTNVPYTVMLVDVLERLHIDNHFRDEIATALQHVFHHDEQEKAAAGFDDGDQLHLESLRFRLLRQHGFWVSADVFDKFKDSTGCFRESLSTDARGLLSLYNAAHLAIPGEAALDDAIAFSRRSLQSLQGALRSPMAEQVSRALDIPLPRAPKLLETMHYITEYEQEEAHDGMVLELARLDFELVRSLYLKELKALSLWWRQHYDSVQLSDARDCLVESYFWTCAMFHGEDHSRARIIFAKVFQLMTMMDDIYDIQATLEECYKFNEAMQRWDKSAVSILPEYLRNFYIRILNDFDEMEDSLELDEKHRMSYVKTSFKQQSEYYLREAQWSSDKHMPSFADHLDVSFMSIGYPTMAVVVLLCARDGDGAAASMEASEWAPSLSGKDAASTIECYMAERGVGGEEAVAAVAALVESAWRTINKACVEMDPNLLPAARLLVNLATTPEVIYFGGRDGYTVGADLKGLVTALFLDPLPV >KN540360.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540360.1:41922:44297:-1 gene:KN540360.1_FG004 transcript:KN540360.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALNRLISIRRERRRRRNKARKGGSITKSVKRKYSPCQQDDDSQGGKMMTNSGVTLPEDIWSYILSLMPMRDAARAACLSRAFLRSWRCHPNLTFNEDALGLNYNACETDFTSKVDHILKNHSGIGVKRFKLSVHYKLDNCDYVDSWLQFAITPGIEELAVMLSGSKPQYNFPCSLFSDRIANSIRCLELGNCAFHPTIELGPLRNLKRLHLSCVRISGDELACLLSNSFALEQLELKYCKKIVSLKMPCVLQRLNCLDVLECKRVQVIESKAPNLSSFSFSGNKVKLSLGESSQVKNLYMSSSNIIRYARSDLPSIVPNVETLAVSSHCEMVDTPMLPTKLLYLKHLTISLSAWTFSRAYDYFSLVSFFDASPLLEVFVLDIYQESMEHESIFESSSHLRQMPEYHHEHLKSVTISGFCSAKSLVELTCHIVENTTSLERLELDTTHGNARCSEDSSDECFPVSQGVLTESPRAVLAIRGYIEGKIPTNVKLNVLEPCSRCHAGGG >KN540360.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540360.1:6307:8497:-1 gene:KN540360.1_FG005 transcript:KN540360.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVGEAKVPDSKKCKAEVKPKVEEAASDGSVGDRVQKQGKGKSSKPPAEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKVLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFGNLSTLLQNDMFQSCGPSVNSVFPLESAGTAFPFCDQADFFQSFGLGAMENQCSLDLANTALPHTGSTQYAFQKQQRDLWEDNAFHYNDEQSQEDAAVSAPNFDGQLQAADHTEIEF >KN540360.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540360.1:39745:41050:1 gene:KN540360.1_FG006 transcript:KN540360.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSQRMRAVQYGKYGGGAQALKHVEVPIPTPKKGEVLIKMEAGSINQVDWKFQKGVARPFMPSKFPFIPVYDLAGEVVELGRGVSSFKVGDKVIAINFPGGGGLAEYAVAQASRTTPRPPEEDMELVAGMVAEGKLRAVIESRHPLSTAEEGWAKSMAGHATGKIVVEMGDEQ >KN540360.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540360.1:153:281:1 gene:KN540360.1_FG007 transcript:KN540360.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding TYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >KN540360.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540360.1:15222:17247:-1 gene:KN540360.1_FG008 transcript:KN540360.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQLLLVVAAVAAALLLVVAASAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGAQGSPPTIPGGATLIFDTELVAVNGEPASKSDEDDDDSEL >KN541946.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541946.1:18443:20287:1 gene:KN541946.1_FG002 transcript:KN541946.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMIQMAHLAWKMKLYINIINNKSMMYLLENNGDFIHLNELQEGDFIVIYSNIKSNKYLAVILSATTQSWLKYQYNLIWMQQGAHMLCDGAFNVKLMQHDYRTITLGIDVNNKVYIYSELSEDIPSVNGNRDGCQKNIQSLYKLQAIAYPDYN >KN540360.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540360.1:45289:52308:-1 gene:KN540360.1_FG009 transcript:KN540360.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAETCDLGLPNCEDRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNLTFNKDTLGLDEHVCETDFISKVDHILKRHSGTCVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLMLYGTTQKYNFPCSLLSDGIADSIRFLDLGHCAFRPTVELASWRSLKRLCLTFVRITEDELGCLLLNSFALEGLELRHCDEIVSLKIPCTLQRLSYITVSECSRVRIIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLCEISQEQAEQESIFGSSLLMRQIPEHRHEYLKSVTINGFCSAKSMVELTCHILENAASLEHLTLNTNLGLANRSEQSPGGLIAKSAKRKRSSCQQDDDSQGGKRMRDSVPTLPEDIWCHIHSLMPMRDAACVACVSRAFLSSWRCHPNLTFNERTLGLDEYVFETDFISKVDHILEKHSGIGVKTFNLQVPYELDVCDHVDHWLQFAITPRIEELNLMLYGTVQEYNFPCSLLSDGIANSIRFLDLGHCAFRPTVELGSWRSLKRLCLSFVHITGDELGCVLSNSFALEWLELIYCDKIASLKLPCTLQRLSYLKVSECSRMRVIESKAPKVSNFYFTGYQVVNTPMLTTKFLYLKYLSISLSGLTVSPSYDYFSLVSFLDASPFLETFFLAWYWALGVIRIVHCVTLLMNHSLFPKSSESLNV >KN540360.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540360.1:18652:20402:-1 gene:KN540360.1_FG010 transcript:KN540360.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >AMDW01004387.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004387.1:85:190:1 gene:AMDW01004387.1_FG001 transcript:AMDW01004387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQEILLEKKRVITVQGRDKAGRPIVRIVGKNFP >AMDW01008904.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008904.1:22:216:-1 gene:AMDW01008904.1_FG001 transcript:AMDW01008904.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSRVARAVRLQPKRWSERTSQLNFICYCLGKPKEQEGRRRQCCKRKTIPPSVMRFLIWVADK >KN541783.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541783.1:978:6567:-1 gene:KN541783.1_FG001 transcript:KN541783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWQKQLSKDPIEDAKYIFFGPLGGGFYVLNNKEDLLVYAPKANDRHGELTMSSVNKYQLRRNPRPTMPEPGEVLARYLVLSSRGHLLMVVRFVSTEKATVAFDFFKLELKPPSWKKLTLDTLADQTIFLGRGCSFAVEMRKSSQCPPNIYFLDDSARFNGAGSSTSQAQQVLSKFLRISNVNLVGNCCAKMKHDSAVLI >AMDW01034281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034281.1:41:285:-1 gene:AMDW01034281.1_FG001 transcript:AMDW01034281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIE >KN541783.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541783.1:22382:22582:1 gene:KN541783.1_FG002 transcript:KN541783.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGLLDALHELKDVAAESESSRKLLAAVPDALDILATIVAMSCEDAKAVCDKALEIICSLELLE >AMDW01014651.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014651.1:39:231:1 gene:AMDW01014651.1_FG001 transcript:AMDW01014651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFAVDAGFAGGGGGSVPDVSRSVVGIALVFVAAVAIVAFVVFNCADGMDSSGGRNRRTNPGG >AMDW01029145.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029145.1:172:396:-1 gene:AMDW01029145.1_FG001 transcript:AMDW01029145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERFSIPFFFYPASYTMVEPLEEVVSEESPARYNPYSWGEFFSARKNGNFKKLDVDYVQIAHFRKNSPVHVQ >KN542176.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542176.1:672:9218:-1 gene:KN542176.1_FG001 transcript:KN542176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEAWASSYPACATSPRPGSGERRKYPIRVEDYELYEEIGQGVSAIVYRSLCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDQPNVMKAHCSFTNNHSLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVVKLGDFGVSACLFDSGDRQRARNTFVGTPCWADIWSFGITALELAHGHAPFSKFPPMKTYHYSFYDEHDFLFVNNDDLLYQHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSSDFISRKLLEGLPGLGARYLALKEKDEVLLSQKKMPDGQKEEISQDEYKRGISSWNFDMDDLKSQASLITECDDSISCKDSDASPFYDLDTILPERATGPHMSRVFSLKYDTDTEYINAQDYKRGTCKWPGQETQLHRKTCFSLSMNMTNPFLLEKLLLYGAGEHEAIAIWCCSKHQINIHNRDKCNGGPLQVADEPSPEAVPKVPKSSAANVEDHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKSHSMQAISHLPSLSIPSSIEAASTIIGGSLYMQLYNVLQTNMLQREQILHAMKQLSGCDMAMTSPACIAPASRASSPSSALSIDRSLLEAAHEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQIWNNMGRIEKLLSIIYIHKKDESLTIGGHIYDTLVQLELESQPKPQTSPTSTLSYKLYVHTAEASVIARVIAPGIIEPSNLLVWFLKSGVNQIMPTYTVHELSIFELAMYLRFLAASRKNMCKEPDELVATRTSGRSSDGPNESEYIVDGYVPRRKLCDC >KN544699.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544699.1:1408:2358:-1 gene:KN544699.1_FG001 transcript:KN544699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCWLLCLVAAMATLHRTLGVPPSAGDLRHCPTSCGDVDITYPFGIGTGCFRPGFELTCNTTTKPHKLFFGNTTEILGQDADVGYVMASVVFNIATTPGLLGTYNRSWQAPGRVLSTYNDYGDTSQLVIVGCGIDVYLFDGDTNTVQGYCRSECTNLALMEKKLSGLPCNGIGCCTIDLLGGVNAFRFTITQREELTRSVALGNSTIKAFLNYIPYSFNTADLLSEKINASTIGATGSIFSVVITDQLDCSTAQLGLNKTDYACSDGSICLDQINLQRGYHCTCLAGETFDYGNPYLLHGCKQGIPIQFVATENG >KN542176.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542176.1:11239:12554:-1 gene:KN542176.1_FG002 transcript:KN542176.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDMFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKSLLASHGVFVIEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFTESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNL >AMDW01039917.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039917.1:76:1041:-1 gene:AMDW01039917.1_FG001 transcript:AMDW01039917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLENLTASCSKLLKDVAYSFNDEDEVLPVKKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGPSRSSNELVAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVE >KN544865.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544865.1:131:1542:-1 gene:KN544865.1_FG001 transcript:KN544865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNVVQASTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAAYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVLEKEKLQENAFVVGSYLKERLNKLKEKHD >KN541019.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541019.1:4454:4708:-1 gene:KN541019.1_FG001 transcript:KN541019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLFVLLVFINLCTGMPRNMAKDGHHTADLGLKVMRNLMSGTDGRTGPPSNDHQCPLGTYPNCQGVSQSIQGTEQDVGGN >KN541446.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541446.1:858:2058:1 gene:KN541446.1_FG001 transcript:KN541446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIIGIGLGGSIIIVLLILSGLVVRRKLKSQRATKQKEFFFKQNRGLLLHQLVDKDIAERMIFKLEKATNNFDESRKLGGLGVNHRNVVKLFGCCLETEVPLLVYEFIPNGTLHEHLHVNSAQSVPWKERLRIALEIARSLAYLRSAASVSIIHRDIKTTNILLDDRLIAKVSDFGASRGIPIDQNTVTTTIQGTFGYLDPEYYRKSRLTEKSDVYSFDVILAELITRRRPTSYISPEGFNLTEQFILLVSEDRLCEIVDSQITEEQGEEEAREVAEIAVMCLNLKGEDRPTMRQVEVKLEGLQGAVNTIRSDKIAQWHAVQLNCPSTVESDSNIVAVGDAGYHNSSRRFSMEEEFWSSMSFPR >KN541019.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541019.1:27131:27358:-1 gene:KN541019.1_FG002 transcript:KN541019.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLLILAMVMANAFGAVTSRTAPGEGVVKTTTAEGTSIDNHHAIPRPEYDSWSSPGNMPGSGHDIGSEQAQP >KN541019.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541019.1:24093:24542:-1 gene:KN541019.1_FG003 transcript:KN541019.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLKARFKIVAVLLAFAMVAQAMAIRGTGTTAEQDNTGGSTSAKHTLPQAVGSGTSVDNHHAIPRDQYSNHGGDDGGGSTGTGDTNNYSPNPENKLLLFMGIEESF >KN541019.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541019.1:8356:9552:1 gene:KN541019.1_FG004 transcript:KN541019.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALLMILVVAVSFNLCTCNIPGNMEEEHGMYKDVHAGKDMRKLIDIDGRTAPTGHDYDHVCPRVFSCIPPFANLSITAKTIFNIRDRKRK >KN541446.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541446.1:6286:12668:1 gene:KN541446.1_FG002 transcript:KN541446.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAVALAAFTFLAAALLQPRSFVAVAAATAGNGSISCTTSCGDVSFEYPFGVEAGCYHPGLDLTCNRSYSPPRLFLGQESSTMHQVLEISIPNGAVRINSSRMVFPATDPENNATILWEVGNSYILSDLNIIALVGCNAQVFLHDGGDTFVNSCVTSCPQFEPRNGSCSGIGCCQASIAMRLQEYGVSTNQVQDWSAATYLDEPNFFVYIVDQASFHFYSNMLINGTRNTPEALPAMLDWLILSDSSACSASTNASAPLSAPECRSANSFCKGYNSTTADYGGYRCYCSDGYEGNPYVDGGCRDINECKSPHIYPCYGDCKNTRGGYDCQCHHGYKGNASILNGCEGLTIGLIVSGGSVLLLLGLAAPFIVRKVKLQRVKKMRDKFFMQNHGLLLQQLISRNTDFAERMIITLQELEMATNNFDKSREVGTGGHGVVYKGIIDLHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGSISLPWDDRLRIALEVARALSYLHSSASMPIFHRDIKSSNILLDDNLTAKVSDFGASRYISINETGITTAVQGTIGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPIGGTFDNGDGLVSHFISLLSKVIMQQKNCVVSEL >AMDW01038649.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038649.1:289:827:-1 gene:AMDW01038649.1_FG001 transcript:AMDW01038649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIYQENPVANCLDLSSLDLANRSGACPLELVNVVGHVMEVSMDQFGSRFIQQKLEVASADDREKIFPEILTNAIALTTDVFGNYVIQKFFEFATESQLSQLADQLRGHFLQLSFQMYGCRVVQK >KN544206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544206.1:403:2837:-1 gene:KN544206.1_FG001 transcript:KN544206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLWRRWRNLWRTVPCINADISEFKRRDTEHYDQETELAFKMFMERLNELRDPAPLIHTFRFRCILDLNEEINHILDSEVINGWISHAVQKQPHFLDIVLLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSLLVLYMCKTLEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQVHIIRQV >AMDW01038955.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038955.1:8:898:-1 gene:AMDW01038955.1_FG001 transcript:AMDW01038955.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKERLLDFLRAAPSKTPWFSFSGAAFLTRLASLRTTNNPAASRRLPAFVRSVDWRALRAKCLAWAKHPMNAALLVWLAFVAGGVAFVFLLMTGALNSAVPDASRRRRWTEVANQMLNALFTIMCVYQHPKLCHHLALLLRWRAADVAELRALYCKNGAAGLRRERLHVAVVVLLLHATCFAQYGYCALFWFFGRDNRPDLAVNLCMALGLGFPIAAALYMVYGPLGRKIVLIPASTDDEENVNSQVDEANAIAMTAQCDSNRNRAVVAKPEWAGGLFDLGDDPTVAALSLSCTFCVF >KN542793.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542793.1:925:4531:-1 gene:KN542793.1_FG001 transcript:KN542793.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHLLLLLACFHFHGLCLAFFVSAQFHNDSSADRKALLCLKSQLHDPSEALASWGNESLAVCNWKGVTCSKSNPSRVVELDLESQNITGQIFPCVAGLSFVSRIHMPGNQLNGQISPEVGQLTRLRYLNLSLNSLTGEIPATISSCSLLEIVDLFSNSIEGEIPPSLAQCSFLQQIILSNNNIHGGIPQEFGLLSNLSALFIPNNKLTGTIPELLGSNKSLVWINLQNNSLSGGIPPSLFSSTTIYYIDLSSNSLSGPIPPFSKASTTLVYLSLTRNQLSGEIPTTIGNLLSLSTLMLSGNNLEGAIPESISKLSSLQILDLSYNNLSGIVPQGLYTISSLTYLNLGANRFVGRIPTNIGYALPGLTSIILEGNQFEGPIPSSLTSMILEGNQFEGPIPASLANALNLQGIYFRKNFFTGVIPSLGSLSALTYLDVGDNMLEAGDWTFISSLTNCTKLQNLWLDRNNLQGIIPLSITNLSKSLNVLILIQNKLTGSIPSEIEKLTGLNVLQMDRNFLSGQIPDTLVNLQNLSILSLSGNKLSGEIPQSIGKLEQLTKLYLQENDLTGQIPSSLARCTYLTKLNLSRNYLTGSIPSELFSISTLSEGLDISYNQLTGHIPLQIGRLINLNSLNISHNQLSGEIPSSLGQCLLLESVYLEANFLQGSIPASLNNLRGISEMDLSQNNLSGEIPRYFESFGSLHTLNLSFNNLEGPVPKGGVFANSNDVFLQGNKKLCGGSAMLHLALCKDMSSKRNKTPYILGLVIPVTTIVIVTSVCVAIILMRKRTEPKRIIVNHSFRHFDKLSYNDLYKATDGFSSTSLVGSGTFGLVYKGQLKFEARNVAIKVFRLDQKGAPTNFFAECEALKNIRHRNLVRVISLCSTFDPSGNEFKALILEFRTNGNLESWIHPKKFIQSPQKRLGLGSRIGIAVDIAVALDYLHNRCTPPLVHCDLKPSNVLLDDEMVACLSDFGLAKFLHNNFISLNNSSSTAGLRGSIGYIAPEYGLGCKVSTEGDVYSYGIIVLEMITGKRPTDEMFQDGVDLRSFVESAFPDQISDILEPTIIEYHEGEDPNHVVPEILTCAIQLAKLGLMCTETSPKDRPTMDDVYYQIISIKEKYYALIN >AMDW01009242.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009242.1:52:201:-1 gene:AMDW01009242.1_FG001 transcript:AMDW01009242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDGSTAEFSSSPFTLQQQPIHPGVYLYIAEGRDGEPRMFTTTGGILT >KN544253.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544253.1:2115:3422:1 gene:KN544253.1_FG001 transcript:KN544253.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSHLPVFIYLLLISFCKCDDQLRQAKRLISPGDMLISKGGDFALGFFSPTTSNKSLFLGIWYHNISERTYVWVANRNNPIAASSSATLSISNSYAVVLSDSKGRTLWTTMASPSIVTEDDGVYAVLLDSGNLVLRLSNNTTIWQSFDHPTDTVLPNMKVLLRSKAQVATRLIAWKSPDDPSTGDFSCSGDPALNFQFFIWHGTRPYYRFIKLDSVSVTGAAYLHNTTSFAYETVVNTKDEFSIEYTTSDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVVTQLPAATGCDTYGSCGPFGYCDLMLAVPSCQCLDGFEPVDSNSSSTGCRRKQQLRCGDDHFVIMYRMKVPDKFLHVQNINFDECTDECTRNCSCTAYAYTNLTATGIMSNQPRCLLWTGELSDTARDIRNIIGENLYLRLADSTGMPTLSPLR >KN539947.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539947.1:64208:65141:1 gene:KN539947.1_FG001 transcript:KN539947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGIATAMSGKAGDSGSERLETPRIEGAGGPQCHAHTGLVLWQSKDCGYRRPPASSRQKYDLVMLLLESMPPDVYWGKEAPKEGGPLKVEAIGHPHQAVFNRALSNC >KN540698.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540698.1:62:2100:1 gene:KN540698.1_FG001 transcript:KN540698.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGGNGFGVESNASAVHGGMAMAWQWHGGQMSGGSSPAQQPAMDSLAWSSTLRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLSAVNPRIDLDIESLVNNSKDVLRFPGQPSSAPMGFSFSQEMMPGLQLSRPDILQGGVHGMINPDVFTSLMQKQQQNDKGAFREPQMHQTLDGSFHNIAQMPYPHVMSSEELSIRQDQDGFHM >KN540698.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540698.1:32289:40987:-1 gene:KN540698.1_FG002 transcript:KN540698.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDGVPSRRRPHALVVPLPSRGHLLPLLDFAHRLSTRHGVALTVAVTASDLPLLSAFLASTPLAAALPIRLPDASLHESSHHALLAVHLRRLRDPLLSWARSRPDDPPTVVVSDFFLGWVQLLADDLRVPRVAFYGVGAFTVAALEHFWNGSLPLEQTSPVVLGPLPGSPSFPYEHVPSVVRSYVAGDPDWELVREGFLLNARAWGAVVNSFDEIEGEFLEYLNRFFGHGRVWAVGPVADSGCRGEERSSEAEQLFSWLDTCPSRSVEPVFLSSLKNLGKDMEGIYPPRGTSWQHLEDVISLAHALFLENTKLHRFTDQASHQEDLPLQPPQHDDVHLQQGFPFKQQPKHDGYLQPGVPFQQQLHI >KN539947.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539947.1:5937:6911:1 gene:KN539947.1_FG002 transcript:KN539947.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFVILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >KN539947.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539947.1:31751:32131:1 gene:KN539947.1_FG003 transcript:KN539947.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQGPPYRHQRDQKRQGNREGHRVEHDIPPYPPYLALGEGGGGVLLRTYRGRSRGDRGSEYTAMFHVVGGAKRLRPVIGEPGRQLYRRLECHAHEQRGHRHQPPALVVQVLIVFHDVLRTKPRNV >KN540698.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540698.1:31891:32184:1 gene:KN540698.1_FG003 transcript:KN540698.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRRRKPPKDGRVFVRGLAAGTGEADLLRHFDRYGVVDEVSIPRVVGEVDSLTGLPALRFAIVKFGHPEFAPASPSTIGSRSSTGRRRYGQRLRST >KN539947.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539947.1:13941:17072:1 gene:KN539947.1_FG004 transcript:KN539947.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRRRASGFEAFPPLPGKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNVIADTDSLKLDAWRQLALEEDSQWYSLQGKDIPNAGHVQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRFLSAAMKACFCSASKYLAVFRIYCSHVCQTCLFSPAGSEALKIFLLNYIANLGYLPAEYTFCMTCRYELVQADLAIAKYSELSVINLRRLFAHKGLSFMDLQKQIIERSPPKRKLTVDTIF >KN540698.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540698.1:3252:12373:-1 gene:KN540698.1_FG004 transcript:KN540698.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYELFVEFSARLHVNLMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTREEDIILYGQSVGSGPTVDLAAQLHRIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKMPLVKSPVLVIHGTNDDIVDCSHGKQLWELCQNKYEPLWIEGGDHCNLQTFPVYIRHLKKFISAIENMPSEKESSSTENEKLLAETETASDGSALSDAPWTTSQRLEPPRKSMRHELPPRLSNDRVDKRRRSTGRREKPRSIVEKKEKSRRSVDTFDRTRDEHDQPDKPRKSIDSSSHFKISNGPKVLKMGLEINADVSYPKGPNTDPFKPKPEAQAASFAHEGTLTDRSLGASSVAALRPCAGRVRAPGAGAGSAGGGSRGRVVRVRCDAAVAEKAEEAAEGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGANNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEGVADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKFEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEETKEGEEAKEGEQKKKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHTSKNEGDLMSLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDNKESKQEYTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGERWGRSDTETEAATTGDASTETGSSEATVTEVIEPSEVRPESDPWRD >KN539947.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539947.1:9143:11781:-1 gene:KN539947.1_FG005 transcript:KN539947.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSPAAAHHHCLLPLLLLSSALLAPLASAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKIWKIYTSVLQCLSRTKYSGNDLLGVTAKVVDMPHIYVEHHPDIRKNFWDQQNWPKYVLVRYTWEEQSEIDVPGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGFAKVE >AMDW01013062.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013062.1:7:243:-1 gene:AMDW01013062.1_FG001 transcript:AMDW01013062.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASY >KN539947.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539947.1:36986:40133:-1 gene:KN539947.1_FG006 transcript:KN539947.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHEQAVDIIDPVADDESLANATDDAIEHIADVKPTANIDAADRVQAALQPPTWIPANWFLPILAKGKGKSGAAAALSTTITTAAASPSSHESPAAAAASLTKGQALRAWLYIVCNALNFAGALTIVLTLLVRRGSSATLTIASVSVMLLSLGFNCATTYVLIYSFELRVMAPVIGVIVNIEEDNEYLNNKRGWLTAVATLFVGMAFQAAIQLPAWFPDDWPQAFSSSYNMKHSGILRATVASAPSPISPQQHAATTLTKGQIWGIWWYIMFNTMTFTIALALLITLVAVGSLAWPKIIKYRKEKKRQREAQSNTAPPPLNVQDLIIS >KN539159.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539159.1:68167:68382:-1 gene:KN539159.1_FG001 transcript:KN539159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPADGEEISGVEFRVAEGDGIGGARAQALWRGSGIMLVGSGRARALERSTDLASCSPDLAELPLPSASS >KN539159.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539159.1:118876:121486:-1 gene:KN539159.1_FG002 transcript:KN539159.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSGMMRAHSSCQLVHVLCGRVPFQELVGLLSNFRSFWCLLFNPASVASAEFDLYQRLSPDCLPLANGGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSAAEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKLGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKTRRGKLPRFRASVSQIGWV >KN539159.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539159.1:31990:39482:1 gene:KN539159.1_FG003 transcript:KN539159.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVAIISDHFGPLVSEIVRRLELSPGQVKNSLLVLIQHNCVQAFNAPRGNGDKTVTHYLAIFDNIVHRQRFSKFLSIIRADIPELSEALLEGLLQNGSITPVREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSSRKRAPKTVEIALSIDKKVVNTAALSDAERFSEIPYIMEDASNANDSPRSSISGAKRKHNALEGDAELDSTIAENEVLWRANFEKFTFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLMERDNNSVTSPINGIMERLGQKEGDLSRIVEASRNEEIESLVRKKYGQEAFTIFRLLVRERGPVETDKIIDTTILDKQIVHGTLYKLWKDDYIDTERILSGTGTGNTQYFVWRVKNTFREQFIDNLCHAALNLRQMVNYIAELLLEVTY >KN539159.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539159.1:77032:81635:1 gene:KN539159.1_FG004 transcript:KN539159.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTVSMQDPSTPGIFAQPVAYGPELVPAYTWDPSFALLDGVQGRPVGVHQTNYPARPKYSSNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDIGMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGRYGLSSAGEGNDVTHSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPIFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDQPMLKVEKPEETKKPSQFVSTVDLDTAKPDEVVIDKIATELDTAKLSEEQINKVEVEIGTTNSSE >KN539159.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539159.1:105473:108254:1 gene:KN539159.1_FG005 transcript:KN539159.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLDKLPSGTSDPDKLAKMNAILSQFTEDQMNRYESFRRSGFQKSNMKKLLASITGSQKISLPTTIVVSGIAKMFVARIVMTERKDSGPQGNQSKQYVQAEVLRSGLLREWEDLVELVGGLATSRTKNDHADDLNTMTKRWPRRRAQRRGRGSTTVT >KN539159.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539159.1:22815:23447:-1 gene:KN539159.1_FG006 transcript:KN539159.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVPITCKKCKSCILQIVSRNKGVKSLTFDDEKSTLTVIGEVDVVVIVDKLRHPKKGKEKREGYMVEVMAVSDEKKEAEEKKKKDEEEKKKKEKEKEEEEKKKKECAEKLKQCAELQQCCRACRPYYVAVDDHPGYSCTIV >KN539159.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539159.1:130930:133668:-1 gene:KN539159.1_FG007 transcript:KN539159.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAVLAVVLAAASYAQGKDNVLRVVISSMYSTGWVGMGFSKDGMMVGSSAMVGWVGKTGLSHVKQFSLRGKTPSQVVADEGFLQSKDHDHTVVVQQAKIYLAFQLRFQSPLRRQQVLLAVGTGIPVNDRLTVHQDKTSFTFDFATGSSSGSSYPDGLKRAHGALNLFAWGVLLPIGAIIARYCRRWDPLWFYLHAGIQLVGFILGLAGIVAGVSLYNKIQADVPAHRGLGIFVLVLGILQILAFFLRPHKDSKYRKYWNWYHHWVGRLALFFAAINIVLGIKVGAAGNSWKIGYGFNLAILLITIITLEVIGDSDGDQVWGTDALACWIARTMAPLVVRCATGYFLLGQWPQMHTSGEEPAIVHCLQAEAADERRRAHA >KN539159.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539159.1:92599:92895:1 gene:KN539159.1_FG008 transcript:KN539159.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGADKAVATVLCVWGFSSYLYGEYTTQNKADGDGKVAAASSAAAAAADKIPTGGGAAEGDPVHEAV >KN539159.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539159.1:49529:49951:1 gene:KN539159.1_FG009 transcript:KN539159.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCHRAAAASPRNASSLPAPAPSSPRRTFRPDKSRTWAPIPCSSATCRESLPFSLAACATPANPCAYDYRSVSHSHHHHSLFFFLIIRIRIRICSSLIQKR >KN539159.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539159.1:4503:10288:1 gene:KN539159.1_FG010 transcript:KN539159.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALEISMEGIEARARELGVDLSTVDLDSVTLPAGEDFGILSDDEDLLRIDDPMELEMGFANVVVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKEGGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFDKYMKVPDEWMPAEIKPYTPGENLQKWLADEKARDQFVIRAGTFTEVYWNDARQKMPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGDDKFERLMRFAHAQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFSASGNISVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYSMKTSNNISRVSKLTTLKGKQANALFWSPGGRFIVFAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLASAVTSVHEMENGFQIWSFSGKQLYKVSKDHFFQFLWRPRPPSLLTPEKEDEIAKNLRKYSKRYEQEDQDAFNQLSEQERKRRKQLQEEWEGWVAKWKQLHEEERPYRMELRDGEASDDEEEYDTKEVEIEEEALGTGRAVAVAGGGGAGDGALHGLQLSARSCSDLLGHYLRNCSLILPDEFAREPAACGTASGEETPIEAISDIGIDKMNNLMFGNASSS >KN539159.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539159.1:113129:114634:1 gene:KN539159.1_FG011 transcript:KN539159.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDEEGYGYDDGGAPGGSAQELFGEPWFSKLFRAYGYVLPLLLASMLVATGPRAFLMAMALPLAQSAISWVVSFFTTRSRRQQEEEESYGYDYDDDPAFQRREEDDDDGDYYDAGAWQWRSRSHQQSTESGSGFGGWDDLLHDDEEKKEQESTGKKRTPPEPDTAAAETDRIRKKDERHSRINRDGQQASEIRSAGSA >KN539159.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539159.1:135457:137500:-1 gene:KN539159.1_FG012 transcript:KN539159.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRTKVNLDDHIIVPDLGPTDTSADPEKAVEDYVSSLSTPSMPMDHSRPLWELHVLDFPTSEAAATVATVNDVLVGLSSAALSRYYFRRTGESEGKKNIKVRTALMVNLRPTPGLHELAKMMESGKNNGVKWGNRFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMEAIFTYWSADMVVKLFGIKAAASLCYGMFSNTTLSFSNLAGPSEQILFCGNPIVYISPTSYGHPHALTMHWQSYMNTIKLALAVDETQFPDAHELLDDFTESMRLIREAASRGTDKAQDGP >KN539159.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539159.1:59265:61295:-1 gene:KN539159.1_FG013 transcript:KN539159.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >AMDW01035248.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035248.1:59:546:-1 gene:AMDW01035248.1_FG001 transcript:AMDW01035248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLYVAYHDEEWGVPVRDDELLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFNVDAVAKYTEKQMASLSAEFGLDLGTIRGAVNNACRISE >AMDW01025638.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025638.1:19:294:1 gene:AMDW01025638.1_FG001 transcript:AMDW01025638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMGCVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPP >KN544839.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544839.1:1371:2048:-1 gene:KN544839.1_FG001 transcript:KN544839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHVQMPAVDTEACCDDEKNPQPAREIISDHVAVRPTPAVDKTLSGASDLLKLLPTGTVLAFQALAPSFSNHGVFHAVANRYLVLALIGACAASCMLLSFTDSLIGHDGKLYYGVATLRGFRPFNFAGTREEHGTVFKDLSRFRITALDFVHAFFSAVVFLAVAFADAAVQTCLFPEAEADMRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >KN543723.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543723.1:878:2671:1 gene:KN543723.1_FG001 transcript:KN543723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMLLTMVIYDPLQEDSPFPQPPPAPNCEHCQWQQARSVAD >KN541061.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541061.1:1567:2516:1 gene:KN541061.1_FG001 transcript:KN541061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGRAASRRDSLGRAASRRELCGDACAAPEVQDLAWLCGKPGIRWRPMADWRLEKRAATPKLLSLTVGGAERFLPLIKKNMNLNGQCLLWFYIMIHLMDAKVQI >KN541061.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541061.1:30550:33945:-1 gene:KN541061.1_FG002 transcript:KN541061.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILVTCAEGAVKVLIGKLARVLSQELKLLRGVENDVLYIKRELEGMQSFLSNLARSEDHSDQVKTWMKQVREVAYDAEDWIDQFLHKMVAYHPCTDLDYDKIDQEQEDSSIKRFVSTCADIKLCMKSLSHQLKTCHYSCDVSNSLLRDMKLRHKLGTVIQDLRRRAEDVSKRSLRYAVSVQGNGNGIIMAEADSELGQNPHVGTIDNDLLFGIEKPKEDLIQLLKAEDDKRLVVHSVVGLGGLGKTTLARVVYENLAEYDCRAFVTLSQKFDLHRLLLNIMKELNKDADQSYITIASVCERLAGMKYLIVLDDVWSIHAWDSIKDAFPDEENCSRILITTRIESVANACSKGHIYRIKPLSYGDAYKLFWKILGYVETGSDSTDTKGCTTRLETPKLGDSSSVGASSEWPSHSVDVTRNEIEESNNKENSNETLKLLDSSIACTSSERPSEVPASHGVDNTTNEIEESTNKETGNETVKLGDSSIAGTSFVRPSVSAFHDVDDTKNEIEESTNMDTVNVSNASLSKQGELDGVATKIIKKCGGMLLAITHIAKLLASKQETKEEWEKFCNSIGSQFENHPNLGRLKHILTLSYNNMPYHLKSCFLYLSIYPEDYEIRRKNLVRRWVAEGFVSARRGMTAEEVAESYFEELISRSIIQRGEVGYSGKIKTCRVHDMMLEVIVSKSIEQNFVTIIGEQFVGVPQDTIRRLAAHNVTRIEHAQVAGDLHQVRSFTAFGDVKRYIWSFSFGLLRVLDLEGCKGLEKARLDNMCKLFLLRFLSLRTTGITRLPEKIGDLKELETLDVRQTMVKKLPVGITKLRRLSHFLAGSKRIRIGDDHDFRYLISDVMMPDSLDSMESIKTLSVIKMPPDLKLLERLTKLKKLGIYIFPESKACNDAWTDALQNLLKTLRSLIITGFDSGISLEFLNEIEMPNPLSLLSLELRGNLSTLPPWIMQLHNVTEITLCYSRLDWTESIDVLAKLQNLLSLVLQPLSIKINNLDDPLVVAVGFNALKTFIVDEGDFPKVNFLEQSMPKVEKIELSLTTIKGLGGLKNLVNLKEVFLQGPSDDKFEETVEQIKQTIINDLSDRKRPSVKVLKYAKTPPSSSGNANSGTDTNAGMNPSSSGNANTS >KN541061.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541061.1:5261:7989:-1 gene:KN541061.1_FG003 transcript:KN541061.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYIVHPALKLCSILLVTTSALGVCTKDILSISILVKKKTAVMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDSV >AMDW01005111.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005111.1:13:180:-1 gene:AMDW01005111.1_FG001 transcript:AMDW01005111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPEITKYKALVSAQPPRQEIIQDLFTMTEVAQNADAPAQKAEGSKKNFICGGMF >KN539429.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539429.1:68398:68904:-1 gene:KN539429.1_FG001 transcript:KN539429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCVEVSKKRKGESSALDGGEEDALAPPAKKKKMWLLPQEEVDRILAESNETVCTVYRELKRANPSLAWVRDEYARKGFVEVDYDYFGERAEVSRLYDEAREEVMGHWDHPSDTDDDDEDWKLFIRAIRRTFV >KN539429.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539429.1:95765:97654:1 gene:KN539429.1_FG002 transcript:KN539429.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAFNNVAEDLIAINATQSSQNMKIDATDHAITLDTAIGKRRLFHIAMNTKYSSHFSINYVLKKSHPVENEKETLMLPPSSNHEGPSIKEPTATDEGQTIMEHSSKEDQDPTTPPSLQPVSTPPDNNTGNQIITPAKRALQFETNDLIGQQWNCNPQSSHTTYLQAISVVTASKSSTVYVSVQAKLAADDLSNFKSTQTHTVSYVKNKIPHIDVFLIEQDFKNNEI >KN539429.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539429.1:40974:41411:-1 gene:KN539429.1_FG003 transcript:KN539429.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFINYFYNPRRATPYNATSFREYVAEGSAPALPETRRLYHRLLELGVKPVFLTGRTEDQRTITVTNLHQQGFFGWEKLLLKPAVHASGELQGSAIEYKSGERQKLQDSGFIIVGNIGDQWSDILGAPKGARTFKLPDPIYYIG >KN539429.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539429.1:71165:71725:-1 gene:KN539429.1_FG004 transcript:KN539429.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVTVSVSPTKKRKGESSALDGGEDDALAHPSKKKKMWLLPKEEVDWILAQSNEPICARFRELKRANPSLVPSPEEEKDEYTMLLYECTRESYEDEAKYAKFQAWVRGEYARKGFVEVDYDYFAKREEAIRLNEEAREEVLGHWSDRHHPSHTDLDDEDWKLVRSILERFDQRSAISRFNRRN >KN539429.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539429.1:81129:81419:-1 gene:KN539429.1_FG005 transcript:KN539429.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDATRRRCLDGGGGGGARVEEEEATEAHGALRRAEEAHRMMQSWDKRLRASRSRREAHAMDADGGGEGELRRQWGKRRRRGANHGVRRGGDRQP >KN539429.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539429.1:20714:21313:-1 gene:KN539429.1_FG006 transcript:KN539429.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGCSTSTRPPSPPCPTRPSMAMVFFYKCSNPNYYPLRRTKPYDHASFVQYVAGGTAPALQGTLRLYRRLLQLGIKPVFLTDRTEDQRAVTTHNLLSQGYYSWEKLLLQPVGLQTTTQAFKTGERKKLVSAGYIIVGNIGDQWSDILGSPEGYRTFKYPNPIYYVA >KN539429.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539429.1:104109:104621:1 gene:KN539429.1_FG007 transcript:KN539429.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVSVSPTKKRKAEEGSSAVDGGLEEEEHPPAKKMWLLPKEEADWILAQSNEPVPTEFRELKRANPSLVPSPEEEKDESTMLLYACVRNCYEEEAKFAKFQAWVRGEYARKGFVEVDYDYFGERAEVFRLNDEAREEVMGHWDHPSDTDDDDEDWKLFIREIRRTFV >KN539429.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539429.1:79158:79670:-1 gene:KN539429.1_FG008 transcript:KN539429.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVSVSPTKKRKAEEGSSAVDGGLEEEEHPPAKKMWLLPKEEADWILAQSNEPVPTEFRELKRANPSLVPSPEEEKDESTMLLYACVRNCYEEEAKFAKFQAWVRGEYARKGFVEVDYDYFGERAEVFRLNDEAREEVMGHWDHPSDTDDDDEDWKLFIREIRRTFV >KN539429.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539429.1:47697:49510:-1 gene:KN539429.1_FG009 transcript:KN539429.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRHHRRDSAVVVDEAVAYAESLQLAGNGKEIWVFDIDETSLSNLPYYANHGFGATLYNDTSFREYVAEGSAPALPETRRLYRRLLQLGVKPVFLTGRTEDQRNITVTNLRRQGYSGWMKLLLKPAVHAAGELQGSAVAYKSGERQKLEDAGFTIVGNIGDQWSDILGTPEGTRTFKLPDPMYYIG >KN539429.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539429.1:3598:4802:-1 gene:KN539429.1_FG010 transcript:KN539429.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLLLTVVAAAAAGAHNIIGWKTFTADCAKYLADYLTGERYPRDADVVINEAIAYAESLKLSGTGKEIWVFDVDETALSTLPYQANHGYGVQPYDQASFIQYVSEGSAPALQGTLRLYQRLLQLGVKPVFLTDRTEDQRTVTTNNLLSQGYCSWEKLLLQPVGLQTTTQAFKTNERQKLVDAGYVIVGNIGDQWTDILGSPEGCRTFKYPNPMYYVA >KN539429.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539429.1:88943:89449:1 gene:KN539429.1_FG011 transcript:KN539429.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCVEVSKKRKGESSALDGGEEDALAPPAKKKKMWLLPQEEVDRILAESNETVCTVYRELKRANPSLVPSPEEEKDESTMLLYTCARNAYEDDAKFSKFQAWVRDEYARKGFVEVDYDYFGERAEVSRLYDEAREEVMGHWDHPSDTDDDDEDWKLFIRAIRRTFV >KN543747.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543747.1:4040:4252:-1 gene:KN543747.1_FG001 transcript:KN543747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPNGLLMLTNGTNQLKGHAFFPAPLQFHRAPNSTAMQSFSTAFVIGIIGAFVDQGSGSPAAAGRHN >KN539429.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539429.1:106142:107151:-1 gene:KN539429.1_FG012 transcript:KN539429.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding ITSIIAFCKVQDEKMNMMPIDLLGGSNIINQILERAVEQTDVCHTPPKSCSTSLDHQKAEEFIGTSCRPHVVSESQEYYLVFLSLDLAEELEKMDEIYQDIRKRKRHSSRANVEHQWKLIEDHARKCGDIKKKIAAAGGCYQDIPSYMISFDDKKNGTCVYAMIGRSVHRAMHAHLGGVREEAAEPREVSCDGLLLSLPKKTGAEASRKRIWSRIRME >KN539429.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539429.1:61303:61812:-1 gene:KN539429.1_FG013 transcript:KN539429.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELSVSVFISPNKKRKAEEESSAVEEDAPPPKKMWLLPQEEVDRILAESNETVCTVYRELKRANPSLVPSPEEEKDESTMLLYTCARNAYEDDAKFSKFQAWVRSEYARKGFVEVDYDYFGERAEVSRLYDEAREEVMGHWDHPSDTDDDDEDWKLFIRAIRRTFV >AMDW01009463.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009463.1:103:222:1 gene:AMDW01009463.1_FG001 transcript:AMDW01009463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGQLDAESNVVVAPTATGDEGDDIVLASLPTREGWWT >AMDW01040279.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040279.1:40:510:1 gene:AMDW01040279.1_FG001 transcript:AMDW01040279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHPPSPLPPAAAAIPSPTSPSIAINHHAKLAIATMERPSTPDSGWVILSTIAVAGRRHSNNGSTAVGTTRNGNTIDRGMYPSRSLAHPPPNPSIVFVHSSDMNPALSPTIVCEAGDALLLSVNVRSEPYCRSDDRDYFVYRAHPHPDAVLGYA >AMDW01021776.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021776.1:109:189:-1 gene:AMDW01021776.1_FG001 transcript:AMDW01021776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGNRVAAEHTKGTEPVADGVGSSKQTV >AMDW01064018.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064018.1:56:1912:1 gene:AMDW01064018.1_FG001 transcript:AMDW01064018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAHVYRTHVEALEEQMRKQPKPFPILKINPVKKHIDSFVTSDFKLVRYNPHQKIEMKMAIRSVAGDLFDIYKTGKAVLSSSISIHLPFKFSYLGSNVKVSRYEEINEV >AMDW01040198.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040198.1:375:890:1 gene:AMDW01040198.1_FG001 transcript:AMDW01040198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETSLLQSLVVFNDRQVPLVKRPEHHNSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGASGSTFTEDILRLRRGWGSASHCDEYLCQGELPNFIAELD >AMDW01033125.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033125.1:35:374:-1 gene:AMDW01033125.1_FG001 transcript:AMDW01033125.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDK >AMDW01034039.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034039.1:251:346:1 gene:AMDW01034039.1_FG001 transcript:AMDW01034039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GNVISTPAVKGTILPGITRKSIIDVALSKGFQ >AMDW01037994.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037994.1:456:740:1 gene:AMDW01037994.1_FG001 transcript:AMDW01037994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLVVLTAINVVCLSPQGATAAPEGELHKLNLELPPPLPPGKEPSTEAYRFTQQVCDFGTSTFTEQMIGARCYDVLIPYGESFKGNQVKATQ >AMDW01040292.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040292.1:65:1288:-1 gene:AMDW01040292.1_FG001 transcript:AMDW01040292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGIPQVVWSANRARPVRENATLELTYNGNLVLRDADGSLVWSSGSSGRSVAGMEITDTGNLVLFDQRNVTVWQSFDHPTDTLLPGQSLMEGMKLRANSTATNSTENQVYMAVQPDGLFAYVESTPPQLYYSHSVNTNKSGKDPTKVTFTNGSLSIFVQSTEPSNISLPQASSTQYMRLEFDGHLRLYEWSNAGAKWTVASDVIKVFPDDCAFPMACGKYGICTGGQCTCPLQSNSSLSYFKPVDERKANLGCSPLTPISCQEMRSHQLLALTDVSYFDVSHTILNATNRDDCKQSCLKNCSCRAVMFRYGQNDSDGTCFSVSEVFSLQTIQPEALHYNSSAYLKVQLSPSASASTANKTKAILGATISAILILVLAVTVITLYVQRRKYQEIDEEIDFEPLPGMP >AMDW01029694.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029694.1:176:385:1 gene:AMDW01029694.1_FG001 transcript:AMDW01029694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LFSLYNLLGSPYAKAFVYKKALDLAAAGKAADCIIPTFKNIDSFISDWGIGKVEQRDLFLAAARILKDQK >AMDW01039654.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039654.1:55:752:1 gene:AMDW01039654.1_FG001 transcript:AMDW01039654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLEEKTLATKSDKNRILADLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQ >KN541153.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541153.1:2:3436:1 gene:KN541153.1_FG001 transcript:KN541153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRATYTFGRELGRGQFGVTYLVTHKATGKRFACKSIATRKLAHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLIMELCEGGELFDRIIARGHYSERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSKSEDSPLKATDFGLSVFFKPGEHFKDLVGSAYYVAPEVLKRNYGAEADIWSAGVILYILLSGVPPFWAESEDGIFDAVLRGHIDFSSDPWPSISNGAKDLVKKVLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSDEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNNPEIAPNRRRMF >KN541153.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541153.1:20790:23119:-1 gene:KN541153.1_FG002 transcript:KN541153.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAIRECPPVLRPNWRVIWYCGFVNGMEFGNDVRIRMDGGVHGESEQSSGEAALPRLLIEVPSQVIDGFDCVGGGGDATATATLSEQSKELEMLGKEKDVVISIPAPVYAPRSVSVSAAYEQEGAQIPYSVSLSMPASPSGFHFSRFGMAAAKAKAVHRDEARVAPAETRFDDAHPPVVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKILGEFDYGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKEYVLSISKPPSSDSDSSVSSKATTVTDPSVTRVKAEP >KN541153.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541153.1:4403:15515:-1 gene:KN541153.1_FG003 transcript:KN541153.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGSLPESIGGLGHLRVLRLDNNEFTGVIPASFRRLERASELRVDGNRLVGPIPFGKEMMWRLGKKLRVGGNEGLCYDAKQQGLEGVVALAGVADCDSVHLNASSQSIKQPKPRAFMNYSTWQPPGLPHGNFVLATNMGQDSPQCFFSNRSKLKPASYWLFLQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQLWQTKECRLSLRCKLMLILTQGLDLIPQQKPFSGIWLQRKTPKPMEHANLNLCKEPSTSPLPKHLQTNLASSDFAMHNVGMKDQPGVRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHKSGKMKNVSVR >AMDW01039716.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039716.1:189:1004:1 gene:AMDW01039716.1_FG001 transcript:AMDW01039716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRHLFNDTLLKINKELAYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQ >AMDW01029915.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029915.1:46:324:-1 gene:AMDW01029915.1_FG001 transcript:AMDW01029915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAKWKGRCDFNGGSVCNNKLIGARTFIANATNSSSSYGERLPPVDDVGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYK >KN540782.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540782.1:11298:17377:-1 gene:KN540782.1_FG001 transcript:KN540782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAQLVGAALTLLLLSVAAAPARANEVPRLFLQEIASDYDDGIGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFAKAIGGKLDYCIKDTDKEWNAAFNFSKDTTFLTNCMKQTNGDLQQRVCTAAEMKFYFNSLIDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACTVGKEQKINLQDDKDIPHRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGTGLQSQLSRTFSRKKAAQTPKGGGGGGSSLPPSGEDGGGRKKNLTDMMESLEDNPDNDEGFNLEIGDKGLRKNMPKGKLMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPTMSAGVSVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTSPASGDASVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGSSNRCQCLSLMPIASLFVVSFRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVILVALVYCVTGIGYTFAIFFQPGSAQLAGLISSRTKIEFTMHIFVWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVMANAQNYSGVWLITRCGSLVKSGYDINDKALCIVVLIANGIVFRCVAFFCMVTFQKH >KN540782.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540782.1:23766:24065:-1 gene:KN540782.1_FG002 transcript:KN540782.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAFAEKVWRSIWQKAGLHMPMASSFNGDMLSWWTACRREMIKDQRRSFDGLFIYTAWGIWLQRNARIFNGAYSTVPQVVDSIIAMCKAYEGAHNLDE >KN539974.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539974.1:48389:49207:1 gene:KN539974.1_FG001 transcript:KN539974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYATTRLYVDHPVPLLVGRQFGYTSPRFSLMAADPSPHMHKTKKLVRVRKQVEVVLPVEVLPPLPPLAVICKHKEHVWITQDDYTFRLLDINLVLTLAAVGCAGLGFFLFPRNRALGMALAAALYVGFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCVLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVERRVSAAAEFVELDGDTSLWIIRKDGSLAAVADIEGDTTFYLLAYRGIIPTGYDVVVHTAKPCVTCV >KN539974.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539974.1:18742:22983:1 gene:KN539974.1_FG002 transcript:KN539974.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVVAALLLLWPSPVSSEAAAGEGRRLHTLFSVECGDYFDWQTHSVEASNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIDPLILHYGLPFKVGNWSFSKLEHHEDGIAYDCNRLFPPPPFPREVEMMESDPNVKRGLYLSIECINTLNEGLVLHHASVGCPKPQWSKYLSFLKSRRFSELTKPKHWNGQTIENRNIVQHVASLKEDHKLPKIHTLFSTECTRYFDWQTVGLMHSLRGMTYVLHSFARYPAINKPAAVLHWISHVETDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKTEEVRADKAHYATNITGDIYSSGWISEMYGYSFAAAELTHSYSLDMQLGLHHIIRRDILIYPGYVPVPEVHYKVFHYGLRFGVGNWSFDKADWRNVDVVNTCWAKFPEPPDPTTVMKEDKAARERDLLSIACGRALNKALYWHHKSRDCPRPSPVDGASKKFQKVTASNDLGGNTEQSFIHNIKQKSHIDVAKLKPIKRVAATISSVHRARRLARSSRIWIIAVWAASVAVFLLVISMFFTDRRRSVSRSRVSRSQKAHV >KN539974.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539974.1:52536:53051:1 gene:KN539974.1_FG003 transcript:KN539974.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRGHRWRGLLDGLAARASGRELEAYAEGLGMMYLEFRTVDRSLESLHIEDLGIDADEAVAINSVLELHPVVKESRGALNSVLQTIRILSPRAFVLVEQDAGHNGPFFLGRFMEALQYYATLFDALDAEIPRYDARRARVEQFHFGTEIRNVVGWEGAARVERHECADQ >KN539974.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539974.1:61546:64748:-1 gene:KN539974.1_FG004 transcript:KN539974.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGVSTNLVNYLKYRLHEGSKSAANNVTNWEGTGSIAPLVAGYLADAFLGRYWTIVLSMVISAVGYGVLAASASVIRLESAALYAGMYLVALGGVLEPIMAPFGADQFDDGEDDQRGRRQSSFFNWFYLSLNCGSLVGGTVLVWVQTSVGWGVGFLDRATVKTAGEKAAQSPWRLCTVTQVEELKCVLRLLPVWATGIIYAAAYTQAPDAMRSLCSALSSTSFALGDYASSALVVVAARRGGAPGWIPDDINRGHLDYFFWLLTALCVANFAAYLLIARWYTYKKTVD >KN539974.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539974.1:13431:15659:1 gene:KN539974.1_FG005 transcript:KN539974.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR11 [Source:Projected from Arabidopsis thaliana (AT1G67710) UniProtKB/Swiss-Prot;Acc:Q9FXD6] MSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNGFEGLDEKSLFMRSDSDTMRKRKDVDKDHADQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPSNIANGYPHASQNIQTQANMLDSQLEDTKSTVPLPVPDKKRTLASDAADSQNLTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWTGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYAPPPLISMSCGMEGDARDFSDVKPAIMDCIKSLSPALTCTVDSVSVQLSDSVVTSIDGDLKSSGVDGLPSIKDCCLDQTNSQGSLRPSQEPSIIGSTELASLPEDLPSYPLHGVSLENIGLSSIDLLNYSDAMILSGLQSNWYDDLEFSSEMMDYPSIDECLFASS >KN539974.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539974.1:55599:58648:-1 gene:KN539974.1_FG006 transcript:KN539974.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPEPCNSISDCSQQQQSHHLTLTQQQDSTIICTNQELDYYYRFYDVDEVAFDGNEVELVSRFSKATRMDHLISTPCQPTWSPVKAAVHVVGSSETSRVRKKRFWDVLESCKQKMQKTKKLVRVRKKVEVVLPVEALPPLPPSLAIICKHKVHEWITPEDYTFRLLDLNLVLTLAAVGCAGLGFFLFPWNRALGMALAAALYVGFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCTLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVERRVSAAAEFVELDGDTSLWIIRKDGSLAAVADIEGDTTFYLLAYRGIIPTGYDVVVHTAKPCVTCV >KN539974.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539974.1:3033:4706:1 gene:KN539974.1_FG007 transcript:KN539974.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAASESRRWLLLRVGDQESLKTTSGLKPVEKKKLKRESVTNLIIDVL >KN539974.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539974.1:24278:32198:1 gene:KN539974.1_FG008 transcript:KN539974.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEICLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVDEINKLLDEEILPALEKLRKERCQYMKWANGNADLDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESTEKLKSEIQEMDKNISNLAAEKEAKLGGEMKTLSEKVDKLSHALIKETSVMNNQEETIKSEEKGAEKILKNIEDIKRSIIERDTAVKNAEDGAADMKKRADDLTKELDESEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKDKKAQLVSKRDEATAAENELKAREKDLETVKASMQSVNYEEGQMEALQKDRSIELDAVQKLKDKIRALSGELANVHFNYRDPVKNFDRSKVKGVVARLIKIKDSSTATALEVAAGGRLYNVVVDTETTGKQLLQNGDLKRRVTIIPLNKIQTGTIPERVRQAACRLVGAENVTLALELVGYVEEVKNAMTYVFGSTFVCRNMESAKEVAFNREVGSTSVTLEGDIFQPTGLLTGGGGDLLRQLHELAKAEADLADHEKRLSVIEQKIAVLLPLQKKFTELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESKQELKAKQAQYEKSVSTVSELEKTIKTYGSEREGRLKALERKIKSLKSELQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLTTSKAQIAAMTETLNRHQTKVASIKQDYDQAESELNIGRSKLKECDSQINSMAKEQQKLQQQLSDSNVERKKMENEVKRMEIEQKDCSSKVDKLVEKYSWIATEKQLFGKSGTDYDFVSCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKTVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQEDEVVCYALC >KN539974.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539974.1:69128:71784:-1 gene:KN539974.1_FG009 transcript:KN539974.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding HEGSKSAANDVTNWQGTGSITPLVAAYLADAFLGRFLDKAAVETPEDRSSASAWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFVLQGDTLDPRIGGLKVPAAVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSSTSFALGNYASSAIVVVVARATARGGRLGWIPDNINRGHLDDFFWLLAVLCVANFAAYLLIARWYTYKKTVD >KN539974.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539974.1:35501:40351:1 gene:KN539974.1_FG010 transcript:KN539974.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVAPPAPVPLLHWLVLQTRARAREVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKEAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEKLMMVDIRNMQAFALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVANKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKHKISCCLDLGMMSRSYKYLVIPFISTPLQMFELVALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQNCLLNGVSILFFYLKSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKSGRLKDY >KN544394.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544394.1:945:2396:1 gene:KN544394.1_FG001 transcript:KN544394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASKYRGYYHDEASSAGGGGDGYRREKQVRKKRLTAQKRKEIKEAFDLFDTDGSGTIDPKELNVAMRALGFELTPEGKISDVDIQRLAIETGEPFTLDEVREMIEAADENGLICCFSHTPAFSFM >AMDW01023310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023310.1:12:320:-1 gene:AMDW01023310.1_FG001 transcript:AMDW01023310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTNDGGVAGVEDDDGGGSKKAVVLYNTAPSFLTERGYYDHAGEEEEEEEDSDEYLTHSLFQEEDDDDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVAD >KN543400.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543400.1:143:1007:1 gene:KN543400.1_FG001 transcript:KN543400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFDNVTMGVICHGDASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLAPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKVTTLEPPTVYGGAVKDV >KN541027.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541027.1:2239:2983:-1 gene:KN541027.1_FG001 transcript:KN541027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALLRPTQNGGSSPVSAAGPEGRTALHVAAAINDKEIVEAILGWEPEGPSLLTSVDSSGKTPLHFATIYGRLGIVQLFLDGYASLGLARISDNEGSYPVHAAAIFGETRILDELVKKCPNYYELIDDKGRNLLHIAVEHEKKMVVRHICEDEMFAMLLNATDSDGNTPLHLAVKHGYPRIVGLLLGTSSVDVHITNKDCHTAGDIAFRASAKGKIHYFL >AMDW01066577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066577.1:204:497:-1 gene:AMDW01066577.1_FG001 transcript:AMDW01066577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIAELTEEELTLQGVPADGTETDMEFGEWEGIERTEIEKRFGVAAAFASSDAGMAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRSSSRAKW >KN541027.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541027.1:27622:30759:1 gene:KN541027.1_FG002 transcript:KN541027.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAFGAVNLVLGLIQDEARLLGRVREDLQFIMQEMESMNNVLRHLVANKGSATAGDYQLRPWMKQVMELAFDSRNCVELYTQSGSGRCGWLPWAMVARHRVVTRIRELKIRAREISERQARYGIAVSHPQLCIEAITTIEPSDASVRNQRRTYAGSSNNPSRRAILDEHWFGDYCSVDEALSRLKPFTQYLYREVEPPAQLNSDDKQQLWPQLNGGDKHNNKEQPLPPQPDGGNKEQLPLPQSGGCIKQQLLPQSDGGVKHDDEGQPPPPLQSDDGNKEQQPPPQSEGGVKQQQQLQSDGNDKQQQPSQSDGSGNHNGKEQPLPQSDGGDKQQRIRVVTISVQDGTDEAAVGKIVLERYISRWKRLWSQQFHISVQRPPILSEITKIMADKLKEKDDHATENEAEDRKKLAERLIGDKVLIVLSGLNYPVLWHQVLELLSSTGCSDSAVVLCTNDSKMAKYCCDYAKDGPPVIYSFVDIYLNRALALLPHSCEEGHLKGILCNILTQCCPDVFCMKMLLHALYYNADTTEHQLEVLNTSLGKESSDQGRQDRIMAFCYQALPNSYKNCLWYSTVFTRGINMPDGVRRASLLRRWVAQGLITQVDQLTAEDEAENCFEAMLRHKLIVHSGLSGARKVKSCTVHPVVADLIDRESSAVEDLLLNNQLPLDLDLLYSIRNGMQLNPANSNITRFLNSLSSTSRLLLTVLDLEGRKGLKANDLHTVCKIHKLKYLSLRNTDVAQLPKQIGQLKLLETLDIRGTRVQVFHTVLPMLKHLLAGSIIDCPGEDIVKSKESFSTVDKLHRCLRSLSIRMDPPGNWDSIDAVLLRPPKLLESLHICSIRSGLLPRIKELHHLAKITLRDTFLDQNALDVLSMLKGLCYLRLCYHSFAEGALLFYNFENLIDLVIEDDIIMSVTFGYGLDKLEKMVWSFIHMEKLSGVRRLHGLTHLEFKGGTCNPQNLEKLKRKVGEHSNGVTFTLKLPQNRQG >KN541027.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541027.1:18943:23446:-1 gene:KN541027.1_FG003 transcript:KN541027.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPAAAAAVEFGPHPHDMLTLDGELLRVLITGDRARLEEILREAGSYGGDDFWAVAFAFLTEKVPPELAEEILSWEPSLLTRIDSAGRSPLHFAMQYGKLDIIRLFLNTEASVARICDNNGLFPLHHAAILGSTVMIDEIMETCPDFSELVDNRGRNFLHCAVEHGQGSVVRYICQDDRFAMLLNATDSEGNTPLHLAVKYACPRVLSSLLQTAGVETDIVNKDGRTAADLAHHAFAPGQSYYFLNPHALILSCLQWVRAPLTIDGVSHLPLDSKSAQGEQAQKELDDMRKSGTIASVLIATVAFAAAFTVPGGFVADDHPHAGTATLARRFAFRSFVVSDTMAFVFSIVATCFLIYATGAAELPPSRRRWYSLIASGLVPLGAQFMIAAFAFGFHLVLGVANRGLLVFVYVVSSASVLLCFPGIWTPWRLGLGKATWRRAGWKGLINMYQRPCSLRVLFRCTPYSFLFENIRRPLFSVLITVTFLVAVTLDIVLPNY >KN541027.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541027.1:12190:17774:-1 gene:KN541027.1_FG004 transcript:KN541027.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCYPTPPTGDNLQLSQYNSSVDSPPPPPPQEDMPVGTPITVRRMGLESAATARIGGMPYAFATCKHGRRIRAASVVARRGAVASAHRRQQDIAEAILSWEPEGPTLLSRADSSGKTPLHFAVIYGRLDIVQLFLGDHASLRLTSISDNDGSYPLHAAAMFGRTKIIDELVKKCPNYYELVDDKGRNLLHVAVENEEEMVVRHICQNDMFAMVLNATDYDGNTPLHLAVKQGYPRIFGLLLGTASVDMCITNKDGHTTTDLACCALSPDRSRYFPDPQVTMLACLWWVREPFSLDHRALHIHDLHALDDEPSEQQDNMTKNITIGSVLIATVAFAAAFTLPGGVVADDHPRAGTATLANRFAFRAFVVTDTMAFLYSIMATCFIIYGKAREIPRSHRRACSLLASGLFPCGAQFLIGAFAFGFHLALGAANRGLIIFVYVVSSVAVLACFPNIWAPFRFGLGRAIWRRYGWRGLVSMHKRPSSPLDFFLLVFTGPLIEIRRTLFAVLISSSFVVAVALDIAKPNY >KN539201.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539201.1:37972:39198:1 gene:KN539201.1_FG001 transcript:KN539201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILDSKIINDRETGRSPYISFESCNA >AMDW01072789.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072789.1:121:498:-1 gene:AMDW01072789.1_FG001 transcript:AMDW01072789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMIW >AMDW01040289.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040289.1:304:1349:1 gene:AMDW01040289.1_FG001 transcript:AMDW01040289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLSSNRLTYPARAFDMAHCSRCLIPWQLYDGLYLAEVDRILRPGGYWILSGPPINWKKHWKGWQRTKEDLNAEQQAIEAVAKSLCWKKITLKEVGDIAIWQKPTNHIHCKASRKVVKSPPFCSNKNPDAAWYDKMEACITPLPEVSDIKEIAGGQLKKWPERLTAVPPRIASGSIEDVTDEMFVEDTKLWQKRVGHYKSVISQFGQKGRYRNLLDMNARFGGFAAALVDDPVWVMNMVPTVGNSTTLG >KN539201.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539201.1:99716:103346:-1 gene:KN539201.1_FG002 transcript:KN539201.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARVNLCHGGRGWHRAVMREVRRLNEEEGFCVSLMVDTEGSQLLVADHGGAASVKAEVSLEYGTDGSEWLFTSKRTDESHPFTMHVNFDKFSEDILVGDELVIDGGMATFEVIEKVGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDANDIKYLKTYLSRKSLEHIKIFAKVESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEAIVDLCRRLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALAVLRAASERMESWSREENMQKLLPQHQLAIALPDRISEQICTSAAEMANNLAVDAIFVYTKYGHMASLLSRNRPNPPIFAFTDNANSRKSMNLYWGVIPLQLPLSNNMEDNFNQTIKLMKSKGSVKSGDTVLVVADSDLNRPRAATSVFQSIQVRIVD >KN539201.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539201.1:18860:21773:-1 gene:KN539201.1_FG003 transcript:KN539201.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASERAGSKRAAKGTEGTTAAAAALQMHGDVLGEVLRRLAPRWLAACRCVCKAWRDAIDGGGLLRADLLPLSLAGFFLNFSCHDYSEYFSRPTTTTTTTTCHRHLITCKLDFLPSEGEWQMALDHCNGLLLLESGCVVNPATKAWMDLTPYPPPPPPLMEEEEQEEEEVKTYPEEHLVFDPTLSPHFEVLIIPHLLPFDKDRSKKQQPQNSAWPPSPLVLNVFSSRTREWEERPFVREGEAAGAMADVASVRGTHYAAYWRGTLYVRCQSNFVMRLSLLDNKYRIIHRPVDAGAREFSSRYLGKSKQGVYFASLSKDRLLVWLLDEPCGGDMKWVLMHQTDLGPSLATSHKLDGHWILHDINYECSTWLFPKGREPLTLARENFEWNSDDDDVVNDAAEDDTVKRRYDEYALILEFHPYKEILFIESMDRGYAYHLSSSKFEELGKLRPHFFINICG >KN539201.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539201.1:46814:48209:1 gene:KN539201.1_FG004 transcript:KN539201.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLFLQWADHIIAERRRREKINQRFMELSAVIPKLKKMDKATILSDAASYIRELQEKLKALEEQAAARVTEAAMATPSPARAMNHLPVPPEIEVRCSPTNNVVMVRIHCENGEGVIVRILAEVEEIHLRIINANVMPFLDQGACTMIITIAAKAN >KN539201.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539201.1:74473:74781:-1 gene:KN539201.1_FG005 transcript:KN539201.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTRDALASPHETTFPADRAELLSLHHGTLLLGLRRHARACRWERAGRCPSCRALYSMGSIGRRRRSQVPSGQRMSSLSTRMCHLRWSSSALTRGMLRRRA >KN539201.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539201.1:104600:107201:-1 gene:KN539201.1_FG006 transcript:KN539201.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARSPSPGPGARPGCAVRRSADSSPAASPCDLVALRSSAVCRNASPRASWHSFGGEEERRVENPPCKNHHHATAFDDAASAEADQGAAPYDPRTNYLSPRPRFLHYKPNPRIDLYRQGSSGGVRRLEDGFASESSEETVTTTTTTSSEEEVDLVDEEQQAHLSSELGDGAAVPAADACALPLEPASGSPRARVLTPEPATRSPRARVQTPEPEPTASSERARRPKKRSSLRFLVAPLALVVFMAAALICVPPPPPVDSPVMPRTALSKVSDFLSVQELHPVELAAWLKQWSSSSLNLVTSYWESLVWAQEQEFFGPHFAANLSAATASAHEGVDLYCNFVETRPVLMEDIGSTAFEQDSKIQEAVSASDSELISEISDVEQEDIPDKGDVIDDGFLAEELNVEMPEEDAEMSEEVSGSNGEEMASFSQDLEPSQPAGDAEPLENTETSTSSLEQDVQTDDSEGDRAADGEESPEADHGMKSELGMWPSYLDKISKPAAVGAALAAVIVPAALAFLLMSKKQAQAGANAAAEAPAEQAEPVVEKTLSGSGSSEGHLRVKGSQLQTPPVADETERFGGGSGASMYSSSLSSGYGRRKSSAKEDESLSLDPVSRRDSAAQPTSSYGSFTTYEKIPAKKRNKDDEAVTTPVRRSSRLRNQVKSPEA >KN539201.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539201.1:12748:17445:1 gene:KN539201.1_FG007 transcript:KN539201.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEVLILEGGRGFFVLVNMLEKIGLPPKPSMRGASWVVDATHCQGCSVQFSLFTRKHHCQRCGGLFCSNCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRASKANAKAASNPEDDVLSEILGGDGVQTKFSRRESVDPELPGASSSSSSSRRTSGAFSMDGNGGESLSIEAQNYELNNTGSIFTPEELRQQAVEEKKKYKTLKSEGKPEEALRAFKYGKELERQAAALELELRKNRRMATKAPSVSAVVSTKNLEGSDEAESKKSLPGKRVRKEKNDLASELKDLGWSDADLHDETKTTAMSVEGELSQILREVAPKSSEGNKISSIDKSQVNALKRQALLLKREGKLAEAKEELKKAKILERQLEEQEILGEADESDDDLAALIRNMDDGNQDDILLDNPRFPDFNFEKLLGTSDDLLIDGNFDITDDDMNDPDMTAALKSFGWSEEDEIQMEGHGPVSSLNQEALKEQVLALKREAVAQKKVGNVAEAMSLLRKAKLLEKDLETEQSESKVPAPQGHRSTHTEDITVAEKNTRPVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELKKGSVLEKQLEDLENSSARPAVKENRNFGSTPPYKVEPPTLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDEDADTASRINMPSNSSRIVSQKPTKSKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEQQLSEMEESVNLTASQQSARSAGQIRENKSGALLDPASSPDTSAHLPKLRNATEGVISLPVHAAELAASLDAQASSQSIPPTELIIPKPDHASKVHSEGTRSTLARPSFTDPLVTAERLHSPSDVHDHKEPQIPHGHDTLKDEILHHKRKAVAFKREGKMAEAREELKQAKLLEKRLEVSQENNANGRDESMKPVVQETNLIQQSASAKSRTDDISSAPPAQEIKPVQPPKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKSLESQLEESESQVSGGKSSDANDAAVEDLLDPQIMSALKSIGWSDADLSAQSSNAQPSKKAEAKPTVAATTKPQSEKTQLEEQIKAEKLKALSLKREGKQTEALEALRSAKRLEKKLASLT >KN539201.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539201.1:131525:131999:-1 gene:KN539201.1_FG008 transcript:KN539201.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRVMDNAHFGNLVCQALFRDGALAVVIGADPVVATAGGSGGERPLFELVHATQTLIPETGGAILGLLREAGLLKMVSSAGVDVTDDDDRNALFWAVHPGGRAILDKVEGVLGLRLEKTRASRKVLADYGNMGSACA >KN539201.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539201.1:119159:128117:-1 gene:KN539201.1_FG009 transcript:KN539201.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNPFLREGSPSETSSSLTSEAECEETSFDDQRSSEVYPHGNFVNEHTGDCALPQSALLSEDTCKETNPESTSSQVPCENGDGCLNGLEQEALPSEVACSPSLKDSHNLLLEGSEEDAICRRTRARYSLANKSLEELETFLQESDDDGDLQNVDEQEEYRKFLAAVLSGGDDGTQACQGDENQDEDENDADFELEIEEALESDGDENAENYEDTNIMKEKDGRRRQTRKNRPCTELSGAANEHYGSTKSSLRPILPFISPELLASGQPYGWQYPSQSTFIPSSLMPVNGAALANGFSDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQLATDVKKMIVELVGCCDRALASRSTIHRQFCFEPQHLRSSFGFSSSETLQYQWMPLIKSPVMSILDVSPLHLALGYLKDVSDAVVKYRKSHVDGTADKNRFKKEPLFPTTVFNTCKDANKVSQGRSNSVSSSPDTSGKSQQKKTLAATLVENTKKESVALVPSDIARLAERFFPLFNSSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTNEEQQRIQEGLKAFKNDWALVWRFVVPHRDPSLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNSQAVHGQEADNNGSEGAENDDDDLYVNEAFLADTENRSINYQPYQLSLPRNAGNGMMMQSGSSLCEESGVAGDSAEQQKGNGTNFDVTASYFPFSSCTSDGLSSKRKVQGGSLDQPQASQFSKEKGSCVVKLAPDLPPVNLPPSVRVISQVAFHQNATQLNGTSDNAAKDLFPVPPPTFSESVYRQLNLFPDHSTNVRLHQSGISNGNTTEDGAEQDFQMHPLLFQYPREVLSSYNHPVQNLINHSRDLFPFEKVQTEKSNNQTTDCIETRTPVNANTIDFHPLLQRTEVDMHGEVLGDDCNRPYNQSECNMREAPADDQSTARKKSTGPCEKENNIDLDIHLCSSRDYMNGNDAGGTSRKLNDRAEVSRKDKASVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEEQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQRLVQVGGKQGAATQKPQRLSNARPAREKLKGDNAKRPGSRTTQRSSTSPTTEPSQTKTRRPKAQQIVLDVAHAVEVSTGGGGHIGNEYQRSPVMAEAEINHHLGCQ >KN539201.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539201.1:73398:73730:1 gene:KN539201.1_FG010 transcript:KN539201.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQGEGGSGGGGPVGSGGLGIKAEDLLGGGGGGYSPRGGGSLGTGARFSMMRRRIPSGMEGLGVRVEEDFLSGSGGPRGSGGLEIWEAEKDTVERRWRPYGLWVAEA >KN539201.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539201.1:24507:28097:-1 gene:KN539201.1_FG011 transcript:KN539201.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIPAALRHPHAHAAGLRLLRSSGDPCPPCTLPFRLGGVPLKKGHVYAGAVSATRSPGLGNAENLRESPSLSRSWGMNGQIGDDHDVLIECRDVHKSFGNKKVLNGISFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKVFQSAALFDSLTVRENVGFLLYENSSLPEERIATLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVQQFASGSLDGPIRYF >KN539201.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539201.1:9900:11003:-1 gene:KN539201.1_FG012 transcript:KN539201.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDFSGHKCKAVYWRGALYVHYKTYFIMRFSLSDDKYQVIKMPTVKSNGHSHFCLGRSEKGVYLALVTNPRSLQVWVLNESCDEMEWVLKHENNLDSVFPRQTRRRWMLLQDLDKKDSTTFRKEHDEEIDFEWNSDDNDDDDHRGNVLPATIFQGYHGNFHNNALGFGNFPQPPIPMFYHGYHGNIDVLGFHPYKEIVFLCEAMQTGLAYHLNTSKMEILGKLPLVSSCEEILSNKSFTGVSLPYTPCWM >KN539201.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539201.1:94932:96557:-1 gene:KN539201.1_FG013 transcript:KN539201.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPKESSRDPPPASLDSIVRAITVGPSILDTGCDEQTGLPGGKVGAEDQRRRNGDQGGRGGGSSRVVIVDSYKDMESTVDVSDSTLSFVACIPIRVATDLVSYVSSVRKIAFGGNLLAAQQPIMLVTTPTGARK >KN539201.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539201.1:135267:135650:1 gene:KN539201.1_FG014 transcript:KN539201.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGRVVGDGGAGAGDDNIILNPEFDSGLDNWSGSGCKIELHDSLDDGKVLPVSGKYFVAATGRTDTWNGVQQDVTSRLQRKLLYEVAATVRLSGAAAAPSPCEVRATVAVQNTDGRQQYISVA >KN539201.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539201.1:115705:116195:-1 gene:KN539201.1_FG015 transcript:KN539201.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLLLLLLLALLLASSVLAKAAAGFTEEKGVAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPITPQRLQKKGGQGDDRRRKKKQLLGHGDRAAAAAGGRAMPDSYDDHSNYKPLSWRCKCGGMILNP >KN539201.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539201.1:30399:30650:1 gene:KN539201.1_FG016 transcript:KN539201.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKWKGLACILVWMGSQLHWLMWAYMLEFKGQNVFIPLWVAGLMFLAANIFVMLMVINHHKFTPLFSSSTVKSASKIAAKKE >AMDW01069321.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069321.1:37:384:-1 gene:AMDW01069321.1_FG001 transcript:AMDW01069321.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDGSLRWAVRSKRHGEAETEEVFDAVVVAIGHYSQPRLPTIDGMDRWRRKQLHSHSYRVPDSFAGEVVVIVGCSVSGAELALELRRVSKEVHLSAKSMEETITSAMSKSVA >KN538869.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538869.1:144060:151010:-1 gene:KN538869.1_FG001 transcript:KN538869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGAQSRHFSPSSSEEAGAASVRNVNVRGDSDKRKRVVVIDDDDVEDDELVENGGGSLSLRIGGDAVAHGAGVGGGADEEDRNGKKIRVQGGSPSGPACQVEGCTADLTGVRDYHRRHKVCEMHAKATTAVVGNTVQRFCQQCSRFHPLQEFDEGKRSCRRRLAGHNRRRRKTRPEVAVGGSACTEDKVSSYLLLGLLGVCANLNGNVFMDSTIVLAADNAEHLRGQELLSGLLRNLGAVAKSLDPKELCKLLEACQSMQDGSNAGTSETANALVNTAVAEAAGPSNSKMPFVNGDQCGLASSSVVPVQSKSPTVATPDPPACKLKDFDLNDTCGGMEGFEDGYEGSPTPAFKTTDSPNCPSWMHQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELSDNMSSNLDKLLNSSTGNFWASGLVFVMVRHQIAFMHNGQLMLDRPLANSAHHYCKILCVRPIAAPFSTKVNFRVEGLNLVSDSSRLICSFEGSCIFQEDTDNIVDDVEHDDIEYLNFCCPLPSSRGRGFVEVEDDGFSNGFFPFIIAEQDICSEVCELESIFESSSHEQADDDNARNQALEFLNELGWLLHRANIISKQDKVPLASFNIWRFRNLGIFAMEREWCAVTKLLIDFLFIGLVDIGSKSPEEVVLSENLLHAAVRMKSAQMVRFLLGYKPNESLKGTAETFLFRPDVQGPSKFTPLHIAAATDDAEDVLDALTNDPGLVGINTWRNARDNAGFTPEDYARQRGNDAYLNMVEKKINKHLGKGHVVLGVPSSIHPVITDGVKPGEVSLEIGMTVPPPAARCNACSRQARMYPNSTARTFLYRPAMLTVMGIAVICVCVGLLLHTCPKVYAAPTFRWELLERGPM >KN538869.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538869.1:182631:190907:1 gene:KN538869.1_FG002 transcript:KN538869.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTSHLQGMLNRTVRILEAGIKPVSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSIAGKLGISSVRGALGSWNAISRVLASTPFHQEPSSLHCFEMLTPNSNHKNILLGLSGLKAKSVLPKAQPYLDDTLYPFSSGINLACRKNIQDYMRHHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNNEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAVEVLCRVGIQDCFEGIICFETLNPPTPTCHGLHKPLSSISDELSSDLDDLDESDGFRPKSPILCKPSIEAMEAVIRIANVDPEKTIFFDDSVRNIASGKAAGLHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESEVVLASTASETTVIA >KN538869.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538869.1:121802:122364:1 gene:KN538869.1_FG003 transcript:KN538869.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALEAGGLFRGLLLLLLYPVLLLLGHDRATKLMVMVSFAGVRKEKDGSPSFRVGRAVMPKLFLEDVSAEMVEPFLKEYLAVDAVVAPELRAFRGYYLGLAESDGEVMQRLDMEEVIGVKERGGAGDGDDDGQVTVVGIAGLGSSFHQLFQNYCKVGVE >KN538869.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538869.1:162078:164424:1 gene:KN538869.1_FG004 transcript:KN538869.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWPVASTIFFSCILLLETISSSGASLDCSYGSAQVKILSSSVVSEQQNVYLFLKPFQRTRPCSARRLAGEFVNGVVVPNLRLNVTGVVVTANERQLGALRCTLESVQAELAAAGLDRSVKVSPELSLPSLRAMAKCRRRGEKHWRRVMEFVRRSGSFVVVEMGAEEKGDLAVADVAAAFEEGVAVAFRLSGRAARSAAEMARQIGDADKGRRWTGVLAEVPSPSPRRELAAAARTTARDVFAPVTNPTTTPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNLPPLYPEPTPVQELACFRHHQQAVYRCILDDLSEVS >KN538869.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538869.1:174828:177328:1 gene:KN538869.1_FG005 transcript:KN538869.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETTGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIYAQAARHRLSSASRLLNPLLKLAML >KN538869.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538869.1:111025:116082:1 gene:KN538869.1_FG006 transcript:KN538869.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase [Source:Projected from Arabidopsis thaliana (AT3G06580) UniProtKB/Swiss-Prot;Acc:Q9SEE5] MAARVPGGGGAAAAAEVVPTFSSLEPVYGDGSPLDEARLRFARLADKFHAVYAARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRAEGKEVRVANVDDKYPICVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDMGGPVGLDVVVDGTVPTGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMETKKAVSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKLLHEESYTTEEIEKITGQSLTSVFQSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSAEDMLQKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEGIVPQFILNLKETYYKSRIDRGVINQKDLGLYVFASKPSSGAAIFKL >KN538869.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538869.1:192619:194583:-1 gene:KN538869.1_FG007 transcript:KN538869.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLHGNGNGKGKRKRPEQPAPALEPEAEAEAELNSILLRKMGCTNMLSPSQLSKIKTMLQSRPDICKSNSHLKLCYSLRNRNVFVPKTHKPRLGPFQYKKGKEPVYDGSWQTPTCAKVALEHYNRSNEDEYEMVKALDSVSSFFNGMWVHVNFLAKLKGATQCPDLVPKFFFAEVKSDFDGRSCVSCVKIDPGNPEATPIRGCGICQNNEIYHPAVGGHRGDRKSAS >KN538869.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538869.1:165623:168255:-1 gene:KN538869.1_FG008 transcript:KN538869.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKARRLSGSRHFRQRVVLATLTSTAITIDDIRSGGAAPGLRPHEVSLLHLLHKISDHHSLDLNETGKDARFLPRAYLGTKLRYKPGVIVGGRDLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRMVTLHMLKQFGVPLEGLELKIESRGAPPLGGGEVFLRVPNINSTLKAANWADEGMVKRIRGVSFSTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSAGYGVSVVAETTTGCLLSADATGLLFILCALSPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKTS >KN540304.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540304.1:582:725:-1 gene:KN540304.1_FG001 transcript:KN540304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQLFSVVLVLLSKLALSTGMRPFALLAYRNLVGAVAVAPLAFIFE >KN538869.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538869.1:127755:132098:-1 gene:KN538869.1_FG009 transcript:KN538869.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGSQSKSVLNHASPNRQNAANCEVLNTPESDVMDGENRSSHVQGGSNVSGVNYGQKTKGIKKNNRSNGISSSGKSDDRASKKQSVDTNYDIGNSGENDNELSSSTSKARRDSKRSSRRGCGKNSSIEQTPMPVFAEKVLEKTRCIACMAASIFRASVMYIMEESKLLVERNRPAITTFMAIVHKGHDYVRSKIKYTYPICRAWMFSAGKLILLLLAVWFNCNIRGFDSLLRLGTNSLLTVLWCSTLSVFAMIGLKKMLILMVIAAAVVAFVGLGFAVLVIALAAVVILWLYGSFWTTSGIIILGGASFFLKHERFALLVTCLYSMYCAKSYVGWLGLLLSLNLSFISSDVLVQLLKNNVDNNKSTGSSRNSEQNSGKSGFFGEFRQSSADNTSQSEYAQPSDRGPGDPSTSGAEKELTSEDEVSRLLNCTDHYSALGFHRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGGSGIFRQGFSPSEGVDEGPYGLSRRIACKKCGDFHLWIYTGRAKSQARWCQDCNDFHQAKDGDGWVEQSFQPVLFGLLHKPELPHAYVCAESIIFDVTEWFTCQVIQIFFNEISDSFSCLFDIAPTWWLVLYGMRCPANTHKPSFHVNASLLKQNSGKGSTSAQRGGGIPNGVNMDGGIDEEEFFEWLQNAVQSGMFESFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >KN538869.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538869.1:107369:108639:1 gene:KN538869.1_FG010 transcript:KN538869.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding SLGRVGVGHMVYMSGLTCTLSSTNKLEVAWTEKEPGSLFVNISLLPAVINSTCLHNLSLLSDLPHSTNRTHICHVRLDHIDVNSLKVLLFHNLCGCVVSDQSGGLQCSFYDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNESFTVAIANTSQRIEAYIEGEKSLPVWEITRAQKCE >KN538869.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538869.1:178092:180476:-1 gene:KN538869.1_FG011 transcript:KN538869.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTFSVASAFAGHQEAVQDRDHKFLSKAVEEAYQGVDCGHGGPFGAVVVRNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKANLEIRRADGNGALIAEQVFENTKEKFRMY >KN538869.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538869.1:196777:212769:1 gene:KN538869.1_FG012 transcript:KN538869.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARWLLVMFSCSFLQDLEATSHRAHTELECDGNLPCLCCIRSGYFGAAEGSLKEEGFVGARNFADVFDAASRDANGVTAGAKNTGVESSLEGSFQSSGSVVGVFDDTDVTTIGDLVSASDGSPLNVDKQGDQDSGAEVVNDEPVGAEAVNGIEPEPLVGESVDAEVVNGVVPEPLVGESVGTDGSGMHVEDELEMKGEKSDNGIAEFPPVVSAMEKQDTDLELRNDDSGAKDGADNHEDATNFVDSSTGYVATGDGASELLEVPANADDLHFVTDDGHNDDTEETDGGYEASDGYVSMPTFGNNNAVEIPVNESEHNVPASKGRRFGLGDSDDEFHDDGVEEQEGEVNGKEIEFFDYAALAELLRAATPGQGMAKVFPIESSVPLQVPPTTVNVPRKNVASSPVLEVAPNPENEMTEEERKLYRKVDVARIKYLRLIHRLGYDTEHHIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKALQLEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTSAFLPATTAVKEISGVVGGVKFRVVDTPGLGTTHMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLQCITSVLGSSIWSRTIITLTHSAAAPPEGPSGIPLNYEMFVTQRTHAIQQSIRQATNDPRFENTSALVENHHLCRRNTEGEKVLPNGLIWRRLLLLLCYSVKIVETNSLSARVASPANLFSLRFRMPPLPHFLSSLLQSREHPRCAADQDVGDIDPDELINEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLEKKQLKEQLRRLKEMKIEGNNHDVLGDNDNPDDEYETERSVMPDWALPSSFDSDDPAYRYRCLDPKPNFLVRAITNPDGWDHDCGFDGVSLQYSLDAANAFPASLWVQVNKDKRESTIHLVSSISAKHRENVSSLAGFDIQTIMDQLAYTLRGESKFKNSKKNTTTGGLSMTFLGDTMVTGAKFEDKLSVGDRLTLLANTGAVSIRGDTAYGVNMEATLREKDYLMGQDLAILGASLVRWHKEWSMAAKLDSQFSMGRASNVAVHVDLTNKLTGRVSIKANTSEQLKIALLGYLYFKTEFLLEGHVKVLSFLGVLHSKVSTLVVAEHEGGFVKPSSLSALAAAEAIGKDDNRVSLLLGGSGPGLHKAAEHAASSHPLVSEVLVADSDAFAHPLAEPWAELLRSVQHKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTSISEPRVFVRPIYAGNALCTVRYTGEDPCMMSIRSTSFSPTEAMSEAKVAPITQVDLSFLSEGSSRKSAWVNLKSQDTERPDLANAPVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVADLFEVLDELLKKLPDKK >KN540304.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540304.1:41117:47837:-1 gene:KN540304.1_FG002 transcript:KN540304.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLPRLLPLLLFLPFFHIAASTSPSGLHPVVLLPDTTCSQLEARLTDAYVPPTPQCAARHKDAGGRGVRLRLVFDHVAGDYRNVPGVETRVLHFGSTRGFLADEPADRNRCMGRLVEALEEVGYRDGENLFGAPYDFRQSPAALGQPCRAFSRYRQRLRALVEHASSANGDRPVVLVSHSEGGYFALEFLNRSPLPWRRRHIKHFVMASTGAGGFVKFMEVVASCVSDVSPLARVRRSVPSKFTPLPSPKVFDRDAPLVVTRDKNYTAHDMPAFLAAAGLPEFEATLYVTRELPMAMNFRSPVVPTTCINGIGVPTAEKLVYWDGNFGEAPETVYGDGDGLVNSASILALDTVIGDDPMQQYYKSIKIAGMYHAGVISDGVALERLISEILRESFVQDSKKENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLSAKKPWSLSFSFGRALQQSTLKAWAGKTENVEKARAAFLVRCKANSEATLGTYKGDAVLGEGAAESLHVKDYKY >KN538869.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538869.1:139175:143056:1 gene:KN538869.1_FG013 transcript:KN538869.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEEMEAAAEPHNVLRGKVLKAADVAEAMLFLASDQAAFVSGHNLVVDGATTAVNYAVLHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTEDELRALIEKIKSAHASAAVAMRVSFLVTDACDNLMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKADRSLRQNEGVTLKRLRIDNMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHAMTWFSSWAVDGDHSSPDSSLEVEDSSLENRCEKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLDEKEAYMFHFEPD >KN540304.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540304.1:36660:36887:-1 gene:KN540304.1_FG003 transcript:KN540304.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRRHVKHFVMASTGAGGFVLGLQSLVSGVSDASPMGLAGRSLACKFTSLPSPKVFDRDTPLVVTRDKNYRSS >KN540304.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540304.1:15573:27575:1 gene:KN540304.1_FG004 transcript:KN540304.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKTTLHDTLAGRLDLNLKMRGQILINGRSQKLAFGTSAYVTQDNVVMATLTVREAIYYSAQIQLPDTMSTAEKLAGADDTVREMGLTGALDIRMGGRSSKGISGGQQKRLSICLDILTCPRLLFLDEPTSGLDSAASFHVMSRITSLAAREGMTIVAVVHQPCSEVFELFHGLCLLASGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEGLPCMPPEEAVDILVNSYKSSNTSEVANQEMRYVNEMDRAMIGRNRPGFVTKTLVLTRRSFVNMYRDIGYYWLRLAIYVCITVCLGTIFYHVGYGPDSIQARSHMLMFIATLLTFMAIGGFPSFVEDMKIFRRERLNGHYGVAAFVISNTLSSTPYLLLNAVVPGAIAYYLTGLQGKIEHFVYFALVLCACTMLVEALMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQIPNNLPKIVWKYPMYYISFQKYALQGFYKNEFSGLVFQSNLGGQEAVSGEKVIVELFQVETDDPNESIVCHSACDTPGRRPIGNLGCPEQG >KN538686.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538686.1:533593:537436:-1 gene:KN538686.1_FG079 transcript:KN538686.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNGKASAGHDKKNENKLDEGGTSCASHSPDTRAFRSRSRHGKPSSEESSTPQLRRCLSLTSSAIDRSLNERTMNFSGDIPCSFSNSSDAPGHIGDAEYYPWSQERHTNMGEYTIEVPKTHGAQESDSPHSRCYSCSAGHSPVSSPVVLKCRPSKLTNLSSKNEVLDLYIDGEQESNSLNEKHKLKLPVRSSSSYLGRGRPPRPHSTAPSSPKSCKEIVESYSYSSIGMIDACQLAQEETKGIWKVASVCAEPGDDAQMLEASSEKFSHIEECKSQSIATLEDIYDRLEDAQPPCFCDTSMDYISGTTSRCFDADVCCGDDSHGFHDNNLEQDTDEKLLRRAKELDECFMVALEENNELNMLRDNSLSSTEMLQLIQTLIEDRRQLALQLSSQIKARLTERFAAKEQHKRSKVELNTRTRRLEKEKSDVQSTLEMELDRRSNDWSVKLAKFQSEEQRLRERVRELAEQNVSFQREVTLLESNRIDVSNKITSLELQNKQLNDELQKVKKEHDTLLKSSVELNDNLTKTAEERNQILECLKEKGGDNKALHKVIARLQRISNEQEKTITGLRQGFNAELENKSLGTSESISRMQMELIRLTGVEQKLRREIQSCNREVESLREENIAVLNRLQSSDNKLSISSVRLEQELNTRVDNLQLQGLSLLDDTSQLCAKLLDSMKSKRSESFGSVDALASIEYTLSYQSIQERIKNLKQCLWAIRSMLTEKHNEEEKIGERTESCILKQDHLSKDDIEFKLKEESMLCRVLKEKLLSRERDIEQLQSDLASSVRIQDVMQNEIQRVQDELCCLTHKSKHLEMQVLKKEENISQIQQDFQESSKELTALRCTLKTETKQLRKTISALQNDVASLKQKMKSLDEDILLKEGEILLKEGEISILRDSIGRPSDIICSPRPSKLFESE >KN538686.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538686.1:614412:615702:1 gene:KN538686.1_FG080 transcript:KN538686.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIMLPAASAAAAASVFALLVLTSLQPRAARAQGCPAACRPTHRQRRPPAAAAAVRRRTYPQEAT >KN538686.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538686.1:498760:504291:-1 gene:KN538686.1_FG081 transcript:KN538686.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFLSEILGVITCQSVGICFILQGCFCVDLGCIYILLQIFDVTFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDNLGNVIESRKDGVGAPKAYWHEGIGMMVVKYAVKDNVLISYPRIVVVYWHEGVVILLFLTIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEDVRDAYRKKGWALTNIEEIDQCKREGFVQRLKDEQGEGCSIHGFVNVNKISHKINKLSFGVEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >KN538686.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538686.1:465793:469488:1 gene:KN538686.1_FG082 transcript:KN538686.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDMEALLEFGRGIRQDSSGHRATPWNPTSALDSDGCPLDWHGVQCSNGQILSIAFDGAGLVGNVSLSALARMPMLQNLSLSNNKLVGVLPRDLGSMASLQLLDLSNNMFSGQIPSELIKLANLGHLNLSSNGFGGALPLGLRNLRKLKYLDLRGNGFTGKLDDIFAELQSPVHVDLSCNRFSGSLISISDNSSVVSTLQYLNVSHNMLSGALFESDPMPLFDSLEVFDASYNMLEGNIPPFNFVISLKVLRLQNNNFSGSIPEALFRQTSMVLTELDLSCNQLTGPIRRVTSMNLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGNLSVIRTWGNYIETVDLTSNRLTGTWPNETTQFLRLTSLRISDNLLAGELPTVIGTYPELISIDLSLNQLHGPLPGNLFTAVKLTYLNLSGNSFAGTLPLPNSEAKSSTFIDLLVLPVQTSNLSFVDLSNNSLNGSLPSGIGALSGLALLNLCQNNFSGQIPREITKLKHLIYIDLSKNNFNGTIPEGLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPHSASQNGPDSSGGGRRGMKRGILYALIVCVVVFVTGIIVLLLVHWKISSWKSSEKGTSQSKQPATVGECSQRQGEAPTPEMQEVSLESSSSTEYVGNPLPGKERQHEAQDVSVHADQIGSSSTLKDNMASLMPPLTSSPPDVRAQLQHSVLRVHSPDKMVGDLHLFDNLVVFTAEELSRAPAEIIGRSCHGTSYKATLDNGYALTVKWLKEGFAKSKKEFSREIKKLGTVKHPNLVSMRGYYWGPKEHERIIISDYVDSTSLSSFLSEFEERNIPPLSVDQRLNIAIDIAHCLDYLHNERVIPHGNLKSSNVLIQNASPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFASTSKPCPSLKSDVYAFGVILLELLTGKIAGEIVCVNDGVVDLTDWVRMLAREERVSECYDRRIVEAHGSGGAPKALEDMLRIAIRCIRSASERPEIRTVFEDLSSLSS >KN538686.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538686.1:579920:585590:1 gene:KN538686.1_FG083 transcript:KN538686.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNSGGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDTNDKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKDISRFLLVSPTSQEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTSEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAKNAGLYKGLKQLSELISSYQSLKDTGRGPQIVNSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNNKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALKSAKIVVDRLLERQKVDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQARELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDRLLKHGGCLRRTGTGGRSDPYVYMGKFTATVAVRNLGT >KN538686.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538686.1:635297:637219:1 gene:KN538686.1_FG084 transcript:KN538686.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLVMDQGMMFPGVHNFVDLLQQNGGDKNLGFGALVPQTSSGEQCVMGEGDLVDPPPESFPDAGEDDSDDDVEDIEELERRMWRDRMKLKRLKELQLSRGKDPAGGAVGDPSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGCESELASGTGSPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGIPPPWWPTGDEEWWRQLGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLNPGARPPAPTGGITSAISFNASSSEYDVDVVDDCKGDEAGNQKAVVVADPTAFNLGAAMLNDKFLMPASMKEEATDQSTENKPSPPAIFPATYNTPNQALNNLDFGLPMDGQRSITELMNMYDNNFVANKNLSNDNATIMERPNAVNPRIQIEEGFFGQGSGIGGSNGGVFEDVNGMMQQPQQTTPAQQQFFIRDDTPFGNQMGDINGASEFRFGSGFNMSGAVEYPGAMQGQQKNDGSNWYY >KN538686.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538686.1:451116:451472:1 gene:KN538686.1_FG085 transcript:KN538686.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALSSTRLKSIVTFASVVTNEYHEALLSRRFFEQIADMRRWERWSGSCCADHGLATSCLHTRSHPISCVALNLLGSQHRYGARILQAYEAQQMRDQHCYRPKDIGMQDSHSCTPNM >KN538686.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538686.1:586125:586904:-1 gene:KN538686.1_FG086 transcript:KN538686.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLELNIKQGLLYDQCYALAGMDQPGNFNITQGVHDTVRIRYYRDYITELKKVIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVELWTIVYKTLKSYPQGLKRSGSRTCSPERRGTKVCRLIIKASKASSLPLSEFRTKLTLAYAHLSTLVAIIKCEK >KN538686.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538686.1:548897:550942:1 gene:KN538686.1_FG087 transcript:KN538686.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRGLDAAAARLLLGPAPARASALRYSSLQAGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGGDLGVLEAAAEQENSADVAPPQPEEDSNTENHPVVQDVMGQGMLRIAATRDWVSGESSLPVSKRLSAKDRQDERERRKELNLLRYEALKDELLLLTTGIGAACSLYCLLVFSLEAAVSYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFMKKKVKRIGIRSEDLKNTIEKVLGGISVALSSPRLVIPAIFFGLSTLSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >KN538686.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538686.1:572632:575045:-1 gene:KN538686.1_FG088 transcript:KN538686.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVAPWRRRQRRFCGGNVGRSSSLAASPMALMATSCQACRPPLPLSASPPARPGSSLASSPSVAGSSVPSFCHEALRVAATEPLETAEEGAKSFVSAVGRTFGGARDTAAEKTSQTADATRDKLGEYKDYTADKARETNDGVARKTNETADATREKLGEYKDYTADKARETKDAVAQKASDASEATKNKLGEYKDALAGKTRDAKDTTAQKATEFKDGVKATAQETRDATADTARKAKDATKDTTQTAADKARETAATDKGQGQGLLGALGNVTGAIKEKLTLC >KN538686.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538686.1:645498:645902:1 gene:KN538686.1_FG089 transcript:KN538686.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRLPFVPGVADGGVQVPGPDLVDTLACPTLLLRRDRSAEFGVVERTCPCLETDLGSKKASLECSTDDDSSDEHGGIVSICPCMDSISGIGRSNKKPTTPSVGVIGDGRRKAVPDLDQPKGLGGVGLVLRLW >KN538686.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538686.1:490001:490744:1 gene:KN538686.1_FG090 transcript:KN538686.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSSTTRRRSSLIAAATRHYLLREIVKEHPTLERVALTDAHGQGTLSMGRDQIREFRDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGEAGGIGGGRKELDEFVADAFDGPYREAVSALSKRRTYLLEMNGF >KN538686.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538686.1:472934:475578:1 gene:KN538686.1_FG091 transcript:KN538686.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06200) UniProtKB/Swiss-Prot;Acc:Q94JM2] MSSSSSGWHHSSRPPPPPPSGADKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIQRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFVTKEEFLTMIERKELLEYALVYGEYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMSNFDYVVVNSEGNLEGAVKQVESIIDAEKAKVHKRTVNI >KN538686.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538686.1:527335:528378:1 gene:KN538686.1_FG092 transcript:KN538686.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >KN538686.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538686.1:543671:547937:1 gene:KN538686.1_FG093 transcript:KN538686.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAAVPNDNPTIFDKIIKKEIPSTVVFEDEKVLAFRDINPQAPTHIVIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVVAKQEGLEDGYRIVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >KN538686.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538686.1:566063:568477:1 gene:KN538686.1_FG094 transcript:KN538686.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLRRRLHHGDVDGRKNEHVDISSVDSLNEPLLGKSSSDTGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSLFGRLFPFSLDNQNSSPVYLSPLQEDRLNTLRSRLQIPFDGSRVEHQDALRQLWRLAYPNRDIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLVFFARNYPGSFQALLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSSVPSSKSGVRFVELLGRDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLEVKDLPSYTMLDK >KN538686.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538686.1:604401:609137:1 gene:KN538686.1_FG095 transcript:KN538686.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPYGVGLAGILPPKPDGEEEEKKEEVEKVDYLNLPCPVPFEEIQREALSSCPHPCRSFACLAIFWLLLRVNCCGIGQLLHDARILSRVSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGSLEVPSQSTETIKVPTSHYEFGANFIDPKLILVGRVMTDGRLNARVKCDLTDDLTLKINAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNSDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRDVTSSFGYDYMLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHSKKNYKFGFGMTVGE >KN538686.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538686.1:553977:554923:-1 gene:KN538686.1_FG096 transcript:KN538686.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHGSGGVSRQGSLCGLALSEVEGQLHGVNLDDLLRTGGGHKRAPAAGEGAAAEKTVERRKKRMIKNRESAARSRARKQAYTNELENKISRLEEENKRLRMHKVSKPVFVHHFQEP >KN538686.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538686.1:507242:511341:-1 gene:KN538686.1_FG097 transcript:KN538686.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSKNEDDKAIVLCHERKRYVREALDGRCAFAAAHFAYIQSLRHTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIRQKSRNLSPSISHQASDSFSPVPSPLSSGRFRINHMKSGGNPVMTIEEKVPVPVTATLQTSSLVPKVVHDLDDSSTFEAPPGTPPWDYFGLFNPVENQFSFHDEREPDHDFENADDIRRLREKEGIPELEEEGEKTPVHPDNVRRFRDEKTSDLKDAEKSPINGREDDFAESEDDFDNPSSEPLVRVFQNRNDMPVENTVMNDTPEHVASEKLASENSVSFSRKQENSDSFSRKQENSDSFSQKQENSDSQIDRPNNDKEVLDISMFESDDESPVASPVKEVRSSIAALPMNGKSKEPFHDVRNGAKDLHSCMKEIEILFIRASDSGKEVPRMLEADKVNFRPLLPEEKAHGSKASGFFTTFFACCGGEEIPIPQPPPEAEVKYLTWHRSVSSLSSSSRIPLGVTSKDDADGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKASGAICRQYDEKCRQLRHQESKAESQMSIDRTRAIVKDLHSRIIVAIQRIDMISKNIEDLRDKELQPQLEELIGRCMNETKGQLALVLFLLKSTLTEDKWISLTRMWSTMLECHKHQREIIKFSSGNMKVLIRSESQFQAALLLQVELNTLYSNFLKWIAYHRSYLHSLNSWLLKCVKPLRGKKSSRRKKEADTPITKFIVAPMFKTCESWIKLLDDLPTKDLEDAIKGLVADINHSVPRQEKRRGTSKLTSSLSRSGSTKTISSFSRNGELNGEMAEIRRNSHPTDLQSSLETFLEGFRVFSDDSLQKYMELTVDINKAKEQYENVKLKNMGK >KN538686.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538686.1:476942:479415:1 gene:KN538686.1_FG098 transcript:KN538686.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGIAEAVQAYTGLSPAAAVTILALMLATYLLVSSLFVAPDAAPPKPPPQRKEEEEQQQQEEAGAFVPYPDPVQVGEITLEQLAAYDGKDPAKPILIAIRGHVYDVTRGRLFYGPQGPYSLFAGRDATRALALMSFDPIDLTGDLDGLGPDELEVLQDWEDKFKERYPTVGHLASENAADGNHGGAA >KN538686.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538686.1:647815:650658:-1 gene:KN538686.1_FG099 transcript:KN538686.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding ITEINERLDEIARGRKRFKFQPGDAARRAQPGQRPRFVEVAACHDESSQIFGRAKEEEEVVQALLSDHTIPLPVISIYGAAGIGKTTLARMVYHDTRVQSYFPTKIWVCLSDKCDVTKATKMIMEAITKVKCDALSLDILQQQLQEHLSTTKFLLVIDNLWAEDYIFWELLRCPLLAGEKGSKVLITTRNERVWRRTTSTILPVHLKGLDDEECWLLLKMYAFLHGQGRENDALSKTGRMIAADCRGSPLAAKSLGMLLSDTNGEEEEWLNISNQMRILNEDHNRILPSLQISYHHLPYHLKQLFALCCLFPVGHEFEKDEVIRLWIAEGLIQCNARRRLEAEAGRFFDELLWRSFFETSGSPTNQRYRVPSLMNELASLVSQSECLCVEPGNLQGDINRDLVRYASILCQKDELLELSMICNYGNIRILKLSTEVRIPLKRVPSELFLKLSCLRTLEMSNSELEELPESVGCLTHLRYIGLRKTLIKRLPDSVSTLFNLQTLDLRECYRLTELPEELSRLVNLRHLDLHLEWDRMVPISMPRGIDKLTSLQTLSRFTVTADAEGYCNMKELKDINIRGELCLLKLEYATHENAGESKLSEKQFVENLMLHWSYNNSQAVDESKRVIESLRPHSKLRSLWVDWYPGENFPGWMGESSFTYLEDLRICDCRNSRLLPSLGELPKLKKLHLGGMHSLQSMGTLLGFQSLEVLTLWDMPNLQTWCDSEEAELPKLKELYISHCPRLQTVTNLPRELAKLEINNCDMLCSLPVLQHLHDLVVRRGNDQLIGWISKLMSLTSLTLMHSTETMDVQQLQQLSALKRLKIGGFKQLSSVSDNSGMEALSSLEFLEISSCTELQRFSVVGLQSLKDFKLRHCTKLEALPTGLGNLGSLRCVEIHDIPNLRIDNTGTVLPDSVSYLTLSGCPDLESWCRNTGAQRVKKIPNVKIGF >KN538686.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538686.1:529522:530238:-1 gene:KN538686.1_FG100 transcript:KN538686.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octanoyltransferase [Source:Projected from Arabidopsis thaliana (AT1G04640) UniProtKB/Swiss-Prot;Acc:Q9SXP7] MSGGARRVLEAWRLGVHPPTYTLGKRRTDHNLLLPEADLRALGADIHRTERGGDVTFHGPRQAVLYPILSLRAIGLGARRYVEGLGSAMIEVAALYGVQARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGMAFNIDPDLGFFEHIVPCGIADKEVTSLRREAAVELPPDEVIHDQLVQSLARTFCFSDVEFKDESECADMVCLAADKQS >KN538686.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538686.1:588791:593557:1 gene:KN538686.1_FG101 transcript:KN538686.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRKEEERNERVVRGLLKLPPNRRCINCNGLGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFTTQEVEALQNGGNQRARESFLKEFDAQKMRLPDSSNVDSLREFIKAVYVERRYAGGRFSERPPRDKQNQKNNEQEHRRASSYHSFSQSPPYDYQYEERRNGKQSVMLTRKPGSDRGHDGKMSGFAYSPQSLHERMSEDRFANENSGPRISDCSGSSISNTFRTAPHSPNFLDKGCSSPSMQQNQSNIQASSGITQSEVRTISTGNIDSSSTKSGKSSLADMFFESDIAHRTQQTKDCITPSFTAFSDVANIAQKDLLNEPVAQQQPVTRLDQPVDFFASMPPATPSTDRMLTAAPSMDNAGWATFDTPPEEKQPGVIGLSGISVMDKHALSCDLFSFEPNNDQPTWLQSSKTSKNNASVTDQSDVPCKYTSSDASNSQAWSAFEAKSVSTQQASPDLSLMSSIEPKEPIDENKLQLWHSFDDASETMTLNLSNAQLQTNEHKNVDNNSLTTSNPFTCSITSKESRGNDSQEIFMGGLAPSEPFAPFPEPSLFATTSVGEASVQQMPLNPFDLPFDADSDSPDMFMDVTALQAVLPNADLSTSFVDGLPETWFSNNASAYVPPGKHGGPPCLVEQIPNSALRNITLSAVSTGNPFA >KN538686.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538686.1:484573:484962:-1 gene:KN538686.1_FG102 transcript:KN538686.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAESPSSKTPPPSTGSLPPTTTTHQAAVDEISKAAAEAIRTAFIKSSASVDIDPVTASNIADSAARIASARSPSPTSIPAGYTYLLRADRLFSCGRLGFWLCGCPDLYCATRHHRGHYGTNPAGTS >AMDW01032204.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032204.1:9:440:-1 gene:AMDW01032204.1_FG001 transcript:AMDW01032204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNSNFNNNFGGWQSLAFAGGHLEPVSGGAAPGQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMVAAGGAAAAAPMEGATDNNFEPAGAANYYYQQQQQEEEGGAYGVPADQWMMNQNNNMF >AMDW01038693.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038693.1:288:797:1 gene:AMDW01038693.1_FG001 transcript:AMDW01038693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVRNPQVMDKAQTEVRKIFEGQDKLTEDDMSRLSYLHLVTRETLRLHAPAPFLLPRECREQCNVMGYDIAEGTRVLVNAWAIARDSRYWEDPEVFKPERFNTNLVDFKGNDFEYIPFGSGRRVCPGITLGLTSMELMLASLLYHFDWELPGGKRCEEIDISEAFGIT >AMDW01033239.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033239.1:103:492:-1 gene:AMDW01033239.1_FG001 transcript:AMDW01033239.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMFLDTTRLIAKQTDNIVDEVWNYIQHEEKHVQAAILLLAAQKQLRGRLNKSSGKASLNGFDIVKSRIGDALSTIHLEGLNMVQEGKNGRALKRLKDKKEALLTALVLVCIVHKAGEALDGYIQTHSQ >KN541748.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541748.1:7493:8522:-1 gene:KN541748.1_FG001 transcript:KN541748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTAASNRHPIFADATFPSAAGSHTVSSNSDVNQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTNSPSPPPPPLQHYDGGYYDDVKPAVDVGGNPLLSSFDCMSGADLDCCFDVHQQQPASFMEYGGYGGSYGDESKQQLMNQAAPPPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >KN541748.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541748.1:20730:21397:1 gene:KN541748.1_FG002 transcript:KN541748.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCVLIVVASILLKGLLHHLGQAHRIGEVTLRAAHDFFIFGLFYSRDGMWALGSGHANQWTSFSHVVCCGLWAM >KN541748.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541748.1:15356:18028:-1 gene:KN541748.1_FG003 transcript:KN541748.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDADNMYRLGTQNLAEPVGELQKAHEQFIRRMELYKRRKSRVQQERMPNKIQSIATSKNEVEGQSRSCTEPKSNPVQRSGSGSNPHLGFPHPLWRPLSKGTSGDTKSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQKMVQHRHFNKENTQVNQKASGFEIFVDENEPHGNGRNAMSHKSTGCPPKPSRDSRQQTNSDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTVQLTDDKDTSLCSRQTDSKIRCDDSRPAISGLREDTVFHRFVGSAVVGEPRVENACHHGLVEPTVNLKEAMDDINNMFGRPLNFKAEKPKNKKTTSLSERKAAPLSGFSILADDDPEENPAAQVKPSDSSKFECQGGLFEPTITTRDVMAEINDMFGMPLDF >KN539755.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539755.1:52315:53181:-1 gene:KN539755.1_FG001 transcript:KN539755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVSWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >KN541748.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541748.1:14109:14351:1 gene:KN541748.1_FG004 transcript:KN541748.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETLSWAEPECGDEFALCFLDEVACAAVSGAISPVTESLAALDGLAERLAGLRVAREVGAPAGVDIGGRLAALTIGRP >KN541748.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541748.1:22136:23794:-1 gene:KN541748.1_FG005 transcript:KN541748.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding PRDDLSGMDVARKALILARLLGQRISMENINVESLYPSELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVKTKELTSVSRVCIGIPFPISSLRCSNELGSWNTKTTKDIVCVGL >KN539755.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539755.1:78612:79479:-1 gene:KN539755.1_FG002 transcript:KN539755.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSSFFLLVALLALVSWNAIASDPSPLQDFCVADEHSPVLVNGFTCLNPKDVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISMARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKELNKGDVFVFPEGLIHFQFNANPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKILAKAFQVEKGTIDWLQAQFWENNHY >KN539755.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539755.1:31954:36824:1 gene:KN539755.1_FG003 transcript:KN539755.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKFAVDKLESMAAQELKLQTEVGKKTRELAHDAEDLLEEFVHRGELHCHGCFDLPSFLRWLRHSAAGVFARHAIFDGIEDINKRIEQMKQQRKECNLEKLPCASKPHRKKYTDWSSLTELEIEDNLVKIGDYDEIKRLVLDQSRQRTVINLTGKSGIGKTTLASYLYRRSSIRKHFACTAWVHVPRKFRFADLLHDAERLVKARLAAALQGRRYLVVLDDVRSREEWAFFLAALPAGLAGSCVLVTTQVKISEESAAEQSGGVVRTRELGKLRPEQAAELFRRRVYGHGEPDPKKMEQLKRVVDSMTKGSNLPLNIVMLAGLLRSKKEDEWDAVIHSLDDTPPPPTSPEQMPPEKDSLDDIAPAAASETAPTSTEQTTKKKMKGKQMATSMDKILTVCMDDLPTHLKPCFLYFAGFTAQTPICAGKLVRLWVAEGFMQAKNGQTVEEHGEECLKELISRCLVQLVETDAAGGRVAAVSVHQAVLDFAQAEARDTNFLHVHSGAAGLSNGAARRLALRNTYDTDLAVMLEAPKLHTLLCDIPERAADAAGAAAWQRALELINGRAPTFSVHGSRYLGLSHTAMRHLPSSVKRLRNLQTLDVSRTDVEALPWRLWRNPSLRHVMARRLAAWSAPDDRAVLPDLQTLHGVPWGRSECDQPLIDAIAKLPNLVELVLDEASYVKPYMRFPAAGFPKLRKLQLTSLDKLTECTAAAAGDGGGGALPQLRHVSVFHCGKLNTFPVKMAPKLELLTIHDSEELKNFMDCNQDNEHIHVERNTTEMDDVSMVSRYMTVVLVSC >KN539755.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539755.1:58538:59748:-1 gene:KN539755.1_FG004 transcript:KN539755.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFLLLATLLALASWQGMASDPSPLQDFCVADMHSPGQNPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFFSKVLNKGDVFVFPVGLIHFQFNPNPYKPAPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >KN539755.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539755.1:67373:68237:-1 gene:KN539755.1_FG005 transcript:KN539755.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLFAALLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLHVGFVTSNPNNTLFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >KN539755.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539755.1:16031:20597:-1 gene:KN539755.1_FG006 transcript:KN539755.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSNELQVFASSVGLGDCVDALEKKLRNASHVMYDAREIEIKNEALVESLPELQHLMHDAEEVLDEIDYFRMRAELDGADEEMLDVIHKCPQDSEIDGDVSEQGSSNLLVPEIGHAIVRAVPRAELDMGEISSQIWEISRPLDGLADAIQKALKLEPDCIVLAKQDEDQKRPLTTPYLTEAKIFGRDDERDKIIEVLTGDACRNLTLSVLPIFGKGGIGKTTLVQYVCSDSRIQTYFDITIWICVSLNFDIARITKQMLECVTGTDQGGGANLDHLQEMLQGELKDSRVLLVLDDMWDVKEKNEWARLIAPLSADKKGKGSVVLVTTRNRLVADTIYTLPQIELNPLKEEDFWNCFRSYAFGKEKGDKKLHDIGREIAKRLKGFPLAAKSVGGLLRKNVSSERWKRILDNKAWVSHQDEDGIVAILKISYDYLPLHLRRCFSYCSLFPKGYLIYAEDLVYLWISQGFVYSASDNRRLEEVGSEYLDDLVNLGFFDKVAKERTDIHYLIHDLMHDLARDVSSKDCFTMDCPQLQPVPSTIRHLSIIATAQYSEFEKDMIELNRWQTKKLKSLMIFGSLGTTFVTCFQSVSDMLRNLRTLRLSGVEDDGDILSSFGHCIHLRYLRATKQEYDKQNPWLVRYDRHFPKELCRLYRLQFLNVGVNCYLSNLAKSFSNLVNLRHFICHEENHSQISEVGKLASLQELHQFKVRNDPNFHITQLGSLFELDSLCIFGLENLETKEEANSARLLDREQLRILRLSWDASGMSDNTDIDKEILEGLQPHVSLNHLRISGYRSVAPPAWLGEASALIHLQSIYLEDCKHLRTLPPFVLLKCLKKLHLSRICGTAEVLTHSLEELVINEVDDIERWVVSDEHFLLASELQVLEIKGCPKLKDHPLPCNLSAQTVFPLLNHFIIHNCPLLMPLPPLPLGPKVMRMAIINVGSPSYQCLQYNQFKSVPYYKTLLLDGKDKLRTPDGLLALQNLGALYEVSFVGCSNLTWFSWVEAFQQLKMLKKLNFEDCSNLLSIPAAPEDQDYRNSHQLPCVEKLAIVSCCIRGNQLAHLLSLLPSLSCLELEDCPRAEDDECMLLIPPGPLTSLKEICIENCVNLSCGSSEGLKQLISLEKLRIGFKFISSLMPDEMEEDGHSLGQSILLPSSLKELVLDSVNHKLLSLSSLTSLKNLGITDSPDLETLDLQSCTELEEIDLHECGALSSVQGLHTCIHLRSIEVFDSLLFWSAWSHALQELERVDHDLFPQLERIWTDDLSLLTTASCKYLMSLKRLGFYACEDDGHDNSTIDEPNVDEPNEAFLFLTSLEELEFNSYNKFDSLPAALHLPSVKKLAIKSCKSIKSLEKLGLPPSLEELHISGCGSLQSLPSKLNYLPSLKKLEIISCPCILSLQEQRLPASLEELVIESCQNLLSLPDETHHLSSLNKLEIKSCPGIKSLPESGLPPALREFWVWDCSEELKRQCKNIRNIRRMSQMTELFPTEMEIENA >KN539755.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539755.1:81517:82377:-1 gene:KN539755.1_FG007 transcript:KN539755.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSFCLLAVLLALVSWQAIASDPSPLQDFCVADKHSPAIYTLLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVALAALSSQNPGAITIANAVFGSKPPISDDILAKAFQVEKGTTDWLQAQFWENNHY >KN539755.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539755.1:62938:63802:-1 gene:KN539755.1_FG008 transcript:KN539755.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVSWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHLPAVAIAALSSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >KN539755.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539755.1:38734:42624:1 gene:KN539755.1_FG009 transcript:KN539755.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAPGGDEIRSLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTYNGAMMPNVEQTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDMLKQVFTPYGDVVHVKIPVGKRCGFVQFANRASADEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYAGYPNYQQPLAQQPQQQQVRAVLFN >KN539755.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539755.1:1537:6361:-1 gene:KN539755.1_FG010 transcript:KN539755.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLIIVASSAATWVVKKLLDQLALPAIKALLRSKGLDDKEVWRLEDALQNAKLVLGAVPVGVRAAGIKIENVNLGEPILQVQQLAAELAKYLDELEYYDIREKVTQNIPRDSWNSFTYTVRSITHVGHSSKPAVTSSEISRVMSIVDRLNNICANVYDALKIDKLGAIIQSIRTPSTDTRETTYIRTESKKVFERGEKKKIVDLICNAASSGNELSVVPIIGDGGVGKTTLAREVYRDQEVKDNFDIMIWIYVSANFNEVKITQGILEQIPGCEYKSTNNLTVLHRGIQQHLNKRFLLVLDDVWEERESSWDRLLAPLRCTQVKGNVILLTTRSLSVADKTSKEEMYIKLDGMKKDVFWSFFKQCIFGDEKYEDKGRLQDIAKKIAIKLNGNPLAAKTVGTLLRRHVHEVHWRKILDIKEWIKPEGMGDLEPALMLSFNHLPYHLQLLFSYCAVFPKGYRFDKEQLIRMWITLGFVVDQWRNLEDAASYSFDDLVDRSFFQNDGQQFIVHDLLHDVAQQVSVHECLAIDGLNPGQKFFQSTRHVGIWTESVYKEDNMVRNQKFEEKLDKIQNSGMLTFLESLMLVGMYDENFSKKFSKILEKTHYVRLLQLSVMPFNADSLLSRIRNFIHLRYLELRSTPEMSMPLPTSICQLYHLQLLDVTHWSGLYDLPKGMSNLVNLRYLFVPGSGSLHSKISRVGELKLLQELKQFQVQKEDGFDISQLGSLNEISGSLSILGLENVTKKEEATQARIGDKMDLRTLSLTWGSMDLDLSEIEISQCSGLTSIRLHSCNALTKLSINDCEKLASLEGLPSSEQLRQFAVQGCPQLPSGSSIPT >KN539755.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539755.1:71644:72504:-1 gene:KN539755.1_FG011 transcript:KN539755.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLLAALLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQFWENNHY >KN539755.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539755.1:46295:47131:-1 gene:KN539755.1_FG012 transcript:KN539755.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFLLLATLLALASWQGMASDPSPLQDFCVADMHSPVLVNGFACLNPKDVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFFSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >AMDW01036802.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036802.1:90:278:1 gene:AMDW01036802.1_FG001 transcript:AMDW01036802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIWMERMAYTFRMNAHRSCGLSSIIGYSGLAIPASASPPAHSGPFGGGRKYILSRSSSSR >AMDW01040195.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040195.1:40:1223:-1 gene:AMDW01040195.1_FG001 transcript:AMDW01040195.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSKKSSSMVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDSTKMPVNTRTTDFGGDPEIKNASISHEKVGSTQYASVGSSSGAGKKVKHIALPEDVPVERTAQLISDHFQLKEDRSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFASEESGVLSQLNLAI >KN538725.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538725.1:305079:308457:-1 gene:KN538725.1_FG096 transcript:KN538725.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKEMKYRRRARVPEPFDYGQCGGDRSGVLDWGALKENPVELLRKLDELRDQITRDRCERQPSGRFRQWPERQWENSGYLGGNHHQSTCQCAQCLHGQRAVMQEEHIPMARYFAGQQGSHLFDRSPSVSSELDRRSVASSLYSHFSVSKRRTEFFRKKAESFCRPVRGAAPFVVCSSCNQLLQLPPGKCTARKQIQVRCGSCSEIVSFKLKEVKIHPIVAPTSFPASKTVGSSSRQVNKSFGWYQRQDEGNSSFHKLQAQERWQQNKDLADNISVSSTSSYDRIDKECGSNRSSQLLSVSVRRSRLANSPKDILCQGDAYSQVETSAFNTGSLQAPVIEDKCVDPFSSRLKDCTGGDRTSKECSLNIMADSVDANVRDERSDVTYEQNSKDHKEGFGEETVSSRHEQKLKESTSGFCDDGSMGNTDKLRADNDDTSSLEDGDVSKKYEEKIKQDDNNFQSEFITERYSKCSKEDNNSVIQVETIATICKQDDLDDCYSELLSPNSEHAIMPSKLESSVNERTNSSSRVSSEAELDEVQSAAAKNGDSKFFAGFLKKGLKDISLFNQSVDSAKVSINGHSISERVLRKAEKKSGPVGPGSYWYDYRAGFWGVMGHECSGIIPPFIKEFNYPMPKNCAGGNTGVIVNGRELHQKDFELLAGRGLPRISGKSYSVEINGNVIDETTGKKLRKLGKLAPT >AMDW01037906.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037906.1:338:748:1 gene:AMDW01037906.1_FG001 transcript:AMDW01037906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRVVIVEKRVNEIINRLNKTRVERRPDLKAEKDASNAAEKAERKMQLKEKRRREEMERLEKERRAEIRSYKGLMVAEKMTSNRQIASAGNSMQEMEDDFV >KN538725.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538725.1:293384:294020:-1 gene:KN538725.1_FG099 transcript:KN538725.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSQGRSWAWTMCVLGSVLWLVAAVFNMGKVFVMHQSDAPRLEKLRGGAQERLSRDREGRVPLNWEEAARSRRVALPAELR >KN538725.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538725.1:314415:314808:1 gene:KN538725.1_FG101 transcript:KN538725.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKSCVADIEVRVVGVDAMIKILSRRRPGQLIKTVAALEEMHMSILHTNITTIDQTVLYSFNVKIAGDARFSAEDIAGAVHQILSFIDVNYTL >KN538725.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538725.1:345341:349416:1 gene:KN538725.1_FG102 transcript:KN538725.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGYQVLSICKSLFAGGVAGGVSRTAVAPLERMKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASSGILWLYRQQTGNEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGSVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPYDLGKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNNAASIVTGEGKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEFVQKVLGVEMRISD >KN538725.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538725.1:350076:350751:-1 gene:KN538725.1_FG103 transcript:KN538725.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLSSEVTSRGRWSASRPARSGTATHMHLVRAGSGGNEAAAARCTEKADVYSFGLICFALPGDEPGAAVDYLDMEAQLLRRFPEWEGNDVADVPFEMYACRVMERDKSNACRDRSSDSGSDNNSLCGDDNVSGGAAAEVEVDGHGEAAAGRGPANAED >KN538725.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538725.1:244587:246870:-1 gene:KN538725.1_FG106 transcript:KN538725.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFKLGGSAVRAMGALRGSPPAMLILLNSARLHQTAYNSSQQSTQVLGYKVAEWNWGIGNKQYRMGIRENRQGIGETDVGGSGSQNELENVESDRCWFWIEFLLDAIMATVFTGGWLLGSSISSWQTLKVAEQQIDALAREQEEYLNKFEAKWVEELNRLKLEMMNELEESEERLNREIDVLKMMARMAMEEKEMRMAMEEEACSPGPLHGESGDLGDV >KN538725.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538725.1:295544:300787:-1 gene:KN538725.1_FG107 transcript:KN538725.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAFGLVALTAVAAVTFYAYYLMSRVLDHCEANGRRHIRFRELAADVLGSGWVFYLVVTVQTAINAGITIGSILLAADCLQIMYSDLAPNGPLKLYHFIIVVAVVLSLLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGALSNAPAKDYSLSSSNSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYTVVLFTFYLPAITGYWAFGSQVQSNVLQSLMPDKGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKSSADAARGRFSRRNVAPRVALRTAANLVDTVDFRRRLAQDDHSARKNGRIRPTCSALASPAKQGTAKLPPPQPTTASRTAAADAERERERTDYNEVAAALESIYKLSPAVVEEKDADEDDEKSKQTKRKRKGRVGRSRNATVTVRSSRRRRRGQRMDLGKRVEMRRREEEEGGGGAGKVEDEERGFEEMLLREHAVSTDMGSLDWKRMKIPPVLTSAQSIRLFTIMQPMKSILEVKENLENELQSEPNDAQLAEAMNMSVLQMRRHLEVGRAARNKLIKHNLRLVLYTINKYYPDMSNDERFDDICQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAITLSNFTRFPFAMESERQEIHRAREELAFELGRAPTEEEVMKKVGLSPARYRDVVRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDTSSHNTLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGKRTLSEIAGNLSISREMVRKYELKALMKLKHPTRVEYLRRYM >KN538725.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538725.1:317791:320421:-1 gene:KN538725.1_FG108 transcript:KN538725.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKLLEGEKLEVVDFTSFVERSSDPGRYVIFWELSADASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKDILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGL >KN541428.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541428.1:19866:25537:1 gene:KN541428.1_FG001 transcript:KN541428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIQFPTNLILQWLLLPSNLANIDWEHYLLLPSLEKKLEKYAQWCKKLLISVDFAAEVAAQVNSWVEKVTSGLIKEILPLGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFHLLDGKSVQAPFMSTSKKQYLSSYDNLKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLEKHIPTQQVTVGQFKLPKFKISFGFEASDLLKSLGLHLPFSSEADLTEMVDSPEGKNLFVSSVFHKSFVEVNEEGTEAAAATAAVITLRSAPIAEDFVADHPFLFLIREDMTGVVLFVGHVATEVAVQVNSWVDRVTSGLIKDIVTRRSINHTTKLVLANALYFKGAWAEKFDVSKTEDGEFHLLDVESVQAPFMSTKKMQYLSSYDSLKVLKLPYLQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLENHIPMCLVHVGQFKLPKFKISFGFEASGLLKGLGLPLLFGSEVDLTEMVDSPGAQNLFVSSVFHKSFIEVNEEGTEATAAVMVSMERSRPRRLNFVADHPFLFLIREDVTCVILFIGHVVNPLLAV >KN539866.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539866.1:49048:50714:1 gene:KN539866.1_FG001 transcript:KN539866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPPGGSSDKKSCQNVTPDKDSSMNFCHQQYPQHLFSQPPYAMNFPFPPFPHYPPYTQNLQYAVPPQYAPYTLPPPAGAMPSPFLPAPVIPSKALSDQGTPRSVTGPGEQGTDNAEPEKATKRLYWTEKEDIRLISAWLIYYKTDRYWEKVVAEYNSTIPATRRRELQHVKGHWHKIFRKVAHFHDCWCRVKAKYPSGHSEGMQLMDKTWLMYNEEARVMYLEEAEHNFAFDHCWKAVWNQPKWKAYMSCLFTKRTMQSDSWEYMSSSEDSEEMPGKEIGEEVCMTSKEAKVKRSTSSSEMQEDMLIKNPEELTEVEPSISNEKLLLASLKQQDACTKDTGISKKQSELLTADTSWPTEFRLVDTSKLNEHQQGLAVRDDMLEKESRPQGFDAQDNERATRENIPRKETQPRICKAPKFSRKRKGKASSSSCEVQEDIKHAMHLQTMLNNDRVKMSEVQLRLSKEQLELARIKQEEAREKKETTLYKKYTELLLADTSRFDEFQKAEYEKALRHIGGMLFSKDGN >KN539866.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539866.1:52951:54617:1 gene:KN539866.1_FG002 transcript:KN539866.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPPGGSSDKKSCQNVTPDKDNSMNFCHQQYPQHLFSQPPYAMNFPFPPFPHYPPYTQNLQYAVPPQYAPYTLPPPAGAMPSPFLPAPVIPSKALSDQGTPRSVTGPGEQGTDNAEPEKATKRLYWTEKEDIRLISAWLIYYKTDRYWEKVVAEYNSTIPATRRRELQHVKGHWHKIFRKVAHFHDCWCRVKAKYPSGHSEGMQLMDKTWLMYNEEARVMYLEEAEHNFAFDHCWKAVWNQPKWKAYMSCLFTKRTMQSDSWEYMSSSEDSEEMPGKEIGEEVCMTSKEAKVKRSTSSSEMQEDMLIKNPEELTEVEPSISNEKLLLASLKQQDACTKDTGISKKQSELLTADTSWPTEFRLVDTSKLNEHQQGLAVRDDMLEKESRPQGFDAQDNERATRENIPRKETQPRICKAPKFSRKRKGKASSSSCEVQEDIKHAMHLQTMLNNDRVKMSEVQLRLSKEQLELARIKQEEAREKKETTLYKKYTELLLADTSRFDEFQKAEYEKALRHIGGMLFSKDSN >KN538692.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538692.1:467445:469533:1 gene:KN538692.1_FG033 transcript:KN538692.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRLKTANITKSSILLIRYCDNVGAFDSWSCQRTAERNSVLTFVKEPEVHPYDELTHSMEGIMGEEQIFASTQSPMAADFRIAAGIPRGSSAYENATKDPCLQKAGHSSFQRAKGSRSWMLELHLVEGFWSQVTGELVLGGARSRPKDYGCPAGPSDGKADGHRSIWALRPELLA >KN538692.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538692.1:528744:532044:-1 gene:KN538692.1_FG034 transcript:KN538692.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYAEEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRIIEEVEKSRDRHRDDHRDRERRGRSRSRSRERHGRDRDRDQRRHSRSRSRSRSRSRSLSPDYKNRRRGRDDDKRRSKSKSRSKSRSKSRSRTNLVSSVSASPTRHSASPPRKGSTPRRSPARNGSPEKETNGKGSPPSRSVSPSPQRAGSRSPGSDDKVTKKIMRFHMDLMIGKNILAQQWPYSSMDLLRIFFLSCQRFDCGSSLAMLLSVEQQDVQALFCGDVLLAFSLQMNRDWHLPVPCFNVHTFV >KN538692.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538692.1:440093:443562:1 gene:KN538692.1_FG035 transcript:KN538692.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNETIFQATVAYYLLLDNRFRATSGYLGADYQESLERNLNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >KN538692.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538692.1:472795:480670:1 gene:KN538692.1_FG036 transcript:KN538692.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGILGFDHGVVQAPLGPDISGPELAAAVANAAAIGLLRLPDWPAPDRVRDLIRRTRSLTERPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHLAGVKVLHQVGSFEEAAKAKEAGVDGIIVQGREAGGHVIGQEGLLPLLPRVVDLVSDTGISVIAAGGIVDGRGYAAALALGAQGVCLGTRFLTTEESFAHPLYKKRLIEINCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPEQETEENQPIIGHTIIHGVIHKHDENINFFMIRCQAAQPFMIHPLPHTDIVVIELYQLHVHLINIHGSKYARWRTVVPCKGHDFFQLLMMVSHWDEDEYSNNITFYSPSIEKISRYKSFYQGQEDDDLFVVLINPLTRETTTLPLLPKFLHNNCALDQITDEGGDVCVLLYNWMSSNAALWYRGNAITIVDWAIFSRNNLKGKMTRYLGILFAMHDTKMVGPILLARSLWDIRRFAGTVPNATTTGDIDSMVMYAGQGVGLITEIIPASEVVKSFTKVYPMPSIDYLHW >KN538692.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538692.1:514201:516473:-1 gene:KN538692.1_FG037 transcript:KN538692.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNAAAYEIGTQMDWKTERYKEIIKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAEVKLGVQSQNCLVVEDSVIGLQDFSDAIAIYPDLSNVGLEDLKLLLQKSLVTG >KN538692.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538692.1:432844:434806:-1 gene:KN538692.1_FG038 transcript:KN538692.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFAKPRSDGFEERDGVKLPSYRGDNINGDTFDEKSRLPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDCAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPENKPGRITIITRMGPENMRVKLPHLIRAVRGAVQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASWQLNKNSHLGNIPSLGL >KN538692.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538692.1:540054:541649:-1 gene:KN538692.1_FG039 transcript:KN538692.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLHYIQKNGHGSWRTLPRLAGTVLQSPSPNFFRLWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDQLAATAATASASSCGGGASSPFPWPEFFHDDPFITDFL >KN538692.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538692.1:489044:508626:1 gene:KN538692.1_FG040 transcript:KN538692.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEELMGFISSLAGQWVNKRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNLPVASATFYSHSRDQDETVADDINSYECQQCNSTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHIGSHAKYQGLTPEAFLQTLSGKVGNDSFAGLSCSLQDLVGSPQLNEKTTANEARSQHHNCSTKHGGNSTRGIDLFNSNHPANFNGHNQTWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTGPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDMNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKISSSLGVADRSSIAARSFNAGYVYENGASEANNIGNKNNTMVYQTSLAMRPVSPLDWRTPKAEGHDGIGELLNLVHTGYLSTLFKHSHFFSHWISLQDSCTLNVIQSKQSHVAKNAQPKQRSSEPEASNKKTTTIHKFFKFMHVFLRSVVVPSPPPCILSPTPMPLPPALPSSPRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTGMDSQSILPVASITFSSHSRDQDETDADDINSYECQQCNSTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHIGSHAKYQGLTPEAFLQTLSGKVGNDSFAGLSCSLQDLVGSPQLNEKTTANEARSQHHNCSTKHGGNSTRGIDLFNSNHPANFNGHNQTWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTGPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDMNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKISSSLGVADRSSIAARSFNAGYVYENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNGPWQP >KN538692.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538692.1:447473:447769:1 gene:KN538692.1_FG041 transcript:KN538692.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVKEEEPPPVATRPGGGANEQLSAVLRDFGQGIMRLERRRMEMQWEIDRGWKETEARHNRMLLDAQRHLHEALAAAPPPAKKARREHGGGGGDGS >KN538692.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538692.1:429438:431530:-1 gene:KN538692.1_FG042 transcript:KN538692.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRIVREEEWDDFISVLRKPLPATFRINASSQFFKDICSKLENDFKRYLESEVATLFIYTLYLNTSSCHSNFFYKNKLLAKVSDEYGEDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPEMSCKLMVLSN >KN538692.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538692.1:520965:527560:1 gene:KN538692.1_FG043 transcript:KN538692.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MAVVWAVPEDEDAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGQELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIIVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVLALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKPQLWDSCNNEWTEGEILSLAAGVESNTTHPLGKAIMEAAQAANCLHLQAKDGSFMEEPGSGAVATVGENQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAGSEKSYPSKWRSA >KN538692.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538692.1:557713:558210:1 gene:KN538692.1_FG044 transcript:KN538692.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMHGDDDGGGKRSGSEGSNVDDGYGYISGRGSRKEYEDDGDGDDDDSLASDASTGPAKVKVPSAPDGDDAGGRRKHDGDEDDGGGKEEEEEEEDHGLHTKFSMSSGNKAGKTEKGGEGKSSKKGQNKRGSSSRTRFFW >KN538692.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538692.1:450520:454023:1 gene:KN538692.1_FG045 transcript:KN538692.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRLRLRIGEVEESVRGVVAAVGVLSRAVEKLGVRFRVLRRTLRDPINENYLVSLSTEKQTATLAQKNSEATRVLAAQEDLLEKELGEIQKVLYAMQEQQQKQLELILAIGEASRILDDKEDLPGNDTSSTIMEKENEQTDIKVETITGGNNRP >KN542760.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542760.1:5636:9541:1 gene:KN542760.1_FG001 transcript:KN542760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVSASSGASASNEQSSALRDVRTLQRTMARIQRTLATTDEHSIRDASERLRLRELQQFAYDAQDAIDEYKFELLRRRMDDPNNHGDGSSSRKRKHKGDKKEPVTEPEEVSIPDELAVRVRKILERFKEITKAWDDLRLDDTDTTMQDEEHSMLPLPTTPYVDEPTIFGRDEDKEKIIKMLLSVGGANEGDVSVLPIIGMGGVGKTALVQLVYNDRRILNRFDLMGWVHVSENFDLKSIMRKIIMSFTKKPCQMTQMDQLQYMLIEQVVGRKFLLVLDDVWNERKDIWDALLSAMSPAQSSIILVTTRNTSVSTIVQTMHPYNVSCLPFEESWQLFKQMAFLHQDESMKTDFEVIGRKIVQKCAGLPLAVKAIASALRFEENEEKWNDILESEQWELPTTEDIVLPALKLSYDQMPIHLKRCFVFFALFPKGHVFLKENVVYLWISLGFLKRTSQTNLETIARCLNDLMQRTMVQKILFDGGHDCFTMHDLVHDLAASISYEDILRIDTQHMKSMNEASGSLRYLSLVVSSSDHANLDLRTLPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRCFSKLFSHHINITIDNELWSSFRHLRTLDLSRSSMTALPDSIRELKLLRYLSIFQTRISKLPESICDLLNLKILDARTNFLEELPQGIQKLVKLQHLNLVLWSPLCMPKGIGNLTKLQTLTRYSVGSGNWHCNIAELHYLVNIHGELTITGLGRVTKVDDAQTANLINKEHVQTLRLDWSDGFYSSECDHNSSHIDVKATPELAEEVFESLKPTSNLEELEVADYFGYKYPSWFGGSAYSQLAKITLWKQGCKFLPTLGQLPQLRKLVVIRMEEVERIGQEFHGENSTNRFPVLEELEFENVPKWVEWTGVFDGDFPSLRELKIKDSGELRTLPHKLSSSLKKLVIKKCEKLTRLPTIPNLTTLLLKGNLSEEIHNSLDFPMLQILKVCFTQKLVCLEFDNKNLPILEALAISGCRGLFSVVGLFSLESLKLLKIKDCPNLQCPLQPLQQQLQQCIITNCPQLQEWIEWQQSLIDKEDKQQPEFDNASYDQEALGALSDNSEDDVEVFNEDEDDDFYDEMLEVGQSSGTAINDDDGSNDAV >AMDW01052206.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01052206.1:441:1045:-1 gene:AMDW01052206.1_FG001 transcript:AMDW01052206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFANRRTRGAFIAAVFSMQGFGILVSSAVTMAVAAAFDHYTGYPGPRRSTRRSAPTSPGGSYSWSHGQRRPCRADVLLEDRCPCRRQPVIVEEYTERDPKNKFILNTIREETEYANHPAVRVINESLLFFSNGENCSNNLLSSWNLK >KN542760.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542760.1:10572:12582:-1 gene:KN542760.1_FG002 transcript:KN542760.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAVPAQTAAAPKKSKK >KN539890.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539890.1:3555:11819:1 gene:KN539890.1_FG001 transcript:KN539890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLALLCLCGGAAGDPRTAVARQAILLDGKQVAVKRLFLNTREWVDQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLDLFLFDASRSRNLTWNLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFGEDRTHLTTGVAGTLGYMAPEYLAHGHLTEKADVYSYGILVLELVTGQRCSGSIGSHGGHFLLTKVWNHYKNNAVEMIADRSIYEDTIRDEVMHVVQIGLSCTQANAGDRPTMTKVVELLRSRRHDVEIILSDPPFLDVEAFEDIKQGEQSRLLSARSAHSVSGSSRSYLSGR >KN546133.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546133.1:859:1077:1 gene:KN546133.1_FG001 transcript:KN546133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEMAVNLELLAMGVESLECDGCDAAVLRSPDLARSRLRFPGAQQYVDSLVDGLRHGINTSARIEILGFWE >KN539890.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539890.1:58771:61093:1 gene:KN539890.1_FG002 transcript:KN539890.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKLVEEEDGCYYYDYGGYGDGVVDDEGRATELRPMALSRPHTQAFHLAWMSLFACFFAAFAAPPILPAMRPALVLAPSDASAATCPFEMFLADLVGACTRRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTVEEAISCTGITSLLCTLPVALIHFRRQGGMFCGPSATIDEEDDGGDGDVDGDDDYMLLK >KN539890.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539890.1:33016:33417:1 gene:KN539890.1_FG003 transcript:KN539890.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLARTKALLQEALKNVVRRGNTTIASSLPFFYPRLFGEHVNLAGGEGIGAPMWAGTGHRCWRQISGMGARLRSSGRSSAVQEVADVARGGERQRPQDQRFSLCWSVFTSLLLDQRFHELVAVNSHLSKVS >KN539890.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539890.1:28103:28594:1 gene:KN539890.1_FG004 transcript:KN539890.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRGCGGCGDIRCSDLTGCLKAKEAARTGAQMTWMVGDAAMPGVQGRGGCSDLAGACIVAEGKEWEVAHLTDARIGPEGEKGSRVVPLSRWRREGASRSGGLQPPGDRIWRQVTRGGGETVAVTMGRRAKEAAALSSWRPTTSEGGGVRGWWAKEAATRSS >KN539890.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539890.1:73423:76021:1 gene:KN539890.1_FG005 transcript:KN539890.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDKDRGNGKVHFRDSSPQEAFRTYKRRRHPRPEPQQQPQPQPQPQPEPEPQQQQQPQPQPEAEAEAEAEAKTADVLARQSGRNGKYLLRVRRARTHYLVVGHSRAFMDFLTFFLVDNPADLGFEVAIVKGRKPENTQYLIPVTETFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVSEKIREHLRKKRERRKKRAAQNRDNCVTAKTTSDDIKSGKDPLPIDSEVLTGVDTVLEEVTNQTNHDNQDLTYPK >KN542237.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542237.1:2285:5747:1 gene:KN542237.1_FG001 transcript:KN542237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVDGGGDVLGGGLDEGGGGGWPYDGAIVGGLDGGGDVVGGGLEGGGEVLGGGLDEGGGGGWLYDGDGGGAVEGGGLDKGSGVVGGGLDKGSGVVGGGLDKGSGVVGGGLDKGSGVVGGGLDKGSGVVGGGLDGGGGWLYDGATVGGLDGGGGVEGGGLDAGGEVLGGVLGEGGGGGWLYDGGTVGGLDGGGDVGYMDTEGYYEEDGEKHYERSHGERTPTVGSYHNTGAAAN >KN542237.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542237.1:10215:11990:-1 gene:KN542237.1_FG002 transcript:KN542237.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDGEDDADLRTTNKVSLDKAFPKDEDADAPPLKDDRRLRRLAQTRAENKEELRADHRRIRQAEIVSTVDEENERQEAELEEEDDEEAQEERRRRIRARQLLREQEELLPQEEEEPIEEEEESEESEYETDSEDEQTGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIRKEEHIEKTLNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLRENKQKWKFMQKYYHKGAFFQEGADDVIQSAGKDDIYARDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKMKDWDTKQDD >AMDW01039099.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039099.1:38:859:1 gene:AMDW01039099.1_FG001 transcript:AMDW01039099.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGVTPDVVTYNTLIKGLSDVLEMDEAMWLLEEMVRGENIVQPNVVTFNSVIQGLCDIGRMRQAFQVRAMMEETGCMVNLVTYNLLIGGLLRVHKVRKAMELMDEMTSLGLEPDSFTYSILIKGFCKMWQVDRAEDLLSTMRDRGIEPELFHYIPLLVATCEQGMMERARNLFNEMDNNFPLDVVAYSTMIHGACKAGDLKTAKELLKSMVDEGLTPDAVTYSIVINMFAKSGDMEAANGVLKQMTASGFLPDVAVFDSLIQGYSTKGEINK >AMDW01040570.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040570.1:66:1605:1 gene:AMDW01040570.1_FG001 transcript:AMDW01040570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLVVGGWIAKAVIANILSRVRSLLHDNFSLQKDTEKMLNDLEVALPRIEAVIEAAERRSIESSALSTWLQQLKDAVSHAGDVVDDFEAKTIKDQVESKSKVSAKAYSTVKALKALVFSDSELKKLKHAVRRLENVSTRVDSFIELVKLNDDDTVGRIGHSLHSETSSLLGDTKVIGRDEEISLILDIILGYRYHLPRTSEHARPDDQPEFGQRGTLFDKLRKIFLTGTAESSKSSDKAKLEELEPRKKGIKIEEVDPSKDCIEIGEYEPNQKGQTEILDYTSSDVHETSGSSRNLGILPIVGINGVGKTTVAQAVFNNTRVKMCFDLRAWVYVSDNISGKQIVQRIIMSLEPWSGVTDAALDLDSLQHKLIDIIRSKRLLLVLDGVSDDIIIVWSQLRSILRCSEPQSMVLVTTQKYSIANLVGTMGPITLNTLGQTDFRYLFEHLVFDDCFYHHYEVHLFESVCEKIADKFHGLPLAAKTVAPLLRANRNMGYWENVLRSDWWNIADH >AMDW01039580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039580.1:407:915:1 gene:AMDW01039580.1_FG001 transcript:AMDW01039580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FERPDFPQLFSGASPLVGSSPYAQCYGGHQFGSWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYEYVMTSLFSELHHARLFFFLKLL >KN541584.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541584.1:20445:21851:-1 gene:KN541584.1_FG001 transcript:KN541584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGGGGLLALRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSCGAGSMAEVFRWIIRTEGWTGVFHDNAVNVLHVAPSKAVERAAFHLRQGRRSASPQRMASLPRSPFPSRSSPESLPPSAPTPWSSSIPLSHHPPALSDASCSTRATALSTIPSSSLSLGFRISSCSFSSMLSPLELLEELLASPPSVLYVFFFQVCVSVPLYFLRILHHFILPCRLVP >AMDW01060630.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060630.1:103:384:1 gene:AMDW01060630.1_FG001 transcript:AMDW01060630.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSGSVTPAAKNGGGEVEIEDGLKSPAAGLAAKFPVSGSPYVAPRKKGGGADVPGLAEAAHPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLV >KN541422.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541422.1:7032:10005:1 gene:KN541422.1_FG001 transcript:KN541422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSVSHGALGPLLGKLSTLLADKYAYLKGVRREIHSLRSELSNMQAALHKYASLEDPDIQVKAWITELRELAYDIEDCIDKFMHQLGANGEQHRTSNSIEDFFRKSIQRLKTLGPRHNSAGEIEELKARVISVRDQKNNYKLDDIFCSCSSNTNAFVDPRLAALFAEENHLVGIDSPRDELVNWLDAESRLIKCRKVLSIVGFGGLGKTTLANEVYRRVKVYFDCHAFVSVSQKPDFKNIFKDIIYNMPTKDGFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAITVAFPENDCSSTIIVTTRVSDVGWSCCLNGIDRNYQMEPLSEVHSRRLFCKRIFSTNEDGCPDILQEVSTDILKKCGGLPLAIISISGLLANRPVIKEEWEKVKESIGFALDKNQNLEGMKIILSLSFNDLPNYLKTCLLYLSIFPEDCIIERNMVVWRWIAEGFISEDCGQKLEDVAESYFYELINKSLVQPVDIGFDDNSSDDLLERWFPIPCFLRLFHMITDYYLLQLPKWVKPSLTKMAYLSINLREIKEEDMETLGDLPALLSLEIWLEPDPKEQLTVQSTGFLFLKEFVLACSDHNGGAYLTFEKGAMPKLEKLEIPFHVLMAEPHDFYFGINNLQHLKEVEVFIYRVGAEDSDAEAAVAAIRSEANANPNHPRLAIKEAYVEEISNKECDDNKDAEDQQGGVTDN >KN540985.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540985.1:15603:26670:1 gene:KN540985.1_FG001 transcript:KN540985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLKSIQIKVITSNHLSESTERAKENEMIITFRHTTSTTSSRDIFDMMIESKSRGKGFSNKSPSKNPYFGRVAGSGTLLPLCLRAVASHAGCAIRVELKLLRFNGELRGKVWLSPMKLTPKSTAQQQISNLCSFCGGDRRGLTSPIGVVHTGHRTDDTYMRTVCDAEQMDAEMAAPALAAAHLLDSPMYELLLLTKIRSVGIPIRLVNQFLHVDVTFVGTTLVAYYFQSIYFACCLLRVQPEIADFSGHVIGGTMCLAFFECYSCTVQYRVRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPSSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRPCTGPELDERFVMSSNHAARILRHRVAEEELAGEMMHQDSFWLVKPCLYDFSASSPHDALTPSPPPAATQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEVESSKDKSRAVRKKKAKTYKSPKKAEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRYIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFISLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSTGPGGLPPSSRAPAEVVVASPLGEHMAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSSAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >KN541422.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541422.1:25396:25629:-1 gene:KN541422.1_FG002 transcript:KN541422.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEELDSGGVELQPTLGVNVGEGLVLVRGWPRRCHELRLSPVRREDGHYSLIDDRWYPCLLGAQTRRSYVPCPDR >KN541422.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541422.1:14271:15518:-1 gene:KN541422.1_FG003 transcript:KN541422.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLKMLTEAMYKGYHVFDTYGPLELIKEAGEVSDSYALDFHYVRRFHLSGGTIVSREVKSSLENLETVLDNLKEFVSLLNGCERIFRNPYSTYLYIDNFMFGRQVERQQIMSILMLDDHPKIPAVLPIIGGCRVGKKTLVWSVCSDERIRSYFSTILHFGGDDIKKFDERKVMPLKTLITVEFISDISDCEWLNFYSLVASSGNGSKVIIISRLEKLARFGTVNPIELRNFSHEEYSYLFNVLAFGSSNPLDHPRLAIIGKEIARTLQGSLVAINIYANVLRNNFSVPFWIRVLNLYRGMMESNLSLYGEHPKSLLQKDGTIIDITAFCPSLATNSLRITLLTGEKFKYDNKRELPVMGFGDIIAGSVTMPMKFQLVWESRLAPYTVISATCGAEELLSTTSARKKRKIVCTS >KN541422.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541422.1:11559:12551:-1 gene:KN541422.1_FG004 transcript:KN541422.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRRHPLHDAIDAARWDAEPPLGRLVVVAHAAFLHAGFVPYSAGGSSSASRRPLPDEIGAAASSLSLRYTVPDLLRQTTTQRRRSRAETPVLRLCAHGDHVVFYGYLTGDVNQVRTTTRHWACIDALSVAPVLLSGGLDATAHALANDAAGAGLWKKLAGGLGRRLFVDMCRKNSKHLPPRLTTLPADLQEDILRRLAVEDIAAVYFTCTGLRDLIAGSEVLNNDFQFGELWMNFAWSQGYLRRWLPSPARVVIGRRSRTTSFIAGDGEDRCRWRDPTKQMIERFVEKRSKVLAAGGDGGRRRPVMAARGRRRGDKGAASSRQKWMHR >KN542020.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542020.1:16530:16781:-1 gene:KN542020.1_FG001 transcript:KN542020.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSWNVFFICSSSFCSILRFILQIAWKSDYFRLQSTLSLAQSKHSLLVKFLGFVSNGGCISALFVDALARDVINHSDVRLD >KN540985.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540985.1:33193:36282:1 gene:KN540985.1_FG002 transcript:KN540985.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVHPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHGGHRVNLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAYTRLHRIISDVNSIHSALRSHSYFSLLSSLEDQPSSASSSSPDELPEDVDEDEEDAFQPQKGNVVFACALDGWGFRIHQFAEFYAAKLPNINANALLKGLWGPRYFHKKKKMIVGKKGMEGGDAQPMFVEFVLKPLWQAYQGVLSENGELVKKVITNFSLQVPQRELQNKDPKVVLQAVMSRWLPLADAVMTMVVECTPDPVAAQGVRVARLMPKREVAPEDAAGSPDIVVDAERVRSCVEACDARADAPVVVYVSKMFAVPYKTLPFRGVDGELLNHQGANESEECFMAFARVFCGVLRAGQKVFVLSPLYDPVKGEAMQKHVQEVELQYLYEMLGQGLRPVSSVCAGNVVAIQGLGHHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPADLGALVKGLKLLNRADPFVEYTVSQRGEHVLAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGLALMESLKAPREFVERTTPNGRCTVRVQVLRLPNALIKVLEESEQLLGQIIEGKTAKRNGVLDPHLSQDDGDSAATLRQRLINAIDSELEAFSEQVDKEKLERYRNTWLGYLQRIWSLGPWQVGPNLLLLPDVKSSDSVITSQDGRQGILVRGRSHVSERLGFVCGSDAEANNDLDDSEPSADTPESLHLESVALRNCIVSGFQLATNAGPLCDEPMWGLVFVVEPYIFCDHSDAANHSEQYNIFSGQVITAVKEACREAVVQNKPRLVEAMYFCELTTPTEQLGATYAVLSRKRARVLKEEMQEGTSLFTVHAYLPVAESVGFSNELRSVTAGAASALLVLSHWEAIPEDPFFIPKTQEEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >KN540985.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540985.1:29088:29273:-1 gene:KN540985.1_FG003 transcript:KN540985.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKDDFVAAFHDKLRSLNSPQYPADVPLAVDRHLLYTIGLNIDLCETCLNRSCLAASLI >KN541905.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541905.1:6100:7368:-1 gene:KN541905.1_FG001 transcript:KN541905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEEPSVVIGPPLPYPFSVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNRSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKQQFIQETKGCSLTEGSSSSSRCSSSGPPDVSNSIEGGSVANDQRSTVQTD >AMDW01040097.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040097.1:931:1140:-1 gene:AMDW01040097.1_FG001 transcript:AMDW01040097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASLQRLGKYREAMNCYYKVLELSKETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGE >KN539376.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539376.1:14220:14568:-1 gene:KN539376.1_FG001 transcript:KN539376.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELGQLNPAKLPVVERGNYDGRVEGCEEDDCLVERLLVAHLDYIYTQGKHN >KN539376.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539376.1:78526:83473:-1 gene:KN539376.1_FG002 transcript:KN539376.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCGAAFFEHVVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWVCFPVAYPISKLLDHLLGKGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRDLMQKVLDKGHSRVPVYYEKKTNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIRQTNANYAAEPPANDGGTLEVAISIDDKHGEKVVKNLPPLRRWKSYPNSQNSNRGNRNRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >KN546153.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546153.1:3:837:1 gene:KN546153.1_FG001 transcript:KN546153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARGLLYLHTATAKPVIHRDVKSSNILLDGGLTAKVADFGLSKAGPDMDETHVSTAVKGSFGYVDPEYVRTRKLTAKSDVYSFGVVLLEALCARPVVDPRLPKPMVNLVEWGLHWQRRDELEKIVDLRIAGSEVDGLDASDVSSLNMVHQLMPPTSLYARQRSAGESETGRTDADEDSSVVKIHLTPVSSRKK >KN539376.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539376.1:60893:63329:-1 gene:KN539376.1_FG003 transcript:KN539376.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEVLGLQGLTVPSTKEGDLSLIKRAAAGSFTQAAAASYPSPFLDEQKMLRFAKAAHTLPSGLDFGRENEQRFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSANRMSWRPLYQGFPNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQSRKTVKETPCAGSLPSSVGQGSFKKAKVNEMKPGSISYWTDSLNRTMANKEKGNKAAEENNGPLLNLTNQQPTLSLFSQLKQQNKPEKFNTAGDSESISSNTMLKPWESSNQQNNKSIPFTKMHDRGCLQSVLQNFSLPKDEKMEFQKSKDSNVMTVPSTFYSSPEDPRVSCHAPNMAQMQEDSISSSWEMPQGGPLGEILTNSKNPDDSIMKPEARPYGWLLNLEDHAM >KN539376.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539376.1:44359:45261:1 gene:KN539376.1_FG004 transcript:KN539376.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGHSNDERPPGRWKAPGIGMDMDVDESSRQLSPVSVLDLHSDDGDSPVHCRWEDEKPSTSGSSPPSEGFIGATSPCFTYNIHGKIIPMEVEEDEEEGDEEEEMARAGKSIEQQISSWERIAEDISNIPRMVEMDFSQSIQQWGELKLEAAMEIGTRIETLIFDEIRRETVCDMLASHCTLAAATTSC >KN539376.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539376.1:94698:100681:1 gene:KN539376.1_FG005 transcript:KN539376.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFRYAPCTDSFSIVGDIYNWKYAREIKMAYIIESHRYLLDSFYTLAITAGSGSIVLRCTSYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYNKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRSNSVGAAAAKAPFPKRHIKKIYYLVLRASPVCLQSQVTGDTFTTLGDASTVAEYLLLWGMDLHSQGKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFVGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCREDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >KN542050.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542050.1:2174:3292:1 gene:KN542050.1_FG001 transcript:KN542050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYDAARRIGVPCAALCTPSACGFVGYSHYRQLVERGLVPLRDAAQLTDGYLDTVVDGARGMCDGIQLRDFPSFIRTTDRGDIMLNFIMREAERLTLPDAVILNTFDDLERPALDAMRAILPPLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLPPEFLAAVEGRGMLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVERSDVAATIREAMEGEKGREMRRRAAEWKEMATRVTLPGGTADINLTRLIDEVLLSGGKKRQALDARD >KN542050.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542050.1:15947:17857:-1 gene:KN542050.1_FG002 transcript:KN542050.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKAMKHTSPEQRHSLNKPTKEHSCSSASNNIQPRIVEALQLNQKRPAMKVQPRIVEESQLNHNRHDGMGVQKRMARYNNNKPTEIEGANVGTTKHAKVKRAALACENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKVVIDSLICGDAELFRPHRNLNYIRDVIGHCIAWPSQLVYSFLGFFVLLLSLHSKSNMVNINLKG >KN542050.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542050.1:12703:14788:1 gene:KN542050.1_FG003 transcript:KN542050.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAPAPAARRQHHAVMVPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVVVPGFVFAAIPDGLPPSDPDATQDIPALCYSTMTTCLPHLDALLATINANAAAAPPVTCVVCDGVMSFAYDAARRIGVPCAALWTASVCGLMGYLHYRQLVERGLVPLRDAAQLTDGYLDTVVDGARGMCDGVRLRDLPSFIRTTDRGDTMLNFLMRECERLSLPDAVIVNTFDDLERQALDEMRRVLPPPVYAVGPLLLQDGTHLEAS >AMDW01014137.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014137.1:34:246:-1 gene:AMDW01014137.1_FG001 transcript:AMDW01014137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLLGRDSDKLLAVDHKGRTTMYDPAANTIHAAPTLTNPKRLPAISLPIGDNLYILDPTSSDHRCFEAL >KN539861.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539861.1:3188:3710:1 gene:KN539861.1_FG001 transcript:KN539861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSSVSEEEINELISKLQSLLPSSRRRGANQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMAGMDQNSPGAEIIRSLLR >AMDW01024172.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024172.1:8:244:-1 gene:AMDW01024172.1_FG001 transcript:AMDW01024172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLGLGKEKLEASRAVMAQYGNTRSSCVVLVMEEMRRRSEERGLRTAGEGLDMGMLVGFGPGLTVETIVLRALPIN >KN539861.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539861.1:43171:49125:-1 gene:KN539861.1_FG002 transcript:KN539861.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNVAAKVKAAAAGGADGGSSKGSPSTPASLRVTKLVKGKAKVKAKASREKAAAPAAAAGKEAASLGDAGGNADASPAAPALRPAAVADAAGASKGSPSTPASVKKTSRLNKVKAKAKAAAAAAASGSPSVGAAGGNADASPAAPAPRSATVADGSAARVISTPAAATAEASTPKRRLKLKPKLAEANANANAVVATKNGVGADNNDGDAIKKRKKEIAGERTSNVKERRKEEEGSKKEERLDSKGGGLIFMCNAQTKPECFQSRLFGYPRGKIGIVEKIRPGMRLFLYDFDLKLLYGVYKAVSKGGLDLVRDAFSGKFPAQVKFKIDKDCLPLPESRFKDAIRENYSAKSKFNPELNSRQVHRLIALFESVSVPQPAPQKPLEERHHYEGKSQPHQYEERRSSLPVVHVPPPKDLYRATRFDPHPVDYRIDHSLSNAHDGPHIHYQQTLVARESQRVPLDIEPRLVPHALEPRHGPSIPEIQHVPHTYYRHLAPSDVPYYRSQVDPLPDRLQFFLLRTIPCFWLTNSLNFSVAARTVADPFLSRDYTAVPATRSDGAARVEELRRIGDIASLGARVEELYRPGELAARGSRVEELYRPGEIVARGSRVEELYRPGEVAAHSARMEDLYRPGEIPIRGARVEDLYRPGEISARAVRVEDLYRSDQRITHAVDLPLRAPYPTAHYEVPNPAYSDISQRIWYKYCPPESNLISSLSKKKKAIYVISLG >KN539861.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539861.1:36896:38298:-1 gene:KN539861.1_FG003 transcript:KN539861.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVMDAMGMDDYRLHPKGEEATKNVVSKWTVVKGVLVQQSFQIAVSLLLFTIIGDESGTVRKQPPALVIALQFIIAMFVMDTWQYFMHRYMHVNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPKTAIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLENRKGGGFEARPIKLNVAEQSKTD >KN539861.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539861.1:6665:9617:-1 gene:KN539861.1_FG004 transcript:KN539861.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKLLANNFDVLAGPLVSLAYPLYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKENLSKPDDVLSAAERYIEQNGPEAFEKLISKSTRPSTSKRSTKRSILEEVESEHMARAERESWGENPFYDKNYRY >KN539861.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539861.1:52338:55810:-1 gene:KN539861.1_FG005 transcript:KN539861.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLSALSSRLASHLSLPEDAVKPLEKEARDRIKLARLLAADAKEGFDTQSKIQKLSDTEVAVGEHLARARRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNETSSPVLRQIEAGKRDVALLDYLRFYLPDMFPALQRVVLLEDDVVVQKDLAGLWHLDLDGKVNGAVEMCFGGFRRYSKYLNFTQAIVQERFDPGACAWAYGVNVYDLEAWRRDGCTELFHQYMEMNEDGVLWDPTSVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVIAGAAVIHFNGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >KN539379.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539379.1:69963:70354:-1 gene:KN539379.1_FG001 transcript:KN539379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLARIGMHQDIYQLVHCQKLRSYIKNVSRQHKISTV >KN539379.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539379.1:388:4076:1 gene:KN539379.1_FG002 transcript:KN539379.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding HVTNCMYVWHSTLGSSETPMEKENKTSSPSDYSGSNEGSANISSNGVTGTAYPNSENELKSLFSALLSLWHLRPFTDKFMKKAPLYPHFGVSGKDWNCMLCYLFHSFNAFGDKSDSTATYRLSCLRPSFIKILEEANVSLKEETNLAVKYIEIVLNMVHTSETAKCISNNSKNILYKTTLFSSCPDHRCLSHELFGMHKNATESTYFLNVGASELRNIEMNTFADVIKSVDKKFHCNTESNAHNHPPRYFTTAFGYPSENDSHLVSGLLVSIAAPLDINPVYEGLHSECKYTMVSAVFRAEGRDICLAREEEKWLVYDNSLREITPFHDETFSMQEFDSWEKVLEEYSRSSFCPQIIFFERIDPVSEAAGTEVTV >KN539379.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539379.1:42052:42612:-1 gene:KN539379.1_FG003 transcript:KN539379.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRELALGLELSGQRFLWVVRSPSDEGEVSANYYDAETKKNPFGYLPEGFVERTKEVGLLVPSWAPQTKVLAHRATGGFLTHCGWNSVLESLVHGVPMVAWPLFAEQRQNAVMLTEGAGAAIRVPESKGKEKIAAVVREMMVGEGRGAAVRAKVAELQKMATDGLRDGGAATSALDEVVDKWTGGDN >KN539379.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539379.1:18344:35654:1 gene:KN539379.1_FG004 transcript:KN539379.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDTAYEFQRSLVVALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVSGADYDDRVYFVRNQHRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDKSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSGITSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQHNLKASFSNETLKAGKDHQESEVCVENSNSGAKENLNIPYPKETDSQSTEEMSSTNGNENVDVNKEITDKDLFILHPIIQLQAGKGIASEVVATVFQGLHISEASLHFCFNSEIEGRVVSPISCQDCICRTHNLFGIMFHVRMSCRCGKSFNEKEHTTIVYRLDAGSAQTTKIKSFAELPVLYDQQLCFEDNCEYCGSSKKVDVSPLNTPHFFTIGLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVHFSANYSLASMISYADGRYVCFARNQDKWLICDAETVEAADSWELLLERFSDCRLQPEVLFFEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPGGGVWPKLLSILDPKSVDLSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMNSKLKNVPTDILSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTVLTSQILCFQENFNIPYPKVTYAQSGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLKERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKLQAGKIIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLLQMSCRCGNSFDEKEHTTVFYKLDAGSPQTTKIKSFAELPVLYDEQSCFEVNCEHCGSPKNTDVSPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDKWLISDAKTVETKDSWEQLLERFRDCTLQPEVLFFEVKT >KN539379.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539379.1:6309:13118:1 gene:KN539379.1_FG005 transcript:KN539379.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAEQAYTSALAIPLPVDPALHNAAYGLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLGGDLLRKNPRASAKEILKAQRDAMVEARKKAKSMVDAMPNSARAQCFHGLMDLNFVYLLDEAIDKRSALRRSTLAILDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGENKGDRLITHVSEFHELINKIVRTANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGEKHNSYRFWVIFSQDSDQRNIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWIKFVKETAVDYRALILAIGRSLLWRKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSHSDAAQKMNGNHQESMIKIHVANLLTGKKRFILLYLSSDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNGNGSPHILGSSSQFSDESAQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLRPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNVRNIFEKLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTVVLKCTDSTKSHVSLSELLVGCTSPPDITLATKYTLASMAEDSWERLVQRFTDSELIPEVIIFEVIK >KN539379.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539379.1:61289:66719:1 gene:KN539379.1_FG006 transcript:KN539379.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGMRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQIHEEARKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGARQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDSATPGKPTLTLVFVETKRGADSLEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAGAPSAWD >KN538966.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538966.1:113065:121729:1 gene:KN538966.1_FG001 transcript:KN538966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSVKNQKVQIFGQGFVCTTLLEYPGKQQQSDEFWKLYFVILITTICGRLLKDLHFKHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISEDSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEISNLSGTTQETALSPENVPRNYEAISHTYCLMLLFSEDKKSCLEVLVGSFQLAFSLQSISLQAGFLPPSRRRSLFTMATSMLVFFSKAFGIPSLIPLVKDLLTKSIVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILDSLKDLSEAELSTIRKQLLEEFSADDACPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTVVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQRQVSDLQKDHRQLEMSTVKKMKMVQNTICGMGQLDYVEW >KN538966.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538966.1:85280:89462:-1 gene:KN538966.1_FG002 transcript:KN538966.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGAVTARGRRKSYSEGEEEKSWTRCVRNGETRGASDFFGGIERPILIGAKFFYKGYEAALGHAIDETEESKSPLDTEGHGTHTASTAAGSPVTGAGFFDYARGQAVGMSPAAHIAAYKICWKSGCYDSDILAAMDEAVADGVDVISLSVGAGGYAPSFFRDSIAIGSFHAVSKGIVVSASAGNSGPGEYTATNIAPWILTVGASTIDREFPADVVLGNGQVYGGVSLYSGEPLNSTLLPVVYAGDCGSRLCIIGELDPAKVSGKIVLCERGSNARVAKGGAVKVAGGAGMILVNTAESGEELVADSHLVPATMVGQKFGDKIKYYVQSDPSPTATIVFRGTVIGKSPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILATWTGESAPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAQPDWSPAAIKSALMTTAYNVDNSGAVIKDLATGTESTPFVRGAGHVDPNRALDPGLVYDAGTDDYVSFLCTLGYSPSIISLFTTDGSVANCSTKFPRTGDLNYPAFAVVLSSYKDSVTYHRVVRNVGSNANAVYEAKIDSPSGVDVTVSPSKLVFDESHQSLSYDITVAASGNPVIVDTEYTFGSVTWSDGVHDVTSPIAVTWPSNGGAASM >KN538966.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538966.1:20847:23733:-1 gene:KN538966.1_FG003 transcript:KN538966.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLRCFFGGGGGGDETGGDEEKKASAAAVVKNKKAVRRMRSATGRLPSLSLEDLSRTLAQSGLQAFTLAELKAATRSFSGSNFIGEGGFGPVYKGFIDAKLRPGILQPQHVAVKYLDGEGDQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDDHRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPERLHRLVPLSPGK >KN538966.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538966.1:171174:173167:-1 gene:KN538966.1_FG004 transcript:KN538966.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTGNAILGSEFFTRGFVGESLNWGIAGNAITIAMKSTYTTLKPLADKVLVKIKSAEQKTTGGILLPSAAQSKPQGGEVVAIGEGRTVGDNKLEVSIQVGSQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDAKDMKPLSDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGKRIPLSVSAGSTVLYSKYAGSEFKGSDGTSYIVLRVSDLMAVLS >KN538966.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538966.1:3267:19941:1 gene:KN538966.1_FG005 transcript:KN538966.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEVKERAVVVLTSQRKKITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKLIMQYLTYVGGRASIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPRSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLRMAAKLFMCDPDLLISTLCTRSINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFVISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGSLPEESLRSSYKFSSVASRFKVVMNSVADVRKGTKRKISLHYPTFARDFHGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLENFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKMYMVKRETAAAIIVQKYVRRWRLHQTYQQAHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVKIQCAWRQKLARRELRRLKMAAGEEAKSVEILKRDKLIESLSAECAAAKSDAQSEHDKNRLLQRQLDDSLREITMLRGSKIMTAEAEKENSNLKNLVESLSKKNSSLEYELTSARKGSDDTMKKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPAAKYLASLPQSLTGSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVAACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKGEEADGRLPYWLSNTSALLCLLQKNLRSNGLFATPSRRSGGPLGIGDKIVQTLRSPSKLMGRIDTLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVNFLDLLMSTLHANYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWISDATDEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAIDYADVELPESLHHYPSVQLLVKHHDPQPV >KN538966.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538966.1:174417:181109:1 gene:KN538966.1_FG006 transcript:KN538966.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESLESCPSEEIFLFRLLNSRNFRNNDDSSLSKLVEEALALPYSTTVTLMKSMQEAFAVQQARSESLSLALNGQNFSEDVIVALENHNNYLKVVVDNLRQAVSIINGKHEKYLDEIEAFKNNQSRELHEIKCLSGKQALSWIIEATAIMANALANGDICSSLLSGSIAIDPFFFFLTRSHAQQEELLATANQSGNAQNDVGLSPPNYSSGLQSEVLDDPSQSHEKFAIGTKVQAVWSEDGERCNATIEELTENGYCVDPANVRSLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKATKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVSIEAIEDSQKKEYQKIALWFQHGNKAFLESATVGWCSSWDEISKRIFGLL >KN538966.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538966.1:163645:163827:-1 gene:KN538966.1_FG007 transcript:KN538966.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPPLYSPPGWGGGHAYDFLQAPPPSPPLPSCDDDMVDVDESSASLWSFDTRDSYFRY >KN538966.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538966.1:135685:142800:1 gene:KN538966.1_FG008 transcript:KN538966.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRTGDICLRFCAVPRAFATGWQVEKLNQEGDCGNGRDAATRGALNTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELNGAPVIADLKHLLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEERATTNCGSESTSLLTETTKIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRSEDDEIVEDMTESAITEEVGGTAEDVLSETNDKEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTPVDSDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVSDCS >KN538966.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538966.1:83250:83993:1 gene:KN538966.1_FG009 transcript:KN538966.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPHVIGIAALLEKARPSWSPEMIMSALMTTAYGTDNAGDDIKDMAKGMAAGPFDLGAGHVDPNRALDPGLVYDYSDEDIVGIFLRDGTITNCSTRASTTVADLNRASISVAVKAYGDEITVRRTVRNVGGNVDAVYTVGGVPPPGTQLRIRPSKLVFDAEHQTRTYDVVIRTVSSAGSFDEYTHGSIVWSDGAHKVRSPIAVTWPPSRPFDGAAALALSINITRMHVPCGYDVHLVYSFALQCVM >KN538966.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538966.1:37624:53292:1 gene:KN538966.1_FG010 transcript:KN538966.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoleucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/Swiss-Prot;Acc:Q8RXK8] MAAKKAAEGGGFGEGFSRGIGVLYVGISVIFKNVILVGVTIHYTHLLDFSVRTKMHLLGLKHHGAKQEEGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKASSSLYPMETPYVYKKLVLKSLDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSMYAAFKITNLSKPGLLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYVVVELQPVLESESTSGGNQRKLGSILSPGSQKPFIVVAADLVSALESKWGTKLIIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGAGNAAVVNYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWIPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSNEPLITEETIEHIKGIVSKKGSDAWWYMTIEELLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKQEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPENSVRYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHTLDDGSVAEFVFNLKWPVKSEEWLSVPKDDVDFLSVILELRSEVNKILESARTGKLIGSSLEAKVYLHAENANTVSKLKELVSATNDADALHRLFITSEVEILPSVSSETTSGVSYTGTFSSERTGNIWIGVTRADGEKCERFIDLLHEAPLSGHREPRSIVGGTLYCILLAGYTAVAVSAPWIFYLVPDMIPPLLCSSNVILLILTGIFQQYWVHQVRKVRLQGYYDFSEKLKRLARLPFATVASGVASMLLIIVWQPLVHILSVSLLLRIAIVVEAICAGCFMSLYLWHIHKYNSLNGHPDILRSLYSALQPSSTLEERRYYDGRFSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDVGSTQADLAHLLASRDQELRALSTEMNQVHSELQLARSLIDEKDSEIQRIRVSNNQYIEENDRLRAILGEWSARAAKLERALEAERISSIELRKNIAKLRGQTYKDQNT >KN538966.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538966.1:94738:95262:-1 gene:KN538966.1_FG011 transcript:KN538966.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQPAGPFELGSGHVDPNRALDSGLVYDATADDYITFLCSLGYTRRQISLFTNDGSVTDCSTRAQGRAGDLNYPAFSFEFRSYFGGRLTQRRSVTNVGTNTDAVYSVNIASPPGTAVTVSPMRLAFSAQRKTLNYSITMSASAAGPNTYRWGSIFWSDGQHAVRSPVAVIWQ >KN538966.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538966.1:123976:134898:1 gene:KN538966.1_FG012 transcript:KN538966.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSAGLGAVGGICKKMSSHGFFAKGGDEGSKLPSKVTTLNPNAAEFVPSTFRSPFGSRTVVDVSKPDFRGPSGKTILGRSESSKSNNSDDETHQFWRRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNYLYYDENSGSNNGDQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSAESLAELYRANGCDFDLTVEILTQLEAIRIFTQPPFARLLAAAIGCQYKAQTMQVDASSCQNLNLAPNTPNVGTGSFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFENESPKYANGLLSIVARKQYGCDTRSSLGNKFLKAGSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTYFEQATQAYMLGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGATRTKYQKNVEASHNKGLKTVPNSIRSENYVITFEVEEEKFPFFGKKYQLKFANESMFENHCLVHFPSFMRLPCLKLIVTFFVCVLTDSRTQFAPLLGGYGSSLVDPRGKLVARSFDILGDVAFSLQDSLKAPVYSFPDIISAGLYSTFVFQKPDPDAMPPIVTPELHDPENDQEEEWLWTQQASMDDGRVSRTDMLPPADNEKGILGPGPADMRL >KN538966.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538966.1:143596:151656:-1 gene:KN538966.1_FG013 transcript:KN538966.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKRQLPIKGASGASGSGHGKKAPQELENVLKQHFGYSGFRGKQLEAIEAVLSVFILFSFAEYFRSKELLLRITEENQVASLKSKGIPAEFLSSTQTSHNKQKIHEDLYSGNPSLKLLYVTPELVATSGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRNQFPDIPILALTATAVPKVQKDVISSLCLRNPLILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIVYCLERAVCDDLTMHLSQQGISSAAYHAGLNSKGIDRQDVRIVCHYNLPKSMEAFYQESGRAGRDQQPSESVLYYGLDDRKKMEFILRNTKNKKSELSSSLSSSSTELSEKALADFSQIIDYCENSTCRRKMIIESFGEKVQPTLCQRSCDACKHPNLVSSRLEELRRVSTCRYNKISPVFKSLLTNPKHMETEFWNREDDASISVEDISDSDDGKEVVSNIAISKLPSKAGLDAKFKALERAENAYYQAKGQTKQQGGKLVDKKSISQTLRDASQKRLLNGLGQAKLRLGNLPFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSFDQIQDRLHALADQTTDHGAAASSPSIVPESPPASPDVICKIPGEATSNEAKDIPLKNSTEEFVTTEHSDEIAKVAVLSENMELPKIPSFREFMSQKGRDRATSSSKVESQPSGVRRKVGIEKQGTTGPSKKMKS >KN538966.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538966.1:154093:158062:1 gene:KN538966.1_FG014 transcript:KN538966.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGGRLHPHGQRRSVAAFLAANKTLLAAAWVVGFTLVFLWQSAKSFREVQKVVLLSGFDRSFREVQKIVLLSGFDRVYPTEFLMFPWQDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWLKHRRRILNNTRPPLLQLMWSKDIIVANITLKNSPFWHFHPYDCTNITVSNVTILAPISSAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSRNIVIRNVMARSLVSAGISIGSEMSGGIANVTVEDVRIWESRRGLRIKTAIGRGGYIRDISYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGRGVRVPVRAHGSSDIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDLYNEQGQLVKRAAMWKLHGYMTRSSGVKAVNKRSIMKQACRLVNGIN >KN538966.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538966.1:94175:94378:1 gene:KN538966.1_FG015 transcript:KN538966.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRLGDGSRRPRRFIGALRRLRLRWVVAAMYRRALRRLRACYAKAIRDVLEGAALVGAIRADAGV >KN538966.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538966.1:55077:57727:1 gene:KN538966.1_FG016 transcript:KN538966.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPTVVAGQEEITDNMLGDKKVTVVFVLGNCLPSTLCLIIIIYTGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSGNDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEYYNAKDKVKKIDAAKPIPEVFEDVKAIFAPYAPNALLSGVTTNL >KN538966.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538966.1:104199:106520:-1 gene:KN538966.1_FG017 transcript:KN538966.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLLVVFSLLHALVLATSVDVEHAADDVSTYIIHVAHVHAAPPTHASQCMDQLAIAHYTSFLQGILPSHLSEPTPRLIYAYSHAATGFAAKLAKHQATHIVHHPSILAVFPDKRNELQTTLSPSFLGLSPSNGLVQASNGGGTGAVIAVVDTGVYPKNRRSFTAVPSLPPPPSTFRGRCISTPSFNATAYCNNKLVGAKYFCRGYEAALGHPIDETQESKSPLDTEGHGTHTASTAAGSAVPGANLFGYANGTAQGMAVRAHIAVYKVCWAKGCYDSDILAGMDEAIADRVNVISLSLGGRSEQLYNEPTSVGAFNAIRRGIFVSAAAGNDGPDMSTTNNLAPWMVTVGASSINRRFPANVILGNGETYVGTSLYSGRNTAASFIPLVYSGDAGSRLCEPGKLSRNIVIGKIVLCEIGYAPAQEAAVQQAGGVGAIVPSRNVYGQFFLSSPDLIPASTVTFADASAIYSYTQSAANPVARIEFRGTMISQSPSAPRVAAFSSRGPNRFVAEILKPDIIAPGVDILAAWTGENSPSSLSIDTRRVEFNIISGTSMACPHVSGIAAMLKVARPDWSPTAIKSAMMTTAYEVDNGGNAIMSSVNGRAAGPFELGSGHVDPNNALDPGLVYNATADDYIAFLCGLGYTPNQIAIFTRDGTTTYCSRRPPVGDLNYPAFSMVFARSGGQVTQRRTVTNVGANTNAVYDVTITAPPGTTLTVAPMRLTFDAQRKTLDYAITLSAGSSNSPYNTWGDIIWSDGQHMVRSPVVATWK >KN538966.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538966.1:61319:69618:1 gene:KN538966.1_FG018 transcript:KN538966.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGAVQEAFSCFNKFAGAFYFWLSRASNPKIFHRLSAIAGSSSRACQSQIKQVTSCMQHLAGLRFGSQVREEHAIQILLAKLANATFGRLWNEVEERHACNILMLAAATVPPFENISPKMLADSMTLGRDNGRTREPVDQHSLEENHSGCTCVAVPRIILPEDATEPKTGIKFPTLLEDNSNPTSEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKRLGPKYASVPVSELKDHPDFYEDLLRENIHMTVRLIVSYNGLSIGTVRELYWAFLLINSADRSKDIWCCHSFPSELTMKPDWSIFSKFDHNGGYLHKFPIDSPISHDIGLGLISHFGTLVESSFQHPRHICSTGNGAVQEAFSCFNKFAGAFYFWLSRASNPKIFHRLSAIAGSSSRACQSQIKQVTSCMQHLAGLRFGSQVREEHAIQILLAKLANATFGRLWNEVEERHACNILMLAAATVPPFENISPKMLADSMTLGRNNGRTREPVDQHSLEENHSGCTCVAVPRIILPEDATEPKTGIKFPTLLEDNSNPTSEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKRLGPKYASVPVSELKDHPDFYEDLLRENIHMTVRLIVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEDICIPALMANKLVLSRAKIFAVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTAMAFEKELKAAGELENVHAAIRWGTDYFLKAATKKDHLWVQVGDPNADHQCWVRPENMPTPRTLYQINDKTPGSEIAAETAAAMTASSMVFRKDKPYSRRLLNKAKLLFQFAKTHQGTYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIGHEAISSSVAEFSWDLKFPGAQVLLAELNMTSSGGLQSFKSQADNFVCAVLPDTPFHQVSITPGGMIHLRDGANSQYVTSTAFLFVAYSDILRRINQPVMCGAQAVQPARLLQFAKQQIDYLLGANPRGRSYVVGFGVNPPTQPHHRGASTPVLPPGYQVNCGMSFSEWFTPDRPNPNELTGAIMGGPDGGDNFSDKRGNSSCTEPCTYINSLSIGPLAALAIRGPNLIACQ >KN538684.1_FGP185 pep scaffold:O_longistaminata_v1.0:KN538684.1:79318:80076:-1 gene:KN538684.1_FG185 transcript:KN538684.1_FGT185 gene_biotype:protein_coding transcript_biotype:protein_coding MVERNRIREGERRRDADNLAYSALKQAEEFYNLDKTEEGTVTKPARRWQKPGQNQLKINVDGSFRPSDATGGWGYVIRDEMGTVIQGGAGFVAQLVDPFHAEVVAGMEGLKAASANGISHAVLESDSLMLVQALRGSNFRLAPMGGLIHEIKMFIDMHFATFSVVHCPRVCNKLAHEFATLGCNSSLPSCSWDGVPPGLEGLVAGDLAASES >KN538684.1_FGP186 pep scaffold:O_longistaminata_v1.0:KN538684.1:133515:139976:-1 gene:KN538684.1_FG186 transcript:KN538684.1_FGT186 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLRRGLGILLVPLSSSPSRAPRPPVPLAALLLYHRRLDVFARRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYRCRNIEICGLVFSLWNGSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDHALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTTKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRR >KN538684.1_FGP187 pep scaffold:O_longistaminata_v1.0:KN538684.1:50913:54616:1 gene:KN538684.1_FG187 transcript:KN538684.1_FGT187 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGVWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEVILKQKLRGQGMAFLVGGGSGCIEDIYYLGLKEHNMKILAPREVITVDAACIVAMTTTINFQLKTPNQPRRVVFSGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >KN538684.1_FGP189 pep scaffold:O_longistaminata_v1.0:KN538684.1:4670:12811:-1 gene:KN538684.1_FG189 transcript:KN538684.1_FGT189 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH16, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/Swiss-Prot;Acc:Q9SMX1] MAVAAALLRRRALYSALASSSWLHDTSSCYICSFSGTHSLVNHPNLRLQRGYHNSGKFDLTDLTHPHIWYPNAREKKRNVFLHVGPTNSGKTHNALKRLEASSSELLMNSVGVYCGPLRLLAREVAQRLNKANVPCNLITGQEREEIEGAKHSSVTVEMADMTTEYQCAVIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAVVPLIQRILEPTGDVVTVQYYERLSPLVPLKTTLGSFSNIKAGDCVVTFSRRSIYMLKRRIEMGGKHLCSVVYGSLPPETRTKQATMFNDQDSNLNVLVASDAIGMGLNLNISRIIFSTLEKFDGICNRELTVAEIKQIAGRAGRYGSKFPVGEVTCLNSDHLPLLHSALKSPSPIIERAGLFPTFDVLSLYSRLHGTDFFQPILERFLDKAKLSPDYFIADCEDMLCCGQAKLGSTLVQPRSNAELVQEEAMRNKKPSQLACMQYRNKKVAAIVDELPLGLYDKYLFCLSPVDIRDDISTKGLIQANIFVFAENYAKKGIVRLKEIFTPGTLQVPKSHNQLKELESIHKVLELYVWLSFRLEDSYPDRELAASQKSICSMSYCWQYQVD >KN538684.1_FGP190 pep scaffold:O_longistaminata_v1.0:KN538684.1:114227:115068:-1 gene:KN538684.1_FG190 transcript:KN538684.1_FGT190 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEMCRIYSHAPRQFTIIDRGRRNSICVQRRGFEEVYVFSPGSKYQWYGKYAYVCVGPAMLEPIVLSPGATWSGAQYLRNPNL >KN538684.1_FGP191 pep scaffold:O_longistaminata_v1.0:KN538684.1:143865:146711:1 gene:KN538684.1_FG191 transcript:KN538684.1_FGT191 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIRCDGVATGRTAYVTFKDPKALEIALLLSHVCKSDKCASISTEIVIMKISVEISVNLLIRGATIVDRVVNITPAEDYIYIPVSDQQLVVSEVVGEVTSTAPNADLDQPTEANASPTTGRVYVSKAHDVMTTVIARGSAMRQDAVNKAKAFDEKHQLRANASAKINSFDKRVGISEKINSGITVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKACLII >KN538684.1_FGP192 pep scaffold:O_longistaminata_v1.0:KN538684.1:1233:2894:1 gene:KN538684.1_FG192 transcript:KN538684.1_FGT192 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGRILFPPSLKFVSYSGGRLSATRFSTTGFDLPDWFRNPKDDGSCAGLDDEEDDIFVLPTEPNISDERSQSSASRSLSIRPGFPATASHEDAEFEADVDEVSRILSARFASPEAIVIAMDCCSVRVTGRLVDKILTRFGNDWVAAFGFFMWAGTQGGYCHCADSYDLMVDILGKFKQFDLMWGLINQMVEVGDLMSLMTMTKVMRRLAGASRWTEAIDAFHKMDRFGVVKDTKAMNVLLDTLCKERSVKRARGVLQELRGTILPDENSFNTLVHGWCKARMLKEALDTMEEMKQHGFSPSVVTYTSLVEAYCMEKDFQTVYAFLDEMRKRRCPPNVVTYTIVMHALGKAGRTREALDTFDKLKEDGVAPDASFYNSLIYILGRAGRLEDAYSVVEEMRTTGIAPNVTTFNTLISAACDHSQAENALKLLVKMEEQSCKPDIKTYTPLLKLCCKRQWVKILLFLVCHMFRKDISPDFSTYTLLVSWLCRNGKVAQSCLFLEEMVSKGFAPKQETFDLVMEKLEKRNLQSVYKKIQVLRTQVTNLKHMESFQ >KN538684.1_FGP193 pep scaffold:O_longistaminata_v1.0:KN538684.1:121271:124841:1 gene:KN538684.1_FG193 transcript:KN538684.1_FGT193 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAGTEGDEGDGGGSSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTGHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYHGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLESTIDPSKDPRKSGVPLVAPSARTDDPPPKAHAPSVNGGLTRNQKKKIRRKAKRAAAATSEGSGTVASGDTDGSDDRGNLSTANEGSPNQDGDKKEEGEGSRRGSKGTRKKMALEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDIDANGMAEFLVPILDFVPEKRPSAAQLLQHPWLDVGPLRRQPKRSSDLTQNSSDDGVSEKQRIENEERDAMAVNLGNIAIDGASSKTIEDPQASTMQNKTNATPAK >KN538684.1_FGP194 pep scaffold:O_longistaminata_v1.0:KN538684.1:96504:99961:1 gene:KN538684.1_FG194 transcript:KN538684.1_FGT194 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPGAGDRILFLFVFFDLLAFESKCFFLDSQATEATDMMQKLSLESKKEGAAPDAAKKPAGMPYGSASAGDAQNTASPVDRSITPLLQEAVNANILYQTNGYGPSAYYYPTGYDGSANEWDSRYAAHDGTEMPPQSVYGDMYGYGYAPYGPYPSGSPVPTVGHDGQSYGAQHYQYPGQYYQQPAPTNASHGVNAVNSQSEMPSVAAHQARVPVESAKASANGTANGMANTNSSSLARKQAHQNVSVANNGSYGGGTLQGGPSANNYGHSGLHSPVQWYDGPVYSNGHQRSNTNSTSYGSNSYSAKNQSQRPTANLMGMHAQMPSSGMGLTSPSYHTRMYPDNRLYGQYGQYGNALKTGLGFGSNMYNSRNNGRWGIVDSKYKPRGRASFGFGSENQDGFTELNRGPRSGGFKHQKQFGPSVTIAVKGQALPSVGKQENSAIPDKGQFNQEGFPVTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSECPVFLFFSVNTSGQFVGVAEMVGPVDFEKTVDYWQQDKWNGCFPIKWHVVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKDHISKTSILDDFGFYENRQKLMQEKRAKQQLLQGQASILFAHKSLGSLDNASHEKEKNAIDGKSTAQKQALSKEGTPIVGEMLNASKSAVESSVTNGN >KN538684.1_FGP195 pep scaffold:O_longistaminata_v1.0:KN538684.1:109382:111857:1 gene:KN538684.1_FG195 transcript:KN538684.1_FGT195 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING2 [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/Swiss-Prot;Acc:B3H615] MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKEQIKYLLGVPSHGFDRSNMDDDESASERMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPAISAFSRDDKRRPGFSTPQATKKFREREWDRERGMDFDLMPPPGSNKKTTAPMDVDQTIDPNEPTYCICHQISYGDMIACDNDNVVLEKL >KN538684.1_FGP196 pep scaffold:O_longistaminata_v1.0:KN538684.1:148559:154625:1 gene:KN538684.1_FG196 transcript:KN538684.1_FGT196 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPEWVEKGDNAWQLAAATLVGLQSVPGLVILYGGAVKKKWAVNSAFMALYAFARRARLLVRLGLPHVVRRRALAPFVGRPDLSGLDQAGFLNVRRSVLSGGGSVPSEKATAKEWQQMVAKMQKAALKTRLGIPIIYGIDAVHGHNNVHNTTIFPHNVGLGATRDPKLVKRIGQSTAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTKLVQLMTSAMVPGLQGDAPARHPKGTPFVAGGMNVAGCAKHFVGDGGTRDGINENNTVLSFHDLMRIHMPPYDDAVIKGVASVMISYSSWNGVKMHENRFLITDILKNKLKFRGFVITDWQAVDRITTPPHKHYYHSIQETIHAGIDMVMIPYDYPEFVDDLTTQVSNGSIKLDRINDAVSRILRVKFAMGLFENPLPDPRLAGELGDKEHRQIAREAVRKSLVLLKNGKSGEKPVLPLSKKAGKILVAGSHAHNLGFQCGGWTVSWQGQGGNNVTAGKSSTIPISIDTRRRVCRSNVNRDAGTTILEAIKAAVDKSTVIDYTEHPDKSSIAENAKEYDYAVVVVGEEPYAETEGDNLNLTIPSPGPEVIKDVCGLVKCVVVLVSGRPLVVEPYIGAMDAFVAAWLPGTEGHGVADVLFGDHGFTGKLPRTWFKSVDQLPMNFGDKHYNPLFPFGFGLTTKPSHSQS >KN538684.1_FGP199 pep scaffold:O_longistaminata_v1.0:KN538684.1:41504:47417:-1 gene:KN538684.1_FG199 transcript:KN538684.1_FGT199 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGKYSPGASGGGNAGGGPRPGGRETVVWSEKMNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVTIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKEMESQRTPTNVLVSPIIIKSLKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGNSCGTGMPYAPENWPCPGDQWSWKVGNRVSATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRAYLLHSLLLNPSRQSDPCFCLYLIVVPMDPFGRTNSLRRIHERYLLPPSESNTDAGFYVYILESSYRGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >KN538684.1_FGP200 pep scaffold:O_longistaminata_v1.0:KN538684.1:199290:204803:-1 gene:KN538684.1_FG200 transcript:KN538684.1_FGT200 gene_biotype:protein_coding transcript_biotype:protein_coding DIVAAIEKRGLTLGKAAYQELTGVKKPKLDEQESSPPLPWDDKHAYTRDAVELYYQAGVGTVLSKSEILKILLEGTVDSKSLPESLLDEEDGENDAGKSSTITSSDKGSVKWINVKEGKTLQEVLKHKDFIIPAIPEHGTRESDHLVVVNREHTARQVSATGVIVEGDAKEAICQAVEEMHADMLVLGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKAHDK >KN538684.1_FGP201 pep scaffold:O_longistaminata_v1.0:KN538684.1:13485:15921:1 gene:KN538684.1_FG201 transcript:KN538684.1_FGT201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 16 [Source:Projected from Arabidopsis thaliana (AT5G50230) UniProtKB/Swiss-Prot;Acc:Q6NNP0] MVEAEAGKEAIRRALRSLRRRHLVEEGAHRPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTLQTELEQTREENTQLKQSLEEKTSALDLLIQEHQAVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDIMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSCVLASSSNDHTIKIWDLQSGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVSVSRNRNFILTSGKDNVHNLFDPRTMEVCGKFKAMGNRVVSSWGRPCISPDENSIAAGANDGSVYIWSRLKKDGVPTILQGHSSSVVSSAWCGLGPLATADKHHIYIWT >KN538684.1_FGP202 pep scaffold:O_longistaminata_v1.0:KN538684.1:62421:74862:1 gene:KN538684.1_FG202 transcript:KN538684.1_FGT202 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEGHSYHRFPRAQDHIHGVGIGMGHNGSKRSPVPETKDGAMKDLEKSTSLEVDDSTIDGDVDLGGGLTVVGKKRKDGRGSMDENGASTKRILRSNSMNMHVDAETAGGVALDVCKGDSLEKKQCDIIAEGDRGGVLTVDTCNAEEDREVTGAVVSDAVVEAVRCSANNVESLGLAEVEIAEGNGLDADIQESDGEMDKADDKLSTPSEEQNESGGGTSVGGINDSQENKGVNGLCQGEVIDPSATANGDELSMGLSRSTSGRESIEQEDTVMCASDDQKVENHCQFDDKHKEAEISRIELAVDNHIMLTDCTNQKNGMDSPVNETKGDSTPDIVFIRRKSITRKTSEAKQVKSEDEVRFEKRVTRSATVRQREVSASMCEGATNDANLESKERKEDVHHYTRKVDSTVRSKVHHTGVAECDTDTKKKLKGNVTTRRNSDAIANDDPPSITQNKESKTQMKIDIKSQPLTRRGSIVNKTEDAVSGLDQNICSSAITDKNDIELTDSEGVKSENKAAVRKSILSVGAKIVASKKRILESGLDKTSGESPVAIPSLKKARDTSSDTELEQPKKSSGKKLTRNNCGSSKKGMSTRRQHQSQTAKLSTSVNCSNKNESKLSQNESDDDGTGSDTSLKNTYVRRTRSGGVVPKKQEDSSESEEPIILRKNHQRGKYSGKRAGSTPRKVKAPKGNRKEVKASSLKSSGPSEQINTGSLREEKQKISDHIKGMLLDAGWTIDLRPRNGRNYLDSVYIPPSGKGSYWSVTKAYAVFLEGMESEKKGRAKDQRPSKKSVGSPGKSHVSEEILSKLKRIVVNKRRTKVELQKLKKRKHGLLKKQKTSKRNSRGSKNKISNSRKLHLGSERKKRGGCALLARGSNKDGGSSTNGFVPYEWKRTVLSWLIDLDIIDINAKLKCVDETHSKVLLEGVTTRDGINCRCCSKVFTVLEFVAHAGGPVSKPYRNVLVDGLDTDLLHCLINAWDKQSDSERQAFFPISTETDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEALPSDDWRCAKCSCKFCQEHSSQDAQDIAEVDSSLCTCSQCEEKYHPGCSPETTNTSNVSSQAGDLFCQQSCRLVSIRFLGGNDIYGSVNSALMQSLRYIYSLFSCDIHYRGKLFEGLRNLLAVKKDLEPEFSCRIIQRIHENVPETVVALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYNCGSNFVRMDFRGFYIFVLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAIAELVDTWTSKFGFSPLDVSEKQEVKSTSMLVFPGTGLLQKPLLKKTSPEENSSSQEVDGVFSELESGKTSNVANEDSLCSANAETQGSAAPYYGDNSKDASACNDARCFCSLNRTTDQIYFNLIGVTHFKPPLKYTSPSRFRVQNLSPNRKDNVEMGEPNLSSDGLLASPAAEELPDGLLGGVGASPELGHVATVVLPQRLPERPHHLPRRLQSAARST >KN538684.1_FGP203 pep scaffold:O_longistaminata_v1.0:KN538684.1:80293:91582:1 gene:KN538684.1_FG203 transcript:KN538684.1_FGT203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-12 [Source:Projected from Arabidopsis thaliana (AT2G31900) UniProtKB/Swiss-Prot;Acc:F4IRU3] MGDILNRECQILDKNFGILISSSAQMGASMVQPHGKTTSYLIPLRNIEGRYLVGGGRGDNCPDSFLDLVDDEDERGTPVNIIVGSHVWAEDPDDAWIDGEVVEIRGGDATIVSTDGKTIVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDCFEQLCINLTNEKLQQHFNQHVFKMEQEDYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCHFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMADLDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKAYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQTRWRQHKAYVDYKKQKRAALILQCLWRARIARKELRKLKMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGQEISKLKSVLQEMQEKLAEAHAAIVKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETILSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEGLVNGYQLEEHKILIEEVVVPPIKNLSKQKSLTDRQQENHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIENARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEISPFLIMCIQAPRAVRVRSSRGSLKSVHSNALSRQTSSVHWQSIIKCLNHTLETMNNNHVPPMIIRKTFNQAFSFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDELQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEAIGKMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIVC >KN538684.1_FGP204 pep scaffold:O_longistaminata_v1.0:KN538684.1:105679:106484:1 gene:KN538684.1_FG204 transcript:KN538684.1_FGT204 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMAPLMLHGRVAIVTGGAGGIGSAVSRHLASLGARVAVAYIGDPAPANELTEEDMARYIAEAPLGRLGMPDDIAPLVGFLASDAGGWINAQVIRCNGGTI >KN538684.1_FGP205 pep scaffold:O_longistaminata_v1.0:KN538684.1:104769:104999:-1 gene:KN538684.1_FG205 transcript:KN538684.1_FGT205 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEHANPLPSSITVVAVALRALKPHILVAGWERRGPNELTAAEARERRELAMVELKLPAAVADLWLDPGGAVEL >KN538684.1_FGP206 pep scaffold:O_longistaminata_v1.0:KN538684.1:128396:132375:-1 gene:KN538684.1_FG206 transcript:KN538684.1_FGT206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/Swiss-Prot;Acc:Q94CL6] MASSSSASSRFSPALQASDLNDFIAPSQDCIISLNKGPSARRLPIKQKEIAVSTNPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFITRINSDKAVIVSVSPQSRASLAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDLSLIEACSEFVTRYQQNQLSSGKEAGKNLPMLSSACPGWICYAEKTLGSFILPYISAVKSPQQAIGAAIKHHMVGKLGLKPHDVYHVTVMPCYDKKLEAVRDDFVFSVEDKDVTEVDSVLTTGEVLDLIQSRSVDFKTLEESPMDRLLTNVDDDGQLYGVSGGSGGYAETVFRHAAHVLFDRKIEGSIDFRILRNSDFREVTLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPAKGQSAKDLIQLLEDVYIQDVSVSNPFENPIAKRLYDEWLGQPGSENAKKYLHTKYHPVVKSVASQLQNW >KN538684.1_FGP207 pep scaffold:O_longistaminata_v1.0:KN538684.1:55232:58180:-1 gene:KN538684.1_FG207 transcript:KN538684.1_FGT207 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVQARRPRGGECQGHQGARGKLPADKAATREDAERVASAEVRNRPDMATTPGGVADAVTAAARLNQERPTRSF >KN538684.1_FGP208 pep scaffold:O_longistaminata_v1.0:KN538684.1:24868:28716:-1 gene:KN538684.1_FG208 transcript:KN538684.1_FGT208 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAGVEEAELVKVWMTDSWCCIRTITSEKRVSAVAISNDGTYVTFADKFGVVWLVTMGESGGEQVPTDNKPVSIFGHYCSIITSMEFALLSIKPSENLELNVATYKLMHFIFPVDNNAALYRALPSHAFQKVRLWDYINGCLLDTCQVRDKVGELLEPNETEDNNLSVADICPTNDGSLVAVAIQSLNGVMLLACDLIAKKLSFLKVITTEKCYIPTSLSSSFSADLLWTVMGASNMPNQATSQLCTRLKIIPHFKKDPLAVCDHVPAVLEDSEVPHGGIFAASAITGLSPASDLPVEFHRKTMQFELDLDEILFPGSKNSSLMRKGNDRELEFIPNPGEDG >KN538684.1_FGP209 pep scaffold:O_longistaminata_v1.0:KN538684.1:154836:155018:-1 gene:KN538684.1_FG209 transcript:KN538684.1_FGT209 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNATTVAHAILERERQRTDATTVAHAALERERQRRRGREKRRGKDVREERKEREGVL >KN538684.1_FGP210 pep scaffold:O_longistaminata_v1.0:KN538684.1:76594:79082:1 gene:KN538684.1_FG210 transcript:KN538684.1_FGT210 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGPSIASDVTALIGNTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLQGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILVSGIGTGGTVTGTGKYLKEHNPEIKIYGVEPTESAILSGGRPGPHKIQGIGAGFVPGVLDVNLLDEVIQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLVVVVFPSFGERYLSSVLFESIKREAENMVFEP >KN538684.1_FGP211 pep scaffold:O_longistaminata_v1.0:KN538684.1:126864:127582:1 gene:KN538684.1_FG211 transcript:KN538684.1_FGT211 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPDEPEKAASGAGAGDPPPPPFLEVKCRSSGEVRRFAFGTTTRYALHAVNRKLAPGAPAALHVEAVKDGEEPVSFGPAAPLADDGDGWKLQTITEQDAPGYYQTPASDTRRDDTKQPAKNPRDQETMTAYITKIVLAFVFIFLLGGLFTYLLETLPDMFQPASEPQPL >KN538684.1_FGP212 pep scaffold:O_longistaminata_v1.0:KN538684.1:33303:39603:1 gene:KN538684.1_FG212 transcript:KN538684.1_FGT212 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMVAVVMSCECCGLEEECTGEYVGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRAPVDVEEAVRAHMAFCRMLKRGGPAERVAEGMCQMLRTASWKQRRRGPRDFHLDLAQNDQRVFFWRCAVYKSAAEEWSDGRVWPTVRASNGSSWSYGGRSSPAVRLEAETWVVEYQRSVVFEGTRLIPATAELVASRCSAVARRARQRLARRRFHGGAGGIQANPT >KN544141.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544141.1:2353:2604:1 gene:KN544141.1_FG001 transcript:KN544141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGCLDVVALPVVASSPQRHRRPLALINAAIFFTLIALLLEENAGWSTTTRCTQGVITSGCVMNYLVGVAVVPWTPLSRPNP >KN543713.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543713.1:1118:3454:-1 gene:KN543713.1_FG001 transcript:KN543713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATELFSTRRLDALHELRQEKVAELVDHVARLARDGTAVDIGRVAFTTGLNLIARTIFSHDLTSLDDHGESKEFQQGWRRRLSGLFARLHRLFDAEMDHRRLHGMKEKDGDFLEVLLRLAARDDDTARLDGDTLRSLFTDLFGGGSDTSSSTVEWAMTELLQNPISMAKLCDELRRVVGSRRRIEESEIGKLPYLQAVIKETFRLHPPAPLLLPRQDTRTIQIMGYTIPKGTRVLINVWAMGRDEDIWPEADKFMPERFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLASLLIHFKWRLPAEVEGNKIDMTEKFGVTLAKANHLCVMATPT >KN539388.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539388.1:12628:14858:1 gene:KN539388.1_FG001 transcript:KN539388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRPSTLTGAQGQNPASEGLIITAASIIATGLALGFASIGLRVGQFIHAGQAVEGIARQPEVEGIVGIFQQRRSFTGQT >KN539388.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539388.1:25206:25696:-1 gene:KN539388.1_FG002 transcript:KN539388.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFITDAVADPLAAGHGGCRRNRTPFRLHRTPLPLSLSLTALAAELLAVAASPVAVAAGSSEQWATATRRAAVPSNLGEESQIWILRVSVGI >KN539388.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539388.1:22773:23348:1 gene:KN539388.1_FG003 transcript:KN539388.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRRATEYKKLRVDFKKREIRTNGEAECISDVRVRDTFFGGKVVEIPSLDLSPESWRLLRNLMLLENMNEHLGGHITSYCNFISQLACTGADVSLLAKKGIIVHTEASDEVAANKLCNLCDQIIYDDENDYLKSGWDALENHCQKIRWRMLAKVLGYKDWKNPLVCLGAFVAIAILACTLIQTVFTAKK >KN539388.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539388.1:20280:20471:-1 gene:KN539388.1_FG004 transcript:KN539388.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPPFDLASLAPLDQRCQRTDSLVSILSLPMYGNHYAMGAMMDPVWVVGLGKKWLYRKE >KN539388.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539388.1:85294:85635:-1 gene:KN539388.1_FG005 transcript:KN539388.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHWTTKLGMVFDTTDEAWNFWVNYAGKIGFDARKHYSNKKDGIVGTDSQGLQLEAQESLVESFTLTPSSPMTNSVLRRRRLLRHSMDHLVGTIKKDAREVNPPLPRPAAMS >AMDW01008971.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008971.1:7:222:-1 gene:AMDW01008971.1_FG001 transcript:AMDW01008971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESVCGRPLGLQFHHASGDLYVADAYLGLLRAPARGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSST >AMDW01032729.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032729.1:181:441:1 gene:AMDW01032729.1_FG001 transcript:AMDW01032729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISTYEVIAWSAEEAIELIKQTTPPSTPAADVGKLLRKYERLLHRAEAQSVDTYAGSKMLIRCHTMAWEAYEALLPALLGFGFMTVGE >AMDW01036840.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036840.1:213:544:1 gene:AMDW01036840.1_FG001 transcript:AMDW01036840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSKAQAVREVCAASAAFSACPHRRRQRSPPFVDWYLVLAVADAAPEDTVRRRYRQLALQLHPDKNTHAKAEVAFKIVSE >AMDW01038827.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038827.1:181:776:-1 gene:AMDW01038827.1_FG001 transcript:AMDW01038827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WPDIDYNVSKCKLILIPVQYCDTFILIVLDQDTRTLYVLDPTPIDPIYENNPNARYVKQLLCIAEYLAKAMAKVCPGSRWNEDINLWRQIFLSNVPIQSRDEGIQFPILKDGFSYEVRKHFLAQLLEYAENE >AMDW01040884.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040884.1:1456:2898:1 gene:AMDW01040884.1_FG001 transcript:AMDW01040884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFSIKDDQGSGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEAAKVFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWSLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAHAAKM >KN538900.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538900.1:130714:131028:-1 gene:KN538900.1_FG001 transcript:KN538900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEREWEKPMEFIPERFLAGGDGDGVDVTGSREIRMMPFGAGRRICAGLNVGVMHLEYFVGSMVMEFEWKEVAGDEVEFAEKLEFTTAMAKPLRPRLVPRRS >KN538900.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538900.1:103684:104688:-1 gene:KN538900.1_FG002 transcript:KN538900.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVNMCFGERLDEATVRAVEDAQRDLLIYITSQMAVFAYFPAITKHLFRGRLEKIYALRRRQRELFMPLINARREYKKHGGETTKTTNKETTLEHSYVDTLLDIKLPEDGNRALTDDEIIKLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEIKSKTGDDQPEVTEEDVHGMPYLRAVVLEGLRKHPPGHFVLPHRAAEDVEVGGYLIPKGATVNFMVAEIGRDEREWAKPMEFIPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLSFAMHHLEYFVANMVREFEWKEVAGDEVEFAEKREFTTVMAKPLRARLVPRRTT >KN538900.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538900.1:28778:30451:1 gene:KN538900.1_FG003 transcript:KN538900.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVGAPSESHSANTYFSHSMAFGGGGVALSLPLATALARTLDVCIERYPKLYGSDDRLHACITELGVPLSREHGFHQWDIRGNAHGILAAHPIAPFISIHHLELVDPIYPGLNSLESLELFTKAMKTEPMSFLQRSICYDKRQKYTFAVSLGYVVQVYPYVLLPRELERSERTYIAYNRMSQRTEFDFDTKDIQKSLCKKPILFFLKDVWKDGNITRGSYIRASVRDDLKNKVFCFRSPPLPDIDEIQVSASPLSKRWHLVCSNIPNNISYLVSTFTLANCRTTDIGTKKVMQCA >KN538900.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538900.1:165813:167452:-1 gene:KN538900.1_FG004 transcript:KN538900.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDATQWLLLLVVFLVAFLFTLLAKHGAVKRKHGVRVPPGPLAVPVLGSLVWLRHSSSANLEPLLRRLVFRYAMFCLLVLMCFGERLDEAAVRAIGAAQHDFLLYLGRKTSVFMFYPAITKHLFRGRVHLGLAVRRRQKELFMPLIDARRERKKQIQQSGDPAASEKKKDDNTTFNHSYVDTLLTIRLQDVDGDGDGDRALTDDEMVSLCSEFLSAGTDTTATALQWIMAELVKNPSIQSKLYEEIKATMSGDNDDEINEDDARNNLPYLKAVILEGLRKHPPMHLLLPHKAAEDVEVGGYLIPKGATVNFMVAEIGRDEKEWEKPTEFIPERFLAGGGDGEGVDVTGSREIRMMPFGAGRRICAALSVAMLHLEYFVANMVKEFEWKEVAGDEVDFAERLEFTTVMAKPLRVRLIKRA >KN538900.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538900.1:6844:12048:-1 gene:KN538900.1_FG005 transcript:KN538900.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKSDHHEQNLTSPRGLIHKVLRRTSSRRSPTAADHNPSPVFLETSNSKFLKQKDIDDAIKDPEKAGIHDNRIEDEKSDLLGYEICSGKLTLDNKAKSASGEQSGSGSSSNCFDARLTTEALVWGSNILKLEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRKIQKDLRFLSTSPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPCVKCLSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGFTPIDVFAVEWIQSGTIHFGTTVSYFGFVSDVLELSERYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDGGGGEHKIVEGQDKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTEKAWPGSGATNDANSSRGTTTHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPNWEPETPIDLPGPLDDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLKFLVLLQFGKHISLPNVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >KN538900.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538900.1:168661:173483:-1 gene:KN538900.1_FG006 transcript:KN538900.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAKNACMAVVEQQVKAQDVRLWSMDRKDVTRVAKTYHSFESNILQRAFVLDRMLVLCFYCTPVIAFFVDADDDTTRQIGSIDLEVTTCESAGVDGSVVAMFEQEKVKLSDKLITVSSVEMSRAHGSPRSFFPVGNPFRVMFPGGAHLSRKLQELLASYEDALALSLRKLKPEAASDVLTLSWMRLAVDCLSELHTNIANLITDLELPVSDWDDKWVDIYLNSSVKLLDICIALSSELSRLDQGQLLLQYALHVLGSESGVPSQEQLKRAEPSLREWMELLGVRCARLVSCSATLQELAGNLSLMKVKNSAKGKVLMRALYGIESVTVFVCSIFVAVLSGSPKPLVELHVPEKFGWSQAFNDLHTAVSEELTRQLSGGSVAAVKELEEVEACAKRLHVLASTSQLEEEAANLANAVSHIEEEVMSDSIAQEGDHHCGLKLADDTTREGGIVISESIAEGGTQEAEMKKDISYEKEVAMVERISYKEHQDSNVKQANGSSDESALVVAERTSVQESKEELLNCISSMSKSAEGLRHGLDSLSKRVGDFFQIVLTGRDALLCNLRISDAASKVAEVSS >KN538900.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538900.1:159907:160908:-1 gene:KN538900.1_FG007 transcript:KN538900.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVLMCFGERLDEAAVRAIGAAQRDWLLYVARKTSVFAFYPAVTKHIFRRRLQMGLALRRQQKELFVPLIDARRARKNHIQQAGGPPVPEKETTFEHSYVDTLLDVSLPDTDGDRALTEDELVMLCSEFLNAGTDTTATALQWIMAELVKNPSIQSKLHDEIKSKTSDDEITEEDTHDMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSREVRMMPFGVGRRICAGLGVAMLHLEYFVANLVKEFEWKEVAGDEVDLTEKNEFTTVMAKPLRAQLVKRA >KN538900.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538900.1:136871:137878:-1 gene:KN538900.1_FG008 transcript:KN538900.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVLMCFGERLDEAAVRAIGDAQHGWLLHYATKMKVFAFCPAVTKHIFRGRIQTSLALRRRQKELFMPLISARRERKNQLAERAVPEKETTTFEHSYVDTLLDIKLPEDGSDRALTDDEMVKLCSEFLDAGTDTMSTTLQWIMAELVKNPSIQSKLHDEIKSKTSDDHDEITEEDTQKMPYLKAVILEGLRKHPPGHFALPHKAAEDMEVGGYLIPKGATVNFMVAEMGRDEKEWENPMEFMPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLNTAMLHLEYFVANMVREFEWREITGEEVDFAEKLEFTTVMAKPLRAQLVRRRMN >KN538900.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538900.1:94120:95833:-1 gene:KN538900.1_FG009 transcript:KN538900.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWASSAANALKISCSGATRAAPAYSISRYFSTVLDGLKYSSSHEWVKNDGSVATIGITDHAQGHLGEVVFVELPEAGAKVSQGGAFGNVESVKATSDINSPISGEVVEVNDKLSETPGLINSSPYEDGWMIKVKPSSPSELDALLDPAKYTKHCEEEDAH >KN538900.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538900.1:57692:58120:1 gene:KN538900.1_FG010 transcript:KN538900.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALNSSAAAPALPPPEHAEPAVTVAAPISQTPPPPPQPVTTTKNGNGTSSTNGEGGGGGSGGMQMQASNGSVVAGNKFFTGAAAKKVS >KN538900.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538900.1:119423:120070:-1 gene:KN538900.1_FG011 transcript:KN538900.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLYAEINATCGGDDELLERNVRDKDNKMPYLNAVVKEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDEKEWEKPMEFMPERFLAGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHVEYFVANMVSEFEWKEVAGDEVDFAEKIEFTTVMAKPLRARLVPRRA >KN538900.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538900.1:134419:135600:1 gene:KN538900.1_FG012 transcript:KN538900.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVELSDRDALVKSASTALNSKVVSQYSTLLSPLAVDAALAVVDPAHPYLLDLRDIRVVKKLGCTVDDTELIRGLVLDKKASHAAGGPTRIGDAKIAVIQFQVSPPKTDIEHSVVVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDSVTDLSLHYLAKAKIMVVKDVERDEIEFITKTLNCMPIASIEHLREDKLGHAHLVEEISVGDGNNNKIVKITGIKNMGRTATVLVRGSNQMVIDEAQRSLHDAFCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELRGMESYCVREYAEALEVIPYTLAENAGLDPISIVTELWNRHAEGEKNAGINVRKGRITNILEENVVQPLLVSTSAVTLACECVRMILKIDDIVTVR >KN538900.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538900.1:142876:144699:-1 gene:KN538900.1_FG013 transcript:KN538900.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEQRVVECGGGVNEVRRCAGRTHAVSAGRSAAARPSRACRDRGIPRRGGTASLTYTVSTTLPWIMAELVKNPSIQAKLYEEIKATVSDDHDEITEEDTQKMPYLKAVILEGLRKHPPGHFVLAHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDMTGSKRIRMMPFGVGRRMCAGINTAMLHLEYFVANMVREFEWKAVVGDKVDFAEKLSSPPSWRSHSARSWCSGASIKRAFNQVFSTRYK >KN538900.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538900.1:145584:146048:-1 gene:KN538900.1_FG014 transcript:KN538900.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLKAVILEGLRKHPPGHFVLAHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDMTGSKRIRMMPFGVGRRMCAGLNTAMLHLEYFVANMVRAFEWKEVAGDEVDFAEKAELTTVMAKPLRAQLVLRSVD >KN538900.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538900.1:116039:117052:1 gene:KN538900.1_FG015 transcript:KN538900.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLMLMCFGEQLDEGAVRAIAAAERDTMLYMSGKMGIFNFFPAITRHLFRGRLQKAHALRRRKEELFVPLINSRREYKQNGGEPKKETTTFTHSYVDNLLDINLPEDGNRALTDDELVMLCSEFLVAGTDSTSAALQWIMAELVKNPSIQSKLYEEIKSKTADGGGGGGQHEVSEEDVHDMPYLKAVVLEGLRKHPPAHMLLPHKAAEDMDVGGYLIPKGTIVNFMVAEMGRDEKEWEKPMEFMPERFLLGGDGEGVDVTSSKGIRMMPFGVGRRICPGLGIAMLHLEYFVANMVREFEWKEVAGDEVDFAEKREFNTVMAKPLRVRLVPRSTLE >KN538900.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538900.1:74224:75516:1 gene:KN538900.1_FG016 transcript:KN538900.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSPSPSPGEPFRVFVGYDPREDEAYEVCRRSLLRHASIPVDVRPIRQPDLRAAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADIAGLLACLPSSDPDDHRLAVACVKHEYAPAEATKMDGAIQTVYPRKNWSSMVLYNCGHPKNVAALTPDAVSTQTGAFLHRFAWLDDDEIGEIPFAWNFLVGHNKVDPADSSTQPKAIHYTSGGPWFERYRNCDFAELWIKEADELKADKEKQKQQQL >KN538900.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538900.1:122931:126527:1 gene:KN538900.1_FG017 transcript:KN538900.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGYLIPKGATVNFMVAEIGRDEREWENPMQFMPERFLDGGHGAGVDMHGTKGIKMMPFGVGRRICAGLNIAMLHLEYFVGSMVMEFEWKEVEGHEAIPTHGVAGIIEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDYTNIWIYWVGPLVGGGLAGLVYRYVYMCGDHAPVASSEF >KN538900.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538900.1:185334:187478:1 gene:KN538900.1_FG018 transcript:KN538900.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin NIP5-1 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/Swiss-Prot;Acc:Q9SV84] MSNLSLVFVSFSGRLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVMTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICAGFALKGVFHPFLSGGVTVPDPTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGLAVTLNILIAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLIAPTLGAVAGAGVYTAVKLRDENGETPRPQRSFRR >KN538900.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538900.1:77754:83802:-1 gene:KN538900.1_FG019 transcript:KN538900.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MPGSVCRNQEAGGMFLVRMYCRGTCSSHERWMNSSRRGGKRISLNTDSKIHRSNKTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQMVRWMASKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGSKRETFQPGVELRGDYLENAGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEEGKHKPGSVIHTVGWPLDSKTYGGSFMYHLDDRQLAIGLVVALNYRNPFMSPYDEFQKFKQHPAVRTILDGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKTLVEGSSMELYWENLKKSWIWEELYRARNYRPAFEYGFIPGIALSALERYVFKGKSPFTLKHGIPDHEATDSCEQMASLHSPIQYPKADGQVSFDVPTSLYRSSTNHEHDQPPHLRLRDPTVPERVNLPLYAGPESRYCPARVYEYVTDEKGDQKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >KN541453.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541453.1:19130:21268:1 gene:KN541453.1_FG001 transcript:KN541453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRQNPLASFLRSGIDCSRFLGARHISSSSLMGIVSWVQGRLGGRTSAAAESRGLAAGNGNPSVVAAVVAAGKERKHQQVVPDDLAGDQWPTPATHLFSIGTLGNDELPEQGEEEEDLPEFSVEEVRKLQDALARLLLRASLEVDRRAQRDHGGGGAAAAAVGLSPDTKMILTKAKDILVDGNTRNTTTTGDIKNKSFKFLLKKMFVCHGGFAPAPSLKDPTESSMEKFLRTVLGKKIAARPSNSPASRTYFLEGNNAHGDEHRLRRRRRRRGEEEEEDDENKGEESCKWDRTDSEYIVLEI >AMDW01037510.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037510.1:145:669:-1 gene:AMDW01037510.1_FG001 transcript:AMDW01037510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDKRLFGWDLNDWRWDSDRFVATPVPAAEASGLALNSSPSSSEEAGAASVRNVNVRGDSDKRKRVVVIDDDDVEDDELVENGGGSLSLRIGGDAVAHGAGVGGGADEEDRNGKKIRVQGGSPSGPACQVEGCTADLTGVRDYHRRHKVCEMHAKATTAVVGNTVQRFCQQCS >AMDW01138134.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01138134.1:2:301:1 gene:AMDW01138134.1_FG001 transcript:AMDW01138134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDAASASGSGHGGQKRSLSTAFLAALRHGKLWSVLDRDLVDEKATVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGE >KN541453.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541453.1:25250:27492:-1 gene:KN541453.1_FG002 transcript:KN541453.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding KNDASCEFVCMAITLSNVNSEAGLQKLDEYLLTRSYISGYQASKDDMTVFTSLPSAPAASYVNVTRWYDHISALLRSSGVTAEGEGVKVDSTACSVSPTADQKAPAADEEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEFIQSCDIVAFNKICKFPGKFGAFSLDFQITETNGLIEYAKL >KN542771.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542771.1:6895:11539:1 gene:KN542771.1_FG001 transcript:KN542771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGRKFDPVGMAHGDFGPFPPKPSLKEQGLKWLICAGGSGASDGEKQQSAEIDDGAEETSQACECDVDSATEIGGGTEKALDLYPDDATLFSNRSLCWHHMGDGGKALLDAYECRKLRSDWPKAYYRQGAALMLLKDYESACETLYDGLKLDPGNSEMEDALRYPFCLFWPHHGSV >AMDW01036254.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036254.1:62:622:-1 gene:AMDW01036254.1_FG001 transcript:AMDW01036254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQINSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNAELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLISQ >KN538722.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538722.1:102492:107588:1 gene:KN538722.1_FG027 transcript:KN538722.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQIPMYNEREVYKLSIGAACGLSWPSDRLIVQVLDDSTDPTVKTWYDRLRKTLVQQAHPAQADTDVHQSTKRKNKELMTRVPILECDSNHGLASIISSYLIAVGLVELECKSWGNKGKNVKYEVRNTRKGYKAGALKEGLLRDYVQHCNYVAIFDADFQPEPDFLLRTIPYLVRNPQIGLVQAHWEFGTAGVWRISALEEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLADVKVKSELPSNLKTYRHQQHRWTCGAANLFRKVGAEILFTKVKSELPSNLKTYRHQQHRWTCGAANLFRKVGAEILFTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPFSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHFIPFWILFENVMSFHRTKAMFIGLLELGGVNEWVVTEKLGNGSSTKPAPQILERPPCRFWDRWTMSEILFSVFLFFCATYNLAYGGDYYFVYIYLQAIAFLVVGIGFCGTISSNS >KN538722.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538722.1:60115:61326:-1 gene:KN538722.1_FG028 transcript:KN538722.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRFLEYLDAGAVKKVDFFENGTVAVAEVDDAAALSRAHRVKVQLPGLPAELVRKLRDKGVDFAAHPVEPSAGVMLLDLLVNFGFPLLFVASLLWRSATMNNPGGGPSLPFGLGKSKAKFQMEPNTGVTFDDVAGVDEAKQDFQEIVQFLKFPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDRAKASAPCLVFIDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFGGGDSGVIVIAATNRPEILDAALLRPGRFDRRVSVGLPDVRGREEILRVHGANKRLDPGVSLAVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVDEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCA >KN538722.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538722.1:38490:40328:1 gene:KN538722.1_FG029 transcript:KN538722.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MPYALSQGGWLSLAIFITIAAICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRVAVAAFMYLELYLVAIDFLILEGDNLEKLFPNASFFHATGGGARQGFVLLFALLVLPTTWFRSLDLLAYVSLGGVLASAILVASVLWVGAADGVGFREGGVAVRWGGVPTAMSLYAFCFSGHAVFPMIYTGMRNRRMFPHVLLICFIICTLAYGVMGVIGYLMYGGSLRSQLQGLREAGGARDRGLRGDHRAWVRGDRDRHLQLAETDCAELLIIYGQR >KN538722.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538722.1:131724:134377:-1 gene:KN538722.1_FG030 transcript:KN538722.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAGGLTGAVTEALAGRASDGGVLRGAGLGAFAGAVLSIEILEASRAYWCQDRSSSPGSLSMDGKLASGKCAYMKNSLFGFQGDFVKQLIHAHFVQEQNEASGHITYRWQVGIADVVNGAVHEILGDVPSGEGLSQYSLMKLPYHVVTDHNNGSIGESLSCPICLQDVVAGETVRRLPKCSHTFHQPCVDKWLVGHGSCPVCRQDV >KN538722.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538722.1:7723:11974:-1 gene:KN538722.1_FG031 transcript:KN538722.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAPSRTNSRVNYSNEIHDLSTVQSVSAVPSVYYPEKSFADIFPPNLLKKVISEVVATFLLVFVTCGAASIYGEDMKRISQLGQSVVGGLIVTVMIYATGHISGAHMNPAVTLSFAFFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLYPIEVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVVGTLSGAWVYTYIRFEEAPAAAGGAAPQKLSSFKLRRLQSQSMAADEFDNV >KN538722.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538722.1:137341:154031:-1 gene:KN538722.1_FG032 transcript:KN538722.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLQSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVIGDEPTLPTIPSLTELHQQPFSHASKTEAVLVNRAQDTKLAQLEQKALIMAVEVRSKTPEFVGHNLVQRLATLVSDYMGGPVIDPESILSKYQNVSSSLRASIRSAVMPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDSLFADSHHVNKDDCNTQLGSSFSEVSSSMYGSFENESLEKVSTPSNFGHSDPYGITTGQTGSQGSAVSGSFGELSISTSTKESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADFCHGPSVPHPPGHELQTKAVPYRIPLDLKPIQGLGTYHPSDSRNSTGANELIPKGRHDFWDNQLEIDHGQTSVPEKEKDLVEVPQEAERVSDKSVGTESSRSDIALDGVAEFEIQWEEITLGERVGLGSFGEVYKGEWHGTEVAVKKFLQQDISSDALDEFRTEFQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCSPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSMAGTFSQLAFAKYICIFADVMFSATGLYYGNFSHYFSHGKRPKDASIIFRDHVFLKTIIEKHACQSTPKAASATSRWLRKWRSTPGDNVKTSNIKCMAKVKKVKFCFCPPVRIHCKFSTGKEMPSLEKQPIHQAKEKHEQQQSYGSSGYGSKPQPAYGFRPQAEEENTYGSSYGSGYGGGGRKQQEEESYGSGYGRKPQVEESYGSGYGTKPQQEESYGSGYGRKPQQEESYGSEYGSGYGRKPQAESYGSGYGSRPQQGGEEYGSGGYGRKAQEESYGSVGYGGRKTEEESYGGGSGYGYGKKAQEESEGTYGSGGYPKPKPYGQEETQGSYGYGYGEKPAYESGGYNKPSYGGGDEYQGGYGRKKHDDNDSDDEKKQRYQKHHHHRRQEYDD >KN538722.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538722.1:123707:125014:-1 gene:KN538722.1_FG033 transcript:KN538722.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLCRLGLVDDAREVFDAMPERNLVSWNSMISGYVKADRFLDALEVFDEMLALGVEGNGFVATSALVACTGAGALARGREIHRWVEQSGIEVDAKLATAVVDMYCKCGCVDEARRVFDSLPARGLTTWNCMIGGFAMHGRCDDALELFHQMETAGVAPDDVTLLNVLTACAHAGEVGQGRRYLDHIVSRHGIEPKAEHYGCMVDLFGRAGQLDEAKKVIDEMPMDPDLAVLGALLGACKIHGDVDLGVAIGWRVIDLDPDNSGRYVLLANLLAGAGRWDEVAKVRRLMDERNVSKEAGRSVIEVDGEACEFRCGNLRHPQAREIYAMAVDMVSRIRAEGYVPDTGEALHDVAEEDKEAALLCHSEKLAIAFGLLRLRARPRETLRITKNLRVCRDCHEATKYVSRVFGREIVVRDRNRFHHFKDGMCSCKDYW >KN538722.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538722.1:115998:116807:1 gene:KN538722.1_FG034 transcript:KN538722.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEEHGETSKAPLSRGVSKGVSILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLILSLPLSIVHVIRSRAKYSRLILIFFDAAMLALVTAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLVLLIFLSAVALARR >KN538722.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538722.1:57100:58158:1 gene:KN538722.1_FG035 transcript:KN538722.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQEHSWWPYCTSLCPDSYLLEEEALFSSLSFPSFHPQPVYSTVMQSNVLQDELGVIFEDDVLKYWDEMEQSENKVEKSEKGLPLLYYGDENGAASKIMRDDVRSEEKALTFELVSQYFYMPITQAARELNVGLTLLKKKCRELGIPRWPHRKMKSLQTLINNVQVLQEASKANNEEQLRMLVEMLQEERRLLEQKPYVQLEEKTKRLRQACFKANYKKRRLLALEAGEP >KN538722.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538722.1:42277:45294:-1 gene:KN538722.1_FG036 transcript:KN538722.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLELGRPLSAAAAAYPPPLRRSINDDDVDDDGKPKRTGTEWTASAHIVTAVVGSGVLSLAWSTAQLGWVAGPATLVVFAVITYYTSVLLADCYRAGGDQISGKRNYTYMDAVESYLGGRQVWFCGLCQYVNLVGTAIGYTITASISAAAVYKSNCFHKNGHSADCSVFTTSYMVVFGVVQVFFSQLQSLHEVAWLSVLAAAMSFSYSAIAVGLSLAQTISGPTGMTTMSGTVIGVDVDLSHKIWQALQALGNIAFAYSYSLVLIEIQDTIRSAPAESKTMRKANALAMPVITAFYTLCGCLGYAAFGNAAPGNMLTGFGFYDPYWLVGLANACIVVHLVGAYQVMSQPVFTAVESWASSRWPRCGFFITGGSGTRLISVNAFRLAWRTAYVVACTAVAAVVPFFNDVLGLLGAVGFWPLTVYFPVEMYIRRRKLERSSKRWVALQSLNAVCFVVTLASAVASVQGIAESMAHYVPFKSKL >KN538722.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538722.1:81788:90359:1 gene:KN538722.1_FG037 transcript:KN538722.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPWPFPHNYTTLHMLIYVDCILLGYNPCLKVFIQDFPKLIKTDLSVTTEEEPLTTNGKTSGVRNRYKQTVKRGRKGSQISPNKTYPLRSSHSNVRVLRSASKKKNETPIVPTNDNTAVQRVAKKRKRSKPLKPAPSRVLRSTSEKKNKAHNELLNDGAGVQPAEKKRKVGRPPKGGTPKDDYLMIRKRVRYVLNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDSLLSEGKLDESMFDSAGEISSEDIFCAACGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQGVKLSIHDSWEKVFPEAASFLNGSKQIDASDLPSDDSVDNDYDPTLAQGHKVDEEKSSGEDGGEGLDSDDSSSEDSESSEKEKSKTSQNGRTVDDLGLPSEDSEDGDFDPAGPDSDKEQNDESNSDQSDESDFTSDSDDFCAEIAKSCGQDEISGPSSSQIRTVDRTDGSGFDGEPNAENSNLAFMETELEQDMVLPISSKRQVERLDYKKLYNEAYGKSSSDSSDDEEWYGNSTPEKGNLEDSETDSLSESPQGGKGFSRRAPVRYHNNEHTPQNVRPGGSVSDQQTEVLCSNSNGSTAKNRHFGPAINQKLKAHFKEDPYPSRATKENLAHELGLTFNQVTKWFSSTRHYARVAATKKENNIENHTAENNNNSNTVDSIQLRGSNDIVSVDRNDMVSEERTGQSNLNEGTPLRSDTSCGQSVAVTPMVHPENQGNDSSSNVRTPNAKSAEKLIPGLENSDEARRKAVQRELRKMKTGRRPCLLITSDLEFETQIKMACKKQKSKLMAFWLAMVVVVVVVVGRCRGDAVQFIFGDSLSDVGNNDYLTKSLARAALPWYGIDFDTGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDENVILKRGVNFASGGGGILNETSSLFIQRFSLYKQIELFQGTQEFMRRKVGKAAADKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYNGDAFVRYMVTTLEAQLRLLPALGARRLTFFGLGPMGCIPLQRILTSTGACQEPTNALARSFNEQAGAAVARLSSSLANATFRFGEAYDYFQDIIDRPAAHGFNNSRAPCCSLGRVRPTLTCTPLSTLCKDRSQYVFWDEYHPTDRANELIALETLRKLNITVSANNSTST >KN538722.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538722.1:108419:108613:-1 gene:KN538722.1_FG038 transcript:KN538722.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLGYGNAAGGGGGPEEEAAAAEDGKRRRFHDAFALSYIFVTEFRPKFLFVYKFGPSTDTKD >KN538722.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538722.1:117480:119033:-1 gene:KN538722.1_FG039 transcript:KN538722.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGSARLSPRAVRQIHGHLVVGGIATARLQHLRELLLSCVASFRGSMGYARKVFDGIPRPDLFMHNAMLRGYAHAGAPGDAFAVYRRMTGAARLRPDAFTFCYLLRACAGLPGSRAGRQVHGAVVKLGFLKDGYVRNALINMFAKCGDLRVASVLLDEAGEGDVVAWSAVIAGHAARGDMAAARKMFDECTHKDIVCWNVMLGAYAKHGEMENARELFDRAPEKDVVSWNTIITGYAAQGMLKHALEVFDEMRIAGWTPDEATIVSLLSCCANTGSLDAGRMIHHQLHLERRPWISIVVGNALVSMYAKCGDLHTAVKVFNTMKDTDVWTWNSVIGGMAFHGQAEQSVRFFKKMLEKRIRPNEISFLCVLGACSHAGLVEDGQKIFYLMKDRYGIEPNVRHYSCIVDMLGRAGLLDEAYAIVSNMRCEPSAVVWRTLLGACRTHGNMTLGKLLREKLLNMNEDASADYVLLSGIYASSGEWLGVETERRSMDRRGIRKAAGYAQIDRKPAGLSAP >KN538722.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538722.1:121440:123376:1 gene:KN538722.1_FG040 transcript:KN538722.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARAVVEAEERKVREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKEEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPQGTKSRYVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >KN538722.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538722.1:72678:76013:1 gene:KN538722.1_FG041 transcript:KN538722.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGCFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKQLHAVTVVSANGTAIMEYSKLTSAGFCLYALGILKFDSYYPDVVLQMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >KN538722.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538722.1:48050:52403:-1 gene:KN538722.1_FG042 transcript:KN538722.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSSQKHGNDDVDGGAEAAMDQLAGRSSSSPAPEKTMMRRPEKSGTVWTATAHIVALLIGSSVLAVAWTFAQLGWVAGPAVVVALSVVTYYSSALLADCYRDEDPDHLGGGAVHGEYIAAVRSYLGSNYSLRQSNLRSEKRDILRHHPVWRSLGGDGWLHHHIKFKHEDTVRTPPSESKTMKRASLYGLAMSAVFYLVLGASGYAAFGDGAPSNILTGAAFHEPFWLVDVANACVVVHFLGAYQVIAQPVFARLEANIGG >KN538722.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538722.1:91988:96427:1 gene:KN538722.1_FG043 transcript:KN538722.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVASSTTFLVALASSASRGGPGRGRVPAAATPPVTIPNLPAPDSPVILPSVDKPQPEFVIPDATAPAPPPPGSNPRSSAPLPKPDDSEFAEDKSAKVVESAPKPKATRSSPIPAVEEETWDFKKYFDLNEPDAAEDGDDDDDWADSDASDSEIDQDDDSGPLAGENVMNVIVVAAECGLGDVAGALPKALARRGHRVMVVVPRYGDYAEAQDVGIRKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQDDIYGGNRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFLANDWHTALLPVYLKAYYRDNGMMQYTRSVLVIHNIAYQGRGPVDEFPYMELPEHYLDHFKLYDPVGGEHANIFGAGLKMADRVVTVSPGYLWELKTTEGGWGLHDIIRENDWKMNGIVNGIDYREWNPEVDVHLQSDGYANYTVASLDSGKPRCKAALQRELGLEVRDDVPLIGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVLLGSGRRDLEVMLQRFEAQHNSKVRGWVGFSVKMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSAFDPFEDTGLGWTFDRAEPHKLIEALGHCLETYRKYKESWRGLQVRGMSQDLSWDHAAELYEEVLVKAKYQW >KN538722.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538722.1:165653:168978:1 gene:KN538722.1_FG044 transcript:KN538722.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGIGIVKEGVGLIFCVCTKAVSNSDGTPGTSSSPHVVCFGELLIDFVPTVNGVSLAEASAFKKAPGGAPANVAVGIARLGGSSAFIGKPQFELGRNIEKVGDDEFGYMLADILKENNVNNQGLLFDAHARTALAFVTLRNDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLISYDPNLRLPLWSSADDARDGILSIWETADVIKVQKVVDIILR >KN538722.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538722.1:54209:55984:1 gene:KN538722.1_FG045 transcript:KN538722.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g62260, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G62260) UniProtKB/Swiss-Prot;Acc:O04590] MPVRDAVSWNTLLAAYSASPHPDHLAAARRLFDEMPQRDVVTWNTLLGAYARRGLMDEARRLFDEMPQRNAASWNTMVTGFFAAGQVVKALDVFDAMAAKDSASLSTMVSGFTKNGMLHEAEELLTKRLSVTDMDKAVDAYNTLIVAYGQAGRFSDAKRLFDMIPKGQYQHNMLKRKGFERNVVSWNSMMICYIKAGDVCSARALFNEMPDKDLVSWNTMISGYTQASDMKEAEKLFWEMPDPDTVSWNLIIQGFMQKGEAEHARGFFDRMPERGTISWNTMISGYEKNGNYISSVKLFSKMLEVGEIPDRHTFSSVLAACASIPMLGLGAQIHQLVEKSFVPDTAISNALITMYSRCGALNDAEAIFKQMHTKKDLVSWNALIGCYEHHGRATKALQLFKEMRRAKVMPTHITFVSLLSACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLDDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKELSTINPDSSAPYVLIHNLHAHEGKWGSAAVVREEMERQGVYKQPGYSWIDLEGKMHVFISGDTWHPNAQEIFSVLEGVDRTCRDWS >KN538886.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538886.1:47224:47433:-1 gene:KN538886.1_FG001 transcript:KN538886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLIQLPGRHHFVEFGNLHTSAMSIVVHSIIYFALITLFVIVIGVHITTD >KN538886.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538886.1:114329:115180:1 gene:KN538886.1_FG002 transcript:KN538886.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAVEAMLGDAERQQEAAPAEFHVFLNDLPSNDFNSVFRQKHEKLVVPSNNGNSSRCLVSAWPGSFYGRVFPADSLDYVVSSSSLHFLSRAPADAAPNEGRMYVSSSRVLDAYRAQFQADFRLFLSCRAEEVRRGGVLLLTFVARRDAVPSPHDCHLWDLLAEAAADVDDRRLVDSFDAPFYGPCPEELREAIREEGSFQVTRMELFEVSRSRSCQSQADLHQLAAQTSSTIRAVVEPMLGPHFGWDAMDALFRRYTHLLHNYYRHNNDQLTNVFLALHKI >KN538886.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538886.1:27804:27989:1 gene:KN538886.1_FG003 transcript:KN538886.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSSLAPKTKNIVVAGGLYAFVLGVYYYTMRAVGGTDELQIAIDKFEDMKKKDAGNSST >KN538886.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538886.1:139883:149821:1 gene:KN538886.1_FG004 transcript:KN538886.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDELGRRLAAVAVSDSDSANNNLFQVMRAVEDAEATIRQQLEKNSRLKDELMLKTRELDRFRSEATKPTSLADTFSTSPHGTSTCFGTTSSTLNSRTALADQHNGLFHHTDQMLHDAIKLKYLDTDQSNGMPRKLSGEQSAPESGKLYTFPYRHRKEGEYDPKFNLPGQCLLPASEANSTIMWKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSNLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTNIPVQSPSHPPGNAIVTTSKANLDIVPQQAYSHVQSPMSSPVRARRDWDLLGNENHQAIPSEVTAVNTEHENVGTTSPSSSNQIKKDVVAQGTEHDSRAVRFNFESKNQNPSFKDLVRNDVPENLEGAETHISQEPPAQWGPEGSPNLASGVDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQKKITCDPETKELIKKILSIGHVSYEVLLPVCFDIEINIPYGRPTEFSILAADGAEYNLKPAENAPSRDTIVLILRLFRMKGVEKSKGRRKGIFFKGSSNLRVESAVVKDSSSFVQFIGSNFVVKQAEGTMLQTKYSCILAWLSAVEFVPPMADSGARRQPSFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDVMKAGASVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >KN538886.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538886.1:4495:5517:-1 gene:KN538886.1_FG005 transcript:KN538886.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVLLLLAVAALSCTSAAASGPSCHADDSAALLAVKAAFNNASFFEYWTPEFPCCDWYGVDCGDDYLPSDDRVISLAITRDDNVTGTIPGDAIAGLTRLRDLTFFKVPGITGPIPAALAKISGLRVLTISHTAVSGSIPSFIGDKFTELGILDLSFNSLTGAIPASLAKPPKLNSIDLSRNRLTGSIPRLLLSKAGQQAFLTLSHNNLTGRIPAEFGAVNFVQIDLSRNQLTGDASMVFGSGKKELVSAYLSRNALSFNMSQLQLPEELNFLDVSHNSIYGSIPAQMANMTDMQLLNVSYNRLCGEVPTGGNMPSFDAYCFQHNKCLCGAPLSPCTH >KN538886.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538886.1:80168:84852:1 gene:KN538886.1_FG006 transcript:KN538886.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVFASPLEKIQPNGANHAGGPETPGGQAFKGLDILSLSNLMRQENATGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKSREIASGVLCQSTGTHTSIYDGDEPLESMEAIEAQTIGDLLPDDDDDLISGIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKVQDGSFKSQISSGHSINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIHMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASNRGNFREAMFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKVYFFFLSVKDCNCEYNFRTDFCNAHFSQNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALIAHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >KN538886.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538886.1:121661:127718:1 gene:KN538886.1_FG007 transcript:KN538886.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAAGAWWVVVLLLLLVLTIVASSYWSWWKTTEEVAGGPLLPPPPAAGAGPWWVWVWQWRETAAFLASHGSGRGFYHFVQERYKLYKGEGEATCCCFRTALMGRVHVFVSASHPAASQLLTAEPPHLPKRYARTAADLLGPHSILCSTSHAHHRHARRALATTLFAARSTAAFAAAFDRLVIRHWTTTLLPHHNHNQVFVVLDAALHISYGAICEMLLGAGGGKLRPLQSDVFAVTQAMLALPLRWLPGTRFRRGLHARKRIMAALREEMAARNHYHHHHDHHDLLSVLMQRRQLGHPDALTEDQILDNMLTLIIAGQVTTATAITWMVKYLSDNRPIQDKLRAEAFRLELKGDYSLTMQHLNAMDYAYKAVKESLRMATIVSWFPRVALKDCQVAGFHIKKDWIVNIDARSLHYDPDVFDNPTVFDPSRFDEEGEGDDAKLGRAQQQKRRLLVFGAGGRTCLGMNHAKIMMLIFLHRLLTNFRWEMADDDPSLEKWAMFPRTDNNPPHRLRGGPLPVFLAIKEARINSTSSRVTSLIAPSARDGWVEVKEAVLEDGKEKQGPARPRPDVAMSSRACRSVSSESSTVSTSKAINEEPKSDVEEYEEEEEADQWVEVCYLEWRLMRRGSGSGSRTFATVPILDARENVWELVAPVAMATMLCSSTPATRAPLLRTSSSSSSLGFATSQLAGLSLGLSAAATTAPSAAGPKLHPILARRICPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEEGKRFVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK >KN538886.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538886.1:52:1639:-1 gene:KN538886.1_FG008 transcript:KN538886.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGEMSVSNPLRFQGFPCNLGKRNGFIAARSSGLRRSQQCFHRHLCWPGVRRASVPNVRLLPTPGALVSRGLDSSLVHKSDNASEAGVIQLYRIPYLQDSETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALAPEKLATLQWLLAETYEPDKLQPQSFLEEEVSRNPYSVIVEVGPRMTFSTAFSTNAVSICKSLALMEVTRLERSRRYLLCLDPGYGPLDESQLNDFTALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKANPDNNSVIGFQDNSSAIKGYPANQLRPTVPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIEGAYAPWEDPSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGT >KN538886.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538886.1:86055:88865:-1 gene:KN538886.1_FG009 transcript:KN538886.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPRINSALVSVIRMAKVSKNANSAVSAAAYHYIRNDDRPDKAFTTERAKRAGKANASSGQIFVTIPPDHFGPILAENDPKRSIGVLVGDTWEDRLECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPEAGVRYDGVYRIEKCWRKISVQGKFKVCSDIYGDCPRPLPKVDELKGATDISERKGTPSWDFDEKEGWKWVKPPPISRKPNLSGDPATDKEIRRVARRAQMSVAERLLKEFGCSICKQVMKEPLTTPCAHNFCKLCLVGTYGSQSSMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMDLIESLQRKAVEEGDTKTSSDVSNGAESSGDDGNNEALEKGEDDISLKDDGKVVKAVVVIKEEDLQPKKSKGEDEKEQGDKKMDSADVVDIAVEKKQATKRASEKAEKKQARKRKGDAVATNDGKRMKTGGDAMETAAEEDAPLSGGTPVKRNSRKSSEVDAKGGGGSPVVSSPRRVTRSSAKASGEADGSPATRTRRATRAEA >KN538886.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538886.1:53980:56135:-1 gene:KN538886.1_FG010 transcript:KN538886.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSFATLAIARPAAERALLASKIPSPLISMRTGTARLPSPAVFGGFTPALSAAHSRARFVSSATADPKEADLQSKITNKVYFDISIGNPVGKNVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVIEGMDIVKMIESQETDRGDRPKKKVVISECGELPVV >KN538886.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538886.1:64745:67655:-1 gene:KN538886.1_FG011 transcript:KN538886.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQEPPPSIQDATDRISKRGDSVDDKIKKLDAELARYKDQIKKTRPGPAQEAIKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFASEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKESDLDSELNLPAAPTGHTPAPPNRQQEDELGLPTVPQASIRS >KN538886.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538886.1:128599:129324:1 gene:KN538886.1_FG012 transcript:KN538886.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSRKRSRYLSPPYTYTLPFPFTTVTVQDDVSVSDSDQSEDLTNVAVADMLSALHAAALLDMDAANVHLLRRFFTLHKTTSPSSSSTRINAQAEFNPSSSSSSRQKEEETTSKTKKKKKKAAAAASTPTTTIRLPLTDVRNNLQKMISSLLGRSPTATATASHDHGAKLALAGEMRGLLAKVDKMLSATTPANRH >KN538886.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538886.1:137609:138169:1 gene:KN538886.1_FG013 transcript:KN538886.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQLEQAARVVANAVVRMTAEVSASSLAAVATVVVVLLVASGYLEIKRPQDNDTTMSMMTREEAASAQEDSASASAQQCNSSCYLAAAEDDDDDGANNIANNCTRRSASAQCNSSCCSLSASTFRSGGRGNDDDNNNHHSDASDDSSSDSAISSPAADLRRSPSLSDWLAPSSPLATSSSSAYL >KN538886.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538886.1:19280:22122:-1 gene:KN538886.1_FG014 transcript:KN538886.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSNPSTEFLYLGTLATPPQQPPPTTSHLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTAPPAAAEASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRGRAPNPSPPKRRPQPPEPMAAHAWNPFIPMPPQAPPSEEEEEDSPSEKSMRKSRPLHSDKLKPGSLHMKDEMIHLYLNNSTMAAAMPREVCLLGAPRCHGIGMLVGALGISKEQVREAILEGNAHGLGVEALRMLMQMVLTNEEELKLKYFKDDLSTKLCPVEAFLKAVLDVPFAFKRMDAMLYVANFYLEVNQLRMSYATLEAACQELKNSRLFHKVLEAVLNFGNLMSIDTGSPNSHAMEPNTLLKIIDVKGADGKAALLQFVVHEIVKPEGHSPVCKTNANTTLQYDVEYRKHGLQVVTKLAAELSNTKKASSIDMMKLSRDVSELGVGLGKIHDVLRLNSMVTSADSARRFHNTMSMFLRQAEEEILKLQAQESICLSCVKEVTEYFHGELSSGDEGHMARVFGSVREFLAMLDRICKEAGEEMKSSGWMMGRDWNMAAPMGMTTP >KN538886.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538886.1:6005:6934:-1 gene:KN538886.1_FG015 transcript:KN538886.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMVVLVVLAAAGAAAATTKKKECNAGDKAALLAIKKALGDPYHFASWTPDNLCCEWYDVTCDDTTDRVVGLSVFQDANLTGTIPDAVAGLTHLRTLTWHHLPQISGPIPPAIAKLNRLSLLIISWTAVSGPVPSFLGGLKSLTLLDLSFNSLTGAIPPSLAALPFLSGIDISRNRLTGALPPGLFSKLNTTQQGGAYLRLSRNNHTGGIPAEYGGVAFQVMDLSRNALSFDMTGLRLREGVSSLDLSHNMLYGGVPAQVAGLSSLQDFNVSYNRLCGELPAAVARFDQYSFFHNKCLCGPPLPTPCN >KN538886.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538886.1:34474:37970:1 gene:KN538886.1_FG016 transcript:KN538886.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSELAAALDEEGKGVAAVAAAVKDANKRTALHFAAREGRTDVCHFLISDLALPVDPKDDDAGSLPCLEILIQDGLKPIQVAALRNNREVVELLLPLTSPIPGVSSWSIDGIIEYTKSVEEKAQIKEATTQKADRLQRPQLVEVSSEAKERSLEAKSRGDDAFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVELEPENGELVSAFREAVEAGRKFHGTDKKQKADAST >KN538886.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538886.1:52536:52754:-1 gene:KN538886.1_FG017 transcript:KN538886.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWGPVLISWVLFILLSPGLLFQIPGKCRLIEFGKFQTSVVSILVHTILFFALDAIFLVAIGVQINLGSSP >KN538886.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538886.1:60553:62640:1 gene:KN538886.1_FG018 transcript:KN538886.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCGQLEHEHEQQLHQSSSSSLLPYCFLSAPEPLPVPGFPAGCSNLEEKAAAAMAAYEYESSSCSSLDPTSMPMVYSPIVLQPQECPLSFDFDNAAAAAAAGDNKWVPGIQGSCPCSLGSTQDMDASWGKSRKHKRSSVDLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPMMYEFGPGIDMYPDVLPQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHMNMVVMQVGEQGQQQGSLHQVEMSSHCFFQ >KN538886.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538886.1:57254:59419:1 gene:KN538886.1_FG019 transcript:KN538886.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQWRSLLFLLLVPFAAAAAGQDAPFVVAQKKVALSRPGPGVERLAVTLNLYNQGSATAYDVSLNDDSWPQEAFQLISGTTSKIVEKLDPGATASHNFILETKVQGKFQGSPAIITYRVPTKAALQEAYSTPMFPLDILAERPPQQKFELAKRLVGKYGSLVSVVSFVGVFIYLVASPSKSTAAKGSKKRR >KN538886.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538886.1:96693:97415:-1 gene:KN538886.1_FG020 transcript:KN538886.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MRRPEMVFFDVETTAASRSLLEFGAIVVCPRRLVEVDSYHTVIRPGDMAAVSKRFAAMVDVDVASAPSFDQVAERIFGVLDGRVWAGHNIQRFDCHRIREAFAAIGRAAPEPVAIVDSLNVLAHDFGRRAGDLKMATLASYFGIGKQSHRSLDDARMNLEEEEQCRRQQQQQQQSFLEAQPPRGAIVVVDA >KN538886.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538886.1:30313:33516:1 gene:KN538886.1_FG021 transcript:KN538886.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILVGSGILGSVLVAGDAKLPSAGEVLSGAAKFVKKHGNEGKDTSSNTDAHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITAVVVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVSSLPQSTALPALPTATPAAEPSPRAEVPQEEQWGFVSKTSSSREGSGRLQQQRSVVTRTSSMREGSPESSNGASSSTGASTGRSTSTGTNTSTGRFGGLRLPGLGFLASSTS >KN538886.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538886.1:8760:9785:-1 gene:KN538886.1_FG022 transcript:KN538886.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLLLLLLLSVLLLSAASMAKDDDEPQQKVQCHVDDEAALLAIDDALGNPYNLASWTRNTSCCDWYDVDCDQDSGRVVSLSVFQDTNLTGAIPDAIANLTHLRTLLLHHLPSLSGPIPDSLAALTHLTHLTISWTAVSGPVPSFLANLISLTMLDLSFNSLTGAIPASLAALTNLSAINLSRNRLSGPIPPGLFSNLQLQDDDDEVANLTNLQMFNVSYNKMCGAVPAGGNMGRFDAYCYQHNKCLCGAPLATACRRR >KN538886.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538886.1:129972:133671:-1 gene:KN538886.1_FG023 transcript:KN538886.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDPNPTTTPPSQLLQGADPQPQSQQDAAANATPAAVAVREDYVQNAVKFLSHPKVRGSPVLYRRSFLEKKGLTKDEIDEAFRRVPPKFSWYRAFVAAGLLLGFGVSAAVFVKKLLLPRLKSWIRKVVAEGDENEGRQIKSKIDEETAEAVKASASAVSAIAKTNQELLASKDEEKKILVTLTQALDSQAKELKSLCESLNHSRDSINITREDRFSQYRALEEHAPSAARNGPVNTPWRASQTSAAHRGDNRSSGSKPWEMQQYSQQRIGYGSNSQLSDDGSYPETQDNYGGGPSYSYHQNQNQNQNGKAPAPDIQAEEARPSVYVSGAEERSPPPPPPPQRRWVPPQPPGVVMPEAVAAIRQPKSLAKQPSSEASQEAAGETHANGASSSSPLPEEALVNGSDAGRSEIEEQAEAI >KN538886.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538886.1:44443:44985:1 gene:KN538886.1_FG024 transcript:KN538886.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWSLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKVAQ >KN538886.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538886.1:90047:95620:1 gene:KN538886.1_FG025 transcript:KN538886.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MGERPRKSTDGDGAFGQLHAEGAAEVLADDLEDVVALVIIGVGTGGRGAAEKLLAAARLFLVGEGGVALDGADGGDELAGTPGGERCRGWGRGVGGVPGAVGATPLGGVGKGGGGVPAAVEEEEEDEDEDEEAAGLCYTRRARGMEHYSTKFSNGGGRLVDPMPDRRTLPVYRADPASDVLDLILSKNDPDVDTDSSSQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >KN538886.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538886.1:10035:17611:-1 gene:KN538886.1_FG026 transcript:KN538886.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSPPSRTALEPFATLDPAALAGLPASSPLTKLLLVDLTLHDADELEVRTRDIALVDGVKALAWVGDSVFVGTASGYSLFSTTNAQGGDIFTLPESSRPPRVKPLSGGDEVMLLVDNVGVVVDRSGHPVGSSFVFNTTPDCIAEVYPYVVVAGDSKVDVYRRKNGAHLQAIPFARPGTGGLIVVSEDAGIGSDVVVIATAYKVFCYRKVSAVEQIKALLRRKSYAEAISLLQEFEADGEISNDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHAPPKPLEEIIDDGLVTLQQALFLKKAGVDTVVDDDFLSNPPSRADLLELAIRNIIRALNLVDDMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKDHATLPETDSLETSVGKMSGEEIAAVEASKILQASSDQDLVLEHLGWVADIDQELATAILTPEMREKQLSPEKVVAAIDPEKVGIHQRYLQWLIEEQECDEPHYHTSYALSLSRSAMDAVRLGSNNEERNNKEFDSDMQFIYLLRERLQFFLQSSDLYDPEEVLDVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKGPMFTAAVRLLHNHGESLDPIQVLERLSADMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASPHGRNFRKGGNNNPVGCPLRFLTPVLSDENSVPPPSLEGLLIREACVNTGVAIGRLQLSVHAIVQVLPT >AMDW01060156.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060156.1:108:836:1 gene:AMDW01060156.1_FG001 transcript:AMDW01060156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISDDMRPHPIVVSTLKMVCAVAPSWRIIPTPDIIDKVCKDPDMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKKLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASSRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >AMDW01054876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054876.1:10:147:-1 gene:AMDW01054876.1_FG001 transcript:AMDW01054876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GCDGSVLIDSTASNTAEKDAPPNQTLRGFGSVQRIKARLDAACPGT >KN542513.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542513.1:4512:7562:1 gene:KN542513.1_FG001 transcript:KN542513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQTKMLFMLSLLLLIPSSSATYTTNHTGALSPAVPCLPDQAAALLQLKSSFSITNESMAAFDSWKSGEDCCLWEGVICGDADGRVTWLDLGDCGLESGQLDPVLFKLTSLEYLNLGGNDFNMSEIPSTGFERLSKLTHLNLSSSNFADHEIFDRGYSFHVHNMNQPWQLILPNFTALVANLNRLRELHFSFVDLSNEAAEWCIALAKYTPNLRVLSLPKCLLSSPICGSLSGLHSLIVINLQHNILTGPVPEFFANFPNLSVLQLSFNTYLEGWVSPLIFQNKKLVIIDLHNNVGISGTLPNFTYGSCVENLLVGSTNFSGPIPSSIGNLKSLKELDLSASGFSGELPTSIAKLRFLKTLRVSGGSIPPCLIEDANALKVLNLKQNKLHGELPHYINESCTLEALDFSDNRIEGILPRSLASCKNLEVLDIQNNLINDSFPCWMSTLPRLQVLVLKSNKFFGQVTHSISEVRTCEFPTLRILDLAFNNFFGTLKEEWLTKLKSMMVGINNDTSMMEYRYDKNLAYQVNIVLTYKGSAIKIDKILRTFLFIDISNNAFRGSIPEAMGELVLLHALNMSHNSLTGPIPSQLSRLNQMEVLDLSSNELSGVIPWELALLHFLTTLNLSNNKLVGRIPESTQFSTFSNSSFIGNDGLCGPPLSKGCDNMTLNVTLSDRKSIDIVLFLFSGLGFGLGFAIAIVIAWGVPIRKWSLLGQGVP >AMDW01025798.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025798.1:8:221:-1 gene:AMDW01025798.1_FG001 transcript:AMDW01025798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPKKEELKTVVDKVKDFFGDVTSGAKESFAQITGSVSAEAEAPVEEEKPWVKRRNERKRKQKEKQNQKQGI >KN542513.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542513.1:10302:12845:1 gene:KN542513.1_FG002 transcript:KN542513.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQTKTLLMLSLHLLIPCSSAAATYTNHTRAISPAVPCLPDQAAALLQLKSSFSITNESMAAFDSWKSGEDCCLWEGVICGDADGRVTWLDLGGWDLESSRLDTALFKLTSLEYLNLGGNDFNVSEIPSTGFERLTRLTHLNLSSCNFAGQVPAHSIGQLTNLVSLDLSFRFEDHEIFDSGYIFHVHNMNQPWQLILPNFTALVANLIRLRELHLSFVDLSNEASNWCIALAKYTPNLRVLSLPKCVLSSPICGSLSGLHSLIVINLQHNILTGPVPEFFANFPNLSVLQLSFNTYLEGWVSPLIFQNKKLVTVDLHNNVGISGTLPNFTSGSYLENLLVGSTNFSGAIPSSIGNLEYLKELDLSASGFSGELPTSIAKLRFLKTLRVSGLDIVGSIPTWITNLTSLVFLEFSRCGLSGSIPSSIGDLKRLTKLALYDCNFLGEIPRHILNLTQLDTILLHSNNFVGTIELASFWILRNLSNLNLSYNKLTVIDRENNSSLVSYPEIGYLSLASCNITRFPNILKHIDYEINGIDLSQNQIQGTIPLWAWKKWTDFGFFFLNLSHNKFTSVGYDVYLPFYVELLDLSFNMFEGPIPLPRDSGTVLDYSNNHFSSIPPNISTQLRGTAYFKASRNNLSGNIPASFCTANLQFLDLSYNFLSGTFPPCLMEDANVLQVLNLKQNQLHGELPHYINESCTLEALDFSDNRIEGNLPRSLASCRKLEVLDIQNNQINDSFPCWMSVIPRLQVLILKSNKFFGQMTPTVAEESTCEFPSLRILDLASNNFSGTLKEEWFTRLKSMITDFGNETSVMEYEGDQNRVYQVTTVLTYKGSTIRMDKMIKYFCIP >AMDW01039633.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039633.1:123:937:1 gene:AMDW01039633.1_FG001 transcript:AMDW01039633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKEGSVFQLVCPDTKCNASIPPYVLKRLLTEDEFERWDRLTLEKALDSMSDVVYCPRCVIGCLEDEDNNAQCPKCSFFFCSFCKEPCHPRRQCLTPEEKLQRRQASGRMSEREVAQEILNIKALYNDVRLCPKCRMAISKTAGCNKMVCGNCGQFFCFRCGKAIKGYDHF >KN543687.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543687.1:4332:5932:-1 gene:KN543687.1_FG001 transcript:KN543687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NEFNVPWYNAAPSQAEVKYLTWHRSMSSQLSPSRNPPGAITVMHTSTLDKLYAWEEKLYDEVKVNSAICRRYDEKCKQLRDQESRGKNQILVDFTRATVKDLHSRILVAIQKIDFISKNIEDIRDKELQPQLDELIRSLTRMWETMLECHHLQHAIMKLVSSKRSVKLSFQSESECQDALLLSAKLIKLCSDFQNWVASHKVYLCSLNLWLHKCMKPLKKRKGSRKQNVVDVSLTECAVAPIFTTCEIWIKLIDDLPNNELVKAIEDLVADVGRSFPHQVQVLNGETGGEILRNNAPADVQSSLMAFLEKLEAFSAVSLQKYIDLQKNIDEAKERFSRED >AMDW01034068.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034068.1:29:445:-1 gene:AMDW01034068.1_FG001 transcript:AMDW01034068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEGNLDPAVERLRDEADFARVFLIGDSSGGNLVHLVAAHAAAKDDGTGADLHPVRLAGGVLLNPGFAREEKSRSELENPPSLFLTEEMVDKLLVLGVPLGMNKDSPYTSPSLAAEAVARLHMPPMLVVVAEKDLLHDPQ >KN539578.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539578.1:34541:39110:1 gene:KN539578.1_FG001 transcript:KN539578.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCISSSNQRLIHPLSQEHSVAKQPEHLDSFYKLQFFTGIAYFVNVPYMLNQPLRYSTTIFQRFGFSSTSPELSDKEENQRKDQENATNVSNEGTEDVDLSKEDLVQLVLEKDGLLKSKDEEINDMKDKVLRSYAEMENVIARTKRESENSKKYAVQNFSKSLLDVADNLTRASSVVKESFSKIDTSKDSTGAVPLLKTLLEGVDMTDKQLGEVLKKFGVEKFDPLNEKFDPSRHCAIFQIPDPSKPSGTVASVVKVGYMLHDRVLRPAEVGVTEGGPTTTESSENSEQKSSGV >KN539578.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539578.1:15775:16683:-1 gene:KN539578.1_FG002 transcript:KN539578.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSALLRSAARSIPRALGAELRFSSRPTENAPRRLYSAAATATARTQGPQPNKGPVSSLSLDHVASRIALPLNSLLENEPRIWTHPQPLDGISTVKKRLEDLDVNYKFREGFFTKRSISYGEA >KN539578.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539578.1:41748:42131:1 gene:KN539578.1_FG003 transcript:KN539578.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTTVSSYGASPVAVALVWLQGWLVGDRTDGVGVEVSMHLCFHQPCRSRAGLRFTFTSSGSDRCGFAFLQSSEGEEIVFAWGSGRKSRACVAASRQGHRQPGQGAMGSATAARETIVRDIGGGG >KN539578.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539578.1:60212:83703:1 gene:KN539578.1_FG004 transcript:KN539578.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVVGGKGAGGGRRLTLAPGASANLWSQPAVPKETWGVAQGEEEDERNWWPEVLAAMPCRTSPEVSTWDKKGYSRGGFSAPTKCYAIEPLCVPKPLALGSRFWALGDESSEDEVDREERRSEEEVQGGSVLPEESFVKKAIDQGFTMDEILKAGEHLLCMSSSPKVSSCSMNSGFVGNRKLAKKMVEKVVNQKTTTCKPWKGPLPKARVSQPLTFGDVIGVALKKKKRAYVASPARFTTVNGVNSPVAEENLDRANKSLASMRLPVAHTCSIMEKAAAAAVRSSLDDNAKTLKFLMNLDKREKEGRIVLMSPGGQCTSDIEASKRSVELLSKGGSEHKFVSFGVRSNLVQFKCCQGLGRLLSRAGRHMWATEGATRQKRSDVTVGLRTTQLVQTSQTRERKQSVGEQSGGAQGERSRREVTMAWRGEGSGRSDGRGRERGDGFWEEEEEFFGARFDAGRLGFEPGYGFGQQGNHGWGQQRSGFRPRGSRSFGPRRGGFAGRLGRGGWENNRFSTKRFGERPLLKNEGRPGGGINKAGGGSKFAAKGEVGGGVSGGGGGASAGKGKVKVGDMEVVVNQMDGAAVMTDDPETNSKLAILDCDPSLFVDQHVHEDISKTQAKLKMGFDENGEEVEIEQDDRDGDGLEENKEDKHDGNGRDGRVTKRAKNDDMLVDGKENGTAEGKDDAALNGNQCQHKMKESEISAIASGMLDVAVGKVMNEVCDMVMEETEQPIEEEELPLDSNLGDEEKGEQEQEQEQEELTLVENNIEEAFKERVAQAANIKETVMTPKHSVCSLKKTEVERINSSLSLVRDNLDKLDDGDSSEEEDDLNNLVLGHLCGDLMDEVMDEETSNLSCDYKKVLKAYKSKSRRAPDLRVPDALLIVVVLGGVDEDGLDDKNHDEKALRGQILATEARQRWIRAPSITNPTTGVAGEDEDGDGVERGGRVGLIVCCENKTYFLNGTF >KN539578.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539578.1:11850:13464:1 gene:KN539578.1_FG005 transcript:KN539578.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQRLQGLDFSENGVQNQEMVPNDHYVEEQNITNAEWRSNCYEYHPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSSVVLGSYLGTLVRKPHLAPLNILKWNDKLYKRIYHPKLISEVQRKFAIDGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTVPQTVNESQWPTLVSYWYSEDSKKISDQNQENAQNIKHPHTLGRKSFARKRKELEHDGVEVDRATFFDECHKTKDGRYVNDATQDKMNEVYMKLAEKRVDGQELTEADFEQAMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNMSGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLARLIPRQEVDQNQGS >KN539578.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539578.1:47578:51957:-1 gene:KN539578.1_FG006 transcript:KN539578.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTVTAPLPPPFPFHLSFVRFASDDFWAITMSFADEDNYWNMKGCNFYSIKSLKSTFRIAADLIICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHTNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL >KN539578.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539578.1:110:803:1 gene:KN539578.1_FG007 transcript:KN539578.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding ANSEEITEVLNSSVQLLEPVFSCVKNFLPKVQEVDDVAAKLAQVIASERVAIEECGNLLYQAHNLQAT >KN539578.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539578.1:18360:20343:-1 gene:KN539578.1_FG008 transcript:KN539578.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASLLLRYAGRSLQRHIPRALEEPRLSSRVLKDSPRRLYSSDGVGTKSQPDKTLQLERAHQDLAEKNNKALEERMIYHMGNLNRGLDKLEGRIDRLSAVLKEREIRPMDTKGGGVSHRHLRKEYAKAERDKYC >KN539578.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539578.1:95145:95519:-1 gene:KN539578.1_FG009 transcript:KN539578.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGWSGLVAACSVQPARNSRLGGSRHQLGGLQAVLLDSGCLHRGFCSGNSWGGLMIQRQYCLKGVNEGPGCDNVVLRTCGALKTTFLPGHTAEWYHDPNAIRCKHMEDRRLIDSMTMSISASP >KN539578.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539578.1:22177:32948:1 gene:KN539578.1_FG010 transcript:KN539578.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLTLALVGSIVIGKGGVEPCKTYILRERVKGNPTPTKKNIQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKNLLRHHYVIPPVKHVFLLQFEMVLHYRLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMENCFSVQALQYLSVRYGVFQAVYSYWKDKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRMVRRNLDQAKALMDALVKREETKREAMECEVNLQRIQMKYKHEAQLVDEGTALSGFQQVSSRFGSSEDDYADSDDTTTEQPYIRPPVFRPRFADHKLSVIPTLRIKRERELKRRPQQNGWVFKRDPEEPVLLFTRPLDPEKLLAAGAGVGLGEVVASYLIDGTLFSKHQLGRKPPIMYRTAVGLPHLKVEAISHLHVILM >KN540032.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540032.1:59027:62320:1 gene:KN540032.1_FG001 transcript:KN540032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPRSNNTKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEAPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGAIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >KN540032.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540032.1:47281:49473:-1 gene:KN540032.1_FG002 transcript:KN540032.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIEKWTIWRQNHCQPNFWKNVVPAGSCGPYHPIDVPSGESKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKFVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVGACGATGDGDIMMRLLPCYQVLESMRRGMEPRDAAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >KN540032.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540032.1:27296:28537:-1 gene:KN540032.1_FG003 transcript:KN540032.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAPAPEARVSGGDVPARLQQALALLFPTNLAAKAVLFAVVVALLPLLPTSQAPRIWELPHILLLGLIISYGVFGQRNADSEVAATKTVDDESVESYVTQMMHGPLVFEENDGGGEADAAGEEGVQAWSSQYFPDDPLVVVADAGAGSNTGKGDESEKPLLLPARYGGVREHAIPSPSSVLDADLTLSPCSPPLLPPPPPPPPPPFIDHDRPGLRKAKARSFNDYGSRVSLQTTAAAAAGGSYNFRSKSAIQSSRSTLPTPPFDDHDLEEKVAASDISSFSSDDVVTDDREDDDNDKEVYNYEEEEGDVDRLDDDDDDDGSCDEELFELATRLAPEEEEVVEDEVDRKADEFIAKFREQIRMQRVVEPGRR >KN540032.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540032.1:350:3192:-1 gene:KN540032.1_FG004 transcript:KN540032.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSAKVAAALSGPAAVRLSSLAGVRSGIEAAARDLELLRAFLRFVDSLHGSDPLADAWVDQVRDVAFDLEDAADEYAFLSGHGFFRHGANLGAWLLMKWLTGDTDPRQLLIAVCGMGGVGKTTLVTNVYKKVAATCHFDCAAWVAVSKSFTTDDLLRRIAKEFHRDNRGGVPWDVDNMDYRSLVEALRGHLAKKRYLLLLDDVWDAHAWYDIRKAFVDDGTKSRIIITTRSQDIASLASSNRIIRLEPLSEQEAWSLFCNTTFREDADRECPYYLRHWASKILDRCCGLPLAIVSVGNLLVLKDRTEFAWKSVYDSLVWYESSDHGIGQVSSILNLSFDDLPYHLKKCFLYCSIYPEDFMIKRKILIRKWIAEDLIKEKGQCTMEEVADDYLNQLVQRSLLQVAVQNEFGRAKRCCIHDLIREMIVHRSTKERFFVFSKCTVTLKSSKKARHLVFDRCRSDRLSAPKMNSLRSFHAFKADLDASLLSSFRLLTVLNLWFTPIAKLPSAVASLLNLRYLGIRSTLIGELPEELGQLHNLQTLDAKWSMVQRLPQSITKLKNLRHLVLYRRRSADFTYPGPGTAIALPDGLKNLTCLQTLKYIEADEKMVRSLGSLKHMRSLELCGVHESNLVHLPSSISKMTCLLRLGIISQDANVKLDLEPFYPPPIKLQKLALAGMLVRGKLPSWFGSLNNLMQLRLHSSNLMEDSLGLLSSLPRLLHLSLVNAYSGKSLTFANGYFPALKKLSLHDLPNLSHLEFQKGSLVDLHVLMLGRCAQLNKLPQDIRNLVNLETMDLFEMPSEIIQNIQNNEILQEHDHESEHTIVIKNIRWNNGKLLEEKVYINLSLLESRPFVDKGQHNVKSQAEITAGRTHNE >KN540032.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540032.1:21758:22438:-1 gene:KN540032.1_FG005 transcript:KN540032.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSVCQFLNEEYCIMFTFSVLSGHHLLFFPAEGINVSQKWDIICKLSIGIVKGLDHLHSGSQKPIVHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQQMLEASAIQGYKAPELIKMREATRESDIYSLGVILLEMLAQKEAANSSSPNARDIHLPSSFKDLVLERKISDAFSSELVKQSKNSGKEQNLNAFFELATACCNPSPSLRPDTKRILKMLEDISR >KN540032.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540032.1:54833:56723:1 gene:KN540032.1_FG006 transcript:KN540032.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGVRRRSGRRGAGGGGGEALRKGPWMAEEDEVLLEHVRTHGPMDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFTAEEERVVIELQAQFGNKWARIATYLQGRTDNDVKNFWSTRQKRLARGGPLPAAARPNKHNSGKGKAPSSSSLDSQTATFHQSSASLDQASLEGNSVGWQCREAAPFMGYDQACSGFFAFEGPLPLQLLPPADGEASSSNAAQSAPPPLLFDQPPYPLISFPGWPERYVDVGHGFVDAGAMDGLAYQELLPMVQSVPMIMPFFGMECAHDAVKHEPFDDLPPNMFDDAVDQPPPLPPPPPPPPSPSPSRDDVL >KN540032.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540032.1:4860:19642:1 gene:KN540032.1_FG007 transcript:KN540032.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSAVGAAPEPARRPITRPSVLFDAKEAADTDLRAILPIALSGLEHLEGVDERFRRYRITLFSETSLEVNREQLTTKENDKLNKSISSYLRLLAGNLQLQAALQTLEYLIRRYLNSKWAFLDAVKSSGAPPPRSVMVQQCIRDKAVLETICNYAAPTKEFHHSRTVVCFCTAVIVECLGAIPKLDTDIVQRVLGFVFDSLNPAMKGDQDYKAGALMIIGVLATRATLAPKLVQNLIFFVARAAQHDALDTIDLPWLRVTVMAIISLVQSQSVTDFPKKPLMILKDISSSDDSCHSHLIEIVETLNIEKFVERIVYKVLDHCGKASQAAENLDMNRTGLWSKKTLNVIGKKYPKELRNAIHKFLENSEANSIGEDFTSNLLGLVFDESKGMPTEISDSNIWFSLDHPKAEVRKSALSKIATSNIFKNHNLNPQNLINMQDAIIHNMYDDDLSVVEAALSIEGLAAVASPVSLLKVYDDLLANCINIIHKGGPKASKACDVAVSCLEKIIIEYQLHYIEHAKDIAAVVFRLLIVHPKGISPESVASINMKNIKAFSETFLANPNKHVEWLADAGKGSAFSRTLFLLIILQSLLAPAEVLDMQMSLCQACLPVLKNKWCQIKSKDGHVGDEINIDKLEKCITELVKHVFNNDTEALNARILINDGGNTLLDDLFLFFITSPGKIIFQKHLQYLMVNCTKAPFQFISKYIVDEGFSAGVRAESLLMLASICSLLLGFPCVMLPLAHENKDVRSSALKCIEGLSLVWQRLSASLSRNGNGSKLPKCMLSPTFGVFLGSLVNQKTMISSDTRFLPAYISSLLSPSQDLMVPENLHERFDQSTKDAILHFILRSGMKFSSYGKFMILSILKGVGSILFDVEDVKSLLFDLLDRRNQYQSGRESRQILSTHEIQILCLLLEVLFSVSNSANVSSETSEALLKVLRIDVSAQEDPVVVMPSVTALQAVQPVFFDFLKTDTQEKVFASLISMFRTENTEIRNAARDAILRINVHASAAVKFIELIAAQGDKKMNSKRIKRKEDLNHDIFKNFDDLFGAKPTASVLLSLLDVLFLKKDVIQRKCLLQPLFQLLSKLLSDQWILGIVCQYNKGHDASSENPDLSNFMIEAQQLVLLILKDITDTLQSGHQDELFNCRDINLLINCIQSAKDLGTRNHGFSLIASLAKAFPQVVSESIEDLFVAIGDAVKQIFIKALVDVVEHRRLTLMIYLLRTLGEKKCLSTVIMYLLHSLVGRISHSPKHQGALSLRAMPQEWEYGLAVNITNQYSYKLWFHCLSKLLQEIRVHEKQYLLPMLHLAMQFILFKLQDTELIFDLDSEEAANSIQGSLGELMEEVVLCIVAVRDKKTGISGDALKEVRDSANTILKVIAGWMCASTYFKGISRMLEHSRSVVKRKALGILCETAKGNSLIQKKQKKARKLNHSTPATALQVDKSSAPCFSELCVKILELVDREVDSDSSVRIAAISSLETLAKEYPSDNPAYSKCLAKITNHINSGDAVTSSRSIYTVGSLINVLGSKALPQLPLIMKNMLQVSHQVSFCPSGKYAHSSTKTDAKLSNQAIPILLSVLTTVEVIVKKLGEFVNPYLEEILDLVVLHPECASRNDEKLDAKAADVRKLLTDKVPVRLMLSPLLNLYNGAIKCGEASLSLAFEMLSTLVGTMDRLAVGTYHTKVYEHCLIALDLRRQHLDSLKNIATVEQSIIHAITTLTMKLTEATFRPLFLRTLEWAESEVDQSTSKRSMDRAIVFYKLVNSLAEKHRSLFTPYFKYLLEGSVQYLSEDDALISSKQKKKKAKLEDALVEQKDKLSGPKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQALLKPIVSQFVIEPPEHFESVPEAPSVDEVDETLVLCLGQMAVTARSDVLWKPLNHEVGTMHYARSSFFLDNGKVLMRTRSDKVRPKMLGLKVVRYMVQHLKEEYVVLLPETIPFLAELLEDVELPVKTLAQEIVKEMETLSGESLRQYL >KN540032.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540032.1:34753:36898:-1 gene:KN540032.1_FG008 transcript:KN540032.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLRLSLSLSSPLIAPRTHHVAMLFHAPPEKRFLEMPLLPAAKRSEVVVAAEEERAGLRGGGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKATLAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVFVLSCSHDHDGTNSSYARAYSCRKSA >AMDW01031987.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031987.1:39:319:-1 gene:AMDW01031987.1_FG001 transcript:AMDW01031987.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLSFSDVMFVTKRNMTKAVESMSKQLDQVSSALAATKRHLTQRLENLDGKMDEQVEVSKIIRNE >KN539074.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539074.1:85390:87810:1 gene:KN539074.1_FG001 transcript:KN539074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFTADEVFQAGEHLLLVPPIKPSSCSKNKRAFGNGWLARRIVDAVAGQTVSKCKPWKGPLPSARCSQPLTIGDKLAEALAAMPRLQARPGSSNWAAGKRTVKEVELNLDQRATKCRGERFVEKDCRAAEKEGHEVILGKGVVQLDVGETEESGQLPNSNSKRLELGAHVRPIYLGLGRRPIRFYPGVGRLLSQAGRHPRASKEMKPSGILGKEKSTQSLRRAADAGVINSFASHRTLSKLREAEQVTGREPGRRCDLGEAMAQHGGWGWGRGDESQWEDDESFFHGRREGDERLRFQPGVGFGQGSGGRSRPPYGFRSRGTGGFRARRGGFAGRSGRNPYGARFPRGGGRHQQGSFKRNFDALATGKGEGSCRVTGSGEKGKKQHGMEPGKEVGGSKGAGQIKIGKVEVPVNSEAKKGKKSVSENDEEEELMEFEGAQREFGDRKGEDPKGSAKHVIHKCPVLKIPKPVVQAVGYAVDGLGFHHIPHQPLQRSKKGTKKALVRVVVGSLSVERLVALLQKLCPAKWKWEPVPHGKEAFVVLFPSKGELQRAINFGGADVKEGVATGVRVEFEEWFEEDEGFLLPKVWVKVFGLRKKLREYLMLWAVGSLLGATQLVDMKTTRKNEFGRFFVAVLNPKLIPKMIDVVIGDHYFELKFEVEKKGFDENGEEVEFNLEDWDGDEEDGNSEGEEYKENNEGKERESKRTKNDDMAIDDNKENSERKENEVQESGDQGREDVILEMAENVLDVAVERVLGEVYERVEREEEKIDGAVM >KN539074.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539074.1:19547:20172:-1 gene:KN539074.1_FG002 transcript:KN539074.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGAGEDVPGGGEFYLRYYIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQVLASHIRCSIACLLVFSSALRDLGLAYEC >KN539074.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539074.1:99087:106596:1 gene:KN539074.1_FG003 transcript:KN539074.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/Swiss-Prot;Acc:Q9SAF0] MAGGGRGGGEAVEAVRGDTPQGMVQLGFPLDWVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIQALQAAKDRFPRSLTSNDFSPMSDVLLSTEKLRVRLLQEGLNETVVKECESIMMTELLELHNQMKSQQQQYSILIDRLRQLETEKVELERTVVHETKEREAHGYANGRYSDFYSVLSGGSASESDPDTASQVADVETDEDEVMYFDTRDFLSAESLRSASCRRRELLTNGCNGLEHIDPAANVVKTTQYPSVKRRDKLPEPKEKENPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPNLAKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFSKDDDDSYRYVGGYWEAREKGNWDGIPDIFGQRSASPGWS >KN539074.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539074.1:135521:141072:-1 gene:KN539074.1_FG004 transcript:KN539074.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQSRGHRLGLHIDSDWPEVLLINDYAVFMGYLSMVVTGTGFLVLTWSTVILLGGFVSMLSNKDFWSLTVITLVQTRIFDVFLNGKVSHIGYSLKRLCKAARFIALPHNHKKVGFRGAVRVLVFTIVLCPLFLLYMFGLFVSPWISLWRLIQQDYGVTAGDSSSKAHLQPALVVLYSLALFQGVLFYYRAISAWEEQKLVKDVADKYMFDTVSCSSVSDYLHEIKVGCENDPSFARGRNLITYAVKLMESTSPDGYLSGARILDTLIMFNRDADASGSEFPGQSMQIYNMIGSTTSSPILHNLVQMLDSKSAYDGEIRLRAARIVDHFAGEIRLDKILQGIRCVSSLLELEQKLFQDSSEEEDDHQISVKEKDYYPKDYEQMQLIGMQILLNLSYDKNNLSLMSNTDDPALINKIVALITSKGSLHKKEHNEWSRMVELGVKILSRFMRFMYGPTKSNNILWHEISTSSKAIGTLESILECNQCDSVLKKHAIRILRRIFMDTSSTMGEGDRERFIGSLMDMSLHNSNGDFQNLAGVDLALKKQGLSILKEIYLNPSSIMDEGDRERFIGSLMDMFLDNSKGDFGNLPGEDLDLKKQGLSILKEICMDPSLFMGEGDREKFIGILMDMFLHNSKGDLFEKLAGDDLVQICRRSGSSAAIILKKYGHDIVNCIADTRSSVYSSMHRKIAAKILNHLCSPYSTDEEHLQNLKEAIIDLIPKVLREALGWGLTGKEIQGVAVSGLEGTQDDDWKLQEALASLCATVFNRIVSKDADLTARFNNIAAGICDQAAKPRVTFADLIKEAVKVHRMEFKKPEPKPAASPALYEFMPAYYPPPHFMYLSEEDPNACCIS >KN539074.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539074.1:9993:16092:1 gene:KN539074.1_FG005 transcript:KN539074.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine desulfurase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G08490) UniProtKB/Swiss-Prot;Acc:Q93WX6] MLEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRFYNSNVHRGIHVLSAKATDAYESARTKVANFVNAANSREIVFTRNATEAINLVAYSWGMSNLKQGDEIVLTIAEHHSAIVPWQFVSQKTGAILKYVGLTKEGVPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPIEDIVTWSNRIGAKVLVDACQSVPHMPVDVQRLGADFLVASSHKMCGPTGVGFLHGKFDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGTAIDYLSQIGMQKIHEYENELATYLYESLTAVPNVRIYGPAPCQTVHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVDALKDTIEFLTSEH >KN539074.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539074.1:110191:118037:-1 gene:KN539074.1_FG006 transcript:KN539074.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGSRRRPTLLRLLCPKKSLVSPPSPSLRWLLGSPRFLPTLTVAAALRSLSLSDDASSPDLQREAEEIRGLLVRGFDIVGAVHVGSADAGGALELARAVRERLYGERASHGMVGGCVELGSGEIRFVVSEGDGVEAVEVTEVVWEDDPGRLLWEKGCLLRCELPLKLPLYVPSDDTSGIEARFYSLIESTASKLRDPHVSYLIEGPRTTPGESHYSIILHGNDLNSVPHLSRNGSTEEYDANIVSCSKFFPAKRSLSLTRENADAIQITILSNQSFNSSKASTPAVEYFPAPALASLRAINLKLDILCYTSVDFPVAAAVSELVIPGLADQLSIMKKAIVSELTTQQPQLSPYHFVPPGLLIPVTTIYDTRYGEIEEKQISGGIISLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSINVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSIDSKGRSFFLKDKFYNLLLPQRPNMV >KN539074.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539074.1:3489:5050:-1 gene:KN539074.1_FG007 transcript:KN539074.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMGTLGFLLLIGCAMGLSTHWWSFKCGVQNSTSNSTYGKDMALFATLFARVTANLEEEHMYATGHCCGDTNSTTCKNCISQALQDVQMVCALRMQAIIHYDLCSLRISSEKIHFDMNDMVHLIAMRSDKSYIKIQPEFDKAVILLITTLCLEDLISTPGFNGSMGGSKTTIWCGYQYQLYPFYTGHPMVNLSTYKSASKIEGKSRNRNKKKTILCIVLPIASAIVITVLLIALYFGWKKWRQNPKASSRSTINTRRQVECLSALLSFEEITANAHGKRIALFLDYDGTLSPIVDDPERHSCPLRYEHTPY >KN539074.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539074.1:39609:43754:1 gene:KN539074.1_FG008 transcript:KN539074.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLAVQSLLIMIWGIECDVSWSLMWPVLLEHCRYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLHRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLKGGKHCDLELFPEYLRHLKKFVNTVEKSPSPRNAWRESLDRIEPSRKSVDCFEPSRRSVDFFEPSRKSTDRREKSRPTRDRMRGVEHRYSNVEKTDKLKFSFDHMEKSRRSIDIFERPKKNIEQLDCGRKSVDRLDRIWAS >KN539074.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539074.1:56702:69417:1 gene:KN539074.1_FG009 transcript:KN539074.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLMAGFGLTATVLAALLIRLLVIKRNTSKLAQGKLPPGSRGLPILGETLDFFTQSPSLELLGFFKHRLDKYGPVFRTNIVGEDLIVSLDPEVNNFVFQQEGRLFRMWYPDSIMRIIGADSIITTLGSSHKYIKNMVFRLFGPENLRRDMIKDMQKTVEASLLSWLHHPSIELKEAASSMIFSITAKKLISYDSVTSDGKMWKQYDDFIQGLLSFPLCIPGTLFYKCMQGRKNVMKMLKEMMDERKKATGRQKSIDFFDVLLEELKEEKHAMSENVALDLIFLLLIVSFETTASGITAILCFLSDSPKALQELTEEHDYIQKKRPDLNSEITWEEYKSMKFTSHVIHEALRLTNIAPVMFRKATEDVHIKGFAIPKGSKIMISPSIVHLNPVVYKDPNIFNPWRWKDTAEPAGGASKDFMAFGGGRRLCVGADFAKLQMAIFLHCLVTKYRWKVIKGGKMVLCPGLQFPEVGRTLMGGLGNNLFGAVNSSVETVTRPSHCDAICQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >KN538691.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538691.1:246270:246521:-1 gene:KN538691.1_FG087 transcript:KN538691.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MEENWPYFGNPLWPYIHHYSLRSGGIVCICTSHTLKDVLLPDIECLICIVFLSMKTAFTRNLLCKAFLGGLKCSSPIAEQLDQ >KN538691.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538691.1:296525:306015:1 gene:KN538691.1_FG088 transcript:KN538691.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNSFHVCYKKLLSNITLAGTICQTEELWVDKYKPHSSAELSVHKKKVEDVKKWLEEKLKAPKAWTSFTCLHNKEILTVQTQFLQGTFGGWTLVLTGQAGVGKSATIKAIAAELGVEICEWTAPVPTLWTEHLHANSVRITILQCGTLRTLSPYFKMLVLIRFLRLKGVPPISLQIAFNPITVNSIKKVLVRICKQEGSDLTEDLVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSGGTLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVAVDVDLFPMKEKLRRNPVKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWIVASYLSEADCLLAGSPISSARWMVNESYEAENMTQLIAASVAARGVLFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSVNHNIEASSSEEDEDEIEDWWCKGRRGIVAWGFELGFLCTDINKTNVVENKQVLQLFTKKVCSVLTNLGWNCAIFLSLKTWDTKEEFGTKEAVGVGKSSLVHLILKGSAIARPAQTVGCAVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDEVDSFQRKSGEDFMYKGVTPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDT >KN538691.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538691.1:217077:221994:-1 gene:KN538691.1_FG089 transcript:KN538691.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVATRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNPAGTAPMTPPGFFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPGQSPNGTVQTPTSAGGTETDKSGKSKRKTPLKRSKGSLGNLIKKAPAKPSASSSNEGSSHSESGSGSSSEGSSTNSKSGSRTKDGSEHGQGNDASNKGATAQSSAVEPVQASTGPVVLNPMMPYWVPPPMAGPATGVNMGMDYWGTPTSVPMHNKVIAAPASAPSSNSRDVVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNSLTSENTTLHEKLKELEGEKSNGNWYKE >KN538691.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538691.1:268683:271086:1 gene:KN538691.1_FG091 transcript:KN538691.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAVAAAEAQATTKLQKEREKAEKKKEKKSDRKALPHGEISKHSKRTHKKRKHEDINNADQKSRKVSSMEPGEQLEKSGLSEEHGAPCFTQTVHGSPESSQDSSKRRKVVVPSPSQAKNGNILRIKIRRDQDSSASISEKSNVVQTPVHQMGSVSSLPSKKNSMQPHNTEMMVRTASTQQQSIKGDFQAVPKQGMPTPAKVMPRVDVPPPSMRASKERVGLRPAEMLANVGPSPSKAKQIVHPAPAKVTQRVDPPPAKASQRIDPQLPSKVHIDATRSFTKVSQTEIKPEVQPPIPKVPVAMPTINRQQIDTLQPKEEPCSSGRNAEAASVSVEKQSKSDRKKSRKAEKKEKKFKDLFVTWDPPSMEMDDMDLGDQDWLLGSTRKPDAGIGNCREIVDPLTSQSAEQFSLQPRAIHLPDLHVYQLPYVVPF >KN538691.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538691.1:271631:274822:-1 gene:KN538691.1_FG094 transcript:KN538691.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHGDSVGRCILVGLHMDGVGKELLQWALNEAARSGDRVVAVHIYRKSDNCKTNTLSLIRTLDDYLAEYETLCSKKDIVLVGRVTPGSSIQKVLVKEAKLCAAMVVVIGANKKYSFGGSTCLAKYCAKKLPATTTIVAIQNGKAIFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDAMGCGQGGAAPPLIPPPVLAENLIGKGAHSRVYRGSLASGQPVAIKLSKASAVASKDFLREVDIITKLRHHRIVPLIGVCVEGPNLISVYSYLPRGSLEDNLHGKRSKPALSWEKRYTAAIGVAEALSYVHSGHSRPVIHRDVKSSNILLNDEFEPQLSDFGLAIWAPSNPGSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGQESLVMWASPILDSGDISDLLDADLDVKHDDAEVKRMALAASLCLRRSARLRPKISQVLSVLRGESEVSLDDLKAEPADCVDDETYPAANVRTHLGLALLDVEDAESISSTEHSNLSPLEEYLRERCSRSSSFD >KN538691.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538691.1:247970:250700:1 gene:KN538691.1_FG095 transcript:KN538691.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >KN538691.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538691.1:265115:266588:1 gene:KN538691.1_FG096 transcript:KN538691.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVVNPKAYPLADAQLTMTILDLVQQASNYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTPIQNLKDAIEKLLI >KN538691.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538691.1:206649:209489:1 gene:KN538691.1_FG099 transcript:KN538691.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLQDFPSERLELLREAVRGMIDSHEKRDELASLQRKLERRSDLTTETLGRANRTQLEILVAIKTGIATFVTGKGRVPSSELVEMFLMTRCRNLNCKSALPVDDCDCKICSTKKGFCSACTCSVCHKFDCAANTCTWVGCDVCGHWCHVACALERNLIRPGPTLKGPIGTTEMQFQCLACNHSSEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAGCEDFEGKGLHAKAEEVLSLLGKKIISPLDATNSILQFFKYGVTDYSVTGSTSKGILAAQASQSTDMRSLQTPTITPPKSSFNFKTTTSILDTDALKPSPKPLSIEPHFSTASKEDDSSLETIVKCKEAEAKLFQKLADDARKEVDSYRQIVRSRTQKLEEEYAAKLAKVCFQETEEKRRKKLEELKMLENSHYDYLKMKMRMQTDIQGLLERMEATKKMWV >KN538691.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538691.1:233555:237609:-1 gene:KN538691.1_FG101 transcript:KN538691.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTEMDVRLGLVRLGRRSIETFCLVVVRYSSEEKEGKGRKVRADMSAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDDQVIRAITNSFGKAIWRRTLVVLTHAQLSPPDGLDYNDFFTKRSESLLRYIRAGAGVGKRELGDFPLPIALVENSGRCKTNENGEKVLPDGTPWIPNLMKEITTVVSNGSKSIHVDQKLIDGPNPNNRWKMFIPLILMVEYFLVVKGIRRAIHADISNGKLDDWEQRYRDLVGSKDPVDQKGSSSGNR >KN538691.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538691.1:246975:247481:-1 gene:KN538691.1_FG102 transcript:KN538691.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRPVRKQIVGLTSMLIQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSEL >KN538691.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538691.1:259655:263833:1 gene:KN538691.1_FG103 transcript:KN538691.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLFFCLIPFFHVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLCWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDVCLSSSSSAVNRTPGNESFSVKLLAVDVSNGSKVGSSKGSFFKKVTEPNCNNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTVNADARMLSPSSSNACTVDGLGTNHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKISSVLEHLNRKWGNSNIASGELLLFPYCAHQEDLATYQRWTTKDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCLIPEDIHAKSPSEACVQKDGSLLSSCAPEQHPCGSKDQSALLLAMPSSTGKSAQVPEQCIDVLPSQFGRQNQDQVTTNQVFEVDQGMDCAAVSEGEWADTLTDISVGYLLTEASRGANTDCPGTSVVKNTLLLENPCSYDSFDAAVALHASRYKSAEQPALASHSTIWGAEETCDAFSFNLPASRKREGSNNSASSSPDSDSDVHPSNSEGFQGFLQDLAGAAVAHNPCIDDAKDIESLCAESPPRSDHDSAPKDQSLADLYWPDSLGPLDLDIPSATYHADDLLLGDSQNSWNRMMANSLDAFRNLSFFTADKNDSIPSIM >KN538691.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538691.1:251881:255680:-1 gene:KN538691.1_FG104 transcript:KN538691.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADLVFKNAALDMDVLYRSSETHQHTMLEFTRVYPHTALVWCRLVLECRLRVHGGIICRVPWFFEFIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRDQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVVHGALDRGGQRTSISQVKGSRVFRY >KN538691.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538691.1:289284:292961:-1 gene:KN538691.1_FG105 transcript:KN538691.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLMCAFFSFSFCLPPPWPEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDHEDDDAEEAEAEKDADGEAALSYGLTVASKGQEAVLAQLDKVLEEYTTFSVKQVEPAAKEKSGVMDTKAVTEITPEEAVGDKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVMKKSVGPSGKPSQVKPSTIRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTLSVVTTSVVTHRYGDQAGQVTQDYLHATGNALGVAWAVFKIRKALDPKGNLKKSSLASAAAHAVAKESISRQKRK >KN538691.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538691.1:230538:232709:1 gene:KN538691.1_FG106 transcript:KN538691.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRHRIQQLAATASWSSWPSRSRDSSVEGFLLAATLYSVNWFKVESRGSGSPALVPAAKQAFDAAVVHACWSKHLQSECVVLLENGQLCWFDLDTRRGGKMKVGSGSKDDLGDWLSCEYGAQPWTVIIASTAAILLVDMRFGDHGEYKVLARVGMEGLFETDPFVKTQCYLAFCKAPFDDFLISVVTERHLMVFDIRRPLIPVLAWQHGLDNPNHIAMFRLSELRPSKEHEWASNSGFAILVGSLWSTEFNLFCCGPKEQDSTENARLYAWDLPSRISLIGQHCSCSNGLMEEVFKGVVPGHGSASQLIRNYIIGYHVLPNTMLESSFTGFALIRLTSSGKLEMQRFRASGDLHDDAICDESQHKSVGSSSSISLDTHGENLSERYEFLKLHYLSKFLEGNLRSSLENHDSDVNKRSRHIVISEDVSVFAKDNSTSCSQSVSDFLCNASVPMNIFEIACQSILSRLSSDTLLVAFSKYKDMLACTNKKRIYEYLEVPACFPNNNKLRPYLLAKSSSISWNLTSKAKSGNSLVGPVLPIHVLLAMEDSNKGIDSPSREDSSSVSHRCREVIEAFVPEISIANADNCNGWSASQEVKDEKPYFVYEPQTDRPTLDEAARKKDKQTHKLDDPSCLHAPTAPHMDENFMTFVCGRAGIPHSGPEQAASNLFDFSPVRMEFESPAIDIQPAEEKVYKCLKKQFLAWQNDFKPYQEFCNSYQIQKPPQ >KN538691.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538691.1:238783:240115:-1 gene:KN538691.1_FG109 transcript:KN538691.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARRARKAVMAKAPAPLLQKRGGAAAAELAIPAHFRCPISLDLMRDPVTAPTGITVRALARDSERNRRCFVSVGTGRALAAAFESLAAAGEAGVLEDVLAALVCMMPLDEEAARVLASSSSMGSLVAIAKHGSLAGRLNAVLAIKESVSRDGAFVNLADDKVDEVVDALVVIIKAPICPQATKAAMVATYHLASSDERVAARVASTGLVPTLIEALVDADKSVSEKALAVLDAMLASEEGRAGARGHALAMPVLVKKMFRVSDVATELAVSAMWRLGCKASSGEEEAAATGCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKHKGLGECVDAVDFRGLNRLS >KN538691.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538691.1:284125:287452:-1 gene:KN538691.1_FG110 transcript:KN538691.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRSLFPELPPAGHALLRLVDSCRAPAHLRSLRAAHARLLFLLRLPSHPASAAVRVKLIQAYAACAALPAARAVLDASPDRTTVFFNVLLRGLTAASLHRDALLLFASMRPQGHACFPDHYTYPLALKSCAATDGLVLGRQIHSSTARLGLDGNVFVAHSAISMYARCGRPDDAYQMFEEMQYRDVVSWNAMISGFAHAGLFGRAMDVFRELVALQCPKPDAGTMASILPSMGKARVEDIALLRGVFDEMRFKGLISWNAMLAVYTNNEMHVEAVELFMRMQKDGIEPDAVTLATVLPSCGEVSALSLGKRIHEVIKRRRMCSIACITDSQYGYYLDNQQWRTIILANKLRVMEGKNYVNSSYYASFILSQIQANFQKDICLILGHQQGAAVLIMHFGLSFDRAVRHLALHFSNERHRERYGDEDRMECTNSGKWRWR >KN538691.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538691.1:198870:202118:-1 gene:KN538691.1_FG111 transcript:KN538691.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARCSLLPILASVLFLAASLPLPPRAAAYAGMVDSLLPASATALSFEEGYTQLFGDSNLMLHGDGKRVHISLDERTGAGFASQGAYHHGFFSASIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTQDFHRYAIRWSHDTIIFYVDETPIREVVRTASMGAQFPSKPMSLYATIWDGSSWATSGGRYKCVMSYVIIVSWSLTCATGAELAIGHSVLTLSYFCVPFFGQFVDCTISTSVLRRVLSEAVKNSSRRPPPSRVTANADPALDQLGFH >KN540699.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540699.1:12064:12402:-1 gene:KN540699.1_FG001 transcript:KN540699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYQLQEQHLHDEAEVVVVPAPGDRRPRQIFLDATRALMLWGALAVLSTTMGNGNPAANATHAFIGFLTWFLGVSLLALLPVAGRFAPALLIGVALASSLFQYFLATMELA >KN540184.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540184.1:36559:37763:-1 gene:KN540184.1_FG001 transcript:KN540184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFALVLAGAFLVNCAQQPQPYADPSNDDPNAGYGSSGYGYPSQQGQNPAQQGPNPAQQGPNPAQQGQNPAQQGPNPAQQGP >KN540184.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540184.1:20612:20731:-1 gene:KN540184.1_FG002 transcript:KN540184.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLIVSVLLLASAFLATSGEDHRMSVVNAPTTAYM >KN540184.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540184.1:41679:41937:-1 gene:KN540184.1_FG003 transcript:KN540184.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLVVFALLLLAAAFLATSVTYLEESAIFLTAN >KN540184.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540184.1:49329:52187:-1 gene:KN540184.1_FG004 transcript:KN540184.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKALLVLAALLASAVLLLAAAADQPLSLLAISPSQPASPDPEVHSLSHMKPNNPLFSVSKLTSSG >KN540184.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540184.1:17209:17558:-1 gene:KN540184.1_FG005 transcript:KN540184.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKGLLVFTLLLAAAFLVNCARQEPRTAQRGAGS >KN540184.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540184.1:47641:48228:-1 gene:KN540184.1_FG006 transcript:KN540184.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKQALIAFAVVLLAAAFLVAASAADETQANKKEDTKVDVQDYWRGGGGDLGEVENT >KN540184.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540184.1:6805:13551:1 gene:KN540184.1_FG007 transcript:KN540184.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRVRHPQDGVLFIHLHSNRHGREVDSECCISLKKEEKMMPNPWLQSQFTQVQLRTLKTKFVSVKNSDADHVAVKDLPPVMEKLRGIHEVLSEEEISNFLGESYPDMNQTIEFESFLREYLNLQAKGSSKTGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPSTNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLNTLAPELSSTTMIETSDPNERAKKVLETAEKLECTRYVTSKDIVEGSANLNLAFVAQIFHHRNGLSDNNVAPVVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVNWKQASKPPIIMPFRKVENGNQVIEIGKELKFSVVNISGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRTHSKEKEITDADILIWANNKDKSIANGVFFLELLHAVNHRHVDLSMVKKGEDDEEKKSNATYTISVARKLGCTLFMLPEDIMEVNPKMILVLTASIMYWSLQKHGPYHVPEPVPQETLAEEEEEDEEGDFEEDEEEESIEDGVSNLTT >KN540184.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540184.1:28815:29045:1 gene:KN540184.1_FG008 transcript:KN540184.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQGRGFHGASCSGGGRVEGEDIVAKPPVPDSAIARPLGLEDEEPRRVPYAPVTSALSQVLRHLLLVERVDGLEG >KN540184.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540184.1:54959:61817:-1 gene:KN540184.1_FG009 transcript:KN540184.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPSLPPGFADLAVADAGGTKMLSPLPRKYVALAERGVGGVASTATTLPSLDELAGATGVKMLSPLPRKYVALAEEDDEYVDICGGASPVKWAQPDLLKAAVISTMSILAVPLEASASAETCQPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNTQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLTVNLRNGKTFMRNQDLDMAVKEFRAALELAKSIGDRFEEKKAARGLGASLQRLGKYREAMNCYYKVLELSKETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGE >KN543542.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543542.1:1260:5527:1 gene:KN543542.1_FG001 transcript:KN543542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKKFMTITNSQQDHHYREIQNYAIRCEHDALNKTTNKEDYLRCIAQRIMNMEMKVRRSQSLQAGTTPSAQRPSSQQQNVCTTPQNLGQVPDQHRASAPNSQIEASQEQTVMVAAPDRYLNFNTTAISPVAMCVHPSQQPQSQQHQQQAKQLHPTNVVGYNPTSLNQIQGQSVSGQNFQHNHVLGQNASGSGTQQRQLAETPEQHQQQNFTQGNQILPAQQAHLGKMQIGHPTVQNNQQNVGMSCQPMTPPQCQVATAQESSLGCHSPQTLEPMVIAGEVDWREEIFQKIKSFKDAYLSEVLEYDQIVHVPKLTEEQLRSLPVENAEKLRRIRHVKKIIAIMLDLLNTQKSNVHKGMQNIFPIFQQYLGQLRLSISKSKAWNTVAKIGCQSQNCSENSHIVNLGSNTAPFTCDASRQQKQQEQVISAKTSRMEQAIMTRTPTPQQESHGCHLLGVPSSCFSPKALQPSSTNTLEECFTLSPVTQTVQPIQVASPHVTSPSAYGKSSVPKPRVARVVSHPASIKSRLASSPSRPEGAHAASPNSTSVESTLPTPIAKPGTVRAASPCTPVKSTSQSQLSKPAVTEVDSCRASMTSKLKSPVGKPETAGAASPCASVKSTVSLDVDSVTEFLQHGVVAPTVANGGSSNQAIHTLVSAAPPKAAHQADDQVQNGAEEMEAKKPFSRLIETLLSSSPKALHHSSNSMRLAIWEANRIPAPSPLPYRPRNGKMKRDFDHVTSRPISSPLRSMDESCMTYECVAFEDESSGEYNAKRQKTQVNANDALVDEIKTINNKLIDTVINIADENRTDEIIYQNGGGMLIKLSYNSMSLSPSLKYLFGASEMTIVMPVKLLVPADYPKSSPILVDNDDEQRRLSDISYAIAVAFGRAVDELPKPRSIEAMAMAWDGCVRRAVTEVAHRHGGGTFSSRYNQWRAG >KN540184.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540184.1:31133:31941:-1 gene:KN540184.1_FG010 transcript:KN540184.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALLVFALLLAAAFLVNCARQEPLWYSM >KN540909.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540909.1:23064:25545:-1 gene:KN540909.1_FG001 transcript:KN540909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVLAVLRWRQRRWLVELVALLLLLARGGAAALTNETKEVFGHGFYPKPVSLRNASTGAAASFSTTFVFAIVPKYPDAHGHGLAFALAPSVAVPGAVAGKYLGLFNTSDSTGQIKNKIVAVELDTARDDEFADIDDNHVGVDVNSLKSENSSTAGYHDAATGGKLASVNLIGGEPLQVWIEYDGDSARLEDLDLAKLPRLPPPSKTKKALPPLIILTILLLSVVILLLAAAAVAALVVRSRRYAEEEEEWEIEYGPHRISYKDLHGATKGFRDVIGAGGFGTVYHGVLPRSGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELVLVYDYMANGSLDRHLPMEFTVDDDSPGLVELVLEHWKAGEITAARDPRIGECDEDDLEVVLKLGLLCSHPDPRRRPSMRQVVQILEGAAPAPETLPEDLECGVGQFYGESFDEFVTGFPSTSEITTSTTQSTDEQQRLI >KN540909.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540909.1:4988:6620:-1 gene:KN540909.1_FG002 transcript:KN540909.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVYNKLKERSDQFVVRGLVRTEESKQKIGGGDDVYIADIRDRDHLVPAVQGVDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDTAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRQSEQYLADSGVPYTIIRPGGLQDKDGGIRELIVGKDDELLQTDTKSIPRADVAEVCVQALQYEGTKFKAFDLASKPEGTGTPTKDFKSLFSQVTARF >KN540909.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540909.1:16983:18164:1 gene:KN540909.1_FG003 transcript:KN540909.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAQEKFLRIKHAYNTLMNSESRSKYASTSSNTDYSSRTYGKSSTTSADEEEPFYGFGDFLRDLQAEFQNWEAGLNSEQKPKSLWEELAAIGEEFVEFLENELKIDDSSAAEDNANDPYTQSGGKNKQDGNTSTSSFDDSVSEIEAALEKLKKELGLS >KN540909.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540909.1:13522:16126:1 gene:KN540909.1_FG004 transcript:KN540909.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGGPDWNGLLKWSLAHGDAPAKPRALSEEDKKWFMEAMQANTMDVVKRMKEITQVMKTPDDVLQSQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFSSDASTNSRTKALGAISSLIRHNQPGVAAFRLGNGYSALRDALGSDDARLQRKALHLLQYLLHDNKADRSVATELGLPKLMMHLASSDDSGVREAALGGLLELARDNTSGAGNMLPDQDKLKDVLKSRIEGISTMDADDLCAHREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDAVGSMFEPPLRAWAASRPPPKEDSESESAKKDPPLLLGPGPSS >KN540909.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540909.1:30911:35048:-1 gene:KN540909.1_FG005 transcript:KN540909.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVQVRTHAQGGEAPSSGGAGDGAAGEEFSWSSIILPRYCLFHLVDENNHVKLGQTVQCISAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRSRSDRVLADEIDDALLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >KN540909.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540909.1:7467:9141:1 gene:KN540909.1_FG006 transcript:KN540909.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGTADFFYREAQRLGYVARSAFKLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVIVGVDVKERGFSVILSDMCPVVSGITTKDAAISCELGMRALSLAVGKMKAKDSDCTAILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGYDQQSSSVC >KN540909.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540909.1:19432:22330:1 gene:KN540909.1_FG007 transcript:KN540909.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAEAEAEVAAGPEVVLPIGAQKHDPAWKHCQMVRSAGRVRLKCVYCHKHFLGGGIHRFKEHLARRPGNACCCPKVPREVQETMLHSLDAVAAKKKRKQSLAEGIRRITHSAPAAAAAASPPSPADAAEMESPIHMIPLNEVLDLGSVPLEETPPETREMKGSISKKRKKLAARQASTAPLAHQNQQPLQSTPAGLTQPFHQMVVAQQHKTKAFDPVSVDNIDIVDDWVVDRSALISGQAEQSNWTEINQPVNNITSMGPGDVDEFESFIEGVDDKMIQGASRGTQEEDE >KN548387.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548387.1:40:246:-1 gene:KN548387.1_FG001 transcript:KN548387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRLLLLLPLLAVAAAEIRTEAFREDPRPTILFEKFGFSKTGAVRIVITGAGISSTFARPDPKQL >AMDW01081161.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081161.1:207:455:-1 gene:AMDW01081161.1_FG001 transcript:AMDW01081161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PCLVEFEQVKGFGELGAKCNDKQTMKECCELFKKIACPYNHLLNDITNVCANEFFYLIHTKGKLQPGTILENCNEGPMGIKC >KN541780.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541780.1:6664:11312:1 gene:KN541780.1_FG001 transcript:KN541780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYVSLEDQEEYKMMGTVVFSSEEEGYKFYLDYAKGKGFSMRKNNLKRKDGVHRVMSDSKKAQAIELRMSGLRPFQIMEVMENNHDESDEIGFVMKDLYNFFTRWTMGAKAAFRSDRNTDPNVRSEHMAFFVIHDAHMWDLSSTITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVCPTVLTAIQSLLPYCPAKLTLKRAMAIYRVLIN >KN541780.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541780.1:14578:15767:-1 gene:KN541780.1_FG002 transcript:KN541780.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHNAEFQENINNRMTNVEHNQQLQLQKVTDLMHGEQHFPRKRYIEVEYSSTIRKRVRGVNGRAVVSNKKFDCYKRCLVDEKDDFNFVCTTEERYIMLRILCAKPTETIIHIDDVVLMKADLECLVQAYPYDHYEKNISTKIINVFVKHFGQTRSIKRHKHIYQAYLENPSVVSMLINYGYYDGVELGNTDQNMYKSAGVNYVNNDMIFLPIRTSIGHWYLGVLDCTRKEVCVLDSMDMTEHDLKEIKFLMKGIRKCVRLVLDEKIVENPRWEDYNVQVWKIRIRYNLPS >KN542290.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542290.1:407:1074:-1 gene:KN542290.1_FG001 transcript:KN542290.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTEMMMMMMEVDPRDDDTEEEEIMALMGIVTADEEEAEADAATDDDAWWENIVADMRREAEEAALLLLSSSCCTPRLGSATATARRRRKRTLDEVVDSDVDGGFGGGAVMPSSSPLL >KN538707.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538707.1:380358:388461:-1 gene:KN538707.1_FG001 transcript:KN538707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCCFLCVMDLPKFVANLIGFFRRFLFRHFLWFIIWQKVFAQKVDREKELPCNAGCFLPKRKKRKSFFWGISWLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLNANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSLIDGEFLDKFDIIVVSCASIKTKLLINGNCRKRSKHIAFYTIECKDSCGEIFVDLQNHSYVQKVGGEPKPKELAYPSLQEAISVPWKNLPRKTTKLYFAMRVLENYESSEGRNACEASLSDLPAVLALRKDMCDKMSLSESQIPTALLERLLAAGKKQHPPVCAILGGILGQEVIKSISGKGDPIKNFFYYDAADGKGIAEDIPPLSSD >KN542290.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542290.1:6962:9162:-1 gene:KN542290.1_FG002 transcript:KN542290.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAVLGLTELHVAMQKLRFLLADCARRGARLWVLVNAGMVASELRLVLGSVAAAMDALPRSVAEASVDAGELARVVSEQAWRAVVRPDGADERAARSVRSILDQFKDGVAPDADDVRRVLRRVRVGSWSDCSEEIAFLESEICARLDAGDENSNDVIVMNSLMAFLSVVVVLFTVVNSM >KN538707.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538707.1:458416:459981:1 gene:KN538707.1_FG002 transcript:KN538707.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRGGNVALAIIFLCFTCSNNVFLLRSSSASSPIDDFLRCLSGKIPAEQVFTQSSSGFMAELTSSVQNPSNNAGVLILEPHGGVVGAVIPDTATPYPHRAGVLYNIQYGVFWWGDAEGESSAAARRWLDALYAAMEAAVSGNPREAFVNYRDLDIGENAVVGGVTEYESARRWGERYFMGNFRRLAAVKGRVDPGDYFRNEQSIPPLLQPY >KN538707.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538707.1:349755:350063:-1 gene:KN538707.1_FG003 transcript:KN538707.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMAWTCSPAAYVQSQLNSAGVSCSLCGCMLFLPMLYFPRHLLTRQIGQTVEVTLEELVIYYPFSGAMCLPLWLVLPSASLRGLVPTAEGGLRHVLGGV >KN538707.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538707.1:406890:408560:1 gene:KN538707.1_FG004 transcript:KN538707.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRFIGQWSDIVDFRSVPRFRMVPNYCHSVGAAEGGKKCGFQMIVQRGASKTTMDKVCATMSWDNGDAFMDMLGRKMMSVMAGKMDELWIGRMEWCVLEYNKNAINFYERMGAATLVCTRNGAYTDSLALHTEKYNGTRSRAAMGRNRSVVDS >KN538707.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538707.1:435325:435534:-1 gene:KN538707.1_FG005 transcript:KN538707.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHSIIYFALIAIFLLAVNVHMYLG >KN538707.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538707.1:345680:346566:-1 gene:KN538707.1_FG006 transcript:KN538707.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMLLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYNRFVREQLRKHGLAGAAAGAAASVGKKDKSSPSTSPKDKEKTKSKFLSFVTPKKDHEAY >KN538707.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538707.1:426467:427770:1 gene:KN538707.1_FG007 transcript:KN538707.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAYENYANTTVSVNLNLQYRFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDALNPRSFLPYHQANQFSVYPQTFPIADQNADALMRPLDRHPPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTTRSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQSVTTAFASDGGSVVGDHVLVPAVTYEDPSQAMPFSWQV >KN538707.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538707.1:351871:354376:-1 gene:KN538707.1_FG008 transcript:KN538707.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLAKQVALDLSEDERYQLERMEVASANALLCPISGCGAHLDCLENFEDHYRTRHTASCSVCWRVYPTSRLLSIHISEAHDSFFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLLDKHQFPKSFEFFKKARPSQHQRNKNQKQRQTVHKGDETSETLMDVDGKKSSRYMNSRYRPKQHDGKESKENEHSSCKEAKNNEMEVDKQVDELASAVSRLSTADSTPSSISFGHRRSRGLAFVPRSIRQNKQVKVNDIE >KN538707.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538707.1:310565:311521:-1 gene:KN538707.1_FG009 transcript:KN538707.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFVNGFLSVFMHVGLTLVLLVYLPIAFACRALGRLLVRPFVSGEDLRGKVVLVTGASSGIGEEYIS >KN538707.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538707.1:442790:449754:1 gene:KN538707.1_FG010 transcript:KN538707.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHPVFVPSETLAVEALHKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARIERASDKGKAAAIASAVDAAAGNDPTASSMVEAFKEQMLRPSLSTITTAESTVVIVSPGDSVLTATQKMVEVHASSAVVAVGNKAQGILTSRDILMRMIAKNLPADSTPVEKVMTLDPECATVDMPILDALRTMQERKFLHLPVMDRDKVAVVIPKFSFPQMYTGISIMCDLAHSPSSRLASEASRSQMMSDIHNEQSFSFKLQDKRGRMHRFSCEVQSLTPLITCILQRLGPDLDRDRLPQILYEDEDRDKVVLASDDDLTAAVDHARLAGWKACTNYTADRSLNGLKLYLDYSGSSGVHRKSVVPSVGETMLDGEKAILEQKIAAATARLNELRRTNREMEVKLVIYDVIAGYRKNLDDLSLNFINDLQKEVAKRREEQSDQARCERSTRSAWDGDGRKGVGLGRAVAKGQPYRELGHPLFGG >AMDW01039416.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039416.1:50:843:-1 gene:AMDW01039416.1_FG001 transcript:AMDW01039416.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTKYSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVQTVINFSCPRDAR >KN538707.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538707.1:365483:365997:1 gene:KN538707.1_FG011 transcript:KN538707.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCMAWRAGREGHMGWDGGEGPSPGEQGSSTPAADVKAEGGPTAGASTVKNKNYTLIAGAVGVLGASAIAWYLLSKPEKSEEVAN >KN539340.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539340.1:37610:37957:-1 gene:KN539340.1_FG001 transcript:KN539340.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRMHGWVYAHKLVDPMDPDGAGGKAKRRVVHEITEQTANGGFVRVSRKPTNHSKYTGRDPYEAYTKRKTSKGRNKFKHDEIKTYYLDVEGLDDDDEYEEPYTSYFYPYFYY >KN539340.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539340.1:99513:102849:-1 gene:KN539340.1_FG002 transcript:KN539340.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSIEGVEAKIWQVPETLHEEVLGKMGAPPKPDVPTITPQELTEADGILFGFPTRFGMMAAQMKAFFDATGGLWSEQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDEVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >KN539340.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539340.1:18261:22833:1 gene:KN539340.1_FG003 transcript:KN539340.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18f [Source:Projected from Arabidopsis thaliana (AT5G54730) UniProtKB/Swiss-Prot;Acc:Q9FH32] MRSGAQAPRGGGGSGAFSKRTLSGYMRIMSSGASTAASSLLSVGASLVNSIASHDEDGSRDQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHANDVRQLESRHDGTVSFMQLLKKPIASTNSEDKFADERPLLALACDGTSTGSLISNDGNGPVPNGANGTLHNIGHENHPTVLRFYSLRVHDYVHTIKFRSTVYSIRCSPRVVAVSQANQIHCFDAATLEREYTILTSHIVPPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLVPQPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNVINQRNSGFKANGATINGHTDSEYAGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSSSEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYAVDSSINHTAHWSQNSAPSLSLNQKILSVTGPPVTLSVVSRIRNGGNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGAVKNSDGSSPCMKYHLLVFSPSGSIIQYVLHRSAEQDPGIDFPSSAIPYGSQRETDTRFIIEALQKWDVCHKRNRRDAAESFVYSDFDIGENNKLFQKVAKKGTSVYPSNGTAVEKQKLGDENHNFYISESELQTHVVPTPLWSRSGMHFQVMGDGALEADNTGIISGELEIEKVQTRNIESRSKNLIPVFESLHTSRFQQTRVSTPDSNKYGLLQRQKSGFSEDGRLSCRSSSSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKNPNVNPRSELVNNTQSLNTEAQLGFVNNKEDCEDREQLPDL >KN539340.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539340.1:44492:45190:1 gene:KN539340.1_FG004 transcript:KN539340.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNACVYVTGLMAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGAAGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAYESIEASAGAVVGADDPAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLYPRALLPCFVVICKA >KN539340.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539340.1:58747:60840:-1 gene:KN539340.1_FG005 transcript:KN539340.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKTAPAYGYAPGAHAEALEFIEHVTANAGQVQRRVLGEILAQNAPAEYLRRYGIHGSPDVVDAFRRLVPLVTYEGLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADEMNRRSLLYSLLMPVMSQSVSGLDQGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPRDPYTAYTSPDEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWARLCHDIRTGELDPEITDRAVREAVGRVLRADPALADAIEDECARASWEGIIRRLWPRTKYIDVIVTGTMSQYIPTLEFYGSGLPLTCTMYASSECYFGLNLNPMCKPSDVAYTLIPTMCYYEFLPVNCNNATAEASHRDLVGLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPMFSFVRRQNVALSVDSDKTDETELHSAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRAGSTAVPASVFEECCLSVEEALNSVYRQGRACDRSIGPLEIRVVAEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDARVQGKYFSPKCPKWSPGNKQWNKSKDLVGKGDA >KN539340.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539340.1:10449:11867:1 gene:KN539340.1_FG006 transcript:KN539340.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGVLVRAVIIVVAAAAAVSGSGLPVPGYDGLAIGFYHETCPQAEDLVLAEMREIVQEDRTLAPALLRFMLHDCFVRGCDASIMLKSREKIGERDANSSYSLRGYEQIERIKAKLEDECPMTVSCADIIVMAARDAVFLSNGPRYQVETGRRDGKVSCTIDADNDLPPPGSNIVDLKIYFSVKNLGWKDLVVLSGSHTIGRAQCGSFARDRLYNYSGEGRQDPSLNTAYAPELRKACVAGDPFDKTYVEMDPGSPYTFDLSYYRDVYSNRGLFVSDQALLNDKWTRQYVERMASADSTDEYFRDYAEAMTNMGRIEVLTGDNGEIRKVCGAYVD >KN539340.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539340.1:111922:114220:1 gene:KN539340.1_FG007 transcript:KN539340.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLCCYLLLFVVVVVLTGSCRARDTVVPGRPLAANETLVSGGDANFVLGFFTPPGANSTYVGVWYNKVSVRTVVWVANREDPLPGDVADNPDATLSVSPTGTLAIVAGNSTVVWSVTPAAKLASPTARIMDSGNLVIADGAGGGVAWQSFDYPTDTLLPEMRLGVDYVKGRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTGNYGLLQRSTWVEAAGTWNLYWYAPKDQCDEVSPCGANGVCDTNNLPVCSCLRGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVEHAKVPDTERSVVDMGLSLEQCRKACLMNCSCTAYASANVSGGGRGHGAGTGCVMWTTGLTDLRVYPEFGQDLFVRLAAADLAFSSRSIAARPFVRPLLIASTCIIDQRSLLHGVGLARFGS >KN539340.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539340.1:50070:51374:-1 gene:KN539340.1_FG008 transcript:KN539340.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASRAQRLSRIFSSSSPSVRPPKPGQVKEAPKPAPTKKAPAPGAEANPNLRRNAIDDIIKGLLRERDPDKLVSGFIAASSTHPRFRARHRVYDVAVSRLATFGRLDGVEAIIDAQKPFLETSKEGFAARLIRLYGHASMASHAAATFHDLPPQLKSTMTFNSLLAAYVEAGEFEALAAAFKEIPVSNPSVVPSVYSYNILLQALCKMPDLSAALDTVTLMEKSGISPDLITFNTLLNGFYNHGDMDGAEKVWEMIKERNMVPDAKSYNAKLRGLVAQGRIEDAVAVVEKMEKDGPKPDTISYNELLRGYCKDGRLEEAKKLFEDMAENGYVANRGTYHTLIPCLVKAGELDYALKCCHEIYGKNLRVDCFVLQEVVTALVTASRVEDATKIVELGWSNSYPRRILNIPHATEKNKEESISEEEEEPKNA >KN539340.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539340.1:25458:27631:1 gene:KN539340.1_FG009 transcript:KN539340.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLCFFVLHVFIGDKHGKGMIRIEPCGVAYWLITLSQVPFAVAFTAYIIYAKRKKQVLHNQEDGKANPESTKMDTLPTLLFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMIQFILLGMKGIGEASVYAGICFVASVVGAVVIERAIRKSGRVSLIVFLVTGIMAVSTVIVTFFGALDVWAQYTSGAYMGFKLPC >KN539340.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539340.1:2092:5286:-1 gene:KN539340.1_FG010 transcript:KN539340.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRREADRGAAPEFVAVDIGGEAETAGAEAEPKKMESSFAGKGLERERSGDANPSTTGVLAVYEKQVVPVHVDGSPKEQFHPSTPTAGGAKRRRTGRRVPGWRDPRKILFAFAALSSVGTLILLYFTLSMGRMTGGQADGQ >KN539340.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539340.1:93858:95378:1 gene:KN539340.1_FG011 transcript:KN539340.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRPFRAQPTAADEAVMTNPFRDMGVGQGLLLDQASEPLHGLSFDAEEPAVAPATHSITASFGDYQHSASYDAAAAVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVASLSSPYLKNGNPLQHFQQTKGSESTKDTEPKPDLRSRGLCLVPVASTYTVASETVPEFWHPTFGGTFR >KN539340.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539340.1:29024:30834:1 gene:KN539340.1_FG012 transcript:KN539340.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTLIVLRGHEKGGHQPLIDYDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAAARRMLEGGSSLGDGAGEALLGQKDGDGHRRQCVDLMVLVTIWLCFFVIHLFIGGEGARGVFDIEPCGVTYWLITVAQIPIAVAFTACIVHQKRKSHAQNSQEFDQAISVKSKLESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKFIILGVDGIVTALVYAITCFVASIVGLVVIQGAIRKSGRVSLIVFMVAAILALSVVVIACSGAVRVWVQYTSGQYMGFKMPC >KN539685.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539685.1:54436:55900:-1 gene:KN539685.1_FG001 transcript:KN539685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPAPAHVLVFPWPIQGHLNVMLHLAVALAGAGVHAAVEGVPTVCWPFFTDQQINSRFVGGVWRTGLDMKDVCDAAVVARMVREAMESGEIRASAQSVARQLRRDVAEGGSSATELKRLVGFIGDLATPIQPAKSESEV >KN539685.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539685.1:5160:9073:1 gene:KN539685.1_FG002 transcript:KN539685.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVENRGGGGFGSKRSRNDGNQLSSFLVPLVPSCGKELSVREGDWTCPQCGNVNFSFRNVCNRGACGAPRPSPSLSPRVPPPPAAGYDRPHLGYDRPHLFYGGAGTPPPIPLGSGSYGAPYPHLGLRYGYGPPVGPPASYGLFSSYGQPGPMGSPMGGIGYGPGPELGRYGYGFRGSPMPVSSPWSGGALVENNDSSASRKRRGGPDGMAENDWICPKCENVNFSFRNSCNMKKCGAPRPSPGSNATPSRKDKDAPEGSWTCPECNNLNYPFRTACNRKGCGSSRPAAATAN >KN539685.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539685.1:25437:28102:1 gene:KN539685.1_FG003 transcript:KN539685.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILRRLKEEGKDGVKFGQYIYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDSAISPEARQDDLVNVIWRNVYADEGSEAMDAAAVPAIQASSFPAVDNYLK >KN539685.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539685.1:19406:22211:-1 gene:KN539685.1_FG004 transcript:KN539685.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP20-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G60370) UniProtKB/Swiss-Prot;Acc:Q0WRJ7] MSVAFSPAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREDSISVEQFKFTLEQCFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGQQVIFHYVGYNESGRRIDSTYIQGSPAKIRLGNKTLVPGKYSIVYYVSSSFLAWSDAALPIIYSLITQFRHDTAGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDVVCS >KN539685.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539685.1:62647:63816:-1 gene:KN539685.1_FG005 transcript:KN539685.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRLLAAAAAAGRDAGRALPDGDKLLLNCEGGGECGTCIVEVVEGSELLSPKTDVEKELLKRKPKTWRLACQATIGNPDSTGQMVIQQLPEWKIHEWDK >KN539685.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539685.1:9765:14906:-1 gene:KN539685.1_FG006 transcript:KN539685.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MVVVVGGGRAHAAAGAEARRKAEEAEAKRKAEEAEARRKKEEERDAELAAFYQEQWANEEDGGGEGGAPAVASETAPLYGESGLRCGVTENPGWVPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTILLVSGLTTILHTFFGSRLPLIQGSSFVYLAPALVIANSEEFRNLSENKFKHIMRELQGAILVGSVFQIILGYSGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLILLVLLCTLYLRKVSLFGNCIFLIYAVPFSVAVVWAYAFFLTAGGAYNFKGCNSNIPSSNILMDSCKRHLETMRRCRTDASNAWRTAAWVRVPYPFQWGPPTFHFKTSIIMVIVSLVASVDSLSSYHATSLLVNLSPPTRGVVSRGIGFEGISTLIAGVWGTGTGSTTLTENIHTLENTKMASRRALQFGAVLLVIFSFFGRIGALLASIPVALAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISMSVPAYFQQYEPSTNLILPSYLLPYAAASSGPVRSGSNGLNFAVNALLSINVVVALLVALILDNTVPGSKQERGVYIWSDPNSLEMDPASLEPYRLPEKISCWFRWAKCVGI >KN539685.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539685.1:33781:42507:1 gene:KN539685.1_FG007 transcript:KN539685.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGWDPLVGSEIHGFLTYADLNYEKLVAEAAARWFRPNEIYAILANHARFKIHAQPVDKPIGNEERVHVYYARGEDDPNFFRRCYWLLDKDLERIVLVHYRQTAEENAMAPPNPEPEVADVPTVNLIHYTSPLTSADSTSGHTELSLPEEINSHGGISASSGGSFVSSQQINNGPKNSGNIFNTSMASNAIPALNVVSETYATNHGLNQVNANHFGALKHQGDQTQSLLASDVDSQSDQFIRSSVKSPMDGNTSIPNEVPARQNSLGLWKYLDDDSPGLGDNPSSVPQSFCPVTNERLLEINEISPEWAYSTETTKVVVIGNFYEQYKHLAGSAMFGVFGDQCVAGDIVQTGVYRFMVGPHTPGKVDFYLTLDGKTPISEICSFTYHVMHGSSLEARLPPSEDDYKRTNLQMQMRLARLLFATNKKKIAPKLLVEGTKVANLMSALPEKEWVDLWNILSDPEGTYVPVTESLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYHGRERMVATLLSAGANPSLVTDPTPESPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTEQSPSKTRLTKLQSEKFEHLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEASEIVAAMKIQHAFRNYNRKKAMRAAARIQSHFRTWKMRRNFINMRRQVIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAEPASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKIAHEEAKIEFSEGQLGAACRS >AMDW01034680.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034680.1:272:416:-1 gene:AMDW01034680.1_FG001 transcript:AMDW01034680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRHARWLCGYSRRAMVDLGACRVSYHDVRRVELEIQASLAVRIFDE >KN540655.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540655.1:3444:4665:1 gene:KN540655.1_FG001 transcript:KN540655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKSRFDSLSWVPLMCTTQRSCRWQCVNGGAAVCGQLVVMTVTPPCYRSNNLLGSEPQCDKVVEESCRGDAQATSNVLVEQAAADTKQQQVVPNIGIAASIYDWLTEIAPFRIKSSSNVDVIATNESVARFMMTMKPCSKRMNGAASGVRCGVTRP >KN540655.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540655.1:45516:46192:1 gene:KN540655.1_FG002 transcript:KN540655.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSNSSYFCPRSTSSSPERQPPPASSPTCWRAAATRYLGIWFSVSPDAAVHWVANRDHALNDTSGTLTLTDAGVLLLLDGSGKVVWSSSSTAPPSATTSAAARLLDSGNLVVHGQGSGTALWQSFDYPTNTLLPGMKIGKNRWTGAEWYLLSWRSPADPSPGSYRYVTDGDEALPENVVLDGNGTEVYRTGVWNGR >KN540655.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540655.1:36114:39487:1 gene:KN540655.1_FG003 transcript:KN540655.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACCSSLLRLMILPVAFAVLVIALVAHGAVVEHTFNGEVGNLSISRLCQPEMIITAVNGQLPGPTINVTEGDTVVVHLVNQSPYNMTIHWHGVFQRGSQWADGPSMITQCPVGPSGNYTYRFNVSDQEGTLWWHAHISFLRATVYGAIVLNPRAAAPFPAKPDAEHVVLLGEWWNANVVDLERMAFLTGIPARNADAYTINGKPGDLYNCTAANQTEVFRVRRNETHLLRIINAALNTPLFVKPTVPLAVDTHMFVTVGLGVSECQPAQLLCNRSAPPVFSSSMNNASFVAPTAISLLEAHFSNASAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGLNFFVLAQGFGNYDEATAAPLFNLVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHFEAHIEFGLAMVFEVLDGPTPETSLPPPPADLPRC >KN540655.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540655.1:25367:25588:1 gene:KN540655.1_FG004 transcript:KN540655.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVVKAVVGTAVLVVLMLYGMVINVVLYMHCRALHGELTGEIYNEFANSYVFLPFDEGKDRHVVSVVMVWP >KN542899.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542899.1:324:1455:-1 gene:KN542899.1_FG001 transcript:KN542899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLCPYLANDGLGFPAKGGNQSEFPPAELVGSWYSSDQFALEHIVHRRLLSHRCRTTDPARATAFFVPFYAGLAVGRHLWATNATDADRDRDCLALLSWLHAQPYYKRSNGWDHFIALGRITWDFRRSPDGGCIKQNELVMELFLGARFCLQPRGDSFTRRSLFDCMVGGAVPVLFWRRSAYRQYGWYVPVGNNQEEEWSVFIDRDELRAGNVTVRGVLAAIPEAKVREMRNRVVEMIPKLVYSAADKEGLGDGMKDAVDVMIDGMLRRAAEQRRSWRKL >AMDW01027737.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027737.1:2:311:1 gene:AMDW01027737.1_FG001 transcript:AMDW01027737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDY >KN539741.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539741.1:58368:59019:-1 gene:KN539741.1_FG001 transcript:KN539741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSFLLTIILLILLCNASAGQTIAMKNDIAATPKLIKGHSRKILTDIQDYDYGGANSKHDPRRRPGIGGRSR >KN539741.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539741.1:84892:85095:1 gene:KN539741.1_FG002 transcript:KN539741.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGKKADAGRQIGAGGDTGWRRLGTATSVKTIADGNLGHGMATADSLLAKKEEDADGCQGAAEAAM >KN539741.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539741.1:24501:26685:1 gene:KN539741.1_FG003 transcript:KN539741.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETQKKVESTVLEILRGSDMESLTEFKVRTAAADRLGIDLSIPDRKRFVRRVVEGYLESLSQEDEQKQQQEQAGGAGEEGKDEQAEEEEEEEEEEERGGGTKREYDDEGDLILCRLSARRRVTLQEFKGKTLLSIREYYFKDGKELPAKGISLTVEQWEAFRDSVPAIEDAIKKLGESSD >KN539741.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539741.1:67963:68585:1 gene:KN539741.1_FG004 transcript:KN539741.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQERASYHAGETKARAEEKTGRMMGTAQEKAREAKDTASDAAGRAMGRGHGAKEATKEKAYETKDATREKAYEAKDAASDATGRAMDKGLGAAGATRDKAYEAKDRAADTAQSAADHARDGAGQTGSYIGQTAEAAKQKAAGAAQYAKETAIAGKDKTGAVLQQVH >KN539741.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539741.1:75128:75406:-1 gene:KN539741.1_FG005 transcript:KN539741.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMYNGIKDYWTTNRSIRLVKFADPCLQAPRTPEVRNTSATIPNSDILSGKGACSLKRLAVTSSRQTVRAGAAP >KN539741.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539741.1:17755:21190:-1 gene:KN539741.1_FG006 transcript:KN539741.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMKASMSVEEGWGFDDAVDAPCLDRLLLSDIWATKGRKFSTRIKIGLAQGLRSLGYLEPGRQLLQIGTTTIKARTRATPSTMVPSVRILALKVYFGTLNEAQMLRSFLQCFPNVETLHLKSDKADELNNATGEHKAMFWKGAGEIECIKSHVKKMVFDEFQGKQSELAFIKFVMERAQVLQKIDIISTNASCTTLEKNKLVLKALDSVKPASKNCQVVYSTHALLEEGGSWSFQRASDLSRSDPFEF >KN539741.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539741.1:69511:70182:-1 gene:KN539741.1_FG007 transcript:KN539741.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVVLRVLVADICVADLRAATAVDGFPCKPAASVVSDDFFCDAIVQAPSTSNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLSGEVMVGFTTAANRLFSKVVREKELFVVPRGLQHFQLNVGAGNASFVAMFDSQSPGLVTPTFALFATQPAMPMEVLAKTFLMGEDEVGAIKSKFAGF >KN539741.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539741.1:35873:36229:-1 gene:KN539741.1_FG008 transcript:KN539741.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASLLSDAIAYIQELEARLRGDAPVPARADGPAVEVKAMQDEVVLRVTTPLDEHPISRVFHAMRESQISVVASDVAVSDDAVTHTLMVRSAGPERLTAETVLAAMSRGVSVTTPSP >KN539741.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539741.1:14102:16538:1 gene:KN539741.1_FG009 transcript:KN539741.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGATGCGYVLSRAHWRWMDLSINDEDFKKDFSCVVASKAAILATKISTVLGAHHGNLSTVCITNIDFSEFVNTKHMGSCVNIWFKALHAKKAKHLILYSDQDLEREHFQLPTHLLLCNDLLSINIGAVAFPDTRGHRSAYMLENLRELELTWVTIATSDINMVLRCCKALERLFVIGHEAGRSSDAATRDGIIVQSGSLKALILWYCELSGLTILGASSNLERVVLLLNAEYAEVVVDRSTSVGVIGFLNLSYNRLIIDGIQIEAVGVHISVRQKKYDWLLEIAKDTSLEDPFEMFD >KN539741.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539741.1:78336:81947:-1 gene:KN539741.1_FG010 transcript:KN539741.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-e [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/Swiss-Prot;Acc:Q8GX93] MEVHEIRDLFWDGIPLRGASWLREEPIGEIWQRVILVPVSGGIIVGVLNTLRSSIATNSNDTMSKIKGAFRPFLKAMAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVFKLEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPTSVDSSSLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFCSPTELPLYLLLGIFCGLVSTTLSRCTALSMEIVESLQMATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKVMRFTFTGPDSLFQIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIASPQRFSRSNRSKPDSSEVKSSNFKQEKSVPSQTQGASVDTDKPITDLCKLESSLCVYDAKHENFQENLTVAEAMKTKYISVSKTTPVVEALNLMLVEKQPFVMIIESNRSLIGLVTLKDIQDFCRTAKTTRAQTEEPVQTYVCGAVKCKMWPVTPQTSLTTVEKIMDSYGVDQLPVVSEHVNRQDRGLLVGFVDKEGIAIARRAVATKDLLSFTSEVKEER >KN539741.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539741.1:51994:54401:-1 gene:KN539741.1_FG011 transcript:KN539741.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVIGCLAVQLSLASLLLCSSASTAQHTLGTGSSLSVEDRSRPFLVSPDGTFSCGFIHRRTAPSLAASFRPATTATMPSPSLYGSRPPRTHGAVVWTANPDAPV >AMDW01038915.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038915.1:449:723:-1 gene:AMDW01038915.1_FG001 transcript:AMDW01038915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AECKSASSYQGICGIMIRDTAETFGIISDDNRFRALFLHIMLIELSTKNNVR >AMDW01039679.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039679.1:42:819:-1 gene:AMDW01039679.1_FG001 transcript:AMDW01039679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPA >KN540150.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540150.1:22998:25799:-1 gene:KN540150.1_FG001 transcript:KN540150.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAINFCLPRFHQFLYLVYMTQGYVAGNPRTERQFDEGGKIPFLHGMGLISSELYEHAKETCRGKYNAPGNARCEQSMKAIHDCTKDINMLHVLEPFCEDVGSRGIHNNEATDGMIRLMLESASAANDDIIEFNFPSIALDLRKSNLAYLLQKASYVVLKIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCGIPFTSTQAWIRFLNLSVVDDWRPWYVAGQVAG >AMDW01036714.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036714.1:226:570:-1 gene:AMDW01036714.1_FG001 transcript:AMDW01036714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QQALEPPTLNDYFLKKIASWVEARRDARESIRSIAVVASDDAASDIVSEGLTKAGAPFKHTVRINLPLVHYPSDYLGPNEVLCYTLRVCTIQKDNKDPNYVDKKIVRFKAWRQR >KN540150.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540150.1:55342:62897:-1 gene:KN540150.1_FG002 transcript:KN540150.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VSNIIFVDSPVGAGFSYAATEEGSKSSDTNAVKQLLIFLRKWLHDHPRFSLNPLYIGGDSYSGMIVPTLTLAIDESNGSEEKPFFNLKGYIAGNPVTDYKIDEDGRIPFLHGMGLISDELYEHAKETCGEKYSAPSNAQCAHSVQAINDKASRVLLKIWANDETVRESLGVQKGTVGEWKRCNRDIDYNSDVRSTVEYHLTLMRKGYRAIIYRCTYMELTTTVEI >KN540150.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540150.1:46171:46551:-1 gene:KN540150.1_FG003 transcript:KN540150.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLRLLTKAGTTVLLSAAERAGLSLSAVEWLGLLYKAEELEVLSAATDHGTPGALLGVALLLFAAGPAVVYLVTEEYPWEVAVQAVVALACVVGGSATFAMSSIVSKLQSSPAEAIRDAHCLA >KN540150.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540150.1:33278:40985:-1 gene:KN540150.1_FG004 transcript:KN540150.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGGGGAAAGVAVTSLPGFDGPLPFSLETGYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSISGLTHEIDYSCAYIYRTIPIRRKTVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKVYLF >AMDW01034664.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034664.1:1:486:1 gene:AMDW01034664.1_FG001 transcript:AMDW01034664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFALPIAEKEAYANDPAAGRLQGYGSKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRVRTLASKLLAILSLGLGLPEETLERRLRGHEHGVDNDDLLLQLKINYYPRCPRPDLAVGVEAHTDVSALSFILHNGVPGLQAHHAGSW >KN540359.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540359.1:44730:45974:-1 gene:KN540359.1_FG001 transcript:KN540359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRHIPLFYNTYFCGYNFYIIFNVDKKKEYTVSDGHIKYDNYFDASVDALVTSLTKAGFSNMDIIVGRVGWPSDGAVNATPAIAQSFMTGLVNHLARKSGTPLRPKVPPIETYLFSLLDEDQRSIASGSYERHHGIFTFDGQAKYYVNLGQGSKALENAPDVQYLPSKWCVLDNNKDISNVSSSFSAACSNADCTALSPGGSCSGIGWPGNVSYAFNNFYQQHDQSEEGCSFNGLGLITIVDPSVDNCMFNLAIDTSTAASFHPILAMLQILVLFFCTYNLL >KN540359.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540359.1:19849:22354:1 gene:KN540359.1_FG002 transcript:KN540359.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQTVGMGAEAVNVPAAAKLFDKANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRSRDGGQNVIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAASSAMVSVIGLDSEKVQQLCDAANEEVHEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAETEIKTPRIPVISNVDASPHSDPDTIKKILARQVTSPVQWESTVKTLMGKGLEKSYELGPGKVIAGILKRINKGASIENIGA >KN540359.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540359.1:31572:32173:1 gene:KN540359.1_FG003 transcript:KN540359.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKLGGYWLGQKANKEISSAGDDIESLSTSVGEGAKWLVNKLKGKMQKPLQELLREHDLPEGLFPREATNYEFSPETRRLTVHIPAACEVGYRDGSVLRFDATVSGTLEKGRLAEVEGLKTKVLVWARVTAVRADAAKVHFTAGIRKSRSRDAYEVVRGGIIVEEF >KN540359.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540359.1:28832:30488:-1 gene:KN540359.1_FG004 transcript:KN540359.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >KN540359.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540359.1:48224:50955:-1 gene:KN540359.1_FG005 transcript:KN540359.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVGRQEPAIVLRVDHDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLGIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILNSLTYEEKETGADGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKHKGKLIVKEAPRAVSEREDKLFNEHIETLNEQNAEVDGDADSEEEEDTGMGDIDLTNSGVNAD >KN540359.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540359.1:24535:26177:-1 gene:KN540359.1_FG006 transcript:KN540359.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >KN540359.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540359.1:37182:42262:-1 gene:KN540359.1_FG007 transcript:KN540359.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAGPASYPSLAEASSTASASRRRRRRTATASSARTVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYIVIGSIYRYYFLGIHSVEAIPNLEFWISLPQTIKMHRSDVMGWSKMRFYCFQSSRCPYYGSMKFS >KN539033.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539033.1:115324:117020:-1 gene:KN539033.1_FG001 transcript:KN539033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKIGFESSQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKDKSLKVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDQ >KN539033.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539033.1:69424:72498:1 gene:KN539033.1_FG002 transcript:KN539033.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGVDYKDNQMRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLASYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFRSSFDFIDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITAKYDATTARMSDKFQAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSMEEKYPGKVRAVVKFNAPLAHLIMAGADVLAVPSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVKKVAATLKRAIKVVGTPAYEEMGPAKNWENVLLGLGVAGSAPGIEGDEIAPLAKENVAAP >KN539033.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539033.1:15887:17216:-1 gene:KN539033.1_FG003 transcript:KN539033.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVCTIHTYAERCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSSSNRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSASASVSVNLPSYYSSSSSSSSPEEDDKLAFELAMAITVSSLAQLVGNLASDFNSS >KN539033.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539033.1:157814:161578:-1 gene:KN539033.1_FG004 transcript:KN539033.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQLISRPDRHTTCEDHVGGTSGLADVSSLGEFPGATTLKESTASGPISSLQAVPDDTIDAPQSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDHAFELKGVINYEPIIESRNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMFQGLDDPGVCEDLFD >KN541064.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541064.1:3160:6801:1 gene:KN541064.1_FG001 transcript:KN541064.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVQQHGRQRQGISKSKPPPAKGKAAARKYKPPQCPGASPVTPLHRAVDGPPPVFNQILTSEQEEDHDGPPEKLIPTLLVWTLGGKNVYIEGSWDNWKSKQLVHKCGKDHCVMLGLASGVYRYRFIVDGERRFQPDRPREADIMGTISNLIDVHDYVPDSVDSVSELMAPPSPDSSYGFLAPDDKEFTKEPPALPPQLHLGVLNSRGGSGGKEGECAMPKHNVLGHVFIGKGTPPMVAALGTTFRFQSKFVTKVLYKAIQREDR >KN539033.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539033.1:5861:7142:1 gene:KN539033.1_FG005 transcript:KN539033.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVQLVLAVVAVVVPALGVVAAGGATLGVNYGQVADNLPPPQEAAMLLRALNATKVKLYDADARVLSAFAGSGADFTVGLPRRLVPRLAADPSAAAAWVRANILPHIPATSITAVTVGNEVLTGNDSAMLRSLLPAMQSLHAALAACNLTSRVAVTTAHSLAVLSSSFPPSSAAFRRELLPYMAPLLAFLAKTGSPFLINAYPYFAYKGDPEHVDLNYVLFEANAGVGDPATGLRYDNMLHAQVDAVRAAICRANYGKAVEIRVSETGWPSRGDDDEAGATPENAASVVLD >KN539033.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539033.1:152617:156302:-1 gene:KN539033.1_FG006 transcript:KN539033.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRSRASRRARRERDPCPCADVVFRSLLTDEAVQVCSDSRGHLASGRDPASPPLLQTQYEHLPSLLCFSSQGHMFSLWRCEGHVGGTSCSGSAHAPQEPDVLSLGELLDATTLKESITCSPSSSPQAISKDTINSSPSVRCLSSPGSIIWVREPPEDRLGVYHIRMNRSGSFHTYPDLGGPFQSLNEAQDAISSHLNRIYPPVKFQERPGESYADRMIREKLYWPDGTRKKCSKAQAFENVKNMMNQLAKVILDMYNDDQNFSEDLSYELKEVVSFEPIFESHRWFDHINFTANTKGSKGLDRNCYTCKFGNRSMKHPNDVNSYVGGHCYITGIYDTEVSSDSEEDEDAEEQRLRKMYQDEDAEEQRLRKIYQDLDDPGVWEELFG >KN539033.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539033.1:124620:127194:-1 gene:KN539033.1_FG007 transcript:KN539033.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVGVMMGLFHFRCEETEEHVGGNTQLEEQEVVTMEKSTEPATSGDPATASTSSLPPTPHSTTSDVPPPPNHCRQTSPQSTIWTRDPADWPWIYHIRMDRGGSFHTYPALDGPFLNLSEAKDAINRHLDSLKRPMFKEQDGVSEVERMIHKSLYWPDGTRKKYSRSQASQNVDERRRQMVQVLLDKYNDDHDLIEDLAYVLQDVVHYQLIVEGIKWFNHFNFTAKTSGADIDNLFFAEVMSSQGEEDWVVTCCCLIKSADNGICYGCKNDRNLDMKHPDNSDTYMGGHKDIVMPFEIEDFTESESDDDDEDEEVKASRIRRMIEGLDDSDEPEDIFYPVFKP >KN539033.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539033.1:62599:64402:-1 gene:KN539033.1_FG008 transcript:KN539033.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSARDDEAPDLVCQIDCVHGMVDALSCVRWKRHQICPDLRPHFPLPRGVQDVVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQSDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGMQNFRNVAGGAQQPSRIAYIEFFVKPEEYEINDA >KN539033.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539033.1:30965:32507:-1 gene:KN539033.1_FG009 transcript:KN539033.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSPSSAFALWVGVGLSSELQIGLSHEPARRPVLMEAATCLPLSLMPTTHAKQQGKSHCSASCLIHGNKSYAYIPLVTFFHVMEGKKLKVPVESSTEVLPTTFDSLSIIHLTAILQEAGSMAAALGAGSSRLYLNRKLHPPESNKTKCPIWRASMPCNNGDRAIGGGGAAGRRVLAAMMMDVLTSVGLHVENPQPF >KN541064.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541064.1:28050:29664:-1 gene:KN541064.1_FG002 transcript:KN541064.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMGSSKCASASAPLESKVTRSSNSTTTTDNTSKASQRSSSAFSSGQFSRASSDESAAAPSLSSLKSFNMGDLRAATKNFGSNSFLGEGGFGCVYKGWIDELTLAPTKPGVGKMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVKLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGTQPLSWAMRVNIAVDVARGLSFLHGLENPIIFRDLKSSNVLLAGDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEPHLGDRRKVNRIMDTRLGGQYPKKQAQDMAALALRCLHHDPKLRPAMPDDVLPQLRLLQQNTKPSSSSSTSAPTHRSRPFQALAS >KN539033.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539033.1:100473:105551:1 gene:KN539033.1_FG010 transcript:KN539033.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAYELKEFLQIGVMYEDQRYYYHINFTTKTKGAHKSGCAMDNLFFAELSHMQGKDEWVVSCCCVIKPAANGHCYGCRNDGKSGLKHPNNSDAYSGGHLDGCLPFGLNDSRSKYDGLNPEDEEAMLRSLYKDFAYELKEFLQIGVMYEDQRYYYHINFTTKTKGAHKSGCAMDNLFFAELSHMQGKDEWVPEDEEAMLRSLYKGMDEPGYLEGLFA >KN541064.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541064.1:14968:25729:-1 gene:KN541064.1_FG003 transcript:KN541064.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLHELVLVYALCWTVSLGFVAGQTGQLSVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSCFERNPVALRMEVLCDSKGTNVCPSGGVGVYNPGYWGMNIERRRVYKVALHIRSSDAISLTVSLTSSDGLQKLASHTIMGSKKQFAKWTKIEFHLKSSQTNANSRLQLTTSKSGVIWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETLAEDLGASPVWVVNDGSSNLSGLLGASQNEEVSTATIASLVKDVVDGIEFARGGPTTTWGSVRAAMGHPQPFNLDYVSIGNQECWMLYYRASYLPQVYTSSSDMFSRTSMFDNTSRTGPNAIVSEYAVTGKDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSSGATFHPSNIQISSYNQLVASAITWQNSKDKSTYLKIKVVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQQPQKVAPVSSPVDNANEQMDVLVDPYSLTLADVAPHLGSWLAHSMLQMPKNQEIDSCDLKKIKMYRAKRTAAQKVRRCVGKYELGRAIGQGTFAKVRFAKNMETGDHVAIKILDKAKVQKHRLVEQIRREICTMKLIQHPNVVHLHEVMGSKRRIFIVLEYVMGGELHDIIATSGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDTAGNIKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYNGALADLWSCGVILFVLLAGYLPFEDENIVSLYNKISGAQFTCPSWFSAEAKRLIARILDPNPATRITTSQVLQDQWFKKGYESPVFDDKYYPSFHDVYDAFGDSEEKHVKEAMEEQPTLMNAFELISLNKGLNLDNFFESDKKYKRETRFTSQCPPKEIINRIEEAANLLGFNIQKRNYRMRMENIKEGRKGHLNIATEVFQVAPSLHVVELKKAKGDTLEFQKFYQTLSTQLKDVVWECEDAAEDMS >KN539033.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539033.1:118260:121157:-1 gene:KN539033.1_FG011 transcript:KN539033.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASELNLEMRTDGYVRVRDLLKLNLQTFAKIPLKSHTVDEIKEAVRRDNKQRFGLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSVCVHGTYRKNLESILHQALKRMARLHVHFSSGLPTDGGVISGMRQSVNILIYLDVSMALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFEK >KN539033.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539033.1:128829:143313:1 gene:KN539033.1_FG012 transcript:KN539033.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRASVREGDSHLPRRWPAKFTFADVLTAAAQGQPAERQLPRERETSHGVGAAESRVGPHPQVAKLDLSRFSARHGSPMGKRVAGCDPCPRGINPEDIFPKVSRASAADWIWVRKGTTLSPHLGFPATRAEVRRFGGSARRIWRVPERRRDHWSFAEVVMERRPPPQRADWPANKRRATEQGSGAWGNRATRDEEELRRHLLAQKGNQGGRDGWKPNQARPPQAGDRGGTSRDHGGDRGVAGEKFPKQISLHVGVNEEEKAPVRGILTVLQGEATLLRVITELKHLFMNLEWDWKVKQLNEKEFLINFPSDEVRSKISTCKSFDFDCFPIKASVVETGMTEEAVDELVAVWVKIYGIPNLARNEDDIKSMVELIGEFEVLDSDSVKKEGPVRVRVACKDPRELHFSIHIYINKVGYMIRWEPEGYLPYESGHLHPGDDGDDDKDGKDDSGNEDMNLDEGFEDQSTMRGRPQQRDSNQSGARGAPRSAPPDYKMKGTKCCVDRPSKKTCKKGKELVSENSSGESKAMVVWQPKLCEEIKQESQELILPLSGQLMHKETAEMDLSLNPNKEFSTNEEGYETCGIPTNSDIARQLEEEAEEETDSFKEVSYKKKSKKKEPAVSSRMSLRHRELATVPVSKRAELLTQKKNLESTGNSHSSNPFAVFQIIPYEELNDIAVAANISLGSSEVDIRTNIETIKAKELVQAKLAEASWQAELRKKEKEKDKEVTTLELDKEKDKEADSCTDGALCPVPSKYDKRIILSLVAAHLNLMTMMEQQLIDKLNYFFLIKHPNDTNQYAAGHEYLCGIYDTEEMSESEDV >KN539033.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539033.1:147268:147723:1 gene:KN539033.1_FG013 transcript:KN539033.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAGVPGGVVDRRSRLNRRRDEPRDEGGVKARWTRGVVGAAEGDPRWWRGRGVARQGKRDDGVAVEEDRRPRGGTPQAWRTWTPATACRRSGRAARRHRRRRHARLAGGDGVREIWRNRWRRLIAGSGGEVRFWEGEREVERDARDQAR >KN539033.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539033.1:82731:82994:1 gene:KN539033.1_FG014 transcript:KN539033.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAAPGYYTRFSWRTTSAGKQQKAAEKAPTRQQEGEKQQAGRSSPAERKPEARPRFAPEFDGINCFETIVPF >KN539033.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539033.1:35791:41110:-1 gene:KN539033.1_FG015 transcript:KN539033.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFEVRDLESLEGKYDIVVCLDVLIHYPREEAKQMIRHLASLAEKRVLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHSERDIEDALRDAGWRVANRGFISTQFYFAKLFEAVPIAAASHIIPLDEFGFSHSGVLELNVSGIAFDPPASSELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQADLVKLAYSFDRLRPPSNPAGVEVARSSSFSTAFPVSEPGQYTLVFANCLGGGLKVSMDVRSAMYNVDPPTGERSYLSAGATALPTIFGFFGVAYAALAAGWIAILLRKRAAVFRIHYFMLAVLVLKAVNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLVVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQVLLLVDVICCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALMTITSYRYQWTSDVAKELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >KN541064.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541064.1:8938:13819:1 gene:KN541064.1_FG004 transcript:KN541064.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANNSCPWYVQIAVGPSHTRLRMELDKKFKVLCLHGFRTSGSFLKKQISKWNPSIFQQFDMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKDFTEYTNLDECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSIAGSKFRDPSICNVAYKDPIKVKSVHFIGEKDWLKVPSEELAAAFEDPVIIRHPQGHTVPRLDEASVKQLSEWSSSILEDIKNADDVAKASNVEKPSEGNTGAQSGENLVEQIAA >KN539033.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539033.1:110858:114498:1 gene:KN539033.1_FG016 transcript:KN539033.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVQGCGMTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNITAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVRN >KN541064.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541064.1:32085:35214:-1 gene:KN541064.1_FG005 transcript:KN541064.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MEEDDGEETLRSAELPALVQEIHRIDAIRLYAEAALQLEAFVGNLEDATFSIVRQASKLNLSSVFRPASNEMQWKQEKLLQAVDAMRDIELELIRISTNRPQWTNLVMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLVLMNEANKEKYSQSFLALCALQHAQANREARQCQTKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQAADDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGQQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQGHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >KN539033.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539033.1:45675:48444:-1 gene:KN539033.1_FG017 transcript:KN539033.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATTACVWQEKAAAMGAGAGDVGGVETEDVVFVHGFISSSVFWTETVFPAFSEAAKGRYRMFAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRKFHVVAHSLGSVLALALAVKYPDAVQSLTLLAPAPPHLGSPLQALHPQQPSRVCSNGRLDGERTLKLISFVVRIMKNCR >KN539443.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539443.1:34010:34492:-1 gene:KN539443.1_FG001 transcript:KN539443.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALELHPLPPDAAGLPSTVLVSARPTPLMASASPPSLGSSPRLTSDPSTRVMNSASSPLPWGSVGPESPNLSFARSTSLPASVPPSSSVAMSSSAAPSSSFRPIRESLRARLYAVLSATEFELIADLTQRTPSPPDDEVEHAEVPAYGGGEPGDLLLVIL >KN539443.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539443.1:84198:91366:1 gene:KN539443.1_FG002 transcript:KN539443.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGQREEEATTWGRRMKRDGKLAFLTNVREPGTLVGAKSRGELPVRFLQVTIPTFSAVLAMVCVKKLMDAMRRVEQDLCDRLIGNQCPLEYAEEIAKEADQYNGFNLVLADVQSGNMAYISNRPEGDPVVQKVLPGFHVLSNAAIDCPWPKMLRLGQSFNRYLATQDGAEFSLQQMVEELMMDPVKADRSAVPDTGVDPDWEYQLSSIFIDTEKGKARYGTRSMIALAVKFNGEVTFYERFKAAGKRKKAGGAGKPKRGLTPFFAFLAEFRPQYMEKHPNTKGVAAVTKAAGEKWRAMSDEEKAQYGGKKPDGESKPAAASKKKESTSSKKAKTDGAEQEGEGSDKSKSDVEDDENDGSGEDE >KN539443.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539443.1:16715:19597:-1 gene:KN539443.1_FG003 transcript:KN539443.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASGFIWFQFVLLMFDLFLVLFPPSYFSEQLNKPSELRRNVVGTVDFAVPSGGSKLGEELWASKLASNFFGCSNATKAFADAKAVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPNLDQKSFWKDASDFADIFDVESFISSLSSDVKIIRQVPDRNGKTPYPYKMRIPRKCTAKCYENRVLPALLKKHVVQLTKFDYRVSNRLETNLQKLRCRVNYHALQFTDPILKMGEMLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGAIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHIYVASGEVYGGEETLAPLKALFPNFHSKETLASKEELAPFLSFSSRMAALDYIRKLNFRRYFGHKRTIRPNAKKLYSLFLNRTSMSWETFSSKVQTFQKGFMGEPNEIKPGRGEFHEHPMDCICAKTKGRSGHSKPHLSNRIGEAMEKRANDGEFDWRDLDYGENTPLGRDSSNETDSDMHELEDMVSD >KN539443.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539443.1:34952:39677:-1 gene:KN539443.1_FG004 transcript:KN539443.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASENRRLAAVGKPVPGIGEMGNRRPLRDINNLVGAPPHPSAIAKKPMLEKSGKEEQKAALVVSHRPMTRNFAASLTRKEQLDHQVSVADAAVVCTDPQKNPIPYGTVDDDVESCESNDDIAVDECNDTDEDESMMDIDSADSGNPLAATEYVEELYKFYRENEEMSCVQPDYMSSQGDINEKMRAILIDWLIEVHHKFELMDETLFLTVNIVDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQLSLVEYQMLKYRPSLLAAAAVYTAKCALTRCQQWTKTCELHSRYTGEQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGHSAYAWITDDDPYFSENISCSTENDQSCSTEDDLSSSSEDKYVIILMEHCLRTLRDDLPFGPREINTEESWMLFEEITRAVQCIHHEGIVHRDLKPGNIFFGSNGLVKIADFGHACWATNKIDELKGTPDRGTPMYSAPELKEGQHVTEKADIFSIGVIFFKLFYPFKTGHEKRDVLTNLRKDIHPADWKWSGDSVLLKKLTALIPSNRPSTDEILGPMIANKIESDLPMVFFERPLPRDESEAAGIHHGAF >KN539443.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539443.1:73393:76358:-1 gene:KN539443.1_FG005 transcript:KN539443.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKLRAATRNFKPDSVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNHESVQGLQEWQSEVNFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLRLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATEVECDVPMNRELLVDEIVGDEYSIRDIRTIGGVTKF >KN539443.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539443.1:10468:13535:1 gene:KN539443.1_FG006 transcript:KN539443.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPRSPPRESSWAFLNVFANYEPYDNYYYDSTAAAASAAAYTPSRSSREVREEEGIPELEEDEDDCVFKEVASGGYSVGSGGHRSRRSSIGSLSSVAEQENAVIDNDVVASTSEIYRRPLAHRNVAMRAPAQAKQRVAGNGGNVDVAGEIKAQLVRAAEATRELAPLLEVGKPSYQESSHASSRLMSSIPVPNLGCKGVDLVDIRGGGVMVDSKSLSLTLEKLYFWERKLYGEVKAEEKMRLLLAKNSKRLKLLDQRGAEAHKIDATRNLLRKLSTKIKIAVRVIAKVSRKINKVRDEELGPQVNALIQGFIKMWQYKLHSYHTQFQVISEAKNLVSVVSRENGPDLAMELELELIKWIINFSSWVNAHRNFVRALNGWLALCLNYETGETTYGEPPYSPGRIGAPLVFIICNRWSQAMDQISEKDVVNAMKALVSSVQHLWEQQNQEEGEERILAIRERERWMKMLEKKTLEVKREADELNKKLALVLRRQSLHQRPTMQTYEAHCVEASSVHINLRLVLQALENFSANSLQAFQEILRQSDS >KN539443.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539443.1:92400:100838:-1 gene:KN539443.1_FG007 transcript:KN539443.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRKLVEKASKKLYMLPLNVVMLSLIVHVHVHGPRDPNVTDVNGQIKLFGRDNTQALLQSPSPVPSKFLRIWDIDTKKLCYVHPFEKEITAFSVLQNSFYIYVGDNFGNVSLLKLDLGQTCLVDMPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLTSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQIAFLMGFDQIASYFDDGQDYFSSLATKYPWFLSMKDKHQTSTGSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIFILEEETGKLLNTNPVQTNKPSRALLMQTLGELYGLVYPSIFLFNIYLSIVLYAQNYVSSEIGLLLVFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDAKASKGKQNDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDSTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >KN539443.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539443.1:42903:57810:-1 gene:KN539443.1_FG008 transcript:KN539443.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFLVPSTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLCIFLFGAFLHRTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTSEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTTDAITIVISYIVMFAYISFTLGDRPSHLLSLFVSSKCNRSEINSNHNGSNPVSSIGSAASESQQSLLNASIVHSKVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQCFLRSDLHNGRPSTTQFKEKLPWFLDSLPSSDCSKGGKGAYSTSLDLNGYENGIIQASAFRTYHTPLNKQSDYVNSMKAARDFSSKMSKELQFVGFDNHFNSAGHDSTGFDEPINLMKAPYIALVALLTYVYWFPVYAKLGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMIGIGNRESRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVFTSEPTVLSTVFGLELSLKDLFVYPNDRLF >KN539443.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539443.1:27125:29908:-1 gene:KN539443.1_FG009 transcript:KN539443.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFVLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDNKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAVNSWGGGDLASDGYEIRGRRWAVKN >KN539443.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539443.1:5532:5861:-1 gene:KN539443.1_FG010 transcript:KN539443.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEVSKVLARGRMAMAAEVSKVLERGRMAMAEVSKVLARGRMAIATAIGKISTQPCKEITLQIELTRTASEGRIGGDHFLHLFELAFFTSGHVSLSLRWPGSDTCHV >KN539443.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539443.1:80723:82893:-1 gene:KN539443.1_FG011 transcript:KN539443.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAVSTLSALAVFASTLDHGAVRSVHGYKVYGRGGRRRWERNPRLMCVPSLYNDLEKVFRFQNVEKIPKLMQCDSEEKLSSWDARDKSSDEVHASESDDSDDDLQSGEEEKSTVQKQRRANKKHIASITLVDIAQYFHLPIREASRTLKIGVSILKRKCRQYNIPRWPHRKIKSLDSLIQDLEYVIDDGDDHDDTGDDVQQEKHKQTAEEKQEAIMALTRRKQMLETEKETIQQIPAMDLKVETKQFREDVFKRRYRAKKDLAND >KN539443.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539443.1:104398:105776:1 gene:KN539443.1_FG012 transcript:KN539443.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNLANKVAIVAVPFPAQGHLNQVLHLSLQLASSSHGLTVHYAAPAPQLRQARARVHGWDDMALLSVHFHDLGISMYVSPPPDPTADTPFPSHLMPLWEAYTADARAPLSALLGELSASYRRVVVICDIMNSFAVKEAARLPNGEAFEFMDYEQQRARAAQSISSCAGILANACRALEGEFIDVFAERLDASSKKLFAIGPLNPLLDTGAMKQGRRRHECLDWLDRQPPESVLYVSFGTTSSLRVEQVAELAAALRGSKQRFVWVLRDADRGNIFAGSGESESRYAKLLSEFSKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGFLVRPWEKHGEVVPATTIQAAIEKMMASEEGLAVRQRAKALGDAVRSSRNDLEDFVDHITR >KN539443.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539443.1:31068:33031:1 gene:KN539443.1_FG013 transcript:KN539443.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPASTPSGSGEGFSKPPSTDPCSSGHWSDLPVDLLHRILHSLELPEALAVANVCKSWCCAAIAAGVPRSCTPWLMSWSDKPPHELEYDALLNNCKWQCPMGCEFRDLVNGHKTYEVNLPQVAWVGASHGWLAAADNLSNLLLYNPFTFTTIPLPPITDLGCVEAVYDSEGSIVRYHLGKHKESDAKFLGVWFYQKLVLSCAPSLGGDYIAMIIHSSANRVSFASAIEGRWRLASTITQGSGDRYADCVYHKGRFYTLTMYGVLEMLDLDNPCEPRKEIFFTERNTENPLVFLRFLVSTPWGDLLQIKFSRRVHRTKRLELRLCKIEVENNRLVRLRSTVAAAALREHAIFVGQNHSVCLPIRDFPELRSSCVYFTTPCLCNDDHFPSRQEGWRGVGIYDLQNQIFEDVFPSCERGYSTYLPISEVWITPGPGL >KN539811.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539811.1:8292:16925:1 gene:KN539811.1_FG001 transcript:KN539811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKENVRGCGACAVVVSKYDAAPDEVTSFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHPVQRRLAGFHASQCGFCTPGMCVSIFSALANADRAASAAPPLPSPGFSRLTAADAERAVSGNLCRCTGYRPILDACKSFAADVDLEDLGLNSFWKKGERADVTKLPAYSCTAAVAPFPEFLKSEIRSSGGAPAVAIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSNGIEIGAAVSISKAIEILRSDGGDAVVFRKIADHLGKVASPFVRNTATIGGNIIMAQRMSFPSDIATVLLAAGSTVTIHQQVASKSKTMCLTLEEFLKQPPCDSRTLLISISIPDWCSYDGITFETFRAAPRPFGNAVSYVNSAFLARSSLDAASGSHLIEDVRLAFGAFGSEHAIRVSKVEEFLKGKLVSAPVILEAVRLLKGIVSPAEGTTHPEYRVSLAVSYLFRFLSSLANSLDDKPENANNVPNGSCTTNGTTNGSAELTVDSFDLPIKSRQEMVFSDEYKPVGKPIKKVGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFRSSLASQKVITVITAKDIPTGGENVGSCFPMLGDEALFADPVAEFAGQNIGVVIAETQKYAYMAARQAVIEYNTENLKPPILTVEDAVRHNSYFQVPLFLEPKPIGDFNQAMSEADHKIIDGEVKLGSQYYFYMETQTALAIPDEDNCITIYCSAQMPEVTQDIVARCLSVPFHNVRIITRRVGGGFGGKAMKATHVATACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLKINAGISPEFSPAIPYAIVGALKKYNWGALAFDIKVCKTNLSSKSAMRAPGDAQGSFIAEAIVEHVASTLSVATNTIRRKNLHDLESLKVFFGDSAAGEASTSSYSLVAIFDRLASTPEYQRRAAMVEQFNGSSRWKKRGISCVPITYSVTLRPSPGKVSILNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLLDKVRVIQADTLSMIQGGWTGGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSLIAQASMASVKLTEHAYWTPDPTFTSYMNYGAATSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNGDGLVIHDGTWTYKIPTVDTIPKQFNVELINTARHHSRVLSSKDIKAVTKLTRLKFGRFSYSTRLPIKLLLLYELELAIPNTLATTVNEVELLLRVRVVVCSALK >KN539811.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539811.1:43330:48189:-1 gene:KN539811.1_FG002 transcript:KN539811.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWANQSYHQVLDTDSNDEMEVVLMLAWMIGPKEKKDSNIIPSHPRAIKSDNGEYNHVIIRLRVIGFIDDPNSILVRTELGVFMVDIESNEYEQLSRRINFATVYPYVSFYSTVDYYVYNSDDGEEDRDDDDYGDKTRAIYPIMQHNNEASGSQSPWWSDLWCDKDFHQDNDAPIPVISANVNVIGFVEEANAVLLHAAGRGVYVIDIETKDTQRVAACAYYNHVFAYTSFNTAAGKTVISDPTFPNQLNNRASGFKFLQSITNNYDIYRIIVIYTN >KN539811.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539811.1:37730:40192:1 gene:KN539811.1_FG003 transcript:KN539811.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSVLGSNGSFLRAFRAAHGGVPPLLGFFCNRRNLPCPFFTSTVDAGVVDLSPPAGKQRPFVHDVRHGRVLLDDGEDGQHLVWDPLARRRDIIPTPRCYFTNDDSCGAAIICGCDGLEHVVGAGVGGGDCHLAPYRVIVAFNDRPNYRSDEGNLECICTRVWSSETKEWSETPLDISEFMLFPTKDGKLGFTGVLGSHIIFFHMDIAGDALTTVRTWSIQNVIQVDHFLPPYINILRTRRSLASPWVVDYYVSDSDEGEEEHGVVDDDEPREILPTMQHDNEASGSHSPQWSESWSDEDFDQVKDALIPTVSENVNVIGFVTEANAVLLYAAGRGVYTIDVETKHTQRVAACANYSHVFPYTSFYTAAGKVVLSDPTLHDQLNRRGGIDAAGDDGQHTDGYLNIFFLMSFTRPFYGFN >KN539811.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539811.1:31303:33874:1 gene:KN539811.1_FG004 transcript:KN539811.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREDKELLVWDPLSRRKDFIPMPPGYFVGEGYGGGALICEADHDAGEDCHAAPYRVVFAYGDSDQSPTTMASVYSSRTNTWGPVATMDARVTFELKQPALLDYAVYWLVNGRTKIIEFEFDTNSLALFRTPVDLPDFVVFPMEDGQLGYAGMMGPIVRVFAIEDIYEDGDATSTKVTTLHLDAMRPSQSYQQVLDSDTDSDSDDEEEVVLLLAHQFGPKAKKAKKNSKIIPSHLPTIKSDNDEYNHVVIRPRVIGFIEDPNSILVRTELGVFMVDIESNEYEQLSQRIYFTTIYPYESFYTTVGKANFNDPVLIDHENNGEQGLQLEPLNDTILPDQENIGGGISASGDGDEQ >KN539811.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539811.1:52679:53382:-1 gene:KN539811.1_FG005 transcript:KN539811.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRDLKQSTVLDYAIYWLVEGRTQIIEFELDTNTLALLRTPLDLPDFLIFPMEDGRLGYAGMMGPIIKVFSIKDIYEDDSDSNDEMEVVLMLARKFGPKEKKDSNIIPSLPPAIKSDNDEYNHVMIRLRVIGFIDDPNLILVRTELGVFMFDIELNEYEQLSQRINFATVYPYQVQTLYVFHMVNS >KN540347.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540347.1:49594:50889:-1 gene:KN540347.1_FG001 transcript:KN540347.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELSLMYDILYTKAYVIHTSLGYIIRIMAPITIIASLLLFHFSGKGGHNRIDVMITYVLLGGALVLETRSLLRSLWSTWGLVFLCDTRWSWLRHVALCSGRWHRLRYTVLSFRRAIKIVFSRNSRRWSGRMGQYNMLHSCYHKITEATTSHHWFKTLNDLSTLVGFADWLDMQHCSSNLEIPDKVKTTLQDMHERFAPNDLNTMGLLRHNWGMLAMGEDMGSGTRPEQFKNLKRFHGVDFHESILIWHIATDLFLAKIGKEGPTVEAIRAMSNYMMFLFVDRPEMLPGLPHKWLYEMTKKNIIESCRASNGFTNEVKHGGQRSLRLKQTEQVAGKLLKIHIGEVKSGPKEPRLTYARIVADTLSKWKDDDPIDVLFDLWIDFLMYAANRCNRESHAKKLNAGGEFLTIVWLMIEHFQQLAKAKKEHGNE >KN541163.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541163.1:1240:4094:1 gene:KN541163.1_FG001 transcript:KN541163.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIATTTWQQFEIAELICKFDRNNRTKTLGSFQSCSSIEHLFRLVVALTAILGDDICDARVAIECSAYRCNPQLAIGARDEEISMVPEEPAVLVDNNNQEENSLQQLNLLADTSEWFKNMDDDDESPLWLNVLLRTAFWRKCDARA >KN543024.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543024.1:366:6504:-1 gene:KN543024.1_FG001 transcript:KN543024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQETNQLPLPDPEIATSLEAMTITPRKEIRVKLQQSPETASVQGFHNLLMKVGYPGGQQRQIPLEALRKSMAKAWQKVYLDISQKQPWSFGSEVFLLEWVSLDDKIKPMSTYTFKHLMVNVRIYGIPSSLKNVENVRLAAGVIGQISKAKSIDEDSLHKNDKFVSVRIRQHFSSPQHKNIQGQEKHLELACGAKQKHTLPRLSQNPLTLREPSTEKRSQSLGVHTIRVDTVHKEKEKGDQQFKEAAEKRAVSETQVHGSTVQKKLTFGVPQEHGFGGDHSIVSRNASKRSGSRASRWDQGGSFGGGVANPIPWGTAVVAFEGANQGDPPKSTENAHSDHRRQHPWNNLEKMHNAIVAQDVGVDERSNLEVGGSKSHLATGTRCYVDASWKEGKTGIGIFIHNPENHNTIVIKATSSMSGSPLLAEMNALMLAIQICHQLQIQSPVFLSDNIMVVDAIQKEDYMTDPGHWSLRPALSRIHNILQGRNIKIQWIPREMNKMADNLAKSARELSSQSQMAFDCSNISHICHNSGCPTRRGLLLTPKEDVTIAHALCF >AMDW01039876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039876.1:57:989:-1 gene:AMDW01039876.1_FG001 transcript:AMDW01039876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PMASKKFGRPISTCIKVLDMTGLKLSALNQMKILTAISTVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGCGRDELLKIMDHSSLPHFCQREGSGSSKNSSNDVNNCFSLDHPFHQELYHYIEEQALNQELIKQGSLHVNIPDQDPEDAKIVE >AMDW01039742.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039742.1:876:1082:1 gene:AMDW01039742.1_FG001 transcript:AMDW01039742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLLLAVSLCVASALSSPWAAASVNGNGNGKPLVTAITKDAATSLYTVPIKDGHPLVLDLAGALVWT >KN541994.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541994.1:2001:3152:1 gene:KN541994.1_FG001 transcript:KN541994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEPNITTPDAGHPSPPSRLLSKHRPRRGAAAPRASLHPPAPPHSQPNLNLCHCCGVRFPPAPPGAKRRPVRPLRSLWRVVLLCTECLSLVRSAAVCSYCLSLDNLPPEDSSVTCRCCNRCVHPYCIAGEHRAALIQPIDVENFICVDCCPTVKPGGKNGSASSVHMLQAVAREPRKGDIVAESKENAVRKAMEMKLAFKRAKEALVSAAGGRGSQRTVGGKPDLPDEELALQLHLAMNGSQRFSRAGNTSGGDSAEQCKGHKSVIGGKNFYGDQELCVTNMMDQLDDDEAGVEPLCRIRRPSRRRLDPSVTIVLALEYVDGKHVKESMKGKRKGHLVTKKQNDLVDRYKRKYSKRNSKKQTKNENPEFKDISGGKDKDDE >KN541994.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541994.1:6571:6756:1 gene:KN541994.1_FG002 transcript:KN541994.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYAEPGRCPASLPSSPSRTEPAEMAPPQIRTMLDLAQIQSQRTLRKERCHGHLTWESE >KN541696.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541696.1:10328:12156:-1 gene:KN541696.1_FG001 transcript:KN541696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNAFSGEFPANLTACVRLTTVYLQYNQLGGRIPALAINSNHLEGMIPPGIGSIAGLRNLTYASIAGNDKLCGGMPQLHLAPFPILDRLTCLANEYYGSVYRCALEDEGAGVTMAVKMFNLQMSGSSRSFEAECEALRRVRHRCLIKIITCCSSIDQQGQEFKALLFEFMPNGSLDGWIHPKSSNLTSNTLSLPQRLSIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEEKNAKVGDLGMSKILPNSTTKTLQNSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGVLLLEMFTGRSPTDDIFRDSMDLHKFIAASFLDRALDSCQTIWLHEEANDTDVTNASTKRRNIQQCLVAVLRLGISCSKQQPRDRVLLADAVSEIHAIRDKYLRSWMVGIELYVG >KN541696.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541696.1:19446:21585:1 gene:KN541696.1_FG002 transcript:KN541696.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVLSRVGNVKSLFRLAASCRRWLRRFTDRAFLRDLWGGHRAGDLLGFFFHRQRNSASTFGFLPAPPLRPLIPSSYDGEPLTARRGILLMRLSPSNDWSEATSHLLGLFNPITGEHHVLPHLKGPSNLGSFAVTSCAIIFSDDLAGKQPQPPSSGRFMFSQLLVTTKHKSIKTVYLHSYSATRSSWAAPAVFLDLRRFSLVGEGSSSSAVVHRGAAHWLCTDHVARATRDDYLYKLSVEVGGTATATATPRVSMTKLPVLDGGTPTPLLCVGGDGELTIVCVFIMHVRVWKQQRRGDVDGDGDDAAAWRRDVIWMPTEGIGGHDVQYPKSYMLEKAMDCLLPLRMDHSLDRPPVPYEMDLVEFFLLQLGGLCRGDLQDNQSIN >KN541696.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541696.1:12953:16272:-1 gene:KN541696.1_FG003 transcript:KN541696.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLMRRLGLPLLPVLLTIAAASSSEASDEASLLAFKAGLTGSNSSALASWNSSGASFCNWEGVTCSRRRPADAGGVAEPAIQQSRRHALPSHREPHLPAKAKPELQRPLRRDPHQHWSPPTPANLQWLNLNYNSSGNFPVLHELSLEANMLTGEFPHSLWNLSALRVIGVGLNMLQGSIPANIGDKFPAMRFFGLHENRFHGVIPSSLSNLSRLTDLYLANNNFTGFVPPTLGMLHSLMYLHIGTNQLEADNRKGWEFVTSLANCSQLQEFVLSNNFFGGQLPRSIVNLSMTLQMLDLENNSFSGTIPHDISNLIGLRLLDLGFNPISGVIPESIGKLTNLADLALYNTGLSGLIPSTIGNLTKLNRLLAFHTNLEGPIPATIGRLKNLFNLDLSINRLNGSIPREILELPSLAWILDLSYNSLSGHLPSEVGTLANLNQLILSGNQLSGQIPNSIGNCEVLEFLLLDNNSFGGDMPQSLTNLKGLNVLNLTVNKLSGRIPNAISKIGNLQYLCLAHNNFSGPIPAALQNLTLLKQLDVSFNNLQGEVPVKGVFRNLTFSSVVGNDYLCGGIPQLHLPPCPILDVSKNKNQHLKSLAIALPTTGAMLVLVSVIVLILLHNRKLKRRQNKQATSLVIEEQYQRVSYYALSRGSNDFSEANLLGKGRYGSVYRFTLDNEDALVAVKVFDLQQLGSSKSFEAECEALRRVRHRCLIKIITCCSSIDPQGQEFKALVLEFMPNGSLDGWIHPKSSKCSPSNTLSFSQRLDIVIDIFEAMDYLHNHCQPSIIHCDMKPSNILLAEDMSAKVGDFGISKILPKSTTKIHLNSKSSIGIRGSIGYIAPEYGEGSAASKLGDIYSLGIMLLEMFTGTSPTDDMFKNSLNLHELATAAFPDRALEIADQTIWLHETNYTDATDASMTRGIIQQSLVSLFGLGISCSKQQPRERMVLADTVSKIHAIRDEYFKSQMVRQRAIEH >AMDW01031006.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031006.1:37:312:1 gene:AMDW01031006.1_FG001 transcript:AMDW01031006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILGSCYSHPDYDKEKEPRGDNSGTPFADELVSAVEISFPSMCDTVGPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVQTCH >KN541396.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541396.1:123:3296:-1 gene:KN541396.1_FG001 transcript:KN541396.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTAYRAYLSYNEIIQEMLQQQQGESFDIFLFDLKMQTHRHSIERNTNSTALDNKASLLQEVKCASCCSYRDETERLIEIMRSRTPDLFNEYQRVPRSSTKGFEAIPFSDRWSTPAKQIDVRSPCGTEVFVPSNVLDVASSPIELAKAYMEAQTSASVQESQKRKFRALSHGVEVENSSSKVFPKIATDSPVCWPGSVVRNYPNYLTPQSNKGRTLPPTSSRTTYIGSVFPRSNKYTGSRDAYNNSSGKPQFSSPFPVGSKAIFEDKTAPLGAVLGAQPSTTYSKEAYGDTVGATTPLFAKKDSASKKNDGSALQGHHGEGTTESGSSLGIVSIVDNMPHSKSAALSVHPKSSKTAHKILQHLERTIPSPTAKPLELRWTSAKRTTSSVVTNIQHNGPATDSHRHSSINDSGSAQQEISDANK >KN541396.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541396.1:11871:17501:1 gene:KN541396.1_FG002 transcript:KN541396.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMCTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKYAVCLLTAYFFCEPFYQLPIEAHIDSVSCIDTFLIKPREKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKLKVGTPSGIFAATLLWDGHESDSALLVQLHASRAPGYASQGHIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTVRKPQRKSLPKLPSEQTVTEQDEILEVAGKIYKAGISLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALSDLKSS >AMDW01031455.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031455.1:86:390:1 gene:AMDW01031455.1_FG001 transcript:AMDW01031455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALAVVSAFCLLVASAAAARSLVDVIGGAFGGRKDYYMPDSHKAPPCAPTPPQG >AMDW01025627.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025627.1:14:243:-1 gene:AMDW01025627.1_FG001 transcript:AMDW01025627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPW >KN540225.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540225.1:39836:40279:-1 gene:KN540225.1_FG001 transcript:KN540225.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSTNRLSKTGGEGGQAKAKQAVEQAPNSKSIGVLSMHADGDLMMGKLSSYLGRAAESDLARGEELASLAIAEREKEGDLRRCDGVAEVKGNNRRGERVKGKRRFAWHGLLAVRAEWRTGSGTDDGATREVVDLWLCRWPKEIYV >KN540225.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540225.1:311:2297:-1 gene:KN540225.1_FG002 transcript:KN540225.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCRFSALWRLDLGLGPADNPADQLVALINSNRTASKASTLDDNQGLGCIALQYIKAYEGQCNQVGESKKPPETSFAETFAPNCGVQAATLTKITGRLLACQSNYATPDQAFNFLVNDAKSIQVLHSKNHTEVGAAVSGTSGGGPYFWCVLFSSGKPTTSFKVDGGVPKSVRPGCFSGNNDDCMGANAAVSIGAGCSSVVFIVFIYSNVLFGK >KN540225.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540225.1:19600:20247:-1 gene:KN540225.1_FG003 transcript:KN540225.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLLPVLLSTMILLSAVSTTTTALTQDFCCRPAATVTAADFYSGALARPGILIRPFNTSLASAFVQQYPAVNGLGISASRVDILPGGVVPLHTHPAGSELLYVLDGALVAGFVSSSDNKVYYKEVSKGGMFVFPQGLLHFQYNTGDTTAVAFAAYSSSNPGLQILDYALFGNNLPTSYVVKGTFLAEAEVRRLKDKFGGSG >KN540036.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540036.1:57708:59545:1 gene:KN540036.1_FG001 transcript:KN540036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKCSMSGPNSATNRPVVESSTAAPERVFPAITESVVPSITSAAMETSFPFNETKKAETDMDKAVENSDKTIQDLCTKINRMLEAFRDTKVDLPWSKDSTRDVAAFSANTDPTSIALEVSAEASSTNHVDTAKLGMGTTIECSMKCENQLADDDDDDGKDMANEEWMELMEVDTKFTTMYLYFRDPLLVLNAIPSRNLSWCLSRDFGVVGLSFVPSKLEVLYHCFVLGSVCRASSPPVPPWRVAVPWYNDQDFSGSRPLPWPDPWLHSGSGSVAIFQSLQPLPSTFRANCKGDQMELQSQPWPDPRQVTRLSSSEQKKHEESGNVEWMILDPNTAIDFGIHGSVSFVSLLDDNNGISRPSWGDQLGLAANSFSEHPPGRKLIKDSEICFICFVGKDCIFLQQWVNLVIASLSDGQCAAIKSLATWELTLYTSIKKSIYHHCERMQVWKSLDLELLLMLVTNTKQFTGFYFVPFERSQRSLNQMFLLSDGGNSIEENKDEVFGCTVHALSFLCAKFILAKDGWKGTDLLSNKSAQVGKFTSIWYP >KN540225.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540225.1:11429:15698:1 gene:KN540225.1_FG004 transcript:KN540225.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) UniProtKB/Swiss-Prot;Acc:Q9FUP0] MVAGFGGKEFRVTGAKGVATSMVDLSKAATRRIARMANSTRGRGQGRGGDGGGGRWVVLAPMTRCRAIGGVPGPALAEYYAQRTTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQTDAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISARWRILMPDGSYGKYPKPRRLATSEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRISPAIDHLDAYDSDPIKLGMAVVERLNALQQQSGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRTLRGTYQGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >KN540225.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540225.1:55535:58048:-1 gene:KN540225.1_FG005 transcript:KN540225.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEVSNVAQLEVVTGDGECHVCSRSADPDLFFAVLGGLGQFGVITRARIPLSPAPQTVRWTRVVYASFADYAADAEWLVTRPPHEAFDYVEGFAFVRSDDPVNGWPTVPIPDGAHFDASLLPANAGPVLYCLEVALYQPGGGDGGGDDMDKRVGEMMRQLKYVRGLQFAAGVGYVDFLSRVNRVEDEARRNGSWAAPHPWLNLFISSRDIAAFDRAVLNGMLADGVDGPMLIYPMLKSKWDPATSVALPEGEIFYLVALLRFCRPYPGGGPPVDELVAQNNAIIDACRSNGYDYKTYFPSYHAQSDWSRHFGAKWSRFVDRKARYDPLAILAPGQNIFARTPSSVAAAAAVIV >KN540225.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540225.1:8345:9265:-1 gene:KN540225.1_FG006 transcript:KN540225.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVLDAPLPPPICRPASCHAASSPPSLPSVATTTATSPPALSMDEFSEKFFEEYGCDLTELLFTEEEVRVLMLEYEAEKAYLLSHGEVKETAPAEHDAQPVPAVRAVAPPRVDADPLLPGERPAADQDVIAMQEDDSFDARRAPTWPTLALLLRVRCQVAKNHGP >KN540225.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540225.1:31752:32393:-1 gene:KN540225.1_FG007 transcript:KN540225.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 3 member 3 [Source:Projected from Arabidopsis thaliana (AT5G20630) UniProtKB/Swiss-Prot;Acc:P94072] MAKAVMMLPVLVSFLLLPFTSVALTQDFCVADLTCSDTPAGYPCKASVGAGDFAYHGLAAAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTQGTVAAGFITSSSNTVYTRTLYAGDIMVFPQGLLHYQYNAGQSAAVALVAFSGPNPGLQITDYALFANNLPSAIVEKVTFLDDAQVKKLKSVLGGSG >KN540036.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540036.1:7685:13455:-1 gene:KN540036.1_FG002 transcript:KN540036.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRASTQLVRVSRAKPTAAAQRGEKATQTPAPDRASSYRTPPTTTLAPVPRLILLLFHLSLLILYLSIVQGAKDAGLEKAARSASQSKVENGSPSEIILDDFEDLSPLSENDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRCLTRLDLLTIILNILRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSGNGWTRVIVEKPFGRDSDSSSALTRGLKQYLVEDQIFRWIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLTTEQKA >KN540036.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540036.1:42520:47504:-1 gene:KN540036.1_FG003 transcript:KN540036.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQIAAEAAAGVGCGGGAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVKELWKAHMRHQDPTETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMSEFQLPYKALPHSEFEHNGASLLAVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTTNGSSRITLRIHVKRLSLQHLVLVITMVALIDILGIISFYHAINRVVKHLFVLCEDNLRAALNKMGISGHPLEEIIDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFGESQKVLKAKICVNCVIMPKNSTQNFCIQGGVVNTSSVKLRCNVSVIENLFDKTIALPDMQFH >KN540036.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540036.1:34491:37766:1 gene:KN540036.1_FG004 transcript:KN540036.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEMVKHFLPSRRQLQLLKGYFSTGINYFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDIDSNSEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTALFILKHLYRDIPEETPTDDPERMPIRLFYATGIVEAVEDLLRC >KN540036.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540036.1:15563:16129:1 gene:KN540036.1_FG005 transcript:KN540036.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEVGAHDQSQGGDGYDGGGLELACPRRALAIGGKARVPSADLARRGDRDDPGGGLDLTPENEVSCRRFRPPCYCRLGLCVEKPGRVTTATPVQPKGRMQMDLAPLCQIRPESGHAGRWGSAKMVWWLGGARLSSPTTTTRWPTTSKMSVASDIDDKEGHPHAGCLVASCLATRLLTAWSIGGGVV >KN540036.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540036.1:53291:53452:-1 gene:KN540036.1_FG006 transcript:KN540036.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAQAAVVLATVRGMVLQRKAPRPGTTFTGGRRWLLRGSWYSGKLSSRLS >AMDW01031010.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031010.1:198:407:-1 gene:AMDW01031010.1_FG001 transcript:AMDW01031010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAARKIAGTEVPIPGSDRVRWIELTVPSTPSPSPEGDSDPFVLVPPRAASGFHVVSSGDSQCYLA >KN539514.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539514.1:97163:99813:1 gene:KN539514.1_FG001 transcript:KN539514.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQFGGTLAPKLGEKPQLLLRSPALTRVIYADPRFLVSKSGSGGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >KN541101.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541101.1:6736:9629:1 gene:KN541101.1_FG001 transcript:KN541101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGEEKSRVAAAGLQKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPPKYKAYQYVLWVVAFVLLLVGFVVSLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >KN539514.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539514.1:86862:87461:1 gene:KN539514.1_FG002 transcript:KN539514.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRKICAAKFRCPKWCSPELRSLIGRMLDPEPDTRIKIGEIFDHPWLQQDGSSSSFGMIQAASSHSKPEVEKWEAELEQAMELNAFDIIGFASGCDLSGLIGPLPDRVRFVVPGADSRSVLDRVEKLGREEGLVVRRKEEEWCGGVHVEATSGKFTAYVRVNLLPKKMLMIEAERAIGSEIPQFWHQLQIGNLFVRK >KN539514.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539514.1:45154:53388:1 gene:KN539514.1_FG003 transcript:KN539514.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGVAANLVVYLTTELREETVSSVRNVNNWTGSVWMTPIAGAYIADAFLGRFWTFTVSSLIYLTALHPQCTPDGACAPATRSQVAFFYAALYTMAIGAGGTKPNISTFGADQFDDFDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENYILIGIGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFLNSLLVTAVDRATRGGGAGKSWIGDNLNDSHLDYYYAFLLLLAVINLAVFVWVATRYEYKKEYLSDGGDVVAGMASRETEMAAGGKGKVVERSKVIDAPLVVVEEVRAV >KN539514.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539514.1:12449:14992:1 gene:KN539514.1_FG004 transcript:KN539514.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEERAIPKITQALEGVEGVSDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFNEDAATVAGEDDDQATE >KN541101.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541101.1:20364:31617:1 gene:KN541101.1_FG002 transcript:KN541101.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEALAVRKLEVVRFSQAVNSWFVHSSYHPEHGGDLNTAINAHFNEGDSTVNRASQNNIPESHDDMMDLDGPLDNAFRRSLFPETLRDPFALMDPNFQQNYFDRVGSTDTFGPQVSHPREVREIPIEVKDSNPQTGPSGQAPIIEDVTGHESSYGPEVRGAIVIDDDDDEQPSAPSLHANIDSSLQPNPSIPTAPPLVHVTDYDNDIEEEMIRAAIEASKRDAEAMTITAEQGITQPPEGVNITEHSFDEEDKGTASGTAGRQGLATEKVGSSRQPIDEDSLQEETEDVEEQPLVRRRSRRIPSGNTESAQPVYTVDSPPSSSQPQGNLNDRQNNGDEFPSEVFFFCNTNLHPKVKLYVIHCLPLPLEKWGGISSEEHDEAVMLEAAMFGGIPEGPTYPFSMPSHRSPSLYPRVEHAPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEEEERRKKQLEEEELESSLASKQASLPSEPAADEEGAVTLVVRMPDGSRQGRRFLKSHKLQFLFDFLDIGRTYKPGTYRLVRSYPRRAFTTEQQLRAGKDVNLGDTDSNRGTTAAAKSQRDGSSMATPNTYSVHISSETHRIEAWLASDEALARQLQEEENAHDAIATREFAGNASLEPSSPAVEYRPPNNAAQVATEDNVDPDNMSYEQLQALGEAVGNQSRGLSDDLICYLVPFKNKCSFFSRKKNDEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVDEADIS >KN539514.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539514.1:40412:42202:-1 gene:KN539514.1_FG005 transcript:KN539514.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERQPRRVLPQLGASMIPPINGSVLPVGNLPVPSNYGVLFILLIDDILIHFTENKAVLILLTFWLERDQMKGRVLIRAKFKDNDPLGSGGGGPQSNPDGDNADANDLDEVEEAWGQDHPMGQVMEVNVDERLALVPIVDNNTQIQQPAHEPQEGSQAHAEMEIVDAVPLDIQPPSSLVCVAPPVLKLPKAHVKKRDGKTSFFNPYRRQSSRLSNEQRRSEGGSQDGDR >KN539514.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539514.1:94433:94585:1 gene:KN539514.1_FG006 transcript:KN539514.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRGRWIRRKGRLEGGGEPSGRRIQLQGRLEGAKADPVAGEARGREGG >KN539514.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539514.1:30655:31255:1 gene:KN539514.1_FG007 transcript:KN539514.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISDASTYIKDLKQKIAALNKELGCAKNTNICEEPSPVVRVQVLDKGFLINVFMDKSSPGLLASILQAFDELGLTVIEARASCSNSFRLEAVGGEHEEADGGIDANAVELAVMQAIKSTPGK >KN539514.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539514.1:76733:80532:1 gene:KN539514.1_FG008 transcript:KN539514.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRVVFMFIIAVMLLGDTSLAFDNAVGEKISNMETSLTMKVDPQLCQICEEFATEALFYLNENETQVEIIAILHQACSKFPSFKLECTKLVDYYVSLFFTKVTSLSPEEFCESVSLCHKVTFIRLPRHEDSCDLCHEVVDEILTDLENPDVELKIIEVLLKGCNNAENFVQKCKKLIIQNAPIILEHIKKFLKKRDFCNSIHVCGGKIIPARAGDLGALSAA >KN541101.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541101.1:12068:18089:1 gene:KN541101.1_FG003 transcript:KN541101.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSHPLLLLLLLALLAAAVNNFAPADGVEILAKSRLESCARDGSDDGRDRLTCDSKIVVDLAVPSGSVIALDSLSFPQSSRHATVRFANVCGVGDQSGGEASLVARVAEVEENGTEAGEIPIRDPLVITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGAKVVKSCERLRDENGSIIEHTEPVCCPCGPHRRVPSSCGNIFKKVAKGKANTAHCLRYPDDWFHVFDIGRRSLWFSIRVQLKKGSSESEVIVGPENRTVVSEDSSLRVNLVGEFAGYTSLPSLENFYLVTPRKGAGGGQPQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGIHTFSVGIPEVLNTNLLIELSADDIEYVYQRSSGKIISINTSSFEALSQVGSARVKTKNIGKLEASYSLTFDCLSGINPVEEQYFIMKPDEELIRSFDLRSSTDQASNYTCQAILKASDFSELDRKESRFSTTATVLNNGTQVEKYSCLIIAHLEFIVLLLLLKCNEIGSSENRAKGGIRGFFEAIKAWCAKMWHMLINFFTGTTCRSFSCGSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYDWWEDVLGSEAQGRARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDGDDPVAAAAEHAIIRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVAAAAALLPPGEIVFRDGGGGGVEHGDRRRHHV >KN540705.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540705.1:543:819:-1 gene:KN540705.1_FG001 transcript:KN540705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRCSPHIPRLLFLHELESESFDSAVTTLEWVVLGYATGMEVIMVLLLTLPVLDRLPVRDDLRGAERAEADDVDGALLALPADGHLLEVR >KN540705.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540705.1:40326:40625:-1 gene:KN540705.1_FG002 transcript:KN540705.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEECELMLLVQVTTFACCSFVIGFRFSRAVAHDPKWRNPWLPSATSPAARMDSPVSSCGAAPLPPLSSAASPTHTDAIDISADYKSQYTHGGRRQVLGV >KN540705.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540705.1:33887:39302:1 gene:KN540705.1_FG003 transcript:KN540705.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEQELIRAGAENDALSRSLEEREHLLMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDEIKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDKKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSSHSPQNNAGKVLDGIRNILRDIEEEAESVNAKKNQPDNMNGVADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSINETESNNLDCVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCSMEEYEQLKLEKRKLEMELAKCNETIECTKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYNSLELHKLKLENEIEVLHRQINTLRTELAHERQNHQDDLAKYRDLKEKIERYENEKNTSCVDEDAGVKMKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHAFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >KN540705.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540705.1:5626:8910:-1 gene:KN540705.1_FG004 transcript:KN540705.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTNVGSRRTLGTKMIGLLMACVGAGDRQKRKGDASVRRNIVSKMTPWVEQCSRATFDIVNESRPYDRRTYALYMRWYIAQTRVRLVKIGDPDIPEIADVDTLYPMQSAPATHLTSDIAEELYSDTTSLWEKLPDNIAGSLEEMMSTLDRMRQKCKRIMRGASCRHASEVHRPTGHMFADPLPEQPSTSSRPSTSARPSASTRPGPSARPVAPSTINRPDNAGVP >KN541594.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541594.1:2775:8296:1 gene:KN541594.1_FG001 transcript:KN541594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLEYGVLNKNWMGDPCYPTQYAWEGVKCKNSNENISRIISIDLSNSNLHGVISSNFSLLTALECLYESNGDMCNKTTSLTRSKNRAAILAISVVAPMLVVTALFVGYLMWKAKRKPNTSAYNPPRVPEPMNAPVQSLSKVHHKNLVSLVGYCSEKAHLALVYEYMSGGTLFDHLRDKTGVGESLNWASRVRILLEAAQGLDYLHTGCNRPIIHRDVKTSNILLGQNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITESCDIYSFGVVLLEVVTGERPIIQGHGHIIQRVKMKVVAGDISSIADARLRGDYDVNSIWKVVEIAMLCTEPVAAQRPTMASVVAELKDSLVPDPPPHHAVAMSPTFGPSAR >AMDW01032353.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032353.1:71:331:-1 gene:AMDW01032353.1_FG001 transcript:AMDW01032353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQK >KN539064.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539064.1:85097:85470:1 gene:KN539064.1_FG001 transcript:KN539064.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIVCLVILSLTVDSANAAQCDCCISARAKACCYGCIVAGGSDTICKNTCCFPCTLTESDIYPAT >KN541594.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541594.1:16753:22828:1 gene:KN541594.1_FG002 transcript:KN541594.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRSWMYKRVVNGYISDEYLKGVKRFMSHAVSKLQGQAEKRVRCPCTKCKNGQLHDKRIVQMHLCNKGFTENYHVWSRHGESGADTSETPEGSIDNEMNEGEVDKYVLKFDREMKQQNRNITTTQLQEMHEKDFPTWLRELAGKDETVEEQVRALSIGPRYMLSIMSRRHRHYPDPEVEDHFEDSTQDEPSDSLVTSTKESKGGRGPNVLMAPVPEKDRAVITPLNKDAWITNPMKKNVSSTITCLIKAHYPGTYRPLDKHGKQVPEDEAVVIAHYHNFPAHTRITILKEFLLRFKFADGREEDCARLFYRKAVERFSQALSHEKSEAKRSLEKHIENMRATELQQDGDAPSHDDFDVDDPQLWKAFPPYWIEQKWWDMLCDLWSDENVKKVSAQNSKNQMEGGGVHHTCGSRSVAMHKQAMIIENAGTDVDDIDVFERTHRHAKGKGQYANKKAEQLAVVYNDRVKEGENNQVDKQHVWVQLTKGRKRGRYYGLPGIIDRNHVGNSASAPSGSMETQPLYTQQQVQDIVQQAVTNAVHNAHQELASRIERLEQTVDKDKGETHSHDANGPSSSVVPEFLHALLMNGMNTTEEAPQAGHCGRTCENDDLWRF >KN539064.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539064.1:48595:49874:1 gene:KN539064.1_FG002 transcript:KN539064.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRQGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEERTRKYEND >KN539064.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539064.1:113396:113881:1 gene:KN539064.1_FG003 transcript:KN539064.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNLNPVVGDASLLLSNWADSSVSAARACGAGQHYAGPPFLFTKAVLAASGVEEVIGDEEEEAVAGSEMMAHRAAASVVRGVQELVGEEKWPLFATLSLVLCCFGIVAATAQGSSLRGEADGSGTPSSPSPSSVGHHLPRFHLASRREGLGEGGAASSG >KN539064.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539064.1:138905:153379:-1 gene:KN539064.1_FG004 transcript:KN539064.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKVVSFVGADELGVSLAASFVRSGAIVRCFVAPGVRFRFLVFFSSPEVEERRAHGDGSATALSELGGVGCASPAEAARDAELVIVLSDTDGVDELFFGPEGIVKGLCSGAVVLIRSTMLPSHLEKLNQKLADEKKNALLDGYIFSGLSDELKQKIVVVASGRHDVTERTGQFFSGLDTAVYFVEGEFGSSSKIKLVNDLLESIHFIASIEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEIVPKLLREDSLLIDYLESSKTNAGYVMDMAKAVTFPLPLVAVSYQQLIHGCSSANGDASVSPLKVWEQSFGVNIIDAASQQIYDASKLADQLVMACKTAKTIGFIGLGAMGFGMASHLLKSGFSVIAYDVYKPTLARFTDLGGLTKDSPEEVSKDVEILVIMVANEVQAESVLYGNAGAVSVMAAGTSIILSSTVSPGFVIKLKERLEAECRDIKLVDAPVSGGVKRAAEGTLTIIASGTDEALQCTGSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRLFEIIQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSHESSNARIPLHVSSIAHQLFLSGSASGWGRFDDAAVVKATLLVKDICRNLEAAAKSVPGVSYTVVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTKGRISENQVSTISVNLLRKEGPNAVCQHLCSLKKGSACIVNAASERDMAVFAAGMIQAELKGKRFLCRTAASFVSARIAIKPKPPIRPTDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCEQSLRIIEVSVEMISMKSAEDRDHEISRVIELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINYKVSSALVEIVRGIGSRPRYILAKGGITSSDLATEALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSAKELLLNAENGGYAIGAFNVYNLEGIDAVISAAEAEKSPAILQVHPSALKQGGVPLVSCCIAAAEHASVPITVHYDHGTSKSDLLQALEMGFDSIMVDGSHLPLGKNILYTRSISSLAHSKGMLVEAELGRLSGTEDGLTVEEYEARFTDAAQALEFIDETGIDSLAVCIGNVHGKYPPSGPNLRFDLLEDLRALTMKKGVSLVLHGASGLPHELVKECIALGVRKFNVNTEVRNSYLESLKRPEKDLIHVMASAKEAMKVVVAEKMRLFGSSGKA >KN539064.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539064.1:20009:21247:1 gene:KN539064.1_FG005 transcript:KN539064.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRPVYLVDYACFRTKPNCRVPFATFLEHAKLVTYVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRAEVEVVIFNAIDDLLAKTGISPAAIDILVVNCSLFAPIPSFTDMIINKYKMRSDIRNVHLSGMGCSAGLISVGLARNFLQVAPHGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRAKARFRLSRVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDSLKANITAIGPLVLPASEQLLFALSFIARRVLNRRGSVWYELAYIEAKGRMRPGDRVWMIGFGSGFKCNSAAWECISPARNADGPWADSICRYPVDIPEVLKH >KN539064.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539064.1:129542:137197:1 gene:KN539064.1_FG006 transcript:KN539064.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGDGTDGPSVSPPERVPTPSSSRYAGWRRLSSPGPLRCSTRSVGYEDGDDSERYFSPHSEFSQDTSDTDSVSTSISRMYTFRLGTSSPVDSPVRRLGLGDTSPSSRRSCHSPVYPLNSVHGSEDVDYSSFVDSPVCGDEQQNNTSIPIDFESNRLIWYPPPPQDEGDDFENGFFEYDDDDYDGNDVGDTNTFTRVNHDHGGEDDSLGIKGKHNIAHKEFLRNALHGHFRALVSQLLQGHGVDPVDVWSDIISSLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKASNKLASINSILEQEKEYLKIAVAKIEAQRPHVLLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENVTSVRLGQCQTFWIERVSESSSPKNANKKSAKTLMFFDGCPRRLGCTILLRGTSHEELRRVKLALQFALFAAYHLSLETSYLADEGATLPKIPSDLSALPLENHVDGGNCSSSYCLQDFNDFQIVGQKTSDNGCNMPANCLNDSENPLSADKSFLGPNLNQAEYIGVTNGIYPRSPRSSLDKGCIPPSDIIVQTSKSSPMGPRFHRVESDLDNGWQNTSDEEHAGLAVRDHNENHIEYFPTSDNPQSILVSLSIACPQRGVVCKQSQLFRIKFYGNFDKPLGRYFREDLFNQISCCESCKEPAESHVRCYTHRQGSLTISVRNLASVRLPGENDGKIWMWHRCLRCKPKDGIPPATQRVVMSDAARGLSFGKFLELSFSNHTTANRVASCGHSLQRDCLRFYGYGSMVAVFRYSPVDILSVNLPPAVLDFTYPMAQDWIIKDAADVASRKEYFYKEIFDKLDSIENIVSAQNMSMKTGLPKHVIDLKDLIKVEWKKYDVLSRFASTENLNTLELAIDILELNRLRRELIVDAHIWDRRLYMMQALTKENCHTVPTDVQCLDKIPESFVEKSKVEIPGTQEYLENSLEHTQSSSLTVAANSIKPLIRGDQIDTIVTHFGLKTDIKGEVPPQSAEDYSSSVVPGPSERPNDGILTDELEKTFERSRSSATNLSDRIDLAWTGSSQLANDPSKCSIEALPVVPAALVDDPSYQRVIAPIRINSFDSAINFKNRLSPVDGSDGIIRRAYSQKPPKALERTGRGLSPTFKTELSVPDIMHGEGRLLLQNAGDVVVPIYDDEPSSMIAHAMTVPDYHKFMLPLLDQQNDLGRFSVGNSLDQDSSSRSSLDVSTWSYGSDQPRTGNNDSKDIHLTVSFEDDDSISVDKAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTESVSSGSPTCLAKVLGLYQVAARNLRDGKELKLDVMVMENLFYKKKISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEESKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSRDVLPTIISPDQYKKRFRKAMSRYFLTVPDQWSS >KN539064.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539064.1:13866:14153:-1 gene:KN539064.1_FG007 transcript:KN539064.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARGIQVFLTNPASSETVKTKSNITHPVNDVGGMAAPVVHIIVEKKEAQHGTPSISIPRTSLSTFRGGRQVGPWTAEMKKLLTYSLHYPCRST >KN539064.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539064.1:73747:74346:-1 gene:KN539064.1_FG008 transcript:KN539064.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPRFPVPHAWPRRGVVGAEEAVRGYDYETNYFRQRLDHFSFLEEERVGFFQQRYLVGRGGGWAGASGPIFFYCSNEGGIAWFAANSGLVWEAAPRFAALDVFAEANLSLTLHQLVFFLGCALQDPILFTCSLQHRYYGESMPFGSKDKAYNNSNTWRISPPSKRLLIMLNCSLTSRRIVFRRQPDRALRGLVWWK >KN539064.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539064.1:75803:76309:-1 gene:KN539064.1_FG009 transcript:KN539064.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILCLIVDSANAAQCDCCISARAKSCCYGCIAVGGSDTVCKNTCCFPCTLTESVVAKMDEMGVLAKMEQGQA >AMDW01009605.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009605.1:5:220:1 gene:AMDW01009605.1_FG001 transcript:AMDW01009605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVKLNAAAAVVLSLLVVVAVHPAAADAGGDWYGKKSIEETVRKEVEKAIKHNPGVGAALVRLVFHDCWVN >AMDW01024590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024590.1:113:275:1 gene:AMDW01024590.1_FG001 transcript:AMDW01024590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEVNCADYRFVYMGPK >KN548455.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548455.1:76:572:1 gene:KN548455.1_FG001 transcript:KN548455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QFHRDMPPTPVFVYGQSLQTATFPGPTIVARHNVPLHVTWENHLPDAHILPRDPTVPTAIPKNGGVPTVVHLHGAAQAPDSDGHAFACTWKRKTYTYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPEIETPMNLPCGDHDLHL >AMDW01077811.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077811.1:138:260:-1 gene:AMDW01077811.1_FG001 transcript:AMDW01077811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNK >KN538681.1_FGP205 pep scaffold:O_longistaminata_v1.0:KN538681.1:201837:210303:1 gene:KN538681.1_FG205 transcript:KN538681.1_FGT205 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFEHPYLKIPENFLKFCFGGLVQSTRCPRAGKWKLAASPWAGPNFIEKIRHEYGLWAQNSPKRRMHLLPSFPLPQSGKTKRETEQIPRFFFFLPSKFLAFGGKAAAAAKKKKKKMASNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELKAKLAEHQKAIADHELAAQMEEPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKIAKSDFELVKLAQENSKLLSKIVQSPEKLQRALEEKKTARAELKNAEKIAMQSVQEKTATLEIYSKGYEKLSKHSSKIQALQEQAWTSAMLVTATKALEKEVKARKAKISDESVEIMALDTKTIEWDGKAHEMEERVKAKEKERDQIVADENQKLAALRSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKSFLEQVDEAGDSTAEELATATQVQDSRVINYMPIARGEKRSVEAVKVTDEMKAFKAYAKLRVERMNHRQKEENK >KN538681.1_FGP206 pep scaffold:O_longistaminata_v1.0:KN538681.1:293035:294667:1 gene:KN538681.1_FG206 transcript:KN538681.1_FGT206 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRMAMKWHPDKNPGDKKKEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASVDAGASSSMNGNRRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGNENKFRSYNDSAGTSSSQPRKPPAVETKLPCTLEELYAGSTRKMKISRNVVRPTGQIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYTREGNDLLVHQKIELVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIKFDIVFPKRLSSDQRQNIRKVLGGQTQQQ >KN538681.1_FGP207 pep scaffold:O_longistaminata_v1.0:KN538681.1:177529:182390:-1 gene:KN538681.1_FG207 transcript:KN538681.1_FGT207 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDVESVRLRSRVDGSVGRDLSTCLAAGRPCTSSLSSPTPQMRNDSHSRKINSFEQIRFVSSEQNKFRCSLNLQSFRVNYRRKMVDDRTVGVKRNLIVTVEDCLLSVLTFSSLTAVETADVSRKLQCMERILGFSEAEKQGIRPNGSTVISMAAVVYLADARVEDPILGAYGRILKLQQQLDRLKAERARQHHPATYEKVSKELACSLLRCIVLRYVLFVRKVYVFFDKLKGGELRAGGGRVAGGGGWREGRRRKRTRRKWSRTAYVRRS >KN538681.1_FGP208 pep scaffold:O_longistaminata_v1.0:KN538681.1:321910:323497:1 gene:KN538681.1_FG208 transcript:KN538681.1_FGT208 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLMRRLRLSSQAHPCRSALLPTHIARFRSDFLGPTTSCKSHPRLGDEHGRRLVQKSLDKKLTHEKCLSDISMLEDGVKIFLLLQKMRKRKEEISRRVARISTYKEKINKIPDKESFTLQMDYINFGSILYASVIVTAYILERKDELSRQKKL >KN538681.1_FGP209 pep scaffold:O_longistaminata_v1.0:KN538681.1:146106:147395:1 gene:KN538681.1_FG209 transcript:KN538681.1_FGT209 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAVADWSALPEDIIITVMGCLSVLGDLVRSGAVCSTWRNAYATFRRLHLPSTTAQPPWLLYACDAQGPAAAALYCPATGKSLRVPLPAALLDGRPVIGASQGWLVTVDEVPNLHLVNPLTGATAALPPITSLHNVERFTSRKGKTRYRVYDDMGYSEASIVYSPAKARERVYHQVVLSRSPAEGSACVALLLHRPDGDVSFARLGDERWTPVAYPGQDCSTGCRHAIYDDADGLFYTLRYDGSVYAIDVPRAAAASSPPATREVMRRVTNADNGSKYLVRVPCSGDLLQVWRFVNYDDGDEVEEDEDAEDLPLSTKHLQIFKVDGGEQKLVEASAASLEDHVLFLGHGFSACFPAEHFPALKPGCAYLADDHEQVSMRKHCRRDIGRWDMKRGQMERLSGEDDVAAPSQPWLNWPTPVWITPTFY >KN538681.1_FGP210 pep scaffold:O_longistaminata_v1.0:KN538681.1:229017:230264:-1 gene:KN538681.1_FG210 transcript:KN538681.1_FGT210 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLDLGLIKLAYGYKRKMRELSLPISPYVYNRLIILHSSPGRQKTISKILAQMKADRVTPHTSTYNILLKIKANEHNIDGVARVFNDMKRAKVEPNEITYGILAIAHAVARLYTVSQTYVEAIENSMTGTNWSTLEILLILYGYHGKAKELKMTWDLMQGLPHIRPKSFILAIEAFGKVSSIDQAEEIWGKFKSTRKPKLTEQFNSILSVYCRHGLVDKASAVFKEMRANGCQPNAITYRHLTLGCLKAGIVKEALKTMDIAKKEVVTKKVKSSTPWLETTHMILESFAENGDLVNAKRVFDELNESKYCRNSFVYNTLLKAHVKAKVYEPDLLRAMILRGAMPDAETYSLLGLIEQFKT >KN538681.1_FGP211 pep scaffold:O_longistaminata_v1.0:KN538681.1:296066:297799:1 gene:KN538681.1_FG211 transcript:KN538681.1_FGT211 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKDADAQEKAAAAPPTEWYDPLSSPGRPVRVYADGIYDLFHFGHARALEQAKKSWVDEVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVRISESSHVSVKAVGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSDPNLSGEDSDS >KN538681.1_FGP212 pep scaffold:O_longistaminata_v1.0:KN538681.1:142951:144034:-1 gene:KN538681.1_FG212 transcript:KN538681.1_FGT212 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQGVQFARLRNWWEETYVIADEDGRSVYHYAPDRHRPAHEAIWAVQMVLAGAPPTQYVLLRGAYGRYLGAPDAVVRRWPLSCCWPAPVVGQRDFDQPEVDAIMWRAVRRADHVLCLHDKSGRYLRGKLGTLVLGGRPRLTVGDGRLNDDEKALRWEVLPVLPNPGRPELPISIVPEADLVGRLVKACFLPLQREIQFVEADDDGNIGEGQEVWDSFQYEGRSVQLLRNELEDRVGYAITVCVRAGRHGQHSPLLINLPHSRETLHIVVLRRNSEGSISICMHASEFF >KN538681.1_FGP213 pep scaffold:O_longistaminata_v1.0:KN538681.1:236467:237618:-1 gene:KN538681.1_FG213 transcript:KN538681.1_FGT213 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRDVVSWNSMVAGLAKAGHLDAAIELFDKMPERNAASWNAVMCGYIAQGDLAQARELFEQMPVRSNVSWITMISGYAKSGDVHAAGELFERMENKKDLYAWNAMIACYAKNGCAREALAVFNRMLKPHVWVMPNEKTFSSVISACSQLGDLRFGLWAESFMGSVGIELDDHLRTALVDLHTKSGRIDRAFDLFRGLGMRDVVSYSAMIVGCGMNGKFNEAVSLFKEMSDAKISPNAVTFVGLLSAYSNAGLMEEARACFASMTEKYKISPSMEHYTIMVDLLGRSGKLDEAYQLIMQMPMKPDASIWGALLLACRLHNNVELGEIVASKCFELEPGESGYYILLGNIYSEANKWEKVKRLRKIMAERGLNKMPGSSWVQAS >KN538681.1_FGP214 pep scaffold:O_longistaminata_v1.0:KN538681.1:215082:215753:-1 gene:KN538681.1_FG214 transcript:KN538681.1_FGT214 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYRGTSPAWGLGGRGGGGGPSPVVPLLIVVALVWVNYNETLTEWYDKAANLPGTVADNAVTLVAAGGLLLLAAVLLSRRSEVVVVPVAIVLSVMLLQNIMAFLVLLLVVAYFAGIYYYPADAARYGVTAGGGGGFWSGLGFYMLLLLCLVLCAMFANEGVLGFLPLPDENEIICMCRMNEHDE >KN538681.1_FGP215 pep scaffold:O_longistaminata_v1.0:KN538681.1:225984:227003:1 gene:KN538681.1_FG215 transcript:KN538681.1_FGT215 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSTLPQIFACAAFEMLVQTGRAIPPTGLIRGAEIAVASRPMTPENRLRRLIQVSDQHGVGNGRAGPGPVSRSRRAHSPEADNLARAIQRSHNSLEASRRQMLDGDAGKKDDGCGCNGSFECNICFESAKDPVVTPCGHLFCWPCIYQWLHGHSEHSDCPVCKGEVLEVNVTPIYGRGGGEENSSRNDIQIPPRPSAQRTESLRQQLQRPDTRGGIANMVRRLMQNQDIVAGQAASSAPRPRGRGRRQARQDAPSAPATQQQVVNADTGSGDQAPLPPPDANDATPAAAVAPQQSSSVEQASTSSTVGVIAGGPAQGRRSRVSESTPARRTRRRHQ >KN538681.1_FGP216 pep scaffold:O_longistaminata_v1.0:KN538681.1:298742:302250:-1 gene:KN538681.1_FG216 transcript:KN538681.1_FGT216 gene_biotype:protein_coding transcript_biotype:protein_coding MELINAVPPIKVEGRIAACDGRQDKGRETGSLGHPIEYICLDLDQPASGAAMAPAPEKKKSWMPAGLGGSGKLGATIDIPLEDPRKKEKELLAWEEDLRRRELDIKQRENAMDRAGVTVEVKNWPPFYPIIHHDIASEIPIHAQKLQYTAFGSWLDVVLFLFAIIYAIFGCPLSYILWYRPLYSAMRTDSMVTFVQFFVFYSIHVGFCVIAAVTPPIIFKGKTLTGILVAIEVLTGDMFVGVLYLIGFTFFTLESIISIWVLERVYMYFRGHR >KN538681.1_FGP217 pep scaffold:O_longistaminata_v1.0:KN538681.1:318895:321473:-1 gene:KN538681.1_FG217 transcript:KN538681.1_FGT217 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISRFAARLPLLRLSSQALPTQIGRFRSEFVQPNKPTADGIRLAQAVCDTEMNLASILLVQKSLDEKLAQHKLLSNVSLFEDKEVSQRSEEHSKQLTVQDIQMKKDELLSDIRRVEILEGTLRSLQKTRFQSQDNNICAQRLKGSEDGFTLCYSPLDFVSSAGFFYVYYYYYM >KN538681.1_FGP218 pep scaffold:O_longistaminata_v1.0:KN538681.1:174289:176060:1 gene:KN538681.1_FG218 transcript:KN538681.1_FGT218 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGTSRGYRVGMEVFDDVVFARLRSHVYGSYLHADEDGRSVYHGSLRGGASQHNAVWAVEELLVPALPWRSSAEEEEAATRSGRYLRCNKSILACRRSISVDANFEDEDTLLWEVVRVPPSEDMPELPIATQPGFFVRVCFPQPLREIQFVDEADLDNISEGENWATVQIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRRRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDDPTIE >KN538681.1_FGP219 pep scaffold:O_longistaminata_v1.0:KN538681.1:151848:153137:1 gene:KN538681.1_FG219 transcript:KN538681.1_FGT219 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETTVADWSALPEDVVITVMGYLADPDDLVRSGAVCSTWRDAYATFRRLRLPSTTAQPPWLLYACDAQGPAAAALYCPATGKSLRVPLPAALLDGRPVIGASQGWLVTVDEVPNLQLVNPITGAMVALPPITGLHNVERFTSRRGRTRYRIYEEMGYSKASHVYSLAEAREWAYHQVVLSCSPAEGSACVALLLHRPDGEVSFARVGDERWTPVAYPGQDCSTGCRHAIYDDADGLFYTLRYDGSVYAIDVPRAAAASSPPATREVMRRVTNADNGSKYLVRVPCSGDLLQVWRFVNYDDGDEVEEDEDAEDLPLGTKHLQIFKVDGGEQKLVEASAASLEDHVLFLGHGFSACFPAEHFPALKPGCAYLADDHEQVSMRKHCRRDIGRWDMKRGQMERLSGENDVAAPSQPWLNWPSPVWITPTFY >KN538681.1_FGP220 pep scaffold:O_longistaminata_v1.0:KN538681.1:193347:196455:-1 gene:KN538681.1_FG220 transcript:KN538681.1_FGT220 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGNRLLSASFGPTELMPDKVSLGVGGGGGGGGGGDAMSFAPPAPATPKLTAVAAHRLKLSPHGRAQRSMLEKQWELPFDDEDHVSDDDDNHAMAIGLAEDDSKDANKATVVVARSSVSARQRRMSGRRRGRTKNGAAHFAVSPELMQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNEPSYKQLAHSLKISPPELRSRMRESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYALEDQGIAPTIENIAGSLNISEKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKNLEALLEDY >KN538681.1_FGP221 pep scaffold:O_longistaminata_v1.0:KN538681.1:213083:214636:1 gene:KN538681.1_FG221 transcript:KN538681.1_FGT221 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFEQYAEKAKTLPESTSNENKLILYGLYKQATVGDVNTARPGIFAQRDRAKWDAWKAVEGKLKEEAMSDYITKVKQLLEEAAAAAS >KN538681.1_FGP222 pep scaffold:O_longistaminata_v1.0:KN538681.1:220375:223159:-1 gene:KN538681.1_FG222 transcript:KN538681.1_FGT222 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNGNNNNTRVRESHRVAAATTTSRHGRRRWHTCVSLAFVRRPDGPPDVKRAFPSFTDAERERLYQMFVYVIKSLHSNIELLELSNFSMCLTMIDLCFEVAAALEKIDQFVEEQKLDVLSSDKTNIEDTKQMISKAKKDEVEYLKSLIEEVEEKNNAMKDRIELLKKDDGLAAGKQVLEKLMQCNSALYNGL >KN538681.1_FGP223 pep scaffold:O_longistaminata_v1.0:KN538681.1:216768:219008:-1 gene:KN538681.1_FG223 transcript:KN538681.1_FGT223 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSAPAPSKHCSIVRAQHSPPPSILSSSTKTAFHGLSLVDRRWAASVGGGGRRRRVLQVNARTAGAAKNIEVEVDKPLGLTLGQKTGGGVVITAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSSYIQNSDSNTSRNMYFSWGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >KN538681.1_FGP224 pep scaffold:O_longistaminata_v1.0:KN538681.1:275845:287595:-1 gene:KN538681.1_FG224 transcript:KN538681.1_FGT224 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKTLSKAEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITDDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKVFNFPFIKDIPMKMSPSKTKKKLDGSIYMVISSVFLSKNLFLQQLLGLELSCLPYSNFVHPSLTSDKCFSFGSAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKLREMFAARSIRFVSLAPGLDIPGGTISSASLMLCVTNFDKINYTYLPGDHCITNEYLRGNIFVKLKNCKQSTDRHWLQVSVESHHHVLFGLLGSIVSNVQGLWYFKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGSEHPFHDCPLEPFAMPPRQVREMRPRARRALIRAQKKEQDRAAASTKDDKDEEEDKGKKMLEVKGKARKKATLGDRKERLIFNKHGIA >KN538681.1_FGP225 pep scaffold:O_longistaminata_v1.0:KN538681.1:183643:185302:-1 gene:KN538681.1_FG225 transcript:KN538681.1_FGT225 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIFVRLSVGQLGLKLPGANARKAARSFHCEIRLRGFPVQIAPVPLINYSEFNLDPHTNAAVFSLNESELKALSAPGCFGAHGSYLEVAVYVGRRGGHCGIVTGMKRLVGVVRMDIGPEWRDGKPVMLHHGWVGIGKGEAKPELHLRVKMEADPRYIFEFDDEVALNPQVVQLHGRNRQPIFSCKFIRDRRGSHSDQLYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMATPFVAASGCDTSSLKSNPESAPHSSNFEKFQVASNDSNPNQGCI >KN538681.1_FGP226 pep scaffold:O_longistaminata_v1.0:KN538681.1:164090:165385:1 gene:KN538681.1_FG226 transcript:KN538681.1_FGT226 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETAVADWSALPEDIIITVMGCLSVLGDLVRSGAVCSTWRDAYATFRRLHLPSTTAQPPWLLYACDAHGPAAAALYCPATGKSLRVPLPAALLDGRPVIGASQGWLVTVDEAPNLHLVLVNPITGATAALPPITTLHNVERFTSKKGKTRYRVYDDMGYSEASLEYSPAQAREWVYHQVVLSRSPAEGSACVALLLHRPDGDVSFARLGDERWTPVAYPGQDCSTGCRHAIYDDADGLFYTLRYDGSVYAIDVPRAAAASSPPATREVMRRVTNADNGSKYLVRVPCSGDLLQVWRFVDYDDGDEVEEDEDAEDLPLGTKHLQIFKVDGGEQKLVEASAASLEDHVLFLGHGFSACFPAEHFPALKPGCAYLADDHELVSVSKHCRRDIGRWDMKRGQMERLFGEDDVAAPSQPWLNWPSPVWITPTFY >KN538681.1_FGP227 pep scaffold:O_longistaminata_v1.0:KN538681.1:309897:317740:1 gene:KN538681.1_FG227 transcript:KN538681.1_FGT227 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPKTTIDKKLVVSETKASTSRGGDPDRCTGSKAVGIIRKGNKVVAFYLRNPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKDGCLGMFASKQKHTFEAPILCLLFEVQPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKSPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMENITTKTRLKKIRHSLQPAPKKLQGMLQVPNLLLLLLQLLQELPQVPNLQMLLLRFMQR >KN538681.1_FGP228 pep scaffold:O_longistaminata_v1.0:KN538681.1:200673:201232:-1 gene:KN538681.1_FG228 transcript:KN538681.1_FGT228 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSSFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >KN538681.1_FGP229 pep scaffold:O_longistaminata_v1.0:KN538681.1:239514:247109:-1 gene:KN538681.1_FG229 transcript:KN538681.1_FGT229 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPAIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGSILGVAFSKHIVQTYAFVLNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFVVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTANENGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLAPMDVSRTPDVKPRITDESEKVKTWKLADIGDSGHLRALRMPDTSATSSKVVRLLYTNNGVALLALGSNAVHKLWKWQRTDRNPNGKSTASFTPQMWQPANGILMANDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQSPANRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALPAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRISPSAYIPPSMSSGGSVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDSDPKWGVAPPQDNGAHPTISAAPAAANKPEV >KN538681.1_FGP230 pep scaffold:O_longistaminata_v1.0:KN538681.1:168806:171165:1 gene:KN538681.1_FG230 transcript:KN538681.1_FGT230 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVEPPIDAAAPLPQYARLRAAYGRHLGTPDSYGSPLPFLPVDAAQRDRDRVEMDAIMWQPVACSGSDVVGGRDARCVVLLRDRYGRYLRGSNNLLAPRRSVPVMPYVVNEHMFRWEVVRVPLSQARPELPIAAQSGFVAACFPPLLRVIEFVGEDDLDNIGEGEIWTTVETRGRSVRLLKEKIAKLVGYEDFTMCVSAGRHGQFTPLLMDANFEGEDTLLWEVVRVPPSGDMPGLPIATQPGFFVRACFPQPLREIQFVDEADLDNTSEGEIWTTVEIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRSRETLNIVLLRTNSEAAANDQMIFPNPNALPSAEATDEDNATIE >KN542997.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542997.1:2434:5236:1 gene:KN542997.1_FG001 transcript:KN542997.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FDVVGGGLRGEALHDGGFAYCWSGARATAGVRGGGKYCFGCKVVAEQAVEMEDTAADQQHLCRVGVSRGGDPVGALGETGHSFGFGGTGKFSHHGKFVEYGVKFGVGDTVVCAVDLDSKPLASIGFSRNGEWLGIAKHFDASDKGLGLVESPVSSMQWESAIFPHVLLKNVVVKMQFSKEDGLQLVDGYEPWPSACVDGNAVSGPVFAEQKDCEIMMMVGLPASGKSTWAEKWIKEHKEKRFILLGTNLALEQMKVPGLLRKNNYGERFERLMDRATMIFNTLLTRAAKIPRNYIIDQTNVYKNARSRKLRPFANYRKTAVVVFPSPSELKVRAAKRFKEMGKDVPADAVNEMTANFVLPLSKDMPDSKEPFDEVIFVELSRDEAQRNLDEMKRLLPKSSTPSYANFSNQNVSSTYSGTIAGTMPSLSPGYHRQMDSPYGSGVQTPRALTHQQAAWGVQSFQSPAGINHHQVHLSSYPNTPYQHQQIQSSHPSTPYQHQAQSTSYPSTPYQDQIHSSYPSNPTQHQIHLNYPSTPNQYQSHSTYPNTPFPGHGNSVYDSNGGPGPYNPNPYSMNTDMQQRIQAPIGDRNQSYAVVSNEAYGRSGYEAANSVGRPINTHPAVYNSGSGNYMPYMQHSHDVHNSGSQYSAPVPRPPYVAPPPNYMNPQTSIWHI >KN542997.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542997.1:5763:10994:-1 gene:KN542997.1_FG002 transcript:KN542997.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EGLIRKVPGLKSEAEPTPAMGDESNTLRVLVATDCHLGYMEKDEIRRFDSFEAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGEIAVYPVLVKKGTTFVALYGLGNIRDERLNRMFQTPHAVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLRSVRPFHYAEVVLKDEVDVDPNDQASVLEHLDKIVRNLIEKSSQPTASRSDTKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGVGNIDDSEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKMAFYACLQRNLEETRTKLNSEADKFKIEEEDIIVKVGECMQDTGGRSVTAQSNLNSFSDDEDTREMLLGARTTNAGRKASGFTRPSKDATDVAKTGTSRRGRGRGTASMKQTTLNFSQSRSSAAIRSEEVQSSSDEENETNEANEVVESSEPEESPQQTGRKRAAPRGGRGRGRGATAKRGRKADISSIQSMLMSKDDDDDDEDDRPKKPPPRVTRNYGAVRRR >KN540515.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540515.1:47313:48849:1 gene:KN540515.1_FG001 transcript:KN540515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSATYFILPRRDNDDGDPILPRHNSSSDDPILARIDEERGLNLLSARREPIDVFSAIQHRLQKIDTMVEEMQMDLRTLRRYGGDGDGDGHGEGEDEDDVLDLDHIELCSFMEQIKSAVQLVRAALQEKVMRMTTNTRGGEDDGGGGGDEAFPSGSGHLKSVLESLDPQLKQCALCLAVFPSGKAIKKRLLIHWWIGEGIVWSAAAGKACFQDLLSRGLLQPAMLRPHCHTAHYCRVHPSRGMGTLQLGRWQTSPEHHVEMVRPDGVLGAAAAAPCRNLRYLSLRGISLVESLPESIGDLRDLVVLDLRACHNLETLPASMASLGKLEYLDASECYLLDQMPHGICKLHRLQVLKGFVVASSAGGKK >KN540515.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540515.1:22:525:1 gene:KN540515.1_FG002 transcript:KN540515.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWDLDGASNGPTREVIYAARPHGGLGCILTRHLVSTPWGDLLQVRAILARHYPDGVAFQICKVDPDGCKGVVQGNVLMDHALFLGLNHSACLPTLNLPGIRPHCIYFSAPVIIHAFDWLLGLRVWGGVRTYDVKTGKFERTVPFCDVKEQIYGLFPSEVWITQNL >AMDW01007416.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007416.1:25:189:-1 gene:AMDW01007416.1_FG001 transcript:AMDW01007416.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYFYNAE >KN540515.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540515.1:34963:37110:1 gene:KN540515.1_FG003 transcript:KN540515.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTVPSASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVSSPDLDLSVFVFVRNSAWIWWGKPGIWVRGVRPSLRGLVCFTCDKFSLSSINQTVSECFGIARSGIIASDLIQVEGAFEVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAKTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVMTVPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNATIPKECDTVQKCYLSLAEQVREKLGKIDPYFVKLADAMVTWIEAWDELNPSTAAVENGKAK >KN540515.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540515.1:6916:7810:1 gene:KN540515.1_FG004 transcript:KN540515.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLMTYLKVWADADTWFERQQQEEEMQRLQAEQRAAMQAAEKLHREKLAAEKEGEKEEETDTNADPMAAAEAQALKQSS >KN540515.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540515.1:3576:4787:1 gene:KN540515.1_FG005 transcript:KN540515.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPSAA >KN540515.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540515.1:38894:45160:-1 gene:KN540515.1_FG006 transcript:KN540515.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDMLGGLRVAGVPTKSAIYLWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGSLYTWGENDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGAHCTAAVAEPRENNGTISRSRLWVWGQNQGSDYPRLFWGAFAPNTASGATEQSTITCASSQFLSLRCLSLEVIRQVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDSRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >KN545310.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545310.1:314:1794:1 gene:KN545310.1_FG001 transcript:KN545310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LYSLKDHYEEALRRNDVKAIVITGKGGVFSGGLDINTFGAIQRNKAEQLKVDYVSIDVMTNTLEAAGKPSVAAINGPALGGGLEISMVCQARISIPTAQLGLPELQLGVIPAFG >KN539167.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539167.1:38341:38742:-1 gene:KN539167.1_FG001 transcript:KN539167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGDSFVRMMFEDTDVEVLMPNEDFHTSTNGAKGSAKRSSNYTRKEDIQLCISWQSISSDPIIGNEQPGKAYWQRIAEHYHANRDFESDRNANSLEHCWGNIQKKVSKFQGCYNQIERRHPSGIPHQELVS >KN539167.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539167.1:114535:117564:1 gene:KN539167.1_FG002 transcript:KN539167.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAPILLLELAAAVLLVLQRVPAADAVVTTFPGDATALASLKSAVDAATVPAYSCLASWDFARDPCAAFPCGVRCYAPPNSSYHRVTGVSLDPAGYSGTLPATVLASLPFLAFLSLASNRFHGALPAGSPLPPSLRVLDLSGNAFSGEIPASLFTPASSLEELYLSRNALSGAIPPSLARLSFLSHFDVAYNNLSGELAALDFIACASTDSGSQLSSLVSGYRIYHGRGRAPTLHPNRKRYTQILGEEEGIGWVGFRARPRIQASAFYLLFIFPTS >KN539167.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539167.1:12429:13116:1 gene:KN539167.1_FG003 transcript:KN539167.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKAVYSFQEVSKHNDRKDCWLIIAGKVYDVSPFMEEHPGGDEVLLACTGKDATADFNDIGHTATAKELMPQYCIGEVDASTVPAKPAYRVVSEDASAKPDAASQGALLTALQLAVPVVLLGLAYALQDFAKTKTA >KN539167.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539167.1:65600:65851:-1 gene:KN539167.1_FG004 transcript:KN539167.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRSGAVNGHKYRALCSATAGVAAAAARDCRSRAGPAAASPASHTCRGLVVPAGRPKPAARGAQLSYSYVACATATSLSIQ >AMDW01038374.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038374.1:68:790:-1 gene:AMDW01038374.1_FG001 transcript:AMDW01038374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSEMLDRTALAADVLRRPPGVAMAMTSTSPLDGKPLTAMEYRYLFENQDMKPEAQIIMKLQDLDGWKYFFRNIFWLAVIASAILLLHAALLLYLKLRHSHTHVGALVFPRLELMLVILAMPCVSQASAALIRGGTTAGLAVGIVLTGVLTAFLVALLLFLSLGVTTGRLLQYKEVHQEGREYH >KN539167.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539167.1:89903:91384:1 gene:KN539167.1_FG005 transcript:KN539167.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRCCTLNVPLVTGREAICAETGRRLTAAVGPSIGEGKLADVVDRRLGGDYDAEEAATVAALALRCVSDGTGLRPSMAEVVRELQEKTTALISAVGSKPSDKMVS >AMDW01040153.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040153.1:13:822:-1 gene:AMDW01040153.1_FG001 transcript:AMDW01040153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPRSITIVTLEDLHVLATLDEPRSISLISIPAIRLAAEFVVAITPKVDYDGWVCNKLEDLRRVRRFDDLLTDLQKRILPMLGNNPDDKAALRNLRTCGYAMWSVRQHAHPSLHNLVGFYSNTLTRKARQALDPYKAYTIKQEWLHAMALRVEESRSAFMPFDSDYVTPSPPMPTIILSSLVDVHGVRSVIDPHRVELGAVDAVRLAPEYLHILLEKVEQEGWICPTLPALRHVARFANLLTDLQDRVLPGLLNDHTDPAVLRKLRAC >KN539167.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539167.1:4168:6964:1 gene:KN539167.1_FG006 transcript:KN539167.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVYKIGGYRARRKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALIIDYKLTYPSGTATAVLINGFHTPQGENSAKYGPGYYFDFSLTYVGAGMICSHLVNLSALFGAILSWGIMWPLISIQKALIMGDGLYHFIKVTGITAKSLHERSNRRHVKKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPTAMAVPFLVGANFAIDMCVGSLIVFAWHKINSKESALLVPAVASGFICGDGIWMFPSSLLSLAKVKPPICMKFTPGS >KN539167.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539167.1:121958:122376:1 gene:KN539167.1_FG007 transcript:KN539167.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKPQHGSLGGLVAGVREEYESGRTKELEWRKAQLGGLIRMITEEEDAIFDALHDDLGKHRVESFRDEIGVLVKSVRNTLQNLKKWASPEKARTAPT >KN539167.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539167.1:129280:131388:-1 gene:KN539167.1_FG008 transcript:KN539167.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C3 [Source:Projected from Arabidopsis thaliana (AT1G77370) UniProtKB/Swiss-Prot;Acc:Q9FVX1] MAAARAAVPVAVFLLLVLAEADPAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKENPYIVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANAHSNGQLQKLLGNSQSQR >KN539167.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539167.1:133110:135230:-1 gene:KN539167.1_FG009 transcript:KN539167.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCWADGAALCDACDHRVHRANKLAGKHSRFSLLNPSASGRSPTTMAPLCDICQEKRGFLFCKEDRAILCRECDVPAIVNAKHRVQKYRGTTSGVLDRDSYMLWWLGQVYKASRPILPPP >KN539167.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539167.1:71616:74403:1 gene:KN539167.1_FG010 transcript:KN539167.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFRNATLKAPVWEELSRRLAELGYQRSGKKCKEKFENVDKYYKRTKEGRTGRQDGKSYRFFSQLEALHAAAPPPPPQQQQRQGMPVEDPQPLAMARMMLPGAADLGFLSMSSESESDDESDEEEEEEEEEAVAPGGGGPEGLGDDGDGDGEGGSSTRKLMAMFEGMMRQVTEKQDAMQRVFLETLEKWEAERTEREEAWRRKEVARINREREQLSKERAAAASRDAALIAFLQRVGGGAGGEPAEDAWAAAAGGDGSGTTAPSRWPKEEVQALIDLRMEKEEQYNDMGPKGPLWEEIAAGMQRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKHFAGRGGGEGGGGVTIAASHSSLAIVTVSEQDNPSQRELEGKSSNDVGNVQLAVPLLVHNAPDKKVEGSEGEPNVTAAAEETDSDEMGGDDYTDDGDDDDKMQYKIEFQKPTAGGGGGGGEAPAPATTAAATSSAPASNASFLAVQ >KN539664.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539664.1:61033:63677:-1 gene:KN539664.1_FG001 transcript:KN539664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTPLLVIGFVVLLVSLAGFVGACFHKHIQDAKYWRPALACVVGSKACPKIANWSPMDYLQHDLTPIQSGCCKPPTACAYSGGVAVGAQDEDCFRWNNAAGILCYGCDSCKAGVMEKVREDWHKISVLNVMVLVVLICICACGCCAFRNARRSVSEYPYGVNRMHKIHPRWDYYWWRWWRDRREQLY >KN539167.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539167.1:14308:19471:-1 gene:KN539167.1_FG011 transcript:KN539167.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKNQVKKVEVDGVYIMFRLRPEVEARAMEQPQVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDESGGSPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGKQEQVLQLEAGS >KN539167.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539167.1:1732:2070:1 gene:KN539167.1_FG012 transcript:KN539167.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLWSPRCRDSVPVVGGGIGTDGEAGGGGQEEEAVAAMAAVVPAISGEGGGLGVHKRREAEPKVAAAEARAAWSSGAPCGCRRPNRAVAAGGGAGGVPATDWRGKGVLRM >KN539167.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539167.1:96455:101278:-1 gene:KN539167.1_FG013 transcript:KN539167.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPKPAAVLGGMVGGLREVYESGRTKDLEWRRSQLKALIRLLTDKEEEIFAVLHDDLGKHRGESFRDELGILVKSIKYTLQNLKKWAAPERVSSKLVQCYICMRFRQAESPLVAFPATAMVVPEPLGVVLVFSCWNLPLGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAANIPRYLDSRAVKVVLGGPNVGEELMEHRWDKVLFTGSARIGRIIMAKAVKHLTPVALELGSKCPCIVDWLDSKRDRQIELLKSTLKRFMAKPGGMARILNAKHFERLSGYLEDNRVAASVVHGGYMDPKTLNIEPTLLLNPPADSDVMTEEVFGPILPIITVKKTEDCIAYLKSKPKPIAMYAFTNNERLKRRIVEETSSGSVTFNDAVVQYALESVPFGGVGHSGFGQYHGKYSFELFSHKKAVFKRSFLIEFMFRYPPWDESKIGTLRHVFSYNYFLLFFNLLGFRR >KN539167.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539167.1:124916:128043:1 gene:KN539167.1_FG014 transcript:KN539167.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSVLTVFMACRTSTGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAAKIPKYLDAKAVKVVQGGAEVGEELMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDCLDSKRECQIELLKSTLKRFFTKPEYMARILNEKHFHRLTNLLEDDQVKSSIVHGGNADSKTLCIEPTIVLNPPLDSDIMTEEIFGPLLPIITVKKTEDCITFLKPKPKPLAIYAFTNDEKLKQRIVAETSSGSVLFNDAIVQYGLDSVPFGGIGESGFGQYHGKYTFELFSHRKAVVRRSLLVEFMFRYPPWDEYKMGMLRRVFRFDYVSLVLALLAFWLLGIRR >KN539664.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539664.1:30054:30464:-1 gene:KN539664.1_FG002 transcript:KN539664.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWRRTSKFFISRRDFARKRKYLCRPMPPYGTLEYRTVTCSMIKVPNPHTVVTHSKDSIASASLLATSPLFLQDDDDDDGENVIGDLVSAEDGSCRCKAHSVQPLRHCILYIKYLWFPVSSACGVSREDKYAVQ >KN539664.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539664.1:66827:67327:-1 gene:KN539664.1_FG003 transcript:KN539664.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSWSRAGEWALPFAGKAEYVPELKLWFGIEAKGECSPCAADLSPVARGEPPSPGYIWEDLDLPEEWEPSWGSHLVVLGSGRFCIARFFQLARTDDNIMNDHVDDVTFPVFTGLEVLPPAPATATGNGGGSGDHRKEGLRMIKHKSRRYAELDDDGIRSVKFVL >KN539167.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539167.1:26597:30705:1 gene:KN539167.1_FG015 transcript:KN539167.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFPQSNVYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFDLVIADEDFHKGFNNVYDRALAKALRNIFKKHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKMKISTKDSTTHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKYNFWVVLTEMRIISNWDSGEPELPTDSNTTGWSPWMGGR >KN539664.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539664.1:80630:81808:-1 gene:KN539664.1_FG004 transcript:KN539664.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEKGVYSLRRLNLSRHPLFYSSTEVSAVQDLPALGEEGYVYYPFKGYGESSKQAFEVESIRLPSPTVSLLPTPSSTDKMCKFDCFSVSESKIICTDQAARTFLYDLDEHCVTSMPSLHAPKYSPFSVSVAIQGEKGEGESSSSSSRRLYVMEEVLLPERGTGSSYQFEAFDNRKPGPEYLVKAWHCDPLPPPPFVRDPRYVPSPVSSYAVVGNFICISTKGIGTYCFDTVSCSWSHAGDWMLPFCGKGEYAPELKLWFGVSVKNNYAPCASDLSPVVRAEPPKPGCIWEDLNLPEDWRPCRMSDLVNLGSGRFCIIRFFQPSGNRDYMKDQIDQTIAVFSGVEVLPDDNKAAGNGKGKGKQNAKGLRMIKHKSRKCTFIEQINNVESVL >KN539664.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539664.1:13382:20698:-1 gene:KN539664.1_FG005 transcript:KN539664.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFRAASNEPSEELGDVFQAYADAGGGGGVMGEEALRRFLREVQGEAAAGDDELEATAREVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIMKALHDGVRVIELDLWPNATKDAVEVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIMKALHDGVRVIELDLWPNATKDAVEVLHGRTLTSPVGLMKCLEAIREHAFVASPYPVILTLEDHLTPDLQSKVAKVNTHLDHLTIFFSFFFLHLLTMAATSIDGFSLAQMIKETFGDMLYVSETENMAEFPSPDELKGKIIVSTKPPKEYLQTKNDNDADEAGVWGEEITDDKVAATAMTTEEKCAAAEEAVAAAAVDEEMQEAETDKKTQHGVDNEYRRLIAIPLTRRKHDMDQDLKVDPDMVTRLSLGEKAYEKAIVTHGAHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNNDPDKLFDPTSKLPLKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARVGIAGVEADTRMEQTKVKMDTWIPAWDHEFEFPLSVPELALLRVEESLTKAT >KN539664.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539664.1:74568:75197:-1 gene:KN539664.1_FG006 transcript:KN539664.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRAPRCSRGSRHPGARSGSWAPNAATSGGEGPAAVLAAARFPAAAQPAARRKEGDGGGVAEAAGSPPRVAPALGVTRKGKRCIWCVLCSTAQAAARQGAAPGDGSGSMNEQADGEDAQLLAKTASRPHRGHHLPGVHRTGGAASGSGDRRWRRIRRSSEGRTPNDQAQLEALRRARRRRH >KN539664.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539664.1:4017:5492:-1 gene:KN539664.1_FG007 transcript:KN539664.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGPETEEVKHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEALTGKRAIFKEAEGGSPVSVVDYAVPSIVAGELSKVLDARAPEPNAHEAEAVELVAYTAEQERRRKWPHPYGW >KN539664.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539664.1:49504:55401:1 gene:KN539664.1_FG008 transcript:KN539664.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRADRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGVTHTPAPAPCASPVAAPSPAPCASPVAAPSPAPPCKSPVAEDKSCELTANTVTESNKNIPGPDVQACNNEIPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRALKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVAPGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQENFNAMKADGSSDLATRPCSYRDVKYNLMDQIIAANRSEAKKNSQLLFKPMPADRSNLDLLASSYLSSQMKNDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVAKKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKEIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPESNAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADLGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQGHNSLSDIASRQPEADRSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDTNQTSCARIDINCPESTDKLQDIEDDVTPVNMHGDDLMATSVEQAAAAHVESRSSLHSEGIGIDVSRIEGCSHESTIGKGGKSTPSVCLPAANGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSMQSSGSSVHGSKSKPPSPKVDKSASSRLLSNPRERLVYSSRPPIIANLGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGALSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDSWKDIGSR >KN539664.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539664.1:84437:88030:1 gene:KN539664.1_FG009 transcript:KN539664.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MAAAQRWLPGILRRRDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPNHVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDVAVPYVRQREQFGRPIGEFQFIQVQHFGNRRLVPTITSYLLMQGKLADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >AMDW01034167.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034167.1:1:504:1 gene:AMDW01034167.1_FG001 transcript:AMDW01034167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SQQLTRYAAAQALLPGAHLHANLLKSGFLASFHNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLVTAYSNNGLPRSAIQAFHGMRAEGVCCNEFAIPVVLKCVPDAQLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEASSERNAVSWNGLMS >KN539664.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539664.1:57710:59163:1 gene:KN539664.1_FG010 transcript:KN539664.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLFSGLMDTRFPKRRPGFKSRRKRASLRPKGPYYWVKCKPGEPIPANQPNEGSVQGRKEKKRIKQRKAFIMAEKKKRKAQYSAAVKRKEAERTERKMAAVARERAWIERLADLKRIEEGMKAATA >AMDW01038735.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038735.1:110:689:1 gene:AMDW01038735.1_FG001 transcript:AMDW01038735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLTPFGNCRPPPPPRPVIADGIAYTIVHTKSGATVVGEVIAAAEKVDEIYLSQPVDSPTPEQPSLLPPELERCSLLSSQPAAAAKITGEASLAATTAALSLAVPQMATPTSTDVNRSKDQLSKTSSTPCRRSVRSNVENMDGIAAGDDDSLLKAMKRKALRNLDDSFAGREVSTTNSQQHSASHKTSSSE >AMDW01031222.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031222.1:107:436:-1 gene:AMDW01031222.1_FG001 transcript:AMDW01031222.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAQEAS >KN539709.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539709.1:11681:12636:1 gene:KN539709.1_FG001 transcript:KN539709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSHVSADARKLDGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVAKFGKLDVMFNNAGVSGPPCFRMSECTKEDFERVLAVNLVGPFLGTKHAARVMAPARRGSIISTASLSSSVSGAASHAYTTSKHALVGFTENAAGELGRHGIRVNCVSPAGVATPLARAAMGMDDEAIEAIMANSANLKGAGALKADDIAAAALFLASDDGRYVSGQNLRVDGGLSVVNSSFGFFRD >KN539709.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539709.1:85078:87343:-1 gene:KN539709.1_FG002 transcript:KN539709.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VEYAMDYPWKASLPRVETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEPNLAAERLGWARIALLADAVSSHFRRIGGPKNSSSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICCKLSRRVLAQENGESTEKVEEIDQQVDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDSHIDKVIFQDVI >KN539709.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539709.1:71599:73724:1 gene:KN539709.1_FG003 transcript:KN539709.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSEATVTLVSVVTLPILLALLTRKSLSKKRRPPGPWNLPLVGGLLHLLRSQPQVALRDLARKYGPVMFLRTGQVDTVVISSPAAAQEVLRDKDVTFASRPSLLVSEIFCYGNLDIGFAPYGAYWRMLRKLCTVELLSTKVACGGELQEQFLTALDEALKFSSGFCFGDLFPSLRFIDAMTGLRGRLERLRLQLDTVFDKIVAQCESNPGDSLVNVLLRIKDQGELDFPFGSTHVKAIILDMFTGGTETTSSTTEWLMSELMRNPEVMAKVQAEVRGVFDNKSPQDHEGLLENLSYMKLVIKETLRLNPVLPLLLPHFCRETCEIGGYEIVEGTRVLINSWAMARSPEYWDDAEKFMPERFEDGTADFKGSRFEYLPFGTGRRRCPGDIFAMATLELIVARLLYYFDWSLPDGMQPGDIDMELVGKGSSDGNGQTN >KN539709.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539709.1:18571:19113:1 gene:KN539709.1_FG004 transcript:KN539709.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNAGVSGPPCFRMSECTKEDFERVLAVNLVGPFLGTKHAARVMAPARRGSIISTASLSSSVSGAASHAYTTSKHALVGFTENAAGELGRHGIRVNCVSPAAVATPLARAAMGMDMDDEAIEAIMEKSANLKGVGLKVDDIAAAALFLASDDGRYVSGQNLRVDGGVSVVNSSFGFFRD >AMDW01019309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019309.1:7:230:1 gene:AMDW01019309.1_FG001 transcript:AMDW01019309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPRLQELKEIFDVARGKVSPVILDLEESLLAK >AMDW01055006.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055006.1:97:366:1 gene:AMDW01055006.1_FG001 transcript:AMDW01055006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSWNTNDDSLREAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGK >KN539821.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539821.1:35846:40822:-1 gene:KN539821.1_FG001 transcript:KN539821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGVGGADQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLRRYQDEQTVFGVLSLIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSFLPNQQSADEELSTYYRNGFTPRHGSLPWLRRFMEKHKNARTVLLLIVLCGASMMIGDGILTPAISVLSSMSGLKVRATGLHDRSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVVIWLFCIGGIGLYNIIHWNPRIYQALSPYYIVKFFRTTGWLLFTPYSWGIICIIVVLYFGCEAMFADLGHFTSASVRLAFITIIYPCLILQYMGQAAFLSKNILDMPTGFYDSIPGPIFWPVFVVATLAAVVGSQAVISATFSIVKQCHSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDITLIGNAYVVYLSSSLVKVTQGGWVPLVLALIFMSVMYIWHYGTRKKYQYDLQNKVSMRYILSLGPSLDVVRVPGIGLIYTELVTGVPNIFTHFTTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDDNFENMLVMNIGKFIMMEAEDASSSASYDTANEGRMAVITTSDDYDSPLAVRDSNDLADSMTTRSTKSESLRSLQSSYEQESPNVSRRRRVRFELPEEDDMDQQVKDELSALVEAKHTGVTYVMGHVYIKARKNSSFFKRFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMAYQV >KN540829.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540829.1:11309:11884:1 gene:KN540829.1_FG001 transcript:KN540829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL66 [Source:Projected from Arabidopsis thaliana (AT3G11110) UniProtKB/Swiss-Prot;Acc:Q9SRM0] MAAAQDASSQAALGLRWRYGDVDDGNFAVRGRAVPLLVALLFVLVCFVAVSLYLRWACRCHRYGRDTTPMPTTTSSGFSSSHAAATAPGSASSVTGLDDATIASMPVALHRAAVASGAGDGDDGGAAQCSICLGEFEEGEKVKALPLCGHGFHPECVDAWLRSRPSCPLCRSSLLPAAVTTKPDVAGSDAV >KN539821.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539821.1:19460:21603:1 gene:KN539821.1_FG002 transcript:KN539821.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEAIAVLDRIIEGGRCPGRPDHGIANRGVEWGRCGRTLSAPEYQGARQFSLEELAHATKNFSDANLVGAGSFGPVYKGLLLDGTVVAVKRRVASPRQDFVDEVKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYADTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHKGFKTSNVLVDENFIAKVADAGIDRLLRGFDGAAPSHHPSSSCSSIYQDPEVHSLAQLSESSDVYSFGVFLLELITGKEAASLISSEPREPLAHWMEAHFSSNNEVTDPRLGGSFTSEGMKELVVLTLQCVSTSARRRPKMRLIAAELDRILEKEMSLTTVMGDGTAIVTLGSQLFTS >KN539821.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539821.1:42802:44332:1 gene:KN539821.1_FG003 transcript:KN539821.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAAAVCAEKASEIIKIGQVDDVQELQRRLCSAATVPERYIRDGDDRPDHAVVDDERAQERIPVIDVGELQRGGEDELDKLRLACEQWGFFQVVNHGVEEETMEEMEKAAREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSDDQKLDWYKSVEHRAVASGEHDRMSVVTFYAPAYDVELGPLPELVADGEPRRYRTYNHGEYSRHYVTSRLQGKKTLEFAKI >KN539821.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539821.1:69814:70805:1 gene:KN539821.1_FG004 transcript:KN539821.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MADHHGDHHADGHRRQQQLQGEAAADQAAAEIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRSDYFDLHVMTIDIVGVGYF >KN539821.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539821.1:10093:10407:-1 gene:KN539821.1_FG005 transcript:KN539821.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLSSQRAVVIFGASNCFMCHAVKTLFSELGASWAVHEVDKDPNGKDVERALAGMVGRTPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWL >KN539821.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539821.1:45659:48111:-1 gene:KN539821.1_FG006 transcript:KN539821.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLRFEDGWKILEQGIVKCSKLLEDCPGGRPTVNEYMNYYEYASIFPLHIMHILGYPHGIKNLLLIIHSSTFSSCAYRMAVQKDQYCQEMYNSYKATHESCVCAMVLPHLMHKQGDLFWRELVKMWSNYCAMIRFTTGFLAYLDRCFVTHKKLPSLEDAAATSFFSPVFSYFNNEISDVLLTLIRQERDGCNVDMDILMGIMRGICRSEVKTKLKSAVIQDTYLYYSRKSYEWIVQYPLQDYLAKVQETVQKETKRLIHYLCISEEESSGLCLKAVSAPLMQTYENYTKEKHIGGQVLLQTYKTVEDDLLDRCSRLTIDNGLDNNSFSHME >KN540829.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540829.1:32071:33326:1 gene:KN540829.1_FG002 transcript:KN540829.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPAAAAEYARRMRHVGMQVVAMMSGCPEVGFGEAPFAEGRRKARCLMWVSKVAAGEAAPPAAGKAKAYPYVVGIHCQWEASGKEAAPASWVMDDGGEWTAVGARDGALLVTIGDIAQVWSNGKLKKVRGMARPVSSAPGAGHGAEADRLSVTVLITLPLDSVISPLVPVTDAGEEGGDDEVDGAGDDGDGWRFHSFLLEDLAWRVYNGRLQFKDPLVRYRI >KN539821.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539821.1:1499:6764:-1 gene:KN539821.1_FG007 transcript:KN539821.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAVETPTRSSGGGGGAWHVTNVCFHPTPLPTTLAARLKIRFWGGSAWMSCFLCFGSAQEGEAKKPGADSKDARKDGSADRGVSRVGSDKSRSHGGLDSKKDVVIQRDGNNQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLETGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNLAGWNDDRRSVRSPNHHSPDLRREAARSSRAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQMGRKRDPPRTADRQRAIAEAKTWGENSRERKHPNGHGSFDSTNE >KN540829.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540829.1:16434:21547:-1 gene:KN540829.1_FG003 transcript:KN540829.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGGGGVSSEEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGKSWEEHDEVVRIEAYRRVLTTWASWVNDNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRAAAPRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLGLG >KN539821.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539821.1:22304:24296:-1 gene:KN539821.1_FG008 transcript:KN539821.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIEVVDGPNDEGEMFTRPGRLSDRFPQPYANEQAARYSNGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >KN539821.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539821.1:28165:34805:1 gene:KN539821.1_FG009 transcript:KN539821.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAFALLFSNLPQPLRRRRPPMLSALRLLRRHHRRLASASTPLRVLASDAAMSSSVGASSSSSSGERHGVGRNPNRLAAEHSPYLLQHAYNPVDWYPWGEEAFEKARRKDVPIFLSSMKCHVMEVESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIEQLRDALSAKASSQDMPNDLAVVSVDNCVQKMRFKNRDKNNIRSSIADSHLISMQLAGSYDPKFGGYGSAPKFPRPVENCVMLYKFRKHLESGQASESQNIMKMITHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTSKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVRSKRPRPHLDDKPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDSYKRNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFHDMVAAAFSTYDPNRTVIQIDTRNTEEMGFWESNNANIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRELLNKTVAAATSSVAA >KN540263.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540263.1:57713:58269:-1 gene:KN540263.1_FG001 transcript:KN540263.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADFHSVKNAVGSAVDVMQTMRNSMSYLLPKLARTDVLVSQLSQIARQEQLLMAQCRELLSTLAVMHVKYSSLQGQMIQLSDLKRAKSVSSSEYPY >AMDW01039550.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039550.1:218:946:-1 gene:AMDW01039550.1_FG001 transcript:AMDW01039550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLAAELYEALQCLRTQIMDENPQLLAYHIFKNKLNKYGDRVLATIEDFLARYPNATRKTSSGGSNEHSEAVKKRRGFSVTNTSTNCDDFEERTVQSKKRAAKTRTRQEISDAASIVQDVRYIDLELDGCEQVNEVPYSVQKPVASGRVLPAWQSARIA >KN540263.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540263.1:37492:39024:1 gene:KN540263.1_FG002 transcript:KN540263.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEATISVILTELAGRSISFLVSKYLNQQKPAPSDDERLENLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGYYTLDTFRCRAHQGKDHGEVSLSFAISKFSHAKRIRFCSDSSSQSLGELQRVLGDLENTIADATEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQTEMEYLINFLLQPGNHSTLEPGVLPIIGPGRVGKSTLVEHACNDERVRNHFSQIVFFTRADLEDESIVDLRDGGVIKHRNRASGVGRVLVIVELDGDRYSEGLDKNIDGVLLERLYSIYKTRIPRDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDASEHPEMASIAMDIAIETEGCFMGANVFSRLLRSNANSHYWSLVLATLREFKKKNQHIWSFMYAADQIKALDQVNEPSEEATELLVILDNYQTSCSHASSHCEAEAEAPKISLVDALFGSVRPQGRFDALGWKSQIAPYYSYMYSCEIQRPKCLAARKNKMKKNGG >KN540263.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540263.1:17440:20019:1 gene:KN540263.1_FG003 transcript:KN540263.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLYFPYPSSDDVRHEVRRKPSAAGDGAAKEAGGDSCGAGRIWRRRRQRRLGFFLQWRRLTATFCLLLSIQVYKISDYIYLHMETFLSAILSDLTSRSISFLVNKCSKPTTPTVEERLQQLLLRARVIVEEADERFVTNQAMLQKLNILRKEMYRGYYTLICFRCHNNEEDNVKDREVSYYFTPSKLNPAKRVRFCTGSGQTLRDQLQQVLGSLQVTLENMREFLMFFNSCPRLCRQPYSMHLLLDRCLFGRQMETEHIMNFLLKEDIPSAENLGVLPIIGPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVGHANMVALRDCGVIKHQNHSIVGERILIIIELMGDIDEGVWGRLYSASKISVAVGSKIIVTSRSDKIVSFGTTQVLRVNFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDMAREMNQCFMGSCIYSVLLKANFNARFWSMALARIREFKLKNNLIYNAYLVGGPWEAVKPAYVRTVNKISSEYLVVLHDYQTFSVPNMVHCYTNSAQSESEVPEVSMQDFLFGSVKPQGKFKVLAWRSHLPPHYNYIFNCEVRRPHHMVSSKKRSHKLCT >KN540263.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540263.1:49:498:1 gene:KN540263.1_FG004 transcript:KN540263.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEVVWTRLYSASKSYVPNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMASMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALAENTSRRIS >KN540263.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540263.1:7463:9253:1 gene:KN540263.1_FG005 transcript:KN540263.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVLYDYINPNCRENLMLVFKRFTLKNKSRLSRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNCVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTMEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIHGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEEKLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVKYLTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGFWSMALAGIREFKQKNLLGYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDYHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCEVWTSHHLITREERPQKLCT >KN540263.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540263.1:48965:50187:1 gene:KN540263.1_FG006 transcript:KN540263.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWFREMGAEYAYVATDRDNEPSVRLFTGACGYAKFRTPSVLVHPQNSVSRAIRATGVLYLCNCTII >KN540263.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540263.1:2257:3717:1 gene:KN540263.1_FG007 transcript:KN540263.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIQRLERMLLRLATAIEEAEGKHITNQAMLRQVNMLRQDMHKGYYALDTFRIQKHQEEDMNDDDDNEVSYNTLSLSKFNSIKRARVLTGTRRHGDMREFDQMVDIIEITMAGMAEFVMLLNNYPSMHRQPYNTYMFMDKCMFGRQMEMEHIIKFLLHPETPYSDIFDVLSIIGPAKVGKSTLVEHVCNDERVRNHFSRIIFLSDSDLSEQKSLLILRDSGVIRHKHNSSLASSGGERLLVVVELTEDVSDDEWRRMYSSSRSCISAGSKIIITSRSEKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDPKDYPEVASVSMAMFNGYFDREMYNTFIGPFIDLNNMATFIQASIYNGDWLSLRERFRTKESKSQLLPNKGSGDSGMKSKCVVIPRTDGNVNYYCEIFEHCRVELAHAEDQKAPKIGIQDILSGRVEPHGKFDLVLWRSHLPPYYSYIYSSEIHEFKSATTCRIRLRQKRKI >KN540263.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540263.1:25973:27505:1 gene:KN540263.1_FG008 transcript:KN540263.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSMILSDLASRSISLLINKCSKPTLPSVEERLQQLLLRVHIIVEEAEGRLITNQAMLQQLNMLRKEMYRGYYTLGNFICHGHEEDNAKDHEVSNYFKPSKLNPAKRIRFLWDGGQSLQDQLQQVLGSLQVILEDMHEFVMFLNSCPRLCRQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPGAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVCFTRDDIEDANMETLRDSGSIKHRNHTTGEERILIIIELTRDIDEGAWRRLYSASKSCVANGSKIIVSSRSDKIACFGTTQALRLRFFTQEAYWYFFKVRAFGSIDTEEHPKLASIAMEIATELNRCFMSSGIYNELLKANFNTRFWSTVLTIIREFRKLNISLYANFDGPWEVVESAYVRRVHEISSEYVLILHDYQTCSVPNMLHRCTNSAQSEAEVPQLSYEDFLFGNVRPQGKFKVLGWRSHLPPYHDYMFSCEVQKSQHIVARNKRPRELCGRDFGLGA >KN539933.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539933.1:43149:50476:-1 gene:KN539933.1_FG001 transcript:KN539933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRAAQALASRSLLLSSRALHGDAASTAAAAAGVGRLGVQPSPPSQASSSSSSRAMPAGIAGAVSFSLTFATMAAAEAKERPPMDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTQRVHPDDKATNEEEEKWRSSVSKARDNQWVDEHLVHVLSPNIYRTTSEALESFDYISKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDARASLYDAANTWMEALDGRDFLGGSKPNLADLAVFGVLRPIRYLTAGKDMVEHTQIGDWYQRMEDAIGEPSRIQE >KN539933.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539933.1:5654:21847:1 gene:KN539933.1_FG002 transcript:KN539933.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRLFYRKPPDRLLEIADRVYVFDCCFSTETMEQFEYKNYLDNIVLQLREQFVDSSLMVFNFRDEGKSLVSGLFSLYGITVKDYPCQYLGCPLLPLEMVLHFLRLSERWLMLEGQQNFLLMHCEKGGWPVLAFMLAGLLLYMKQYNGEERTLVMVYKQAPKELLQMLTTLNPQPSHLRYLQYICKMDDELEWPIQPIPFTLDCVILREVPNFDGVGGCRPIVRVYGQDFLTVNKRCNVMLPPSKPRKHARRYKQADNISVKLNVGSCVQGDVVLECLHIDDSLEDERLMFRVMFNTYFIQSHILPLNFENIDVSWDAEQRFTKKFKAEVLFSEFDGESDASTEVASDYDDEVEVGSTDVFFEAVEIFSNLDSQEGHRDAEILSIASTECSPRAELMKTAPFSHFDMEIGLGGSQKNKIDDMVLSLEKSYEKCTSAEGDIIQNNITRVVRSSSANTTDGDRDTMNSSCYGGKVDGCIVEKNNSNQEILTDSNEDSGIENVLVKEVIISETNSLKDIQMIKEVIISEVTTSKPVIEVDTIGTELSDVVHNSETITHAEANNEEEVLVTLKQNEGDNLVEECIYYDNGIMIKPEKNRKKEKSIIGSTIGVVPDSTEDNARVGLLLSVKPHLDSTGTYHDLNSPMQKIDLLNVSNTNCVEEQTKGMEASISNSYGQPSNLSSLNLQPQGSSFQANGDPTCANKSTDANESTQLELKRKSFLSLSTSSIFSPLSPRRNLLRSTSTDLSFLSPLQTKSNQHSIPCSSGRDDFASSYGPPPNIPCTSLGTSKVSSLVHPSLRPLRTVSSLPQSSFEEYLDISPPSPTFHEKHQQHFNLDPPSLIPPWQLRLAKTKENDIYPCTLSFLPLSPSNKYAHHPPFPPPPPQPHVLCSQNNSRTQISEYEQGRVEGPCPSSSYGQSILNSHDVSLSLPQKDSSCIAITNGPSSSNYVEEVPMETILNQPTLSVPLEACKDELLHCKENGGIPIPPPPPPLCDHAKKYTRIPLPPPPPEGSHGILATTSTELIDVGPQLPPLSHLEWKQCPHHPPERLTSALPALPHYLPGEVGGAPSPPSPPPPQRENTSVGIQGGIPPLPPPLPPTLGNYGVAPPLLPPPPPSIGAGAPPPPPPPGGITGVPPPPPVGGLGGHQAPPAPPLPGGIGGVPPPPPVGGLGGPPAPPPPAGFRGGTPPPNAHGGVAPPPPPPRGHGGVGGPPPPPGAPAPPMPPSVPGGPPPPPGGRGLPAPPGGRGVVGHGLTRSLGMNSAATARRSTLKPLHWVKVTRAMQGSLWAEIQKQADANSHSEFDVKELESLFAIAPKTKGGSKSDGAGKSLGSKPDKVHLIDLRRANNTEIMLTKIKMPLPDMMSAALALDDSVLDADQLENLIKFCPTKEEMELLKNYTGDKETLGKCEQFFLELMKVPRVESKFRIFAFKIQFQSQIRDVRKNLLTVSSACEELRGSEKLKVIMEKILFLGNKLNQGTPRGQALGFRLDSLLKLTDTRANNSRMTLMHFLCKGLADKSPHLLDFYEEFVNLEAASKLQLKALAEEQQAVVKGLQKVEQELAASESDGPVSEVFRKTLKEFTDASGADVRSLSALYAEVGKSADALAYYFGEDPAKCPFEQVTSTLLNFVGLFRKAHEENIKQIEADKKKAQKEAEKEANQDRTPVKSKDGLVDRSPRSPFK >AMDW01079767.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079767.1:59:1143:1 gene:AMDW01079767.1_FG001 transcript:AMDW01079767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGARAEELQVQRCRARLNRLASASSGDDAEWEELRLKRILVDYMLRMSYYDTAANLAETSGIQDLVDVDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSK >KN539933.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539933.1:33401:36879:-1 gene:KN539933.1_FG003 transcript:KN539933.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPLPITADGARNVLVEQMLEPGKRVTVAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEGFVPILTEANVFRKHFSSLSNTSKTPLGELDSKCGNSFKLNN >KN539933.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539933.1:30562:30879:-1 gene:KN539933.1_FG004 transcript:KN539933.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAATAEMSTPPSLVKMTEKALNKMPQWSWQSVVDIVRQCQRLHPMADATGVFDRVIGALVSHVVITSGDATPTSSSPESSVFRFSCDTKSSCLSAWCTNRT >KN539933.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539933.1:26705:27458:1 gene:KN539933.1_FG005 transcript:KN539933.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCSVPRTRRHLKKRKQFQTVEMKVRIDCEGCERKIKKALEDMKGVSSVEVTAKQNKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDTVAHPYAPGAYDKKAPAGYVRNVVSDPSAAPLARASSTEVRYTAAFSDENPNACSVM >KN539933.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539933.1:41874:42107:1 gene:KN539933.1_FG006 transcript:KN539933.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRQRFHGNRGDEVVDDGGDLKAMGGGERARAGSGDEEVKDDSELEVAAVTRGEGGVGVEEGARVSQREQGGGTM >KN538925.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538925.1:62908:63120:-1 gene:KN538925.1_FG001 transcript:KN538925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGWRKPEMRKRPDRFLCRLRDFKLDSVEMVGVEPIPGVTHCDDMGNVLPVLPSHHFGLLLTIAPQIHK >KN538925.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538925.1:109495:110843:1 gene:KN538925.1_FG002 transcript:KN538925.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRVGKLVCALLAAALCLSCFARAHHFDDDGFGPGGGFGHGPKGFGRGPGFGHDCRFGRCRGGGGGFGGGGGFGGGGGGGLGGGGGGCLIGDDYCCRILRANSKDLRLVDWIKLQLHVIIIYVKEMQSLIIVNRTIQV >KN538925.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538925.1:26126:29723:1 gene:KN538925.1_FG003 transcript:KN538925.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRRIARDDDSQDHAEVAARPQVKFVVAVVPAFLQSKVTPTPCSTECPNGGNPSTTERFIYIDEGTTLTGVLELGNGEDKVHDPYITTKDFPEVTLTTCSVKCSIPDIEPNLTMVKEVTYASTDTTSMELVVDKNTTRITYINTPDYPKVTHTKCSTLGLDVKGSAYHSRVTCQTMMGVPEGVLGPDASSEVFSPWLMAVLNQYTSMPIRCLLKCPNGDKRPLMEHPKRNRWPPPTQNHSLVKGQVLQLTLSVLQCLGVRQQWMQPWLPLIGVIQEHICEQEQIMYRHWDPDKDKVHQHKILLGDWLPQPYSHLRFWDIGDDNVIGHLIGMAQLPKEKSSQVLKLVLLGFLFVISNGLGKTMDSDKEFFLLLTATSKIDNCAILKRGATYRLAWLLKQGNWEIAFDGELLNCLKGLHAGATDGHNKCFSGRKIGQNMQGCPLKNYLQGIAHIKNLGCAFLTNGITRDDQAKVVQETKLRAMAVSLNAWGRCGYPKEIKELHVPWDRGGSIILAKAANGGGSDLGTGLPPCRTLPSPTSPPSSSPPP >KN538925.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538925.1:78475:79823:1 gene:KN538925.1_FG004 transcript:KN538925.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGASYRDYVEIVFENPENEVQSWHIDGYAFWVVGMDGGKWSSVSRQGYNLRDAVSRYTVQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTSSTSWRDEYPIPKNALLCGRAAGRRTRPL >KN538925.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538925.1:97261:98334:1 gene:KN538925.1_FG005 transcript:KN538925.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRTVLLLLLLGIAAELSSVALAGFGRGPFGHGCKFGRCHGDGFGGGGGFGGGGGGGLGGGGLGGGHGGGFGGGGGVGGGAGGGVGGGGGFGGLGGGGLGGGQGGGFGGGAGAGGGAGGGLGGGGGFGGGGGGGLGGGGGHGGGFGAGGGVGGGAGGGVGGGGGFGGGGGGSLGGGHGGGFGGGAGVGGGAGGGVGGGGGFGGGGGSGLGGGQGGGFGGGAGAGAGGGAGAGIGGGGGFGGGGGGGLGGGHGGGFGGGAGVGSGAGGGVGGGGGFGGGGGGGLGGGHGSGFGGGAGVGGGAGGGVGGGGGFGGGGGGGLGGGHGGGFGAGAGVGGGAGGGVGGGGGFGGGGGGGF >KN538925.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538925.1:116891:123103:1 gene:KN538925.1_FG006 transcript:KN538925.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKTIQGISLVITARRLRPPAPPPRRRAASSSSSQGQPKRWVGSTLVVCPVVAVIQWAQEIERHTAKDSVRVLVYHGGRRGAQKYDFNKYDFVITTYSTIEADYRKHIMPPKTRCCYCDKLFYPNKLKVHLRYYCGPDAQRTEKQAKQESRKWGSKKGTSKRRVQKKKNDSDGEDFEERDGSQSRGQSPLHSVRWERIILDEFEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTLLKKQCDCGHSSVRHFCWWNKVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTAELGDRSKNEGNENMESQCGICHDMTEDAVVTSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTRSSGEKVTPNLKGGKRSGILGRLQNLADFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLKFLFQN >KN538925.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538925.1:12839:19755:1 gene:KN538925.1_FG007 transcript:KN538925.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEIQKLADECAVQQHRAAVSAAGGGGSGDPYVGVTIGTAVTNLLSPSDLAEFLRAQRITHVRLYDADPRMLSALASSGARAIVGVPNDELLALGSSPATASAWVARRVLPYAGANSSTPGLIAAIAVGDEVPTALPSALPVLLPAIQSLEAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVKNLNLTGGGVPVLVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVTDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASFAFNSYYQLQGKAAGSCYFQGVGMVTTTDPSHDSCIFPGSKLLSNVTKSDGANTTTAQTSDAEGSAIWRLRTGRETGFLFSLRWLLSLSVVLITTNSNFWT >KN538925.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538925.1:173938:176626:-1 gene:KN538925.1_FG008 transcript:KN538925.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSPVPAAATAARPKRTSSAPIRPSDYTHSPAHHCVAWKEEETVKTLRPTVWLTEDFPLTVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLLEPEEFFTPMSSPSLLASPGPGSIMHKPDTQKSSYLKWGLKNSRSKPVNLSQVADNTDPFTIPSDYTWVSLGSKGQDKKSSKTKKGKTKETKLS >KN538925.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538925.1:157725:163782:-1 gene:KN538925.1_FG009 transcript:KN538925.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MECTSVGFLSGAVQLQFWYVASLAYRPSLATDQSLDIERANVRVAYQGSPGTAIEEMVFKAFPDCIAVPCKKFVAAFEAVDSSLADIVVLPIENSSTGSFHQNYDLLLRHKLHIVQEVQVEMELCLWALPGVQKNDLRTIFSHPEEFAQCEHSLSSLRVVKKNVDHCAAGAEIISMQNLGDAGVIGNAQAAELYGLNIVECNFQDASPNLTRYLVLAKTADIPKEYGQYKTSIVFGLEEGPGILFKALSAFWMRDISLSKIESRPNKREPMRTQGNEKHFNYIFYVDFEASTAEI >KN538925.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538925.1:88063:91053:1 gene:KN538925.1_FG010 transcript:KN538925.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYSLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSSGDNVLRLGADHPSENLMLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRPQIQGVSWKTSLDGTLHLIEGNLWKELWGAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVIKAISWLITLQVTSYLYQDKCNALPHAICGYQLSVCFVAAELVLPAGSNLSKLFYDCKDYILSIYQDDISKEKLDEICKVYETMEAIVTHPEETLQIMEAPDEKSPLESKNRFKIKLNFIGKDRQPLWKRAPKDPKEEKKSSLKDEKRSSEERSTKIFSNLLDKKVSIFSKKNAKPTTEVPPPPLPSSAPGPFDDSEWTIL >KN538925.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538925.1:35632:43041:-1 gene:KN538925.1_FG011 transcript:KN538925.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEASKRLLRGSGGALSVTVLLMPAPTPDAAVDIAAQVKREEASGADDISFRHLPAVDTPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGIDEEPPASVLFLCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRPGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKDILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAEVADGRRVGGGEEGEGEGGGDEGHLPEGRGRGRNRKKEIKFMTYNVWSREDVVVYTRMKAIGSLVEKHKPDVIFFQEITPYILRIFQSFAWWKEYHCSEVKPEEQATKQHFCMMYAAFLYTLLHFFGAIYILRKGFFRYS >KN538925.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538925.1:4762:9020:1 gene:KN538925.1_FG012 transcript:KN538925.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGACLAPRSPPAFLAGGFLAARPAALSPIPSRSGTDGWMGILGVAKFTSHIVKEDNVCVPFVKTNGFNCTHLILLGCLAVGKSIAPSRRFIVSNKLAWVEDELVEITESQEPSSASSKKRSPLRRGKISPQLPVPEHIPRPSYVGSNRPQELSSVRQIHSAEGIAGMRAACKLAAHALDFAGTLIKPSVTTNEIDREVHNMIIEAGAYPSQLGYGGFPKSICTSLNECVCHGVPDSTQLQGYHGGASRTFVCGEVDDSIRHFLKNVLKLAYFYGYYVVDRFVGHGIGPIWHSEPLILHHANDNSGRMVEGQTFTIEPILTMEKAETVTWEDGWTTVTADGSWAAQFKHTVHMWQDYRSLAEELIDEICDESSVPGEWLVRRPADKLPMPSGIPITMGQQAE >KN538925.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538925.1:105243:106307:1 gene:KN538925.1_FG013 transcript:KN538925.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLGKLVCALLAVVLCLSCFARAHHFDDDGFGPGFAGGEGFGGGGGGGGGGGGGFGGGGGAGGGGGLGGGGGEGSGFGGGVGGGSGAGGGLGGGGGGGFGGGGGGGVGGGGGQGGGFGAGLGGGGGGGMGGGGGGGMGGGAGGGFGGGAGGGAGQGGGGGLGGGGGTGGGLGGGAGGGLGHGGGLGGGGGFGIGVGFGSGAGAGSGAGGGGR >KN538925.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538925.1:185703:190033:-1 gene:KN538925.1_FG014 transcript:KN538925.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHETVDEVDVEARRGGGRQQAEAAAWERMRLTWSWWRSADDLPTTGAFMASSLVWNDTHANPSSPARPATAKLCGTTTICPRRSSPSNTRLPSLLTTCSSRSCLGSLRAVLEKTASWSVVGDEDEEEPKNEDKVGGKVSAVGPICRVRSFVRIGQRRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >KN538925.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538925.1:148768:156126:1 gene:KN538925.1_FG015 transcript:KN538925.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKQINSYKVGGRSDAASAPRTKRLPVPGMARTTPLPDRKALDMILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFELQPNRCNGVALYQINHRFTITSVDFVFLLDDVFLICSNAMQYNAPDTIYFRQAHSIHELARKKFQELRDEGIPTENLIKSEQKIRPHPSNREPIKKPVLRYSDDDLGFMSHKEQVSRPNSKDLEDDRKFKDQVKKTISRNSEDVLSSSFQKERVKKSSVRNSDDDLSSSFQKEQVKRPISRNSGDDISSSFHKEQGRKVISRNSENDRVASFHKQHDKKPTSRSSKDELPSQKKHIRKPVCTNGEEPDFSSHRDSVENPVCTNGEHVGVLSPKRLVEKPICRNRDDLGHSHKKELNNKSICGDGQDDMGYSCNGETVKKPVRINSQDALGSDVSAATIASAGDGSNGLSMSQANAVEPQDCIAANGFMDKDISSPLDEIRSEKPDDVSARESSVKPSYKSIVVDETRRKTYDTYEEQPSSESDTIFDVFCEEPKELVNVGPHSEHSYARSLARFAGSLGTQGWRLASERIQRVLPTDVKFGRGWVGEYEPPLPPILFVQNQPRSLVSSEANVQRSASMTRNNERIKPTESVNPKDMSLSLLNRITTGNNVVGVPGPLESPEIKPRLFGVTAEPQQRSTEAPSLHEKHRAPGSVAKTKRAPSEQTRKGSSSSSSRPLQKQPQRPEISKGASNVLDMPSLNKMTGQPRPFFQPAEAAITQQMRKSETPKSSHPLEMAHQRLECAKGASGVHDMPSLNNTSGQPKPFFQSQEAAVPQPRNENTWVYHGRPGDGKYGTTDKSRPMSSMGFITKNQQVNAASFAMNLNGQKNVNDNVKSVGSTVMPVQVNTTNRGPDSSRNIFSAFPPAVRENQSIPSAPVAQSWISFGASSESKPTIVSPTFHDSNSGWKMPFANARPDEAKMTAVPQFFRQPVQMVRESPGQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPPMQQKPNKDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >KN538925.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538925.1:50445:51883:-1 gene:KN538925.1_FG016 transcript:KN538925.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPDAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWISLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEPPASVLLVCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRPGQRVPTDAMLDELLPEGFLDKTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPMLPWPLDNEQHANAFLLVSVLGVAVPLRLDRERDNFVEAAELERAVSTLLGGGDGEAGRKAREKAVAVKAACRKAVEKGGSSDAAFQRLTEEIRRGAVNVPKKRN >KN538709.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538709.1:111494:113853:-1 gene:KN538709.1_FG093 transcript:KN538709.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLPEKGNQNHDENRRGYDDKFKAIIADERTYKWNYLKDKQKKVTLQIPVIYPTKSSIRPPFTQLTDKNIGPMAKGDSKSNHVLAPMLGKEGLLASSIEKSNPQLTCPIRELVRTKKGIPARSVEKSNPQLICPKQMCGSVRGVSLKGQSTMANDNSGEELKSCKVKNDSISKESAKELMVHKGNSYEDNKCDKICGEVTMGDDKHHVNIGSTKSLKRDYIPSTCGDHYKDIMKGNEVPNPSLLTKDEPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDLKDSTKVAMPIAVESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSEVWPKSLEASSPTDDSIGLYFFPPKMRLDKGLDQLVKEIVEKDMALSAVIGEAQMLMFPSTLLPEKYQTFQGKPYLWGVFKRRGGDEQQVVAMAAEQQRGSDQRVRQEQENTQFQDDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVMQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFKANDDDGAKKFKMME >KN538709.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538709.1:65742:69333:-1 gene:KN538709.1_FG094 transcript:KN538709.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAERQEMQMKKSKLLNMLDEVEQRYRQYHRQMQAVAAAFEAAAGAGSATTYTSLALRTISRQFRYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEETKAKEEEEEEHDAAAAAAGDRGGVAEQAPSKPDDSAGIGMSSSSPAAAASRNKG >KN538709.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538709.1:22028:22666:-1 gene:KN538709.1_FG095 transcript:KN538709.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGKHGDDDFRQGCRRFITVLVVLAILVGIIALIVYLVLRPTHPRFYLQDATLRQLDLSNSSSTAGGVLSTTIQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPNVPFAPYLADAISQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTAGGNGSPGASGFRFQTTTYCHVEV >KN538709.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538709.1:5962:7482:-1 gene:KN538709.1_FG097 transcript:KN538709.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGNQERTCCGSLFTFIVTGGFVVLIYWAIFQPHHIRATVASADLTNLTVAGAAVSYKLAVRLSLYNPSLRVNIYYDELDSELRFRGERLGHATGATPAEFYQRRKSSDDVTFEFAGTGVAVVGDAAGELGKEKGKGSVSLEVAVDGKVSCLKDEVVK >KN538709.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538709.1:144225:144644:1 gene:KN538709.1_FG100 transcript:KN538709.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MRQATAAVLGDATGDGGRPGRRGRRRRQTRKMLAVDPDDDGRFGRRRTMVVVLRRERHRRLIRKMADAEDGAAEPNDGERGRRRRSIRMTWTRKTAATPTVATDDGCVDEGVRRNAASAGAPSLREVGPQSWLRVESGN >KN538709.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538709.1:60343:64996:1 gene:KN538709.1_FG101 transcript:KN538709.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEARWSYAVFWKAIGAADPVHLVWEDGFCGHASCSAGSEASEAGCESGGAVCTLVRKIMASQVHVVGEGTIGRAAFTGNHQWIVHETANDHGLRSEIAAEMNNQFRAGIKTIAIIPVLPRGVLQLGSTSVILENISSVQQYKKLCCQLNNRSSMVASASAKNDLSQKVQSRSLHGLPSIHPYEQCYGHDARALSSSTSANTGRNTSLLKVAQRNDQAVREQVLYAPDMRFRQQLPYSDRRVDINTHSSAMSSGFISSISASVEKYPLLTNSIGQVEHGNMEESSGPRNALLKSLSCRNPVVHENTNTNLFHGGDEVPAFLNSHGSFDFLQAGPRVVEANLYNNGTSSQVLDQRCSSIAGMAGYKPSVSYKFPHSAQFIVKMESPRRQSFQEPAAPSSGSDVQVSSGLKTTTRQFNPEHMCQNKKTNEVNDSSAAVSTQDVKNMDRHKILDISNERTSSFLMDPSTENDLFDIFGTDFHQLHRSLDGDLSWNTAKPQSSDRDAPESSIYLDSSPAFGAQEDEFSYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSTSYCGSKETKQCKSSGAPSLLIKNELAVSNFVKQPCFLEKAEDGCLSQNNGVQKSQIRLWIESGQNMKCESVSASNSKGLDTANKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKIHGGGENGPLLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGGGAGDNPNNVKIPPGIVQHPVIPATGHLR >KN538709.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538709.1:25108:32186:-1 gene:KN538709.1_FG102 transcript:KN538709.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVRFFASNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKPPRAPKADDDADEGHTHSEHPSKGLETGICRTPTLMPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAANRLCFNQVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVEHGKNPNKYIRYSPENIDQALGEFFDGQQFGEQKRLRQRRHYSLSAVIVFYFMKLSLFWRSILCYLVSFGYVKVEYEIATVGTERPAKCQCEGKEKKADESRMKIESDSRLRKAPKNFPS >KN538709.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538709.1:96383:96835:-1 gene:KN538709.1_FG103 transcript:KN538709.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVAGGKDGVVGRWSWDRFDSEKARKNVCWCCTVAMSGWTSTGSDGGVASPPLAAAPTIRDGGGEGEIRRPIKIGWTELEEGLWDCLPPGFLCIIVGHRVPLTAMVSYASRRDHRIRRHEPDATNSCSLRLMATACPHPVASAAGDARN >KN538709.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538709.1:128313:133943:-1 gene:KN538709.1_FG104 transcript:KN538709.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTRSSFGPSQRKKCRSKRSKGFKSKVMNGIANDVRKSQFYYRQPNKRGNVNGDRRNDDRNKAQTHGVTSTVASKEIPKKSNRKESLSSSKPYNATTNTSSKLSKVLTSPPSRLPMLSPSKGVRSVPSTRREERRKEEATQGCHDHSWKNARQVRTEQSTRREDRGKEKNRHDRHDHSRKNAHQISREPSTRREESGKKKVTHDHQIHLGENVNAHPMRSEPSTRREERGNKEVAKEHPNPLQKNARPMCSEDLLRDGKDGGLRISDECSEHSTTDHVNQIKLIKRKLEGCIQGRIPNVLGREEDDVGNVESTRGRNGQMGKKHKTNLDVSEDSRHLASNGSIVQKQCRGFEDYEDVCIFNLLSGIFKIDGKEYVPLAGHLSTKSCENVCMLSRSLRALVNVTKHSRPDGKLDQLVKEVIEYDQALRAVMGKTEMLIFPSTMLPKQYQAFRGKHYLWGLFRPRKDIVGVAEEQAAHAMCLENQEGSKDGTEQVEFHGVPEPNMDTEPQDPEGAEMQDAADQNMAPAIGGSNASRANQPSMAATQPANHEQIDPSIGIPQGRMFAFVAQPTQRFEQLMQELEREGALISTMPRVTYGPGRGQSQATTAKE >KN538709.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538709.1:148600:149592:-1 gene:KN538709.1_FG106 transcript:KN538709.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPARVDSLPVAALEETARLVRRAAPKRRVAELNIEAQQASGGRRGGCRRHGSRGGRVLGADGVQVLTEERHGGGVLGADGVQLLTEDQHACGYLSDAEWHPGGCFVPESEDQACGGIDDSELPPDSGFVHVSQDEASNDVPDSDLPPDGGFVPDSEDEASGGVDDSELPPDGCVVPDSEDEASSGGDDSELPPNGCVVPDSEDEASGCVHDFELAPDGCVVPDSEDETSGGVHDSELPPEGCIVPDSEDEASGGGVLNLEQKQEKGIFANLEEQHMDGIEQLVGGEEVAGLQDDVGVSAGDGGVDEFAEIRESMLRILLPLYFTIPHK >KN538709.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538709.1:83443:86661:1 gene:KN538709.1_FG108 transcript:KN538709.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSIAIEIDGEAICLDSVGDNEEQGTHENQEIQIIYDAENEGQVAFDNGEQGKEEHPMRNEEENQENIPVIPSREELTEELRNKIANSEEEAYRLYCDYGHRMGFSVRKGKQYYFTGTKTIRTKDYYCSKEGLKDDEQLTEANFNKPDTRTNCKAMVRYRVDSEGHWRVIQIVPEHNHELVRPEEVHLLRSVRTLSIPKPGVLNAMVNAEIQAMHENLHMNDDGAECQSQLGIQSYTLLEPEDSEALVGYFKRRTIEQGVLPETRHCICQWSIEKNLQSHLGTLNVSGTFHSMLTKCMRECESEAEFEETWAMMLNECNMQDDQWLSDLYQQRRKWSTVHHRDAFDGGINSLDRSDSSNNVLSSIANESTSPTQFILDFDKLVGSWRTNESAEDIQCTQTSPESRVKHRSILEHAAEVYTRKVYKSLETEYLDGCSATSYQEMQCSETLYRFEFILQRSGPKVQSKGHQDTRKLILDVFENGEKLVESLCELKRLNAHASGREKDGRNVKQAVSSQTADTVLVDPPNQNQYFATEDMATNSSIGRPFFYQGFPSAGVSTSQIQGHTNIHSVPQCAPQEYSAYGAAHPPPTFGGGRNF >KN538709.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538709.1:43785:44723:-1 gene:KN538709.1_FG109 transcript:KN538709.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGTGVFLPRTYVYHAKEESPAPAATKASSRDGKASKDLLVEEQQKQPGQGEEDEEEGSPAAKAKIEQRCPPPMTGTASSEVMRVRPNAAAAALPELAALPQEWTY >KN538709.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538709.1:103724:104038:-1 gene:KN538709.1_FG110 transcript:KN538709.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRRVAEALPSSAHLRRLIIISRPPEKAIVMLPSRSVPKITGVAEDRIYLVLHCYDRRQDVGCPYFAGRNLCIATPSTSTQPMQYRSATARCPHAVVKSTGP >KN538709.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538709.1:49339:52085:1 gene:KN538709.1_FG112 transcript:KN538709.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTGRRVPASPVEAARRTTTSKPAGVEDEPDMLYSTVGLPPHRPPHAAKPSSRLARAAYVHFTRAGCPAGRLFDEMPKRDRARSLRMRHWRPSSPLCSSSSVLSIYHQRGTRGYKQAAFKSKDKFYGSSSRVSAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSASMGIILQRSEDNLVQGQLKKCITCYCCWNPSWSQLCGSINRMFVAFPLRPGINGIVNQYEFSKHRPVGLGVGGRCMCWWVNLTT >AMDW01127704.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01127704.1:759:1109:1 gene:AMDW01127704.1_FG001 transcript:AMDW01127704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDGPTLRSLLRPSTNGRRTKASDGGGGGGGGGGGIFKMFKLMPMLTSGCKMVALLGRHNRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPVFLIELPMLTSALHKEISSGV >AMDW01052329.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01052329.1:50:576:-1 gene:AMDW01052329.1_FG001 transcript:AMDW01052329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLTVFDRAAMDLYVPSVYAWNADAGGAEAPSNDKIKNGLAAVLARFPHLAGRFGVDEHGRRCFDLNDAGVLVLEATASASLADALSHDVPAHINELYPKADKERADEPLLQVQLTRYTCGGL >AMDW01020259.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020259.1:18:290:-1 gene:AMDW01020259.1_FG001 transcript:AMDW01020259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWLPLFRYLLASPAPNAAAFSSSSSGGDDVQCPTSPPLPAALLRLLLSPAPTLPASDPPAILFQTLPPLAQSQALSFLASSAGLLDPAL >KN539790.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539790.1:23739:24827:-1 gene:KN539790.1_FG001 transcript:KN539790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGGCKHSRSASTIVAGAASGYHLLKIDGYSRIKGLPTGEFLKSCAFTVGGYRWRIHCYPNGHKSDCADFISLFLHLDDITKQVKAQYIFRLFDEWDDNPPPSLTSQEIRVFGSSGWGQGEFINREELEKSEHLKKDSFTVRCDIIVTTGFRAEEETPEALTPRKANFVSVPPSDLQRHLGDLLHNEKGADVVFEAGGETFAAHRCVLAARSPVFSAELFGSMKESDAAGVVRIDDMEAQVFKALLRFVYTDSLPESEEEEQDTMAQHLLVAADRYAMERLKLICEDMLCKYIDVGTVTTILTLAEQHRCEGLKKACFDFLSSAVNLKAVAAGDGIEDLSKSCPSLMKELIAMLGNFVP >KN539790.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539790.1:61302:61718:-1 gene:KN539790.1_FG002 transcript:KN539790.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGDTAAGVVRVEEMEAQVFKVLLRFLYTDSLPEMKEEDVMCQHLLVAADRYNLERLKLICEEKLCKYISVDTVSNILALADQHRCDGLKKACFNFLGSPANLSAVVAGDGFKHLSKICPSLMEELVVKLALPATHA >KN539790.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539790.1:11928:12803:-1 gene:KN539790.1_FG003 transcript:KN539790.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACDFSGTSGWCDTRFVRREVLERSRYLVDDCFTVRCDIVILAGAGAAPPPSSSLFGAVESFGRLLGREEGADVTFEVGGETFAAHRCVLAARSKVFEAELFGPMREGAVACVVRIEDMDAEVFRGLLSFIYTDALPDQGDLGDEAHEWHDDDEREEEIATWLQKLTVAADRYDLQRLKLLCEEETHDYISERTVESMLILAEHHHCRVLKDACLDFLSSHGNLRKVMEPDGGYGLDHVIENCPSLTKELIRKFAIVMSNISDSSNVSNVRRRSLVEREVGGEEADVDGWL >KN539790.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539790.1:29612:30468:1 gene:KN539790.1_FG004 transcript:KN539790.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKGFTSSHPSWGHERFLRRKILEQSSKVLNDILTIRCSVIVVAKKLRSKNQESIVVPPSDIRRDFGDLLRTEDGADVTFQVAGELIAGHRCVLAARSSVFKAQILGETTNDGRQKAEATHASFILVEDMEPQRLKLICAKKLCERIDASTVADTLGLAEKHHCRLLKEACLEFLKAPANLKVVLASDGLDHITATCPSVLKELLAKFAS >KN539790.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539790.1:42830:44325:-1 gene:KN539790.1_FG005 transcript:KN539790.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAARRGNPSRSASAIVVDTATGYHLLKIEGYSLTKGIPTSLSLKSSQFTVGGYRWRIDYFPNGDCAGSADYISLFLSLDERANKDVKVRASWRFQIGYTGHVDKPPSLATAKCDIAVVRQFRVEETTEILPVEAFVSVPPSDMNQQFGDLLETEKGADVVFEVGGETFAAHRCVLAARSPVFRAELYSSMKEGDTAGVVRIEDMEAQVFKLLLRFVYTDSLPEMENGDEDVMCQHLLVAADRYNLERLKLICEEKLCSYISVDAVSNILALADQHHCDGLKKACFHFLASPANLNAVIASDGLKHLSRSFPSLMEELVAMLVPLLSHALVI >KN539790.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539790.1:4867:5145:-1 gene:KN539790.1_FG006 transcript:KN539790.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLLVAADRYDLKRLRLLCEHELCKHVNKGTVASMLALVEQQRPGCQGLKKACFEYLRKTPKVLREIMATEAFDHLVNELLLSNKLAIRE >KN539790.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539790.1:67576:68664:-1 gene:KN539790.1_FG007 transcript:KN539790.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRGNPSRSASAIVADTATGYHLLKVDGYSLTKATPTGSSLTSTQFTVGGHRWRIKYYPNGDCADSADYISIYLLLDENASLDLKVEAKYLISFADQVKTQPSMKYRTVRTFHREGSWTWGYGKFIKREDFEKSDHLRDDSFTIRCDILVVHKIHTKETAEILPVETFVSVPPSDMNQQFGDLLDTEKGADVVLEIGGQTFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRIEEMEAQVFKVLLRFLYTDSLPEMKEEDVMCQHLLVAADRYNLERLKLICEEKLCKYISVGTVLNILALADQHHCDGLKKVCFNFLGSPANLSAVVAGDGFKHLSKICPSLMEELVVVLALPGSS >KN539790.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539790.1:78658:79611:-1 gene:KN539790.1_FG008 transcript:KN539790.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSPASSPSAATAGASDEKVKVQAKSDFQISYTGQVDEPPWLATMKADTFDGAGFRSFGYEKFIRRCDFEKLIRDDSFTIRCDIVVINEIRAEESTEITTTTAAAAVPPSDLNQQLGDLLESEKGADVAELYGLMKEGGTAAGAVHIEDIEPRVFKVLLRFMYTDSLPEMEEEDVMCQHLLVAADRYNLERLKLICEEKLCRHISVGTVWNILPLADQHHCDGLKKACFDFLGSLANLSAVVASDGFKHLCRSCPSLMEELVVTLALPGSHA >KN539790.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539790.1:37861:38535:-1 gene:KN539790.1_FG009 transcript:KN539790.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSADVHGNSSTRSTSAIVVDRVTGHHLFKIDGYSFTKETPTGTPIASGEFTVSGYRWRIEYYPNGRGKKSADYISLFLSLDKNTNGKVKVKYQIELADRVKKKKKQPSLISKPVRTFGRADSWSWGFPKFMKRRKFEKSKYLRDDCFTIRCDIVVMREIRTEEATFVSVPPSDLKQQLGDLLETGKGVRSSNGPCHPFSKASPSQPKQQKERDGCCSAAHID >KN539790.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539790.1:16105:17159:1 gene:KN539790.1_FG010 transcript:KN539790.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALRLKLLCEEEMYDHIGEKTVEAMLILADHHHCRVLKDACLGFLGSHGNLQKVMAADGLDRVIKNFPSLTKEIIGRFAVVMANKS >KN539790.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539790.1:2769:4724:1 gene:KN539790.1_FG011 transcript:KN539790.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQSEHQESWRTVTSATSYAARRAPTWCCVLHARPSVFDLELFGATTKEVVGEITDFVVVVVVRVDGMEAQVFEALLFFAYTDSLPEMKKNMEKEQARRRQCFMKTQSEHQESWRTVTSATSYAARRAPTWCCVLHARPSVFDLELFGATTKEVVGEITDFVVVVVVRVDGMEAQVFEALLFFAYTDSLPEMKKNMEKEQARRRQLTDS >KN539790.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539790.1:49703:50059:-1 gene:KN539790.1_FG012 transcript:KN539790.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQNPAQAAALAAQNPILAAAVLNSALASTTTALKPNPAAASSINPAAVVARGSPVAAPAMKPLLVAPQSPAVAGAAVVPSPVKVGAAISGGAGVLGPYKPPSSPMLASSIEQRDQC >KN539790.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539790.1:73292:74380:-1 gene:KN539790.1_FG013 transcript:KN539790.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAACRGDPPSRSAIVADTATGYHLLSIHGYSRTKGTPTGSPLKSSRFTVAGHRWRIHYYPNADRADSADYISMYLFLDEKSNARSVKALFQIRFADQVKAQPSLALHAVRTFGDGSWSWGYAKFVRREVLEKSRDLRDDSFTIRCDIVVVREFVAEEATEILPAGSFVSVPPSEMNRHFGDLLETEKGADVVFEVAGESFAAHRCVLAARSPVFGAELYGLMKEGDTAGVVRIEDMEAQVFKMLLRFVYTDSLPEMEAEEDVMCQHLIVAADRYDLQRLKLICEEKLCKYIGVCTVSNILALADQHHCDGLKKACFSFLGSPANLSAFVADDGLDHLSRSCPSLMKELVVELALPPSHA >KN539790.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539790.1:19154:20257:-1 gene:KN539790.1_FG014 transcript:KN539790.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSAGSRKRSRSRSGSASAIVADCASGYHDLKIDGYSRTKSLANGEALKSCPFTAGGYSWRIFYYPGGQTPGCADYVSLLLRLDEDVPAAVMAQYQFRFLGDVGEQAPPLTMTRSNKFTSWKGWGLCKFITREELERSVHLKDDTFTVRCDIVVTKEFRSEETTTTPSEESCFVAVPPPDLQRHLGDLLHSEKGADVVFEAGGETFAAHWCVLAARSPVFGAELFGFMKESDATAGVVRIDDMEAQVFKALLRFAYTDSLPEMEKKDEGAMCQHLLVAADRYAMERLKLVCEEKLCEHIDVSSVATILALAEQHHCDGLRNACFDFLSSPENLKAAKAGDGFEHLSKSCPSLLKELIAMLGNFVP >KN539959.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539959.1:26922:34036:1 gene:KN539959.1_FG001 transcript:KN539959.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPCLLARLLKPVPGRGVAGSGWLTGCFGSGSDNAPQVVATMCMCNGTKKSIPVKKAASIRNLDASDLVGKKRKRPSVNTRCELGMINELVNTLNGNQKIRLTELGFGWTFKFNVTCHGSRDLIEYLMNHFDPKFDGPLDPPIASDVANLQPIREGLGISGFDRIYSRDLLETIKGAESGARSFANKEMDTSTSIPRSGVFNSELSKKISKLNKIKNKDGSVTFGKLNLRSRKSTSYYVSKGKERVVAAIENIRKRKHLVELKNNLPLVEHSLVFASSWGPLLIHFGVNVKIGLQALQKYDEKVEQMMSNIYKAQDHVVRVITSLCNINDPKLTYSHKSKRRRGDHANVQSPSNGLTEELNNDNVPISPHVQDQRRSQYFGVKDMLTSWTISYEDYFSYWRGISRLSISHFCHPCTRRGQPWGHLINYANEATVWNQQELINSFGVGNKLGSDMMFYLSHCLLSNDIEHKKSSVGYRVIIPTNAIYHYPDCVQFLDVLDKIYPHHFTRIRNWHCYLAKVPQQSLENDCGFFALMNMQYWDENKLVKQVNSDGTHFRQEFLYHELFHRLSDNKPLLPGILEKFRP >AMDW01036755.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036755.1:2:634:1 gene:AMDW01036755.1_FG001 transcript:AMDW01036755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNVMNKQKPIWLRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELL >KN539959.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539959.1:65518:65829:-1 gene:KN539959.1_FG002 transcript:KN539959.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVELDGDGADNGWRWSCAGISGSDGEVHGTEAGCGEPTGDGRRVARLATAGDAFLRCDLRTWCGGGDSALASTCPQQWDPVELDTAAVRTVVHLLLMQQR >KN539959.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539959.1:58408:61868:-1 gene:KN539959.1_FG003 transcript:KN539959.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFRRAGDDRDGPAGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRAAGAGERGSLSAADKKRRRPPASGSWLCGAAVARCLLAIQEEEDDEIGKGAAVVPAEDVKASEVGLVMQGWDVEEEESTVVVGEVEVEKKDEILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVSQVDSVKDENENDDDDEEEEEDEEDEDGADTDKECKEQARDSAVSTKEAECRECDGSLHDGCEAGQVDPLETEAVETSECRDLGEEASEDGDCREDEEKIEPEEAPIVRKDSVLEVALAGEATGRDIQLPLLEMVETVAEAQEEVSVPGPEKEEQEMKGRRSSSCCSPSTALKEDRKLRRLSSRRRVGSSSRASSGSDRVGRRHSFSAETEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMGSRKGQKISSEPESEKDCAVHAAPNSAEETLESHDDGKEEATLDGQEEGTTQETQVDEKGEKVEVGGVEAQDVVEEQKQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGQNRRNRRQQKAGCNAAPAEELKDTDNAEGTSDAKNTEESVAPASANLVSMPPPVVQKSPLKEAAEQKFKIELPLVTGAATYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >AMDW01005780.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005780.1:2:202:1 gene:AMDW01005780.1_FG001 transcript:AMDW01005780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDIQFRRVPCNYPGLKINFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAYGGATGVWTPMRE >AMDW01064383.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064383.1:127:428:1 gene:AMDW01064383.1_FG001 transcript:AMDW01064383.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSK >KN543323.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543323.1:213:599:1 gene:KN543323.1_FG001 transcript:KN543323.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MREREALLQRALVAVVVGSAPPPSIAEVQEAIQSEFEFAPGSISVRNFYPENFLIHFRADDDCRRAARRRSIRVGALRILVAPWSRFLHAEAGSMLFRVRLHLEGIPGHAWGEATAAALLGTSCRISS >AMDW01028316.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028316.1:124:249:1 gene:AMDW01028316.1_FG001 transcript:AMDW01028316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVSASGVAEAAGNKVYGKVELDNFSLALKWSKIGNFHMSLIQ >AMDW01040701.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040701.1:264:1551:1 gene:AMDW01040701.1_FG001 transcript:AMDW01040701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RDDPWFSALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYASAMSLAGVNPHECITVGDSLHHDIKGANASIVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPSYVLPSFTW >KN538880.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538880.1:100247:109700:1 gene:KN538880.1_FG001 transcript:KN538880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISTTLASSASAKTSSRTCYVATHRRPSGDEAGREEGASSSSLAPEEEDGTASARSANPQRVALELASPCCAALARRPRRSGVRRGVVAPGRAEAGAPLVLALSLGVTVVPNAACRFAPPGCMPAACVDLQQVIKHYWIVSAPYYAQREGDRCALQGDMNADFQQRIAFAFFKQYCDYATRFHSKYKNSAGGPSNADNQDFEDDGGFLGRTRLGRLIQAAARELLEKLNSARNKSPTKIFLVLLGFYTANALATVLGQTGDWDVFVAAIVVATIEGIGMLMYRKPASRPPGRFWSMITMVNYWKAGNISLMANSLNQCEKREPDHDRPLSKAGRAAAISVSNKLQQMGWIPELVLCSDATRTKETLKILQDHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICQYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVELNLIPFYYLMGYILRAVIEPPLKCILLSLHHVTVVLKGNLEIQL >KN538880.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538880.1:18021:20781:1 gene:KN538880.1_FG002 transcript:KN538880.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRSGFPSSTGGKGKGVAVVGANPWYSDDGSDGSKSSSESERTVTDDYFGIFVHPGHGDQEVDSRKANRHEADHSETDSERTGSAGFGKIANSAGNSHHFSDVDSGLSPEGKRQKSARFQPERILSGGNSYGANSGHQRDANSGMFLLSSEKTQAKTNKDIPESWKIHPRKHEVDPDGWVVEIHLRNDQKTKDKYYRHKDYNHKFRSKPEVQSFLDTGKRSADASVPSRDELYTGRPAV >KN538880.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538880.1:90252:90542:-1 gene:KN538880.1_FG003 transcript:KN538880.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYSEFSCDCSLAVTTAIASIRTITEYHGLAPACYCYTLAFATTRRWKTRWSMATPSDGALGLEVGNLQEEKERKKRGFGDEKRIGVGVDPGETR >KN538880.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538880.1:75203:79198:1 gene:KN538880.1_FG004 transcript:KN538880.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPSGLPSSTGGKGKGVAVVGANPWHSDDGCDGSKSSSESERTITDDYFGIFVHPGHGDQEVDSLKANRHEADHSETDSERTGSAGFGKIANSAGNSRHFSDVDSGLSPKEKRQKSERFQPERILSGGSEMQTPGANSYGANSGHQRDADSAMFLSFSEKTQVQTNKDIPESWKVHPRKDEVDPDGWVVEIHLRNDQKTKDKYYRHKDYNHKFRSKPEVEYFLNTGKRSADASVPSRGRGRGRPRTTRTMTLNSGSGTSASGGKGPESPLQLPHGFV >KN538880.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538880.1:48339:57446:1 gene:KN538880.1_FG005 transcript:KN538880.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGTIRRGSHGPSGLPSSGDGKGKGVAITGANPWYSGDDSDGSNIISDSERTVTADFRIFVCILVVMVIRRWILVRLITTRQIQKPIARELKAASFGMIANSFSNSLHFSPMWTLSCSQEKGKTQVKNNKDIPESWKVHPRKDEVDPTGWDIENHLRNDQKTKDKQYYRHKDYNHKFRSKPEVQYFLDTGKAAGAMPIQRTCCCSRLLHDARVYEVFQDYMVSYLLDVRAPSGARHEDDGTIPRDPSLSYRGSANSTVPPTLTWELQSTATPKAPKVKEQFQLGDGEPKKKPTSTPSQKRNNKRKRVAAFERLWSRAGELRILRAMASYTNTHRSTLPDTCDLFAALASNFNRRDALADKVQKLKRGMTMHAYNSTALLTTMVCVDCWIAEQHLVDLINTMVVSPRATPEPGGSIAPDGGGGEA >KN538880.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538880.1:92710:95903:1 gene:KN538880.1_FG006 transcript:KN538880.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKCITATRITIINFAQSLQFNFSQIIGKVIICKVTFHAFYRSANSTVPPTLTWELQSTPTPRKNRPSPRKATPKASKAKARLQLGDGEPKKKPAPTPSQKRNNKRKQVAAFERSWSRANKLRIPRAMANHANNHRGALPDTHNLFAALASCLDRRDADLPNLVDKVHKLKIWYDNARLHQRSSTDDDAIGRVFSLYLPPVGVLA >AMDW01022170.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022170.1:44:127:1 gene:AMDW01022170.1_FG001 transcript:AMDW01022170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RVTPESVIFSFGTVLLDLLSGKRIPPSH >AMDW01038142.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038142.1:187:542:1 gene:AMDW01038142.1_FG001 transcript:AMDW01038142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGKGITDLKPVLQNIFGENLVEKDEFLKTFSKECEYLSNVVTDGNVIKHDASIDEDSAVE >AMDW01037232.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037232.1:195:619:-1 gene:AMDW01037232.1_FG001 transcript:AMDW01037232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEGHHDHVPVYESGSEVLQKLQEKWNSTKQQRYPAMYSSVVGGIILDPSMMVIPIDDHMIHRGHGVFDTAMLSDGLSFTISSSELFI >AMDW01035152.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035152.1:56:386:1 gene:AMDW01035152.1_FG001 transcript:AMDW01035152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHK >KN543874.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543874.1:51:149:-1 gene:KN543874.1_FG001 transcript:KN543874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSGYRAAEQEDRQVDLMGDSDFDDDEYGQS >AMDW01074446.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01074446.1:296:1515:-1 gene:AMDW01074446.1_FG001 transcript:AMDW01074446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLMALGRAIDAGKLSRDSQLHSKILTVQNSYNLLCRNFDAALAECCHHERISLLAYSPMAMGILSGKYYSLDGGPQDARMNLFKGRYSEGESRYNLQNPKLKAAVKCLHCSPYKLTQEYTKIAAKHGISPAILAVAFVLRHPLVASAVFGVTEISQLTEVLQATRIHLSEEIVADINEVHARYPNPCP >KN543342.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543342.1:982:1689:1 gene:KN543342.1_FG001 transcript:KN543342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQHTSIDRLDLAELKLSAAAATWVAVGSSGLLTKGADVVFEVGGETFAAHRYVLAARSPVFSAEFFGSMKESDAVAGGVVRIEEMEAQVFKLLLRFVYTDSLPKMKEEDIMCQHLLVTADRYNLKRLKLICEKKLCKYISVGTVASILALADQHYCDGLKKACFNFLGSSENPPVASII >KN543080.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543080.1:2752:4064:-1 gene:KN543080.1_FG001 transcript:KN543080.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFSFRNKSKAPKNPPQDPPPPPPEQPNPSVEAILPGLGFRGRRDATLVKDLRASDEKDGDFTLADLVSCQVYLKGKCRALYVHKLKDCRVFVGAVFGSVLIEDVERCAFVMAAHQIRIHEATATDFYLRVRSRPIIEDCSGVRFAPHALKYEGIGEDLRDAGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQTIDISDVQEREDDN >AMDW01028190.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028190.1:2:379:1 gene:AMDW01028190.1_FG001 transcript:AMDW01028190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKVILAEPLLPGKEADFADDDDVEAQLTSYHTGASFSRTCLNLTNAVSGIGVLSMPYAVSQGGWLSLLLFVLVGAVCYYTGTLIERCMRADGSIASYPDIGQYAFGATGRRAVAFFMYVELYL >KN543080.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543080.1:5474:10544:1 gene:KN543080.1_FG002 transcript:KN543080.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNDRWKIYYLQFAPVEYHVTVNIPAGWIRGPMPLFKRKPFSLLEPPKDLDSKEKISGKSNLTFEEALVSEHHAVSKAQKLPTELMAPVLRMIQYSTLGLYELVEKIYASLQEAVFEGLELYAKQDGLEAACRILKILGSDGTKMYEVGWLLRDKTIISTSVIKGEDLIHRRPPVSRNTLKIFIRDATSQNAPWVIHENLAKRYGIPIEPPNDMM >KN543080.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543080.1:4744:4998:1 gene:KN543080.1_FG003 transcript:KN543080.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLATVASKAKGHQSNMERGDEQQPFKLPDEEHFKMMPTNTGSNKMTRSKKSQPSFQINNIDYRNAQSCSSAVTNTNAEDLRL >KN538899.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538899.1:79744:81788:-1 gene:KN538899.1_FG001 transcript:KN538899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGTTSSWLTAVKRAFRSPSKDDSPNKAARLRDDTDDDKERSRDGSSFAAGDDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEEMDVDGQPRWAERWMASRASFDTSRSTVRASAAAAPGRASTDHRDQN >KN538899.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538899.1:39260:42885:-1 gene:KN538899.1_FG002 transcript:KN538899.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSAASLASVLLLPYFSPPTSVAASSSSHSPFDVGTTPFLRFRRGFLFVFSLASVAEGIHSVFGEDEFARCGLGREQMAARLAAAAAAVLFLGGLSGVVSDKLGPRRACIFYWMLQLAVGALKSFSGLRCAWISNLISALASSMFYFCFETWFVVEHEKQGQKQDLLFDSFWLMTFFESMSLLGSQGITNLLVNDDDKGFLLPYAFAALLSIVGILYIRKNASSTTHHASVIGSYQKSFFAHVFRGYWDIGDTVLHLPCMCRLYSAFTSTIENNVTV >KN538899.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538899.1:10451:14616:1 gene:KN538899.1_FG003 transcript:KN538899.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CHIP [Source:Projected from Arabidopsis thaliana (AT3G07370) UniProtKB/Swiss-Prot;Acc:Q9SRS9] MSPAADSAASKRQAELLKQEGNAFFKKDRISAAIDAYTGEQLIHIYVAYTILCQSEFCSEWVRVEEDCRTAIQLDSHSVKAHYMLGLALLNKDELAEGIKELEKLQLKFCLRHFTDDPIFTNPVVGAWKGEACKEALRNYNSLDNPAAYVPEEQLNELEEVFRKARKSDIPTEVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLHRVGKFDPVTRETLEPHQLVPNLAIKEAVHAFLSEHGWAYRMR >KN538899.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538899.1:167254:194997:-1 gene:KN538899.1_FG004 transcript:KN538899.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQMKKKSYEVFKVSDHWDISSATANTNLWMLSLGTIVFNMYLLDLSWWNASYIILKPYERSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDTYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILLIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRLKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNSSSPGSPRTDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKMGVYWKFGMKLVDAMFAGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFQHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSQNCGMEELIMVFEAGDVSMQSKDTVRDASRTQESDSFLDYISKSLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGLCIFFDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNSTSDDTKSEPKKLALNMFVSLKLAKLSLRVDLEDHHEGSSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESNSRVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHVIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKSKCNGTSDLNCYCAQGPASNSQCKTNHSNCSSNSSQNSMNASLTVLDLSLVSVRVHLHESCGILATLSVPESIATLSLSDASSWDLLLSAKDIMLSSPWTSPSVHELLWGRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTPLPEEHHSVENHNLESSGESLDSMTYTFELCDCAVLFPVENQHFFGLRLGVPYFFGEFISTGSTAEFANRIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDEKMPRRKSLVEKLDADLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLVIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDSHTLVSIMSDGSLSPVFIKFAKHDGRDEILMSVPSFEFMYLSGRKQKIVQAMLCLGLMVLVQCKLPVITLQMILDLLNQKIASDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKAAGYIHQPEGNPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVSFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKAKFYLLALYAVSLVMWEPFIEPSKFQLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDLGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFTVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRVESFSTAAKSNGPKFSLTETITFYSNELNCPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSYERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSFANKIPQLDFADGGSSYSNRTAANNSKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPRELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGVSNMIRVEVQNADIDVHSNKFAGRNNSNTGTILILLSDDKTGFVPYRVDNFSMEKLRIYQQKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILDDDIHVSLPLTSEFCVKDFILLGPSQKRLKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHLGQLDRSFDLGILDGATDIFGRYEKISKRISGKHQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKIFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQSPVELLNGIAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSVEMPNIEDAEGTLQILLALIEKGKARRWDKNIIHRSNIY >KN538899.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538899.1:5298:8591:-1 gene:KN538899.1_FG005 transcript:KN538899.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMEEDLPEPEAAAAFGHQDDDYCEAELIQVEYYIQCLRKSGKIEKLRAAREEMNKYFPLTPKMWQEWTKDEASLRPESFEDIEKLYECGVQEYLSVRLWRDYLDFVEENDKSVSQCSPSGLKKMRNLFERAITAGGLHVTDGSKLWEAYREYEMAILTIIDDDDEEKAKQVQRIRVLFHRQLSVPLVDMEPILAEYKSWEAEQGNANDPTSNFDGVPSNVVAAYKKATEMYNVRKQYEDQLSNADASDGDKLEEFLKYIKFEESSGDPARVQVLYERAVAELPVSTDLWMGYTSYLDKTLKVPAVLKSVYQRATRNCTWISELWVRYLLSLERIRASEEELRHVFEQALQCSFPSIKEVTYIQPHSLFSFWLSTYLLAQ >KN538899.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538899.1:196565:198000:-1 gene:KN538899.1_FG006 transcript:KN538899.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGMDNKEYSTMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCRQHYGGVHASPQGDHSANTYWRVV >KN538899.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538899.1:164809:165909:1 gene:KN538899.1_FG007 transcript:KN538899.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >KN538899.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538899.1:94312:94773:1 gene:KN538899.1_FG008 transcript:KN538899.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKSIKPFEEDYEQLLKDARDVHQRHGCIVNVLAVHPITGERHEVIVDSTSDGDVSAPNEDHFTARVVRRYLRLKGRLGEVTLAPDAKRGVRWCHEARRRQEAHVPRQSSQARCAEAVQGSEDGHLGGAAAGVGLSRLFVPRRSSHGGTA >KN538899.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538899.1:32538:32876:-1 gene:KN538899.1_FG009 transcript:KN538899.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRSTAAARGRLYGQVGVKRRGVEETAAAAEGGGGGGYLGVEAAVLLGVVTATLLVLPLLLPPLPPPPPMLLLVPVAIFAVLLLLVLLPSDAKSIAAAGRPSSSSSSLYL >KN538899.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538899.1:15302:16203:-1 gene:KN538899.1_FG010 transcript:KN538899.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGTPRARELSGELKLAVAPHRNVTGEATVFPALGHACARFQDDLEHVAGVMLEFALFDIYRVLRPGGLLWLDHFVFPGEQLNATFAPMVDRVGFRRLRWNTGKKLVSALLEKPMT >KN538899.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538899.1:57666:59207:1 gene:KN538899.1_FG011 transcript:KN538899.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSSCGGAGIRLRLLVVLHLLLLVPSSAMAFNYADALAKSIIFFEGQRSGKLPPGNRMPWRADSGLTDGGQYNVDLVGGYYDAGDNVKFGLPMAFSTTMLAWSVLDFGKFMGAELPNARAAVFDFADRHRGSYSDSLASSVCPFYCSYSGYHDELLWGASWLHRASRNASFMSYVEANGMQLGAGDDDYSFSWDDKRVGTKVLLAKGFLRNRLHGLELYKAHSDSYICSLVPGTASFQSQYTPGGLLYREGESNMQYVTTATFLMLAYAKYLRSSGATASCGGGGARGEVSAAELVAVAKRQVDYILGKNPAGMSYMVGFGCSRDAFADDRGNFAQSEPATYINAPLVGALAYFAGTTK >KN538899.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538899.1:92519:93094:1 gene:KN538899.1_FG012 transcript:KN538899.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAELLPELACLGSFFRVLAAMEAPRISHGPCWWHDVAKDDGTTVAGCAEEGQPLAFEPDVLF >KN538899.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538899.1:122562:122741:1 gene:KN538899.1_FG013 transcript:KN538899.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEQIDLDVIRDVDLNKLEPWDIQGS >KN538899.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538899.1:152298:157723:-1 gene:KN538899.1_FG014 transcript:KN538899.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHATAPPPEGDAGEREMRDLEDLLSKLNPMAEEFKKGGGGGFGGQGHAGKRRMNSRTSMAQRDEVIRRTVYVSDIDHQYDQCSISYASPFSDLVFSLIAFFMYQKLYTESAFLEEIVTSCKSEIVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >AMDW01010210.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010210.1:40:228:-1 gene:AMDW01010210.1_FG001 transcript:AMDW01010210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAID >KN538686.1_FGP127 pep scaffold:O_longistaminata_v1.0:KN538686.1:684989:687489:-1 gene:KN538686.1_FG127 transcript:KN538686.1_FGT127 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLLRAGMCVARFNFSHGSHEYHQETLDNLRKAMGLTGLLCAVMLDTKGPEIRTGFLKDGKPIQLKQGKEITITIDYSIKGNESLISMSYHKLAIDLKPGSTILCADGTITLTVLSCDCEQGLVRCRCKNSAMLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLELVRSVLGEHAKSILLMSKVENQEGVANVDEIIANSDAFMVARGDLGMEIPIEKIFYAQKVMIHKCNIHGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMANICLRAESYLDYPYIFKKLSSEAPVPLSPLESLASSAVQTANISKASLILVLTRGGTTARLIAKYRPAMPVLSMVVPELKTDDSFNWTCSDKAPARQSLIVRGLIPMLSTATPKAFDIEFTDEAILSGIDYAKKLGLCNSGDSVVVLHRIGGYSIVKIVTVN >KN538899.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538899.1:47767:49007:1 gene:KN538899.1_FG015 transcript:KN538899.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAALLLAPVAAPWSRVPSSAGRRVRCAATAPAPMGEKTEYRDGPVERAFMGLFARKMEKYAVVSSSGGKGKEKKKEKRSRSVWDWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFKKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQNFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYANLCSISTPSAPICPKLQT >KN538899.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538899.1:26183:27519:1 gene:KN538899.1_FG016 transcript:KN538899.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDHHQDVVAAPRGGGGGGDDGQAHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNIELIAFVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVKVEGDRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGDADRYQKIAFDVVTGRQASICTAYAIGTSCLSAGDEIKI >KN538899.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538899.1:65436:67306:-1 gene:KN538899.1_FG017 transcript:KN538899.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMLPLLVLLVVGLRLAGASPPPQPAYGFTNDGVFFTGWPVVGFQHRIQASGTCISSPEDGLLSSCTWDPRIRGPFLYNSGFSIALSKAAAFVADMMRLRDLNPRAFCDIDAKLGILMRYVKASSAYLGKPEDCVDFDVTYYRSYDDGEPRPHSDVFDELEQMALRKYGAVPHWGKNRNFAFDGAAAKYPNSGEFIKVKERYDPDGIFSSEWSDQVLGISGSPNIVDKRCAIEGLCVCSDDSHCAPELGYFCRPGKIFKDARVCSKDKSAAGAGAGDDDLLDEL >KN538899.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538899.1:28816:29211:1 gene:KN538899.1_FG018 transcript:KN538899.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSTASVASLGSTTSTEEDGTSLFHLKAGATATNTATMHHHHHQQQQLPASTHALLNTNSSAMATIPIDELSRAIGSYSNHHHQPNSTTTHQSTALPPSQQSPLLPFASMEKIWDWNPLLESPKVCTNFK >KN538686.1_FGP128 pep scaffold:O_longistaminata_v1.0:KN538686.1:665521:666641:-1 gene:KN538686.1_FG128 transcript:KN538686.1_FGT128 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGHSRPPAGAPGRLKFGSASAGDAMINSAGAPAGAHQYMTNPYAVDAMINSSALAGAHPPMAAYSTTFPAYGRARAPLFASHFALGETSGVPGYLDQEEGFVGRHLGATTPSAMELGKEKPPRHLLRKTKLCGAYMGGYCAVGSHCDYAHGAHEIRAVVPHSSPYYKTEMCLTFTRGEICLPSLNCPFAHAREELRQGPQQRHRTAPAAAAAHAGPSSTTAVAAGGIKTILCEYYWRRGRCCEGDACDFAHGQEDQRLVPEMRVGGGGRPCLELATKGWCKFGLNCKYFHGRAGV >KN538686.1_FGP130 pep scaffold:O_longistaminata_v1.0:KN538686.1:746724:749731:-1 gene:KN538686.1_FG130 transcript:KN538686.1_FGT130 gene_biotype:protein_coding transcript_biotype:protein_coding RAGSQRDLRDEDARFVYVNDAARTNAPPAKFPDNSVTTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKTENNRTASVLVDGVFQPKPWREIQVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPPEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTLLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSNPNEVEKYKWYGTGAQVVFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGDADGHAVAADGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDDDPAAAAAKLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQSKK >KN538686.1_FGP132 pep scaffold:O_longistaminata_v1.0:KN538686.1:735811:740071:-1 gene:KN538686.1_FG132 transcript:KN538686.1_FGT132 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHDHHGSSRHMSASQKEFGDEDARVVRVGDAERTNERLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLTVTAVKDAYEDWRRHRSDRAENGRLAAVLLSPGAGTHFAPTKWKHVRVGDVVRVYSDESLPADMVLLATSDPTGVAYVQTLNLDGESNLKTRYAKQETLTTPPEQLTGAVIRCERPNRNIYGFQANLELEGESRQIPLGPSNIVLRGCELKNTTWAIGVVVYAGRETKAMLNNAGAPTKRSRLETQMNRETLSLSAILVVLCSLVAALSGVWLRTHKADLELAQFFHKKNYVSDDKNANYNYYGIAAQIVFVFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTTLYDASSNSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASVGGVDYSDIARQQPVEGDRIWVPKIPVNVDGEIVELLRNGGETEQGRYAREFFLALATCNTIVPLILDGPDPKKKIVDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRSTEKHLHAYSSLGLRTLVIGVRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIEQNLRLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGTFPGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVDLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFVMLDSIWQSLAVFFIPYLAYRKSTIDGASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEIQMSTVARA >KN538686.1_FGP133 pep scaffold:O_longistaminata_v1.0:KN538686.1:702909:706038:-1 gene:KN538686.1_FG133 transcript:KN538686.1_FGT133 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVDSGGSDDLFAISRQMGFVGSPRCSPASSPATPSSAATAAQQQFYSCQLPAATITVFINGVPMEMPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILMQSLQIGESYFLRAAVHMSAKV >KN538686.1_FGP134 pep scaffold:O_longistaminata_v1.0:KN538686.1:653198:654669:1 gene:KN538686.1_FG134 transcript:KN538686.1_FGT134 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPEISARLFVGGVAPGTSKAELRRHFKFYGDVADIWLRHGRPFAFVQFIQPAHAARALAEKNHFINGRRVYIRIAQPTKSSGRLANEMSKYPCQRVCRIDKSFYRIGDMYIATVGPLPDNCEESEYIKNLRRFGVLKDKMLIFDIVIDYISQDGRNFLYSFVVDRSDEAKAVHGNISSTRPATETCAKFCRYCQRAVTPGGSGGNCDGLVHTDACLIYQESFVHYPYCVAVADEWFPVGCFIGDVSNAE >KN538686.1_FGP136 pep scaffold:O_longistaminata_v1.0:KN538686.1:674751:678169:-1 gene:KN538686.1_FG136 transcript:KN538686.1_FGT136 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDTSRAPAQGEEAASTSPWPVRKLQSFTPGLCSQYKAYENAFVDMAKGTISDAMVLVNEHQTEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYKTSIEQLKKDSKYTLDKIAVGESDLQRGQTDLRSTGKQIRSLIGSIYKAESTATGLMDRLRTIPTRQSIELRAEVASMASDLKNQRCVLQERINKISEYGVRV >KN538686.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538686.1:696828:698427:1 gene:KN538686.1_FG137 transcript:KN538686.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQQLQSHNQLLPSRQSFPSERHLLMQGGSVSGESGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPETLVCTTATEKPSEANGSPVSHLNLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHVKERGSEDLFLDKLNRRPSNHPERCERRGGFSMSCQTANLDLNMNDTYDGPKHCKKFDLNGFSWA >KN538686.1_FGP138 pep scaffold:O_longistaminata_v1.0:KN538686.1:727584:728992:1 gene:KN538686.1_FG138 transcript:KN538686.1_FGT138 gene_biotype:protein_coding transcript_biotype:protein_coding MARMFRIQCRASDDLSLAIVNGEVWHKDARYGCSIKDEAGRPAFALVNKATGDALKHSLGYCCPVRAIKFEPGYLDESVLWAEGGDPVDGYRRIHVMNNADYIFDAEEAIPHNQALCLSVRDGAVVLAGIDHEDPKQQWIVRLQNTGRVTDAEGHRSFVLMNWSTGKAMKRSVDGEPVELVGHSLDSVDVALLWTRGDDLGEEFYCIRTVSDVGLVLDAAGGVPEFGGAHDGTQIIVFPCHGGANQRWSMLPLD >KN538686.1_FGP139 pep scaffold:O_longistaminata_v1.0:KN538686.1:730831:733504:1 gene:KN538686.1_FG139 transcript:KN538686.1_FGT139 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAFKIQCRASDDLSLAIVNGEVILAKSDPLDDRQARPSSLLLRFCVTFTTFPCLGHTRTDTPFVLRFNQIFQICTLEYVQVRLVKFEPGDLDESVLWTESEDTGDGFHRIHMINNADYIFDAEEAVPLCDGARDGTRLILFRWNGGHNQVWRMAPCIGAEPDHEPPVHVVCQSDTNLCLTVRHGAVVLARIDHKDPKQHWTVSFRNTGRVTDEEGRWSFLLLNPSTGKAMKRSADKEQPVELVGHGPDSVDVALLWTRSDNVGEGFHCIRTVSDVSLVLDAAGGGRHDGTPIIVFPWNGGANQRWSMLPLD >KN538686.1_FGP140 pep scaffold:O_longistaminata_v1.0:KN538686.1:672000:673441:1 gene:KN538686.1_FG140 transcript:KN538686.1_FGT140 gene_biotype:protein_coding transcript_biotype:protein_coding MLDARYKLWPCVFVLCDFGRYPPSVAGLDKDVIAPYRHVVPNFANDSGGYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVVGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCIIVRGADAVKKSFLMNLINGISREDWTRMWNRLKEVERHFEYQYPSQNDDAVQMIWKAIARKAPSIRLKVNRLQRFSRFETNRTDETPTRSSWLENQT >KN538686.1_FGP142 pep scaffold:O_longistaminata_v1.0:KN538686.1:682689:683414:1 gene:KN538686.1_FG142 transcript:KN538686.1_FGT142 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLLGVSSRRHGLDDGSSDLAAFARRQYRNIAFLQLLSALQDDDEAGGDTPGVLVSPADARAMLADGNGAASAAAAASGFTLGDLFLGPGLDLLLDYLADTDPNRQGTPPAKKEAVAALPTVRVHDAPGATCPVCLDEFEAGGEAREMPCKHRFHDGCILPWLEVHSSCPVCRYQLPTDDEPTAGNVVVAAEGGDELIGNARGGGDGDGDGGSSGRRRWLSWPFGGLFSHRSSGSSSSS >KN538686.1_FGP143 pep scaffold:O_longistaminata_v1.0:KN538686.1:647815:650388:-1 gene:KN538686.1_FG143 transcript:KN538686.1_FGT143 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHDTRVQSYFPTKIWVCLSDKCDVTKATKMIMEAITKVKCDALSLDILQQQLQEHLSTTKFLLVIDNLWAEDYIFWELLRCPLLAGEKGSKVLITTRNERVWRRTTSTILPVHLKGLDDEECWLLLKMYAFLHGQGRENDALSKTGRMIAADCRGSPLAAKSLGMLLSDTNGEEEEWLNISNQMRILNEDHNRILPSLQISYHHLPYHLKQLFALCCLFPVGHEFEKDEVIRLWIAEGLIQCNARRRLEAEAGRFFDELLWRSFFETSGSPTNQRYRVPSLMNELASLVSQSECLCVEPGNLQGDINRDLVRYASILCQKDELLELSMICNYGNIRILKLSTEVRIPLKRVPSELFLKLSCLRTLEMSNSELEELPESVGCLTHLRYIGLRKTLIKRLPDSVSTLFNLQTLDLRECYRLTELPEELSRLVNLRHLDLHLEWDRMVPISMPRGIDKLTSLQTLSRFTVTADAEGYCNMKELKDINIRGELCLLKLEYATHENAGESKLSEKQFVENLMLHWSYNNSQAVDESKRVIESLRPHSKLRSLWVDWYPGENFPGWMGESSFTYLEDLRICDCRNSRLLPSLGELPKLKKLHLGGMHSLQSMGTLLGFQSLEVLTLWDMPNLQTWCDSEEAELPKLKELYISHCPRLQTVTNLPRELAKLEINNCDMLCSLPVLQHLHDLVVRRGNDQLIGWISKLMSLTSLTLMHSTETMDVQQLQQLSALKRLKIGGFKQLSSVSDNSGMEALSSLEFLEISSCTELQRFSVVGLQSLKDFKLRHCTKLEALPTGLGNLGSLRCVEIHDIPNLRIDNTGTVLPDSVSYLTLSGCPDLESWCRNTGAQRVKKIPNVKIGF >KN539282.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539282.1:58890:61225:1 gene:KN539282.1_FG001 transcript:KN539282.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAILQHAYCYHNIVGDMGKPLPQQHMDNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVVYDLENQVIGWTEYNCSSSIKIKDEQTGATYTVDAHNISSGWRFHWQKHLAVLLVTMVYSYLIF >KN539282.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539282.1:2060:4952:-1 gene:KN539282.1_FG002 transcript:KN539282.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTLLDTSDIYGPHTNELLLGKALQGGVRDKVELATKFGIAFEDGKRDVRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKVKYIGLCEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSAGAKLVESLSDQDFRKHIPRFQPENLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGNRYPQAMANTTWQNSETPPLSSWKAQ >KN539282.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539282.1:26552:40857:-1 gene:KN539282.1_FG003 transcript:KN539282.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFLALVADVIVSIIAIHVNASELFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPEEKHIIPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKNNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPQLFPQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVPVNGLWEDDGEVRLQPAERDHAHEKRGSRWGKEGHWQPCAALCQASLPGSAPAKVMGNCILSTNVQRVALAASSNLALVLALASNYIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREYAKRSKQTPESVLEVFATMHGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >KN539282.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539282.1:11574:16255:-1 gene:KN539282.1_FG004 transcript:KN539282.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTADAAMLGGTPGGDGAVVGEVNVGGPAQKALRGFGFGRFGGSFFISLAAASPTLAFSWILYRHHRELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQTGNLEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWRSE >KN539282.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539282.1:90859:101798:1 gene:KN539282.1_FG005 transcript:KN539282.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIILALVVVASSTHGTMANGVFQVRRKFHIMDGVYKGSNIGALQTHDENRHRRRNLMAAELPLGGFNIPYGTGLYYTDIGIGTPAVKYYVQLDTGSKAFWVNGISCKQCPHESDILVFAKHPDITMGAMYNFQCFHFLGSVDDKFPKITFHFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGIHGYKDMIILGDMVISNKVVVYDMEKQAIGWTEHNSWLSTAIALAADNVSLVNDHDADEVADMPLVTTCAVGGCLPQIV >KN539282.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539282.1:7116:7388:-1 gene:KN539282.1_FG006 transcript:KN539282.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRGCTAAQLALAWVHHQGNDVCPIPGTTKIENFDQNVGALSVELTPDEMAELESYAAAADVHGDRYAQMANTWKDCETPPLSSWKEE >KN539282.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539282.1:52237:57627:1 gene:KN539282.1_FG007 transcript:KN539282.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYYTEIGIGTPTKRYYVQVDTGSDILWVNCISCDRCPRKSGLGLELTLYDPKDSSTGSKVSCDQGFCAATYGGLLPGCTTNLPCEYSVTYGDGSSTTGYFVSDLLQFDQVSGDGQTRPANSTVTFGCGSQQGGDLGSSNQALDGIIGFGQSNTSMLSQLSAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKSIDVGGTALKLPSHMFDTGEKKGTIIDSGTTLTYLPEIVYKEIMLAVFAKHKDITFHNVQEFLCFQYVGRVDDDFPKITFHFENDLPLNVYPHDYFFENGVM >KN540863.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540863.1:21815:22129:-1 gene:KN540863.1_FG001 transcript:KN540863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPNGAREGGDGSAANNQGNSASAGGAYSTNEDEYSADFRAVVSLLASLAASVTYTAGISPPGGVWGADDKANGYIAGLPVLRHTCTRSSTTAIPPPSSYPS >KN540863.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540863.1:39126:39401:1 gene:KN540863.1_FG002 transcript:KN540863.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHRRERARLRQFPNPRPHPLLPNLNLSPPPPQQQQQCYCPGDRPAVVYSFFSTAAVAMAATKGLEVDLELGVGGGMEEGLDLELRLGCS >KN541524.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541524.1:25595:26116:1 gene:KN541524.1_FG001 transcript:KN541524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMRSVAKMVVAMAALAMIMATTTTAAQQQRFSAREKAEFVQLHNKARAAVGVGRVAWSDVLAAKALEHARYCQKQHIQGKYGENLWWSGVGDSTGTPAEAMTYWVGERPYYDYRSNNCVGGRECRHYTQVVWSRTAYVGCARVTCNTNGIGTIIACNYDPRGNMKNERPY >KN541524.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541524.1:19554:20063:1 gene:KN541524.1_FG002 transcript:KN541524.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAEAKMVVAMAAFAMIIMATTTTAQEFSANEKAVFVQLHNNARAAVGVGPVAWNDALAAQALQHARYCQTQHIKGPYGENLWWSYGAGTTGTPAQAMSYWVGEKPYYDYSSNSCVGGECGHYTQVVWRRTAYVGCARVACNTNNGIGTIIACNYYPGGNIYNERPY >KN541524.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541524.1:12731:13235:1 gene:KN541524.1_FG003 transcript:KN541524.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALVLLAAATLAMAASTAAAQSSPQDFVDAHNDARRGEGVGLPDVVWNTTQQEKASYVYSSNTCTKGALLDCGHYTQIVWRSTTSIGCARAACSNGGGVIISCNYFPPGNFPDQRPY >KN541524.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541524.1:2147:2347:1 gene:KN541524.1_FG004 transcript:KN541524.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKASYVYSSNTCTKGALLDCGHYTQIVWRSTTSIGCGRAVCSNGDVLISCNYFPPGNVPNERPY >KN541524.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541524.1:9205:9675:1 gene:KN541524.1_FG005 transcript:KN541524.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLATTSTAQAQTTATDIVNIHNAARSAVGVPALSWDDNLAAYAQGYANQRAGDCALRHSDRNNYQYGENLSWNPSVQAWTAASSVDQWVAEKGSYDYASNSCVGGAMCGHYTQVVWRDTTAVGCAAVACNANRGVFFICTYFPAGNVQNQRPY >KN541524.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541524.1:22861:23334:1 gene:KN541524.1_FG006 transcript:KN541524.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAMIAMATTTAAQQFTANEKAVFVQLHNNARAAVGVGPVAWNDALAAQALQHARYCQTQHIPGPYGENLWWSYGAGTTGTPADAMSYWVGEKPYYDYSSNSCVGGECGHYTQVVWRRTAYVGCARVACNTNNGIGTIIACNYFPRGNMKNERPY >KN541524.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541524.1:3583:7016:1 gene:KN541524.1_FG007 transcript:KN541524.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCAASGPSAPISASVVSTVKTKRLKRDSNDIGWDFGIYRLKCHVAGRKGVRSCSSAPPEAIKKCKDALDQVRITKKARKEKEQEVRDSINLDADGDEDEVAQSEALDEIGGSARRNVGPMDKFTLPMEPSDLMNTRTAVQQKQVSAIVKKRQHALKRFIAKWVYVHGWMVEGGDEESDVEAVTGLTWKLIEEACGTEQYTQLRRSARLRTREVNEDIEQHVEEEPINTNDNEEDEIDFESDQDEVMTTKDYEEEGETDN >KN541524.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN541524.1:15998:16264:1 gene:KN541524.1_FG008 transcript:KN541524.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDGILVDNIDEQQRADEKHLATTPCPLCQLHAQNDRLRLITGASDLVLLGPMSSLFFLLSDDNSDLTTAIASHFIDLTNSDGSV >KN543409.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543409.1:829:1065:-1 gene:KN543409.1_FG001 transcript:KN543409.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRQALAVFVLVAAVVAMADAARPAPAEAMARLVDCGEGGYESMVYPAMVEKAKETVELLLARLPAGPSPKGPGH >KN543409.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543409.1:2563:4725:1 gene:KN543409.1_FG002 transcript:KN543409.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSSSAAAAASPLLLPSARRPFPSSKPWLPDGRAYRSRVPMVTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVMFDVNLLYIPGLDDE >AMDW01033882.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033882.1:146:435:1 gene:AMDW01033882.1_FG001 transcript:AMDW01033882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGYGSVVPDLPDVDSHALTQLLAETVGKLIDQYIDAMDKVKIKQGLKFAMAISSEGNAYLQ >AMDW01038902.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038902.1:51:272:1 gene:AMDW01038902.1_FG001 transcript:AMDW01038902.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQGCGSVVTEEQPHAMLMPLEYFLMGYGLYRPSQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >AMDW01038808.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038808.1:213:590:-1 gene:AMDW01038808.1_FG001 transcript:AMDW01038808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEEAPGRTSNIDINFWQEAGPIECVQSHLKMMILREFQGEESELSFLKFVGENARVLEKMVIVMKLGRYSAPEEVAAKVMDLQSAKWAREGNKLGFLISRLRAGGSAWSLLDGTDLSCDDPFMCF >KN539298.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539298.1:88720:93191:1 gene:KN539298.1_FG001 transcript:KN539298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGTSDGASPRPKSQEDLLIMVRDDWFVLSG >KN539255.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539255.1:120238:120480:1 gene:KN539255.1_FG001 transcript:KN539255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPTDVRPNVGTPVDAGSAAEEPAEAGSATGTPVDAARSSRRPIHAGDPADDTLPADDAAPPVIDAFAIGSSLGEATVA >KN539298.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539298.1:115658:116998:-1 gene:KN539298.1_FG002 transcript:KN539298.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQRQTVGNLDIDGDLTYEILLRLPAKTVLRCGAVCKAWRRITTNPTFLSDHARHRPPEALLYNSFGKATSKINMELDALSVAAHHQATVPERRRLACFPRYKNRGTGRLPRTGVSEQYCPLLASCNGLLLFRKGIGHYVICNPATRQWAEFPRLAGGGNLMECHRESGFYFHPQSGEYRLLCHCTMNREVYYMVLSVGADEPRRLDVEATDIELFSTTQYTRSMMTPAALHGHLHWLQHPEGRLTNHMAVFNMVDETFKQMTSPPVTSKFFADLLAIDKFLMASEFTDLGVDLWVMEGYGAMDERWELRHCVVLPWMRGVTLERPLLIEGGNDGDVIMGTAYGLGVYNVKSKTFRTVITVKPPDALLLSRNMLRESLLG >KN539298.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539298.1:52707:61947:1 gene:KN539298.1_FG003 transcript:KN539298.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSPAGGALVPPLPLPSSVAIVGEASMDARSSELEANNKKTTTIHKDILLARTEGQPCENISSGSDCDDIIILKRKLKSLKRKYVDLRESVENAKKSSAVVIESTNHGAVGSLFKSILENHTHSPDFDMVRNEVVSALDHFNEENSTRFTSSVQDLANVFVKLIPIKRRMDPKASPTKDEANTKEDLIVKQNKFMGFKLRNQGGFQTQKSGRYFLTMHVKNHDLVKYNALFMLQNVGAVHGDNHAFHNELRRSLVHLVSFQPGNEKPFSVAAIPIVSVNGFCYMISHSKVFRQQDAANWQHRVIFPDEIIVMLRIEDVIIQGSLAAFSVNTTAELPNPVKFSEQQVSHNQEVHTVNFEGVMEPSLLVRGRVTHYLRLCSIWRQQTKEIEMHFSSCKKTQGEKDCSGEPCWLLNKVAGEMFNTKFGWRLGSWDSQDWN >KN539255.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539255.1:113048:114935:1 gene:KN539255.1_FG002 transcript:KN539255.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAPIIYLDPATVAEARRRGDDPRKLEECTKYLMTHIYGLLPGPPAQLEHWLRFLAAKGVDDLILVNRPWPFEAALPAAILRISTLTRLYIGMWKFPDIAGLPTNTAFPNLRELGIYAVAMEKEGREVEFIVARSPVLETLNIQGGNTQVLRLRLEHRSLRCVQICSCCVENLAVVDAPCLERLVLYDSLSKDDSCVRVKIVHAPRLRLLGNLETGFHMLEIHDTFVSAGIRSSPSALFTSVKILGLNVNFGVRHDAQMLPNFLKCFPNAESLHVVCAKCSEATSLVSPNFWDDAGPIESIVSHVNVLTFREFKGEANAISFLKYFVQNAQTVKNVSVVLANPQFTSYSIDTLWTAKILKSVRWAR >KN539255.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539255.1:103390:104657:1 gene:KN539255.1_FG003 transcript:KN539255.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVLPIPMDEATMAEFRQRGQVPEDVESSIGTVLSYIHFAIPMHRSPSRPASPRSCPTTAPTTSTSSPTCSPPDRLLPPRQGRRAHCRALHPLAQGLALHLARPCRRRPPSCRHVRLRYLRRVRGCSRRHLTSSFMDQYQGLLASSFQFPDTAALPRAACFPNLRDLGFSLIGITNHDMDFVLARSPVLETLCLQANTLQRVRVASRSLRCLMMMGFDQDVNVVNAPRLERLIMLYSCGSSMLLKIGRAPSLRAIGYLDLETHVLEIGDTIIKAGTRASPSTMVPSVKILGIIVCFGVRNEAKMLPSFLRCFPNVETLHIEVRHSLLDLAFIYYLVTSII >KN539298.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539298.1:99082:100709:1 gene:KN539298.1_FG004 transcript:KN539298.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVLKAHRMQLYSPGPRDAPMKCFIVRNRTTQTYYLYIGLTDALTDDGKFLLAARKCRRTTCTEYLISLDMNDISKRSDTYVGKLRSNFLGTKFTVYDAHPPYAGDEISKGQSARVIGSNHVSPRIPAGNYPVSHISYELNVLGSRGPRKMHCAMDSIPVSAIEQGGTAPTQTEFPLSYHESFTSIPFFKSKSVRANNSTASLLTQNGSKLVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDESNPTNQEHDDVILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >KN539255.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539255.1:16848:17090:-1 gene:KN539255.1_FG004 transcript:KN539255.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGWRTFSWLDLSGGKRAMVAGAGEVVVMARAGGGRCGGDRYGGGQLGVGVDFFGGGCWCLRCERDGEIEGWGWVNM >KN539298.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539298.1:106491:108974:-1 gene:KN539298.1_FG005 transcript:KN539298.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDPANKLAVDGGVAAAAAAARCCDFCGGLPAVNRSSPDNGELDGEVLRQLGELARSEAAAQAAFVAGDQLASWASPEFTSGNGDFGIEAASHEAASTTVPSCENETWIMSTDCTDHTDASKTDIAREEAPASSSAEPCLSSLVEISEICPSMSYSGSGIDNGGHDPSTLAITPTQALPKKGVYDIAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKSN >KN539255.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539255.1:56238:67589:1 gene:KN539255.1_FG005 transcript:KN539255.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATSLPWWLSTTACSPPPPSSSSFSLSDRLAFLFLSPCPQRVVLGGAVDLAFLLAVVFVAVLSRLSRSRPEGIANGNGDHAEEEPLLAKPTAWLAAECAFLVAQFVAHLAAVGVVVAEKAAAARSHPAHLRLFWAGTAALAALFSGSAAARYAAREPILPDDAVAFAGLVMSLPLLYFSVTGSTGLGGEAIPDGEDRSCVPGHAAAAASYSTASWLSLATFSWINPLISKGSRAALAADDVPPVAPDDTAEATYALFVSNWAAPPAPGTKAGHPVVTALLRSFWPQFLLTAMLGLAHLSVMYIGPSLVDRFVNFVRRGGELTEGLQLVVVLLAGKAAESLASHHYEFQGQKLGMRIHAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEIAVALTLLYTHLGPAVLTAVAAIAVVTVVVALANRRNLEYQFKFLGKRDERMKAITELLNYMRVIKLQGWEETFGGKIRELREAELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCVLTGVTLDAGKVFTATAFFHMLDGPMQSFPEAIASVTQATVSLGRLDRYLLDVELDDTTVERVDDAGINPDGVVVEVRDGVFAWDVRGKKENEEGDDNEDDEEGEEEEEEKDVEETPVLETVLKGIHIEVRRGELAAVVGTVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDAERYKEVLRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSSIFKECLRGMLKGKTILLVTHQVDFLHNVDNIFVMRDGMIVQSGKYDELLDAGSDFLALVAAHDSSMELVDQSRQVVKTEYSQPKAVARIPSLRSRSIGKGEKVLVAPDIEAATSKIIREEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAIVWQVTEMASDYWLSYETSGSIPFNPSLFIGVYVAIAAVSIILQVIKSLLETILGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRVAWPSVIAVIPLVLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFQENLDRINSSLRMYFHNYAANEWLGFRLELIGTLVLAITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAVWKIEDHLPSPNWPTHGDIDIDDLKVRYRPNTPLILKGITVSISGGEKIGVVGRTGSGKSTLIQALFRLVEPVQGKMIIDGIDICTLGLHDLRSHFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWRALEGCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVILKRTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDADEGKHCISIASFSSQNAILKSKYLCSGLVKEFDSPSRLIEQPSLFGAMVEEYANRSSNL >KN539298.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539298.1:38392:40976:1 gene:KN539298.1_FG006 transcript:KN539298.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRCSVVPTRRITCDKVVLDNLYGEIAKSVVTIKRYNRTGLVNFATGFIIYATRSEVLVCTDHTVLKQGEDTYVYYSDGTARQAFEFIKRTPCGHAILLVSVQPGERRQYPVSFSTVQAKREEICMIARVNHDGDPGFMSGIVVAPSGKIMLRSGRVITRHEKKFALTCPHGRRGNVVAEGNLIGAAVFTLSGLVVGTIDSVVSGCFGLKFARHSSFFLDELNRMVHKELKKVSLSRGATPLSRGSKVVHVGSTSKRSHEGQTNVAAEKRAKYAAIRHRMEDWCGGSSW >KN539255.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539255.1:116207:117786:-1 gene:KN539255.1_FG006 transcript:KN539255.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRHERWMAKHGRAYADDAEKARRLEVFRDNVAFIESVNAAGSQHKFWLEENQFADLTNAEFRATRTGLRPSSSRGNRAPTSFRYANVSTGDLPASVDWRGKGAVNPVKDQGDCGCCWAFSAVAAMEGAVKLSTGKLVSLSEQQLVSCDVKGEDQGCEGGLMDDAFDFIIKNGGLAAESDYPYTASDDNDGTKYWLMKNSWGTSWGEDGYVRMERGVADKEGVCGLAMMASYPTAAIYRYPVGTSQRNRSMSTSLRRESAGHRGSSTDAANAGGADAAGADNLRRGGRSCRQAPTWGMVTWTAEDLRGDRDSAGEELRRGAWTVENPVW >KN539298.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539298.1:118679:120857:-1 gene:KN539298.1_FG007 transcript:KN539298.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESARRNHADDIGGDLVYEILIRLPVKSLLRSGAVCKAWRRITTDPAFLSDHARRRPLEAVLYSSKINLDDPMYKNRRQPERLRLRHWQYDYFDEEDEYCVPLASCDGLLLLRKNAGDYAVCNPATRGGAPLPPPTRGAARDVWCTRESGFYFHPPSGEYRLLCHCTGTRRSYPNTTASYYVLAAGGPRWRRIGVRATPISSPAVPRDMSCTKLMAPAALHGRLHWLLHPESGLAGDVVEFDTAPTYKRRAPCTLPQVQES >KN539298.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539298.1:103016:104489:-1 gene:KN539298.1_FG008 transcript:KN539298.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDREFEMVDDLEPARLFVGLPIDTVTDGATVNSARGVTSGMRAVKLLGADGVELPVFWSVAQPESPDRFSWAGFADAFQDLFDSTITHRITGSSPDQLLVQIKNACRRHGARIAGENASLVVTHTSSFSRIRSNVLTAERMRPGHFTYQRMGEAFFSPEHWPAFVEFVRGVVCGEWPDEDEDRDVADNPNAMEAQPV >KN539255.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539255.1:43917:52039:1 gene:KN539255.1_FG007 transcript:KN539255.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPGWMATTACSPPSGGSFPDLLAFLFLSPCPQRALLGAVDLVFVVASLVVLARPRGGGGGAGDGPEREALLPKPRASGRPFRVAVALGASGVFAAASAILLALALFLLPNTLRVFWVVTALVGALFSASAAVRWAEDSLLFPDDPLAFAGLALSLPLVYVAIIASSGEVAGTCEREPADVTTAAEPSTPYDAASWLSRATFSWINPLVSKGYASDSLAAEDVPPVSPAHRAEASYARFVSNWPAQGSRYPVGVALWLSFWPRVLLTAALGLVRLAAMYVGPSLINHFVDFISHGGTTWEGLRLVAILVAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGARRAHASGAIVNYMQVDAGTVSYAMHGLHGLWLMPLQIVVALVLLYAYLGPSVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRIKAITEMLNHMRVIKLQAWEEKFGGKVRELRQTEMGWLTKIVLFMCANNVVFSSGPLAMTVLVFGTYLATGGELDAGKVFTATAFFSMLEGPMHNFPQTIVMCMQAFVSLGRLNKFLSDAEIDSTAVERIDSSAGDAAAVKVQNGVFAWDVPVDGAEDARQGHGTENGREEGPEMEMVLKGIEVEVRKGELAAVVGTVGSGKSSLLSCIMGEMHKVSGTVSICGSTACVAQTAWIQNGTIQENILFGQPMHSERYREVIHACCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKELVMKIYRMSSESRKECLKGILKKKTVLLVTHQVDFLKNVDTVFVMKDGVVIQSGSYNQLLTSCSDFSVLVTAHHSSMEVPGAAEQVSHDQTTEYSQDTTVPAKSPVKSNSSNENGGTSVAPSKEAGSSKLIEEEEKESGRVSWQVYKLYITEAWGWWGVLVILAVSVLSEGSSMASNYWLSYETSGGTIFDTSVFLGVYVSIVAASIVCDAISTLFVTFLGFKSAQVFFNKMFDSILRAPMSFFDTTPSGRILSRASADQMKIDTALVFYVGFATSMCISVNRYIATSRELTRLQGVTRAPVIDHFSETFLGAPTVRCFGKEDEFYQINLDRINSNLRMSFHNYGANEWLGFRLELIGTLLLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISMTCMIENDMVAVERVNQYSTLPSEAAWEVADCLPSPNWPRRGDIDVKDLKVRYRSNTPLILKGITISINSGEKIGVVGRTGSGKSTLVQALFRLVEPVEGHIIVDGVDIGTLGLHDLRSRFGVIPQEPVLFEGTIRSNIDPIGRYSEDEIWQALERCQLKDIVAAKPEKLDALVADMGENWSVGQKQLLCFGRTDATIQRIIREEFTDCTIISIAHRIPTVMDSDRVLVLDAGLVKEFDEPSKLMGRPSLFRAMVQEYANRSYSTEARD >KN539298.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539298.1:64237:65416:-1 gene:KN539298.1_FG009 transcript:KN539298.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLILLVFMPCQTMLFTFDRVTSSPPLFSIISSWWMNPLPQHSSSTPIQAAARLDQRHKVTIMANNTISHWCGVVFDNFKDSVVRLDCAQQFRGNGFVVFGSGNTGLILTCEHNLPKTESNGNVAVVVVGSTVSALFHTGVRFDARVVYVDAGRDLALLRADTFSVPCTPLRFWEDGDVVLLAFFTMKYGQVLVEPGTFPGKILSEPVWDEETDSVEIRSDYTSESGTSGAPVFLQRVNKVVGVNSGALGGTVKTAISVRTIHAALRQWLQPGDENITIEEMLKRIAEQ >KN539255.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539255.1:108310:109630:1 gene:KN539255.1_FG008 transcript:KN539255.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPGPFRCVHLTSSCMEEFQGMLANWLQVLAMKGIQELVLVNRPWPLDLGLPATFFGMATLTRLYLGLWKFPATADLPRGVSFPNLRELGLCSVVMDTQDMDFVLARSPVLETLCIKGNLFRMRIRLASRSLRCAQIIGSSYEEIAVVDTPCLERLIVSGTWRHDGASSGSVKIGNAPALRVFGYLDPAANVLVVGKTAIKSATKVSPSNMVPNVKILALEVRFGVRNDAKMIPNVLRCFPNIERLHIKVRSKVEVLRAAKRPTGCSVLVTPSTEPEECNIWSFKRGSDFSRFDPFTDY >KN539298.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539298.1:17791:24165:-1 gene:KN539298.1_FG010 transcript:KN539298.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNLIGDCSTSSKKRKKACKSRGETGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDVCLPEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGQIERKEVLSVGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNSLEPVALVAIYYLELLCCARADLTKHF >KN539298.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539298.1:111973:114669:-1 gene:KN539298.1_FG011 transcript:KN539298.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVPALLLLLSSAALLVFLILPSLSPSPAAHLCACSPPTTTHTTTTVTTTTTTASPAPVTTSPADVAWLKSQLASNSLPLLASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALMPRDQVLEIGCGTLRVGLHFIRFLEAAKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLTELGLEWQHHTPELVQTRLQKKKEKKRKKQQRLKGGRRRRRLKKSLPPAPGTPWSASFCCI >AMDW01014766.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014766.1:6:254:-1 gene:AMDW01014766.1_FG001 transcript:AMDW01014766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RGLHYLHDELDSRVIHCDVKPHNILMDAAGTAKISDFGLAKLLQPDQTRTFTGVRGTRGYLAPEWYRGAGPVTVKADVYSYGV >KN539298.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539298.1:68203:69752:-1 gene:KN539298.1_FG012 transcript:KN539298.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKELSQAWWFDSHNLARTSPWLTNTLSELDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAAMGGKGTTGSRSSISLCSDTYDSESEVDDPEQEDMEEELSESEDMMKHSSQSQADQAAQLELMHAEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREYIIKNSKNSSSSSSRGFDFKKLTKDLFTAKLFTAHCKATTGLDSRGFVLTVPVKYPCASVLTAVEELAV >KN542457.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542457.1:1227:4271:1 gene:KN542457.1_FG001 transcript:KN542457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFIVVLTSIVFLMVTSNGQAQAPTGCVPRERDALLEFKNSITDDPMGQLKFWRRGDDCCQWRGIRCSNMTGHVIKLQLWKPKFDDDGMSLVGNGMVGLISPSLLSLEHLQHLDISWNSLSGSDGHIPGFIGSFRNLRYLNLSGMPFIGMVPPQLGNLSKLQFLDLSSCIGLEMQSRSGMTWLRNLPSLQYLNLNSVNLSAVDDWPHVMNQLPSLRVLNLSNCSLQRADQKLPHLHNNFTRLERLDLSGNQFNHPAASCWFWNITSLKHLILSWNRLYGQLPDALADMTSLQVLDFSINRPVPISQIGLLPSSQAPPSSGDDDAAIEGITIMAENLRNLCSLEILELTQSLSSGNITELIDNLAKCPASKLQQLILKYNNITGILPISMGVFSSLVYLDLSQNYLTGQLPSEIGMLRNLTCMDLSYNGLVHLPPEIGMLTNLACIDLGHNNFSHLPSEIGMLSNLGYLDLSFNNLDGVITEKHFAHLASLESIYLPYNSLEIVVDPEWLPPFRLKYAYFYCCQMGPMLPKWLQTQVDIIELDIANTSIKDTFPEWFWTTVSKATYLSISNNQIRGRLPTNMETMLLETFYLDSNLITGEIPELPINLETLDISNNYLSGPLPSNIGAPHLAHLNLYFNQISGHIPGYLCNLGALEALDLGNNRFEGELPRCFEMGVGSLKFLRLSNNRLSGNFPSFLRKCKELHFIDLSWNKLSGILPKWIGDLTELQILRLSHNSFSGDIPRSITKLTNLHHLDLASNNISGAIPNSLSKILAMTGQPYEGADQTPAASGVNYTSPVATKGQERQYNEENVEVVNIDLSSNFLTGRIPEDIVSLGGLVNLNLSRNHLSGQMPYKIGAMRMLASLDLSENKLYGEIPASLSSLTFLSYLNLSYNSLTGRIPSGSQLETIYNQHPDIYNGNSGLCGPLLQKNCSSNNVPKQGHLERTGQGFHTEPFFYGLVMGLIVGLWLVFCTLLFKKSWRVAYFRFFDKMYDKAYVLVVVGWARLTRKTATI >KN542457.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542457.1:15064:15562:1 gene:KN542457.1_FG002 transcript:KN542457.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIIIVSTTTSSSFFFFFLLLMITTGGGCKPRERDALLAFKEGIVKDPAGLLSSWQRGGHYDDDDDQLLEEDCCQWRGVRCSNLTGHVVKLNLRNDYADDVGTALVGEIGHSLISLEHLRYLDLSMNNLAGPTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQL >KN542457.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542457.1:5641:10915:1 gene:KN542457.1_FG003 transcript:KN542457.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDWPHVFNSIPSLEALHLSCSSLPGETQSLTQLNLAKLVELDVSINKFGYPVEASHVPEYFGSMKNIRYLDVSETSILSGRVPPQLGNLSNLQHLNFGFMHSDMYSADISWLTHLHQLKYLDMSSVDLSAVNDILPLVVNTIPSLEVLILWGCSLSSANQTITHINQTKLEEFHLSGNHFGHSIASGWFWKVTSLKILDLGQTYLDGPFPDALGGMRSLQELYFTDGNTATMTVDLQNLCELTTLLLDGGLSSGNITDFVEKLPRCSLSPLKYLSSQGNNMTGMLPNEISHLKSISFLNLKNNSISGAIPYYKSGQHSILEFSRQQHIRINSSTFDKININDTKTSDGINGDLSRNKFYGALPVWIGDLENLRFLQLSHNMFHGNIPVNIANLGSLQYLNLAANNISGSIPRTLVNLKAMTLKHPTRIDVGWYESLTYYVLLTDILSLVMKHQELNYHAEGSFDLVGIELSQNQLTGGIPDQVTCLDRLVNLNLSSNHLKGKIPDNVGDMNSVESLDFSRNNLSGEIPQSLSDLTYLSSLDLSHNNFVGRIPRGSQLDTLYANNPSMYDGNYGLCGPPLQRNCSSVKAPKHGKQNISVEGTEAVMFFYFGLVSGLVIGLWMVFCAILFKRSWRVTYFHQADKLYDKAYVFALVTWARLTRQATAN >KN541184.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541184.1:2086:3293:1 gene:KN541184.1_FG001 transcript:KN541184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMRISQQVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTSIVAVLTIPALYQKYEECIDRYMRFAYMNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >KN541184.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541184.1:26657:29818:1 gene:KN541184.1_FG002 transcript:KN541184.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFQRLLSSLLWICAVDQLAVLLAVGASPPPPRSNCSTACGDVKISYPFGFEAGCSWPGFELVCRDTIKGKKPFLPPVMESVGYLELESVSLLDGKARVWNNISSYCYNSATKGMTNESSDTVYLPEAYRLSDTENKFIIVGCYTVAYISVGDREDKRYVSACSALCGPKGNNLTSLTDGACSGTGCCEATITQGHTSYNTMFDPYYNTTQIYNVSRCSYAVLMESSRFSFRRSYVMNSSQFIDTNGGRVPMVVDWAVQNASNCVEAQKDHDSYACISSNSVCVNSSSGPGYICNCTHGYQGNPYLLHGCQDIDECEEHENYHCYGTCKNIPGSFECACPAGTRGNASIEGACQKNFLTPGVRVAFGLVTCVFVGLFGFLGWEVIRHRQNTKKQALLRQTDEFFQQHGGQLLLEMMKVEGNVGFTLYERGQIETATNNFDKANIVGEGGQGTVYRAEIDGTIVAIKRCKEIDESRKMDFVQELVILCRVNHPNIVKLLGCCLQFEAPMLIYEFVQNRTLHELLDFQRNRSCHVTLGTRLRIAAESADALAHLHSLPHPILHGDVKPANILLTEELVAKVSDFGCSTIDEKTQVAPKGTPGYLDPDYLLEYQLTAKNDVYSFGVILVELLTGKTPLSKGRKTLTSMFKEAMTDGTLIKLLDSDIVNEDNLRVIHQAAVLASQCLIIPGTARPVMRYVAEELQQLAFADEVQQYPQPPLVLAGLRFTAEMANTRTTSSWQTDSKTTGVYSLEKKAMLSTELAR >KN541184.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541184.1:20864:21829:-1 gene:KN541184.1_FG003 transcript:KN541184.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHLLVVLPWLCTAVLAGDPPPNCERNCSGIEIPYPFGLEPACALPGFNLTCNTTEGKLYFDDVELLNISLLEGWVRMRMDISNYCYNDSLHDMDNDNIIGWSLDLTYTPYRLADLGNMFMVIGCRTLAYIDNLDAAGNLTTGCVATCRLDEFSSLIDGACSGIGCCQMTIPKGLQYYQVSFDPRFNTTEIYNISRCSYAALVESSNFTFSKNYSTSSAFNDYYGGQAPLCVDWAIGNETCEVARHKANYSCISTYSDCFNSLNGPGYICSCSKGFHGNPYLKSDDPNGCQGDVAYIPNLSCFFKKVNLTCKKYLRLAN >KN541184.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541184.1:4199:9674:-1 gene:KN541184.1_FG004 transcript:KN541184.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKLAAILLLISIGHSALLAAVGALPPPPGSSNCSTACGGVDIPFPFGIGPAGCALPGLELTCRDTNNGKKPFLGHGHFELAGVSLPDGQARVWNNISSYCNDTNNTQTNVDVVRFADPYRISQAGNVFTVVGCKAVAIIGVGDDFARFLSGCAATNCGRHGDRLADGACSGAGCCQTTVTKGYSAYVVEFTDYSTVFNSSKDIYNVSRCSYAALMESSSFDFQTSYATSSEFFDANGGRVPMVVEWAVRNATNCVEAQKNRDSYACVSMNSVCVNSSSGPGYICNCAKGFDGNPYLRNGCQGEYMLSSRFSPISCDEVYIERDDVDIDECKEPKKYPCYGNCKNKPGYFDCTCPKGTRGNAFAEGACQKIILTSGVRIAIGVVAGALVALFGFLGWGVIRYKQRIKKQALLRQADEFFQQHGGQLLLEMMKVEGNAGFTLYEREQIKTATNNFNKAHIIGEGGQGTVYRAVIDGTTVAIKRCKEIDESKKMDFVQELVILCRVNHTNIVRLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADAFAHLHSLPRPILHGDVKPANILLAEGLVAKEAMANDTLVELLDSDIVDEASMRVIHHAAVLASQCLVVPVFYFQTALRVAAEAGIVAADQVDADRAHGDLASRQPVATGGEEQLEEAGWRRATPNPVASSCSASINIEEEDKEGGAKEEVLGKKGAHGDVAKPSLS >KN548697.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548697.1:192:299:1 gene:KN548697.1_FG001 transcript:KN548697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LWRILSSKDAEKFDKMTRKLMDATSILRQPRSGVG >KN542114.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542114.1:2667:3835:-1 gene:KN542114.1_FG001 transcript:KN542114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLAEISGHLKLLRLLLRCQAEDDNDLGTVTLLFQLIIDKYFCKGNKQESYGTVLNSKELKYEDIVKEK >KN539885.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539885.1:44690:45802:1 gene:KN539885.1_FG001 transcript:KN539885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSGFIGLGRTPWSLVAQMKLTRFSYCLAPHDAGKNSRLFLGASAKLSGGGGAWTPFLKTSPNDDMSQYYPVELEKIKAGDATITMPQGRNTVLVQTAVVRVSLLVDSVYQEFKKAVMASVGAAPTATSVGHFECLRIVPS >KN542161.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542161.1:2484:5112:-1 gene:KN542161.1_FG001 transcript:KN542161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRALTTTLCLAIAFAAELLAGRAEGQCQNTKCGDVEIPYPFSTSLDKCAASAFEFDCNDTGNGVYKPFNGNVEVLSVSLQLGQVRVMNHISSSCYNLSSKDMHSDTWELNMTGTPFMLSDSNKFTVVGCRTQAYIADQDYVGKYMSGCVSVCRRGDVWKATNGTCSGIGCCQTAIPKGLDYYQAFFDDSSMNTSGIYNRTPCSYAVLMDSSNFTFSTTYLTTSEFNNTYDGRAPMVLDWAIRSANSCQEARKKMDSYACKNTNSECFNSTNGPGYICNCSKGYEGNPYLGGPNGCRDIDECQDPKTHHCYGECRNKPGGFDCNCPAGSKGNATIPDGCQKDFSLPLKARLAIGAVICVLVSLFSFLGWEVIRHKRSIKKQALLRQTDEFFLQHGGQLLLEMMKVEGNVGFTLYERGEIETATSNFNKGHIIGEGGQGTVYRAALNGVDVAIKKCKEIDESRKMEFVQELVILCRVRHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLHRSKRFHVTLGTRMRIAAESAEALAHLHSLPHPIIHGDVKPANILLAEGLIAKVSDFGCSTIDENTQAVSKGTPGYIDPDYLLEYQLTSKNDVYSFGVILLELLTSKKPLSKDRKSLTLMFQEAMAEGTLFELLDSDMVDEASMKVMHQAAILASQCLAIPGMTRPTMVLVAAELRRLALADEVQQCPQPPLVLEDLSFVEMGSTTSGVYSLEKKVVLSIEFAR >KN539885.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539885.1:62404:63110:1 gene:KN539885.1_FG002 transcript:KN539885.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNIEQLWGASGVVGLGRTPWSLVGQMNATAFSYCLAPHGAAGKKSALLLGASAKLAGGKSAATTPLVNTSDDSSDYMIHLEGIKFGDVIIATPPNGSVVLVDTILGVSFLVDAAFQAIKKAGAAALTVPPSKYMYDAGNGTVCLAMLKSTTELSILGRLQQENVHFLFDLEKETLSFEPADCSSLY >AMDW01019699.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019699.1:34:285:-1 gene:AMDW01019699.1_FG001 transcript:AMDW01019699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYSDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVPAIQHLPGLSPTAVSLVAAEANSVLDCLRGPEPV >KN539885.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539885.1:22852:28319:1 gene:KN539885.1_FG003 transcript:KN539885.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEGCERVVRNALANLRGVDSVEVDVAMEKVRVTGYVDRGRVLREVRRSGKKAEFWPSGGTPRRFTSEKEYFRDGDDAIHSVCAATKCAAHTSRVHLSYGGIKQYSTADNSTCASRYAWARILHDARAMSTDGLRPTFYWINAVIYAIQIILWMVLWWKPVRVMIILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMERNTKLSLVLQMCLNAFDKAADLDVLNHPILNFFYYLMLSIQLMQYDLRQLLDFVMTTQIMKTAFSVLVSPKLEESVSPLRQTCRLPHLQTHNYLMYIYHYITNNRMVYQMKHISSIDLQLPGQFSPEETK >KN539885.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539885.1:49005:49841:1 gene:KN539885.1_FG004 transcript:KN539885.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSEGTTKLGGHTLSIVATDMFAIGTATASLGFGCVVASDIDTMGGPSGLIGLGRAPSSLVSQMNITKFSYCLTAHDSGRNSRLLLGSAAKLAGGGKSTTMPFVKTSPGDDMSQYYPIQLDGIKAGDAAIALPASGNTVLVQTLAPMSFLVDSAYQALKKAVAKAVGAAPTATPLQPFDLCFPKAGLSNASAPDLVFTFQQGAAALTVPPVRYLIDVGEEKDTVCMAILSTSWLNTTALDKNLNILGSLQQENTHFLLDLEEKTLSFEPADCSSLIS >KN539885.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539885.1:54694:55880:1 gene:KN539885.1_FG005 transcript:KN539885.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVATLLLLCLITLTTCSQAMRGRRLVADDATAAMPGGVTVPAHFSQAFYVVNLTIGTPPQPVSAIIDVGGELVWTQCALYCRRCFKQDLPLFDTNASSTFRPEPCGAAVCESIPTSSCAGDGGGACWYEASTSFGRTVGRIGTDAVAIGTAATASLGFGCAMDSNIEQLWGASGVVGLGRTPWSLVGQMNATAFSYCLAPHGAAGKKSALLLGASAKLAGAGKGAGTTPFVKTSTPPNSGLSRSYLLRLEAIRAGNATIAMPQSGNTIMGGAEMTVPVSSYLFDAGNDTACVAILGSPALGGVSILGSLQQVNIHFLFDLAKETLSFEPADCSTLS >KN539885.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539885.1:15436:21809:-1 gene:KN539885.1_FG006 transcript:KN539885.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTAAATAPPPAYKGEAPEIAPYGIFPGTCQFGNFMVTRPLRSESSQLTSAKGPRTWVGSSEVLPSGVGPSVYSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNAPPPVMWTPPANSSEVVEPMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHHAARCFGNGRPMQESSEMKYDWGSFNSKVSPSEVGCVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYNSSHQIEQSPEWSLKYSELFSKQQEVSASEIVKSDALKTFKLPVTRKNTEDNKEVPPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSANHIGSITEESINRGPSPLGSAPRALVENLSESLHGNVCSQAAGAEECTQPQIRAKGGQEQPRYYSDSGGSMLKMSCESRSKSRAELLKQMHDLSAMLLSTCNGGPLQGYEEELLQLVIQNLRDASSCRSKVQNMSCSRNNSLWMAMPEHSLVENDSELKASISQAVAKLPEDKTPDDTDVSQLSIYKNLWVEAEASAFPVDLSKGNKIFISTIPNSKPQNSTTYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCRNNYEEQEEASKKPCAVEDAVMGRLRVLNSRPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNLIDNAVMSRLRILKSRPDNANSLGQESSKHEPDATTGTNDLIDNAVMSRLRILKCRDDNINSLDDVIKQHVEACTDQPNWDEDDAVAKIQAPNDDIASTADGFQNILHSNNFVRHSEGKDSASGLDSPGDATRSDEDNGCKAPSDEVNDKTAVQSEDSDRSGVEQLCRSAEDRLLIIAIHCASRMTSSAEMSADLDVGDTDWTNNNNNNNLTTTLWPYRYRNCASRYRHSTTTPPWGAHPNTNDRSMDGWMLVRNLIKSRGKGHGKVKQLWRPGKETVAAASDDDDD >KN539885.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539885.1:64218:68932:1 gene:KN539885.1_FG007 transcript:KN539885.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVGAVFLLEGIVILLSLFLRVKNLFRYSDGLCLRFDNIFLLGGFILKTLPYVDLPLFDPTKSSTFRGLPCGSHLCESIPESSRNCTSDVCIYEAPTKAGDTGGMAGTDTFAIGAAKETLGFGCVVMTDKRLKTIGGPSGIVGLGRTPWSLVTQMNVTAFSYCLAGKSSGALFLGATAKQLAGGKNSSTPFAASSSGSTVLLSTVSRASYLADGAYKALKKALTAAVGVQPVASPPKPYDLCFPKAVAGDAPELVFTFDGGAALTVPPANYLLASGNGTVCLTIGSSASLNLTGDFVKIFNHEILNG >KN539885.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539885.1:51455:52819:1 gene:KN539885.1_FG008 transcript:KN539885.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVTTVLVLCLIYATTRMAVFREHDLRRGLEQAMRSRLLADATPAGGSVVPMLWSRHLYNVANFTIGTPPQPASAIIDVAGELVWTQCSACRRCFKQDLPLFVPNASSTFRPEPCGTAACKSTPASNCSGNVCTYESTTNIRLDRHTTLGIVGTDTFAIGMATASLGFGCVVASDIDDTMDGTSGFIGLGRTPRSLVAQMKLTRFSYCLSPRNTGKSSRLFLGSSAKLAGGESTATAPCACS >KN539885.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539885.1:28609:34546:-1 gene:KN539885.1_FG009 transcript:KN539885.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYMTSFFGPLLEEVRGDMCSSMEDISKAPYASVLSVNAMRKGKGSYEIKLDKWRGVSHGCAIEGYKPKAADLLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMETGVHGDERQQMGMNRYDKLYAEGFDKSWEMLDQEAVAPESSNSFMHENGRKEHSKVRKCFERCSDLQERNEMGTCGKSSKRWSFCATYLTNMITYDRVWVVLRRGLTMDSKIVLSMFGKKNYEQRILACAPTNMAVLQVASRLIELIQDFSSSHRYSFGDIVLFGNKDRLHIGKELSKVYLDDRVHKLLRYFKREDGWKARVDSVMKFLMNCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVKELATCIDTFFDHLPTDSLGRNLDRMMFAKSLLDKLQQLLCADDVSDELLFAIFKPSDEPIDFFDSHDQTDDATVDLHDHDISLDDPLEIKSLCIKTLMDLSKMRLPCEDNESSIRDLCLKRAKLVFCTASSSFELFRLQNVMPISILVIDEAAQLKECEALVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVQQEDYVKSYLPGPIYGAYSFIHIENDMEMLDELGQSSKNMVEVAVATNIVERLAKECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGATLLASNSIWAELVHDSKRRGCFFNALDDKNLAEIIMHATKEGEQRNQREQRSAHNANRWSSGSSRHDIIAAGNSRPMRWSHFPGSGNTRRSNGHDSRLNVCHTKEDMHRTHFQQRKSYSGDYSQVAPPNQYWHNGNRPSRGSYGYLEVFREHPNHHSGQDSRTKSYHETMCSTPQTGNGRFPYSGSIQREKSQRQTSILGERQPLGGDGNKGFQDGTSGYPCRHNSSQIRPNTYEAGAPELQSMNKHREFSSYPQQASYRTFGGRGRGRPTYHGRGRGGWYERTNNHWMEEPHQVQNATCNMPVTMQQGMKRNWCEAEASDSPQQVNAKVRSESADRPHCYDQHGGYGTASHQLPAIKPEDVSEQQCEMKTDSYKTEASESPNDSTRVRPESVEQPYCQAQGDSSGAASQEPVVPEQRGMSGDLCEAVCHQSNTSGSPSRGSTEVTLEGAEQPHCQAQPDGSGVASNEAPVPEQRWTEGDLGETAEPGQGNAENKAESAESDS >KN539885.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539885.1:3723:5406:-1 gene:KN539885.1_FG010 transcript:KN539885.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPISPPTRVAGGEEDSERGAAAWAVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAEKYFPLDAASNEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTCCISCSDPLLSPLLLAAYAAQPSVIRVRFFRNHAGSILQLISS >KN539885.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539885.1:58070:59338:1 gene:KN539885.1_FG011 transcript:KN539885.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAPMLLLVLCLISVTTCAAAHGLRRGLEQGMRGRILADATAAPPGGTVVPLHWSGARYVANFTIGTPPQAVSGIVDLSGELVWTQCAACRSSGCFKQDLPVFDPSASNTYRAEQCGSPLCKSIPTRNCSGDGECGYEAPSMFGDTFGIASTDAIAIGNTEGRLAFGCVVASDGGIDGAMDGPSGFVGLGRTPWSLVGQSNVTAFSYCLAPHGPGKKSALFLGASAKLAGAGKSNPPTPLLGQHASNTTTSSSDDGSDPYYTVQLEGIKAGDVAVAAASSGGGAITVLQLETFRPLSYLPDAAYQALEKVVTAALGSPSMANPPEPFDLCFQKAAVSGVPDLVFTFHGGATLTAPPSKYLLGDGNGNGTVCLSILSSTRLDSADDGVSILGSLLQENVHFLFDLEKETLSFEPADCSSLT >KN539885.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539885.1:74660:74971:-1 gene:KN539885.1_FG012 transcript:KN539885.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN539885.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539885.1:40332:41108:1 gene:KN539885.1_FG013 transcript:KN539885.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTDTFAVGTAKASLAFGCVVASDIDGTMDGPSGIVGLGRTPWSLVTQTGVAAFSYCLAPHDAGKNSALFLGSSAKLAGGGKAASTPLVNISGNGNDLSNYYKVQLEGLKAGDAMIPLPPSGSTVLLDTFSPISFLVDGAYQAVKKAPFDLCFPKSGATGAAPDLVFTFRGGAAMTVPATNYLLDYKNGTVCLAMLSSARLNSTTELTLLGSLQQENIHFLFDLDKETLSFEPADCTKLS >KN538744.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538744.1:55446:58064:-1 gene:KN538744.1_FG001 transcript:KN538744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHGHGVAAVTVLIVVLLVQCVAAETTCAGAVPARPRPETVSIAEFGGVGDGRTVNTWAFHKAVYRIQHQRRRGGTTLLVPAGTWLTGSFNLTSHMTLFLARGAILKATQETRSWPLAEPLPSYGRGRELPGARYTSFIHGDGLRDVVITVLKSQPTNFGLLVREYSKRHAYILQKRIGSAHTEEKDYMIAWEGFVILRFRPLQRDLESKHTLQFSLTVPAKELIKIDASDKGIIDGQGDVWWNMWRQRTLQHTRPNLLEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNMMIIAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVSNVLVEDCSIFNSGYGIHIKTNIGRGGFIRNITVDNVRMNSVRNGLRIAGDVGDHPDEHFSQLALPTVDAVSIKNVWGVNVQQPGSIEGIRNSPFTRICLANVKLFGWRNNAAWKCRDVHGAALGVQPGPCAELTTSLSSGFCSY >KN538744.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538744.1:137965:140091:-1 gene:KN538744.1_FG002 transcript:KN538744.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYDVKLTEIDGQAVSLFGVFDGETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVVSKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEELVNEDLECLVLASDGLWDVVENEEAVSLAKTEDLPESVARKLTEIAYSRGSADNITCIVVQFHHDKTE >KN538744.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538744.1:24050:24849:-1 gene:KN538744.1_FG003 transcript:KN538744.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACALTVIVVLILPLATITVVPHSAYALSSPHRATAEVPTAGPTVEAANGEDKGVILGDAPEPWEAIAPEEASGPTTDEEEYNANEAWEPPQGCLVPRPPMASGPTTDEEEYNANEAWELRAPTGAPGVVAANGEEQGAILGDTTKPLEASGPAADEEEYNTNEAWELRAPARVPGVVAANGEEEGAILGDATEPWKARAPEEASGPAADEEEYNANEAWELRASAGAPGTTVADVDGPSDASMSANAKDT >KN538744.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538744.1:69989:79931:1 gene:KN538744.1_FG004 transcript:KN538744.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TSS [Source:Projected from Arabidopsis thaliana (AT4G28080) UniProtKB/Swiss-Prot;Acc:F4JKH6] MAPKAGKAKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDVRKLLAVHVDTCHLTNYSLSHEVRGAQLKDTVEVASLKPCHVSIVEDIRRSTRPFADDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRALISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPAVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQMVPDKSSLETPNDTTNPDLHTQQIGDMKITVTKDKADASSKLDVKLDGSQAPGMLLQDTATLGVVVVKHCGYTAVVQVPVDAQLTTVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCIQPSGGVQRLQSSPQESEYSTNFVRKIMTDSLQKLECEAPRETRPIRWELGACWVQHLQNQTSEKADTKKNEETKDVPTVKGLGKQFGQLKEIKKKTDEKSGKSASTKENTSANTNDAQTVNSSSTKEDNEAILQRWLPEAAFQRLKESETGLHAKVELADKLPHIQSLCIHEMVVRAFKHVLRAVISAVHDINDMAEAVASCLNILLGPFPEENNDGKCYEDNNLRQRWLEATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRFGQNPSEVVDDEDQRSPPPKSDHSLIEKESSEVKENGTFIQKEKLKEEIPGNTLSRIPQDDFTEEYTSDEGWQEAVPKGRSTGNRKTGVSARRPNLAKINTNALNNTENGRYKGRAPSNFSSPRVLPSEAVTAKKLVKSSSFNSKPGSPAISSNSAENSSNPNSLSASPATTPAAAKAVLSSAPIASQTVRKALSYKEVAIAAPGTLVKALNDAQTEEKDATDAGANIETAKAPKESNGHLSKEKDGAVQVSPKDSTSQGSKETGEGKSSNPDDEQTVVLAGSNQSETQPEKKRDLVASDVSSSSQSLTTATEANAPNEVASMVTEANDSSSNDDERYAGEDAQEQMSSGGENEKSSPSESEKNDSPGAKETASKLSAAAAPFNPSTVPAFGSMAIPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKSALPSSEGLTEANTFATRVMNPNAAEFVPGQSRSPNGNPASPNGPLASPGGTEASPHGLPSPSDSIVESPATASPQVSEISQTSPEGNDTTSGVDTENGSEKQDTDGKNHVESKDGEGEPEQTEASKGDGDGAITPEDGSAVTENPKS >KN538744.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538744.1:118433:123776:-1 gene:KN538744.1_FG005 transcript:KN538744.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGRPEPSPPSGQCSSAVTMRINAPVHLVWSIVRRFEEPHIFQPFVRGCTMRGSTSLAVGCVREVDFRSGFPAKSSVERLEILDDKEHVFGVRIIGGDHRLKLKRFISPISAFETIYILQNYSSVLTAKAEAIDGEPATLVSESFVVDVPEGNTADETRHFVEFLIRCNLRSLAMVSQRLLLAQGDLLADPPAQ >KN538744.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538744.1:12936:13619:1 gene:KN538744.1_FG006 transcript:KN538744.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic blue protein [Source:Projected from Arabidopsis thaliana (AT2G02850) UniProtKB/Swiss-Prot;Acc:Q8LG89] MARAGSVCIAVLLAVCCAEILVAGATEWHVGDDKGWTFGVAGWENGKAFKVGDVLVFKYSPTMHNVVQVDQAGYDGCKVGAGDKKYASGNDRITLAAGKVFFICGFPGHCANGMKIAVATK >KN538744.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538744.1:149173:156544:1 gene:KN538744.1_FG007 transcript:KN538744.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREDKYVRFHDWRSEHSVGSEKTVLEGRHNVFDSLMDRTVGAFSFLWNSSHPETLNKPASEEKKSKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVIDGDNICLYLDKKLEIIASVLRFFTDIFYLLHIIFQFRTGFIAPSSREFGRGVLVEDTFAIAKRYLSTYFLIDFLAVLPLPQVLVLVVLPRLQGSSVMTAKNILMVIVICQYVPRLIRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVLGALWYLLSIQREDTCWKDACSRHDGCDSGSLFCGSNAARNNSFLQDFCPTNGTDNPDPTFGIYLPALQNVSQSTSFFEKLFYCFWWGLQNLSSLGQNLKTSTYTWENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPDNLKERILRHEQYRWQETRGVDEEGLLSNLPKNLRREIKGHLCLSLLMRMLVLDFLVLCQTFPLLGHSVPMFENMDEKLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGNLESMTTNGGQTGFFNSNIIKGGDFCGEELLTWALDPTSASNLPSSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDTLFEKEKRLQAAIVSDGSSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQ >KN538744.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538744.1:203580:205436:1 gene:KN538744.1_FG008 transcript:KN538744.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECWAHFEKKADNMAECRHCHNLLSYKNGTSRLNRHYQESCRKRGRQQGSHHGASAFSRSSSDDLGEQTAAAAAAEDQLIRMIALHGFPSSMVEDVEFTRFVRMLCPDFKMPSRDDVRKRCDELFDREMSSLKDAIGRTPGLASLSLGKTTTAWGKVAYLAAHFIDEEWNFHCRVIRVFKALEELYGTAYGLSEILDIKDYIIDIPVTDWDPEDYERMLGIISHWGLLSKLSGVLIENFGTTEFGKIIYDDNDLPHLSAIQHELLCATNLRWDLPVIASTLRYPFSSYGQDCLNAFEDENLKKKRRDISSHLQLDHPWTYNKWWYAYYYALEIIHKECSSGPAKIRALAGKVIFHETWITELLRTTLGRIYRAIKTISASSLPTSNLVLIEMLEVRDMLVVELNNGLGRKQNTSGELSNHHEDEEVIKYVQNAIRELDSFLLKSYLLLSIPLILDPRYKFVYVENFLKNFQSILEKAPSADLFSKVGEEFRQLFTEYKTQGIETDYNNESNATEHANHIHEMDVDPSIQGNGSTLQLTEQGNTSSQEHTRELEAYLQDETVPLDQEDFDVLKWWKDNCGRYPTVARIARDFLAIPTSSRPSSQLMTEIRNHLRRYA >KN538744.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538744.1:90138:93485:-1 gene:KN538744.1_FG009 transcript:KN538744.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGGAAEAAAAAGRRWRLADEGCDLRAAETEYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVVKDQTEPLDR >KN538744.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538744.1:42955:44233:1 gene:KN538744.1_FG010 transcript:KN538744.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSAAARGLLLLALCCAAATIAVVHGEDWAVGDNKGCVQVRRKDSQRGGGGPGRVPFFFGFC >KN538744.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538744.1:3695:8371:-1 gene:KN538744.1_FG011 transcript:KN538744.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSDGIVGAPMRRGAQASRQATIPTLAGPQIRRLLPHLRREPGAQLVDIRPPGDARQSGAPDLREAKKKAAAVPYDGEDKNGFLKKLSLRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGRRGWLSSSLPWTAPKKGFSLSDLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLVASKLIYAEDRKRTLKQIDDFFNKKVAPKELVDEIKEIGQALLPSTGTKSQPAITEAAPATAEAAPAAATATAAPPAAPVEETSTEAAPAEPTPLSPYTNYPDLKPPSSPSPLAPAEATKNESESKSAATESAPAVNSAPVAEAATEAAPPAAPRPLSPYPNYPDLKPPSSPSPSAP >KN538744.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538744.1:134211:136378:1 gene:KN538744.1_FG012 transcript:KN538744.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGYIKKFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >KN538744.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538744.1:169996:173639:1 gene:KN538744.1_FG013 transcript:KN538744.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGARNGSTGAAQTGGSGGDAGSTGNGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHGSVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPANPIEKLEGAMEAGREIRFYNSEMHRAAFVLPTFARRELEAYCTTIEREQAEETAAEPLKMNIMPNSEILTAS >KN538744.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538744.1:51191:51817:1 gene:KN538744.1_FG014 transcript:KN538744.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEPATRGEERREEEEGVVLPVAEPDPDSRRQDAAVAAAEEEDEDEMARLHHGRRSHHHHHRHHHHHHDEHEEDDHEQADEASPAVERLISFHHRRHHHHEEDNHEQREEGAPMKRFRRQHHHYEEESEMRTKRFHQHHHKDDDERELEEMARRWIRKALMSSRMHHHRGCRFHHHLSFRHRAEDAGGEEEEKGGVMSWLKDFVNRF >AMDW01026580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026580.1:4:260:-1 gene:AMDW01026580.1_FG001 transcript:AMDW01026580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLD >AMDW01007390.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007390.1:11:136:-1 gene:AMDW01007390.1_FG001 transcript:AMDW01007390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTVFQALMLHGMKKTSPAIASTMPNLAPAFIFVVAACLGFER >KN540952.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540952.1:1656:2934:1 gene:KN540952.1_FG001 transcript:KN540952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYEIAPVECPLQQNELYMHLYLRQADRGPNRDQEVILNPKVRPNDFGLTATTAWTISDSLDPSAKIVARAEGFHMQTSYNNTSWYASFNIVFEDDRMFIVMKNQVKS >KN539216.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539216.1:54546:56485:-1 gene:KN539216.1_FG001 transcript:KN539216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKSRDHDEHSALELHFGPKDGCFMIKSYNDMIEEVSSSSRKDLQYIYDSTYSFATEDIVSANIYQLTPKQLSTATSGNKRHKNEPHGLTNDGPSSSRIVDVDRTLNSSPEEVAAVLAGENSITDQTVTGADQRNSLKIDSKTIAMNRDNAMQRYDKHIRYESRKMRADTRTRVKGRFVRATDIFNVGGGDGG >KN540952.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540952.1:36314:37491:-1 gene:KN540952.1_FG002 transcript:KN540952.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSSSALFILFLLPTFLSMAASTYYDICPVECGCPDQNEVTMHLYLHQFVAGANHPNRNEEFVIASSYPNGFGTTLVDDWYLTATTNPNDNIVARAQGMHVQAGQSNANMWYTSHNIVFQDDSHHDILVDYSLNNCYSSYYVIISILLYLLYEENETVHARGTASVANATRLP >KN539216.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539216.1:96787:103647:-1 gene:KN539216.1_FG002 transcript:KN539216.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRLDDSPMFRKQIQSLEEGSELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDVATAVEDVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKSGYTNQRSSAPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQRLLSSPKGSGHNRTASESSSFSSSTELDHSISEDCMLERNSGSGYFDHSGRAIQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEDMLPSSSGVDHGDNSRADGLENTSHNLIFSKPKHSDPIAVKEKFIHAKYAERDYVRKLNMDDSLVAQQMWENVSSNNKKGVYSLIVGSNADVNLTYGQTSFNSALTLGKALLLQEQPTSPSDGSSRCFDRSSLERISPRDSLSLASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSADSRGRTPLHHSILKGRHMFAKLLLSRECVNIIKLGIVPGEMISGSWFLQCGSWGADSQATDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >KN540952.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540952.1:25734:26550:1 gene:KN540952.1_FG003 transcript:KN540952.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHDVGTEALRKLDIHAFYTPKTAQTVHDTLITPKCTTGQAE >KN540952.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540952.1:3869:4739:1 gene:KN540952.1_FG004 transcript:KN540952.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYEIAPVECPLQQNELYMHLYLRQADRGPNRDQEVILNPKVRPNDFGLTATTAWTISDSLDPSAKIVARAEGFHMQTSYNNTSWYASFNIVFEDDSFV >KN539216.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539216.1:30830:37413:1 gene:KN539216.1_FG003 transcript:KN539216.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRRGGHSPAAAAAANELLAASQVRGHLLRTSRSPCGRVGERAWRLLRRKTHGDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKHQCDEKRELFEFLLNAQKEKGRSKNAKSDIGASEQLKQAQDDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALDEEDYSVDEENEDDYNDSHEDLSFDYGENKEGTEAGHASRSPTEELLDRSKAEYSSFPGEKQRSGSQSAPLFPEKKLEAAERIKELRRSATRKLNTYVLPTPNDVRDTSQAVAANPTSGSPLGNKGAFYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKECNTNTTDTRTMLVLPLGDLSLPGYHDSKASDNKKVKRGSFSGPIVPRSRSTENIDVVSVPPRHSSSHQPSIHVRVSPNTSPPLLSSPKIKELHELPRPPANASKHTTFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDLQDKGPARMSLSSLPSAQTSLEDHRPLSGATESVSKT >KN539216.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539216.1:65072:66817:-1 gene:KN539216.1_FG004 transcript:KN539216.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVVVMVLVVVVVTMVVVGIMRGRVVVSGGCIEGNSDVRREAKSYVHIRSRRLTENAFYLRKRHSAKENQVNTSTYVGLE >KN539216.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539216.1:76370:76675:1 gene:KN539216.1_FG005 transcript:KN539216.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLEDGWNNIQLNLSDLTKRAYGTNYVETLRVQVHANCRLRRIYFANRLYSEEELPPEFKLYLPIQKA >KN539216.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539216.1:23027:29041:1 gene:KN539216.1_FG006 transcript:KN539216.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIAVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFALPIGKAKIEREGKDVTITAYSKMVGYALQAADILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTIEESFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >KN539216.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539216.1:116189:117624:-1 gene:KN539216.1_FG007 transcript:KN539216.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEGTTDFIRKIDRVMEKHSGIGVKALTIEFNGLFSTKACTYLERWLQIAVTPRIEELSLSMSKGKAYYDFPCSLLSDGSGSSIRLLDLYCCTFRPTAEIGCFQSLTRLHLEYVRITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQAYHPIQLSFGESSLVKNLSIGYSSVLNHACAELPYIFPNLETLTIRSLGEMVNAPMVPNTFLHLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPENDSIFKNPSHLRQLPEQRHDNLRNVKITGFRSAKSLLELTYHILENTSVECLTLDTSFQSFRCSPGKPGRCSRMPKDDLMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHIMEPFTVETRK >KN539216.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539216.1:131497:132240:1 gene:KN539216.1_FG008 transcript:KN539216.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGAMSHRIHALMVEKDQKARVDYYIKNKNHHALRKVVVHDEMEDSQSDEPCQFYCSE >KN539216.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539216.1:67916:68588:1 gene:KN539216.1_FG009 transcript:KN539216.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPKKALPCSPGWPFFAAAACWRNVAAAAADGLNALKLLVINYS >KN539216.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539216.1:43213:46963:1 gene:KN539216.1_FG010 transcript:KN539216.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAIKEEVLPTMSASLIVRPHFAGNSTCHLGKLPAESSSNRASPCSSISDFKRNDVLDSLNGFDGNFRASHAAYGPAGFQGLKPDTGDVGSRSGPKLGSNVQMPAMRIVGFESGSANSTGGPGTMVADNTDSPLVIDNCHSLIEQHGPHARKRVLSPLNNALPGHFRGDALNIGSGDAKIQHSDCARRLYTSGFQDRKKANTAILDSFEAPTWPASRYSNWSTEQGVDKFSGSTFTDGPLLESRESFPCSDHLEALESVAVPLAKLAHPPLLNLSPLGPTWMHGTNTVGSHGESLGETEGSTCEGYSEGHGRSRIRDAFEKTNILHDDFDMRIPKKSSDRKSQNWCPESASVSPRIGCIRSIGLLPVRRSLIGSFEESLLSGRYSCGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFSATSIDENSSLLYYSSIDLVGRLPMSSSKSPKLKRSLSNHDSRSAKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLSDMPAGTKTYTLEYCFTWQDENYLIVHERCHSKVIPDARTTFMRQKVTLFPVSPSNQKKEGSKANETKVESVQFGSELRECGTLFSECCRPGQNCNLNDDSEKGGRENMTCCSMECDIKESNDSSSLESSENGSSTNVCCCQSDTFPLGEKKYCCRSSKINDPAGGALRYALHLRFLSPFAKKPSRSMQRCKSDVSSEPYNHSTGPEEHRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >KN539216.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539216.1:3223:11541:-1 gene:KN539216.1_FG011 transcript:KN539216.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFYEIEDNSTASAAASNEESPLWELHITGKLFWDLSTVIAIFSFSKVYRLHDVNNNVHRGRRLWRECQSLALAMIVWEVVSYFVLSTLHANGMEDLFRKFDAIFDSGPLLTAVVVTQEEGVHGFSAIERAWGLVCQKLKEVSVIGAMFLFTRAVLYQVYLVVASVSLKEGPPAIGDQDTAADIFRFSLVATLPNVILQLFTCSVILVIYMESNRHRIRRRDTAEGSDISENQESQIKKIKRLTRLLMTHDASNDTVQMLARELLKHCDRALQHPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMDPPPGDPSNPEPTRDEIIDGYIKTLAQVVGSEEEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVPPRDAPPMHHAQGNIPPPPPPNAGPPNYQPHAQNPQGYTNYQQGGAPGYQGGPPGYQGSNQGYQGPPPPPPSAYQGNNPGYQGGGPGYQGGNPPPYQGGNPGYAPGYHGQGGNPSYQQGGDNYNAGAPAYERDGQGRNYQ >KN539216.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539216.1:89358:90894:-1 gene:KN539216.1_FG012 transcript:KN539216.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLITNNGFLFCHGHGGAATTTAPAIPKDASMETSSVVLDTSPQDKKRKPREEDTASLNSAHSKEAKENGKKRGGKKHSRDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKMEGMFHEAVAIPASVLNRGSSPAQSHAIMDTSNTSPTPYTLQVQGGSNNNSLSQDNGSYIMQTVGEPRQELFNQVVLNNYMCSFQ >KN539216.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539216.1:123536:129295:1 gene:KN539216.1_FG013 transcript:KN539216.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRIVSLAGLEEVVRKADPRRAAGRRRRRRRLRPQRAADALRTQGPGAVATLCGRVLEALDIVVFPATLPRADERPRHRQPALPRRQPHPQDGRHSVKAGGSLRAPAITLGNHLRNFTTQLRSEARTAEWVEARLLRVCAAPPRREDENVAALPANFTAPPDPFETPGPSLMLSLPALLIGACAFAAEAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATVFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGDADDAATNAYPPGRIPGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVYIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKVAKVQEGHIASISRRNQPPCCYCFCDDASQAEGIKVEGSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKVADFGLAKLTEVGSMSQSLPTRVAGTFGYMPPEIKDCLVLPEILSAFVGFELSMFEEALSTPNATEALDELIDPSLQGDYPLDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYSPV >KN540613.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540613.1:3420:4079:1 gene:KN540613.1_FG001 transcript:KN540613.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAALQAQTENGRKIMSGSSIKEVIIWPLQQHIFFPRSKIVLYRPQALKVPGITINAGGRTSNANQIAEPHATDLNQSRGQAPSHRIEWLRCLWSPLSPSPSPDASSPREEDRWVNGSVRMQGKEGSPRVARKGELGGREAAAAMPTSSATHWIENFMLSLSAPTQNQAMGQQIDPHTATPSISIIVPPKLAPHGPLPLLEENGLGQQIDPHSIAGNR >KN539216.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539216.1:47388:52118:-1 gene:KN539216.1_FG014 transcript:KN539216.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDRTSFEGLVEYVESAVKSVGQRAGDRPVYLVGESVGACIALAVAARNPDIDLVLILVNPGTSFHKSQLQSLSVFLDLVPEPFHLTTPQLLNFLTEAGQALSEITTSLLPSLMSLLDVLPKESIVWKLKMLRTASSFVNSRLHAVKAQTLVLASWNDELLPSREEAERLRDALEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLQTDFVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGRIVRGLSGLPKQGPAVIVGYHMLLGFELGPLVTGVLRSSGIHIRGLAHPFMFDKKKEKIMPDPSYYDMHRIMGAVPVTAGNFYKLLAEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDRMLNEDGVKLRTDSTRELKYQRIHPVVAAPKIPGRFYFIFGKPIETRGREKELRDKKNAQHLYLNVKSEVESCMKYLKEKREKDPYRNILPRLLYQMVHGLDAEVPTFEP >KN540613.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540613.1:24822:31027:1 gene:KN540613.1_FG002 transcript:KN540613.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPPRPLLLLLPLLLVWGVVVAAAAATDTLRQGESLTGAATLVSSPSGVFEVGFFAPDPKLPSRLYLGICFWHPSDTMLSGMRITVRTPGRGPSEAMRFTSWTSETDPSPGRYALGLDPANSGQAYIWRDGNVTIWRSGQWTGQNFVGIPWRPLYLYGFKPANDPNLGAYYTYTASNTSLQRFVVMPNGTDICYMVKKSAQEWETVWMQPSNECEYYATCGANAKCTAMQDGKAKCTCLKGFQPKLVDQWNMGNWSQGCVRSPPLGCQVNQSGDGFLSIPNIKWPDFSYWPSTVQDENGCMNACLSNCSCGAYVYMTTIGCLLWGSDLIDMYQFQNGGYSLNLKLPASELRSHHAVWKIATIVSAVVLFVLLVCLFLWWKRGRNIKDVMHKSWRSMHTSTRSQQNSGMLDISQSIPFEDDTEDGKSHELKVYSFDRIKAATCNFSDSNKLGAGGFGPVYMGKLPGGEEVAVKRLCRKSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFNPEKQGLLDWRKRFDIIEGIARGLLYLHRDSSGYMSPEYAMEGIFSAWRQWNEDKGEELIDPLIRASCSLRQVLRCIHIALLCVQDHAQERPDIPAVILMLSSDSSSLPMPRPPTLMLHGRSGETSKSSEKDQSHSIGTVSMTQLHGR >KN540613.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540613.1:14741:15148:1 gene:KN540613.1_FG003 transcript:KN540613.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFDAFTASKADDLAKPLKDAGIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGASRRTSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVAEGGEAGGASELAVGEEVLHPVPEEDAEYHDATEEHKGN >KN540613.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540613.1:6984:10370:1 gene:KN540613.1_FG004 transcript:KN540613.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWWISVAGDFWFGVTWLLNQASKFNPVKRVPDPSLLRRRFDDGGLPGIDVFINTVDPVDEPMLYTMNSVLSILAADYPAGRHAAYLSDDGASLAHYEGLIEAARFAALWVPFCRKHRVEPRAPESYFAAKVAPHAGPAPPEEFVGDRRLVRREYEEFKARLDALFTDIPQRSEASVGNANTKGAKATLMADGTPWPGTWTEPAENHKKGQHAGIVKVMLSHPGGEPQLGMPASSGHPLDFSAVDVRLPMLVYIAREKRPGYNHQKKAGAMNAQLRVSALLSNAPFIFNFDGDHYINNSQAFRAALCFMLDRRHGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGVQGPSYVGTGCMFRRVEEVMACAYEDGTEWGDGVGWVYDIATEDVVTGFRLHRKGWRSMYCAMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLRPMQRVAYTNMTAYPVSALFMVVYDLLPVIWLSHHHGEFHIQKPFPTYVAYLVAVIAMIEVIGLVEIRWAGLTLLDWWRNEQFYMIGSTGVYPAAVLHIVLKRLLGLKGVRFKLTAKQLAGGARERFAELYDVHWSPLLAPTVVVMAQIGSKEYV >KN540613.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540613.1:39085:44640:1 gene:KN540613.1_FG005 transcript:KN540613.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAIAALALVFFVLLCVRDGGGVDAADTLSQGQSLGANDMLVSANGTFKVGFFTPAGGDPGKVYLGVIDAGGTDVEWESFHHPTDTFVPGMEIALRQTNGDRTLYTSWRSDADPATGDFTLGLDASAQLYIWRSQGGKNSTYWRSGQWASGNFVGIPWRALYTCYMLLGSGDWELVWSQPTIPCHRYNLCGDNAECTADDNGQPICTCFTGFEPKSPQEYNNGNWTQGCVRSVPLTCSSERNNTAAGGAGAGAGGGDGFTVIRGVKLPDFAVWGSLVGDANSCEKACLGNCSCGAYSYSTGSGLTWGQELVDIFQFQTGTEGAKYDLYVKVPSSLLDKSSGRWKTVVVVVVVVVVVVLLASGLLMWKCRRRIKEKLGIGRKKAQLPLLRPARDAKQDFSGPAQSEHEKSEEGKNCELPLFAFETLATATDNFSISNKLGEGGFGHVYKGRLPGGEEIAVKRLSQSSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFDPERRGLLDWRTRFQIIEGVARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQLWNGDRGQELIDPAIRGTSPAKEALRCVHMALLCVQDHAHDRPDIPYVVLTLGSDSSVLPTPRPPTFTLQCTSSSSGRDMYYRDKEESYSANDLTVTMLQGSSGCRDWFAFALYMAPILLLPVPDAPINWAAWALLTSSPRPSVGNRPKFMGYEVSARPY >AMDW01039838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039838.1:78:1017:-1 gene:AMDW01039838.1_FG001 transcript:AMDW01039838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YNSASASYLHMSKRGPGKRRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDKGMADGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESSPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIA >AMDW01040831.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040831.1:36:2426:-1 gene:AMDW01040831.1_FG001 transcript:AMDW01040831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MVPEVLDQFSVARRGGVLVDSATLSCVLKACGSVPDRVLGEQLHCLCVKCGHDRAEVSAGTSLVDMYMKCGSVCEGIEVFEGMPKKNVVTWTSLLTGCAHAQMHSEVMALFFRMRAEGIWPNPFTFASVLSAVASQGALDLGQRVHAQSVKFGCRSTVFVCNSLMNMYAKCGLVEDANAVFNWMETRDMVSWNTLMAGLQLNECELEALQLFHESRATMGKMTQSTYATVIKLCANLKQLALARQLHSCVLKHGFHLTGNVMTALADAYSKCGELADALNIFSMTTGSRNVVSWTAIISGCIQNGDIPLAVVLFSRMREDRVMPNEFTYSAMLKASLSILPPQIHAQVIKTNYQHIPSVGTALLASYSKFGSTEEALSIFKMIEQKDVVAWSAMLSCHAQAGDCEGATDLFNKMAIQGIKPNEFTISSAIDACACPSAGVDQGRQFHAISIKYRYHDAICVSSALVSMYSRKGNIDSAQIVFERQTDRDLVSWNSMISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLAVIMGCTHNGLVVEGQQYFDSMVRDHKINPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGAMVWRTLLGACRVHKNVELGKFAADKLLSLEPHDSSTYVLLSNIYAAAGKWKERDEVRKLMDSRKVKKEAGCSWIQIKNKVHSFIAFDKSHPMSDQIYKKLKVIITRLKQDGYSPNTSFVLHDIAEDQKEAMLVAHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNGGTCSCGDFW >KN538736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538736.1:105716:107544:-1 gene:KN538736.1_FG001 transcript:KN538736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGAALGRGGGVDDEHPRDGDPEGDGFPGEREGEEEERVVEAEVGGGELRAEELLGYTGPNDESLRDDEFLVTSAPATAVPAVYDGDDTECRRFLRRNVRLCLRGSCDGLLLFERVNAESMLICNPATRQLVNLPPMGLGVRHQGEEDAQLLSS >KN538736.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538736.1:207600:208145:1 gene:KN538736.1_FG002 transcript:KN538736.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGKRPASASASASAAGAKVTKTEAAQAKEKRGIMQPVPVSDALSRFAGGAPEMSRAGAVKLIWNHIKANGLQGLGAFHGVWVEVWLALANEHLLHTVAPILVQVLIWERGIPSRRIRFKYRFRGVRFK >KN538736.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538736.1:49877:65145:1 gene:KN538736.1_FG003 transcript:KN538736.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDVVSEILLRLPAKAVLRSRAVCRTWRRITTAHYFITAYSRRRPLQLLGHTDPSDHFTPFYTLTSLPATAVPRIDDGGDIAGCRRLLQRSRFCLSLVTTCDGLLLFRRVKKGGMLICNPATRQLVNLPPISSFDTVSEAFRLLQPPPVSLAEDELVDMIDMHGTPAVWTMTELHLDVWALEEEERWVRRLRVEMPPPPAPLAYWSKGSRKNAVATFESGDGGVQMVVVTWAWKLLYDDTSKDRRVLATRKNPSSSRRKAVTPATAVSPAALLRLKQAASSKRTNLPSTLPDAQDVAAVEDENPSGGFTKEILSILNGPDDAEELRGAQAPPEESEDAEESVVNRILDTEWFAAPPPSNPLAAWQKEVAREKKKRYIFKNTESRRFTRLMQKCADKLGAEPALEFFGKLGRDTGAKEFNALIRICLGKAKECRDIDSAVEHIYRAYRLFELMRERGYQIVEDCYGPFLLYLVDVGMSEEFDMFSVFFKDANPQSSSRIAYYEMLLWIRAQDEEKIQELCRSVEDLNEEGDYDMAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHMVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDFEGAYRILTDAEESGDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQDGIHMSKHIYMSLVSAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQTKGELDRLYQLLEELGDSNMWFDGAAIGLLKQLKEKDEMSTYMVIDQVFSQIWDMDPVNLDFGMKILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQMAYCSQNLKLPSASRSSSIKRATSKAGSTCKPAASGTEVFRNEAEEAHTGP >KN538736.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538736.1:13573:18501:1 gene:KN538736.1_FG004 transcript:KN538736.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMQIQSPALAMPMPMHMHAATNSGMPSPSPSLLLPPPSPSPSWLHLPRPLVQSEGYKNFNKAARDLIGRLQKVDGDNYPEDVRDAEEMKSVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTILDDFQFEEMDQVLEHYPQGHHGVDRDGRPVYIEKLGAIDTAKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCTCEGGCMRSDKGPWKDPEIIKMVQCGMGRCGFNSSGHTEADEKMITEDDIVAIPKKQESIRRDSVDSPKIPREKIEHPQMSPLHEMSTSESKAPPGQEGSSSRYDDLFPMPDKNMDFNWNGEYEALIKRLGDLEEKVAALTSRPPEMPADKEDLLKAAVTRVEALETELESTKKLLETSSGQQEEVLAYIEKKKKKRGMAVYIYFLQNFSYN >KN538736.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538736.1:145507:159537:-1 gene:KN538736.1_FG005 transcript:KN538736.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDIKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIQPVKKLEQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTTSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQDLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNVIGSTEVSSVEASEDQAIAHQSNITQCEEQATEESITESEPQILEIESVQDMEDTEATEPELVSEQNIVSTSEESVPEENATTEEPAFHDREIQNDGAELTEQHDSAKAKELPNQSSGAIVEETAQEADLVAGEPIDDVQEKDLEPEEISNTVDGETGEASHQTHAAVEDNWTGEVESSVEASEDQAIAHQSNITQCEEQATEESLTESEPQILEMESVQDIKDTEATQSETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEKIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDANNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQYVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYFETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDLQDNSLVYFIQDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDVKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVTYVTVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIEKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGEKDEQTLSLIEPDTGNAEKLPNEADGVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQNTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETANNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADENFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIARPEIDLGELVPREENGSTGDPFEAKTRLKF >KN538736.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538736.1:209953:214561:-1 gene:KN538736.1_FG006 transcript:KN538736.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNIAGAMSSLASLARAGKIEFAPQLIKSNQSLLFDWLIWNGRRSMVVFKDGFRDGKASIRPALDSATRRCFASKQFKNSDKAQLHKENEGRYLKRVDEAVSEARELSPNEGISLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPPKGTPGVIDQTRGLLTYVEKNCAPAVYTPELKYVCIAGRYIQGAPLTGNTIATTDEILAVDTPSDVAEAVMVSTNDKSTQSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >KN538736.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538736.1:540:12454:1 gene:KN538736.1_FG007 transcript:KN538736.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding DGDAGNEDIDNDEDGPREPDPEDRMDKRTTNNLTHLKLWHEANNLIIVVIEGFKSYREEISTEPFSPKVNLAQMDLANQISSMEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGETSCEWTFIHALLTANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHLAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDDKKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQMASLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGTNAPDMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >KN538736.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538736.1:169693:181332:-1 gene:KN538736.1_FG008 transcript:KN538736.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTTGGSSTRSWEPTVTADTSDLHYWMQWRAALGTALSIYGCSKLADENVVTERTDMELGSYVAHGAGTKPNLNGEDDTGEIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRVAYFFLWTALYVIFQWILHASTPLWWPYPFLDVSANLSPLWYFAVAIMQLPCYAVFRLVIKLKHHLLTRWFPGSVREFGPFRYLTFPNRFMGRNSLLGRGWKKKKNRINGGQQQQVAAMSRICRCYHECLPNCGLRNSRSFCKQGETMLMLQLVSMTATKDELEARPAVHGDEQHTGVVQDGLTIAVGLHLAGVVMSRRQLHPEEAHPVLTSGGGGDPDVHEAVAGATEADAHVVPQQYPATRVVAVAEHVAVGRRRVSGEPRVTEELQRPAAGVGGDEVGGGGDAAPAPADGAAPEHGLRRQPQEDLRHHVVAHRGALILTLVRVGWASFIEARPDFKLLRLDLRDRNGRRCRRRRLYLFRPELSAADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFPLPPGEEPPAPLSLRDDVKNLSSGVVHLYCKACSARLTKHPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALPEAISDVHIGKDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEEGTCVSNSEKDGDTLWTDQSGIIKVSPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDSGNSRKSKDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYTSSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRIVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >KN538736.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538736.1:125140:125610:1 gene:KN538736.1_FG009 transcript:KN538736.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPASCRGQSGEDSTVIRRVGILSGKVKLITASVQDDRRADLLGLGDGAAQALGIGGAVVCRVRRRPGTTKAPSGAALGASPAEGEPPWRWQGRSRCGAVRRRRRWEQARRSTGAGGVIAPGRAYGASSMRAETGGGVIAPAERIGFESVQRGE >KN538736.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538736.1:139930:143647:1 gene:KN538736.1_FG010 transcript:KN538736.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPPEVKFIADPEGTIMGANGLTGPRYVGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVELASANSTMVNEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSTLQAKTLLEDEDTGFAYLGLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRIPNSTDFSETDGISREGFSVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKSALDRAREAIDSGKALSRLMNYIKISHKVS >KN538736.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538736.1:41685:47268:-1 gene:KN538736.1_FG011 transcript:KN538736.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKGVVAIKEKTMDSVEGLMRQMKLTDAEKKGVKIGVVSGVRSEGSMHQAIGKLLSEKPALPDVISQTLGKIWCPIRGIECRDWGDNHFLITFHQASGKKKALDDGPWMISKELLVIADFDGTKTLEEIDFSTVPIWVRITRLPLGLMNKAAGEVLGKEIGDFMAVDMEDNDPTSGRFLRVKVRLNIRNPLMRGITAVIGEKEEEKWCPLVYEFLPDFCYVCGIIEHTEKLCDKKVKKGESYQFGKELRVIPQKKKWDGSGAGKNFDGKGGSMWKGSFAPRWDRSGGSGSKGSTDKSRSDGPSWRRDPGKNLKEKGEEDEVQSPLKEKVGVTRDGVPKQLCFGSNTEEQHCLENVVGSEAEGFGEDTVLAGSVKNDVNSTMHDMHVDQILAPGLVGSKEGDRRAGEKKACNCGNRGRGASKYPSGWHGFRFEAGWAKEDQCATIVENAWKLTTGPRCGNVMDACREVALDLTDWSRNVLGDLEKRIKRAKKALEACRRRKKENIRLDLMNLNSAKDVVRHILSLREECCMEVVLLLWNWWFARNKINAGEHGFSPEEVVFRVKNMLHELSALKPVEQRRGNTGIKHWLPPQRGKLKLNVDGAFHADRKTGGWGFVLRDEVGHALCAGAGRLEFVSDAISAEAKACLAALLAILVQGVSMVDIESDSELLVSAIKSSSHDLATSATIFTEIKTVLQFQFSSFEIYFAPRSCNNVAHELARLGVSWDPGQSYVWVDPLPGFVRAKVIRDSTESRY >KN538736.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538736.1:18935:23329:-1 gene:KN538736.1_FG012 transcript:KN538736.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDKRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQSLILDELLPARHDDYHMMLRFLKARRFDIEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELDAVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPEVLGNKYQSKLLEVIDASELPEFLGGACTCPEYGGCLKAEKGPWKDQNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKHHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREVTRKTAFSSKVLLAVFMAIITLVRSVKDLAAKRLPDKNESEQKYSTLYPDSMPKEEFRPPSPTPGFVEAELFSSVLQRLGDLEEKFLMLQDKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKAMFCY >KN538736.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538736.1:89326:93442:-1 gene:KN538736.1_FG013 transcript:KN538736.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPPRFSLLSGLLLTDDGRAPVPLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPELDPNHVTELRILGLY >KN538736.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538736.1:109244:119446:1 gene:KN538736.1_FG014 transcript:KN538736.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGEMREVGRETLHGGVVQSGSETDEHKEKTIDSEKDGQFRVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMVFALLIQTLAANLGVKTGRHLAELCREEYPRYVNIFLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSPADANTCGDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSEFVLLLQKQQSPEFLIWIQMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNNLPKYANGLISVVVFALMAAYLVAVVYLTFRKDTVATYVPVGTYFLRNYYNLLQQSPDVVHQFYNDASTMVRVDDLAGTNTTASTMMDIHSLIMSLNFTQIEIKTANFLNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDEEQVQPAPVIAQDNFETNMASNSVVEPVPEYIHEEENQSAVPITSEESDAVENYTYSEPPQQVVSQSDNWGDEPLPEEPISSFTNGMAMAPEEPVQSPPVPPPHVEEPVGEPVKKTYASILRTAKAPPVFPVAQPAPTRPSHQATETNQAAQHSVMTSSVATEKPKTDVYGEFAVQDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGNYTQLHGVVDGSIYLRRGGRGRFGGGGRGYARGGGDNEYAGNRGSGRPSNGYQRVPHHERGILGSHNARN >KN538736.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538736.1:135130:138377:-1 gene:KN538736.1_FG015 transcript:KN538736.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARLVSRSRQLYSAQAALANGGATQVRLYAAPGDRTPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVRQKADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIRKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >KN538736.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538736.1:199051:206368:1 gene:KN538736.1_FG016 transcript:KN538736.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGGGRRRRPRTMLFLVLVALMVIMAVAKTASGGGGDDGGAGGDHDHDHEQFLKLWNGRGGEDAKEDYLNWDDDDDDEDEDEEEEEAEQVMAWAAKCRPPAGRNVVNVDSFGAAGDGCSDDTEIQGTIVAPDEPSEWDPASPRLWLLFSGLAGARIQGGGIIDGSGSKWWANSCKIDRSKPCKGAPTNGDRIKTWQGGAGYVRNVRFAGVRVDGVDHPIVIDQFYCDATRPCRNRTSNVRGSGVVFRNITGTARRAEAIRLACSDAVPCVGIVLSDIDLRREDGGGEGSYNEHMAALRRIGVRGVEVRKPEQLQGLDSLIIPGGESTTMAKLANYHNLFPALREFVGTGRPVWGTCAGLIFLANKAVGQKSGGQELIGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSDTCRGVFIRAPAILDVGSDVEVLADCPVPSDRPSITIASGEGVEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRFFLDMDKESDTKAFSALSLSSSSRDTQDGSKNKPLDLPIFE >KN538736.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538736.1:127667:130774:1 gene:KN538736.1_FG017 transcript:KN538736.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAEKIGQMSQIERENATFDVMRNYFIGSVLSGGGSVPAAQASPEAWVSMVNEMQRGAMATRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPRWGRCYESFSEDPRVVQRMSSIISGFQGEIPPGGRRGVPFVSGGRPSVAACSKHYVGDGGTTRGMNENNTVATLRELMTVHMPPYYSAVAQGVSTVMVSFSSWNGVKMHANHFLITDFLKSKLRFRGFVISDWQGLDRITTPAHADYMLSIKLGIMAGIDMVSTVHTLIQAKN >KN538736.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538736.1:76803:78093:-1 gene:KN538736.1_FG018 transcript:KN538736.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASELELAKLEHLGGGSEEVVEGGGVEGEERVGGGGDALGRQAEPLVLATTRGVWMSLLMPSAKKRMVVVVGREEEMIEEGVWEQQHEVDL >KN538736.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538736.1:31138:34152:1 gene:KN538736.1_FG019 transcript:KN538736.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLGIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPTLMERQPIIRPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKQHGRNVIVVDTKSSSWKKQKL >KN538736.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538736.1:97891:99947:1 gene:KN538736.1_FG020 transcript:KN538736.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGISDSSDRAKSCQHPCDKKDTPQVTAEVATRSEDGEPSLLETGSFLQPEAACLTLDHSSDAAEVNNLHDKENIRTDLQPKPDVRHVENRMNGAPLGLDLNIVDSSNAAELNPFFPYKKLGQTKVSDPSECGSTTGAVGESESHRKWREMKQNGFLSSSQGTAVGPKPLGRPIKRKRDDESKRNTFAQKEQTKKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQAVHASQTGERGREFSEKAQDQRYGGNMMNCHFLMKGSNMPFHQGLPTASQFLPEDGDDLKLQLSSAVTMASDRTCSTSADDFASNHDYMTVLSVKAANVASQWLELLQQDIRGRLAALKRSKKRVRNALQTELPYLISTEFSSNQENEPSIVHSSEGGSTGKTVPEAHVARWRSLFLQMDRTLQEEGMHLENRLKEVQEMQMNCEKGLRYMACEAPLVGPMAELWKLKNSETPESEWAVQAAAASIYSTCNLVMRTENVSCF >KN538736.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538736.1:194967:197565:1 gene:KN538736.1_FG021 transcript:KN538736.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMFGSGHWGGSFPYASIPKESQFLFDAKASPLQLQLFGSAAVPAVGSTGYYNYIANNHLSAMNQERNTNNDVGHEKKLNLQMSLNYLPVENLDRLAHIGNPSAVSTGLRLSYENNEHTSITSGSGNMSSLPIMASFVDEVMAELDKENKEFNCYFGLQVEQLVKCMKDVKQRQMVEFLASLERGVGKKLKEKELEVEAMNRKSKELNEQIRQVALEVQSWQSVALHNQSVANSMKSKLMQMVAHSSNLTREGSGDSEVDNTASSQNVNAVPGGFFQSDLLGINSMADGGLGACRLCRMKEAAVLVMPCRHLCLCADCEKNADVCPVCRFPKSCSVEINMS >KN538736.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538736.1:38648:41574:1 gene:KN538736.1_FG022 transcript:KN538736.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLKSKAIPTSVIAFAGDVPLLAGGAVQDNGVTPRTPMSIFRTSPGEKMLEMVASFPSPEGFCSIDWAKPSASNDFSCQAGLIAGGLENGMVAVWNPDLKLRKLPSYLPDEEILKPHPDGVQTWIRKDFEVIEDYLDQVQVDGNSRALHLQVSPTYQEMSGNLMVEYQDDIMCRTSSSGVVALFAKHTGKVRGLSFNPNAPNLLASGAADERIMLYDLAHPLAETIPVQLCDSRDEEISCLCWNSSKVNVIASTTTCGRICFHGTRAKTIIGW >KN541890.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541890.1:1551:9686:1 gene:KN541890.1_FG001 transcript:KN541890.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELLRAASHGDLQRLRVLLGVLHDDKSPAPTTTTTTTSQDDDAVVLEVYRSLPLLPPPSTTAGEGEDEGTLSLLEGTTFQGDSALHVVASSGDDGDFLKSARLIYGKARHLLEATNNNGDTPLHCAARAGNVKMVTHLLELAGGDAGAGDQRKKLILRRKNHQHETVLHEAVRLGNKDLIDKLMTEDPELARHPSNGATSPLYLAVILPNPQVAMQLHGYDKMLSYSGPDGQNVLHAAVLRQRDATGMLLNWNRDLTGKGDSHGRTPLHFAVSIEPPTKIPYYHKILFSILRHIDIYTLCLDRFLYPRKTRGDSLTLTGMLMDADESSAYQPDDKGSFPIHVAAAEGNDGTINILLNKSPNCATLRNAQGRTFLHIAVENGRHTIIMFVRRRRRLAAKIMNLPDNDGNTALHLAIQDGDLHAVLCLLMNPVVKVDCLNKEGLTPLDISRKLIPEGLLHGSHQRIWIKRSLHLANAHHANPSLDHRQEKCICRTVREERDSKIVEKDDDEQEDSNTITESTQVMAVCSTLIATVAFAAAFTLPGGYRADDHTNGGTPTFVGSYGFDAFVLAITFAFVYSLLATFSLVYSGMTKVDYSIRLEHLNSANSLVWLSIRCLLAAFALGLYVVLAPVAHKTALLICLMCSVGLLHGHTSMKTHIRMAVLLQGRIGFKVWWILGRKILRNFLHSFWPFLIIFGWPAYLKWRHQQ >KN541890.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541890.1:13842:14277:-1 gene:KN541890.1_FG002 transcript:KN541890.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RNLVTGEWPSAIDVWKATYLKNGTWSVPNGEEILNNLQTAAETNQERIAAAQIPTVEHVALVLGRKPNHSTRVELVLVQSMRELKRGTELMHKQKLHNSKPMKHINKLLLCSKKFKN >AMDW01007385.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007385.1:47:145:1 gene:AMDW01007385.1_FG001 transcript:AMDW01007385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSHVEVLTNVSSILKEFGFCPKEEYIDTMNPY >KN542419.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542419.1:214:632:1 gene:KN542419.1_FG001 transcript:KN542419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FNSDIQIDLIQGWDRDRRLLAKPIKYFHEMKSFSQAVMRMALLR >KN540264.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540264.1:43496:44777:1 gene:KN540264.1_FG001 transcript:KN540264.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTASVVLSNDGNLVIGSSSNVLWQSFDNPSDVLLPGAKFGWNKLTGFTRQIISKKNLIDPALGLYHVELGSPIDIKHVDNNEEEYYTYTLLDESYSIYVLLDMSGQVEINIWSQLTQSLQKVYAQPVDPCTAFGTCGPFTICNGISRPFCDCMESFSRKSPQDWELDDDRTAGCMRNTQLNCGNMTSSTDVFHAIPRVTLPYNPQSVDNATTQSKCAQACLSYCSCNAYSYERSRCSIWHGDLLSVNMNDGIDNNSEDILYLRLSAKDLPGSAKNRTKPNVGVVTAATITSFGLVMLILLLLIWRNKFKCCATPLHGGQCSGSIVAFRYSELCHATNNFSKKLGGGGFGSVFKGVLNDSTTVAVKKLDGGSQERSNLGQK >KN542419.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542419.1:14402:15664:1 gene:KN542419.1_FG002 transcript:KN542419.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYAGYTINCSILVIKQSVSVPDSGDSSPFKKSSSKLGTPGPDFWSWLPPVENSTKLGEIDTGLKPSEKLDSFAGQPDLLMEKEQSEDILSLPFETSFFKKKEDRSLPPFQSFAEPENVESEPSITADAEETFEDQFSKNAAEAARALSASDEKSSHGVRPDSSLWWKETGVEQRPDGVTCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDATGNVWREYWKESMWQDFTCGVMHMEKTADKWGQNGKGEQWQEQWWEHYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHE >KN542419.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542419.1:10604:11005:1 gene:KN542419.1_FG003 transcript:KN542419.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELITACALLLIAVHIAIVISCPEPLAAVAFVDVPLLYLCRYLLIIRPGVIIVSGAGGSGRMRRFRLGAAMAIIYMAMSTLFFLRIAPLTPWWGALAAWVMILLIVEAIFAFFFPYRCCFNETEGDVQNNPHV >KN542419.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542419.1:6885:8170:1 gene:KN542419.1_FG004 transcript:KN542419.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQTLQGLDLLHCGVQNQEIDIPRNDQYVEEQNISNKDWRTNCYEYLLGSYLGTLVRKPHLAPLNILKWNDKLFKRIYHQKIIAEVEFPNWYSEDSKKISDRNQENAQNMKHPHTLGRKSFARKRKELEVNGVEVDRATFFDEYHKTKDGRYVNDATQEKMNEVYMKLAEKRVDGQQLTEADFEQAMLDVFG >KN540264.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540264.1:54559:56972:1 gene:KN540264.1_FG002 transcript:KN540264.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHQLYIFLGLLLFSLHGAPPCSAAVNDTLTAGELLAVSDKLVSRNGKFTLGFFQPSFVTNSGNITSPNWYVGIWFSNISAFTTVWVANRDNPVTDLQLNQTRLELSKDGDLVVSSNASIIWSSATVANTTTATTMNTTSVILANNGNLMIIGSSSTSNVSWQSFDHPADVMLPGAKFGWNKVTGATIKYVSKKNLIDPGLGLYYFQLDNTGIVLARSNPAKTYWSWSSQQSSKAISLLNQMMSINPQTRDRINMTYVDNNEEEYYAYILSDESLYVYGVLDISGQLIINVWSQDTRSWQQVYTQPVSPAQHMLHVDLSQFAKALQILSVAVWRASLKSHLKIGRLAIGQQGASETLRWIVLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCKGDKRLLVYEHMENGSLDAHLFQSKATVLNWTTRYNLATGVARGLSYLHHSCKECIIHCDIKPENILLDASFTPKIADFGMAAFVGRNFSRVLTTFRGTIGYLAPEWISGVAITPKVDVYSFGMVLLEILSGKRNSHKECTDDNNSNQVAFFPVTAISKLLEGDVQSLVDPELNGDFSLEEAERLCKVACWCIQDNEVNRPTMSEVVRVLEGLHNFDMPPMPRLLAALAV >AMDW01036647.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036647.1:358:622:1 gene:AMDW01036647.1_FG001 transcript:AMDW01036647.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKDSRNTPTEHTYTSGLEGLSSVEEKRGECPDDLARCIQ >KN539836.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539836.1:36602:38029:-1 gene:KN539836.1_FG001 transcript:KN539836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSSRAMLLARAVALAVAFLAAEAQLSPGYYNATCPGVVSIVRRGMAQAVQKESRMGASILRLFFHDCFVNLRTKSCPTTGGDGNLAPLELQAPNTFDNAYFTDLLSRRVLLRSDQELFGSGAGNGTTDAFVRAYAANATTFAADFAAAMVRLGNLSPLTGKNGENRESAGPVDQWNTADVAAQDGNA >KN539836.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539836.1:64688:68359:-1 gene:KN539836.1_FG002 transcript:KN539836.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDSSPAAPAAAAAEGRKPRRLRGHKKGAVTCCVASSSRPGVVASSGEDGCLCWFDLRTKDVLFTMEATNQPISSVCFKAGNEDLVYASAGNEILSFDVRMGPQAKPLDTYNYNRDEINQIAVSSKGFLAAADDSGDVKISELPCFGAIEAVYNSFLGDLGQFHLTAITGGLDSKLAVWDFSKGRTLFSIDYGSPEMQNGRSGGQCFNPPFVHSIAVSEEGILGGSYKVCAVARGDGAVDVVDLEYELAPAKSKGLPRMADLSLSSKRTDLGDGCGSQNQGNRIHLDYTAGGHTSSVSCVTFSAFGEKGKFLVSGGNDSSIKLWDWSKGFSSETNNSAELVLDIKVNWLCTTPTDSDNLIVCDTSKVVKVYNLP >KN539836.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539836.1:19440:20852:1 gene:KN539836.1_FG003 transcript:KN539836.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKASILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRVGMIDQIKREISIMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFSRVARGRLKEDAARNRGVYHRDLKPENLLVDENGNLKVSDFGLSAFKECQKQDGLLHTTCGTPAYVAPEIINKRGYDGAKADIWSCGVILFVLLAGYLPFHDSNLMEMYRKISKGDVKFPQWFTTDVRRLLSRLLDPNPNTRITVEKLVEHPWFKKGYKPAVMLSQPNESNNLKDVQTAFSADHKDNEGKAKEPASSLKPVSLNAFDIISLSKGFDLSGLFENDKEQKADSRFMTQKPASAIVSKLEQIAETESFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFFVVEVKKSAGDTLEYEKFCNKGLRPSLRDICWDGQSEHPSLAQSSTLTQSSKSISRHAI >KN539836.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539836.1:51663:54313:1 gene:KN539836.1_FG004 transcript:KN539836.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHSFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPDHIRRQHGLNFAHAGS >AMDW01036484.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036484.1:137:606:-1 gene:AMDW01036484.1_FG001 transcript:AMDW01036484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDIWDERKVFGTRIESLKDDILGGSTHTMGNNVNSSNPSSNPSSVSKAARKDSGTVTRKLTVGGMPEKILTAYQSVLDQHFDEDTALNKCNNAVSVLDRMDKDVDDACTQGKAFFSLQ >KN539836.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539836.1:59629:60544:-1 gene:KN539836.1_FG005 transcript:KN539836.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGGILLQHHQISPSYLAKAAFPGSFALHKDFANGILLCPPRNSFSCSSLGSIRSEANGSPLPRTVNRRSKEELIEFFKSIQTSIAEESPRTSRRTRKQSSDPFEEVERRKQSYDGEPKVLDLNDMKVAELRELARTRRMKGYSRLKKSELIDRLKGV >KN539836.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539836.1:11981:15417:1 gene:KN539836.1_FG006 transcript:KN539836.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFLRAGMALLELRERVEADPHGALRDWDPADATPCRWSGVHCFDGKVEILNLTGRELVGTLAPEIGRLQLLKSLILRNNNFRGKIPKEFGELTALEVLDLSSNNLDGTIPEELMAMPLLKQLSLHDNQFQDDISSLHIQDISDEQAGCLSRKLGCWAGFKDWTSFSDLREKYSTNLASLGEPHIMQNLQSFASAVGRRLLGEVGNLPALSGNDAKSSGPVNSEEIQRAIDVLSLGSGSFSAFPNSEAEVLESAVNTDAPAMQFGAANQSTDEVSGSKHSKWAYFMIIPAAILLISLIVAPILVWRKRGRAAIGPWKTGLSGPLQKAFVTGVPKLNRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAILSLKEWPKSSETCFRKKIDTLSRVNHKNFINLLGYCLENQPFMRMMVFEYAPNGTLSEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHDLNPPVAITDMRSDTIFMTDDYAAKIADVGIWKEVATKAKTAKEDSSSRSECPPDIANNVYCFGTLLIEIISGKLPEADDQESMCNWAAEHLKGKNYSKLVDASLKEHNANELEAVCEVIQECIDPDSDQRPTMRDATRKLRQALNISPEAATPRLSPLWWAELEILSAEAT >KN539836.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539836.1:68632:69048:1 gene:KN539836.1_FG007 transcript:KN539836.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDGETPVENSAVGDAKEEEKQPRQEKKPFGIDAYLEKWTAAEQEAAKAFLRALIADAHQYMEMTEEEVVEEYRRAGKLHRYDPDTEWQKRYARVARAHPPPPCLMALIPKLEQYLKLLDEDDEQDYLKLLNEDDE >KN539836.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539836.1:74132:76079:-1 gene:KN539836.1_FG008 transcript:KN539836.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIIFAIGLPISSSVRDPPAPNKIFVSRQISFKGRICSLEGPMPIIGDPLVPATIPNEKHELVDVSPCQLSTVDVEAAILNIRKKLKEKLSPNSASKLGRELIYLADMAKLLRLEDD >KN539836.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539836.1:56754:58860:-1 gene:KN539836.1_FG009 transcript:KN539836.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >KN539836.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539836.1:26319:27577:-1 gene:KN539836.1_FG010 transcript:KN539836.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELLARVARGRLPEADARRYFQQLVSAVAFCHARGVFHRDIKPENLLVLSRRGYDAAGADLWSCGVVLFVLMAGYLPFQDRNLAGMYRKIHRGDFRCPKWFSPELIRLLRGVLVTNPQRRATAEGIMENECIPEQQKHTARFVSAAPVEVILATLEAAAATAGMAVREREDGSISMEGTREGEHGALAMAAEIYELTPELMVVVEVRRKAGGAA >KN539836.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539836.1:1:8895:1 gene:KN539836.1_FG011 transcript:KN539836.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding VPPNAYRAVLEVYVSSHNFDEFWYMNTPDQNGPFREVTVRLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPSYDVELTPFLGKLLDGKEHELGFAVTNAQKSWYVDANLHLWLDPKSAATSGGLVAYDAPKLTGQIVSNSSDGIDGHSQAINQTTEARTEVVTGDGAHALQLHQSFPLYIFLGGDGSGTSSQRLMRRVAIGFDETRAAGAGGRWAAASTLHNEQTAAAEVVLRDDQVVGASWRMHQRLSTVSKSTVQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVTRYAALLAEPGEIAVYLGNLVDSTYTGVYHANLTLHLYFHPAPPPPPPPQRADLIVPISRSLPLNDGQWFAIQNSTDVQGKRLTIPSNTYRAVLEVFVSFHSNDEFWYTNPPNEYIEANNLSNVPGNGAFREVVVKVNDDIVGAIWPFTVIYTGGVNPLLWRPITSIGSFNLPTYDIDITPFLGKLLDGKEHDFGFGVTNSLDVWYIDANLHLWLDHKSEKTAGSLISYEAPGLVLNVDSGFSGLDGQFVTRASRHISATGLVKSSYGEVTTNFYQRFSYVNSNVYSKNGSVQVVNQTIDAKSGVFAKDALAVLLSEELHQIFPLYVYTGTSDEEADEYTLISYVKLGVNEKETSGGKMGFSYNSLRNAQSAHGSMKVKKNLIVGGLGETHQAYKYVGTDGCYFRDVRSKNYTVLSDHSGDSCTKRNPYNENNFELPLLDSGKGRQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVSRYTALLAAPGEVAVYLGNLIDDTYTGVYHANLTLHLYFHPAPPPPPPGEQQHADLILPISRSLPLNDGQWFAIQNSTDVQSKKLVIPSNTYRAVLEVFISFHSNDEDWYMHPPNEYIEANNISSLPGNGAFREIAVQLDGDVVGAVWPFTVIYTGGVNPLFWRPITAIGSFDLPTYDIDITPFLGKLLDGKEHDFGFSVTNALDVWFIDANLHIWLDHKSEKTFGSLVSYEAPKLTLHVDSNFSTLDGRFVTSASRHISATGWVNSSYGNVMTTFYQRFSYKNSNVYSKNGTFQVVNQTIDAKSGVFARSSVVLFLEEVHRAFPLYIFSGTSDQVGDEYSLVSVVKMGFNEKRISGRKPEFSYISLRNAQSAHGYMKVKKNLVVDGLGETHQVYKYAGTDGCYSRFVGSRNYTIIFDSSGDVCSKGSSHNGPKFSSVKLT >KN539836.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539836.1:60907:64155:1 gene:KN539836.1_FG012 transcript:KN539836.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTSVSSHLRAPPPWPPPKNSSPRTRVRCGVLAPSGHVLEAAAAPRGAKNSPSRAPRTDVGVQIERLCRAGELAEALRLLGSDGVDARSYGAVIQLCSDLRSLESGKRAHFLVRASGVGKEGMDSVLGRKLVLIIADGEVVHGYLEKLGLGVQCAVGNALIALYSRCGHVDGSLQVFDGMPHRDVISWNSVISGCFSNGWHGKSIELFAKMWSEGLEINPVTMLGVLPACAELGYNLVGRVIHGYSVKTGLLWEFESLENGIDENLGSKLVFMYVKCGELGYARKVFDAMSSKSNLHAWNLMMGGYAKLGKFQESLLLFEKMHDCGITPDEHTISCLLKCITGLSGVMDGLVVHGYLVKYGFGAQCAVCNALISFYAKSNRIEDALMVFDEMPQRDIISWNSIIGGCASNGLYDKAVELFVRMWLEGQELDSTTLLSVMPACVQSHYSFIGGVVHGYSVRTGLISETSLGNALLDMYSNCSDWRSTNKIFRNMEQKNVVSWTAMITSYTRAGHFDKVAGLFQEMGLEGIRPDVFAITSALDAFAGNESLKHGKSVHGYAIRNGIEEVLPVANALMEMYVKCGYMEEARFIFDHVTKKDTISWNTLIGGYSRSNLANEAFTLFNEMLLQLRPNAVTMACILPAAASLSSLERGREMHAYAVRRGYLEDNFVANALVDMYVKCGALLLARRLFDMLTNKNLISWTIMIAGYGMHGRGRDAIALFEQMKGSGIQPDAGSFSAILYACSHSGLRDEGWRFFNAMRNEHRIEPKLKHYACMLKNKVGGRGLRENTGCSWIEVRGKAHIFFAENRNHPQGMRIAEFLDDVARRMQEEGHDPKKKYALMGADDAVHDEALCGHSSKLAVAFGVLNLSQGRPIRVTKNSRVCSHCHEATKFISKMCGREIILRDSNRFHHFEEGRCSCRGYW >KN539836.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539836.1:72434:72766:1 gene:KN539836.1_FG013 transcript:KN539836.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEVEPAREKKWRPSSAAERAAGQAFLQAAVADALRYTKMTWQDMVEEYRRAGKLHKYDPGKEWQIRYARVARAHPPPASLLALLPEIQQYLKFLDDLEEEDDHDHKE >KN539836.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539836.1:28811:32113:1 gene:KN539836.1_FG014 transcript:KN539836.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFLLSVNTRRWRRLHPPRRHARRARAPVDPGAIRGPPLLLRRGKQRLDLFAVSPSGRYAAVRPARGASPLQVPSLVRHYGSTTGATATATPAAGVPALHRASPLPLFVPRRAYVSPRRAYVSPRRRRVYSSDEYSSEEYSSDEYSSDGYSSGQHEPPEPRGVSQMTMVLPAINLERMAYGNGIVCGYNRLAEYKYVEDCQVAKVMDHQLRRIEKVEAGLKQLGWFQIITVSLLGLVTYQCHSEVSRLDKEVAAPKSESP >KN539415.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539415.1:29521:31452:-1 gene:KN539415.1_FG001 transcript:KN539415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEAEESLRFRWPAAEEEFDNDMVLPYISRLLMEDDVHDHFFYQYPDHPALLRAQQPFAQILAASSSPSSAGGASSSSSSDAPPSRGFFDDEAATAKTRATFPTSAVHSVDHQYSGGLDMVNMAFLKGMEEANKFLPTNTLLLSTDSSSTLQLQGEVEVVVHEHGHGMLGVGGAAHGHAAINSKKVNCRDDDLEAGTGRATKLMAPEPELEEEGAREMFDEMMLQEHEICMKGVKQLSLKSKSSSSSSKKARGRRTVIHTEPVDLHNLLLHCAQAVATDDRRSAHELLRQIKQHSSAWGDAGQRLAHCFAQGLEARLAGTGSQVYQSLMSQRTSVVDFLKAYRLYMEACCCKKVAFVFSNKTIYDAVAGRRKLHIVDYGLSYGFQWPGLLRELAARRGGPPEVRITGIDLPQPGFRPEQHIEETGRRLSRYADELGVPFKFHGIAATKKESVRLEAEEDEVVVVISLCHFRNVMDESLQEDSSRSPRDEVLGNIRRMRPDVFIHGIMNGAYGATYFLTRFREALYYYAAQFDLLDATVGRESQERMLVERDIFGRAALNVIACEGAERVERPEMYKQWQARNQRAGLRQLPLNPQVVRLVLDKVRDKYHKDFVVDEDQRWLLHRWKGRVLYALSTWVAQH >KN539415.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539415.1:74653:78218:1 gene:KN539415.1_FG002 transcript:KN539415.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLAALLVVAAASFRAAEAALPSMAVGVNYGANADNLPPPKDVAAFLAAHTTIDRVKLFDANPAFISAFANTTISLAVSLPNSDLPSLADKQTGLDTARAWVRANLSPYVPATNVTLLLAGNEILLSPDPNLILSLLPAMRRLAQALRLEGLTGVRVTTPHYLGILAPSDGIPSNARFRPGYDTKLFPPMLQFHRDTGSPFMVNPYPYFSYNNQTLDYALFRPNRGVYDPNTKLNYTSMFDAQMDAIYTAMKRLGYGDVDIAVGEAGWPTQADPGQVGVGVEEARDFNEGMLRVVSSGKGTPLMPNRKFETYIFSLFDENQKPGPIAEKHFGILNPDFTPIYDLGLLRQSDSGAPNPSPNPSPNPSPKPAPSGGGKWCVPKAGASDTDLQNNIKYACGYVDCKPIQSGGACFDPNNVQSHAAFVMNAFYQANGRHDYDCDFKGTGAVTSNDPTSSITHYSERKTCYMLRELQIRLLTGRSKPWQCRCSGTNLESTSRLKSRKRWRVANTAWLAPNFSLLLSDSSHASFLEELY >KN539415.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539415.1:19980:21641:1 gene:KN539415.1_FG003 transcript:KN539415.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKKYGVAKGTILTAWRLCRCNPLGVRLYTRKDSIAYCLPMQLSQARVLRSSAAPDKGNLFVYSSIDSCHVEKVIRCSEFL >KN539415.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539415.1:33081:35002:-1 gene:KN539415.1_FG004 transcript:KN539415.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKQEELVVVVEQPFSPSLFLDLPPTPHQHHDDDPNNVNDDLLLPFISRILMEDDIDDKFFYQFPDHPALLQAQQSYAQILHAPATSSSSDDTTINNNTTNSSDHDADTQSQSAPDDMEMLNMAFLKGREEATKFLPTNNTLFSDLKAEPVLDIQPTFMFGPSDGGGGRGRKNRHAELEEDHLEAETSRSSKLMCVVNGAYGAPFFVTRFREALFFYSAHFDMLDATIPRDKDDRLLIERDMLGRCALNVIACEGADRVDRPETYKQWQVRNHRAGLKQLPLEAEVVELVRGKVKSLYHKDFVIDVDHNWLLQGWKGRILYAMSTWVSHHP >KN539415.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539415.1:56154:65940:1 gene:KN539415.1_FG005 transcript:KN539415.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPAANLDVRVERPRPPPVHPHRPGSLRARPYYRRWTPWIVAAIALSCVVVFLVSMYVNDCPRRNSGDCAAGFLGRFAFQPLKENPLLGPSSATLLKMGALDVTKVVHGHQGWRLITCIWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVFVIVVNLALGILPRVDNFAHIGGLISGFLLGFVMFIRPQFAWINQRRVAPGQQPDPVKRKHKTYQYILWLAAAIMLIVGCVPTKRWKCNSSPTYCTILKVAVKDVSLDSSWYDQIVPLCRHRRAMRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLAGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRDETPPAPDSERPWALCRSPRPSPPGQSHSRSYSRSHSLHLHDHARTRSCSPAPGRQDDQYASPQRKEHQTKSSGQTKGHDDMRRSYTPEYNECQDADNGYDETPPAPDGERSSVLGRSPRPSPPGRSHCHSHSRSRSPELRGHARSRSCSPATGRQDNQSTSPQRREKHQTKSSGQAKEHDEKRRSYTPEYNDRRDADNGYDQTPPAPDGERSWALGRSPQPSPPGHALLPPEGEEMTSMLPRRERRRSKQNHHDRLKNKMSEDPALLNIAIAAMLSSVTMSKFCSYMCLCGVWLPPL >KN539415.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539415.1:2800:3587:1 gene:KN539415.1_FG006 transcript:KN539415.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPLLLLVNVILIMLGGAAAAGGGGNGGIQLIMVNNCGESVWPGLLGTAGHPTPQSGGFHLGPGEEAALEVRDREGRVAGCRSACRAMGGDRYCCTGDYASPSACRPTIFSHLFKAICPRAYSYAYDDATSLNRCHANRYLITFCPPQPS >KN539415.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539415.1:103289:108541:-1 gene:KN539415.1_FG007 transcript:KN539415.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding GNGGRGGDVILECSRSVWDFSGLQHHMKASRGANGVSKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLSVNKPTRALDPWDIPDAVEHSPFSSSRIGSKMMKGLDSSRSSQHISSKKNTAENDCERGNRNHRGKEPYYMTEFVRTEDYDGTSYPHQVGVDENDQSDDEDDEFWEDDEELDMEEDDEFWEDDEEELDMEEVTEEKEEEEDVRYSVAEMTKPGQRLIIARGGEGGLGNACILKEMWLSKAHKEEEMASLSTGHPGTETYLILELKSIADVGLVGMPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVLPWEQLRDLVVELEHYQEGLTKRPSLIVANKIDEEGADEMYEELKKRVQGVPMFPICAILQEGVPDLRVGLRDLMDASDPQGIELSKIVAGDVKSTTDREQLVIKLVFQGYQGIGACAVIIATEKNSHEQKWIGQLKQTSWQRSIKPIVVSVPLKDSKFSRDECYKEETVRRYIEGPFLAARQLQKSLTERRCLAVVDLAAAAAQTAPAELILAVRKKYPDLEEKSSSTKGTVVLGVAPEKKAQQFEAAAESGETAHGCSCGSSCRCNPCNC >KN541215.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541215.1:5352:8472:-1 gene:KN541215.1_FG001 transcript:KN541215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSVIEQRPPARHYTRKGRRARTNSRSIMPEAPKSRLNDSRGHMTDFSMSEVVHFETSSNHGKSEHSKTFHLTQMQWHHSQRDSNGCCKEDAWFDSVSILEDDSDDEFKSVNGDWPDDDNQMKSENASRFADALSRIGDLCRGVPMTLSVEQYLKRDNGNDPDRRSQSMAMCATRCLPSSFSFKGLKEANDADEKSKECSTPSRIRKLLHSFSFNDKMQQLTCGSPAKKKSTVIRLSYKRTSCDDYEDDSELGESEKYVVRPKGGTTILYGGDKPTSGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFASHKKVQHIAQHIELPQVKPHDKLPSLLIVNIQMPTYPAAMFLGDSNGEGLSLVLYFKISEYFDKEVSEHFKESIMRFIENESEKVKGFASESTIPYRDRLKIMAGLVNPEDLQLSSTERKLVQAYNEKPVLSRPQHNFFEGENYFEVDLDIHRFSYIARKGLESFRERLNNGILDLGLTIQAQKQDELPEQVLCCVRLNKIDFINHGQIPTIVTLDDK >KN539415.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539415.1:39819:42424:-1 gene:KN539415.1_FG008 transcript:KN539415.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPQLEELVDLEPFSPSLFLDLPPTPHGDDPNDDDLILPFISRMLMEDDIDDKFFYQFPDHPALLHAQQPYAQILDAPSDDTTTNSSDDSASATTNNTTNSAANANASWPYDPIELSQLLQSPPHPVSDNHDADVGDTRSAPEDDKDLKLLFSAADNMEMLNMAFLKGREEANK >KN539415.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539415.1:22851:24632:-1 gene:KN539415.1_FG009 transcript:KN539415.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEDFFWEALLKENEAPSPPPVFFDLPPTPLANSDGTDPSSLDNQLLSYVSRMLMEDEMGSSAAITNLQCVNRGSTEEANNMLPSSEVVRAFSKGMEEASKLLPRNNSFRTLETVDQVSSDGHCRGRKNKNHDRDEQQLEEELSRSSKLAALTIAGTQEAGARELLDELMLHTHETCIKDMEKLRIDMDNEAEKKINKKDKKGSSSKVVDLRMLLIQCAQAMATDNQQSAGELLKKIKQHTLATGDAMQRVAHYFAKGLEARLACSGKHLYQNQVRLSLVEYLKVYKLYMAACCFTKVALMFAAMTIMQAVQGKKRLHIVDYGPRCGMHWPDLLRRLGNREDGPPEVRITIVDILQPGFRPFQRIEEAGHCLSSCANEFHVPFRFQAVAAAKWKTVGAEDLHIEPDEVLVVNDLLSFSALMDESVFCDGPNPRDVALRNISKMQPDVFIQGITNDSHGASFLSRFRAVLLYYSALFDMLDATTPRDSGLRLALEQNVLGPYALNAIACEGADLVERPEKYRQWQARNHRAGMQQLTLRPDIVDTIRDEVNKYHHKDFLLGEDGQWLLQGWMGRILFAHSAWVRQSQDTSSG >KN539415.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539415.1:255:1480:1 gene:KN539415.1_FG010 transcript:KN539415.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLKALRWRLACVTPFSFLPVTTTTTTTRALLLRSLLDPSFLRFDASLLAASALTFSSTTPQHPNHLLLNRLIHPFSQTDHEVKECFNMMKALHLDMSKNPGRSSDHPCWSPISVVIPFQTDGTVNRSAVSRCLFGSGRLKPDQFDDDTNTEEDKDSGVCTCIQETK >KN539415.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539415.1:67247:68130:-1 gene:KN539415.1_FG011 transcript:KN539415.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLAWHFDARGCFTVKSAYKVQREMERRASRNGCPGVSNWESGDDDFWKKLWKLGVPGKIKHFLWRMCHNTLALRANLHHRGMDVDTRCVMCGRYNEDAGHLFFKCKPVKKVWQALNLEELRSMLEQQTSGKNVLQSIYCRPENERTSAIVCLWQWWKERNEVREGGIPRSPAELSHLIMSQAGEFVRMNVKEKSPRTGECAVWRRPPLNFVKINTDGAYSSNMKQGGWGFVIRDQTGAVLQAGAGPAAYLQDAFHAEVVACAAAIKTASERG >KN539415.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539415.1:26260:28046:-1 gene:KN539415.1_FG012 transcript:KN539415.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEDFFWEALLKENEAPSPPPVFFDLPPTPLANSDGTDPSSLDNQLLSYVSRMLMEDEMGSSAAITNLQCVNRGSTEEANNMLPSSEVVRAFSKGMEEASKLLPRNNSFRTLETVDQVSSDGHCRGRKNKNHDRDEQQLEEELSRSSKLAALTIAGTQEAGARELLDELMLHTHETCIKDMEKLRIDMDNEAEKKINKKDKKGSSSKVVDLRMLLIQCAQAMATDNQQSAGELLKKIKQHTLATGDAMQRVAHYFAKGLEARLACSGKHLYQNQVRLSLVEYLKVYKLYMAACCFTKVALMFAAMTIMQAVQGKKRLHIVDYGPRCGMHWPDLLRRLGNREDGPPEVRITIVDILQPGFRPFQRIEEAGHCLSSCANEFHVPFRFQAVAAAKWKTVGAEDLHIEPDELIL >KN540801.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540801.1:2456:12129:1 gene:KN540801.1_FG001 transcript:KN540801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKLCPYSSGVMVLVGTKTLAYAFFILALPITCLFPFLYFMVRDLQVAQTEEDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHVFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLLQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAVAGASLLAYQLIIYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGAGVLFSWAQKRQDALFFPASAAWTQEPAAKQLAALASELTFKEEIRRD >KN540018.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540018.1:42183:43550:1 gene:KN540018.1_FG001 transcript:KN540018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQDCDCKSLVAVPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDGKNQLLDCCDDSELDLDVLYADLDSKELELKLQKPVVKTQSKGDSSASGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLARGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGYWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKGNNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >KN545588.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545588.1:71:959:1 gene:KN545588.1_FG001 transcript:KN545588.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGPVIRVMKRSPEKSQCCLTADIARSGYSALYIVEKICPAPSHFIFASVKRTCLLTRGSYFLNSSFSVIRRGFLRFT >KN540801.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540801.1:38521:41383:1 gene:KN540801.1_FG002 transcript:KN540801.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIKVKKKKASSKVWQPDTDPISISFQVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERATWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVLIFDIRGTESKLYQRLEWTCEVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIK >KN540018.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540018.1:22180:22656:-1 gene:KN540018.1_FG002 transcript:KN540018.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVLCRVFYKSRTANPRPVVSGEAAVSLSALPFKDLTDFRDLLSNMVQGGGAAAAAAAAKTEGFHLGWSEEESSGYVQQSAMASQAWNPF >KN540018.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540018.1:45155:46799:-1 gene:KN540018.1_FG003 transcript:KN540018.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFMFRKKQPVEGDDGEHRVKIFSYSELRKATHDFSGANKIGEGGFGSVFRGVLRDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGSRGSNIWFDWRTRVKIAVGIARGIAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWVRYEQERLAEIIDADLGNDLDVDEACRFLKIGLLCTQDAMARRPNMSTVVRMLTGEKHFSVHRITRPAMITDFADLKVSSSQQKENETTRSSNMRSFSTTDETEPFSSSETPTQTSI >KN540801.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540801.1:27204:33674:1 gene:KN540801.1_FG003 transcript:KN540801.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMCEYLLSQNVHFYMKKLKCSIYDPLFLRVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHNHKNTEGEIEMIDNSRSTLEEDSHKEKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWTVSDKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKFLGSINSSRIASALSIPILAAYPFMTHLSGFRLGIALYLGTILKGVLSITIITGTSLLQNNAVFIPTNSHKVKEVLQMAYLRRQCHSSRQLLQQEQELCSLGPKNAKMQPSSQAHPKVRKFRTKPFPLFNSLASLYEGSIATGDLCFTSTEEATPSSNQNMEKAQEASSLDGQPNPLSTLDGPEASSTSMEKAQESSTPNKSGEEGAPGKKRKKNQVALVLENYLEFKKDQTQMVVEKLVQASKEENDCSIPKCIAAVEMIQELTDEEKAKALGLFRCPLNREIFMNTTSPIVRLIWLRSQIAT >KN540018.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540018.1:58989:61523:-1 gene:KN540018.1_FG004 transcript:KN540018.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALAVASIVLANLHLLEVHGRDLLLSCGSNATVDADGRRWIGDMAPGLNFTLSSPGIAASQAGNSNGNEIFGPVYHSVRFFSTASWYNFSVLPGNYCLRLHFFPSTFGNFNGNDSLFDVTANDFKLVSKFNVSEEIVWRSTVSNSVINAVVKEYFLLVGARGLQVEFDPSPGSFAFVNAIEVMLTPDNLFNDTVNKVGSAGNGQLPLGLSNRGLETMYRLNVGGLALESSRDQYLHRPWYTDEAYMFSANAARIVFNTSSVSYLSNNDSSISPIDVYETARIMSNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELEYDKPNQRVFKIYINNKTAAEDYDVYVRAGGINKAYHEDYFDNLPQQVDSLWLQLGPDSLTSASGTDPLLNGLEIFKLSRNGNLAYVLGHIDMGNQRGISKDRNRKILWEEVGIGSASFVTLTSVVLFAWCYVRRKRKADEKEAPPGWHPLVLHEAMKSTTDARAAGKSPLTRNSSSIGHRMGRRFSISEIRAATKNFDEALLIGTGGFGKVYKGEVDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSDLPPLTWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACGRPVIDPTLPKDQINLAEWAMRWQRQRSLDAIVDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNNVDCESFGSSELGFADMSFSLPHIREGEEEHHSKPSSIREDPDT >KN540801.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540801.1:18438:22992:1 gene:KN540801.1_FG004 transcript:KN540801.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVAITTLASCASYMVGRGFASILWGMVADRIGRKPVIIFSILAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHKKSIFGRFPYLLPCLCISLFALLVLLSCIWLPIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAALTLALQALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGVIFSWAQKRQHAAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >KN540018.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540018.1:4334:8160:-1 gene:KN540018.1_FG005 transcript:KN540018.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase FLS2 [Source:Projected from Arabidopsis thaliana (AT5G46330) UniProtKB/Swiss-Prot;Acc:Q9FL28] MSQHYTKTICIAVVLVAMVFSLSSAAAAGSGAAVSVQLEALLEFKNGVADDPLGVLAGWRVGKSGDGAVRGGALPRHCNWTGVACDGAGQVTSIQLPESKLRGALSPFLGNISTLQVIDLTSNAFAGDIPPQLGRLGELEQLVVSSNYFAGGIPSSLCNCSAMWALALNVNNLTGAIPSCIGDLSNLEIFEAYLNNLDGELPPSMAKLKGIMVVDLSCNQLSGSIPPEIGDLSNLQILQLYENRFSGHIPRELGRCKNLTLLNIFSNGFTGEIPGELGELTNLEVMRLYKNALTSEIPRSLRRCVSLLNLDLSMNQLAGPIPPELGELPSLQRLSLHANRLAGTVPASLTNLVNLTILELSENHLSGPLPASIGSLRNLRRLIVQNNSLSGQIPASISNCTQLANASMSFNLFSGPLPAGLGRLQSLMFLSLGQNSLAGDIPDDLFDCGQLQKLDLNRFAGHVPASISNMSSLQLLDLGHNRLDGVFPAEVFELRQLTILGAGSNRFAGPIPDAVANLRSLSLLDLSSNMLNGTVPAALGRLDQLLTLDLSHNRLAGAIPGAVIASMSNVQMYLNLSNNAFTGAIPTEIGGLVMVQAIDLSSNQLSGGVPATLAGCKNLYSLDLSGNSLTGELPANLFPQLDLLTTLNISGNDLDGEIPADIAALKHIQTLDLAAATNSFDQGNVIGSSNLSTVYKGVLAGDADGGVVVAVKRLNLEQFPSKSDKCFLTELATLSRLRHKNLARVVGYAWEAGKIKALGLNSVLKKNSEFAYMRTVSTKADVFSFGVLAMELFTGRRPTGTIEEDGVPLTLQQLVDNAVSRGLDGVHAVLDPGMKVATEADLSTAADVLAVAVSCAAFEPADRPDMDAVLSSLLKMSKLVGED >KN540018.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540018.1:56108:57055:1 gene:KN540018.1_FG006 transcript:KN540018.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIVGWILFNYMVLFLKVEVLFLFVLNRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELATFGYKSSGSSEKGNMDTLVKAIAGVSVTSNADSAKPSKGARRREKKAKEEAAREQRIQEEQNNLVSDRMIENEKLEKKLEPMGLTIQEIKPDGHCLYRAVENQLSLYSGETTQYNYQELRQMTANYMKEHAADFLPFFLSEGKVESGPDPLESFKRYCEEVESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKLDSGGKDGPSIRLSYHRHAYGLGEHYNSVVPA >KN540018.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540018.1:1868:2950:1 gene:KN540018.1_FG007 transcript:KN540018.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPTPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPSQIPPIRPSEPRPFQITHATQQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQQRRGLASSSGHNSGWITERNSSYNFLE >KN540018.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540018.1:25045:27327:-1 gene:KN540018.1_FG008 transcript:KN540018.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVRRVDFEKFVFKLSEQSSVPDVISKTFLQGELTIKSIDTMSLISMMEANLPPGFRFHPRDEELVVDYLYHKLSGGGGAGEFYGGVAMVDVDLNKCEPWELPGTDQIISCKTSA >KN540018.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540018.1:65649:68466:-1 gene:KN540018.1_FG009 transcript:KN540018.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding HGRSGSEAGLRWTDLGLSAEDFDFFFQVGFHNFMDFDCKTARGDSSSVNRSCIVTEGTVVQAKPVSHNGKAKHWNSLSTLNNQKCSYESLSNPKKNVETSDGETASKCDSWCFTDLPSALVCEVLEHLDPKELGIVSCVSTLLHTLATDHQGWKKFYCERWGIPTPPVTLNGPLVPGGTSDWKSWKTLFVEREFRSKSFMGRFSVDVLRDHSEDVRTVFLLASVNLIFTGGNDSVIRMWDLEEGLLIDKSRPLCCTIRAIAADTRLLVTAGTNAFIHCWRAVEGNSYPFHISGNGTDQSPEFRLWGHEGPVTCLALDSLRIFSGSWDMTVRVWDRSEMKCVQKFMHADWVWSVAPHGNTVASTAGRDAYVWDIRSGELENVISNAHYGNAFSLARTHLADVLFTGGEDGAIRLFNVSEVSDDEDIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRVALIDLRKLLTPRKSSKQPFRVKNFDPSSIEPPQRMLHGFGCDLFSVTIGADRIVCGGEDGAVKVWNFSEALEIEKRAQALRSMRQENRMRRKKAQVEMNANGRRSDQCGSIAMKRNQLKGDKSVTWHSKRAINDKVKS >KN540018.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540018.1:29727:33694:1 gene:KN540018.1_FG010 transcript:KN540018.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLRNGLIGPILLETFEYPSDSNVETYTRVYDDPEEEEGTTLDEAGNFNDNLENNIEEGAGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNDLAGALQFCMKCSMHQMVLILTSYVLFSYMVQTEAFVTKLASDANASGLSVVEKEHPRSLTPMLWAKKVAMIFPVPLRNYFTFGNVCLVQIYSNLPYSETCYSAFLCQVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMVLSVTLLCV >KN543299.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543299.1:653:2771:-1 gene:KN543299.1_FG001 transcript:KN543299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRMGAQIHVTRCYFRYEAYVFYDSKPMLHLTGATAPPPAPAIPKRHKSKLWVIPIVVIPVVAFFCFIVYCGWWRRHRKGIMGLQARRTENLQGDEELVWDLEGKSPEFSVFEFDQ >KN543081.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543081.1:1517:4017:1 gene:KN543081.1_FG001 transcript:KN543081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSRRLFRRKSSVVAAANAAPSHAPPPPSDESSAAAVVKESSVNEEVKGKGKATPKEKAIDEEKKDVEESTTAAAADEVVVKDTGVVIVEKTAAAAVAKATPAAVKKIVEEKKKEEAKNKKEEETKQEAVTDDKKKEKVIPTKVAIDEKKEDIVVPMKEEFVKEKEKITDAKNDVASTENVAAADEKAEENTNTNKNDDEVVATATMEAAVEEEDDDEAALAEDDDEQPNDDESITFAVAPVTEDDEGSVIFPGARARPVVADADEVHQEDDVTSVTSPTTAPATQENDTSSSAAVPAPVAGEEVAARLEQPSSSKSNNGEMEVKTEAKKVAAVEEAVVAGVDQAEDAKKETSNIEEINVEQEIKVEKEEEKIIVSQETEEEEKSAAISRDEITKVEVEREEIKVEHEEIIVSQEAEEEKSTAISRDEMKVEHEEIVVSQEKEEEKSIAVSKDDDGQSDGKQTIELKEAMTATKTDEKGKEQVAKDKKDDEQEQQATAAPIESPKQEQQATADPIEAPLN >KN541124.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541124.1:595:8860:-1 gene:KN541124.1_FG001 transcript:KN541124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEASKQEAPIRRKKDNKKPDRKPLPGELPLKFLSDITKGFSADQKLGEGAFGVVYKGILPSGEIIAVKKLRSSPADQDKHFQNEVVNLMVVEHENIVKLTGHCYEHGCKVVESNGQMMYNEAIDKLLCYEYLPEGSLDKHLFDDPCNLDWPMRFNIINGICGGLRFLHEGYDNHPIIHLDLKPSNILLDGNMSPKIADFGLSRLFGKQQTRTYTQNVVGSIGYMAPEYLERGEITTKCDIYSLGMLIIEITTGEKNSHPENDASGRFFIENVRQNWKNREYVTSKYSSLDSEHLTQIETCIEIALNCVAAMPRDRPSIGQIIKTLQSGGASK >KN541124.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541124.1:29984:31409:-1 gene:KN541124.1_FG002 transcript:KN541124.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding WSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLSRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREALYLNSLEGSYKFIDFQSPDFVFRFIP >KN541124.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541124.1:23306:24189:1 gene:KN541124.1_FG003 transcript:KN541124.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNTSKWSSWMIPRKKHEGIAVATLEPPPPPEHYEKVVHLVVDYVQNTLGYHVLDTSRHASEFVYVNLASAVLRDALVLGGPYVINDHYVLRFSYHDNTFTCRNSPPVRESWVMFLDFPLDLQIDRIIENAVGGPLQDSNQEIYQLRGVGRSWTVSVFVLNGDFADIQPADEDLPPVNHIPIPEPPQINQQGNVNGRNDQVDEDMGENSKDEVQEEHSHNISAISQQASGDWQIIPVANTSALTSRIVQEVSKVMPSFMVFPQF >KN541124.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541124.1:16453:20297:1 gene:KN541124.1_FG004 transcript:KN541124.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIRAPLADLSRSKDAVAGDSSPLRGCGGHQGRARRPLPFQGRRCQEFVAPPLSPPPPHPLPPSPSRPLPQAPVLLRRLLLADGATRLVASNHTLVLSVGAHPTTAADALHFLAARRRRPPRAIGTLSQLAVDTVLAAFDPAHPDLLDLRSRGGEATNATFDDDCYYHHRTTWIPCELAAMFLEAHRRRDVYQGMLMNGEEVAVKKIHNCIGIDENQFWTEFENLTRLKHRNIVRLVGFCNETQEVVVEVNGNDIVALEPCRALCLEYMPNGNLSKYISDEHDGLDWYMRYKIIKGICEGLKYLHEETEKPVYHFDLKPENILLDKDMVPKLADFGLSGLLDRTGLATVPIGSRGYLPPEYVYGGLVSRKFDTFSLGVIIIHMVAGDTEFSPSQFTDEESSAEAFANNIRENWRKRPQKKWGCTSLEVDCNQVKTCIKIATKCVNSDRSKRPSVGDIVRQLKETEVMDQKENSESDELLRIEPLELQFPIKPKEAAPACSLQLTNWTHDYVAYLLTYTGPKKFFRKPAKGVLPPRSTVGVTVTMQEPAQEDSSSQQTSQSRDSSSSIIVRSTRVSEDVDPSQITSALFVRKVAPAAGGILSFLRTTTPEVSRVVNKVIVKVVHHVPPPATTHQQPECSEEERSDTDVHQQQPDKDKKEKEKRSEKERSRTDDDGQNRNKNPWIDPPNIWGQGGSKFSGPRNRVGPTQHTYFPKSQIVKANRLSIGGGNERGEQHRSNATAEPRPQRERWR >KN541537.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541537.1:19554:20459:-1 gene:KN541537.1_FG001 transcript:KN541537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYLDRLAVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPDIMKQSEEELSKLLDDAEAQLNNGKYLAGDEFSPADSMFIPILARITLLDLDEEYISCRPRLLEYYTLVKQRPSYKVAIGKFFGGWKKYRTLFKTSFFLCARTLFRKY >KN541441.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541441.1:4642:7740:-1 gene:KN541441.1_FG001 transcript:KN541441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATIMGSLIGSCVNKLQGIITEEAILILGVEEELRKLQNRMKQIQCFISDAERRGMEDSAVHNWVSWLKDAMYDADDIIDLASFEGSKLLNGHSSLPKKTTACSGLSLLSCFSHIRVRHEIGDKIRSLNRKLEEIVKDRIFATLENTQPADKGSTSELRKTSHIVEPNLAGKDILKASRDLVRQVLTHKEKKAYKLAIVGTGGIGKTTLAQKVFNDQKLKGSFTKHAWICVSQDYSPASVLRQLLRIIEVQHRQEESVGELQSKLELAIKDKSYFLVLDDVWQHDVWTNLLRTPLHAATSGIILITTRQDTIAREIGVEEAHRVDLMSPAVGWELLWKSLNIQDEKEVQNLRDIGIQIVQKCGGLPLAIKVIARVLASKDKTENEWKKIVANNIWSMAKLPKEIGGALYLSYDDLPQHLKQCFLYCIVFVEDWTIYRDDLIRMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVYTSFDQSECKMHDLLRQLACHLSREECYIGDPTSLEDNNMCKLRRILVITEKDKVVIPSMGKEEIKLRTLTTQQNPLGIENTFFMRFMYLRVLDLSDLLVEKIPDCVGYLIHLRLLDLDGTNISCLPESIGALKNLQMLHLQRCGSLYNLPSSITQLCNLRRLGLDDSPINHVPRGIGRLEFLNDLEGFPVGGGSDNTKMQDGWNLQELTRLSQLRRLDLNKLERATPWSSTYSLLLADKKHLKKLNLCCTEPTDEAYSEEGIGNVEMIFEQLTPPRNLEDLMILSFFGRRFPTWFGTNHLPSVKSVILTDCKSCVHLPPTGQLPNLKYLKIKGARAITKIGPEFVGCWEGNLRSTEAVAFPKLEVLVINDMPNWEEWSFVEEEEELQEEETAAAAKEGGEDGTAASKPKGEEALSLTPRSSWLLPCLTRLELDDCPKLRALPPQLGQQATILKELDIREAKCLKTVEDLPFLSGYLLVEACEGLERISNLPQVRELFVNRCLNLRHVEELGGLEQLCLYKDMQEISSLWVLGLEEQHRQLHGDEHELEVNEWL >KN540423.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540423.1:15696:17375:1 gene:KN540423.1_FG001 transcript:KN540423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTEQELSTENMLQGHIDLHHHLYGYHKSMALLCATDLGIPGAIHRRGGAATISDIVADTMIPPAKLPHLRRLMRVLSVSGIFAVEEDVYKLTPASRLLVGDKASCNFSPLVHLVPKAVVQGWTKRQPNGALRDGSWHATVGDDEEGRHDELGPQRVADSSFLMEIALRERDVGGGHGGAAMAIAKAFPDIKCSVLDLPHVIIQAPDDGTVCFIAGDMFEDIPPADAVLLKHILHCWDADDCVKILGQCKKAIPARGDGGKVILINPVIGYGVKQDSTLKETQVLADMNMIAIGGAEREEHEFKRIFLDAGFSDYRIMPVLGLMSIIEVYP >KN541441.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541441.1:16042:24800:-1 gene:KN541441.1_FG002 transcript:KN541441.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVDTLVGSCINKLQELITDKAILILGVKDELEELQRRTDLIRSSLKGAEARRMEDSAVEKWLDQLRDVMYDVDDIIDLARFKGSILLPDYPIPSSRKSTACSGFSFSSCFSNIRIRHEVAVKIRSLNKKIENISKDEVFLKLSRTQHNGSGSAWTPIESSSLVEPNLVGKEVVHACREVVDLVLAHKAKNVYKLAIVGTGGVGWELLWRSMNIKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLQDQTENEWRQILGRNAWSMSKLPDELNGALYLSYEVLPHQLKQCFLYCALFPKAATILRDDLTRMWVAEGFIDEQEGQLLEDTAERYYYELIHRNLLLPDGSYFDHSRCKMHDLLRQLASYLSREECFVGDPESLGSNSMCKIRRISVVTAKDIVVLPSMDKDQYKVRCFTNLSGKSARIDNSLFKRLVCLRILVLSDSLVHDIPSEIGNLIYLRFLDFDRTNICSLPEAIGSLQSLQILNLQGCESLHRLPLATTQLCNLRRLGLAGTPLNQVPKGIGRLKFLNDLEGFPIGGESDNTKIQDGWNLEELAHLSQLRCLDMIKLERATPCSSTDPLLLTEKKHLKVLNLHCTEQTDEAYSEENARNIEKIFEKLTPPHNLEDLFVGNFFGCRFPTWLVSTHLSSVKYVVLIDCKSCVHLPPIGQLPNLKYLKINGASAITKIGPEFIGFWEGNLRSTEAVAFPKLEFLVIEDMPNWEEWSFVEEEEEEEVQEEEAAAAAKEGGEDGTAASKQKGEEAPSPTPSPQINPNRLMGPYNMGLTPLPDENSPPWQQLAGASRPAMALHSGDDLDPVDSSSLRRDFSLSVSYFRS >KN540423.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540423.1:38176:39081:-1 gene:KN540423.1_FG002 transcript:KN540423.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELGGLTGNYWHDFTDVLVPLFIGARRFGGEVQLLVVNLLPFWVDKYRRIFSQISRHDIVDLEKDDDRGVVRCYPHVVVGYGSRKEFTIDPSLDNTGGGYTMVNFTEFLRQSYSLPRDRPIKLGTNHGARPRMMILERTNSRKLINLPEVAAAARAAGFEVTVAGGRPTSSYDEFAREVNSFDVMVGVHGAGLTNCVFLPTGAVLLQIVPYGRLESIAQTDFGEPARDMGLRYIEYGIAADESSLMDVFGKDHPMIKDPVAVHLSGWGNVAEWYLGKQDVRVNIERFRPFLTQALEHLQ >KN545432.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545432.1:1298:1663:1 gene:KN545432.1_FG001 transcript:KN545432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHAQKIAAGDVAIDLHPFIRKYNDGRVERILRSSFVPASEDPAASRGGVATRDVIIDERNGVFARLFLPSAAPAAGGRRRLPIVLYFHGGSFCTESAFCRTYHRYATSLASRTGALVVSV >AMDW01035139.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035139.1:179:556:1 gene:AMDW01035139.1_FG001 transcript:AMDW01035139.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ICDAVAVAKILNATLVIPHLEVNPVWKDTSSFEEIFDVDHFIKTLKAEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPVHASASWYLENVSPILQ >AMDW01034803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034803.1:10:510:1 gene:AMDW01034803.1_FG001 transcript:AMDW01034803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVVDIITSRPEWRAMGGRDHFFTAGRTTWDFRRLNDGDAGWGSKLFSLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFAWQDKVRRLERPWLFSFAGAARPGSAKSIRSELIAQCRASSVCSLMECADGPSNKCGSPASYMRLFQSSTFCLQPQGD >AMDW01010879.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010879.1:80:203:-1 gene:AMDW01010879.1_FG001 transcript:AMDW01010879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHEDDDFLEADDFEVFTVEELLAEDEIIEELLAEEFKAA >KN541575.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541575.1:4631:5417:1 gene:KN541575.1_FG001 transcript:KN541575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGITRSWFSSGYFKNAEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVTDVAVIPFPDREVGQFPMAYIVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >KN540527.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540527.1:14299:19048:1 gene:KN540527.1_FG001 transcript:KN540527.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTLEEFDLCVGRSPIVQELMRRQNVASSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVALVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSGITCLLILWPDNKLLQKKQIDLQNKKKKSNQKKITGFQCCTGFQVYRVMLRSHRFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPRSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >KN542266.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542266.1:457:2304:-1 gene:KN542266.1_FG001 transcript:KN542266.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSWKYDGAGPGQAAEEADCCRWRGVRCGAGGHVVGLHLRNVYADQSNDYDFITSAYDLAGEISPSLLNLTYLEHIDLSKNQLQGQTGRVPEFLGSLQNLRYLNLSGIPFSGEVPPQLGNLTNLHYLGLSDTGINFTDIQWLARLRSLTHLDMSHTSLSMVHDWADVMNNIPSLKILHLAYCNLVYADQSFSHFNLTNLEELDLSVNYFNHPIASCWFWNAQGLKYLNLGSTKLYGQFPNVPGQFGSLQFLDLSSTWNIDIVTTNLTNLCNLRIIHLERSQIHGDIAKLLQRLPRCSYNRLNDLYLSDNNISGILPNRLDHLTSLVILDISHNKLSGPLPPQIGMFSNLTYLDLSSNNLNGVITDEHFTSMRSLKTLDLSGNSLKFLVDSEWLPLFSLEVALFSPCHMGPRFPSWLKRQVNITYLNMSFAGITDRLPNWFSTTFLNAQLLDVSNNEINGSLPANMEVMTTLSRLYMGSNKLTGQIPLLPKALEIMDISRNSLSGPLPSNFGDDLVLSYLHLFSNRITGHIPNSMCDLHHLVYLDLADNLLEGEFPRCFQPVFLSKLFVSNNMLSGKFPLFLRSRHNLEMLDLASNDFYGGLPIWIGELSNLAI >KN541575.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541575.1:5670:7817:-1 gene:KN541575.1_FG002 transcript:KN541575.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALALAMKLIPSIVFLAVALQAAASSGYSMSLPGCPDKCGNISIPYPFGIGPSCAATRISRYFNLTCNETFQPPRAMVGDSEVLVEITDISLERGELRVLSPVSYICFTANTTFIKSTEEGGYDLRATPFLPSPSRNHFTVIGCNTLGLIGGYKGNVSHYVTGCYSYCESIDSTSDGVPCAGLGCCEATIPTDLTTFGVMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVVDWAIRNGSCPKEGKDIPGDYACISANSQCMDVKNGPGYLCKCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNIQGGYLCKCKFGKRSDGTNYGCRPLHTRAEQVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATENYSEDRVLGVGGHGMVYKGTLDDNKEVAIKKSKKHCEVDRMLPGCTCPHVGIRVCLQWDLV >KN540527.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540527.1:41183:43230:-1 gene:KN540527.1_FG002 transcript:KN540527.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAANGRNKYAVLDPSDEPEGRRRPSAWERRSKERFVLACAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEERIAEIEEAANLLKSTKSEDKAVWMELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTMCLFVLGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAVISTVSVAFVYFCVPETKGKTLEQIEMMFEGGKEWRGSEIELEDTQHLIQSSKKSVSLG >KN542266.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542266.1:7139:17432:1 gene:KN542266.1_FG002 transcript:KN542266.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYLPRPPSAPTASEGDTVDDSCEDTTTSTSGTEPLNQTNSTGGITKHNSSSVLPIVVWGRQAWIADKSWVCHSLLSRGGLSHFGRSLLLFSFWSICGKEIGKKLLSSLLVVVSTTLTLKHTAASTSSLAFAALPGCPDKCGNVSIPYPFGTIDGCFREPPFRVYCEDDHAVYLQDHKKLKVLQFELAQGEVLIQKRIATNCGVNLTGKAVGIPWVVHDGGLADDYPYLTISTKNQFTVVGCGITAIIIGQGENQPDYTVGCRSFCDDVDSNIVEDNSTQCNGNTGCCQASIPGNLKAFQPSFVKISGVNYSGVPCVYAFVVEQNWFKFKTSYAKSMELYSQYRNKGTGVPLVLDLVVDIAVQGTFATALKVMKEIPTYMEAAKTSMSAIIHGCILAK >KN540527.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540527.1:39103:40443:1 gene:KN540527.1_FG003 transcript:KN540527.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPRKPLPLLLLSLSLPFLLLLLSLVFLLSHTTFSLLLCPLLPRPTSRPNATTMATTTVAAAPPTLDVSMDKSLRAFHASSQPPPPTPTTTTPTPTPPLPPPAPPASPAKSNKKASTKRNKSLLKLLLRETPRTRRFAARAGELFASPRPCTRRFFMTWLSPLARFGRRELLVVESLFRSHRDACLLIASDTMDSDGGVDRLGPFLDRGLRVAASSPDMAYLLNGTPAEAWLGAVQRGDVSPGSIPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFSDLRNAIGAQAVDASTGDWMRLNNAVMVFDRGHPLLREFIAEFAAKFDGSKWGHNGPYLVSRVAARWRRRRRRPEAEADLTVLPPAAFYPVDWNKIGGLFVAPKDRKGERWVKAKVESIKGESFGIHLWNRESRSLEMEEGSVIGRLLSDSCLFCNSSMFAKYE >KN545468.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545468.1:110:1566:1 gene:KN545468.1_FG001 transcript:KN545468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQILTNARNQIVVLLAADAITQRRRKRRMFANNNGGRLLKDMNIVLITEKDLNKMTKNRSTKILGEGSFGKVYMGTHKNQPVAVKYSKGKRKATRMHGEDIKCMNQNVFQNAFCWSKVPSPEDSSSPLPESVDKIRVQSQIQHENVVTLVGCCIDTEEPTLIFEFIPNGSLEKMLHGGDQRPLSLLQRLDIAIGSAKALSYMHSSTLVHGDVKPSNILFDDKLIPKVSDFGSAELILKMKRVWGDLDYMDPVYMQTGNITVKNDVYSYGVVLLELITRKRPKYDDGKSLSVEFVNQYKDNNKRRK >KN540527.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540527.1:23336:37626:1 gene:KN540527.1_FG004 transcript:KN540527.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRNLPNLACFWGYKVPSGLYNSCLSMLNLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDRSILKQYIESDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEASCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSSGMIPLNNDQGPQPPSSWPVAQSAPVDPSQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLSSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGEKIDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQAADEALGNLKSMLGIFAHKSSGFQSGSFNAMGVIPMALIPVLHLLLPAALHGHVWFIQQPGSAGVGPRLKESIYLHWLKIFMRSSNLGGYVHNVVPLSVYLRSPRALLSATSLLSPHRVMLHMSKPFGPCVRQSAKNPIGVGKDNELEMILTVVHETWDKGRRAAAAAGKAVGDFTLYTGALGTALLLFRAYLVTGDRADLATCAEIVAACDAASMGAEIVTFICGRAGVCALGAVVAKHAGDEAGVAHYLSAFKEIKIHSKSPDELLYGRAGYLWACTFLNKHLGDNTIPPTTTDTVMRDIIRDGRTLSTIGCPLMYEWYGEKYWGAAHGLSGIMHVLLDMDLTKDDMECVKGTLRYMIQNRFPSGNYPVTEEDKHDRLVHWCHGAPGISLTLAKASQVFPEERFLEAVAEAAEVVWNRGLLKRVGICHGVSGNAYTFLALFRLTKKKEHLYRAKAFACFLLDRAKQLIADGIMHSGDEPYSLFEGQAGMAYLFLDMINPLDSRFPGYEL >KN540527.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540527.1:45098:47958:-1 gene:KN540527.1_FG005 transcript:KN540527.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding NSLAYVQNSSKSFLLAIDISFSYQLHRASSGLDTLTPTLILGDGLKMIGEYQETIGTCYLFSETNAPPKPIHGEMAPPEENKDKQASCSKEVPSKEAYAFGLSCIRSERAQSAQRSNAAAACHNINALFPCQDEAGGGGNGDEERVPEDGAGDGGGGAGRGGVGAQGGGAAPQASERREIRLHLRALRVAQRHPPRLRSVSLSCSIDLTFSFFFFLLAPAMIPSFQLQASAIPLKKSFA >AMDW01029748.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029748.1:2:382:1 gene:AMDW01029748.1_FG001 transcript:AMDW01029748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGVLTGADDFVYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASNDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQL >KN539614.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539614.1:83652:87898:1 gene:KN539614.1_FG001 transcript:KN539614.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVIYRQAATSACWPVSNSWCSSAPPSSPSSLLVFLGSSRRRSSSATVRLVVWGAFTVSYPVAAYTIGLMQSSPFHHELFVVWSCFFLFVLASSDSITAYTLADIKSPATVLLNRGLQVIYVSVLLHYYASVLSPKLKIYLFIVWLVSLGKIALSALGYRLALRSDRLEADNKLVADYMTYEHDLSRQQGGNGAGDDPVTMEGYKYIVRWEETDVVEPTAPDYVKKIKVDGARALVTVESVWRCKGRLLMGSSVADAGAAARRDLCLSFALFKLLRRRCSNYPLAESGQPKTRDFVLKGLLLRQGGGDDDRRRRDGRAFRVIEVELGFLYDLFYTRYPFICHAAVSTAPHLAMCALVMTIGVLTLSSHSLRHYHPTHHRSIEVNGVNLDVALTMFIIALVIVLEAYQFVAVLFSDWQKVKMLCRYVLRPSWQGNPFFEAVLRVLCYCGGGVYWKKTMSQYSIVRHASPGHAVKDWLSRATRRWLDRLMFNGGKTRSVKVSAAVETALASALRDRYDDGGGAAAALRQHRLDWAWGGATWRTCAHAILIWHIATCLCDMQMQAAITHKKTRPRARKAAGGGDGDGDRAVATSLSRYCAYLVSSAPELLPEHQYTTRTIAEEVLLELRGCLRGCASDKEILDRLKAVAETATASSPESGIHVHAGSGCLEIMARERRKATSGDDKRTPEPIQIPWDGRWPRRKGRAIIKTAIKGCLVAVEGIACRAPLWGGRR >KN539614.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539614.1:2322:6264:1 gene:KN539614.1_FG002 transcript:KN539614.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRCSSSSKNEDFNLPSRRRVGAGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAAGEGVEHGDHGEGRASINGSSRVAESTRFRSLHGTSDSEIGTNYGDHGEAGSMIASKLAAIAPERVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTRKYLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGFEGQLNACWTHKLTQKELDRIRSSGFLILVIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERTAEVNMSLMEMIKASKSNTDQEEWSNLPKKSDDQLLAGSDSRLAKRECNIVVIYNLLGKLQLILLFFFGVFYIILEHARRVLRVLKPVRVSATSL >KN539614.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539614.1:38888:42272:-1 gene:KN539614.1_FG003 transcript:KN539614.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGSGNRAGDAVAAPPNGIGGASQLPALLPTPANYPVNPFFAISSTHKAPPAIQRQVRPGLSIHMSKDSASFELRKNVDLFLSEFEGCSSNCSKVDISDVPASQTVVPLFTTTSRRIGCPPTTSRETSLPFTLAAPSVALKSVNSTASTRYRDALLAPAKPRHAPEPSLLHSPSAPPSRPKQNLKMLKRCFRCLGLDHHVKDCRDPLICASCHRSGHRQDSCRSSMASNPTPRRSTNSLLSTNANPSHPTSTIPTARFTTRWVRRTPKTSSPSPLRMPLAPYPHSSPSSLPSENLAIVPYIPPSPVVDAQLEDVITMLENSLLPSSDRSASGGLLPVEAAEFVVNVPTSSADSQPTQHASATSLLVRAGPGVVSPMQDSFIVSANRLESAEPEMETTMQGTVTIQLPPSDVIVESPMLAPAAVAVQPLLHSGFATVNSEAAVVTAENVVGSVQDAVQEAVVVTAEDVVGLATVQCSSEVGSSQVQHLSSLPPRLRCKNKGFHSWFGANPQLPEHAQPRVPHAPVMRDIFICSEQQSSQPAGSTEEYASGDTDPDQQNDSDDDDVQDMFIPYVDIGSMAMRFVRREDREFAVEHQEGLRAEGHSVMLERPEDSAARFIQHNTKLSELDCIDYPPKMLFPNKIRNSFEAYGKRMLPRLCLRYNFGVVCTVHVRVMRTWDLALNIDEDGNYIKHFQQFLFPHQLDGPRNRNGDPSSGINPRQHPNSPINQEQGSQTRGVQQALLPAPSCVIIEDISSPMLEGDLLDIGLVSAFQSAIVHEADDLQPSQDALFSDNEPEHVSAARKRSRQKKMNVDLQVKKRYSERLAAKEGHLYISMESKACRAKKLKEQLSKCSTKLNEVVNNHKLLDLNLRTTPKALEDLAIACSLNDHDIAQLRSVLSMLE >KN539614.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539614.1:31016:33226:1 gene:KN539614.1_FG004 transcript:KN539614.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTADAVTGPGGPMTLGSVRSFLRFFLLPSSSLLLVPKSLILAPSIRISRASPAHLGIARRRPRAMTGSRDRSHGQGAGNPPPPAAAESSGEGQNHRRQQERRRSSEAQVLDEMGASGRFGFHYLRINSPSLRSIGARIISYLNSIGHLQLQELIIEDAPLLEKLPHLESRNDLHVVGCLCDPWFVHSRFTFGTTVIKGVKYESLAEVVCNVKILGYTEFCKKAHKNSSSHNTTQQMGLQVFPRTAQSSLNHLGKLANLIKDADTKEANTEQIN >KN539614.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539614.1:18282:21322:-1 gene:KN539614.1_FG005 transcript:KN539614.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIVQLARCAAGLQPSAHVHINHSAALAAAGDGNGGDQFAYSGFAGVKDLTLDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLRFGESLSPEGGEKKAAVRSFSASFVFGIVTASPGVGGHGIALVITPTKDLSSGLASTYLGFLNRSSNGDDRNHIFAVELDTIQSPEFSDIDDNHIGIDINSLVSSNASHAGYYDDNTGEFKSLTLVSGKAMQVWMDYDDDVTQIDVRLAPVGIKKPVKPLVSTRSNLSAVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLPKLPDRRSKKSYPPKTMVIALPIVSVVLVIAVAAGVFLLIKRKFQRYEELREDWELEFGAHRLSYKDLLQATERFKNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLLGYCRLKEELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHRLLLTDWVFENCSKEQILAVIDPRLNGDINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMRFTFSLSALMQNQGFDSSSKSLGTISNLSIGR >KN539614.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539614.1:42852:56591:-1 gene:KN539614.1_FG006 transcript:KN539614.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPANSIGRSMPRSNEGMRLIFSAVVGVMLGYLFGVSFPTVNITKENNLYFLHYCISQLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHNKNNSDSTSEEVPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGITQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKFVEIMATVFSRDAWRCVWHMIQVEWHYMFNFNIVYNSGILTHCLLQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGNAENGKAPWEGIFPTHELCTAHKFLLRWYVLSQRSSRQQERRHLLSSSAPPLTSPPLPPLSRPSSAASSPSSLPPPLLPPRRVEGGGQVDGGVDGVGVGSWVDGDVDGVGVPVKGISVAQIDGGDRWVEEEPNTPSYVDEEAIKAPSSLLMGVCSSAHFLYNGFAGVNLTLYGAARITPSGLLKLTNGTVQQTGHAFYPPPVRLRRTPATTANGTGNEKAVRSFSSTFVFGIVTADTQDLGGHGVVLVVAPRANLSAGLANNYMGLFNGTGSVGSASNHLFAVELDTIQNPDFRDINNNHVGININDLASRDDDKAGYYDDDDGRFHDMTLISGDAMQVWVDYDGDTTQVNVTLAPLGMKKPMRPLLSARHDLSTVVVGESYIGFSSATGTLSTQHYVLGWSFGVDMPAPAIDVAKLPKMPKRRSGSQSSKTMVIALPIFSVVLLMFMVSCVILMRKRYNYGELREDWEVEFGPHRIPYKDLRRATERFKNKNLLGVGGFGRVYKGVLPKSRLEVAVKRVSHESRQGMKEFIAEVVSIGRLRHRNIVQLLGYCRLKNELLLVYDYMPNGSLDKYLYGDFGLAKLYNHGSELQTTIIAGTLGYLAPEITRTGKASPLTDVFAFGVFLLEVTTGQKPVERDTEGGIHMLVELISAHLDRETLPMDMVDPRLEGEYNTDEASLVLKLGLLCSHPLPDLRPSMRQVMQYLDGQSPFPELVPSHTSFSMLSMAQSRGLDSYVTSKSMSSMASIGQLSSFSSGR >KN542933.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542933.1:4670:9922:-1 gene:KN542933.1_FG001 transcript:KN542933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FHTSLATVQGFFLFCSDGGGGSRFAGGRDQVAGGDHPGEPGHRLDEWIRRVGLAADNEKLRAEIERVDGVVAAVKGRAIGNRSLARSLGRLREVLYDADDGIDELDYYRLQQQVEGGVTTRFEAEETVGDGAEDEDDIPMDNTDVPEAVAAGSSKKRSKAWEHFTTVEFTADGKASKARSDNSVHRKRRRTNEQSTQNVPAKPWNKADFSNRIQQFTCHLQEAMNEILRLHGSDSFASSNLYHSTSSDSHLLTSGLTRRRLHGRVAEKKFVINLLTEDDSDSVTVVSIVGIGGIGKTALAQHIYNDPIVERQFQHRIWLCVSNNFDEIRLTREMLDFVCQEKHDGLCSFAKLQESLMSNIKSKRFLIILDDVWDDMNIFRWNKLLAPLKSNNAKGNMILVTTRSLSVAKRIGTIELIKLGALDKKDFWPLFTACAFGEEKYKAHKCLSTIAEKIADKLKGIPLAAETAGELLRRNRNVDHWNNILKNEDWKSLQLSGGIMSSLKLSYDQLPSHLQRCFQYCSIFPIDYCFVGAELVLIWTSQGLLKCSHSNKRLEEIGQEYLTDLVTLGFFELVERKKSTLGDQTCYAMCGLMHDFARIISRTDEYTVIEDDLQCSKIVPTVRHLTIVTDSEYHTDPYNEKYEKIINNAAPSLTNLRTLVLIVNLRVLKIRRCPVLKVFPLFEDCQKFEIEQKSWLSHLSKLIIHDCPHLHVHNPLPPSTVVISKLSISGVSTLGSMKGLSDGTLTIQDYQLTTLDDKVLSFHNLRFLTSLVIYGFRNPNNRPEVATADVGTCAGLKGMNFMLQIDKRAIATEAPSSLNFAGFTSLEKLDIRGCPELLSSLAHNDGNGELANGRWLLPQSLIELDIKHDYHYISKNDSLETLEPCFPGNLTSMKKLEVSGIPCLTSLQLQYCTALKDLTIEYCRSLSALEGLQFLHALRHLEVYRCPSLPPYLGSLSGQGYDLCQRLERLKIDDPSILTTSFYKHLTSLQRLELNHCGSEVARLTDEQERALLLLTSLQELQFWSCCNLIDLPTGLHSLPSLKRLEIRYCNSIRRLPEKGLPPSLEELDISNCSEKLVQRSRSLASKLKVKIDGGYVN >KN538727.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538727.1:224057:225439:1 gene:KN538727.1_FG033 transcript:KN538727.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLVTTGFEDECREIHDACNQPRRLSLLLAHRSPSERQKIKATYRAVFGEDLAGEVQKILMANQEDELCKLLYLWVLDPSERDAIMARDAVENGGATDYRVLVEIFTRRKQNQLFFTNQAYLARFKKNLEQDMVTEPSHPYQRQLLVALATSHKSHHDELSRHIAKCDARRLYDAKNSGMGSVDEAVILEMFSKRSIPQLRLAFCSYKHIYGHDYTKALKKNGFGEFEQSLRVVVKCIYNPSMYFSKLLHRSLQCSATNKRLVTRAILGSDDVDMDKIKSVFKSSYGKDLEDFILESLPDNDYRDFLLGAAKGARAS >KN538753.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538753.1:245966:246922:-1 gene:KN538753.1_FG045 transcript:KN538753.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMHSGLADRCIEAFHTLERDPRCGPPNADSYNILIALFGRSLLVDHMEATLLSLDASGQPRTIGTYNAIIAGYLTAWMWEKMESVFDEMVSGHVAPDKTTYLLMLRGYAHAGMIYKMELAYERARQHVGQVDMVHIRAMICAYCKFKHVDRIQKIEELLQKLGPDDYRPWLAVLLIRAYAQEGLVERMEQWIAEALERNTIVTTVQVMRSIITSYFQCDAVDKLAHFIRQAEEAGWKLCRSLYHCKMVMYGKQHRLPEMHGVLDEMEFFRFDRTKKTFWIMYKAYVSSGRMAEANTILCMMGKHGFGFPRGGYIQ >KN538727.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538727.1:182966:188434:1 gene:KN538727.1_FG034 transcript:KN538727.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGEEEEEWFIGDEDDKPQKRDKGKGGAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGIDSPFANGTWYCTWETVNFFLVLQLVTVISRTQVSNKASMLINITFSSCQVSFLVVHLFSLILQIGVSSWGGAGGWWIMEPGFQMNWLSSLNSLKRCLQTTLNSGVGQREFSILPYY >KN538727.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538727.1:246454:247765:-1 gene:KN538727.1_FG036 transcript:KN538727.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLLVLLALAALVSVDVAAAHSGGGFGFKATLTHVDANAGYTKAQLLSRAVARSRARVAALQSLATAADAITAARILLRDLIWTQCAPCLLCVEQPTPYFEPAKSTSYALLPCSSAMCDALYSPLCFQNACVYQAFYGDSASSAGVLANETFTFGTNSTRVAVPRVAFGCGNMNAGTLFNGSGMVGFGRGALSLVSQLGSPRFSYCLTSFMSPATSRLYFGAYATLNSTNTSSSGPVQSTPFIVNPALPTMYFLNMTGISVAGDLLPIDPSVFAINETDGTGGVIIDSGTTVTFLAQPAYAMVQGAFVAWVGLPRANATPSDTFDTCFKWPPPPRRMVTLPEMVLHFDGADMELPLENYMVMDGGTGNLCLAMLPSDDGSIIGSFQHQNFHMLYDLENSLLSFVPAPCNLS >KN538727.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538727.1:249740:253448:-1 gene:KN538727.1_FG038 transcript:KN538727.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLRLAAAAAAVAPARSKSTLESCSSSTACPALLSYTLYADLKLAELAALFSADPLAILAANSIDFAVPDPADRILPAGLPLRVPVPCACSDGIRRVTTVRYVARPGDTLASVASSVYGGLTTPDWISDSNGILGAKPDAAVDAGTTLFVPLHCACFGGVDNGLPAVYLTYVAGKGDTVAAVAQRYRTTATDLMSVNDMATPDLAAGDIIVVPLPACTSSFPAFTADYGLAVANGTYAVTANRLFCVPAPLADSTCSSMQCANSSMMLGNFTLLMTSSGCSVTLTTALKPQCPGPHQYPPLIPPPTSSFFETYLGPSPTPMASEGGVMAGMAPTSTPAASSGPPPAGRHVVGDVLGAFALCLVGNLLW >KN538753.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538753.1:302410:303647:-1 gene:KN538753.1_FG046 transcript:KN538753.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTFSISRGGFVGALPQEGHFAPAAAELSLHKLQSRPHKARRRPSSSISASLSTEREAAEYHSQRPPTPLLDTVNYPIHMKNLSLKELQQLAEELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMPTMRQTNGLSGFTKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSSALSKLQSSRPLRELREVAKVRPSSQAFNAHARLPTAQQDT >KN538727.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538727.1:242808:243035:-1 gene:KN538727.1_FG039 transcript:KN538727.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MR >KN538727.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538727.1:228444:230365:1 gene:KN538727.1_FG040 transcript:KN538727.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVMGVNFAGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSED >KN538727.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538727.1:170757:175647:-1 gene:KN538727.1_FG041 transcript:KN538727.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MKARVRDDGSDAAYPSKAKRRREEARHLGALPRIGDIWFEGSDSFVTHSILGLLENALSAPYACSSVLFNGNGSDRRYIGRGKLPSRRPSGINSKKRLWTNILLAVNILAYVAQITTQGRLLIWGAKINSMIDRGELWRLATSSLLHANLTHLAFNCFSLNSIGPMVEMLTGPRRFLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLRNGKWLRKRIVVSQDTTSIRLCTIAHDCIHNLPLQYDRSRLQLHASGNFKKQTLQPQPPCALGTYNMGKGQLKGGTWFFVASSMEALKVETQAMRVKVLASFDKLVQGLHQVNDWEDLHDQELVEKLEKDMGKEQQMAGGSAKGVKLEEEDLGSYMLEVQGNGCSQMVRKMVVHLKKGMEMEMEMGMGMEMVKEMDSEINLRSSAVGMMTVEHLLLYQIQSD >KN538753.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538753.1:260760:268017:1 gene:KN538753.1_FG048 transcript:KN538753.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQVPGCEADIRELKGYHRRHRVCLRCAHAAAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGILEKDVDDQLDFSADGSGDGELREENIDVTTSETLETVLSNKVLDRETPVGSDDVLSSPTCAQPSLQIDQSKSLVTFAASVEACLGTKQENTKLTNSPVHDTKSTYSSSCPTGRVSFKLYDWNPAEFPRRLRHQIFEWLSSMPVELEGYIRPGCTILTVFVAMPQHMWDKLSEDTGNLVKSLVNAPNSLLLGKGAFFIHVNNMIFQVLKDGATLTSTRLEVQSPRIHYVHPSWFEAGKPIDLILCGSSLDQPKFRSLVSFDGLYLKHDCRRILSHETFDYIGSGEHILDSQHEIFRINITTSKLDTHGPAFVEVENMFGLSNFVPILVGSKHLCSELEQIHDALCGSSDISSDPCELRGLRQTAMSGFLIDIGWLIRKPSIDEFQNLLSLANIQRWICMMKFLIQNDFINVLEIIVNSLDNIIGSELLSNLEKGRLENHVTEFLGYRQVDTRWAGDYAPNQPKLGISVPLAESTGTSGEHDLHSTNEASGEEENMPLVTKALPHRQCCHPEISARWLNAASIGAFPGGAMRMRLATTVVIGAVSRGACRASKEVLV >KN538753.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538753.1:293840:294253:1 gene:KN538753.1_FG049 transcript:KN538753.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRIAASRSGAGMRSRWCDPSGDSAGRSRRRERMRTRPSSSALEGSDMARSRASPREKCGGESSRNARRQRSRRASAASEGSRREAGTDDERSAAIRVSEEERWAWLASIGIAEEIEMSSPLEEGASGGSGDRVE >KN538727.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538727.1:202355:216568:1 gene:KN538727.1_FG043 transcript:KN538727.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRMRLVWEGESQVHCIVEHHHGRLYLFTDASREGTPVDSHYLMLSDVESPGPKSWKDVFLEEPGVILEDVDFCDTHMVLVLRQGRKLKLCSVKLPFPEHIRVPARLSDFHPFDLSLPNHMPDAVVDYNLPNGKWRIVQQQNMLHERTKALYGNAFAASMVKPSSKGGDLSSEDFGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSQKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIYDFISCGEFLLEKGIIKENKLAGWGYSAGGLLVASAINTRPDLFRAVVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTRYDPERPVILNLTTDVVEESNRDDIAAHPTKCNALVRSTRQAVANRCRSIPWDKIREYRDESERLDQCSRLHDSSISTVNFENDASEIAQELGGTTTMMEREKASVQELMTVVNEMMRNTEFAIRSYMMLRPRFIRPGAGANGGGSNPSGPAGAQSNQPVALAPTIDFYSGIPKRPSLFMQQTINRFEKYLGECCKWIAELEQLVQMENNKRQSASLESLPKVMSNVHDYFIYVASKVENLHQYVESLKTEYLHEQRRLGNANDPFLEANRREAAKQEAAARRVHPTLHLPAPVQPTTQIAGTGTSQPQQSLIPSGATSSSAFPSFSTPASAPSSSSLFSTPTTPTLSSNLFGTSGSAQLSTPFGTVSTPTLGSTPAPSGFGNTTPSFASTPALGGTSLFSTPFGGGATASGSSFGGTSNPSVSVSLNAMSCLAASNVEGIFCPKKWADLSFVWTLI >KN538753.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538753.1:270186:275143:-1 gene:KN538753.1_FG051 transcript:KN538753.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLLELGVSATVHLLFGLYVFSTAVAADISQAAAASGCLLLRRPPPPGLVNRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVFGHTRFGRTYDTGHYPVWDEQNPVHFVGHSAGVQVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGLSGLIDLLLGHTGPFASGDWILPDLTIQGSLKLNSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPNRLVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRRSPPTVPNESSQSQ >KN538727.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538727.1:225727:227350:-1 gene:KN538727.1_FG044 transcript:KN538727.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGAWAWAATAAALLWWMAAGAGAVWLEIPPSATKCVSEEIQSNVVVIGDYSVLYEHHLNPTVTVKVTSPFGDIVHHKQKVSTGQFSFTTAEAGNYLACFSADGRNKRLVVKLNLDWRVGIATKDWDSVAKKEKLEGVELELVKLETSVQAIHENLLLLRSKEANMRDTSEKTNARATWLSIISLIVCIIVSVLQLWHLQQYFRKKKLI >KN538753.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538753.1:201489:208081:-1 gene:KN538753.1_FG052 transcript:KN538753.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRMEEEYSEHEDQNNGGSNSQVNNEFLNTHNDIFHMTQIRSGPSESLRKSIGTSKDVISTTRLLSGREINSSGNGKFSSVDRAFLLGRYLPVDGPEIVDRMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQQFLVYSSLAPIIHIVNVGTAAKQSYANITDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFDPMLVSSSWDGRVAKWSRSSCQQEETTDLD >KN538727.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538727.1:279548:280680:-1 gene:KN538727.1_FG045 transcript:KN538727.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTFPVINMALLAGEERPAAMEQLHDACENWGFFEIMNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKDGCDDVKKAELDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQVLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIVSFYNPGSDAVISPAPALVKEEEAGVAYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETEASNRIAIA >KN538727.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538727.1:234443:237804:1 gene:KN538727.1_FG046 transcript:KN538727.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGGAAADRRLPPRWILLCAFSFGLGMLFSDQFGSVPEWQKPLAAQRRVQDRKLQILDEDFVAKPKPTDDRDVMNEVTKTHEAIQYLDKSIATLQMELAGEELKKLEEEKGIIVRFMIGHSATSNNVLDKEIDAEDAAHHDFLRLDHVEGYHELSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLITTLGRHKLKPRVYIGCMKSGPVLADKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCVASFDWKCSGVCNPVERLKYVHSRCSEGDDAIWSASF >KN538727.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538727.1:239377:240454:-1 gene:KN538727.1_FG047 transcript:KN538727.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASGDLEYVLDLAVGTPPQPITALLDTGSDLIWTQCDTCTACLRQPDPLFSPRMSSSYEPMRCAGQLCGDILHHSCVRPDTCTYRYSYGDGTTTLGYYATERFTFASLNNASGIVGFGRDPLSLVSQLSIRRFSYCLTPYASSRKSTLQFGSLADVGLYDDATGPVQTTLILQSAQNPTFYYVAFTGVTVGARRLRIPASAFALRPDGSGGGADLDLPRENYVLEDHRRGHLCVLLGDSGDDGATIGNFVQQDMRVVYDLERETLSFAPVEC >KN538727.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538727.1:190167:191273:-1 gene:KN538727.1_FG048 transcript:KN538727.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRPPDFSVPHEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKALLVNGLKDAGFIVYPSSGTYFVMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDETLRAAVERMKTKLRKK >KN538753.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538753.1:297925:299848:-1 gene:KN538753.1_FG054 transcript:KN538753.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASGSTLFEELGLYYIGPVDGHNIDDLITILREVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDSRVVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGVPLEVGKGRVLLEGERVALLGYGSAVQYCLAAASLVERHGLKVTVADARFCKPLDQALIRRLASSHEVLLTVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQAREALAIMTVPNA >KN538753.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538753.1:223029:224712:1 gene:KN538753.1_FG055 transcript:KN538753.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEVNRNECKTVVPLHTWVLISNFKLSYNILRRADGTFERDLGEYLDRRVPANARPLEGVSSFDHIIDQSVGLEVRIYRAAAEGDAEEGAAAVTRPILEFLTDAPAAEPFPVIIFFHGGSFVHSSASSTIYDSLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYDDGWTALKWVMSQPFMRSGGDAQARVFLSGDSSGGNIAHHVAVRAADEGVKVCGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLGGLPFAKSLIIVSGLDLTCDRQLAYADALREDGHHVKVVQCENATVGFYLLPNTVHYHEVMDEISDFLNANLYY >KN538727.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538727.1:219457:221451:1 gene:KN538727.1_FG050 transcript:KN538727.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQSLAASLGLRCSSASTAAGCRFFQDGGAQPPSLAVGLLSVLAQGATGSKGGIYGAASLSGSSSSISLGFNPASFLPFLQTSKWLPCSDLATSSSAPPSSPSPSPPPPAPAPSIRPKKALVSSASSSPAIARSSGGSGAAMSRSNWLSRWMSSCSDDTKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQDWGYSSGDVFIKRVVAKAGDYVEVRDGKLIVNGVVQDEEFVLEPHNYEMEPMLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIIGRSVFRYWPPSRITDTIYEPRAEYSVAGLS >KN538727.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538727.1:267230:275823:-1 gene:KN538727.1_FG051 transcript:KN538727.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAVAAALLLVAAAVAASPVSALYSAGSPVLQLNPNNFKSKVLNSNGVVLVEFFAPWCGHCQQLTPIWEKAAVVLKGVATVAALDADAHKELAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKTSAGSGGKKSGGSSEKTEPSASIELNSQNFDKLVTKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKNTNSSVWSVDKSYRSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANAAPPEVSELTGPDAMEEKCASAAICFVSFLPDILDSKAEGRNNFVWTAAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQLDEITCLMTKPVSCSEFVKEAGRGGKGNLPLDGTPTIVQSEPWDGKDGEVIEEDEFSLEELMADNSPHSKRPSRVWSQADELVILRGLITYRTKRGVLPGSTQDIGKLHSYIRGQLSARVSTTQLSDKVRRLKQKYQMLATRAKTGKEVFPTPHDHNIYQLAKKVWGTMSTAGEGGGSGYDNADAGESEEEQYGRESDDDTESGRDNRHRKNQRSVPVTMANGNGTGIGAVNAIVRGRSEFEKGKDVYPYLWETVEELSSQHPTGAVFKKAFELLEGSKAQVMEEKLRKFRLTEMRQQLRRMDLMKDTLSMVLDALEMAD >KN539720.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539720.1:10417:12870:-1 gene:KN539720.1_FG001 transcript:KN539720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTVFIALLLLSLHAPPYSAADDALAAGQVLAVGEKLVSRNGKFALGFFQPSAIAISKSSNYTSALGWYLAIWFNKIPVFTTVWVANRERPITVPRLNSTRLKMSGDGNLYILDHATDSIIWSIDRVVNTTAETGMNTSAATLLNSGNLVVRNPSGVVSWQSFDNPTDVVLPGAKFGWNKATGLNRLGISKKSLIDPGLGSYSVELDTTGARGLILKHRNPSMEYWSFDRALIIPVLKSLFEMDPRTRGLITPAYVDNSEEEYYIYTMSDESSSVFVSLDVNGQIKMYVWSPANQSWQSIYAQPVDPCTPSATCGPFTICNGNSTQTCDCMESFSVKSPWDWELDDRTGGCIRDTPLHCASDKNMTSSTDMFQPIGLVTLPYDPQIMQDATTQGECAQACLSDCSCTAYSYQNSRCSIWHGKLLDVNKNDGIYIDADNVLHLRLAATDFQDLSKNKRKTNVALVVGASIVSFVLALIMILLMIRGNKFKCCGAPFHDNEGRGGIIAFRYTDLAHATKNFSDKLGAGGFGSVFKGVLTDMTTIAVKKLDGARQGEKQFRAEVSSIGIIQHINLVKLIGYCCEGDKRLLVYEHMLNGSLDVHLFQSHAAVLNWITRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLDISYFPKLADFGMATFVGRDFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLFEIISGRRNSPEVHTSGNYDATYFPVRAINKLHEGDMSSLVDPRLHGDYNLDEVVRVCKVACWCIQDDEFDRPTMREVVRVLEGLQELDIPPMPRLLATLTNFSTVASM >KN539720.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539720.1:79135:83923:-1 gene:KN539720.1_FG002 transcript:KN539720.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYDILQLSQKVDIIVNGAATTNFMERYDVALATNAAGVMHLCQFAKQCDNLKMVLHVSTGDVLNLLRTAYVAGEQAGQLLEKPFQIGRALRLGYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPNCINWSKYLVNTHIPAAIKYGNDQKAKARSA >KN539720.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539720.1:45805:48474:-1 gene:KN539720.1_FG003 transcript:KN539720.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAELQLVDRIKSKLHIKSSSDNKLEKTTMKKLGLKRAIHFGWPNTYVLTKAMGEMLLQQLGRDLPFVIIRPSMITSTFQDPMPGWIEGTRTVDAIFVAYNNQTLPCFIFNGRVIFDLIPGDMVINAMMTAINSHWKKRAQVIYHVTSAHQNPLPLSLIVESMYKYFHTNPRTNKDGKIIKNKRVLMFKRFAYFQAYMTLRYKVPLEMMHAANVLFGGIYSKNYKKLNRGYNILMTVAKVYAPYVFFKGCFDDTNLRKLLKAMAVDQNDVSIFNFDTRCINWSSYLVNTNIPAAIKYANNQKAKADSA >KN542226.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542226.1:6215:6985:-1 gene:KN542226.1_FG001 transcript:KN542226.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGSGDGEKKIIFKTDKCVVLGVDLDGIGTSATPPEILLNPEDAVAPAAETHLSPETTTATCRFRSEYPPELSLFEESLVPLGRTLEEIVFSSPATRAWSEVLKLLPARTVSDLSLVCREWRAMVTTNRFIRSHAVHSNLVATHPRIKLVVDTPRDYLDASGFADLDDLIISGNRPRMCTSTSFICSPPCHGLNLGTFRRTNYLFNPCTGYQVELCPPDYDYDYHYDVMALGYDAATGAHLAVHLDCWNFETRAY >KN542226.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542226.1:13262:15381:-1 gene:KN542226.1_FG002 transcript:KN542226.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLLLQARRFASRPPPPLSNMLVLCRGISDRGHKALPSAPPRHSTGQIQMPQTFHCSNANPLGNRFQIDVVDSDLWPASFDLSMDHAPKTGCPDDFQEHEDGEVHDSEDEIDDMRHRKKLFYKLDRGSKEFEENNVSLRHRRKREKGNVKNPKESKKVDPDESASVKLPKLKTKYTVREEDVVEAKRDRVPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVSSRVVTVAHSISKDMKFDVGSRKGMKACAAVGALLAKRAIEDDIHNAIYTPRKGDRIEGKIEVVLRAIIDNGVEVKVKLKQRKPTKIHGIECTGYAAFSISLMGKLFIRMTPTTRNAQKS >AMDW01031708.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031708.1:308:427:1 gene:AMDW01031708.1_FG001 transcript:AMDW01031708.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGIDYPTIEVRFEKLEVEAEVHVGNRGLPTLLNSIINTVQ >KN539023.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539023.1:118498:131104:1 gene:KN539023.1_FG001 transcript:KN539023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQMIFWVIVSAVGWKWYKKELCKFFGDIEVKWLNKHLSKLWPFVDQILVLLGASKLLVPFQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDLRWGGDPSIILAVDAVVASLPIQLKDLQVYTIVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLKWPHRLVVPLGVNVDTSELELKPQGRLTVTVVKATSLKNKELIGKSDPYVILYVRPMFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDRLQQDKKLGVAKLAVNSLQPEATSEITLKLQQSLDSLKIKDTKDRGTLHLQVTYHPFSKEEQMEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQAEQPSA >AMDW01034132.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034132.1:86:524:-1 gene:AMDW01034132.1_FG001 transcript:AMDW01034132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YSVTKPALGCGNARVAALGAAFLAAAEVLDVGDNVGIVSDHSPARRLFFILPVAALNTVFIYWIFTSLSRTISKLKARRMTAKLEMYRKFANSLTIAVALSLGWITFE >KN539469.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539469.1:100188:103742:1 gene:KN539469.1_FG001 transcript:KN539469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNAANLGGQLGGLGNFTSIVTINLSNNKIGGTIPEDLPVTLQHMSLNDNQLNGQLPDAFGSLTGIVNLDISSNNLTGVLPPSMKNLSSLTTLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPTPTPTRPAPSPSPTGPPTPSPTNPNLAPSPPPPSSSAPPPSRTPSNSSDGPTTRDNISSSKKQNSSTLKIVGFVFLGVVLFIVTVLLVIFCLSKYQERRSRYDYNRSQLARVHHTVEHRINPSIVQPRDDAKKDQQSLAAVPKKPLESQREHIIDLEHPNNLARTNSELFAVDPPPPPPPPFLPPFPVEKVTVNPVVPPEKRYISPPRINKPTSATSFSVASLQQYTSSFREENLIRKSRLGKVYLAEFPEGKFLEVMKIDNTNGRISVDEFLDLVQLVSDIRHPNIHELVGYCAEYGQRLLVYNHFSTKTLDDALHDREGVDSTLSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDNKFSVRVAECGLEKLMASSSVTQC >KN539023.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539023.1:158786:162762:-1 gene:KN539023.1_FG002 transcript:KN539023.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AASVRVQGVTGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFSEKLSGVKEDLKVRTKQTAETIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEVKESFRLGKEDTSSCKDGSPETSKHEYSETSSHSDDKSQAGTSGYTLFNKLRSTLSSASPVLSGAFAKLRDTRVSTYAKQGYEIFKDELSSSSSRKKRNHARHASAGTVEKSTRTELVIVPTKKSVLGEKWEAFKNKVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFAGDVQEMIKPVLTAYSKILHISDADVRETKMMGSTPIIIVGFQTQQIYCVRDREGQVTEGGQDTIQTVFYAWAMQLMDSDEVPEEESYYPVWRLREIQQVGIKALI >KN539469.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539469.1:87240:87446:-1 gene:KN539469.1_FG002 transcript:KN539469.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGKEEKVLGVQKAPGSCPYCGGGVTATDVEAKWVLCFLPLCLNNKRRFSCTACNRRLVSYPAIVHD >KN539469.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539469.1:41178:43391:1 gene:KN539469.1_FG003 transcript:KN539469.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MAALLGRRFGMAAAALIALAALGSAASGTASKSSFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELELKKEPYVVELDQREDGWEIQDALSDMVGRRTVPQVFVHGKHLGGSDDTVEAYESGKLAKLLNIDVKEDL >KN539023.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539023.1:40365:41153:1 gene:KN539023.1_FG003 transcript:KN539023.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTTGGDVQIPIGQQATVAATATATSDGIRDSPGTSSPFRGGGGSPPPTPQWPVKAGSSSSPPPPPTTAMDRTLSSVANLAKLLPTGTALAFQSLSPSFTNRGACLTSNRYLTAALLYLCVLSCIFFSFTDSFVGGDGKLYYGVATAKGFLVFNYDAGSSSDGDDDDQRRRREVFKDLRRLRIRWVDYVHAVFTAVVFMTVAFSSTAVQSCYFPEAGDNVKQLLTNLPLGAGFLSTTVFLVFPTTRKGIGYGGQSTN >KN539469.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539469.1:5100:6579:1 gene:KN539469.1_FG004 transcript:KN539469.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVSASGKQQRFCQQCSSALPSTATNGEVSDQNNDNSHNNGGNNNNMHLFEVDFM >KN539023.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539023.1:133567:138212:1 gene:KN539023.1_FG004 transcript:KN539023.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVVQRQIIQVHNERNNGGILAGPYLYNESFADALPAVESIAPANHTVCSGSSTLCKLVSWWNSSSAGLSSRDSAILMHQSDWLLWLLHGEYGVSDYNNTLKVGYDPEIDSYPSWLMSQPYAYMLPSVRAPGAPIGSIKEDVTSLGSTLAIKLLSNARVDDARFGVYSHRLDDMWLVGGASNTGGAVLRQLFTDDQLVALSHEIDPSVPSLLDYYPLPKRGERFPVSDPNMMPRLQPRPESDTAYLHGILESIARIEAKGYNLLKELGASMVEEVLTAGGGARNDKWTAIRGRVLGVPVRKAEQTEAAYGAALLALKGANATH >KN539469.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539469.1:35248:40212:1 gene:KN539469.1_FG005 transcript:KN539469.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYSYMYCIMQQQSKKMRNSKVRRFNATDFLATLRGKRLMLVGDSMNRNQFESLLCILREAIPDKTRMFETHGYRISKGRGYFVFKFVDYDCTVEFVRSHFLVREGVRYNRQKNSNPILQIDRIDKSASRWKKVDVLVFNTGHWWTHGKTARGINYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTLRGAVIGSYPRKMRIVEEVIGRMRFPVRLLNVTKLTNFRKDGHPSVYGKASASVAGKKVSRRKQDCSHWCLPGVPDDWNELIYASLVLEPKPRSWKHH >KN539023.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539023.1:149573:150124:1 gene:KN539023.1_FG005 transcript:KN539023.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKSGEGEKAGGKTISFRCSDGQAFHMPVAAAMLSTAIRNMFDKYPSIDHGGVIELPQHVSSGVFPKVKEYCTKHAKVDDKGNPTVSTNTGAAAAAVAASSSSTDDEEEDLKNWDKEFVNMEVKPLHDLLLVAHLLDIKGLFDITCRKVADMLKGKTSEEMRQILNIRNDFTKEEDKAIKE >KN539023.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539023.1:33752:35016:-1 gene:KN539023.1_FG006 transcript:KN539023.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRTALFIPPLVNSFPQAPLSQIYNIWIIPQVMWLMKKLGEEGPGQDLHASCLSMKIGAMFQEVIPKLQCLFQY >KN539469.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539469.1:93024:95094:1 gene:KN539469.1_FG006 transcript:KN539469.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MAFRLRLLCDNMTNAMLVLAIDHTVDIKFQVKLPNNYLSAVIASMATNENLPPNVVRQLAKELKSLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKTKSGTISESTTALNVDQSNNAVSKNTPLGPAALSTSTANKAFGSNLQDQNAAPSDPALGASAVPKKDGLHAVKAPVEKKKIDARKKSLKRL >KN539023.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539023.1:144255:145616:-1 gene:KN539023.1_FG007 transcript:KN539023.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFLADHPSLLPAVAALAHFGFPWSRLGLLFPTVLLHLPPDLITSRLASLEACLGPLPRAAIIAACLAFPSLLENDLSSSDRLVDDLGKVFGRLGPGLGTSNDIDAFLGVCRRTWMFYDAGSEVGGIGDLVGCNNQRVFLELEEERIGKMLKFFKGLGMAGEEVGRFLLTNPMVFYLEFGDVVISVPEYLRRVGLAVDDVNAAVEKHPYVVGKNLLQNLPGVLRAMELDHWFLEKISDGGESLRYLFPDFVLEDVSYDVEIERAFLGGMIKMKADKRAQHIDGKLEFLKSIGYGENEIATKIIAVLHSNRDTLQERFDCLLERGLEYKMLCQIVSVFPKILNQGKKMLNDKLNYMTKELGYSVEYLELFPAFLCFDLENRVKPRYTMLRWLRENGLLRRTLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIYHQHAETK >KN539023.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539023.1:57830:75233:-1 gene:KN539023.1_FG008 transcript:KN539023.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVLVSNHGCSGGGGRVGVGVRGAGGGGPGEGKAEGQQGQVLALLLAALRRSVVLPCQMADADDPAAVAWGMEIGWPTDVRHVAHVTFDRLNGFLGLPVEFELEIPGHVPSASASVFGVSPESMQCCFDDSGNSVPKILLLMQERLYAQDGLKVAEGIFRITPENSQEENVREQLNRGLVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEECVELVRLLPPTQAALLNWVVEFMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREHDESEYSAISSQSSSSDELDEMHHHVEQGGDSGSDTDNFGDDGSQSQKDVAKVLQQNVVNEQPIGASRRHRSIDFRLPYISYGSDDDVSPNDIEECFLRRLEWNAVSKDASEIGSITVRSNQEAGQLSFSEENDGYYSTDYQSRNILLKDSVGIQSTLPRETESRAEITNDEVQDGAEVEQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLISGSEDGSIKVWDLLTMLDEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDRTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDYSTIIGALDDHSKAVTSLASSTDGLILISGSEDGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLERCLDALQQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREVLDQMPSPKNT >KN539469.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539469.1:18564:33602:-1 gene:KN539469.1_FG007 transcript:KN539469.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARSATGESLSADFIGLIWWLVCLSLTTLSGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYHTKSKIFQFNGSNSSIQERAKALEVVQYLKDANHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSKLICLNKGQTVPVDCDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFVSENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGETLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCTFSKGVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSIGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKREWYATLVVSVSWLWVKGPPCQPFGPRHVRRLLSAISGKIDDPGFVEFLRELFASDAVAFELGGCELGRGLPQESELTATLLNIFFDPVDREVMAIREEVHKKNPRVKDDSVLHTPVRVYAVRYLDEMLVVTSGSKMLTIEVRDRILAVLEGDLEVKVDKLGSSVHSAESEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAKAQELKNARETRRKKLGLKILSHLFKKVRRGHEFEFDFQIESEVQQLFKEWAEEVVTEYFKSPEHCRYWHRLLTSGDFLSLARVRDQLPPELVDSYDKFQETLDRFLMPMRDRGTSDEEERLAEEEERQYEKRTVQDLTELKMRVNAPIELMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYSKDLKVKNDDGVAEVHFPTEREIRMMGDKNLCDPKPVDGALTMIFVRLAVDDTTYPCVAHFCAETDTVIYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCPMHARMLLLTSVSVNCMRNNFISMLLRHIQILAVDYSSIMLKLLVQLVDSVIDLARNLVIPTELIVMVRMYHHDEAGIGFHEMDDKATGPCRRWNIFGGVRSTAAVDTSYAQDLDQSLKGRQTATARSGLHYAIVYHSEYKH >KN541566.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541566.1:74:5872:-1 gene:KN541566.1_FG001 transcript:KN541566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSKGLVSTATGTVGGQDIQGGIDGIDLGEKTSPAAGSLAEGKGKCRQGFDGALVLFEEKLTEKDDEDDDVLDVDLGEEEEEMQAKWLVIARFYSSQRYNVKGLFEEMSIAWNLAQPRNARSLGDNRFLIEFACESDFNRVISGGPWKHKGDALLVVPYDGIIRPSEVSIESLPLWVRIYDLPEIMMTTGFARSLGEKIGEVMEVGGAVLDFLRVKVNLPLCSPLKTQVRVRIKDKEGVAEALERGVSQMKMQMDEANLNLNARGPCSRERVSMSSDYGISEDSLAQTSTEWESATVSQIGESSDRVMRKKLGGLTKDLERSRKTKVSPLGRDIGKSKKITIRLSADAVEAYKPEASSPVLQLDRPSMLECSELSGQKRTGGDVGFEEEHAMMNTLETRLIREKDIYGGPCGMLKFPQRLCGSEIEDGFHAVISCTKPRALRAELRPFWSLPDENLMQRNGPDWLLLLLDRLPTEGRAKLLLVLWRSWYLRNDCLFGSGTASVRVSACFLQSMWESMNCTTDSRTLDVKGKAPLVNHTPVTKIPPDKPREQAPWTAPPHDWAKINVDGAFQQHSRKAGAGIIIRDHLGGVLLSSWRVIRNCASAEEAEAIACREGIRLAAEWIHKPVVLETDCASVESTLLSSERDRSSIWHIIQEAKATFHLLPAVQVRRVSRGANAVAHSLGQLALRLMQSAVWRLRAPECVLESLANDCNTHSI >KN539023.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539023.1:6060:6446:1 gene:KN539023.1_FG009 transcript:KN539023.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLQRRNPELSGEIDGRQRRLFMLRRRTTAMVDGATSTGLHVITNIENRAISMWYTRSRVSCELSFAGVAGDFIKQLRAPTSGREDGGIPGEWRQSLMGEVRVVKEESVVNLVGKVLGALAGFTT >KN539023.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539023.1:154751:155464:1 gene:KN539023.1_FG010 transcript:KN539023.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQKGEGETVKEAEEGEMVAAEDKGKEKVTAGDNSGEEKAGAKMISVECSDGKTFDMPLAAAMLSTVIRNSCKIVDDESDSDKPSGDGEITMVKLPHQVPSTIFPEVKKYCMKHAKVDEKGNSTATVFTNTGTAAASSSSTSVPDDDPTATEEEVLKNWDKEFVNVDQWPLYNLLLAAHFLDIQGLFDIASQKVADMLKGKNSQEMRDTLSIANDFTADEQQAIRALNPWAFPNP >KN539469.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539469.1:78696:82075:1 gene:KN539469.1_FG008 transcript:KN539469.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYSMNYRFLAADSLQKLVILAALAVWHNLLSRYRRNGGAAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASVIFGMLIALPITILYYVLLGI >KN539023.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539023.1:94911:100879:-1 gene:KN539023.1_FG011 transcript:KN539023.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESGDAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPIFNIEDNEFSTKSKGSLKFYNSEIHSASFCLPSFAKRVIGSKAN >KN541566.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541566.1:15289:22088:-1 gene:KN541566.1_FG002 transcript:KN541566.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIICFAERSSNIDEELLEKEANGIPAHKIDENLWKNREQMEEILLLLNKSRRPVALQQRSTAEDTETFIILDDVETKLKDMLKKLEQFQIKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVNAGGSIRDRYALLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDDIAVLQQAVVIYTEEFEKFTEFIGEVFVNAPFFISAEDAGAESRNNDDYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGQKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVAPAEPAVEP >AMDW01039365.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039365.1:85:828:1 gene:AMDW01039365.1_FG001 transcript:AMDW01039365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HPDFQSSSQAHDFVGVKMQRAIQAIGSHGSLLKSAVLRHISAPKPYMLPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTER >KN541566.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541566.1:8682:14085:1 gene:KN541566.1_FG003 transcript:KN541566.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRLFLLLFLCLLAGSRIASADTNPQDAAALRSLMKKWTKNVPASWRKSNDPCARWDGITCDRNSRITSLNLFGMNLEGTLSDDIGNLTELTVLDLSSNRGLGGTLTPAIGKLANLRTLALIGCSFSGNVPSELGNLSQLDFLGLNSNQFTGKIPPSLGKLSKVTWLDLADNQLTGPIPNSRDHGSGFDQLLKAQHFHLNKNKLQGSVPDFLFNSSMDLKHILFDRNNFNGSVPASIGVLPKLEVLCLNDNAFTGPVPAMNNLKKLHVLMLSNNKLSGLMPNLTGMDMLENVDLSNNSFIPSEVPSWFTSLSKLMTLKMQSVGLSGQLPQKLFSNPNLQYVVLSDNQLNGVLDMGNISDELHVDICYFSVARLAGNPVCGDSLLSSMKPCTDLTTEPLHKPPSIDVQCANPFVETIVFRAPSFGDVVKFLPSLQANLSSKLNSCTPNNLGLVYSNDDAYLNVDIRACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKTSRAVLIGVVTGSLLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKIKSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHADPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIYKQKYIVREVKTALDMGDQTYCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMAAPKYPYSNASTSSTAFDMDSRAFEYSGKFPSEGSLKNRGT >AMDW01039002.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039002.1:89:694:-1 gene:AMDW01039002.1_FG001 transcript:AMDW01039002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQLHLASDVLPITSLENATKWEPDDIKGIESDGAYVIASQLNLIEEQKRGNYVSNLQVELQQTRDRVGKLEAERISAKKQLDHLFKKLTEEKAAWRKREHKKVQAILEDMKADLEHEKKNRRQLEKINLKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCTKLVRELEEHKAEIEGLKQDSLKLRAEVDEDRKLLQMAE >KN540773.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540773.1:1086:2506:1 gene:KN540773.1_FG001 transcript:KN540773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NWCKQKQSTMTTPLPVSAGDASRVLFTGIRDHTDILIEVLLRLPPWSLCQLRCVCKLWLERTTSSTFLSAYAERHTTNPSNWFLLDRTIFIDTAPTPRGPIRALLRNSEPPKVSSIITSSRMCSIRRKESFYDQLPMVVSYSGGLILLTGNENNYYVCNPFTGDTFLLPVSKPQLRNAESLGIVARDGEYVVAELMMSCLRSFSSVNGRWEEKPLVCPQFSRGGMVFSSGGMLHWVDLNCGILSCDPFASEPTVLFINLPEASGRPTRGLDEWIHMRYVGVSAGRLCFFDIDEDDGESGSMSLWALGGNSGEWVLEYKVDFEDLWEDESYDDYSLDEDEVPLVGLVDPLNEHSVYVISQDCLFNIDLKTKQILNCTAQTNAGREVGSSPPIACVVPPLPHLVSPYPSCLRKEASNSDPQEAGSSDKPQKSGKGRRRKSKT >KN540773.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540773.1:22668:29926:1 gene:KN540773.1_FG002 transcript:KN540773.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLPLPLVAQLVVLLGLACGLRSSSSSAAAAAASGLAVANILFLDSPAGVGFSYTNTTSDLYNSGDKRTGHYVPQLSQLVYRNNKGVKKPLINFKGFMVGNAVTDDYHDYIGTFEYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAALNASTVEQGDIDMYSLYTPTCNETSTSSTAARQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >KN540773.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540773.1:17128:18202:-1 gene:KN540773.1_FG003 transcript:KN540773.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRGPDVNFRRERTRSPRARDRGDTGRRDGRRHQANCGGKDDGSNDRDSGNPPPAVLNPVMMAPARVIQPSLPPRNKGPLLPLRRPRVEKGNEARSAATIPAARVFARIKEAITAASVEGALRQILVAAGVAMALDKLTAPPSATPPLLEDQVRPTTPTASLTANDVPTKKQLPALSAEYNAVTSLFEAMSMNEPSSPEPMHQATPTPLTPTAMDGDDEVIFDSAQVLIDHAGELQMPNSTTDRAGDLQMPTVINLCRRMGMAPPEGALTEKAIADFKAMFNTPLPQDAIDALAQLFGLDNEDMKAADSALAMYLGPSDIGCQEEIAAA >KN540773.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540773.1:4609:7570:1 gene:KN540773.1_FG004 transcript:KN540773.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAATSARSSSRAFDWRSVLTASTSALYCFPQCTREDDRERCELDIQGRGCNEENKGNLQLWSIRGKKAVFPGHFKMIEKIRTERGKGFLSLFCLGTKLILLTRGAYMKL >KN540773.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540773.1:34745:40614:-1 gene:KN540773.1_FG005 transcript:KN540773.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSYIAIEKLQEKNICISASNLYKETLHFHNDEKVGGAGEDAQISEEVEAQVDLSNIEAGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKVLINIASILKNVFSATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >KN542041.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542041.1:1468:5070:1 gene:KN542041.1_FG001 transcript:KN542041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPFFGLARYKFSRRDFSRAGSKSFMNRAGDRSVVTVLDWAISSNGSCSSTSRVAPACVSPNSYCVNTTNGQGYLCKCSTGYDGNPYVTGDNINECKLRREDPVKYSELYPCYGGSKCHDTEGDYRCKCRLGRRGDGKIDNGCQPIIPPPVIGILVIAGVVLFGLVLVCLRKKWKLKGCYDRNGGQMLEKTSVKIFTKQELDKITNNKSNKIGKGAFGVVYKGTHDDQPVAVKYSIEKSISRTRGKDEFVKEITVQLQVSHDNLVCLIGCCLEVEVPMLVFEFVPNGSLESVLHGAERCALPLLKRLDIAIGSAKALTYMHSHSRRCIFHGDIKPANILLDDNLMPKVSDFGSSESVLKTKHRSVCADMGYIDPVYMITGNFRLKSDVYSFGIVVLELITRKKAVYDGKSLPIEFTNCYEDDNARRNMYDQDILSAEALQPHCMECLDRMAGIAVQCLEYNIDKRPTMAEALQELIQLRAKVAGK >KN542041.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542041.1:18044:20147:1 gene:KN542041.1_FG002 transcript:KN542041.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRMPVRISVVLLIIALSAVTCASAVPSKSNGSDTDYAALLAFKAQLADPLGILASNWTVNTPFCRWVGIRCGRLHQRVTGLVLPGIPLQGELSSHLGNLSFLSVLNLTNASLTGSVPEDIGRLHRLEILELGYNSLSGGIPATIGNLTRLRVLYLEFNQLSGSIPAELQGLGSIGLMSLRRNYLTGSIPNNLFNNTPLLAYLNIGNNSLSGLIPASIGSLSMLEHLNMQVNLLAGPVPPGIFNMSTLRVIALGLNTFLTGPIAGNTSFNLPALQWLSIDGNNFTGQIPLGLASCQYLQVLSLSENYFEGVVTASAAWLSKLTNLTILVLGMNHFDAGPIPASLSNLTMLSVLDLSWSNLTGAIPPEYGQLGKLEKLHLSQNQLTGTIPASLGNMSELAMLVLEGNLLNGSLPTTVGSIRSLSVLDIGANRLQGGLEFLSALSNCRELYFLSIYSNYLTGNLPNYVGNLSSTLRLFSLHGNKLAGELPTTISNLTGLLVLDLSNNQLHGTIPESIMEMENLLQLDLSGNSLAGSVPSNAGMLKSVEKIFLQSNKFSGSLPEDMGNLSKLEYLVLSDNQLSSNVPPSLSRLNSLMKLDLSQNFLSGVLPVGIGDLKQINILDLSTNHFTGSLSDSIGQLQMITYLNLSVNLFNGSLPDSFANLTGLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLH >KN542041.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542041.1:13431:16608:1 gene:KN542041.1_FG003 transcript:KN542041.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPVCISVSALLLITLSPAVAAAAAASPPGRSKSNGSDTDLAALLAFKGELSDPYSILATNWTAGTPFCRWMGITCSRRQQQRVTGVELPGVPLQGKLSPHIGNLSFLSVLNLTITNLTGSIPDDIGRLHRLELLDLGNNAFSGVIPASIGNLTRLGVLRLAVNQLSGQIPADLQGLHSLRSINIRNNGLTGSIPNSLFNNTPLLSYLNIANNSLSGSIPPCFGSLPVLQFLDLQVNRLTGPVPPGVFNMSMLEVIALALNGLTGPIPGNESFRLPSLWFFSVDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKLTNLVKLNLGENHFDGGSIPDALSNITMLASLELSTCNLTGTIPADIGKLGKLSDLLIARNQLRGPIPASLGNLSALSRLDLSTNLLDGSVPSTVGSMNSLTYFVIFENSLQGDLKFLSALSNCRKLSVLEIDSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNIQRLFLGTNQFSSSISMGISNMTKLEYLDLSDNQLASTVPPSLFHLDRLVKLDLSHNFLSVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVIDGQLVQDSSSSTSSIDGFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKNIRKEYVKLIATMGRDDNRTAVFH >KN542041.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542041.1:8015:12044:1 gene:KN542041.1_FG004 transcript:KN542041.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKDISLPKGEMRVYTSVLQNCFDLSNTSSSSESDVGSPWLDLAGTPFLVSPERNEFTATGCDTLGMMYGREDGSYLTGCIHSIPRNLTLLRIVLSANISDRKIAAWNPCRYTFITDRDGQFRYNFCRKDLGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSACVSDQSDCANATNGDGYLCKCLKGYDGNPYLKGNGGCTDIDECKEPDRCSTGSRCHNTEGYYYCKCRFPRRGDGKRRKRRMFANNNGGRLLKDMNIVLITEKDLNKMTKNRSTKILGEGSFSKVYMGTHKNQPVAVKYSKGKRKLAQTTHGKDIKCMNKNMFQNAFCWSKVPSSPEEDSSSRVSGPELVDELRVQSLIQHENVRLDIAIGSAKALSYMHSSSLMHGDVKPANILLDDNLNPKVSDFGSSELILKFKHVCVDKNYVDPVCILTNKYTMESDVYSYGVMLLELITRKRAKYDDERSIRVEFVNQYKDNNERRKMYDQDMLSSTDSLYPYCMECLDRMAAVAVRCLKNKVDKRPTMAEVVEELKQLREQISTRMS >KN543153.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543153.1:4451:7304:1 gene:KN543153.1_FG001 transcript:KN543153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASIERGHKPCHPSYGRKRGEEKSGDSSPMVSIYDAMRIGEQRTEPMATNWSSQTRKYIAANTGADVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPGEAAKAPLLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNASNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPGGSGGSDRAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSTRQGSTPPW >KN539427.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539427.1:45445:47507:1 gene:KN539427.1_FG001 transcript:KN539427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorbitol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G51970) UniProtKB/Swiss-Prot;Acc:Q9FJ95] MGKGGKGAEAAAAAVAGAGEEENMAAWLVAKNTLKIMPFKLPPVGPYDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGSGVTHLAVGDRVALEPGISCWRCRHCKGGRYNLCEDMKFFATPPIVHPGDLCFKLPENVSLEEGAMCEPLSVGVHACRRADVGPETGVLIMGAGPIGLVTLLAARAFGVRRVVIVDVDEHRLSVARSLGADAAVRVSARAEDVGEEVERIRAAMGGDIDVSLDCAGFSKTVATALEATRGGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKIDVKPLITHRFGFSQEDVEAAFEVSARGRDAIKVMFNL >KN539427.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539427.1:77477:79007:-1 gene:KN539427.1_FG002 transcript:KN539427.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSDPDIAACAYEFILCSLPDLAVQSFLHPLRVYLRAQSITLPLTYAAAAALALHVPVNVLLVHRLALGIRGVALAAVWTNLNFLLFLVAYAYFSGIETMDIWMIHASY >KN539427.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539427.1:27468:28625:-1 gene:KN539427.1_FG003 transcript:KN539427.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQDKAEEGEEEGTRQQHAQAGPVGAAGGGGGGGAAAVAMSAIPMNSWLVPKPEPVEFFGGMAMLVNFAGAQFPTAINVADFQQQQQQQPVSTTIVQNSNSGEHMHFSGADSHEQQRRGRKEGNSGGVVDHPEEDEDDDDDEPVSDSSPEE >KN539427.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539427.1:53084:53344:-1 gene:KN539427.1_FG004 transcript:KN539427.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSEPSAASDDDAVTSSSSCMTDADEEASPFELDVVSDMGWSLYYASLAEGLLMEPPASGASSDDDDDAIVDSGDIADVSLWSY >KN539427.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539427.1:61718:62862:-1 gene:KN539427.1_FG005 transcript:KN539427.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLVFFFLLLLGFGNAEEELDTETCDVAKLSAEIGTYCEFDQGRMYPGRCCDSIIDAADGYDDGGGTHCICRVWTEDAVRKTGITFRELLHQYIDCGGLQPSLPHLADSACSAGKEMGRSDERSDRDRPSYWAPTPQ >KN539427.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539427.1:9700:21864:-1 gene:KN539427.1_FG006 transcript:KN539427.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLASEIEEVVATVGGGDFDFGEATASELSPIWGGGPGDLVPLLFCTQNMAHVSFKSKEADSMSRWSKYLSTEESPPSASVSWRAMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNAHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWITLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLMEVHEQALVSADALHHERRILLKQEIGRMVLFFTDQPSLLAPNIQPNADQLSVPNTLLFQIARHSNIHIFLQMVFSALALAQSIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGEYVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACCFPECASSIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAAIRLNNATRAKAVSGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFILREYMRDCIIGNFRRRFHSMIRTDSCLQRPSVIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPTETHGGGSAIDIISNWYIDNFVKDASRTGVVFDASQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGVDRLDKLLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPEEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEIGSANDNSWTLLPYLCAAFMASNMWSTTAYDVNTSGFSNNLHCLARCVSAVVGGSEYTRMEREHRRSSLSNGHMDELQEPELLSRVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPYIVPKLIFLDQLCEMSPYLPRSTLEVHIPYTILRSIYHQLYGASLMATEPMEPSPRQSPLISLAHASPSMKQNRADTTPRSHTFEPGYHSSSGSQYDEGYEGDRRTGERQLRSMRRSGPLDYTGSRKVKFVEGSSSGSHGAGSGSLQRFAVSRSGPLSYK >KN539427.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539427.1:89367:94611:-1 gene:KN539427.1_FG007 transcript:KN539427.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGARAPGSVSFGCAVFLAGPWRGSGSLRALAAGAVGFGKLVACACACHEKMMRAKAKGELKKQLSAPTGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMVLLEDPTAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVTALEAIVGKGGRVVDADVVTLTEALMNELVSALEAIVSKGGRVVDADVVALTEALMNELVKLDSIAADGEVKEQRRVQEKRVQKVQNLCARRQGKLIILGLWEGSNWCCPQVADFAEMPFFREAQKERQAELEASCPAIRNRESRRSQ >KN539427.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539427.1:104833:106755:-1 gene:KN539427.1_FG008 transcript:KN539427.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVASSSAGGGGGGETALGDLPESCVAEVLLRLDPPEICRMARLSRTFRGAASGDGVWEAKLPRNYARLLAAAAADDDDGEAAALEAAEAIPKKEVYARLCRRNRLDGGTKEFWLDKGGGGVCMTISSRALSITGIDDRRYWNFIPNDESRFHAVAYLSQIWWLEVRGEVEFCFPEGRPLKRLGRRVYRSEHIHGWDIKPVRFQLSTSDGQQAQSKCYLTDPGVWINHHVGDFIVKSSNEPVKIQFAMVQIDCTHTKGGLSVDSVAVKPQYLAKKKASRIYV >KN539427.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539427.1:58027:60963:1 gene:KN539427.1_FG009 transcript:KN539427.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWIARQWRPLPCGRVNHVLDVVVDQEEAVAMVADSELEADEDASARVADVVEVVGDGRRGIGAAEEARAQGEGVDGDAGDGEGEEPEDGNSFLLVTMLAGGQTALALRLLLAVFSSKISSGANRPFGEELERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWELYEQLHAVF >KN539012.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539012.1:113445:118793:-1 gene:KN539012.1_FG001 transcript:KN539012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQILSAQVTQSTDFTELVNQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLSTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTIVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTEGFIHDLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVGATFSGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEMNPFTIVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTEGFIHDLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVGATFSGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECVMAAA >KN539427.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539427.1:32665:36553:1 gene:KN539427.1_FG010 transcript:KN539427.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPNDVGILAMDIYFPPACVHQEALEAHDGASKGKYTIGLGQDCMAYCSEVEDVLSMSLTVVASLLKKYNIDPKQIGRLEVGSETVIDKSKSIKTWLMHIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVETLLIHWPILCNYYDQVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQYCTKYEKIVGEQFSISDAEYCVFHSPYNKLVQKSFARLYFNDFMRNCSTVDNDAKEKLQPFANLTSEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVIYNKHDSLDGQRILMFSYGSGLTSTMFSLRLHDGQHPFSLMNIASVLDVTTKLESRHVTSPEKFIETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTKVDSMYRRFYEKKAAEGKIKGCNGIANGH >KN539427.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539427.1:1059:8899:1 gene:KN539427.1_FG011 transcript:KN539427.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALAGKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTATTFGEGSNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYQYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREVLALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQEFHFANANTVGETILKVRGLLTKWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTASTDQVILPFRPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >KN539427.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539427.1:66073:66585:-1 gene:KN539427.1_FG012 transcript:KN539427.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQARSILNAARVIPPNDDEKKPLWRYVELLEKTGKGQGGNARFRCRFCNQVIHGSYSRVKAHLLKIGTIGVATCKKVTVDILGQLQDEMARAEAISARNLPKDIPLPTESVSRGKRRAVSAIESSFNLDARAKLDALIARMFYTATQNIACFFFSCNIINFVLFMTCN >KN539427.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539427.1:98924:104045:1 gene:KN539427.1_FG013 transcript:KN539427.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVPTIIFFAWRRRERKLSWTWQYLGVGGGQVDRPMEISTKVRPPRFREIIQVPKKVVRDPRFEPVYGPVDKEGFRKRYNFLFDDELPAEKEKLQKSIKKSKDPNAIEEMKSRVTWIDKQLRSHPKKNVESEILREHIKKEREAAKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMEKRRRKNASKDHRYMPYRRNGDGA >KN539427.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539427.1:37266:39265:-1 gene:KN539427.1_FG014 transcript:KN539427.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLINKYLSRSKNPAAGDDKEMPPPPPPPANGAEREFMCIPGCVPVRVKRGTTTTTTTTTTTTARTSRHNFVKSAASGLFPGAQFTNHESLPAMEEAYAEFVAAFPQYAGLAQADAIRDGEYQHLDRHVCLDYTGINLFSHAQMNSSVPSTYPYLWMSAAHEQGWHVALDACALGTKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKSSLAALERSVIARSIGIVSIVPARRCGTLIALTLKNVPEMDAAGEENGHSPEIREADPPPPPPPPPPPMEEEKGRIMEVECRGLDHADALGLIAIGNRLRCISNWLVVAMQKLRHPQSEQGKALVRVYGPRVKFERGPSLAFNVFDWKGEKVAPPLVQKLADRHNISLTCGFLRHICFSDKYDADRAAVLEHIDGGGGKRKDAAGEMGIYVVNASLGFLTNFDDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >KN539012.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539012.1:78694:83240:1 gene:KN539012.1_FG002 transcript:KN539012.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDGFALRRRGNANYVDLNGDFPDQFFPINDGINYRQPETRAIMNWVKQEHFTASASLPPLVLHINSKNHDSGREKTCAPSVGHGNKINKLQLARLTAAGLAATTISLHGVDQPRNPWSDGAEFITQCPIRPDGKFTYQVIMSEEEGTLWWHAHSDFDRATVLGAIVVHPKHGDTFPFKRPDKEIPIILGEWWKNDVNHLLEEMKRIGEDVKPSDANTINGQPGDMFPCSRDDTFKVAVEHGNTYLLRVINAGLTNDMFFAVSGHRLTVVGIDARYTKPLTVEYIMIAPGQTMDLLLEANRSLGSKSNSRYYMATRTFITLPVPIPFNNSTATAVVEYYTGDSGAGPPDFPAVLPALDDVDAAMAFLRQLKSLGSKDHPVHVPTHVDEHMLIDLAINFLPCNATNATNTACKGPKGNTTRFAASLNNVSFVSPAIDVLHAYYYGSGRGVYEDDFPNNPAPVFVNLTGDNDRPGVTKHGAKVKVLEYGTVVEVVFQDTSFESHPMHLHGFAFYVVGLGSGKFDDRRDPATYNLLDPPYQSTVSVPKAGWAAIRFRADNPGVWFMHCHFDRHMVWGMNTVFIVKDGKTPQAQMLPRPPNMPKC >KN539012.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539012.1:120307:127660:1 gene:KN539012.1_FG003 transcript:KN539012.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAQTAAAGDELRSLLSATLSPDKAAVDAATEGLSRIAASSDPRFPISLLAVAAADGDQGTKVAAATYLKNYTRRNIDWGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQYFLNPKVAKEPVPPQLEQIASEILVPLQVTFHHIADKVLLSHDETNLEYEQLLLITSKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDRATTRLKTAKRCLIILCTLVTRHRKHADEILTLQFSQPDGTYSQQRNQNIKSKHSFTCPGWRLVSPHFSSLLDSAIFPALALNEKDITEWEDDTDEYMRKNLPCELDDISGWAEDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQGEDVSSKAVQNYFGVLMAIGGLQDAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAIAELIENGYAPPDWLVLLQVVMKRISVEDENESTLLFQLLGTIIESGQEKVLPHIPEIVSNIANTIMKLLPPVPDPWPQAVERGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAIAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETASMKVFELVAIWADIIACWDSWEEMEDQGIFNTIKEAVNFHQNFDSTGFFLKILPSRSENSSQSSVISWVSSFITRAIEAYPSATWRACSCMHTLLHTPNFSLGAEDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQLLRKYDSNGYAIWASALAQISSSSFNPGLSSESEIKLAVLTLATVIERLLALSTGGTKLLHDCCVSLMESCIHLKEDSDDDDDVREETEEEFLQRYAAAAAGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHRQA >KN539012.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539012.1:144251:147676:1 gene:KN539012.1_FG004 transcript:KN539012.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSMITVAEQHHRIRSNDTDLAALLAFKAQLSDPHGVLADGWREDNASSFCQWIGVSCSRRRQRVTALVLPDTLLDGSITPHLGNLSFLTVLSLTNTSLTGSIPAELGRLARLRYLDLRRNTLSGSIPSTMGNLTRLQSLIFDRNHLSGKIPSELQNLQNLVHLSLQGNYLSGSIPDLIFNGSSSVLTFINLGNNSLSGPIPSMISSLSMLQVLVLQFNQLSGSLPPTIFNMSRLEELYSAGNNLTGPITFPAGNQSFICPMIRTLGIGGNRFTGRIPPGLTACRKLETLGLCLNLLSSDVPEWLADLSELNFLYLCENELTGSIPAVLSNLTMLTELDLSFCNLTGRIPTELATLTQLTYLYLGSNQLTGPFPTFVCNLTRLSLLALDTNLLTRQVPETLGNLRSLHWLDIGENHLQGKLDFFASLSNCRQLQILDIGKNSFSGSIRASLLANLSNNLVFFNAGENSLTGNLPDTISNLTNLNVISLSNNQLSGPIPNSIVLMENLQLLSLYGNSMFGPVPTQIGTLQSIVVLYLDDNKFSGSIPNGVGNLTTLQDLRLSYNLLSSSIPASLVNLSNLLRLYISHNNLTGALPSDLSPWKAIAEMGISANNLVGSLPTSWGHLQLLSYLNLSQNTFNDLIPDSFKGLVNLETLDLSHNNLSGGIPKYFANLTFLTSLNLSFNNLQGQIPSGGVFSNITLQSLMGNPRLCGAPRLGFPACLEKSHSTRTKRLLKIVLPAVIAAFGAIVVFLYLLIGKKMKNPDITASFDIADAICHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLVVAIKILNMQVERAIRSFDAECHVLRMARHRNLIKILNTCSNLDFRALLLQFMPNGNLESYLHSESRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSFGIMLLEVFTGKRPTDPMLIGGLTLRLWVSQSFPENLIDVADEHLLLDEETRLCFDHQNTSLGSSSTSRSNSFLMSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKDYSASMLEMQRPRQY >KN539012.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539012.1:75420:76659:-1 gene:KN539012.1_FG005 transcript:KN539012.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRNPGSQEFVFTSIVTIDRVQENIQWWYMSCNLCNKIVIQKNDKYHCRNCNKYPENTTPRYRLRLQISDHTTSTSCTIFDDVAQNMLETPVSSLLNLLDGKNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKKTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETNQQEQTSSGPPIKNRSISLLPVKQELEDSDHEITSEDELTSSDKPPSCKQIRRRSYIIEDDSEEESNETSMIKCVDAKVRGKNAKGTHAKSTKAEIRNDTYSHEINKSVVEESIKAGKR >KN539012.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539012.1:133668:137075:1 gene:KN539012.1_FG006 transcript:KN539012.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSNDTDIAALLAFKAQVSDPLGFLRDAWREDNASCFCQWIGVSCSRRRQRVTALELPGIPLQVPGIPLQGSITPHLGNLSFLIVLNLANTSLTGTLPGVIGRLHRLELLDLGYNALSGNIPATIGNLTKLELLNLQFNQLSGPIPAELQGLRSLGSMNLRRNYLSGSIPNTLFNNTPLLGYLSIGNNSLSGPIPHVIFSLHVLQVLVLEHNQLSGSLPPAIFNMSRLEKLYATRNNLTGPIPHPAGNHTFISIPMIRVMCLSFNGFTGRIPPGLAACRKLQMLELGGNLLTDHVPEWLAGLSLLSTLVIGQNELVGSIPVVLSNLTKLTVLDLSSCKLSGIISLELGKMTQLNILHLSFNRLTGPFLTSLGNLTKLSFLGLESNLLTGQVPETLGNLRSLYSLGIGKNHLQGKLHFFALLSNCRELQFLDIGMNSFSGSISASLLANLSNNLQSFYANNNNLTGSIPATISNLTNLNVIGLFDNQISGTILDSIMLMDNLQALDLSINNLFGPIPGQIGTPKGMVALSLSGNKLSSSIPNGVGNLSTLQYLFLSYNRLSSVIPASLVNLSNLLQLDISNNNLTGSLPSDLSSFKVIGLMDISANNLVGSLPTSLGQLQLSSYLNLSQNTFNDSIPDSFKGLINLETLDLSHNNLSGGIPKYFANLTYLTSLNLSFNNLQGQIPSGGIFSNITLQSLMGNAGLCGAPRLGFPACLEKSDPTRTKHLLKIVLPTVIAAFGAIVVFLYLMIAKKMKNPDITASFGIADAIFHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLVVAIKILNMQVERAIRSFDAECHVLRMARHRNLIKILNTCSNLDFRALLLQFMPNGNLESYLHSESRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVILHCDLKPSNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSFGILLLEVFTGKRPTDPMFIGGLTLRLWVSQSFPENLIDVADEHLLQDEETRFCFYHQNTSLGSSLTSRSNSFLTSIFELGLLCSSESPEQRMAMNDVVSNLKGIKKVYFASMLEMQRRRQY >KN539012.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539012.1:18828:22241:-1 gene:KN539012.1_FG007 transcript:KN539012.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATGAMGSLLSKLGELLKEEYNLQRSVKKDIRFLSRERPMMHAALRMVGEVPLDQLDDLVKIWAHEVRELSYDMEDIADTFKVHIEQGSQQADLGCTQGFARKMVNLFKKGRSHRQIVNEIKNIKDRVKEVAEWRDRYKVESISASYAQATATIDPRLTALFKKVTELVGINGARDMLIRRLSKGAGAFDEKLKVVSVVGVGGLGKTTLAKAVYDILVHGGQFDCCAFASVGQNPDMKRFFKDILLQLDKHKYMHITAVTLMDERQLINELLEFLDKKRIFGFDGKYPSNQLTEVSKKILKKCGGIPLSIITIASLLASKPNNEWSKVYDSIGFGQENSKDVRNTRKILPFSYYDLPIQLRTCLLYLSIFPEDYWIEKYQLIWRWIAEGFVHEEKGLVLFEQGERFLDELINRSLIQPSDRYHSGIVNGCRVHDMVLDLIRSLSSEENFCAMLHKEQTMLSQNNIVRSPHLEPIGKLLHLKYLGLVNTAIAELPKEVGNLTLLQTLDIWRTSIEELPSTVGKLKRLICLRADSNTRVPAGVIGSLTSLQQLRLHSADKSPSAVVELGKLVELRVLEIQFCKMDKNSRRYLVESVCNLRNIQVLEVHYDHSGPAEWAYLGSSWEGWVPHPRLRQFLLRAISLPRLPLWINSSHVAHLSYLQIGVGFIDVQDLHTLGRLPALRCLYISSGILLSYVVAGGDGLFQNLRHCTTNLEFMFQQGAMPMLAHLEFRVSVLGLGRREDPAGLGLGHLPFLELVTLYLQCSGASIVEVAEVKAMLRHEVHVHPNHPTLNLEEYHCQSQQMIKECVTANCKTELADRIKFL >KN538729.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538729.1:159238:169443:1 gene:KN538729.1_FG023 transcript:KN538729.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYAPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPEESQKISESDKLMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPGLLHWVTHNLESENQIFGALYVLRVLSRKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIGNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMSLFINLLERPVPVEGQPLDPDVRKSWGWWKVKKWTIHILNRLYTRFGDMKLQKPESKAFAQMFQKNYAGRILGCHLQILNAVRTGDYLPDRVINLVLQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVDIFRRYDEASIEIKPYRQKDGALLAIGTLCDKLKQTDPYKAELERMLVQHVFPEFNSHVGHLRAKLDMSKMTCISNTALDNYSLSDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASQEADDEADDSGALAAVGCLRAISTILESVSSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPSISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGSDHFLACKNPDYQQSLWSALSSIMMDQNMEDSDIEPAPKLIE >KN539012.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539012.1:91955:94334:-1 gene:KN539012.1_FG008 transcript:KN539012.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSPFHFTLPLAFLLHLWPSRRLLLLGRIDSFEYCISYICYGTSVMTKATIIQCCRSLLQFYSATAHTLLLKAICLMDYIRKGAKGCEQGYIGQGGATMSRENEDAFMDMLLLDPIENRYKNEEVRAQATRDLSKCIIDHRMAAKSLPTPEQYAVERECTKAEQWLWERSQLQESLPKNVDPALWFYRNIVRHKGSPARADSSGGSDHMHTTDRD >KN539012.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539012.1:105033:107666:-1 gene:KN539012.1_FG009 transcript:KN539012.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFRYCDASLPYADRVRDLIGRMTVEEKVGALGDWTDGAARIGLPAYRWWSEALHGLSSTGPTTKFDDLATPHLHSGVSAVYNATVFANVINSAASFNETLWKSIGQAVSTEARAMYNMGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDIPGHEAVAAGGDPNTRPLKTSACCKHYAAYDLDDWHNHTRFEFDARVDERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSQTIRRDWGLHGYIVSDCDAVRVMTDNATWLGYTGAEASAAALKAGLDLDCGESWKNDTDGHPLMDFLTTYGMEAVNKGKMRESDIDNALINQYMTLMRLGYFDDIAQYSSLGRQDICTDQHKSLALDGARQGIVLLKNDNKLLPLDANKVGFVNVRGPHVQAPEKIMDGDYTGPPCRYVTPRQGVSKYVRFSHRANTTIYFGGLNLNIEREGNDREDILLPKNQTEEIIRVARASPNPIILVILSGGGIDVSFAQNNPKIGAILWAGYPGGEGGNAIADVIFGKHNPSGRLPLTWFKNKYIYQLPMTSMDLRPVAKHGYPGRTYKFYDGPDVLYPFGYGLSYTKFLYEMGTNGTALIVPVAGGHCKKLSYKSGVSTAPACPAINVNGHVCTETVSFNVSVTNGGDTGGSHPVIVFSKPPAEVDDAPMKQVVAFKSVFVPAWSTVSVSFELNVCKAFGIVEKTAYTVVPSGVSTILVENVDSSVSFPVKIDFAV >KN538729.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538729.1:110076:125279:1 gene:KN538729.1_FG024 transcript:KN538729.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCALFLSTPRPPPPLVPAHRRLPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRPGRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGHHVGVALITMPIRAGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYTDDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPGLLMIIIIGNYKMLKVSSPMQYTGILLQKKFINGDLNGRRSKVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDIDVLHFLLSNLNWWVTEYRVDGFQFHSLPSMLYTHNGFSTFTGATEEYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQGFLIAQLVARNDGAGPRTAQGRGGRTRHWEVMQMAVTAEHLCILSRRQIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFRLANRQWELLDKGVHKHIFNFDKDIMSLDGKERLISGGSPIVHHCDDTSMG >KN538729.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538729.1:40694:40984:-1 gene:KN538729.1_FG025 transcript:KN538729.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIAPAANLKQILRRCSSLGRRQQQQGAVPRGHFPVYVGESRCRYVVPIACLEHPDFLLLLRKAEEEFGFEHDAAITLPCHEADFEALLAALTA >KN538729.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538729.1:4113:4328:-1 gene:KN538729.1_FG026 transcript:KN538729.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKQGGNAQHSSAQTVEEQEETAVYLWHTDREGYASVGSSKVSERWLPSKEEAIFAAATTAIATGIPAR >KN538729.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538729.1:30046:30190:1 gene:KN538729.1_FG027 transcript:KN538729.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPGVEREIDIPGDVDLCISICVYIDL >KN538729.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538729.1:8946:9272:-1 gene:KN538729.1_FG029 transcript:KN538729.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSKKPGQLKQMLRRCSSSLGIKGAGGDDDGLPGDVPRGHFAVYVGISRRRYIVPVACLAAPEFQELLRKAEEEFGFDHDMGITLPCDEATFHGVLASASVTSIR >KN538729.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538729.1:74833:75973:-1 gene:KN538729.1_FG030 transcript:KN538729.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAHQSVVYLLLVFLVSVSGVRSKTFTVTNNCGYTVWPGILSAGNSPAALDSTGFALAPGESRTMPAPHGWSGRLWGRTFCSTDPAGRFACATGDCGSGRLDCAGNAAKPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVTPRFGVTGENCAPTGRWRGRGLQERVRGVRVAQYCCSGEYGNPNTCRPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTSYDITFCPSTASVKSVGSDHGMVYAGGAQLVSSSPRVAAKTWLPPGVIVVGVVLLALSRAF >KN544325.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544325.1:331:1862:1 gene:KN544325.1_FG001 transcript:KN544325.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLMSWSQALSNASLVSCHVIKGKRAPLLYNLSNKQRSE >KN540425.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540425.1:9889:10951:-1 gene:KN540425.1_FG001 transcript:KN540425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITTADPHASFLADKGGKVFVAGHRGLVGSAILRHLLSLGFTNVVVRTHAELDLTRQSDVEAFFAAELPRYVILAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALKCGSVRKLLFLGSSCIYPKFAPQPIPENSLLSGPLEPTNEWYAIAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKASNAAEVVVWGTGSPLREFLHVDDLADAVIFLMDHYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQEMGWKPKVPLKEGLVETYKWYVENVISAKK >KN540425.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540425.1:42685:43023:-1 gene:KN540425.1_FG002 transcript:KN540425.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLETIFSVVLPPLGVFFRYGFCSSEFVVSSALTALFYVPGIVYSVWVVILKTPPEPPGIDGERPYYILA >KN540425.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540425.1:27576:38708:-1 gene:KN540425.1_FG003 transcript:KN540425.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMMGLLMSSKDGVASVRVVQAAHCIGEAVERENRLNNLEALDSLALYTIDICVRSWNFKVQTFFQKTRKKSAGENDKALEKEQAKCRKRVKSLVRRRKLTEAQKIVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQTPESSPDIRPAFKHVLRQPILENGRLKKKHWVIECDPLVHEGFESTIYLRDLNMLQARHVEIPYLPMLVTPKKWKGYDTGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHNAVETIWSRGGGIAGLVDKGNIPLPERPETEDPDEIKKWKWSLKKAKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHAHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGRIEKLSHEDKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPQCAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGDKPADIYSEIAARVLDVVREDSMEDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENHPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKEFQTSFPALEFPPCPSQGDFDPTPPAKRLTENYSPTFASSGDPCLDFFFNVVPDTHASTVTNLLSAAWTAEPNTALRLACNLRGVRGTGKSDHEGFYATALWMHDHHPKTLALNAPSVAEFGYLKDLPELLHRIIHGGVSTRTPPPARTRSYYSSRPRKVRRDPATRAARIAASQEKHRKISEQAAVERRKKRADAAARAVEMYARDPKYRFLHDRTADLFADLIADDMRKLEDGKVNNLSLASKWCPSLYKCYDRSTLLCESIGRRLFPKGSDPELPEDLPDEYYAYRVRERLRKMALVPLRRALHLPEVYISARRWGDVVYSRVASVAMKNYTDLFLEHDHERFNLFLANVKTGKAKIAAGALLPHDILASVDSDDKESNEVANLQWKRMVDDLLELGKLNNCLAVCDVSGSMNGRPMDVCVALGLLLSELCDEPWRHRVITFSERPQLHHIKGETLYEKEEFIREMEWGFNTDLQAVFDQLLHVAVSGNLPPERMVKKVFVFSDMEFDQASSRAWETDYEAITRKFNEAGYGGAIPEVVFWNLRDSCSVPVTGNKKGVALVSGFSKNMLKLFLSGGYEKMTPRAVMERAISGREYEKLVVFD >AMDW01040770.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040770.1:505:1636:-1 gene:AMDW01040770.1_FG001 transcript:AMDW01040770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRV >KN540425.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540425.1:19737:22658:1 gene:KN540425.1_FG004 transcript:KN540425.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQRSSSGSTAKAGDANGDGAAAAVSFLGDKSAKVFIAGHRGMVGSAVHRKLDALGFTNVVVRTRAELDLACQAAVEAFFAAELPRVHAQMEKLSTCCAASCLPARLLGH >KN540425.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540425.1:49062:51922:1 gene:KN540425.1_FG005 transcript:KN540425.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MACNYGGCFTILAEKRSGVEHSALLDIDNGTSFFGVFDGHGGRVVAKFCAKYLHREVLRSEAYSAGDLGTAAHKAFFRMDEMMRGQRGWRELQALGDKINQISGMIEGLIWSPRGSDSSDQHDDWAFEEGPHSDFAGPTCGSTACVAIVRNNQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLSRAIGDIEFKQNKFLSPDKQMLTANPDINTVELCDDDDFVVLACDGICVNAYMGFLGLWQYLRHGNKMQESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNKNVSPAEQSAADKQPTGDTHWSEIQ >KN542005.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542005.1:6037:10225:1 gene:KN542005.1_FG001 transcript:KN542005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQDLGFMALPELGQDKGYLSESIFASIFASFVLASQVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKREKDGRLKEEKDSRLKEEFHKLLNGNQGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGTA >KN539394.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539394.1:95816:101503:-1 gene:KN539394.1_FG001 transcript:KN539394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDKGKGKQAAGSSGGDDAGGGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKLNAAVPDISYESQMIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGATSAPFKSTSEEELLEIQGTQLLSTTMGVKEYVQSEFESVEAEIISENRELFPGAVTFDDFLWAFGILRSRVFAELRGDKLALIPFADLVNHSDDITSKESSWEIKGKGLFGRDVVFSLRTPVNVKSGEQIYIQYDLDKSNAELALDYGFTESNSSRDAYTLTLEISESDPFYDDKLDIAELNGMGETAYFDIVLGESLPPQMLPYLRLLCLGGTDAFLLEALFRNAVWGHLELPVSQDNEEAICQVIQNACKSALGAYHTTVEEDEELLGSENLQPRLQIAVEVRAGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDIGLVGDNGEIIFWES >KN539394.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539394.1:90510:92553:1 gene:KN539394.1_FG002 transcript:KN539394.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKPSPGVLDGLYGVQLGRRPSPGGGGGGGGGEEEEAVRTTVVEYSAISDSEAKFGDGTTLQRLPIRTNLNTALYANSLVGVGIASSLYHSSKGEIRKLLRWADYTMIATTTLCLSRALRNENPRLLMAASALLLPFQPLMVSVVHTGMMEANFFKDLAFPMCQVSFAKRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAAIGIGTCNKLLE >KN539394.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539394.1:47515:47832:1 gene:KN539394.1_FG003 transcript:KN539394.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTILNQGACIVIGVDEELKNEVKALDERNIAEGQRSEEL >KN539394.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539394.1:4755:5108:-1 gene:KN539394.1_FG004 transcript:KN539394.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVKMAVVCLLLLSAGHLMASARPDNAEDAAALLRLKDRIELQEEEALALAEELALLDDGAGDAVGAGCSCSTTKCKTCVATCVIKCFPKGIKGFPTCFFTCVFTTSKCFSFGV >KN539394.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539394.1:34765:40087:1 gene:KN539394.1_FG005 transcript:KN539394.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHSIVATPLLVAFELLLCVFLEGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETMTDEAIWERLPGTPSNAKFFPLRAVFLPILLLQVTTVSFAIWIFFERLVTKLRAKKITDRYISFSSKIDELFMMMQHGSRLIAWWSIDEDSKEEQAHLCYANNSGDIGTCRPHYTCMAMGSNIPGNNIVGKQMVFVSEIEEKNGKSKVYKNLSCRSALIKYGRSHITYSTFCSYPPEMVQRLQLALGEQTKMAKLSQQQCDKLKNVLLISILSSHFSDKAFYNVQFQIE >KN539394.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539394.1:93232:94218:-1 gene:KN539394.1_FG006 transcript:KN539394.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRTVAAWNCMLAAYVRCREMDAALWFFNEMPGRDSVAWTTMIAGCANARRAAESVELFWRMRKANVKVDAVTMVALLTACAEQGDLRLGRWVHAHVEQEGRQWRTVLLDNALINMYVKCGAVEDAHRLFLVMPRRSTVSWTTMISGLAIHGRAEEALDLFHRMQERPDGATLLAVLLACSNAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCRRKRLREAIELVETMPLQPNDAVLGALLSGCKREGNLELAAQVIERLIRLQPERAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSRVNPNQSSMLVHSMLA >KN539394.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539394.1:14993:31233:1 gene:KN539394.1_FG007 transcript:KN539394.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGEEQKPDGGGAGGGGGGATVLHQKLCLSIDMENRLIYGYTEIKVQAENDTFALHADNMTIRNILVDGQAAEFEYSPQWKNAGDQQSWSSVSCSKTAADAACSVYISSLNSEAAPNLIISSERSSKAITEPQYKENGENHEENGEKHEENGEKHNENGEKCEENGGKPAQISDDQAVNGCNGSADKKDKEEETEKDSEKEKEDKEEETEKDNEKEKEDKEEETEKDNEKEKEQLMGTDEKKEKEEKEDENEKEEKLEEEEKKDKEEKLEEKEKENEEENGNEKDKENDNEIEKVKNTKLVHIDYILEKAETGLYFTGNILHSNNQIRRAHCWFPCIDSATQRCPFDLEFTVSTNLVAVSNGDLLYQVLSKEDPPRKTYVYKLSTPVSAQWISLVVGPFEVLPDRNDISVSHMCLSQSLSKLENTISFFHSVYSCYEDYLAASFPFGLYKQVFLPPEMIVSPTSLGASTCIFNSDILHDEKVIDQIIDTRIKIAYALARQWFGIYTSAEEATGEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKDVAADLTIFTLLLKPVHANCIVCEFDVSGATALSSPSASSDLFGTQTIGSYGKIRSLKAVSVLQMLEKQMGPDSFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESSGCPVMRLGISYSKRRNLVELAVSRGCTTKVDPGPDIRTNGDTREGDTGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGSDENIDASNQDNRASMDAPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKSPQLTFAVTNALNNFLNDTKAFWRVRVEAAYALAVTASEGTELTGLLHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAVALVRSADKSSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSICLDRVCELIAPYRNMDKPWKVRMEAGRVLIDLEFHHKGLDAALLLFLKYANEERSLRGGTKLAVHVLRLCQANIESHDNNQIQLPTLVGLLCLLAGKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKVVTPPQVVLEISSDQHTKADSSVPQQSRPQEPSTSTPSVREVLPTSGPLKDADNISNCSERRNVVKIRVKRASSSSKADDADHRDHSHGRNENEAGPCSSMSVDAPMTEAPEPVNVSNHNIEEQNSCHDREQNSCHDRESRMSASIGNVKLMDKHEVSKELQCTADSRLDALPKDHFSPVVNGQEVLDKPRSQLEVVSTSYDGNQAPDSMNGLETKEKKKKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKKQKEGEGVSSSEQKNTAKPSDSQGTSSARPPAPMRTPEPKISNVGTPVDTTRTLTTTKIRIKVKPLQR >KN539394.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539394.1:65862:66089:1 gene:KN539394.1_FG008 transcript:KN539394.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHYDDVLVHDAGQRALGAELRQELARTENCMLAVSGHKKLSANNRSLRKLIKSRLTYLNPMNMLQVEVLRCLR >KN542223.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542223.1:3976:7184:1 gene:KN542223.1_FG001 transcript:KN542223.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSGSLAAAASYKGPWQGCSVFWQGALYVHSGGACVTRFSLSNDKYQMIRAPINILDNKFDKPYLGKSKMGVSFGFIHDWQLSIWILKESAGLMEWVLTYQHDLQAIANQLDSIDSHSDQINGPWIVEEDDTDIPLNTDSLTQRF >AMDW01046523.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046523.1:890:2464:1 gene:AMDW01046523.1_FG001 transcript:AMDW01046523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEDYVIDRQELIWRWIAEGFIIEAKGQTREQVGENYFNELINRSLIQPVYIQYDGRAKYCRVHDIVLDLIISLSTGQNFVTIVHEQQHWSSFKKIRRTWFPSNGTDNRIVKEITNNCSHVRSLFFDSREPEQIPQFKKCHALRVLVLDGCMSLESQHINNLTYLFQLKYLKLNVANGTELSKDIGRLQQLETLIIRGGYVDEINIPSSVCRLQKLEHLIVECPMRLPDEIGFLQALETLSLFRVKYSIKCLQELRRLTRLRHLRISCQGIHHFGGDVARFERYKDAFYMTLDELGKNSLQSLHVHVTTEFSDTLMDSCCSSAPGLRELSTSGVGISKLSEQMVSLSNLAYLKIFYNTRSIDQKDINLFGCIPKLLYLQVILFAQDSGNGLTVGCGGFPCLKELMFRHSRLRWLLFEPGAMPKLQRLSIELFAQKAASNLGFEQSFVHLSSLQHLIVVLDCSDATTRDVKALEDAIRNVASIQTRCLTLEILRRYEDEMVKEDEEEQLKGSTEGGGTEEHHIQ >AMDW01033577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033577.1:21:424:-1 gene:AMDW01033577.1_FG001 transcript:AMDW01033577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLRRDEAMLGMSMKPRRPRAVLLCPTRELTEQVFRVAKSISHHARFRSTMVSGGSRIRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYL >KN540158.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540158.1:52676:53012:-1 gene:KN540158.1_FG001 transcript:KN540158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSLLSPLRRLWCHVNAVQRKKRGIYILYDDVKSCPCEDVHVLWSILVETHGLPPPTPMTTPAPAPALRPTR >AMDW01046523.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01046523.1:3972:4145:-1 gene:AMDW01046523.1_FG002 transcript:AMDW01046523.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DIRKYADEHGYGIAEEAVIQGMNAMSAEFSAARKTFSGEQHGEAGGEIYVPESYTRK >KN542223.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542223.1:13291:16671:-1 gene:KN542223.1_FG002 transcript:KN542223.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LEERSRRSDVWYRAVRDRGPSRAAILRDRAGAARPFCVTELAPAGPAIYRAAALWICGIVPMPHARYAGPLRSRIFIPRDRDVHQSSVAPASPTRGLGIFVQISAMQVEVFSSETGRWVERVFVREEGGEAAATTTVEDMKSWEYTFARPRQGYSVFWKGALYVHSGGHFVTRFSMSSDKYQIIRTPIIIRNNKFVRPYLGKSKMGVSFGFIDDYQLSVWILKESAGQIKWVLNYQHDLWAAINQIDSFDFGGHQINGPWVLEETIPKYRMIENKETLSDKEWDSDNDDFLDTEVDDFLIDTEVDDEGHNEFAYFRILGFHPYKEVIFLEETLRTFAYHLNSSKIQYLGYSCPKYCYGRYTIHESFVYTPGMIGELNGHYAAGQSSPQ >KN542223.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542223.1:9204:11883:1 gene:KN542223.1_FG003 transcript:KN542223.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWHVMDHCDDLLLCAVEWGNRLCVCTPATRRWATLPAARQGPSRYAAAYLAFDPAASPDYEVLLIPNLPEKPSPPYKLMEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYRGPRRGYSVYHHGSLYAHCRGAFVTRYSLANGKYQVIETPINMANYKWEKPYLGKSEMGVLFGMIHGGQLSVWILQESAGQMGWILTYQHDLRPFAKEVSSLRYNGNLTTGSWTVEENSTGMHGNRDTLSAEDFEWDSDNDDFLAVEVRNEEYDDDCEHFDILGFHPYKEVVFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >KN540158.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540158.1:1921:5794:1 gene:KN540158.1_FG002 transcript:KN540158.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDTSSTSSKIPCSDDRCTAALQTGEAVCQTSDNSPCGYTFTYGDGSGTSGYYVSDTICSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSRYFRFSTSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQ >KN540158.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540158.1:35321:38860:-1 gene:KN540158.1_FG003 transcript:KN540158.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAVAAAEEEEALLNISGDGEVFTWGRGTHGQLGHGDVGNIPHPKLVKFFENYMITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRLALGMRHSLVLLKDNSVYGFGSTRRGQIGRCARNQKFYDVPRIIDGFPDCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDYDQPRPVFPSLGISQVALGWHHALVLSESSLSSTLVKVPCVDGEQVVHIAAGTEHSASVTDKGTVFTWGWGEHGQLGLGDTCDQVTPQRVNIGDKRPRSSASVNVYCGSGFTIAVNSGLESD >KN540158.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540158.1:16389:31769:-1 gene:KN540158.1_FG004 transcript:KN540158.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRDRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVHIPKSNGNGEEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMIDGAGVPPNAANLLTNLKLAHLKQPNVKIITSIMVTNKFPLSQLSNQAQLTKEITAQVKLLSDNEDEEAVTDSDSPSHPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKNILDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAGGGESNACHDPTIVPSSKRKDRGYYGDVKNEGFDRPNSNKKSIDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQFLKNVSIQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPPQSLAVRFCKDNGLQGARLVPYPVLYHRMILPFYFRENSI >KN540158.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540158.1:6318:14854:1 gene:KN540158.1_FG005 transcript:KN540158.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRFCSGDLPSFLGTQGFFIHFADWHIEYCMHRVNMLRHHGVKPILVFDGGHLPMKGDQETKRERSRKENLERAKEHESAGNSRAAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFHITRLQRCRELDLNGFTMQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKGHEKVIKHLRYSAVSVPPQYEENFRKAIWAFQFQRVYDPVTEDIVHLSGIPHGKGLASLEAKRKFRAPKVTPKQQVLNGSLPSPRIEDSGTPDSIEDTSLPLNNIQVSQCSSEHFSSGTPLDDSINTGSQCSSERVRCDIPRDDSASVSPQCSHDIGSDPAEDPDIEGNKVKVNFCNRSTIPTGSFLEGTLPGISDPFLDSHNTEPSRAAPRYAEKSNVVSANRNITVRSSYFKTVNKRVCTNQGEDECHDEDNCETGNYTLPGDQQRSSGGILKRRKFSDPQNFEDGMFQPTSPHESPPVADQGCDSDSHDGINTNSGGKFGCNVAHVNKYSGIAEKSMDKFAALISSFRYAGSRASGLRAPLKDVKNTLPVRSVLRPPEQRFGCTAKKTTRVPLQSRFSSDATNSTDVPDLSTFAYRPTTASAHSDQGKITSKATDAAAGPPDLRTFAYAPTRSTTSRFDQSENTRKAMCTADSPPDISTFEYKPMKSAARRSDGSKFSASHLLGSTKPTQFRDELATLNSVQGYSQPFYLVLVVQASEAPNDLRSIAQANPVGENTHDAMKRNASESSAFSSTKVAPEGTYKAREIVAYDDLF >KN540158.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540158.1:44310:46483:1 gene:KN540158.1_FG006 transcript:KN540158.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGSQKGGGGGGGGGEARRINVVYFLSRGGRTDHPHLFRVNHHRAGVRLRDVKRWLSELRGQDMADNFSWSYKRKYKAGYIWQDLMMDDDLITPISDNEQCGKEFKPQELHSHMQSCRVFREKMRSSTSSRVSVDRGRTSAAARPEHRRTRSKGAAAAAPGDTSDRPSAVLLLRDS >KN543167.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543167.1:560:697:1 gene:KN543167.1_FG001 transcript:KN543167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPWGAVAGWVMALIAVELAYAFLFPYSLRYIDDDNMVIDPPV >KN543167.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543167.1:3451:6771:1 gene:KN543167.1_FG002 transcript:KN543167.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCLFASIMPLQPWGAVAGWVMALIAVELAYAFLFPYCLRYFADNHDDKMIRENACNGIHVENLTDEYVSTVEDVNQILMKGLSNRRVGTTSMNLKSSRSHVIFSCVIEAWSKGFSNGFSSSRTSRITFVDLAGPDNDELDGGKKHCTREERYVKKSLSKLGNFDVS >KN541308.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541308.1:6093:9488:1 gene:KN541308.1_FG001 transcript:KN541308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPADVDPRSGYCAATRTFHSLRAPHPLPPSAQPFSFPDLAFSLLPSPLPSGPALLDSATADAVPFPAFLSRVRALAVALRSCLCISRGDVAFVLSPTNLHVPVLYYALMAVGAVVSPANPALTAVEISRLVALSNPAVAFAVSGTAAKLPPGLRTVLLDSPRFLSFLHEQRLGDEYDSPAENKVVIYQSDPAAILAPLAAQTLALDTAARRRFDAGTVLAAVGRFRVTRIAMAPPSVLAIVRAAEDDETAAARTATLQEVYCGGASISPDLIRRFLRKFPRVSLLQGYGLTETTAGFCRAVGVEESRQTGSVGRLSWGAEAKIVDPETGDALPPGVPGELWIRGPFVMKELEHLLQTHPDVVEAAVVPFPDDHAGELPLAFVVRCAGSNLNAEQIKEFVAKQVVHYKRMHHVCFVNTIPKNAGGKILRKELVKLALHRRSNL >KN541308.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541308.1:24851:26249:-1 gene:KN541308.1_FG002 transcript:KN541308.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEKVEAYRRHQRITVEGRDVPKPVHEFHDVGFLVAEAYELEDGQNLKLVTAHRLKVYIEVKRASHKMYMTARWSQFKKATGLQLEESVVFRVLSRSKMHIVIFTKTGYLRCPIPKKAQQLRTK >KN545237.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545237.1:865:1365:-1 gene:KN545237.1_FG001 transcript:KN545237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHELTELLSKSLFLISAGTSDLYRIANTLDSPAPSPSPPDNETDIPHLVASYGELIVRPLHGLGARDYS >AMDW01027320.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027320.1:7:219:-1 gene:AMDW01027320.1_FG001 transcript:AMDW01027320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELLSELVTLLKKSGAKYTILYASQPFGLLENPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFV >KN544803.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544803.1:2228:2597:1 gene:KN544803.1_FG001 transcript:KN544803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKKKHAGIDIFHMDMYQCDLMAHLFAEIGPMRYTEESPRFAMLLDLLEVFSFEVTELTGILRWPIDVFGLISVRDSLDRNRNYIFERTRNNCQTLTAK >AMDW01131837.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01131837.1:50:866:-1 gene:AMDW01131837.1_FG001 transcript:AMDW01131837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLKLRDNQFNGVLPENSREGCNLRSIDVNGNQIEGKLPISLSYCQYLELLDVGNNQIVDSFPFWLGTLPNLRVLVLRSNKLIGTIWGIKGGYQNSDQFTRLQIIDLASNHFSGNIHPEWFEQLQSMMENDNDEGGILQYGIGIDVKGPYQDITTVSYKGGMLTFTKILTTFKLIDLSDNSFGGPIPKSLGKLVSLRGLNLSHNAFTGHVPSQLNSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSYNNLTGRIPQSNQFGSFTNSSFE >AMDW01129084.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01129084.1:188:607:-1 gene:AMDW01129084.1_FG001 transcript:AMDW01129084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAKFVVQIPTFQIFVAQGVSGSFPWSALSFASMWLELIGFSHKGTAFLMTTFWVASSFGGLLGGKMGDFLALRYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGVFISWNGPATNMYAIFL >AMDW01022218.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022218.1:8:262:-1 gene:AMDW01022218.1_FG001 transcript:AMDW01022218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAVSFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLK >KN539056.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539056.1:132899:135829:1 gene:KN539056.1_FG001 transcript:KN539056.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAVLDLALSPSPSSVNAFFFLDIWQNFAMEYRAKFVVWRLAFQVFTDKSLAINCDTGVDNQLNLMITKYHRKGGKIAVGKPEYKTIIEANLGISCLYDEFVMEVMWGLTNLMHSLVPEENSQLSKEDRLQMSQGLKMLLNRYGFDVNKRILEAASDLYDCDDCEKKNNWSLRRAGRNLMDISSINSEDWGLLKLSTALMIVCYPEEKIIACLLKHSTYLLIASYPRREVIECSQEMFSPDVLSKLVTDAPKYDIWIKKRTSKRIHEEMVFLYQ >KN539056.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539056.1:25906:28030:1 gene:KN539056.1_FG002 transcript:KN539056.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSMERSTWAFTFGILGNLISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVALFSCMLWMYYAFVKSGAELLVTINGVGCVIETVYLAMYLAYAPKSARMLTAKMLLGLNIGLFGVIALVTLLLSRGELRVHVLGWICVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFLYGLLKKDVFVALPNVLGFVFGVAQMALYMAYRSKKPLVASSSSAAAAAAGLEIKLPEHVKEVQAVAKGAVAAAPEGRISCGAEVHPIDDVMPPEVVEVKVDDEETNRTDEMAGDGDHAMVRPEQIIKPDMAIVVEV >KN539056.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539056.1:144438:144935:-1 gene:KN539056.1_FG003 transcript:KN539056.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAAFVFVSLALLCDASQGKGGAAAAACRAADLVVRQRATGRVVEGKPEYAVEVANRCRCAQSRVVLRCYGLSSVESVDPRAIRPVDDERCVLRGGRAIRRGAPPVRFTYAWMTPFDFPLVSSQVHC >KN539056.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539056.1:156355:156723:-1 gene:KN539056.1_FG004 transcript:KN539056.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding EQIGVFPVGRVAELGEVAMDGIRAGDWYVTWPSLFRPLQLVACLAPGVLDWACRALYGTRKGGQPLGKRIMEATGMKRLFPEALRRNPAIKTEDEEYCDGEEGYGAADDAAAAYLLQCRKGL >KN539056.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539056.1:10631:11888:1 gene:KN539056.1_FG005 transcript:KN539056.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSRRWDDDKHLYVVLDWDMGYVIHKLDVDEFTDSGAGAAMFHHLPEHAAVRIEAPVDRSFPAVAAVGSKIVIATHALLEDAPVFMENV >KN539056.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539056.1:148324:153335:1 gene:KN539056.1_FG006 transcript:KN539056.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSASDRHRDKEKEKDRRETHRREEKDHHGSGRRDRDRDRESYREKDKDDRREKEKEKERDSERGRGRDRDRGKDRDRGEAERDKERERKDRDKEKSRGRDKSKEEREDKDYRDKSRGKDRGDDSVDLSKGEEGDQKKRVEAAEEAEKPSTTELRERIARSKEERLNDTKQGGILDDNDGDGEILSWVGKSRKLDEKRQAEKEKALHRARALEEQDNILAENDEDDDEEQQEDNRVGEHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINQEADMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPLSKKSILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGFVQKKTEDLTSAAKMSSDYYTPDEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGTGDLGSRKDARRQAAREEEQKADAEKRNYAYQAAIAKAEEASKALRPEKNISGKHAESEELVFGEDYEDLQKSLEQARKLALRKQEETAASGPLAVAELATARIGQKDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDMIMSDTVAKDDTSGLAVVKEETSVEVPVKNEEEEELKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQEQRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGLPPPPPKKPKN >KN539056.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539056.1:493:1973:-1 gene:KN539056.1_FG007 transcript:KN539056.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVLAAGAPATAILAEVDAAVGGGGGGQRFAVIGHRGKGMNALASPDRRMQEVKENSLRSFNEAARFPVDYVEFDVQMPGNFDVCNPNMFRIIKEEQEDTWFVQKHFDTSITFK >KN539056.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539056.1:32452:36223:-1 gene:KN539056.1_FG008 transcript:KN539056.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVEKLSAGAEKARVKSNAILREPSAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMHFGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAAHASRKPGGDQRSKVGENGRVVSRNDEASSSGHKSPNKDREDSPKEPPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAIENGQGSLDSPTENG >KN539056.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539056.1:89564:129716:-1 gene:KN539056.1_FG009 transcript:KN539056.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKDKMELEVEAYLRRRYESDIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRSDLLHVVEKNEEELNAAEAFESIYGVKSLCSDDLVHYDRVERPQDYINCIIDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSSDVFLHRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFKVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSACERLIANLDRDLQYAISVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGVTPSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVRNAGICMRENSFYVDTDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGKPLELDTDGIWCVLPGSFPENFTFKTNAGKKLTISYPCVMLNVDVARNNTNDQYQTLNDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGVLLKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYTAVASVANRWLDLLDNQGIDISDNELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFNPLEKDRGVQNLDGTGDMEDLLTLDGGMRKSHVPNGFGKENKPNDAPSTEAGSKHSKNKQKSITRSNEPLAVHIQNDAADEQVDRSTDYQGWLDAKKRKWKYVREQKKRRRLGAAVTSDGPTNDLFSARNVVIYSFTLMPDKLIYCPHQIIQLASSTLPGHFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTSEEQFRVEGKKLAAHLAEPDVEGIYETKILPELNAILQIGCVCKVDKSAKRRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRGVYVMYFPTSFRIHAVVVNPFRNKELSPSFLEKQYRDACQALGSLHENITFLVDYHTSIDAGSKHVQRMLLEYRQQHPGPVIGVVQCPKLQALKSAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGGPIGSFANDMPAGPNGTETEFDDATLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALMLAEFRKLGANVIFANFSKIIIDTGKVDLSSARAYCDSLLKTLQTRDIFEWVELEPLHYWHSLLFMDQYNYGGIQAKMQNATSEGNSDGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMKEQVALRSTTRDETSCTPSITIMAAENLEVQVIGYLRDQISNYFADKLLRIVSDILHHFKGKGKSESVELTNREPDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRQVKAAHLSEQCSCGGAFRCKEESSQFLSKMRVFLNVAVSQKFELLQDVVQWILERTENAEVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVGILQAEHGLVPLFRILCLNCAIIAGAFHYPVYSLPSVHRLDRLVSGLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDQMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >KN539264.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539264.1:89055:89471:1 gene:KN539264.1_FG001 transcript:KN539264.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEARRPDYVRVANELVDNMTEFDRFVRTPKVYESYLYYEKTLKSLDDVTEFLA >KN539264.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539264.1:42967:45057:-1 gene:KN539264.1_FG002 transcript:KN539264.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLSYIEQHGHGCWRSLPTKAVQAVQSSYGEACQEHHFGGATAEASFAGAGTLAGVLLDCSVTGADQRFAARTEACSGELQGEDDDDKGYWNSILNMVNSSMSSSSSSLTSEVVTDTEMFLPATAAAAASATPVEF >KN539264.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539264.1:89723:93181:-1 gene:KN539264.1_FG003 transcript:KN539264.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPGSGEVQMAAVGGQRTRHRFDDVDPDGGSGEVARARQRGSGRPFPAASRSPVRLIAEFLEASLHVPDLTLAIVLLPCVTADPGRPHASHPIDRDERRTNGCLCCHVVGAVEADEVPAAVELSRGGVPGARGDEAEACSCPLSILLISTCNLLHYQFIKGVSMGDAKIKIIGNRKAQGRRFTTMEAGEEIEDGEPSTPTYKAHHPPPHLPPPMRSSGVSLVLSVADLVLRFVMLALLTASASAAAAIVYLAHRGSARANWLGICQQFTSFCQRITASLVGSFAAAVVLVALVFLSALSLARRA >KN539264.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539264.1:121477:121776:1 gene:KN539264.1_FG004 transcript:KN539264.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPAVCAILRRAPAILSYGIETNLTPKLQFLADRMGMDPAIELAEFPHYFAFSLEGRIRPRHEALKERRVQMSLKDMLTISDDEFRERLVDAALSAPR >KN539264.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539264.1:12917:19588:-1 gene:KN539264.1_FG005 transcript:KN539264.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSTAPKLG >KN539264.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539264.1:94119:95667:-1 gene:KN539264.1_FG006 transcript:KN539264.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHAVCLPFPAQGHITPMMKLAKVLHSRGFHVTFVSTEYNHRRLVRSRGAAAVAGIPGFRFATIPDGLPPSDADATQDPPSLSYSTMTTCLPHFRKLIADLNNRSAPDDDDAAPPVTCVVADHLMGFSLDAAAELGVPCALFWTASACGYMGYRNFRLLIDMGIIPLKGEEQLTNGFMDMAVDWAPGMSKHMRLKDFPTFLRTTDRDDILMTFQLRQVERAEEADAVVLNTFDELERPALDAMRAITPAIYTVGPLAFLTEQIPPGGPLDGISPSLWREDDACLGWLDGRNPRSVVYVNYGSVTVMSGHELEEFAWGLAGSGHDFLWIVRPDVVTRTDAAAAALPREFTEATKGRGLVASWCDQEAVLRHPAVGAFLTHSGWNSTVEALSGGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDSVRREAVEGRIREAMGGGEKGKEMRRRAAEWKEAAARARGRSLANLERLIGDVLLSGKKDRVI >KN539264.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539264.1:4480:5185:1 gene:KN539264.1_FG007 transcript:KN539264.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 11 [Source:Projected from Arabidopsis thaliana (AT1G68610) UniProtKB/Swiss-Prot;Acc:Q9SX24] MYSKPEDVGGGVTTAFAMQGKVPLAAWSTGLFNCFDDCGNCCVTCLCPCITFGQIAEIIDRGSSSCGTSGALYALVMLLTGCNCVYSCFYRAKMRSQYGLQEKPCADCPVHFFCEPCALSQEYRELKKRGFDMNLGWHANMERQGHKPAMTMPPHMFPGMTR >KN539264.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539264.1:52223:53872:-1 gene:KN539264.1_FG008 transcript:KN539264.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVHRHRQMMQQQQEMDLPPGFRFHPTDEELITHYLLRKAADPAGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAAANSKGQFLDTPYLLPAADPADHLAMSSASPFLEALQMQYVQDAAAAAGGAGMVHELLMGGGWYCNKGERERLSGASQDTGLTSSEMLMFGAPNTDTNPVKSHSCLMQNSWYLAADLSE >KN539264.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539264.1:123246:125962:-1 gene:KN539264.1_FG009 transcript:KN539264.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTKRLGITVLIVLFPLLIVHHLIENSPVSGPSRYQVIHSNLLGWLSDSLGNSVAQNPDNTPVEVIPADASASNSSDSGNSSLEGFQWLNTWNHMKQLTNISDGLPHANEAIDNARTAWENLTISVHNSTSKQTEKERQCPYSIRRMNASKPDTGDFTIDIPCGLIVGSSVTIIGTPGSLSGNFRIDLVGTELPGGSGKPIVLHYDVRLTSDELTGGPVIVQNAFTASNGWGYEDRCPCSNCNNATQVDDLERCNSMVGREEKRAINSKQHLNAKKDEHPSTYFPFKQGHLAISTLRIGLEGIHMTVDGKHVTSFPYKAGLEAWFVTEVVVSGDFKLVSAIASGLPTSEDLDNSFDLAMLKSSPIPEGKDVDLLIGIFSTANNFKRRMAIRRTWMQYDAVREGAVVVRFFVGLHTNLIVNKELWNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTGAVSAKYLMKTDDDAFVRVDEIHSSVKQLNVSHGLLYGRINSDSGPHRNPESKWYISPEEWPEEKYPPWAHGPGYVVSQDIAKEINSWYETSHLKMFKLEDVAMGIWIDEMKKGGLPVQYKTDERINSDGCNDGCIVAHYQEPRHMLCMWEKLLRTNQATCCN >KN539264.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539264.1:65671:67932:-1 gene:KN539264.1_FG010 transcript:KN539264.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSGGGGGGLLDLEHHFAFYGAYHSNAKRAPALLDNLVQAFLMAPFFVLLEALHKFVGYEPYPGFHAKVQKLIDEAREEWKDKKAKKMT >KN543070.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543070.1:6056:9736:-1 gene:KN543070.1_FG001 transcript:KN543070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIIVHCLAIDDEILKPLLPSSTPEEEVNVNGASAVIVARADLEEAIAGDLGGRGAKEEVAGAWGRPDPVVSNYLETGFDAKENQTQTVFECSSPLLPFPVSKVWVTRIGKHQEMKINHGFGKVHIAVPVNSGIIRVSSLLPALDQGSDVGCPRPIRQALQQSRELGLSRSYERPLHSVIGTQLLLRNEDGVMLLPALILNL >KN539428.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539428.1:52918:55932:1 gene:KN539428.1_FG001 transcript:KN539428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MAGPTPCTSSPTSTSTTCNASRSAPPLLSPMEFSWARASGINGVFVFLGGWEAKDARPELAAVWRIGQCLWNRDYAGVYAAARGFEWSPEIADFVAAFLESYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNFCFDHCDNAGPCDFDVHNEKLMTIIVLIIITDAMQNGWSLDAAAKMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >KN539428.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539428.1:104:1628:1 gene:KN539428.1_FG002 transcript:KN539428.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNVAEREDTIGSSSYKELHTRFGNSEELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVMLQCSALFCKSHTIHPSYSNQVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLIVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYMVCGLSARAWWNNHRMQQIISVSAWTLAFLTVLLKSLGLSETVFEVTSKDKSMSDDEGNTDGAEPGRFTFDSSPVFIPVTALAMLNIVAITIGAWRVAFGTAEGVPGAPGFGEFMCCGWLVLCFFPFVRGLVWGKGSYGIPWSVKLKASLLVAMFVTFCRRN >KN539428.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539428.1:13659:23515:-1 gene:KN539428.1_FG003 transcript:KN539428.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLYTLVRVARHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMVTEFTLILWSANVVKYIQAGQFVMEYCGEVISWKEAKRRSQAYENQGLTDAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSAPRDNNHTELVAVKRRPTLRGGKAKRGMRKQLNVAGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYATIIKNLASTPLRSKEDAAPKEQNGLMYLENGYISIYSSVRISRVMGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKENKVLVPVTLVVSGITEQWWSGGIVTYCNTVNMLSLDFENFYCLPLSPVATINGNIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLSDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSVCEVLAAEATLLFYERL >KN539428.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539428.1:7781:9959:-1 gene:KN539428.1_FG004 transcript:KN539428.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGPNNLPQGTQETHDHPFTIATNTPLGLTKMGNSDSRKSDENNTSSKPASPVVSSDVVEIKETWENVVAADEEGEGGEDGFASDASESKSKSSSDEVDYELLDLLAGASEGCSGGNNNNEVKNFAYADHKMHVLTERERRKEMKNKFKILHALIPNLPEKGGQKNLVAGAVSVLERHHIDVVTATVSASEQGDNLISLHCHLGPGSSSSQNLTPLDKFKLAMSELMLWVISV >KN539428.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539428.1:84820:85167:1 gene:KN539428.1_FG005 transcript:KN539428.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHVHNIKAVQTWPRVCCNVESVSVGGQGVGEGVAERGAGRPPGDGGLALTPRRWVEAERHRRAAPTGDTVGVTLDEFLGGKGPGVQGGCAGVVDRRERRRPRHVPRSSCR >KN539428.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539428.1:1989:4735:-1 gene:KN539428.1_FG006 transcript:KN539428.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGADLSQDVDESPDHTAVVTTNNLVRSIKAEKSNSSSSSGKPVETNIGLKVASPTVFGFNTTIEGTGKNTAVKREEGEGDGRPGVNSGVSTRDTNGKGKNAMDMEQALHIWTERERRKKMKNMFSTLHGLLPKIPGKVANAIEKFYISLIYQDLTDKATIVGEAIGYIKTLEDVVQKLETIKTERTWSAPNITLTMAGVDAFINMCLPRQRASFTTVAFVLEKHQIDVVTSTISADHDKSLFSVHVRLNEASLQSTEGLTPEAKYKLAVSELMVRLAE >KN539428.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539428.1:40004:45306:1 gene:KN539428.1_FG007 transcript:KN539428.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDNATDEIVIKAMGRAINKTVAIVELLKRRIVGLHQNTSIESIDITDTWEPLEEGLNTLETTRHVSLITITLSKKELDTSSPGYQPPIPADQVRPPTDFDQEAEAVPSGRGRGRGRRGRGRGRGFNNEDYDDEHGDAEVPQAQGYRGRGRGRGRRGSFGPGSRGYGGDGYAMEEAGGYDDGEPNVPPMQGHDVVELDRDSDSGVVVPGRKDVPKSMGSDQVDWSLFASADENMNHVQTTNHIETCESTAKNVKAFSGSSPNNAIILDLYKETELIDDVHMPKLSRKCAELF >AMDW01013204.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013204.1:63:152:1 gene:AMDW01013204.1_FG001 transcript:AMDW01013204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLYGAGASTTAALIEWGMVDLIQNPEAMTK >AMDW01038966.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038966.1:5:834:-1 gene:AMDW01038966.1_FG001 transcript:AMDW01038966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YQHDEGMPIGKSDSQRVGYGETIEADKSSSDTGEVSKMILGKQPPKGLAIKEVRNMFFPYWKSVLSRRLQLKIVPSCQPRRNDLLSAEASRKGTKSIDHPCNPIKSMGNR >AMDW01037576.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037576.1:82:396:1 gene:AMDW01037576.1_FG001 transcript:AMDW01037576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLGDFGLARLYDRGADPLTTHVVGTIGYLAPELGRSSKATPLTDIFAFGIFILEVTCGRRPIMQVPEGEQHVLVDWVLEHWHKGSITEIVDTKLHGNYNVDE >KN538901.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538901.1:61701:63581:1 gene:KN538901.1_FG001 transcript:KN538901.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEYCQANSNITLDYINGILMEEDIDDKISIKKGQDALQATEKPFYDILGKAYPSSSKETAINGDSRADYPDNGISSYHEQACSGSFVSDLLGSQDAHSITTDWSSEFDRLALQFRRGVEEAKRFIPNIEKLVDDPEKNGLYACKQTTETTEQKGKHENKIRNHPHVEDIELMEARNSKHMAISTIETIRDEMFDSILLCNRQLPGEVANLRGKMAKEASDNPKKFQSKGYGKGQRKPHSSKKKQKEAIDLSVLLIQCAQAIASNNHPFASELLRKIRHHALPDGDGSQRLANCFADGLEARLAGTGSQMYEKLMAKQTSTRDMLKAYHLYFVACPFEMVTYYFSNKTIIDALEGKTTLHIVDFGIVFGFQWPCLIQRLAKREGGPPKLRITGVDVPQPGFRPRERIEETGKRLAEYANMFNVPFQYHGIASRWETICIEDLSIDKDEVLIINCMSRMRKLGDETENIDSARDRVLHMMKRMNPQVFILGVVNGLYSSPFFLTRFREVLFHYSSLFDMLDNNVPRNHEARILVEKDLFGNDALNAVACEGAERIERPESYKQWQMRILKAGFKQRPVNQAILNRSVHYKELYHEDFVIDEDSGWLLQGWKGRIIQALSTWKVET >KN538901.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538901.1:114799:117120:1 gene:KN538901.1_FG002 transcript:KN538901.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQVEEGFDLPLFVEEEEAEVEAAGGKPEGPAGEANDVCELDGGEGEVGEEKRIVIQVVRHNRGYTDSMNPHQWIGDGGRFSTTPIEILSPDVGYPINLYGTVIVRDILDFNCITIFRCNRDNCQVVQSENEDLILTGPSRGIVFWGEIFFEINLKIREDEERIDREFSKGLVDMKIYFVESQPKIVSETLESRLSEVELVFNCVKKALEGTVEIKILSDAQVFHGKITACTTNVPNHAVLLYDSDVVGCSTAVGDDRVIQLLRRVVVVSVNEMLILNIHAQNDNVSSGHSLELSPFTRGSDEEEISCGLCKMRVKVVWSTLE >KN538901.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538901.1:40681:41578:-1 gene:KN538901.1_FG003 transcript:KN538901.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSIWMLLLLFGTSAFLVPSLCILSLTFGDAFGARYLLYGAKSFDAITRVNDMVLIGLGYLIGYPIASASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWLKD >KN538901.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538901.1:147656:154557:1 gene:KN538901.1_FG004 transcript:KN538901.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAAVVRSVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVFNFEVYLLKIYLCTHIYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHNFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLRRYLRKRRSRLLPDPDATTAKPSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADRGLVFFDDKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGDASLSSLDERSNARFKRLHQNLVLFMELNICLSCKPGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFMGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGRQFYIE >KN538901.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538901.1:12149:13914:-1 gene:KN538901.1_FG005 transcript:KN538901.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCKMFPDVEATATTKTFVLAAPSNKASSGGMEMAVESGENGGCGCNTCKCGTSCSGCSCCSCN >KN538901.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538901.1:86414:86707:1 gene:KN538901.1_FG006 transcript:KN538901.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLVVLPSPVSGGVAEPKESIVAIDWRLVGAAPFTATRRLTSLHRITRRRRRWCGAVAGGGVGEAEEPVVATNWPLTGAAPFTAAAGKRGSGRRQR >KN538901.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538901.1:119824:121347:-1 gene:KN538901.1_FG007 transcript:KN538901.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKKVYTLEEVAKHNSKDDCWLIIGGKVYNVSKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYFVGDIDTSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRIYTKSESA >KN538901.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538901.1:2355:4151:-1 gene:KN538901.1_FG008 transcript:KN538901.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVLVVLMKGADVYAMNVGDSRAVLAHQAEPDLSHVVLPRASHHDGGDGDLAGVKEAIKRQFDECEMGELAALQLTMDHSTNAYKEVRRIRSEHLDDPGCITNGRVKGCLKVTRAFGAGYLKEPRWNKALLEVFQVDYVGSSPYISCRPYIRHHRLGAKDKFLILSSDGLYDYFTKEEVVAQVEAFTASYPDEDPAKYLSHQILLRAANQAGMGFHELLEIQQGDRRQYHDDVSIIIISLEGKIWRSSQ >KN538901.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538901.1:87576:87806:1 gene:KN538901.1_FG009 transcript:KN538901.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRSAAAEEEAAKRSWPEVVGMTMEEAKAAILKDKPDADIVVLPVGAPVTRDLRPNRVRIFGAATVAETPRVG >KN538901.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538901.1:187210:194765:-1 gene:KN538901.1_FG010 transcript:KN538901.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAVQKVDRCRNVLNRKRQRSEPAAAASAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRGNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGLSHRHGASAVEYAGSRMDGSSQQNSNSSRILCKTDTDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKAKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVISEGSPLDTAIAAKPASTESCGVVLTKKGTSSNTQMANTTNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNAAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNDLSCFPQALNCEHTDDREELLAAVNAARGAIVDAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDCFSPCEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHVPCAASRFLGNELQASAISSNFGLSVDFMNSNNSSVAHQSLSNGFTSSSSFISSSSQSSVHNDNLSDEVNFVYPENGPFDNLIPQTSSLCQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETKKSCFSEPSLWSVLSAPLPSSGTKSTEGGTKWNKSDREREHSRDASAKGSGTKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLARAVESAPTPAMQEPPRPSVPLGAKTTQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >KN538901.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538901.1:68889:69236:1 gene:KN538901.1_FG011 transcript:KN538901.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTITTTTTTTTMEAAAGGAREVQVMKKTCKNLKTKEAAAGGNVAVRKEQVMKTTKSLKKSPPPPSSSPEQVKMPCRSYSAENIKHRLTKTVKEHRARFYIIRRCIQMLICWRDEY >KN538901.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538901.1:80363:80545:-1 gene:KN538901.1_FG012 transcript:KN538901.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQLPMVGGYYGKSARGDSDGRTWLVVGDPTARDGGAVVGSAGDDGVVVSAAQLSFAS >KN538901.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538901.1:123702:125638:-1 gene:KN538901.1_FG013 transcript:KN538901.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding METKVTVGVVLLLLCLCGAACAGRSGGEFSIVGYSEEDLASHDRLIELFEKWVAKYRKAYASFEEKVRRFEVFKDNLNHIDDINKKVTSYWLGLNEFADLTHDEFKATYLGLTPPATRRSNSKHYSSMSDGEVPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCNGGLMDYAFSYIASSGGLRTEEAYPYAMEEGDCDEGKGGDGAAVVTISGYEDVPANDEQALVKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGEQLDHGVTAVGYGTSKGQDYIIVKNSWGPHWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDD >KN538901.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538901.1:173216:184055:1 gene:KN538901.1_FG014 transcript:KN538901.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTERGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEGDDATTALARNGDRMGQNGVDGRLAGTKRRKGGLRSLHFLAAILLKKIGPNGTRYLLGLTITAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVGYILVAGGAIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREAYYIMQRFQALIGHLNRVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIRELLDVSRELSGVRDKSLNHNSSAGNYISEANHIEFSGVKVVTPASNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDDSSFSTEESDYTLLETDRKSDALTVQRAFMGRAKSNASPRSKEHCYTTKVIATSPKLEIEQTIQTHRVPHLRCFPRPLPARVAAMVKILVPKLLDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSSANSFVAPSLRTLTGRLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFSFNLVNYVTRFMHSRLRTHAESIAFFGGGSREKAIVEAKFMKLLNHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILDLHKKFLELSGGINRIFELEELLRVSQRDTFVPSDATSAEETISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVCSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKICSLYNDGNGSSASNLLDDHLKTILVNVRLVYLLEREGWDSASNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >KN538901.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538901.1:37431:39824:1 gene:KN538901.1_FG015 transcript:KN538901.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSSKGKPANARLV >KN538901.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538901.1:155920:157960:-1 gene:KN538901.1_FG016 transcript:KN538901.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHQQQGGSTFMAIPTINFQMYSEIAGDDEWWEYIPCPFCYIEVEVPFLCDHLQEEHCFDMKNAVCPICADNLDKDTDEHFRVQHSHLLKRRKSSSSSCKPSSAAADKGSYEEDTYFEAPSHCMGRPAPDSSPDPLLSQFICCSLAPPVDSSRRSEAGFAAEGHGSSSSDDQKRREQGVMDDASKEELEERLQRIEFVKQMLMTTIAY >KN538901.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538901.1:135890:140260:-1 gene:KN538901.1_FG017 transcript:KN538901.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MPPTPPPELDLLDTEPEFAEVDPTARYGRKGNLHDDYQLDRIISYYHHVFVVLSSYKAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASDGAGSSNSSMGYKYDRDASSIAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDEISELCNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGDNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQQELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >KN538901.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538901.1:167028:168126:-1 gene:KN538901.1_FG018 transcript:KN538901.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKKWTDIIAQQWVTCLRILL >KN538901.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538901.1:15813:18587:-1 gene:KN538901.1_FG019 transcript:KN538901.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNSHRDKIIKDAITGGAVATAVEFINYLT >KN538901.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538901.1:49920:55361:-1 gene:KN538901.1_FG020 transcript:KN538901.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKSPVAKEEDKEGLFASCSFTDLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVATSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWLVPGYIMGGENRAKEKARLRKGISILIATPGRLLDHLQHTSSFVYSNLCWIVFDEADSILELGFGKALEDILEHLGSRNDASNQNKNKMEPMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLDEQNSSAHGKNHTSLLSDDEEEILEKRNVIVEQAVDDFKLPAQLVQRYVKVSCGSRLAILLTVLKSLFERQLSHKVVVFLSTCDSVDFHHTVLSQLEWSPGLQLDTDKKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLELHGASLTEYPLQKVLDSFPVNGQRLHKRKQISLDMHPWIMSLQRTLESFVASEDTTKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPAKRRKISAKR >KN538901.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538901.1:64140:66201:-1 gene:KN538901.1_FG021 transcript:KN538901.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAATMPFAGPRLRPPCNLTASRPGPRRTGLAVVSAAAGGSPPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDDIDVLIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSRF >KN538901.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538901.1:77860:78303:-1 gene:KN538901.1_FG022 transcript:KN538901.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAARPRPSNAATEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSRSTERSAIRRIFRNVFSCFGRSHSSNSQASSSHSRPELNDASDRSAVFSHGSRSRSTSWRLEDGHADAMVQR >KN538901.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538901.1:74392:74793:-1 gene:KN538901.1_FG023 transcript:KN538901.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTAASVVNNAATGGVLLLPRALGRSRSSWSCCNNNNSMGRRRPRWRAAAVGEVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFERKGGATLRANAARKDLPQIVCPNCNGLGKLGQIDK >KN538901.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538901.1:106175:111644:-1 gene:KN538901.1_FG024 transcript:KN538901.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQMQQLAMDETTCRRLGILTIINDHGHAPGANSTSIAKCTDFELDELHSWIQQNEEDSSRCKSVPPLTIIKFPVVLENQWGWDRLIPFEHDVDSFDDMPLYETYLDKFFHVNANKIADAASHPHFNAVALQCLRKEKTLISEWTKIVKVRVISSAVERSNDIQQCMIDSLDAGPRRPFVTYAAACITKEAELMIEWLRQRKCIPPHLYQYSLEIWRLSLNLAMFEGDWTIHTAAILLGMIMEAGLLLENARLGNLNYAEASARIRECGINFLMLKVSEIRWNARTHVSDDDDDVTADQVNQPTSASTKNVDFGIFVDTKKQLDNEYEISEIPPPIRVLGEGQNPCMAHTSNLSLSSPLSIDRSISTVVRASAAAEEERKRQRRREESLKPRRPRAPSFLDTPEMRERSARSGALAAKYWEHDPRTGISYYTRACFCDLDHETQYGPMRFTDSIITEDHVLTGSLNVLSMKVKSSDVGYPINLYGTVIVRDGLDFNCIFIFRRNRNNCQVIQSENENIILTGPTRGIVFHDIFFEINLKSKENEECNDKGFSKGLLEMKFHTRRSKIVSETLESRLSEVELVSACVKKALEGTVEITILSGPKVFHGKITACTTDVPNDIVLYDSNVGGATAVGDDRVMQLLRRVVAVSVDEMLTHFENIHQNDNVSSHTLRFTPFTRGADEEVIRCGPYKMQVKVVWSILMD >KN538901.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538901.1:42947:49316:1 gene:KN538901.1_FG025 transcript:KN538901.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVKLPEICHFITNFIHIKAGKESKYQLAKETISSTAAINEKLEGMGTDQRNKRDHHAAVISNHLEAVEALEAKFIEDGTRMKKIEEAVIWYSKFLGFQVVGGEGVKFIFNKIDLQSPDKEYSITLKLAKDRYNLLQCDPSIKDSEELMKDLNLTNDLFKFVRIVRQRFQAEAATVNGILPMSSVVCPDASSIPVSPPMLMPLDSRTENVPDKSLSQRNQDQLLDAVHWIRQVLGLACGLLWGAIPLVGAIWIALFVTISTGLVYWYYAYLLKIDEEEFGGHGALLQEGMFASFTLFLLSWTLIYSLVHF >KN538901.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538901.1:19334:19681:1 gene:KN538901.1_FG026 transcript:KN538901.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGEEEDKARMEAVADKLQTRDAIRLYNWVSHRCFSDCVTTFYRRTLGKKEEDCVRSCVRKFLLLSSASAARFAHLADPSSAAFDD >KN538901.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538901.1:127816:133598:-1 gene:KN538901.1_FG027 transcript:KN538901.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHVVVQQRSGDQPAPSCDIAADEIPVNGHKPGRAVTASVYRAKIAGHSRVLTVSWSRDMLSHSFAVSVTGVDGASAECRINIQLNLCLVQECDLIGGDVAAMYDNKLTVIWRRSQKIKMVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVADMIRSKISEFRDQNSYEKPS >KN538901.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538901.1:57655:59865:1 gene:KN538901.1_FG028 transcript:KN538901.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELPFDNQYTTTETEQPHDGSSCSSQQQLSLYNYSPSDPQLFSQPTTGSRTYLSGAVTIPGICNDKSNLGQPQFQIGAGVSLEYQRIRSSDALCYISRMLMEDVDERVDLYQGEAALQAAEKPFYDILGQVYPPSLNRLELHSANEPDTPDESSSRNNYHNRYHSSHSNFNMLQPSPAPLSPYSYGRSLFLPNQNLVSTAWTSTFGIPGFQIRRGAEEAKRFVPIIDKLVIDLDTERGLSISKMTMKAKVGDKKRYAIFEVTDQRHSPYTTDLDILEGRNSKRYSITYCEIIRNDMFDRVLLCYGVENFAEASNLRRIMMKQASKNSLNGQTRGSAQQKLRGKKQLKKDVVDLRNLLIHCAQAVAADNRISASELVKKIRQHSSPDGDSNQRLAFYLVDGLEARLAGIGSQVYRKLMASRTSAESLLKAYSLYLSACPFERASFAYANRTILDASKGQQPRKVHIVHFGICAGFQWPSLIQRLANEEGGPPKLRITGIDMPQPGFHPCEIIEETGKRLADYANLFKVPFQYQGIASRWETVQIEDLNIDKDEVLIVNCMFRMKNLGDEMVSMNSARDRVLKIMRMMNPRVFILGIVNGSYSSPFFITRFKEVLFHFSSLFDMIDANVPRDNEARKMIERGLFGQEALNIIACEGAERTERPESYKQWQARCLKAGFKQLPVDPDTLKKIINMKKGIYHEDFVADEDGGWLLQGWKGRVIYAISTWKPNESYLDQ >KN538901.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538901.1:100881:105015:1 gene:KN538901.1_FG029 transcript:KN538901.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGADRNSSDFLDSSRPQYALHRETSRAMGGLQSDSNVQSLAEVQNNDSSAHTYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNNGGSSSFRRSSSAIGESDNLIAALSGMNLSSSGAASGQQTVTQSELYQDVDNVRKFLFDRQGDQSNGNQQHSYTKHPEQGHFKAPDSYSANSPNSSMIRNQINAASFTSFDNLSAGSGFASPRIGSRSPGGTLSSRQNLVGGSNFLNYNGIGSPNAATSLQTAIDPSYIQYLQAAEIAAQLAASCDDPLMASGHLGSSYMDLLGPQKAYASPLLQSQKNCGYYGNLGFGLGYSGSPLMSPVLPSSPAAPGSPLRHGERSMRMQSGIRNFGGSFGSWNPDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPTQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQREAILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGGINNV >KN538901.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538901.1:21466:28589:-1 gene:KN538901.1_FG030 transcript:KN538901.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMEQGLRPVLQVTDVRPAAGRYLVALSDGAKSGQGVLVASMAHLVRARAIRAGTIIRVLDYLCIDTRVIIVEQLEILQINCALIGTSNTHGRPLSLTPIAALSPYKCKWTIKARVTAKSGLQHLSNDRGEAKFFDFDLLDEQGGEMLAKCFSSAAEKFYGLIEVDKVYLISRGLVKPAQEPFNSDYELALDASASVEEMLLLLLYQTFDFAVVDVRPVTTKNAPPTPKPAERFRMMLSDGVNTQQSMLATALNPLVKDATLRPGTVVQLTDFMCNTIQGKRIIIVVKLDVLQNDCVVIGNPKHYEPKSLTKEQDPNLQASVAQTNNGTYSGGASMLGPSVAPRSEQAASNSSYGGPYNSAQGMLGSSIGRTVEPGPANVSAVGSYGAISAQNTMNANMMQPTSQLNIMNANTMQPTSQLNTMNANTMQPTSQLSSLNPNQNQRFAAPASGGVFGPPGNAYGQPSRPSYQQPPPVYMNRGPASRNDSATRIIPITALNPYQPKWTIKARVTAKSDIRHWSNARSSGTVFSFDLLDAQGGEIRAQCWKESADRFFGQIEVGRVYLISRGSLKPAQKKYNTLNHDYEITLDIGLSTVEVCSDDDNSIPRLQYNFRQISELENMANETIVDLLGVVTSVSPSATIMRKIGTETRKRSIQLKDLSGRSIEVTLWGNFCDAEGQQLQLQCDSGSNPIIAFKGARVGDFNGKSVSTIGSTQLIINPDFPEVERLRQWYMTEGKTAPCISLSREMLNMGRTDARKTIAQIKDENLGRLEKPDWITVKAAISHVTTESFCYPACPKLLPVGRQCNKKAINNGDGMWHCDRCDESFQNPEYRYMLRFQIQDHTGSTYASAFDEAGEQIFGRKAEELFSIRNVDQDDAQFAEIIEGVRWHLYLFKLKVKEETYNDEQSLKCTAVKVEKLDPSKESNVLLGAIDNLLLDPKGQSDLAPNAGFTEPVGGHGAPTSSNAYAMNTGGVNQFGQQASISAGMSTPLAATRNLQTCSICGANGHSAQNCHVGADMDMQETSAGGSSMGNYNSIAGNGSSECYKCKQPGHYARDCPGQSTGGLECFKCKQPGHFSRDCPVQSTGAQHQTYGNNVAASRGYNRQSFVGGY >KN539695.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539695.1:19260:37834:-1 gene:KN539695.1_FG001 transcript:KN539695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSTRRSPSRETFLRRVNSFGNALPAKPKDEDLTLFADMQKIENDNFLLEPSEDFDESISKLSYFPDVKKAMICLTLMVTKMIMSVYPWPIATINLYQVDCSLKLICARLLTPPDTPLFRSLDDEEEQSAGQDSRGRTKSKPMRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPLALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKFQGWHSNVPGFSHDAPANLRTSLPDRPVSHSRGGSPSPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYSEMATCTRCGNAFKVMDVDRQGDYCEECGLLLSLCSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKTCSPLQSMVDTNEEMLLAHEVMNHTKNIKPYHVDDSLRNNNDISFHSFKVSDNQQASAEHEHFRDQINSHSESLPQCLPELNRQHNDSISQTASGDNYQLGSAAYASPKVENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDIIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDAESPEAVEGSRKQIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIIDGAFRFLDFVCAMLMRHRMGSLCFGLTLYVEFLVKLLNFAAKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPISISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRAGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDRFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRAADQISCGFASSSEVGDGEMATCTRCGKVFNVMYLSGGNYCEECNFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRHPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYELFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISILLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSLMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIATVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTQEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKEKMACDDNLGIDMCSSYTEVPSDMPESPAADGSFIEKTENDSQEVPAITDYSVVTPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >KN539695.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539695.1:87261:88323:1 gene:KN539695.1_FG002 transcript:KN539695.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVPSVGAPDPAVSCSGNACRFGLEKSGRYLLPLLFTCRPAASMMQLNLCKRLYSMNIKAEQRRKDMDYCCWKT >KN539695.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539695.1:67795:79360:-1 gene:KN539695.1_FG003 transcript:KN539695.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLAAKLGFSHHQSKVQILENVGGIIKPSRITLLLGPPGCGKTTLLKALAGRLNKSLKETGEIEYNGVKLDEFVPAKTSAYVSQYDLHVADMTVRETLDFSARFQGVGSRAEIMKEVIKREKEAGIIPDPDIDAYMKIMGLDKCADVKVGNAMRRGISGGEMKRLTTGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGPKNLIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSRSEQWYNFITVDQFCDKFKASQVGQSLAEDLSKLYEKSKANKNALSCSIYSLSKWHLLKACFDRELLLMKRNAFLHITKAVQLGLLAIITGTVFFRTHKNFDIVSANYYMGSLFYALILLMVNGIPELVMSISRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVAALSWTSISYYLIGYTPEAPRYFRQLLVLFLVHTGALSLYRCVGSYCQTIAVGPIAATMSLLVILLFGGFLIPRPSMPNWLKWGFWLSPLSYAEIGLTGNEFLAPRWLKITISGITIGRRILIDRGLDFSVYFYWISVAALIGFILLYNIGFAIGLTIKQSPGASQAIISNDKIRICHGRDQEKSKDIKIGMRRMALPFTPLTISFRDVNYYVDTPPEMRKKGYMGRKLQLLRNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFSRISGYCEQNDVHSPQITVEESVAYSAWLRLPAEIDTKTRKEFVDEVLEIIELDEIRDALVGTPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARASAIAMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCKVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQIYTGSSICKDKDELIKGFSMPPPGTSDLHFPTRFPQKFLEQFKACLWKQFLSHWRTPSYNLVRIVFMAFSSIIFSVLYWQQGNIRHINDQQGLFTILGCMYGITIFTGINNSQSAMPFVAVERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLMLALLFMLIAYPTIGYAWTAAKFCWFFYTMFWTLLYFVYFGMLIVSITPNLQVASIYASSFYMTQHLLSGFVVPPSQIPNWWIWLYYISPMSWTLNLLFTTQFGFEDSSNILVFGETKPIAAFVRDYFGFHRELLPLSAIILAAYPVLFAILYGYSISRFNFQKR >AMDW01006301.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006301.1:18:212:-1 gene:AMDW01006301.1_FG001 transcript:AMDW01006301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DQGVVDESVRKLEKVLEVYEARLSGSRYLAGDRISLADLSHFSFMRYFMATEYAGVVDAYPHVKA >KN543875.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543875.1:71:643:-1 gene:KN543875.1_FG001 transcript:KN543875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSSPPSATTMRAVQYDGYGGGAEGLKHVEVPIPTPKKGEVLIKMEAASINPIDWKIQSGMLRPFLPWKFPSIPACDLAGEVAAVGGGVSGFELGDKVIAINFP >KN541152.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541152.1:934:2500:-1 gene:KN541152.1_FG001 transcript:KN541152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLFRGQLLHLWPTHLLRLGSRAAAAAPSSSPATGTTISGTLLLCGSCLSYALWFIVQAKLAKVFPSKYWATVLTCLSGSLQALVAGVLTTGDWSEWKLSWDLRLLAVAYSGVFNTGITFVLISVIGALLIIVGLYAFLWGKGQELQLKAAQGVKQERHRAAGDDDPEI >KN541152.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541152.1:15098:27302:-1 gene:KN541152.1_FG002 transcript:KN541152.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKTESQEDSEFNQCNEERSLCLHSFSDLSHVSAATFMYLLKDCYLYGTDKATPKFKILQLQVKRALHNDPQPGPFTYVVQCMYIVPLLGKTHAEGFSHMLISSLRHLKSVESVQKDFLDAKHLAARLILDIVASIVPHEERILIKLLEAYDIELRDMADALYGSELGDEDLVKAKEHLKQYVQCLMESESYVTAVNLITRFSIQCYDESFLTKLIENNHLEAAEKWAVFMGNEMICLIIQTYLDIKMLKRANELVKQHDLTEKFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCQRYSLEGYVNSLGKHKHQRYLSYINSCSPAVPEEICCGSDYLDLKELILEDIIWVDEIDGLLNAISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKSYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIMNVNPALLKGTHFLHLRECVVAATFVCPLDVIKTRFQVHGWPKLATGTIGGSVIIGSLQQIAQREGFRGMYRGLSPTILALLPNWAVYFTVYEQLKSLLSSNDGSHHLSLGANVIAASCAGGATTIATNPLWVVKTRFQTQGIRAGVIPYKGTLAALKRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTLTYPHEVVRSRLQEQGAHSKARYTGVMDCIRKVYHIEGLTGFYRGCATNLLRTTPAAVITFTSFEMIHRFLIDVFPPLPEQQPQPLKH >KN540630.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540630.1:1915:4943:-1 gene:KN540630.1_FG001 transcript:KN540630.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAAAAVLPVGFRFRPTDEELVRHYLKGKIAGRSHPDLLLIPDVDLSTCEPWDLPAMSVIKSDDPEWFFFAPRDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGPLIGIKKTLVFHRGRAPRGLRTAWIMHEYRTTEPHFQSGKNGSFVLYRLFNKHEQDDTHTPASNLDQQLHSMSSQGNPQNGTPAVQPALASIMKVHQTLPSSGFSQLTEIQDASSSVHDKEQTVAHDDAFLDVLSQLPDLQPEQRYNGFPNITSPIRPYSDHPFVGNLGEQDLSAHFGSTLSEQDLQSLLFSPNYTKMDKHPTGNIESNPTASSSNPNNNTLLMDSWRKNDLYQMLLIQRADNTDATCCSSSINAPQTETSDANLEARGQSSSMVYSGVAEGSPLCNQDQLHSAFNPHMESQKSGAFCWAGLRTPYPQHWFDTMVEPGRSGMTFSDALKEQGQEQAPSMRHLTAQDLVDPQQGTAARRIRLVCSVERASVSQPVSSHLQSEYEAGSCCNTRNSSNNKKESVRSEDEAGSCCNTGSSSNNHSEENDNAASQIMVLLQFIDGEPMHIQCKEDTPIQVDHSVEVMDKLQGFSFHEEMLVHANQPRGTNLKQRMLRVESRNSNENNAPSLETRGQQHAPHIQIWTSSVVRLGWGWQWPALFVMAGSLLLLVGVWKSLNHTT >KN540630.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540630.1:13467:21424:1 gene:KN540630.1_FG002 transcript:KN540630.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRAPPPAAADGSIEENAMAILDTAGIKDARDLHDDRCAFLETVRSACLAADSPSPPSWRMYNAVFQILQDSSSLELTMASLHLLMELGKQYPRAYLTDSGSGQALVAVKEAWSPFHLRSDVGCGEIGGNNRHLDHLFDSSRFSSLIEDMVETANDTDANNGIEHIKNMVLLEYLVSTLEADFVPRHIAYKEKDILTNSSDFVTESLDWVIFRESLLQMLLGVEDGISSKEGSAKSAPDLESSLAIISFEFERKALASVQKLFTMVMNLDLIRKEADTMGLTSRADGCRNPILDVILDELTYNISYLSPFLLIFVEWKWKLEIILQYFSKYCGKPAVRTRRSDNSQHDLTLENVLTLFSTAATTKAIVKKMSSEVVQLLLANAYQVCLHLECDSSKDSDTTKKIGATLLQISESFVSAFHNMRKINPDMQLSPFEKEALFTAASMARVLKNKQR >KN540630.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540630.1:10040:10942:-1 gene:KN540630.1_FG003 transcript:KN540630.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIYGWVVDSNVCGFPLANSIGGDKVEGGKLMTMGRRVGKIALALSNMLCEDMKAGPDRVLVLYDQTS >KN540630.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540630.1:7204:9125:-1 gene:KN540630.1_FG004 transcript:KN540630.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCESPPPPRSASSLLLATGQRRRTTGPAHHRSSAEWFHVESWFCSMLPRRICGPSVSMPQPGCLEDTLDYGRMALQVSIVDKDRFEAMQRSRLFIILMRPLAMLISFVSSSKKYHSNLLEQYGDIKFCVSPGLEMAGRQDGNWY >KN540630.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540630.1:37069:39150:1 gene:KN540630.1_FG005 transcript:KN540630.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLEEKTLATKSDKNRILADLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQFVSSEILLPDIVHPHSIIYSMDQAGATFRLDAVWVTKFAGSEIFSSVLLEYYL >AMDW01024142.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024142.1:81:239:-1 gene:AMDW01024142.1_FG001 transcript:AMDW01024142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPPEGSGGGGNNNGKWKGKEKVVPEYGKNRHDMPVGYYFVPKDLELFAIL >AMDW01082836.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082836.1:33:89:-1 gene:AMDW01082836.1_FG001 transcript:AMDW01082836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGVVCLLGLLMLMQVK >KN542936.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542936.1:4227:4605:-1 gene:KN542936.1_FG001 transcript:KN542936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQRGSSSALSIWKVLLIVLALICALRPAEGGRGVSVGYPGPRPNKPDSYYTGGRGCSVFDECDAPPAPGSSK >KN542936.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542936.1:8987:10000:-1 gene:KN542936.1_FG002 transcript:KN542936.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQSRSPCSSSSSAAVVSLCKVLLMVLALICTLETVSVEGGRVAAAALVGGGVPLNPRYPAIPEKPYIGRRGDPYTGRGGEPYTRPGRGCTVAYGCYGGPPAAKP >AMDW01038007.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038007.1:234:758:1 gene:AMDW01038007.1_FG001 transcript:AMDW01038007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHLVFLLLAAMSAAVESITSTAVRTGCQERCGGVDIPYPFGIGPGCSRHGFELSCVSNGSGAGPIAVLAGTSIQVTRLSVEPAESQVMLPVGWQCYNTSQPTRTYPDWSPAKTEMNRGGVYRISNTHNMLVVLGCNTVGYTQSLRSEGGAYSSTYYTGCMSYCNNSASAQDG >KN540538.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540538.1:38849:39286:1 gene:KN540538.1_FG001 transcript:KN540538.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METKHVAKHKPNHEIKANRKKIDRQSSGQAGPEIETQPRHQRNRKKQGRIAGQLMMKTKKKNTIHNQNQQMNHESPFLQSIHPSIQTDHSEALTSSHMPMHRSVSPSLSPKLLDQQQQRETKRKREKMRDGDGEEGEEASKGEKG >KN540538.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540538.1:911:5357:-1 gene:KN540538.1_FG002 transcript:KN540538.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPWLRIRLLPEGGKFVLRIEDTDLERSTKKSEEAVLSDLAWLGLDWDEGPDVGGEFGPYRQSERNSMYKQYAEKLMESGAVYRCFCTSEELEQMKETAKQMQLPPVYMGKWGTASDAEIQQELEKGTPYTYRFRVPKEGSLKINDLIRGEALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRSFPPDVLIKSFEDRWKDTGILQESESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKSVVQDKLSEVASGLISAYDSGELCQALAEGRDGWQKWVKIFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGTVVLIHKAGTCGAVTQQSGFVNLDERFRILKEVQWESLVQEQESPAETAVPASP >KN540538.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540538.1:23461:23916:1 gene:KN540538.1_FG003 transcript:KN540538.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAKDVPRTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFADEVFKFKHDSPGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVEGMDVVKAIEKVGSRGGSTAKPVVIADCGQLS >KN540538.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540538.1:14551:20549:-1 gene:KN540538.1_FG004 transcript:KN540538.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYMYLNIQWLYSQNLRWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSNKTVRGFDIGTGANCIYPLLGASLLSWSFVGSDVTDVALEWAKKNVESNPQLAALIEIRNANKMSCSSESEAVDGEAARENTLKPVDGVLRSKPSILLGVVKDSESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGEQAFITRIIEDSVSLKNSFRWFTSMVGRKANLKILVSKVREAGVSVVKTTEFVQGQTARWGLAWSFIAPRKMGLRREYGAFQVLKSAESFFHASNLSCKTDSSLFTIDVTLSDEQAQAAMLHDDSGSVEGNSTKLHSGVTGTSFRISVFEQMPGTLLVRGSLLNKALSGTIDALREFGWYLLIDILTTRGHIKDGIPQQGKEFLSPIFCLLVWAFTPGQASYTLSRCLVTSNVKCCLRCLSEIGKRLAV >AMDW01031396.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031396.1:101:328:-1 gene:AMDW01031396.1_FG001 transcript:AMDW01031396.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPKKAKEFLHLLQRSRRHSAIVEYVFSGHRFKVTIPKETCTIAFALSGVRCPGRDEPYSDEAITMMRRRILQRNVE >KN539207.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539207.1:68552:69788:1 gene:KN539207.1_FG001 transcript:KN539207.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRHGAAKRAPETKPGIFQLDDIPENAVFMIHQLLSLRDAARASLLTRKWLRVWRFYPNLEFTTKALGLKKRIHKVQRRAKFVSCVNTIIRHHAGTGVKSFIIKKNLNNQKYTHYLDRWMYFAVSSGAKELTLDLRPQRFIHYRNIQYNFPSSNFATPMPTSIEHLKLLFCYLRPSPTFFGLSNLKTLELSFVRITKEDLESLLSYTFSLQELKLSQCPNIDHLRIPDVPSKLNYLDIDLCWIRALEIHIQNLVVFNYHGSVRFRIIQGEGSLFKEARFQFSCGDAIEYAITEMAPALPNLETLFLIGFSKMVIPTRDPRHRFHCLKHLQLKMIMLSKKYNNLCLVSFLD >KN540538.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540538.1:42673:45702:1 gene:KN540538.1_FG005 transcript:KN540538.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIITRALILILGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQHFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYCHTRFYEILQYIASQSEAQRSRPQAQQHQQRPPPPRTRQVNPAPPPVPSPSAPPLPPQPPPRNQAQADKAPIPVAPPGAAVPPAQPQPQPPQAGAEAVTTESTEATQATNPPATTASNTHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >AMDW01076864.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076864.1:84:329:-1 gene:AMDW01076864.1_FG001 transcript:AMDW01076864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QMGHPQAGPGDWDDLIQQQNAANEQVEDAWGQDHPMGQIMEVNPDGLIDLAAASPGKENVVVPFVPDKDKGKKVLESDQDA >KN540538.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540538.1:11670:12639:-1 gene:KN540538.1_FG006 transcript:KN540538.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHRGTVKWFNDTKGFGFISPDDGSEDLFVHQSSIKADGFRSLAEGEQVKKT >KN540538.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540538.1:30000:36343:-1 gene:KN540538.1_FG007 transcript:KN540538.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGEEGGSDGDYDDTVLSSLTTASFRSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRASQTVSTSPRHVKKRRHLSAISSQTFLMDRETRCNAIPQLANHFSKEEEIVVDALLSLSQIPHLCELSSDRGMTEDDLDLNVTSVSYSAGATKVDEKISALPTAGTEVANQPALDEPVERTGNVSQINHVPCGGTCSNTNPTLSNDGQIHDISLGIVTNLPSPSKDYNNSRKQLKVQFDNSTIYPTKTEAPRCLANSKKPDILEHDRKNVKNNTAQEIVPPVQTSKPCASHRPSSNTLASCNNTATETVKGTGEHEDLSLVNKNGTPSKTWKRSITHVYMCHLIQMHLDKEKASQSRVKPEEVCHSHISRSPNGSTISKNGAQDEKFYAMHFDVRLPVQPSSSVCDTTIARQKMVSGNFLNLPTSAALSGVQHVQYLHPPIAPRGAMPYPIQHLPYSRGNLTHTALLLQQWVEEQRAVVASLSQENSHLSKQIEEYRVRLQSSRSTNDGLKQMQRKHEKGDKG >KN540939.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540939.1:2215:3447:-1 gene:KN540939.1_FG001 transcript:KN540939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVEKYLSVKRMELQPELSLGPTWPAPGFVSSTTKSTKSSSSESDGSSRKKRKHFTWEEPVSHANLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKSWIRPKEQSVNLELNISTTQPTVVVVPTIIDGGSTGAAATPVAVVAEETKKGGTIVSSGPGGNMVAVPCVNCHLLVMLCKSSPSCPNCKFVQPLAPPPPAMPHRKLDAVKPLETLSLLH >KN539207.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539207.1:41007:41705:-1 gene:KN539207.1_FG002 transcript:KN539207.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPALPPPPRLVDYISSLQGLVLLGDLADLEPDKSVVVVVSGCYPLEDAPTLFDDLSARETWCVIGMAELGTLRARAIGLLQRREDLRAFVRDIGHDLLLCGTEPVEVEGVLARALKLPAGMDAPPGISLDLVGDADEGRRFLRRDIARARLELAALAGHTERHILRRLGRELALRASTGVVAPQELWPDIQEQRLRHAPPDSTSDAALYAEAAEEAAWAAPAGTPPDSDA >KN539207.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539207.1:87091:93043:1 gene:KN539207.1_FG003 transcript:KN539207.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPAVEARFADLCKVVELGVEEGVAGEAAAVLEEGKGALLASPSFGSRSPEDAEKLCFAFVLYCASKLKETKPGSSGVRLWEILKGCKLKFDDFLKESQQLASKIDQVLGSRYGSDWEARLELKQLEILVNLLADASRFYCKAFNELFLSSSTDQEPGSTTNIPDYIRFGWLLFLMLRSKSPELFKDLVSCIHGLVAVLAILLIHVPAKFRSFTIEDGLMYFKDLVDDGIFQSNLEKLEKLSNTTDSEGELNLEMFLTSNDYILSAENSSGGSTNLGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGLIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEGFLSSCDTDLTSDVIKRVSIILEAIFPTKSVDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLAPEINSLGLLAEPMPSLDGIVARQNINPEGLPPTPSKKRPSAGPDGNCDLQSPKRLCTESRNSLVERNLQTPPPKQSQTGLSSLKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEDLTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKEYTHFFLALDPVVSHNYEMGLGSRHVDIIVFYNQVFVPTVKSFLVALMPSSTRPEDKKNTNSQIPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDALLSPSSRSFYACIGESTQAFQSPSKDLAAINSRLNN >KN540939.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540939.1:24899:25971:1 gene:KN540939.1_FG002 transcript:KN540939.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSGGWRRLRQRRGFKPRCRTPGNVLTNKEVLHLHIVLHVAVHRGYGGVRWNSVVRCGGIYASKFVTFKGNIHASKFVIFEKAYVGG >KN540939.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540939.1:22074:22613:-1 gene:KN540939.1_FG003 transcript:KN540939.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLEERKGWLLSFFNLAGATHFPTSLPPERGVRVRVSARRALGLPPLLRSLEEATRRWLARILAPAIVARLDLEASVGGSGGATGAVVVVAVVSMGEMVAGLLDDALGAPESVEAAPGERDGNCGAVEMAEAADGGDGGLICIDQLGGMLGALSNAEMATRARAVLAGDGGRAGGWLA >KN539207.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539207.1:119009:122282:-1 gene:KN539207.1_FG004 transcript:KN539207.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVRWLPFKKTHLARSNASDASSPTSAAAATAVTTHRLYQVWRGRNDVIVLVMTSGRDPGIIPRNVRPPEPEDIGVSSPAFGGGGGGGSLPPTRDVYVNGVVVKVKYCHTCLLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFVFCWVNLAMTARQFGCSMGRAVVESPVSGILIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPHNRGVAKNVAEIFLSPIPPSRNDFRSRVAVEHYYAAGAGAASGQYFYSYSIGPLSSESKAASFNTRGSLSFDMATASFDLGGVGGGGGYSAKRTSVDIHQQQNLGTCWSSSIPAVYLRTWGDG >KN540939.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540939.1:12106:12610:-1 gene:KN540939.1_FG004 transcript:KN540939.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVAGLLDDALGAPESVEAAPGERDGNCGAVEMAEAADGGDGGLICIDQLGGMLGALSNAEMATRARAVLAGDGGRAGEVGDVGGGYPIRLCLSFNGALGAPVIAMMTWTRASMADEAKVGGRKARPQCDLVMS >KN541293.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541293.1:5503:10854:1 gene:KN541293.1_FG001 transcript:KN541293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGCLVVVVSSNQIPTNRYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRLVASFSWHKFVGCFLLGSCTNSGNLSRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLVVQRSKILPFDVGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDGRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >KN539207.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539207.1:38389:40476:1 gene:KN539207.1_FG005 transcript:KN539207.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPPAMNMACARQGRLRQRYEGCYRLVSGCIPYMIKEDGENSSSSLQDDDHVLERLQVLMISTPKRSDLIFPKGGWEDDESIGEAACREAFEEAGVKGVLSGTPLGEWIFKSKSKQNSCGLQGACKGYMFALQVTELLESWPEQTTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFAAGDDVSASPSPELDSSAGLYMVMPPVAEGAVALC >KN539207.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539207.1:98254:104976:1 gene:KN539207.1_FG006 transcript:KN539207.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVDQAARMLYRYNLPHEKQRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQRRPPYPKPVGMDQFTAEFPYEPTPDQNQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGFQAMVLAPTVILAKQHYDVMSERFSNYPDIKVAMFSGAQTKEEKDELIAKIKNGDLHIIVGTHAVLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVRTYVSGFSKERALSAIKFELARGGQVFYVVPRIKAIDDVLQFLKDSLPDVPMAVAHGKKVSKNIQLAMEKFACGEVKILVCTHIIESGIDIPNANTMIVQYAELFGLAQLYQLRGRVGRSGTEGFAYLFYTDKSLLSKIATDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSLFGEQQSGDVANVGIDLFFDMLFESLSKVDQFCLIPVPYKDVQLDINISSRLSSEYISYLENPVELLNEAAKAAEKDLWTLMQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISRIYSSGKMIIMKTNMNKKVFRLMREAMSLETHRNSLSFTGKEIKGELLVNLPDTLLLNWLFHCLADCYAVIPALVKY >KN545625.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545625.1:683:958:1 gene:KN545625.1_FG001 transcript:KN545625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >KN539207.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539207.1:14877:19820:1 gene:KN539207.1_FG007 transcript:KN539207.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAAATSTYAESVASCRAPAPVLPATPRAPHAAVRRHLDFAGSGELDDNDFLFRAAEETERSHYEAQRRASAPPPPPSQPPAFLERPCICGRGGCDVEERELGRWAYVCPATPKCKYSVWCGEADICPTPQPAYMSHPKPNPHVFSSPCSPVVFNSPSNHLAGSTTPTPNNLQVFNGPRNPHVSNSPSNHLAGSTTPTPNNLQVFNGPGNLHVSNSPNNHRSGATTPVNANPRGTRSSDKQPICHCRAGKCKVETIKGQKYYVCCIQKGQGACPYQVPVNAFVEESPQAGNSVPLEDNRGNYSPVRVEANNDNGPINPDQPEYDEWPFDIVNNDVVCSGFLATAEPTLRDGIAAGESPSTQHLSNATTEAKTPTKSPIMPPPHGSGSPFTPRSNPCYRCGEDGHWSRNCPKPASSPLNSPCYNCGKLGHWRVPVILINCCQWMMNWKGWSSPLPFIRSETETWDDDRCRDLTRTYKDKKKNTNRWMD >KN539207.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539207.1:46631:47044:-1 gene:KN539207.1_FG008 transcript:KN539207.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEIWKAIKFEFEPVDKLDS >KN539207.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539207.1:134366:134773:1 gene:KN539207.1_FG009 transcript:KN539207.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTTKIFALFALIALSASATTAITTMQYFPATLAMGTTDPYRQYMMQTLGMGSSTAMFMSQPMALLQQQCCKQLQGMMPQCNCDTSYQMMQSMQQVVCAGLGQQQMMMKMAMQMPYMCNMAPVNFQLSSCGCC >KN539207.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539207.1:56792:58827:1 gene:KN539207.1_FG010 transcript:KN539207.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MISCQFPTRQGWSEVSARLPLFMCQTKSEEGDYLRNGRSASIGFPPVKEEHVICGIEDVRRLVDLPPPIHIKMTECLVKDAGEVLKLLDENITSGRWTVSDETVALLRVLAEGCEDLMYAKKELTDTVKAAQDDLITLGGNTLSVEERLLLQEKEDRRILVLRALNLPPDSKPSRSFDVENIDAVLAARDRLRGQIVQARADMEGLRQYITETWLPWVEQRLNTHLTLGEPVMP >KN543206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543206.1:2081:4070:1 gene:KN543206.1_FG001 transcript:KN543206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMLSLLVHLHAALLFVPEPAGAGAVYSVSLTISNARDVVVEGVRSVSSELFHVVVLQSRGVTVRRVTVEAPADSPNTDGIHIHKSTNVAVYDAAIRTGDDCVSVGPGNSNLWIERVACGPGHGISIGSLGKQQGMAVEAVQNVTVKTTWFTGTTNGLRIKTWGSSKRGYVRGVTFSDSTMAGVGNPIIIDQHYCPDGGCGGGAARGSSSGIKISEVEYADVRGSSATPVAVSFDCSRSNPCSGIRLRDVRLTYQGKSLQVAKAMSSCHNAQGTASGLVVPPSCL >KN541293.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541293.1:28559:30692:-1 gene:KN541293.1_FG002 transcript:KN541293.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FSLVNTPLLTLGSVVNKRKLPTQRLCAQTNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVASYTNDSSGAESSPRTSGQSGEDWRSAFDSASNGSVDRSSSHNETRSRSADSRGKRYENGDVNGGNSGSRRTPNRLPPAPPGQKY >KN541293.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541293.1:21009:23253:1 gene:KN541293.1_FG003 transcript:KN541293.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRALVLVAALLLLLLVAGGAGAAAERKAHNYEDALRKSLLYFEAQRSGRLPHNQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGDDVEAAGELGHALEAIKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDAENPGSELFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGKAHYLDYVVDNADCFGGTGWAITEFSWDVKYAGVQILAARVSPNTEKRYY >KN543206.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543206.1:5682:7930:1 gene:KN543206.1_FG002 transcript:KN543206.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSVGFLLPFPRIIVPFACSPFNQRCISPSTRIIALLVIEGFICEGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKTHHSGFTAMFQVELLKDKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKQVVCVSQ >KN542842.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542842.1:8685:9462:-1 gene:KN542842.1_FG001 transcript:KN542842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAAAVEFGPHQKMTLDAELVQVLTAGDAVRLQKLLMGRAREGCGGDGVYPQADGLQVSINVVGDASPRAEH >KN541284.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541284.1:10209:10469:-1 gene:KN541284.1_FG001 transcript:KN541284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALPHAPRRDSGNRTSPSPAARLQRCFLSSLRSVATTLPQPPSAQQQQHSPVLLSATVVAFLHALRCDGSKQRGSNNSARPLRL >KN540576.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540576.1:45631:47866:1 gene:KN540576.1_FG001 transcript:KN540576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFKAMAEKAGPSVRKQALTLTDAAASRVRQLLGVRQRAYLRLGVKARGCNGLSYTMNYADEKGKFDELVEDKGVKILIDPKALMHQRRILPFPSSTKHPIARCLLIEYSGENNGAVHTPY >KN540576.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540576.1:30134:32504:1 gene:KN540576.1_FG002 transcript:KN540576.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVQRFHRHEPGSNQCSSFVAKHIRAPLQTVWSLVRRFDQPQLFKPFVKKCVMQGNIDTGSVREVIVQSGLPATRSMERLEFLDDNEHILRVKFIDGDHMLKNYTSTVTVHSEVIDSQPGTLVIESFVMDIPQGNTKDDICYFVENLLRCNLRTLANVTEESLAGL >KN541284.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541284.1:25254:29131:-1 gene:KN541284.1_FG002 transcript:KN541284.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding YLIIVDDIWSTKAWEFVKSALPENNLCSRIITTTRDTNVATSCCYTVAGHVHNIQPLSEQDSRELFLKRVFRDVSACPPYLEEVSCGIIRKCHGLPLAIISVASLLVGKPNIVEQWEEVYNSIGSAFTQQGMTDILLLSYYDLPHYLKTCLLYLSMFPEDYRIEREVLIWRWIAEGFISEVKGLTLDQVAENYFNDLVNRSMIQPIDIQYDGRASACRVHDMILDLIVSLSKEENFTTLMEREGYNCSNKIRRLSVQSNCLGNKAMQEIMGKCSQLRSLNYYGVQDVGADLQTLHCLRVLVFENCHGIGTQHIKHLESFFRLAYLSISSDGITELPEQIGDLKYLQTLDIRRSGIKKLPPTIGRLQNLARLLVGNDVELPNEIGDLQALQELSDAGKYDSIKFVQELSRLTRLSVLRIMLHESNKLGDHSVRCVLDSIKQEELLILGGIPSLLFIKLNSQHAPKERLIISSQQFRCLKEFEFGNYYHGGGLEMLCLQGAMPDLRRLRFYIRAEETGSKIGFEFGFEHLASLQQLSVTVDCRGATRQRVEAAEAAMRDAASIHPGRPALEISRRWERDMIKDKDDHEEIVQVE >KN540576.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540576.1:20081:21951:1 gene:KN540576.1_FG003 transcript:KN540576.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYQEGAQVIIASGYTKLRTCNVAKILSADLIHLTGEKLGMWLSLFPTIYLSAGSATALILVGGETMKLFYQIVCGPICSPSPISTIEWYLVFTSLAIVLSQLPNLNSIAGVSLIGGTTAITYCTMSWVLSVSQPRPPTVSYEPQAYTSLGSSLFSTLNALGIIAFAFRGHNLALEIQVPQGGMLTALYAFHSHDIPRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAAYTGRTNRPCSVWVRSGFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSSGWYLNWGLGLLGTAFSLALCVGGVWSIISSGMKFKFFKPPS >KN541284.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541284.1:16320:22556:-1 gene:KN541284.1_FG003 transcript:KN541284.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHLSTHPGEKLTQENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSETDSLATAIELVHRSGGIKRAHELAREKGEIAIQSLQCLPRSEFRSTLENMVKYNLERID >KN540576.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540576.1:130:799:1 gene:KN540576.1_FG004 transcript:KN540576.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding DIENLGAIPSLVFLELDTLGGTNGRIIIHGNNRFISLKYFSLAIGACGTALEFEEGSMPKVEHLKLDFRLHELECLNGASDLGIQHLSALCKVEVEINCNCFKHTSNFFDDFELKCLNYDLMEETSDCIVRCVARTIKSAVDTLPNHPTISFQTESEKECKHFELKMKTWTVTE >KN540576.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540576.1:11030:11422:-1 gene:KN540576.1_FG005 transcript:KN540576.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKATQVATIILLTKIQALDREVGAVRSRGIRDKNIDDCTSFFGIGSSNSESTNSVLAALDRLAAAGKGRRKKEDVETVLKWTKNLETQYNGATSKCKLGDLFKYCDMVPTVREIDAATTIAIDLLNAIKL >KN541284.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541284.1:12564:15278:1 gene:KN541284.1_FG004 transcript:KN541284.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSLQAVALFQRVLAKETELHLAQKELNKYKDQLNNAETTRVQALSELEKAKKTVEDLTNKLDAINKSKELAIQATEDAKTRTKQLEGGDSLEAVGKDGPLKQELDVAREQYVVALADLDAAKQELRKLKKDFEASLDMRLAAAQQEEESLHLAETNKQKADQLRKEIATIQESLTHVKAATEQAHEEEAQILAEKDVTRKTYKQALEEAEKKLSSLKKDFDPAVYKSLKEKLDETNSEISSMQKKIEDARAQDLESIATVSTELDDAKEMLQKVAEEESSLRSLVESLKQELEAVKEEHDQLKQKDTETESIVGDLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEVMQKSAADLRDEAEAARVALAEAEQKLQSALKEAEEAKSAEAKALDQIKQLSERASAARASTSESGAKITISKEEFESLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKRAVEGELRRWREKEQKKAAEAQPAPEAQAHGTASSPVQKASAGKANEKNDGPHKNSRTLLKKSFMLPNITSMFHKKKNHADGSSPSHLPGDKSV >KN540576.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540576.1:41974:42363:1 gene:KN540576.1_FG006 transcript:KN540576.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEMLQRRQRTVGRRRRLLLAIGLSKGGGKRFKDHLRMLHVRGIAPKLTRERGIEGKSRRPDVRKKGSISSTAVPRIDSSGRPLSGMPAMLLAQWLGQRWLESTGTAKRRTAAPWTPRTPTSASSCA >KN540576.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540576.1:4205:4648:-1 gene:KN540576.1_FG007 transcript:KN540576.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPSGADKEVINEQMVANMYANMRSEMNQLYSKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVGRMNEALEKKKKEITEFELKYKIRIRKADSDTQEEGSMKEGSAQGVLVGPASGQ >KN540576.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540576.1:25191:26900:1 gene:KN540576.1_FG008 transcript:KN540576.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGAGGAAAGKLPAASLRREQWRLADERCELQEEEMEYIRRFHHHEPGSGQCTSFVAKHIRASLQTGSIREVNVQSGLPATRSIERLELLDDNERILQVKFIGGDHMLKNYSFILTIHSEFIDGRPRTLVLESFLVDIPEGNTKDDIFYFIENVLRCNLRTIADMSEEQLASS >AMDW01066004.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066004.1:68:133:-1 gene:AMDW01066004.1_FG001 transcript:AMDW01066004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGSGDEWSETAMLVIDMQ >KN541549.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541549.1:272:838:1 gene:KN541549.1_FG001 transcript:KN541549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSLINMMGPKRGIDLMDFALIEFDMRIKTGKQEKDDLQLIDGATLISTPGLWYLPYSIEIPGDYGAVDITVAHLNNAVEATVEVVISEVQSGFNLLLGCLTSDLNKEMRLSDGAIVESRFLKRSVVAVNWKSSIDLKFKVGASPSSFYQHCVSFKAKIHGHDTQEIKTDFALISVKVTWSTLLPTGLD >KN541549.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541549.1:13164:21761:1 gene:KN541549.1_FG002 transcript:KN541549.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYREVEGILKAQASLARTASKARVSINLQDNEISRERSKLGSTTTTAAATQQLNGGAEGRRRSVEEVLREVGADIIGLQNVRAEEERGMSPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKSQRVADQSDFRLVSSCPVVSGFPGRVAVAAQLLGRTIAVTVAVGIRSSLHNPTRGNMITGNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSSDGPHILTGGLNALDGTDYSDERWADIVKYYEEIGKPTPKAEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVKVDVTIQDMKETDEESGNQRQRVVKINKKSSKKGLWAAK >KN541549.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541549.1:6794:10240:-1 gene:KN541549.1_FG003 transcript:KN541549.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKKRRSDEVIKDMDHEDRLSMLTDDILLSILGRVDIISAVRRSVVSTRWKHLPWLLPEFTIDVKDFLPVPQPNCIKAEHMDEAMASLTKGIRSLLTIPRSEFPINRFQLKLYLIKNYSRVVGPMLDKAIEVGILKDMDLSVLDEEEIVDCTDKHMLQQASSVKDLFSGYPSVLTRLTRLSLYNLCFARWDLHHHLFECCNQLRYLSLSNCDVGKNAIWKINAPNSNITVLELDVCCFGKLEVLCLPKLERLNWDTWLCPYAPLSFDVVPNLQEVSLICGATNKHEGFTLSEVLSGTTNIHTLILDFQGEKLWMKPEGV >KN541549.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541549.1:2265:5857:-1 gene:KN541549.1_FG004 transcript:KN541549.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVHACMRWRRDENAGAPLDSARTPSLSLPSHVSAVRRTGEQVTVRCHVRTKGLVMLPRRNTPPQHRERRTRSHDAALAGVAMPNASPTVFGGVVIFLTPWRRRSTGHPRLAGGDFHLTTPSSARVVILLTRAVVESGHAIIRLVEICWVNPEKAIFVPSRRSMLKIIKNLRKRCRRRRRRRKLSGWSCTSSNRPNLSPIRAVAKPPLSSTPPGDGDRCPCDPAGGRRQAIVVTSISAHAISMESRCWPGGHVILFVDDALTLVKPPIWEHACDVDREPKVFGERPNPAWKAPDVTSFRNSLLKELQIVAFRPLKQQLEFIRVVMQQAPNLGTIILKYDDPCEYCEALGIFPPRSSTECVFPKSKDEQDRYSELIKRKEGKVPYPHYFFNIKGPACLKEADDEDMLSMLNDDILLSILERVDIKTAPNRIEDLELKLYLINSYSSVIGPLVSEAIDIGILKDLNLAIPEEKPMADCTTK >KN541549.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541549.1:22862:26028:-1 gene:KN541549.1_FG005 transcript:KN541549.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALLRSAEISPDRKVQLRTQQMILHLKVISFMPSVGLLSFYFAYKTTTTNRKIVLQILKTCPLSGQAALEYLHSLSRAQPTRSLTGAGLYSAGKSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >KN539109.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539109.1:93689:94568:-1 gene:KN539109.1_FG001 transcript:KN539109.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYSDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVRAIQHLPGLSPTAVSLVAAEANSVLDCLRGPEPVVPAIPLISALCKDGDVDPRFFTFHQDLVVRGVADILDGVGSLIFNNHLNKMLRRYQTGLVGNPPELMAAYSCLSVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKISIRHRQIWLRKYVPRPAATQNQN >KN539109.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539109.1:74132:81676:1 gene:KN539109.1_FG002 transcript:KN539109.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYKLPTDRIYASYFGGDEKSVLAPDTESKNVWLKYLPKEKVIPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSVLKNLRKQQMLLKKVEEQYLLDRQDAFVLWDTYGYPVDLTEVMAVDYGLSVDREGFDAAMEEARQKARNARFKAGVNSIVMDANATAQLLNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVTCKVDYARRALVAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAGAFALLSEEGIAKGVRRITAVTAKHASDAMKWASSIDSEINEASKLEGAILEKKIASIKSQLDTASIPAARKADLKVRVSKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIKVKDQKDLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTQSISPLKGKGGGGKNGIAQGQGSEASQLKEAMEVATQIASLKLV >KN539109.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539109.1:14070:22003:-1 gene:KN539109.1_FG003 transcript:KN539109.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MQVSHEHDKRIVNNKALGTSTDLLDDSSYSSGRTHENVFSEPSVSGRMTVDRTDCQTGVFSGADTVNNTTQVGSVISTYRSLDTFEGVYGPANTSSIEKVQDILPQSRSVSDGQHQSRHFANRRAQLGGLPHQFLSLSKRNEQTKNGQGPPDNKTDSEGANLLGSHQSDQGDYVDFTSLSSFKRINEHPLNFIPEAHASNLFEPRKASEFTNSYAGGSYLRAGRLVPVACLRDGPVSKRKKAMNDHDDAKLIGWSISNLLSKENPENSTSANRAGLRGTKDVPDYLRRYNSLLIDGRLKDSVDLLESMEQKGLLDMNKIHHASFLNACKKQRAVPEAVRFCKLINNPKMSTFNMLLSVCANSQDFDGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGSKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVQPDEMFLSALVDVAGHARRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKSIKLMPTVSMMNALITALCDGDQVLKSFEVLSEMKRLGVCPNMITYSVLFVACERNAEAQLGLDLFEQLKIDSIDLNPTIVGCLTGLCLQMFDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYREAISTGLLPSSDVLSQVLGCLRFPHDNTLTNTFIENMGISCDIPHHPNVNSLLEGFGEYDIRAFSILEEAASLGAVESISMKDTRILVDARKSKIYTAEVSVLTTLRSLKHRLAAGARLPNVTILLPTEKKQVDLDEREKTLKLAGRVLGEVLQETELRYEMQSRSSSWFPAEEIRNQISWRGVSWKNEDQRAYSKKVVQSEIDEHILHWNTS >KN539109.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539109.1:140573:141196:-1 gene:KN539109.1_FG004 transcript:KN539109.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPRLYVFSSRSGQWTVHAYIPMLPMLSAFSGDMHANGSVYWLIDDGGDGGAYLLALDARTKQFSIIKLLSSMRTRYDGNMRVIRSDDGELRVVAFAAAAARLEFWHLDKSRSSRGRWVLESRVELAHVDGVMELCVDADDDVARIMDAGEGFVFLKHYGSEWVFALDVQAMVLFRLPHRRYYFGPALPYRLVLKPPLPASCGLIN >KN539109.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539109.1:9567:13092:1 gene:KN539109.1_FG005 transcript:KN539109.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVAGAQRRSGDRWRRPRQRSSGPGDGEAGAGEGPIPRRQQSLRINFAPYAGRHFRQVVAPIIWDAVIRIDYWASVRYLSIARTCQGEEIKGHVAMRDGIERHPVNGYILTPRHGALADVIFDHIQTLDLEGRLLIAIHRYNHGTIQGTMCPCSRIIALGFLYCSLECKGNHFWN >KN539109.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539109.1:143827:144618:-1 gene:KN539109.1_FG006 transcript:KN539109.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDVATLPPLHGDDKPGAYACALLTADDLDDPPPSSPTFFRVLVVYNRRTFTALRSYSSDTGRWSAEARRSSGPKMSSYTLHNLRQSVVHGGVAYWPLAHTAFAVRADTPEPEEMPMPPAVPKAPPHDHLLGISPDGKLSFIVTSRYFDGSAGVSSCYHLAFGSNGGCTREQVSVCTWRVRLHELRVHRSDAMNLRWFCERSGLLFFTIDAKGSSTPGAYVLNIATKELEKVADDIDCRSWRNFVGYEMDQASYYLSSVACY >KN539109.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539109.1:137393:138938:-1 gene:KN539109.1_FG007 transcript:KN539109.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKIHLLFNEVDLGAFTNCSSALGTLKPSRLVLRLFIDSETLTMMEGPSQTVLRTFIDLIKDLHKLFFSPSLSDDDVNSDGEMRAVADEDGQEMVYCLLFRREENKKPLILDSMDGVD >KN539109.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539109.1:102367:104154:1 gene:KN539109.1_FG008 transcript:KN539109.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYSDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVPAIQHLPGLSPTAVSLVAAEANSVLDCLRRPEPVVPAIPLISALCQDGDVDPRFFAFHQDLVVRGVADILDGVGSLIFDDHLNKMLRRYQTGLVGNPPELMATYSCLPVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKEESSALLVLNLKYPDLPVDLVKWQYGSCRVKS >KN539109.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539109.1:68846:70780:1 gene:KN539109.1_FG009 transcript:KN539109.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTTEWPASRVRETFISFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGFAWELLTQLGLLGLASASRALWLCELLEVSSLEQCAYPHGARVPFLLLLGDPAPLRESGRKPAWSSRIGNIEACRCRFLLGGVALWILSPLGENPVQLLGGRRQQLMALFTSLEASPYGLAFPSAFCCS >KN539109.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539109.1:26588:28524:1 gene:KN539109.1_FG010 transcript:KN539109.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQVLESDVYEMFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >KN539109.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539109.1:83518:84304:1 gene:KN539109.1_FG011 transcript:KN539109.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVREMVRSMGAEQLDEAVAFATMELAGRDIPLEDVFRLCDEQELRRAKKSSMAEEVERIKGKLVGGEDGGRPSSDSSEETVVELLRALRSTPMTFETLEASRIGKTISGLRRKHSSEKAKLAAAKRKLQDGYKEAASVKRQRVIQVIDTPKKVNRRPVAVVERRRITPGVATVAPLRMCRAV >KN539109.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539109.1:97807:98997:1 gene:KN539109.1_FG012 transcript:KN539109.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVLKPCSVVAIIVALQRCHHHRDSGQDGKGLEASVNLGLATRQGVADEGQIMQNMEKLGVEDRCASGAQGTDLWEDRGRWRWQGGEDDGDHDEEIWRQQGGVGGGGEVDGDGKVGKSTVMVARSGDGKMGKAAEDDDDDVTAERAGAREGDDDFSGALDPPAGRLDLASGAAAIWEKSYEATPEKPAAKLTLAAAVAVSTLPPPFLTLPSRRARACCHRS >KN539109.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539109.1:124363:127047:-1 gene:KN539109.1_FG013 transcript:KN539109.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVVSSVLQRLGDLVIQEATFLSDVPRQVSSMKAELSQMQCFLNVVDAKCLEGNSMMKNLASNIQDVAYRVEEVIDNAHFIFRRRKTSVSKYIHIFGDSIDLREVGKNIQVIRKEISEIFERYNRYNAVNSSTSTEAQPIFREDEDFYAQRLVPPGLDQGMDIVGFDHEIAQIKSYLLDQNNMNLTVISIVGQAGAGKSTLAKLAYSSVITEGYFHKYGWVSISPKYSALEVLRDLVRQIRGTGKISERKSMHLNFYGETEVSKLIFDFLKEERYLIVLDDIWTTDTWDKIKSVFPDKGNGSRIILTTCDMEVGQHPKTKVQIHTPDLLDEDKSWELFQKKAFPHDVQFTELEVVGKKLSKKCNGLPLALVVLGCFLSRNHNIHTWEKMVASVDWEIMKKEGDVGRILALSYHNMSNNLKACFLYTASFPEDYPITVHVLKKMWIAEGFVPNIRGYTQEEVAYRYVEELAQRCMIQIEERSKNIGWIKKIKVHDVLREWGIGQARKEGFLKVCSSGTDVETYYADEQRCYRVAFHGYFDNEVGKSVLNLRSVLAFNPDGKRLFSFNGLHLLRVLHFCSSLKTCTLPEEINKLVHLRYLGLEGSTVFMFPSYMKGLRNLQILEASTATVKALPSSLWSIAALKHVHVYQVLHWKAQEIRTKRSLQTLYVFSIMQCDALTWKRTIRSLQKMSQHVSWCLGIASTKRVKEKETQEHEEYNLDIRVDALESKVDGLELSGCFKERHVLNDVLPHHNLFPNFLLQLKISCPNILNDDPMPILERLPRLEVLEIVNSSYTGKRITCSSEGFLALRSLVLMDIGLEEWNLQQGSMAFLAVLTLKCTMLRSISNVLHQLDDLVELRLICMPQLSVDDHEPARGRGCRVMISVDEEQTSDT >KN539109.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539109.1:133541:134760:-1 gene:KN539109.1_FG014 transcript:KN539109.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAELDDRLLAVILLRLPSAAALARAATVCRRWRRVASSPTFLRLFRRLHRHAPPLLGFFVCNNGFAVSRKESRLDLVRAHGAPLFFADADGYPTRIMDAGEGFVFLKHYGSGWVFALSLETMMFIDLPHRRFYSGPALPYRMALHPPLPALAD >KN539109.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539109.1:81832:83149:-1 gene:KN539109.1_FG015 transcript:KN539109.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEAIPEAWKELVRSLGTEQLVGAIYVALDDFNARERDTIPPELWRRLGDRSAAYKNPFASDGGSSSGSGEVERIKVKLVAVGGEDGSGGGGGTSADSSEDAVADLLRDLQAVPMTFETLEASKIGKTISGLRKHSSSEKSNVGVIEIVQLYVTSQKMVRLLHLTMLARNKYGNFFCEFRGLERRVILM >KN539109.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539109.1:30874:44718:-1 gene:KN539109.1_FG016 transcript:KN539109.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIGRLWASASGRRRMFTGMVELISWREVMTAGGGGSRSSGGRGGGGGATLPSGMRRADGWMDRSREIPSLDMTGLGYRVETTVAELVGKIPPVKLPNPTTPIPQAGGAGGSGGGGRALDCRSFWKAGAFESASAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRVRSFGQAQGNSMEPVGLDENSVDIGSDGALDPNIMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKKKCAQLADDLRTRKSQQQQQPPYI >KN539109.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539109.1:90411:90842:-1 gene:KN539109.1_FG017 transcript:KN539109.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSCLSVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKISIRHRQIWLRKYVPRPAATQNQN >KN539109.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539109.1:56722:66900:1 gene:KN539109.1_FG018 transcript:KN539109.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKSGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSVVPTGSITVTTDATCALGKKFQGFEKFKKAADAVKESGGTDAFVLWDTYGYPVDLTEVMGVDYGLSVDREGFDAAMEEARQKARNARFKAGENSIVLDANATAQLRNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVTCKVDYARRALVAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAEAFALLSEEGIAKGVRRITAVTAKHASDAMKWASSIDSEINEASKLEGAILEKTIASIKSKLDTALIPAARKADLKGRVLKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIKVKDQKDLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGTEASQLKEAMEKFYIMSKRESQKRLINVTKYRYTSVRATFNRIGLSKGQI >KN539234.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539234.1:37552:40263:-1 gene:KN539234.1_FG001 transcript:KN539234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MICVLPDTEQFQDARNGDMLSASVSKSSKKRRGRAPAVLMEPRKEADRPVLTPCGAENWTAHPSCLKVTTTLSLLIKQNYPGTYVSVGTNGQPCELAVYHWHQCPSDIRDTVLDEFLKRYKWSPGQEEECRKIFDRKAVRQLVNLFCYEKQRVRDLLAKKAKRSSTVVRASRSLEEGDGREDSEEQHGDESVLVLELDDPLNWKPFVPEWMQPKWWEKLCDHWAKDEVMKVSYQKRKNRNAGNPPCNASGSQSIAMHQQFTSIDNGRKLVSDIDPSTKINSDKGGIIDKKILRTEDTTYEHTAEAREPVQEHMGGCKRGRYYCDTGVRKKVQTDSLPKSSPGCSSNHGQGQPPMFTHEQVQQMINQALQGLNETWEKKFLSLEQNMRSISKSRVILDGPKKGSLVAVAGDKRCQLSCQDTLDSVDGEKDPAGDGEDDPENQDYDDDEHWS >KN539234.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539234.1:105465:110455:1 gene:KN539234.1_FG002 transcript:KN539234.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEQRDQKASAELQQEGIYKMEEDQSATYSVDDALLSSGFGRRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLASWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEGKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNGNRICAKEEVESIHSNDASLYKNVFISSFAEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >KN539234.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539234.1:21147:21509:-1 gene:KN539234.1_FG003 transcript:KN539234.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTARAARDKTAETAEGAMDRAGEAKDRTVEGTKHAGEKVAEMTKEGASKVVETAQAIGEKAKQAAQGAWGATKEAAQGVKDTVAGGDVDADAAMKERDRIAQEEKKRQAREKGAGLP >KN539234.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539234.1:40941:42469:-1 gene:KN539234.1_FG004 transcript:KN539234.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQIYIRAVTSHVLNGNSNQPILAGQVQKSIIPEQNYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKRNQKRQGKSPLNYSTELPHLRRSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISSNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWALPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAALLPVADATPLPTISSPSSFESLSVPLSGMLNCFC >KN539234.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539234.1:63605:78227:1 gene:KN539234.1_FG005 transcript:KN539234.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAPQMVPGALIPMVLPITDPPEEINEFTRFTAFHLLGFFVKQVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDETKALSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGQLVQWCGQEFDGLLVFDECHKAKNLVPDAGSQPTRTGKAVLEIQFACQYLNVELICETLFWVDEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGASFQNFAQFLGSLEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDIVEAPLEERMMNMYRKAAEFWAEFRLELLSAGESFTEGISNQIWRLYWASHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIHRKRHSAPDVSFKGRVRKVAKLIEVSDDDSDDYSPSESDHGSTESDEEFHMCQICNTEEEKTLLLHCSGCSRHVHPGCLTPPWTGALTDDWSCYTCKKLEGEENEQDAHVADFSQRYDAAVEKKKKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLISIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQSYFQDDQDSFPVVPPGCSDNQSSIQEFIAEAKAALVSVGIIRDAVVCNGKVAGKLSGRIVDSDMHDVARFLNRLLGLAPKIQNRLFTLIACQARQLYFLLSPLTEELLGRQKDGAGSSNDGFYESKREWMGGRHFILAFEGSIEGMYKIIRPAIGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKVGSYCTVGRRLQEVNILGGLILPVWGTIEKALAKQGKVRQSHKRVRVVRLETTTDNQRIVGLLIPNSAVESVLTVSNGENQAYSGSKILMTDMDRLDLFCSHSYWWCRSLSDVRCSSSEVRHI >KN539234.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539234.1:16830:20716:1 gene:KN539234.1_FG006 transcript:KN539234.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALQTLAVVFGDIGISPLYTFDVMFNKYPILGEEDVLGALSLVIYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLVILYSVQRVRGNLCKSFLLSCGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIHDVGNAYAIAELGVMIMATIYVTIIMLLIWETSIVEVLSFVITFLSLELVFFSSALSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTIVAPNGSLYSLDVPLLADFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHTNVMQLWSDSSSPVLSGLQFIPCSTGIVSRPISYM >KN539234.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539234.1:115804:119963:-1 gene:KN539234.1_FG007 transcript:KN539234.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKICIRLKILCSPDFICQKSEEPVLFGRRGRLWSFNFLQEVNSLSFSDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNNNKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKRKKSSRHDSESYSESDHKNARRGKSSRHDSESGSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKPVKIPYNDLKDDKPSSKVVRKARYSDESETDSETYVKKKKNLPKSYHHSDKPEQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARANLAAQKKRSVTSSSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDRYDPNRRHEEYGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHR >KN539234.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539234.1:125486:129301:-1 gene:KN539234.1_FG008 transcript:KN539234.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQAQDSAVLFLFLLRFAYFCVEFDELGGSLSVKVAILAVFVRVGIVIVLKLLLYLLRKLVRYLVVWQDHMEPLESRLVVEKSPSTSVSVKEQILSSEDHNISSTALHGASSLKSTKSAQEKGSFLGKGGEQHFIYQPNVYTPQPHTVFSGGYLNHLGQWEEYPHVASADGTDAASPVMYSSYSPVPTMGDSQPYYPLHYPLSSPYYQPPASPSMGYSNSATGMSQFDPMQEYYLPDGLLYSPTPGFHQHFGSFDGTQMQQSVTGIFGQGNIPLASGMHQGSMYSSGSYKARQQVGNFGGSTPNWSAASRRFSPFDRGFKHDKGSLEFMNEQNRGPRATKPKKEVNNSSTEDKNRKSALINDSNLYNQHDFVIEYEDAKFFVIKSYTEDHVHKSIKYGVWASTASGNRKLDAAYREAKEKEATCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIVKDVPNSLLRHIILENNENKPVTNSRDTQEVRLDHGLQMLTIFKNHEVETTILEDFDFYEQREKAMLDIRQRQKQQHTDSEVQKPMVEAKEPVDLMNQISATFARAVQLGETKGSREDKPKVEDASAAAVAVPENEPVALAETEGLSADSEPSPLKESG >KN539234.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539234.1:45772:61935:1 gene:KN539234.1_FG009 transcript:KN539234.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRVLGAGVTLTASSLRQRPEQSQIERHHPIRSEQIPAQCSIRSVPREETFSSFRNDSPAAVQRTLARKESVNHSIHRGESCIETLDKTTARSSSRRARLQAGVESIYVEKLQPERPIQESTPQAQACPPSNSVRRDHTQGQQPLVDISSREQRAKNVSSTMEREKAEPLNQASELKKAQAGKTIGCPKRKRSSKNASENNKRKNKGFPSSPNEGLYLRRSKRLTKQPEQPINDDPVQQPAASPNQYNSDPPDIDRLIANLCPSPSPQCQMPQACSSESGNADESVLPASSNREMPQAEQSPHRYNQLCPPEDRGTHQLDKSGEQVQPQSPEQVTHAQQVSSTITALLKQKYPGSTYLPVGEHGDVPANGELVYRWKHYPAETRAAILNEFLQRYKWAPGREAECLKIFQRRAVKQFTGLLCEEKRRVRAELAAVQKAKKASGSRSSNSHAESEEEDATEEPKDNQTEKVNEDEDPLLWKPFPPAWMHPNWWERLCEYWAKEEVLQMSLKYRKNRFVGGRAHHTSGSRSFAMQRQLMVIENGGKPVSELEIFNKTHKFNGGTGEFVSEKAKRIVEGFKKRMEEAGDKPADPDAAWIQQVGGRNRGRYYGLTGTIDKAKIAEIAKSIPGKRGQQKFSQEEVQQMINHALQGLNQSWEEKFKSLEQSVRGAPLLGVDHETQEIDLVTLQHAPGSSAAGGDVQQDQSKHQAHEEHPNIASRTGLIQAEPNDPLNRMEQGHTKRPNQLPEQSDPYHSDYMMDGEGIHEANEIITRHHKQRNRESVGRGIISAEKTQEKPLNQFRHQAQDQHSSYAFCMKQTHLDHRDRVNEVQADSIDPTVFSESRCIALIDETTATRINCTTVHSVGPKPINVDKNQAQAPNSKRIIQQAQKQPSYIAISQENAQDKHADGVIHVQEKQLRTTNQVNHRQETCAQLDNQTVAGDSSGRIRCPEKEQLEPFSCTIRKRKTKSLLANSNSGLELRRSKRLAKDSPAAKDKKHSKNKPFEQQVSQNDQVSAAVMDTESIHRDPVERQAASSTGHMPAAITDSEPSENEPDDLYMPSPDQSLSNSPDIDRIINSICPSSSPRHKTPEKVSNEFDNVQLTTPPPSDIDMSDPEHFACNYVPQEVRKALAKLRSSNSSFERAMSHASSGDVHALSDSEDCDERWNVGTKRNQGCGRGRGLTLCLKVWNLPKGVRIPVLLNASGEPVGKEAGTLSTFLGALARDGILAPLTHQDWRRVPEKNKDVMYHIVKLKFDIAPAAEFWIVKCIGRKWKSWKALLKQKHYDTHETVEECLADQNPRVLKEQWQYLVAYWGTEKAKAASSRNKACRANVTATHTAGTKSFARIIEEEKQKRPNNEEPTPADLFLLTHTHRNGKPMKKEKADIIARVREQSHKQAECSGSDSAAHKVGLESCSIGLRGKRGHRRKAVLQASFKEAEEAKRKAEDEAATLRKKMMAMEESQKKLQEDLANMKSTVSAMQKTTSTGDLSDGQTQNFPQAWQRRPNSAVRPGFARAEADDRLFPLERSRIQHPDRLIHLQQDEEEYPDGVFGGEEAHEIVSSNIQQRNRCSVEPGIVSVKNRLLPIKVARHQVHDQPSNYGTQRKPAQLARLHRVIHSEEVQEGPLSHEVYREASHAELIYETATTHSNRRIMRSVAPEAVGSVDKRRIEHANQITQKRQKHTAHAIRAEHTQVGCLDGAIHAEEVQPEPVDQANHGEEGCIQVIDKTTARGDSWKSGCSVRHNTVSAGKRKTSTADQVTKQTQTNQSYANDAEHAQIEHPDQEIQEAVSQTTHREAMCFRPRKDSSARHSEREIVHVVDPKNNVNKRQIEPLSHVIQHTGHTSDINNHAMQVDFDPQSKHIGRHGKPKTGTEVDSNLTLKNQDLLVSPNQLSHINQKYMPPNHEAQKEHINVKNCKQPSSQAREKNRKGLMASSNSSLHLRRSKRLAKDSVAVVENEPVENDPVDLQVSSPNCQVSAVAMSSEPIEQEPILHQSPSPNCEVLVSTTDAESVESEHHEHCAFSPHQSMSDPPDIDRIIAGLCPSTSSVHEKPREISSEPDDPDLATTTSNPDMSDPERFAQHYCQVFPLEVRRALSKKRSNSLLNHLVSEECSDEEFVHDFPDTEQARDCQKPSGQNIGSKRKKGHRRGPTLCVKVWTLPEGVRLPVSLNNSGFPIGKNAAMFGNFLGTLARDGILAPLTYKKWKSIPKENKDVMWHIIKLKFDVAPSSESLLLKCIRTKWRNWRCNLKRKHYDSHITEEERLADCDPRVLKEQWRFLVAFWNTEEAQAASARCKASRAKSTYINSTGSKSFARILDEESCSRDKARKRSDDVTAMGGKRRGRMHNHEPGASPGGLKEKAALKASFKEAVDAKEIAENEAATLRKKMMVMEESQKKLQEDLANMRNTVSAMQKMMSNGGLPDGLMGASTAPPSFPQVEPGWWMLEYTVEVLLTS >KN539234.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539234.1:24136:27391:1 gene:KN539234.1_FG010 transcript:KN539234.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVPSMELHRPPPSVSGVRVLTLAKTQRSRGKQSLEQVKKRAPLIRGTVSPPLPVPGHITQPPYVGKKDAPEIASEIQMHDKVSIVHMKAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELQDGDIINIDVTVHLNGYHGDTSKTFLCGEVDEASKRLVKVTEECMLRAISACKHGTSLKKIGRRIRYLNPKIEEVFFVKYKQNHYCLYLENPIMSSEHAERHGFGVVDRFVGHGVGRIFHSEPMIYHHRNNMPGQMVEGQTFTIEPALSMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >KN539234.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539234.1:8810:13238:-1 gene:KN539234.1_FG011 transcript:KN539234.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGVAADGPKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVVPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHLIGFNCFFTALTEIRRFLLIFGWFFWQTGDERFLILKRKRHLSSHHNVSLVGDKYPISVIANGPIPLKIFGPQGLSSLMPK >KN539234.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539234.1:87444:88702:1 gene:KN539234.1_FG012 transcript:KN539234.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAEYKYDKSHELGLFAIFDGHLGDSVPSYLKANLFCNILKEPIFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWVANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >KN539234.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539234.1:121165:122097:1 gene:KN539234.1_FG013 transcript:KN539234.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPKFAGMMGGVVGGAGGHDDIGGNFCDMAYYRKLGESSNMSIDSLNSLQTSTHGGGSVAMSVDNSSVGSSDSHTRMLNHPGLRGHVAANYSVGHSIFRPGRVSHALSEDALARALMDPRYPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAP >KN539234.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539234.1:32144:32838:-1 gene:KN539234.1_FG014 transcript:KN539234.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAEAVAVAVAEGGGAGMEPRFRGVRKRPWGSVKKEVARLDEQSDTGSSSSVVDASPAVGVGLDLNLPPPTEEA >AMDW01006075.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006075.1:107:205:1 gene:AMDW01006075.1_FG001 transcript:AMDW01006075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVSLIAAFADLVLLMLTILTIHKASSYY >KN541704.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541704.1:875:2834:-1 gene:KN541704.1_FG001 transcript:KN541704.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKAAVVPESVLKKRKREEQWAADRKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVALTVRYALCSINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >KN541704.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541704.1:22312:22785:1 gene:KN541704.1_FG002 transcript:KN541704.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYLSCNIVDLKFDLWDHIQTHFVLQPSRHDLEENLDDAEEDSTEEDADDDSTEEDADDDDTEEEEEEEEDAEEDTDEEEEEEEYYKELRREFNEMYIIASGYDERMKEMDAIEEVYFDTTLDEETRTDKIDKLWRHIEKELSDRARAVSTGKFKF >KN541704.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541704.1:8488:10469:-1 gene:KN541704.1_FG003 transcript:KN541704.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFWVGVLWWAEKNREIKSDSLGRRSISAAYHGHAKEEVMSKKLPWDDPRLRKEQVTPVSTINKSLESSILSYINNEEIWYPLYAAILGNIDPSIKSRIVWDDIRVIRKLRPLRNSEKASARAHYKMIQAANRKGSRMASPIYEGLFIKNVKSIDRLEGFKIPDNLVCPLCGKVMVDPVMLATGQTLDRHCIQAWFDKHGHFCPVTCQPISPIVLRNERARGYLVEWREAKLEVDAASTRP >AMDW01032632.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032632.1:12:470:1 gene:AMDW01032632.1_FG001 transcript:AMDW01032632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGN >KN545561.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545561.1:51:1476:-1 gene:KN545561.1_FG001 transcript:KN545561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLSRAVRVYAYVKPVDMRMAFEGLSALVRNELRRDVMDGELFLFAGKNRRRAKVLLFDGTGLCVYAKRLEKGLFAALVIRVEDLKDLHIARQVAELLDKENDRLHARVKSLLEELATLRGGNAQKQLELEVLKLQEQMSSLQRKVFGASSERRPHGERAEGEKQKPKPPTGVREQKSLPIEDVVHELAESDRLCAKCGRPLSEHGEPADAYEDTTVVRRHFVLQRHTVKKYACSCGATVEAPAPLRLPGCGRYSLDFAIEVAFEKWCTHTPLERQVRIMALEGLDISSNTLWEQAERLARVLRPTYEALREYVVKAQMLNADETSWYMLKKGREKWWGFEGVLTVDGYEAYSTAQKALAKRGTNIKIALCWAHARRKFVEASDSYPECAEVLDLFAELFQ >AMDW01027413.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027413.1:145:349:1 gene:AMDW01027413.1_FG001 transcript:AMDW01027413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVDAVRASVVDLDRFGKAVKLAAFTPFSSAVDALNQCNAISE >KN544414.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544414.1:1493:3088:1 gene:KN544414.1_FG001 transcript:KN544414.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGENRRCFLAVLHFFTIILIIITFPSSTAAIGDGQFGYYGFSNSSLTVDGAAMVLPGGLLQLTNSTANMKGHAFHPTPFRLRKSPNTTVQSFSASLVFGIISPYIDLGSQGMVFLVAPSTNFSDALPAQYLGLFNTRNIGNRSNHVFAVEIDTILNSEFMDIDDNHIGIDICDLRSVTSYSAGYYDNSTGGFHNLSLISGEAMQIWIDYDGGAKQIDVALAPFKMAKPTKPLLSMPYDLSSVISDVAYVGLSAATGLAGSSHYVLGWSFSMNGPTPPFFTAQLPDLPRRAQEASRRKVLPIIVPIVTATSVLLITLAVFLFVRRRLRYAELREDWEIQFGPHRFSFKDLYFATEGFKNSHLLGTGGFGRVYKGLLSKSNMQIAVKRVSHESRQGVREFVAEIVSIGRLRHRNIVQLLGYCRRKDELILVYEYMPHGSLDKYLYCHSNHPTLDWIQRFRIIKGVASGLLYLHGDWEKVVIHRDVKASNVLLDAEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPEL >KN540059.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540059.1:19335:20064:-1 gene:KN540059.1_FG001 transcript:KN540059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTAVSGRLLLVVFWPATLLSLSSSKPRHAGTRRLVINFLATGVPRWCLVIWKNY >KN541836.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541836.1:4750:5686:-1 gene:KN541836.1_FG001 transcript:KN541836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP2-1 [Source:Projected from Arabidopsis thaliana (AT3G16240) UniProtKB/Swiss-Prot;Acc:Q41951] MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGVFYWVAQLLGAIVGAVLVQFCTGVKGSPGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDYTNIWIYWVGPLVGGGLAGLVYRYVYMCGDHAPVASSEF >KN540059.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540059.1:64504:67398:-1 gene:KN540059.1_FG002 transcript:KN540059.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAHLGMYSYAWQPIQSGDRIARHQEYRLNEVLPDFRAEISKSDINSPPELLKMLHNQVSKTNYNIKSDIFIVLTILMHVKQVLVFSGSNKWFTGHMLICDINCHPLLLYSSFHFLYNLTSKMLDLNHGLELVASSKYKIYSLLEEMLDGHSLQYN >KN540059.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540059.1:26703:27059:1 gene:KN540059.1_FG003 transcript:KN540059.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNQGRLYPQMANRSAGLWGSRRGGFHRRQEGRGPRRLQAEAGKLRKGSRRPYDPRSPEPEKVTRAPEPGGVLGAREGISGGHHEVSEHQWAARVRRASWREIRLGVLQRAKPRTKR >KN543407.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543407.1:6003:6284:-1 gene:KN543407.1_FG001 transcript:KN543407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKSGGREDDRAGCSTRRRRQLRMSAEMEVAAAAPAPGARQGGCDGTDGTLARLSVRAWPSEIRKGRHGKRRKKIGNLHNYPFSVGTSFKG >KN540727.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540727.1:4385:11333:-1 gene:KN540727.1_FG001 transcript:KN540727.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) UniProtKB/Swiss-Prot;Acc:Q9ZW86] MAAQCLLTCCHPHGFHDGRRDASHCHLCVSQCSRSYGTGASDSAQCVLANSSSLLQLAFFRRIDDHSHVTHLENDQEAAFLRLGLVKPEVISWSPRIIVFHNFLSSEECDYLRAIARPRLQISTVVDVATGKGVKSNVRTSSGMFVSSEERKLPVIQSIEKRISVYSQIPEENGELIQVLRYEPSQYYRPHHDYFSDTFNIKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGKMVKGLCVKPNKGDAVLFWSMGLDGETDSNSIHSGCPVLEGEKWSATKWMRQKEFV >AMDW01038309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038309.1:76:420:1 gene:AMDW01038309.1_FG001 transcript:AMDW01038309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKAHRAQEHAQCLHALHQE >KN540727.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540727.1:35084:39703:-1 gene:KN540727.1_FG002 transcript:KN540727.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDAIAPEKATNYEPSVWGDFFINYRPQPLQRSEEWMRQRAKRLKMNVRTLFWTSNDVVVRMNLVDAVQRLGIGHLFEDEIRRTLSDIHQSEFTSSSLHEVALRFRLLREHGLWVSPVTFNKFKGDDGRFMNGIADEPRGLLSLYNAAYLLVHDEPELEEAISFSRYHLKSMMQGNNLKHPLSDQVKRALNTPLPRTSKRTETLHYLSEYGQEEGHMSILLDLAKVEFNLLQGVHLKELKAISEWNENAVSVLPEYLKKFYHKLLNNFKEFENQVVVSEKYRIAHAKKEEAEWSHNNYKPSFEEQLALSTKTSTVQLLCVSTTVGRGDAITNEAFMWAASSTTVTSCAKIMRFMNDIASFENNIVPEWPQYLFKLIIIEKKRGKKKGDIASTVECYMNEHNVISEVAFAKLDSLIEDEWRTINQARCEHHQLLPVVQRVVNLAICIMFFYDKRKDAYTFSTHLQEIVRNLFINPITM >KN538994.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538994.1:86690:98433:1 gene:KN538994.1_FG001 transcript:KN538994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSKVKSEACHLSQRWALDTDLREQEGGLLQMNQPEVSGLLCVGLHQTDGGDELYATECLKMNQGSSHETNKLDAVMSASTMHSDHNNANACVDDYQHTKMMAGIQPIEEVGFDSTQPFELQPQGIVPDSEEESLPSSPETSSTSNYDMPDLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCGKTSHVEPRLTFSADGFKIEYWDSCENDEMAAQYWKISDITCIDCKWAQSVGSALITLHVGSGTKTGNSGHDRIHFCLIDSQWPRKQQNIWHLASRYQEIWNNIPSGDFASENWNIEPSLFFPKQYFSEHLSTRIEPAEKHRYHFFNSFFFRKLADLDKDQGRAPEDGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSDKFLNLRFISLEINDVRIVLPFAMWIIKRIDISIPFVYLCKSCVPFVVQLPQQDNSFDCGLFLLHYVELFLMDTPRSFNPLKIDSFANYLSDDWFPPAEASLKRSLIRKLIHKLLKEPSQDFPKLVCCSEQLDKTHGSENAELEQMSENAEREQAKELPAQMCTDGEPDSVGTILETQQPSISTCFNDSDENGPPVSVHNLHKLEVCSANKDAIVCLSNHDEKNESPPADSYNHLDLRSCDSEEAETAKGSAGVVKDPNSHKEPLLDSLDNNQDISIQAEAEMHDSMDSKLCSISNNADLMASEERSLDKNTNENEEHNRTSEDIVESVMMLGGSKSDTELDPEPERTAGEAEVGNCDHSKDIDYIALGDINKDAAKQSLNRNTVEAEDIKCEGTLVDHTVVEDATPYNVNETSASADKINDNERNVSSELKEGNNGNGITTSISCEMEDRNIDNVMVGDSRNGTDETRADGQEAHDNSATAETVPCEDNATTSITDAEMPHEDGTCSVKGEAISDNTASDAKRPLPDSTYIEDIPDDKCLQKDDGGGDEAKTERHYKRRKFLVSEATS >KN540727.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540727.1:31647:32638:1 gene:KN540727.1_FG003 transcript:KN540727.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNVTTGMRASGLQDPVALDLFIDTCKCFMLGTLTGSRQVEPRGKSMGTAIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREALTSSSGPPSTNDSIWTGSDQSSLS >AMDW01056741.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056741.1:31:312:-1 gene:AMDW01056741.1_FG001 transcript:AMDW01056741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTISLLLLLLGLSILAINSTAATTNNGQFIYTGFAGANLTLDGVATVTPAGLLQLTNGTGALKAHAFHPDPLHFRDLPVAGGGGSGNGNGND >KN540727.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540727.1:16282:20379:-1 gene:KN540727.1_FG004 transcript:KN540727.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIAKKPLLLLLLLLVLLLLEPRSSAEANLVGVNGGETDERRLESLVDDELPFSQLCLGFPTTVVAGGSENDVEEVVQAWYMDDDDNAEEDQRLPHRRQPDDLLPLAKLLDLGLVAMRLDADNHEHDENLKIMREQRGYLHMDIVELTPEKMPNYEVMIKRFFEEHLHTDEEVRYCLDGSGYFDVRDENDKWVRVSVRKGALIVVPAGIYHRFTLDTNNYIKMGPGGLLFGFLQTMRLFSGGPDWTAYNRPHDHLPERKKYLEALHKRTPRFGQLHRIRSKME >KN540727.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540727.1:43347:43766:-1 gene:KN540727.1_FG005 transcript:KN540727.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAPYPHVQYHRDQLAVEGAPPPVGREETFNHRHSTLRGIVERQFGIAKKMWKILKEIPYYRDEEIPARIIHAAFALHNFRLDSKDPTYRFTNALYNGNPVPLLNESFDQMYYVTNSEAAMSMLRDCIASDVYNNYH >KN538994.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538994.1:150695:150964:-1 gene:KN538994.1_FG002 transcript:KN538994.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEAARRLPRCVHVFHRGCVDVWLREHSTCPVCRAEVVVRPAGAARVEKLPESSASRALTSSAPAPAPRPTGTVVDDGRERDLEAQQ >KN538994.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538994.1:53577:55337:1 gene:KN538994.1_FG003 transcript:KN538994.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGVTIGAYDLKLDNVIPDNLILSVTRSTHAKRINLSNQFFRYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >KN538994.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538994.1:105570:108070:1 gene:KN538994.1_FG004 transcript:KN538994.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKCQTTKRDLLEQGNWLVAITINVLMACFMGLSAGLLRQATYTTARLGSFRVLTNKAIEKNDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPIAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEVSTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKQIGI >KN538994.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538994.1:121203:123289:-1 gene:KN538994.1_FG005 transcript:KN538994.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFKLASINAFLFPLRCLGRIKSLSRNSPAQHASMTNKIGPTVTSHTTVLPTSSIFDWKEYNVVSDILNEYVVAVRAMMWEVLKLMAEGLGLKEKDALVRLVSHEESDSVLRVNHYPPHPEFKQQGHGRLTGFGEHTDPQIISVLRSNDTAGLEISLRDGSWASVPPDRKSFFINVGDVLQVLTNGRFRSVRHRVMVSSPRSRVSVIFFAGPPPREQLAPLPWLVAEDGGRRRYREFTWREYKASAYRTKLAENRLCHFETEAD >KN538994.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538994.1:51383:52303:1 gene:KN538994.1_FG006 transcript:KN538994.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVADSGSVDNACLLFDEMLHRGIAPTVVTFGTLVTAFCEAGRLEEAFKVKEVMSLQYNIRPNAHVYASLMKALCENGKVDDAHKLKEEMVSNSEPLVDSGAYATLARALFRVGKKGEVVSLLEEMKEKGIKVGREVHNAMIAGFCEDEGDLDAAFAALDDMQKGGCKPDSVSYNTLVGGLCKMGRWRDASELVEDMPRRGCRPDVVTYRRLFDGICDAGGFSEARRVFNEMVFKGFAPSKDGVRKFVGWIEREGDAASLESVLCQLASVNALESSEWEKAMSGVLHDPAEQKIVKLLDNLSLA >KN538994.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538994.1:156505:157601:1 gene:KN538994.1_FG007 transcript:KN538994.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKADISLVNGGEVSLPGGKVEEGDADATATALREAKEEIGLDPALVSIVTVLEPFLSKIAQRNRKCEALANESITEVM >KN538994.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538994.1:109303:110723:-1 gene:KN538994.1_FG008 transcript:KN538994.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKGTVVMSRYELGRSLGHGTFSKVYQARSLLSGETVAVKVIDKEKALRAGAGMVDQIEREVAVMRLVGRHPNVVRLHEVMASRSKIYFVMELVRGGELLARLVAGGGRLGEDAARRYFHQLPENLLVDDDGIGDGGGNLKVTDFGLSALSASRRRDGLLHTTCGTPSYVAPEIIGDKGYDGATADVWSCGVILFLLLAGYLPFFDSNLMEMYKKITNGEFKIPEWFTPDARSLISRLLDPNPTTRITMDELVKHPWFKKGHTKRPATASSNTMKLNEEEKPANAAMNMKPASLNAFDIISLSQGFDLSGMFCCHGHSSRTQDQLFVTGKPAAAIVSRLEEIAETEHFTVRKKQKKRQEEDGMAVKLQGWKEGRKGQLAIDAEIFEVSPSCYVVEVKKTAGDTLEYQAFCNRDLRPSLNDICWTSPATATATAAPENQLPAVSALSPLSSPRN >KN538994.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538994.1:61427:64795:-1 gene:KN538994.1_FG009 transcript:KN538994.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAPAPEPMLLDAPPPAAVVACDKTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYMYQLFRGLVYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKALVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHEILVSMRKCVLSLVLIGAAFWSLQLANSSQELISRLIPEHVRRQAAHNFFNTGS >KN538994.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538994.1:2946:5466:1 gene:KN538994.1_FG010 transcript:KN538994.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGPERGEGRIKKGKDRMRRLNWVLRSQKKGIGGKRWAAERRRRRTRVAMVTSTVWMIVLDFWYGSWYLGSVHLGGSDYVEGDASKRDMKNDMKLMKKKEGKAAITGIDQAWSTQRWTKSKKRKWKRADASMVMIGEDEENDKSAESEVVHGKNVFTRRKCDPDGSHQELRREWLFPHYSSHFAELVIMSEMLRDLVFQFNPNTVHRQWTNHKYQNLFDLVKLDLRVKAYQKYDTGNRQFRDNIMWEDISEKLTTRNRKNCCIKWLVLSYIQASYCVLKT >KN538994.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538994.1:140067:143410:-1 gene:KN538994.1_FG011 transcript:KN538994.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyl-oligosaccharide 1,2-alpha-mannosidase MNS3 [Source:Projected from Arabidopsis thaliana (AT1G30000) UniProtKB/Swiss-Prot;Acc:Q93Y37] MSGGGGGGSGPLPYSMRDVDGGGAYNNAKFRHRSRLKEMYVEGVEFGWLEGFMAPRSAGLAAAEFVFVLVDCDRSCLLNDMAIEIEMASQALFTNSSKYQCGKFTVGKFLSLLMVSGVIYLLVHKSSDGFVSGELHEKVGNTHTKKDFPKIRTFWRKPPRLPPRLPPNEIYRNNSLLLQSPQSEWTLRQKKVKEAFEHAWSGYRNYAMGYDELMPLTRRGIDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKLSEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGDSGIPMTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVVLRDRTAHAAPDGLSSTSEATTLQLEYSYLSTISGDPKYDLEAMKVLEHMRTLPTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQERYRDTSLKYLFEMYTEAMKGVKHLLVRKTIPNGLVFVGELPYGRNGGFSPKMDHLVCFLPGTLALGATKGITKKKALENHLLTAEDIDNLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNSEGGPDGGNKSSQYVNDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTRVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPIIQSAQQISHSV >KN538994.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538994.1:172831:173805:1 gene:KN538994.1_FG012 transcript:KN538994.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRALAAAMVVVVGLAHAAAAQRYNAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGKTFFGRPTGRCSDGRVVVDFLAEHFGLPLPPASKAGGDFKKGANMAIIGATSMDAAFFKSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGNDCRSYLSKSLFVVGEFGGNDYNAPLFAGRAMTEVRDYVPQVVSKIIRGLE >KN538994.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538994.1:70486:73986:-1 gene:KN538994.1_FG013 transcript:KN538994.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNGGSCGGISKLDWATRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFRARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPALEGQYSLKDAVQVAAIAAMCVQQEADYRPLMADVVQSLVPLVKNRSTPKTCNPSVQA >KN540508.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540508.1:7900:10420:1 gene:KN540508.1_FG001 transcript:KN540508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDDRASAEITTDQENHLKDALIQVFAATSEHQEGEGEGAEVVQDEEIGEGVEGVRDEEIGDTNSKPDKPYVGMEFRDKDEAKNYYDDYARKWGFITKISSCRRSQITKQYNRYEFACHSERSSRESGASAGSRSRRSSRVLKTGCKARMVVVKRDEKWVVTIVDLDHNHPPLNPSALMSLKPHRLIKDEDHDLLEFLRTNKIPTQRIMSVLCDLYGSMQNIPLARKDSFNVEEFERRWAAVLDRYNLASNKYMQDLYEIREKWVPCYFMDCFFPFMSITQQSEVMEALFKDFVHPGDSIQNFIVQYEKLVQSCLDRDDKQLFLTVKTDANLWSKFPMEEQASKFYTRAIFERFQEHLKNTTMYNVVCEATPYSYLVQNVFGDQSQNRRYVVHCKLEDETFTCVCKQYEREGLLCEHILKVMTHRNVNLIPDKYLFRRWTLKGSDSAATRSHVPLNMAEASTRKMRYSTICKKSVCMASEACRTQEGYNLALRSIEELTDKLAAINLTRQDQHLPRPNICDKNGKGITMGESNTIAENVLSEICLKDPTKIRPAAFDNNSESARKRNKVMKAVQDLRSPFQQMPCWS >KN540508.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540508.1:34180:36284:1 gene:KN540508.1_FG002 transcript:KN540508.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNQPRLNCSAGYTWVGLGYSKTRSNRIKYRKVDEAWDGAIAGVHAVAVREGNVVAVVAFGDGEVDAAKPGGDSAEIGRDERREPQQEQYQPLASLLPWLGLVDRQCATKETRSEAVRVDIKAAGCTLRGQRCKSGCHGVDQKFVFSNGTGRRHGARPLPNAFPRMILAYQAKMPRWRFERVFICSRMTYRCHSGLRRKAVVLGEKEVVQAPDRKPSEKVQERVHPVSLLRGLPPSPSATAKLADCAGVGIGEVGASPSTRCAANTWDPITVTMEGTLIRANNSDRRRGGREGARSSWRRRGSSIVMEAGRELVVLNKQRPDPMTLRLDPVVKGSLPSCSHHVPSSPVADDVAVDGER >KN540508.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540508.1:11588:22060:-1 gene:KN540508.1_FG003 transcript:KN540508.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MGENTGDNPNMSILQRIAPSYVPLVKEYGLPGIIGAILLAVVIPIMLSSIFNKKGKKRAVQADVGGEAGLAMRNSRFSTLVEVPWEGATTMAALFEMASKKYPRHRCLGTRKLINREFVESPDGRKFEKLHLGEYEWDTYAEAFSRACNFASGLIKLGHQRDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLHSVKHVIYIEDEPVEAEVLNQMKHWTTFSFGEVEELGKTSHTDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKRLFDIAYKRNLGAIEGSCFELPVCSVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDEKGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEKWAQNSGINYKNFDELCHNDQAIKEVQQSLSKAAKAARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLGKLYH >AMDW01010550.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010550.1:13:228:1 gene:AMDW01010550.1_FG001 transcript:AMDW01010550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEAIHYVKFLKAQVTLHQAALVQHEEGCQHADVAAAFAAADADLALELNHRHGGAGDDDAGITTLEMAPA >KN540273.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540273.1:4352:6502:1 gene:KN540273.1_FG001 transcript:KN540273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAITAAVTKIARGGRRRSATDPTCKMPPPPPVVNSIALLRLLHTLFRSGLPAILHELYTKFGSVFTINLAGLLKMTFLVGPEVSAHFFQGLESEISHGNLLEFTVPMFGKEIAHGVDSATRNEQARFFVDALKPARLRIHVDPMVQEVEDYFAKWGQHGTVDLRRELEQLLLLISGRCLLGKEVMGTMFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARERLHAIFSDIVRSRKQQQQQQGDHNVVDKDVLQSLIDSRYKADGRATTEAEVAALMICLLFAAKHTSAYTSVWTGARLLSHERFLAAAVDEQDKIARELGNINGGGRITDDRYGSLMEMRTLHSCIKETLRLHPPVPMLVRTAHKQFTVRTREGHEYAVPAGHTIASPIVISNQVPYIYKDGHLYDPDRFGPGREEDKVGGKFSYASFGGGRTGCVGEGYAYMQIKAIWSHLLRNFELRLLSPLPKSDFTKFVPEPHGELMVSYKRRQLLPTT >KN540273.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540273.1:32448:34660:-1 gene:KN540273.1_FG002 transcript:KN540273.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASSLPLSSGSVITVSSSPAAGASSGGGGGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQELSRARFELSKYQAAVAVSSSNGQAAAAAMADHFIGSAVQPNCTQNFISGGGVAAAAIGVGGGAGDYSVFHAMPCKFQLKPV >KN540273.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540273.1:18388:18717:1 gene:KN540273.1_FG003 transcript:KN540273.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLAWAVPLLFLLQRTADAADTITASRPLSGNQKLVSQGDKFALGFYRPGDNRRGEYGARA >KN540273.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540273.1:15141:17374:1 gene:KN540273.1_FG004 transcript:KN540273.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKLARVRRPITLNPKSKRSLPPVVNVIALLEHLPRLCTKGVIAVMHDLYTRFGSVFTVSLFGLKATFLVGPEVSTHFYQGMDSEISQGNLYEFTVPMFGKGVGFDIDNATRTEHLRFFIDAIKTSKLRNHVNSMVQEVEDYFAKWGENGIVDIKHEFEKLLMLISGHCLLGKEVRDNMFDEVFSLFHELDSAVGLGSVIFPYIPIPSHIRRDKAHAKLTKIFSKIVRSRRDSNRPAEQDVLQYLIDSKHRDGSSTTEQEVTGWIISMVFAGKHTSTNSTTWTGECLLTHDKFLTEALDEQKHMIQKHGDHIDYNVLLDMDILHCCIKEALRMHPVAPIIYRKAQKSFVVRTREGDEYDIPEGHNLLSPMIFNNRLPYIYKDPHMYDLDRFAPKREEDKVGGMFSYTSFGGGRHICIGEAYAYMQIKVIWSHLLRNFELKLESPFPKTNWSKILLEPWGKVMAIATALVYMILSIHTTIIMTYPK >KN541378.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541378.1:4844:12126:-1 gene:KN541378.1_FG001 transcript:KN541378.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVDEQPVNEDGISVPKSLVDQIRRQRDLEVEEDDLYNDDDDDDTLGQYDSENECTIMIPNDDEPLLTDIEKERAKNIMRIDQRMQQLGIKRLSEIVKQSYARKKPSKNRNKNDQLSESTELEAVAPSVAVIGTPSKRVLAPNNLEQTRCTRQSVMREKEAAALRLIGATEVPPPVLDSTSIQNDEEMDITVEGNETKYILTTSAELISFLLIRQHDDMEAILRRPVHEGEQEMTCTDIVAQVLTKSSTFLRNVGLQQPVAAPKSISPQMQELQAQLEAEKEESAGLRQKVQRLEAQAEESEAKAHKQAEEIENLKKAITDTQKSAADTQNLIRQMIAFGQTQVTHQTTPP >KN541378.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541378.1:19126:20594:1 gene:KN541378.1_FG002 transcript:KN541378.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLDDEVHKIESCFVQPSKMLPRQALWLSPLDIIKASRGHTPLVHFYQHGDDAAADFFDVGRLKKAMAKALVAFYPFAGRLTTDADGRPSIDCNNEGVLFVVARSEQLTVDAFSNLKSLPELRRLFIPCIEPPSTVLAIQGRQYTTPPSMAIACMSHFLQTWSYLCREGDAAEVVELPCHNRALLQPRSPLVVCPDALAVFSPKTNLCEPPGSRPVSTKIFAISEDEVATLKMMCGGVSTFSAVSALVWRCVCIARRLPPDAWSKLSFPVNIRRRVHPPIPGGYFGNAVVTAFAETTVKNIVVSESVRGGFVHVMSGRPADGCGVRMLACLEAENLEEFERLLLAKFTNAN >AMDW01123605.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01123605.1:244:1179:1 gene:AMDW01123605.1_FG001 transcript:AMDW01123605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAMASPNGNADPTGRTLLNMVKPLGHQLMEPFLKVGKVEVKVLVKEVKQILRMIHITRKVDKSKME >KN543884.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543884.1:1:3497:1 gene:KN543884.1_FG001 transcript:KN543884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDVDPEADAAKKRKGSSALRDLARGGGKEKKEKKRRKEDGREREGGRGMGMAREKRGGSGGKGFGGGFQIILEDDQEGVRTLDDDNFIDDTGVDPADRYGSDNDGHSPRHYPQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAVLKLLTDVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQGGARQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKVLSLPTRLAVFASMLHCWQVLPCHMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >KN539193.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539193.1:74451:75248:-1 gene:KN539193.1_FG001 transcript:KN539193.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGELLLLGTWSSPWVIRVRVALGMKGLSYEYTEEDLSSKSDLLLRSNPVHEKVPVLIHGGRPVCESLVVLEYIDETWGATGTPPLLPADPYDRAAARFWANYVNDTFFPSWKALFRSTTQEQRAEAFKNVVLRVQALERAFGECSKGKAFFGGDDAGLVDVALGSHLVWIKVVDEVAGANLLDEAKFPGLAAWAERFLAVDAVRQVMPDAGEVLKQYRGFLAKWTAGAGSN >KN539193.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539193.1:44091:45353:1 gene:KN539193.1_FG002 transcript:KN539193.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHFPRDSDSDQNVRMPFHRHKSIHKLLGGGQVEYNIIPLLGQIAILAMLVIFIWSNAAPLLDRAPPRIPEIIISEHAFREMALTVHYKLTYTLSVLYDIACGKDLKRFLLFWTNNQIVYDIKVVGSLLVLSAIGSSCSLTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKFYKKVDSNLLNKIPRGPVKTKVK >KN539193.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539193.1:14055:15029:1 gene:KN539193.1_FG003 transcript:KN539193.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLLLLPFFLLIGVHASASHGSPLPPTYNTSICSKSYKCGDVNISYPFHLSNAIGGTYGYAPFSCGYTDLDITCRWDRGKETPTIQLNGSDYTVLNISYDSHTIVLADTDALRGSCPRVRHNVTFGQAYEWLQYTGPSDNLTFFFGCNLVPPPPMDPGLTRFADKYQINCKNFSNLPNDGDSFVFTSGELDASTESELDRRCSQIIVVPVNGDILNSSNQSALPSGEYGKVLKKGFELAWKSRKDEQCYQCEQSKGQCAYSQYRAFLGCLCSDGKVSTQDCRNSGAPPPTSSKSFDADLFFNSLLQSQQLVDYLALSIPIFR >KN539193.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539193.1:126222:127390:-1 gene:KN539193.1_FG004 transcript:KN539193.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSCLVDDTNSGGSSTDKLRALAAAAAETAPLERMGSGASAVVDAAEPGAEADSGSGGRRFRGRDAVTNFRPLAEADPDAAAELRFLATRSKAEVVDMLRKHTYFDELAQSKRAFAASTPSAATTTNASLSNGHLSSPRSPFAPAAARDHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSAASAGDDGKLFIDCKLVRSTGAALASPADQPAPSPVKAVRLFGVDLLTAPAPAPAEQMAGCKRARDLAATTPPQAAAFKKQCIELALV >KN539193.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539193.1:5529:7537:1 gene:KN539193.1_FG005 transcript:KN539193.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPCCLFLLLAAACCGDPTGDTYDTAMCGAQASITCGGVIVRYPFYLSNATRALPKYANSSTFCGYPGLEIICGGGGDKAVMMLGNDSYTVSRIDYASLIVSLADADVANSTCPVVSHNVTIPPAPSSLHLADTVGMLIFFFRCAFYPAANASSKPPSIDPLTCGQSSEDDPRPSFLLPASTLPSGGSWYRGCEAVYDVPLLGGSVPSDANDPAWRKDGYIASLRKGFQMSWNRSDRCSRCELTSGKCGYNQNGKFLGCLCADGLVDSDGCSKISDSTLPSPGKNPHVSKYYTCIGRMQLNNPTIRRKTHYG >KN540748.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540748.1:1019:10226:-1 gene:KN540748.1_FG001 transcript:KN540748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNVIVEGGAVPALVCHLKEPPAVAVLQEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVNLLKRHKNATNLRAVNSVIRRAADAITNLAHENSNIKTCVRIEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKSQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQRSSFVSQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLELLLDLLVSVSLKHQLDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNVSDMYDLSEAFHAMSLRHTCVLFILEQFEKICVKSGSSQLIQRVIPELRNFFAKALRPSHRNAQP >KN539193.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539193.1:113028:114875:1 gene:KN539193.1_FG006 transcript:KN539193.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLVLLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVFTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILDPDQLESNAQPTSVDRPNSLPNGSFRSSNGLEMGHTGQGQALDFMEAGRRYQ >KN539193.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539193.1:87277:91383:1 gene:KN539193.1_FG007 transcript:KN539193.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVADTTSDMSQSSAFDKITTQKLNGAGPAKDDSTCLAQNFEVPVVGDKFEVPVVEDKDVIESNTSEEPQLVTKLSNTLARLGDTFSGYVKDSRLRSIADGFFNQVSELVPDASLTSSLEEVSEKILGLLELPQTSAISDQISHLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIKQQSPTKDGKLLAIGHSMGGILLYAMVSKCGCEGAEPELAAIVTLASSVDYTTSNSSLKLLLPLADPAEMLRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPDLLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFFFKQHLHKIKVPILALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSKHDEVSS >KN539099.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539099.1:79417:79746:-1 gene:KN539099.1_FG001 transcript:KN539099.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPFLLPRLSLSLLAFFFAVEDAVVLALAKAVHGAQAQRHLLLLVTCTRLYQQLTEHFSSQEHHLSVRFETLRSPHQAHVLQRAKDWENCDVPHQLNDTKEEAVQRKQ >KN539193.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539193.1:43060:43395:-1 gene:KN539193.1_FG008 transcript:KN539193.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPSFSSVLAAIAIAALLSSLLLLQATPAAASARASKKASCDLMQLSPCVSAFSGEGQGSPSSACCSKLKAQGSSCLCLYKDDPKVKRIVSSNRTKRVFTACKVPAPNC >KN539193.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539193.1:17278:20407:1 gene:KN539193.1_FG009 transcript:KN539193.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCRRRRLLLLLLLLLLVAASHGDASGDTYDTSICLEKPTTCGNVSISYPFYFPDKTRDISGSSNSYCGYPGLAIDCDDGKPTLQLNGAEKYKVNNIDVGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLFFPAGMSLDYLVFFLGCSFPNLFLSPENTDPITCSFIGLTGQSYVLPKDQVPPGNWPRFCQKTYEVPVVKYQPMNPKGDAWRKGGYGQVLLQGFPLSVNDSRRPPNCTQCEESKGRRFKPTEEKKTFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYKGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVSLLGFCLHRSKRALIYEYMPNGSLERYAFRKNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGTISSKSDVYSYGMMILEMAGARERNIDANSESSRHYFPQWIYEHLDEYCICSSEINGETTEVVRKMIVIGLWCIQVAPTNRPTMTRVVEMLEGSTSGLELPPKVLLSCSNFYEILCKLETATKISICAAMRNYGYFNCKLDIAISESELT >KN539193.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539193.1:49812:63176:1 gene:KN539193.1_FG010 transcript:KN539193.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSRSIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLKTLLKDDIICRARISPEDSVGAPSFTISKTAGEMASGHEDLLDGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEELPNLKYYTLNDQLELLAQSLSARDDMIGIEHLEEDSDGKALMKARRSAGSMSAFSGSGGMVYMEYSLLVEMVLDDAVFLNSVVLHVQGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSETMEY >KN540748.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540748.1:34420:35906:1 gene:KN540748.1_FG002 transcript:KN540748.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSIVDLLSRMHSPYLVGLLGYCADQSHRLLVFEFMPNGSLKSHLHRRALAPAEEAPPLGIALDCARALEFLHEHSSPAVIHRDFKCSNILLDHNYRARVSDFGMAKLGSNKANGQVTTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTKRPPGQHVLVSWALPRLTNREKLVQMVDPALIGQFSLKDLVQVAAITAMCIQTKADYRPLMTDVVQSLIPIAKSPLMSCTSTPLRPAHGHHHVVYMSPSRGSSNGGALETRCVMHGLD >KN540748.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540748.1:17684:18588:1 gene:KN540748.1_FG003 transcript:KN540748.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSMFANQVVVKPDEIAYVFTAAAAAPFSRLMLSEAGVIQRLVAGKKYRTGPWNGLWFSGVPEMASSSSMFANQVVVKPDEIAYVFTAAAAAPFSRLMLSEAGVIQRLV >KN539193.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539193.1:78131:80175:1 gene:KN539193.1_FG011 transcript:KN539193.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAITMDENLGRSGSFRAAMSIFGESINGRKADKNRGTVPAQENLSSEMKQLAQSGLDKLNERKAYVDKERAGAESELSRARAMAKELERQIEQTTAKATSQRSELQAMWAARTRRNGADAPGAERDARYAEVVQELDQAKKELLRLRLEVRSAAEAKAKAERDIVASACTIQSSLRAVDEMKRRVDEANEEHVLVELARIEAERERREIDAQRGAEAERFAADIEAARARVRALQKEASRAREMEARLAVTNSDVEVLQAEMELVRAMEKSHAKSDEAAEDAARRKKEEAQDKALLKTAEAELDAAKKELETIKAGSFQFMTSMDRTRTEIMRVAEEISLLKAKEKRADAQVQQLNAKLLKAKARLESVTAADERSKAIVSNLAAAMKQLKAETEAARMEEDLTKLEKRCVIAEAENIEKEIATTEGRIKQSVKELDAAKALEAEAMRKLRDTVESTMQARASSAARRQGTMTISRFEYEYLTGRAALVRVVADKKVAAAQAWVQALKASEKEAAARAEAAEREVREMEARAAQVAAEAEKTAAEQKELEQELYDLNAAAERDGLQCAYPRRRSSRVSATSRRSKPRRSSVSAGARNPRSPSFTIKRKRKVMPNLLKLIKDKRGGKKNTN >KN539099.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539099.1:144797:145980:1 gene:KN539099.1_FG002 transcript:KN539099.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTSSTVLWLTTAVALLLIINTVTLRALQKRRSSPTAAPPPPVVQGVGLVRFARAMARDGPLEAIREQQAKLGSVFTASALLGLFKVTFLIGSEVSSHFYVAPDSEISMGRLYEFTVPIFGPGVLYGVDLETRKEQIRFNWDILKPRSLKASVGAMAEEVENYFSRWGVQGTVDLKHELDGMLPYLPIPAHRHRDRARQRLGEIITEVIRLRRNSSRGAAGTDEKNDDMLQCLINSRYKDGCAMTDAEIAGLVVALMFTGKHTRNGFYIKL >KN539193.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539193.1:92460:95515:-1 gene:KN539193.1_FG012 transcript:KN539193.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSRVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >KN539193.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539193.1:106658:107374:1 gene:KN539193.1_FG013 transcript:KN539193.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHFDLVPALPPSSPQVPQPSLSSLSALGSTRHRRNSPRSSLLAPPLNRRRLNNPDEGQSPRGRGEEANGDNGVLVMATSFPWVTSADLPVLHCTLESMLLKGITSVEGKATCNRCSTEAPIAYDLDAKFREVRDYVAANIHIMDDRAPEHWMCPRLPDCGSCGKKACMWPQIPNEKREINWLFLFLGQMLGCCTLEGLKFFCKNTKNHCTGAKNRVLYYAYIEMCRQLDPQGPFNI >KN539099.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539099.1:38854:39763:-1 gene:KN539099.1_FG003 transcript:KN539099.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGILRREWRRQNALMLEEKERKERERRGEIIAEADEFKRSFAEKRKLNGDTNRAQNRDREKLFLAKQEKFHGEAEKQYWKAIAEMVPHEIPGLEKRGKRREKQSASAEAKAKQPGVVVVQGPKPGKPTDLSRMRQVLMKLKQTPPPHMAPPPPQPAKDTGGDTDAKKDGGKEAKTDGKETGEVEKKAAGGEKEAAAGPPVTAAAATDAPANKAAAEETAKK >KN539193.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539193.1:46112:49198:-1 gene:KN539193.1_FG014 transcript:KN539193.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELRDMFISIPEEKFRMDISSTEIRKKQGP >KN539099.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539099.1:86289:87336:1 gene:KN539099.1_FG004 transcript:KN539099.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MACARAAAGDKEMFLGELSTAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKDTKKLCYSSRATFYIMPVASL >KN539193.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539193.1:27432:34156:1 gene:KN539193.1_FG015 transcript:KN539193.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPRLLLFLFFLLLHLHLHAPVSHGSTVQLSDAYDPSICSKSYNCGGVEIKYPFYLSNTSAPYICGYTDLKTTCLEDRPTIQLGGRNKYNYTIHNIFYNNRTVKLADTDALSGGTCPRVSHNVTLDGKWLNYTASYESLTFFFDCNSIPASTPDPSQGKPSPDQRPQQPSAATDSQIRCKEFINLNNGLSSFVLISRKINASIENELMATRKCGQIIVSPVDGGALVNINDQSLASGRYGGVLHDGFEVAWNSSIDERCYLCEQSQGHCSYQDSMFVKCLCSDGKVGIQDCKFPPGGSRLKRIILYIVAGVSSVLLLCLLFACFYGHKKNRSKQIATGIPRIESFLERNGTLHPKRYTYNEVKRMTKSFAEKLGQGGFGAVYRGNLFDGRQVAVKMIKDFMGDGEEFINEVASISRTSHVNVVSLLGFCLQGSKRALIYEFMPNGSLEKYAFNYSKGGSSLSWEKLFDIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESTISLIGARGTIGYIAPELILKQLGTQIMDIYLVTKIYMHGTEDMLP >KN539193.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539193.1:71356:72162:-1 gene:KN539193.1_FG016 transcript:KN539193.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGGELQLLGAWYSPYAMRAKIALGLKGLSYEYIEQDLFGKSELLLKSNPVHKKVPVLIHGGRPVCESRVVLEYVDEAWPGAAPPLLPADPHGRATARFWATYFDSTFFPPWRALMRATTAEQRAEAFRKAVPQVEVLERAFVECSKGKTFFGGDAVGLVDVVVGGFVVWFKVVDEVAGTSLLDEAKFPGLAAWAERFLAVDAVREAMPDAGKLLEHYKGFLAKLASPAGST >KN539099.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539099.1:112639:117926:1 gene:KN539099.1_FG005 transcript:KN539099.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLPVRALLGPSSWSPISVLMLLSRRRRIVKHLESANTMFSFIWWIIGFYWISVGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVEHFLSAEDAECCICLCPYEDGAELRELPCNHHFHCTCIDKWLHINATCPLCKFNIIKSNLGPEDV >KN539193.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539193.1:39082:41607:1 gene:KN539193.1_FG017 transcript:KN539193.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKLCFLASVLLSMSTVVDLAMAASAGVNIAVYWGQNGSEGTLGETCGTSLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYLKAYSRQGSSKKPVYLTAAPQCPFPDASLGVALRTGLFDYVWVQFYNNPPCHRSYDKDSSFSVKLQAALQNRNKPTGAGASSHNKRKIYIIAGVFAGVLLLLFLLLITYFLCHKKHHGQHPPVQELTTPPKAEPSQKKPRAQHLKRYSYSEVERMTKTFAHKIGQGNHGDVYKGNLRDGHQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVVPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNVRGRDGYDAPEVVSRKFGAVSSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQMAPANRPSMSRVVEMLENSSTNMDLPRKSIE >KN539099.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539099.1:35968:37642:1 gene:KN539099.1_FG006 transcript:KN539099.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGAGSSAGVVEVILADISDSLSQALASLMLRAARADGSSRTIMLQYGDRDHGDAYPWRKYGQKGILGTRFPRNYYRCGQILGCTARKQGYSVGIGVTHRTMPAAPMAVPSALQKLEQHFPAWSSDDVMMACTPSMEASSWVFIPSPACSQSELLSEAEVPELRRVQDAFSPDDPVEVEEHKKPCDADDEFLALQLHDSVVPDFMY >KN539099.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539099.1:146374:146898:1 gene:KN539099.1_FG007 transcript:KN539099.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRRTDDYHCLDYNTVQQMRTLHCCVKEALRLHPLVAAVRQAYKHFTVQTKEGKEYTIPRGHMVVSTILVNHYLPHIYKDPHVFAPQRFAPSREEDKAAGRFSFLSFSAGRHACAGESFSYTQIKVLWSYLLSNFEIKMVSPFPETGWSTVIPEPKGKVMVSYRRRRLNSK >AMDW01024097.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024097.1:52:282:1 gene:AMDW01024097.1_FG001 transcript:AMDW01024097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRKYKKLFWVSAIAPVLSVALSTLFVYATRADKHGVKIIQKVNSGINASSVKQIDLKGGYAAECAKIALVCAVIALT >KN539099.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539099.1:89854:91398:1 gene:KN539099.1_FG008 transcript:KN539099.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQAGQSELPHEQQLLQLQASTELMNHSLGYARSMAPRLPFLRRVMRVLVASGVFAHVEEEEEEDHYRLTPVSSLLVTAGDGGGGRSLLPLVLLQLSPLCVTPASSMAEWLRSGGEEETAFEMVHGVGLWGACSRAPDLGVLFNDAMAADSRFIMDMAIHGAGRQVFDKITSMVDVAGDMMDFIPKADALLLKFVLHDWSDEDCIKILKRCKEAIIPSRAAGGKIIIIDVVVGSSSEAICQGTQQLFDLIISVLTPGKERDEEEWCNIFKEAGFTKYKISPVLGFRSIIEVFL >KN539099.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539099.1:44025:51296:-1 gene:KN539099.1_FG009 transcript:KN539099.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPKKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKFLIQFLPTEIDAEENLGLGMCCSSSHANSLSRCCVNVISFLKYSTWSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSKDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKDNKVTTEKLRNLLGLELGEKNVSIMRKIKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDGDETKHIETSADHHDDSQGRENSDLQAQDHKGTSCADRSISFCASNLEEQNEDYVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTRVSQNTQAQSLEGITYTGPSMHAHEQNQGLKGTSYKIMIDKGHSANDISLVNSYPEMNDVTMDPKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAVKGVKDLWELPEPDNSYYLPLENSSVYNGSGGLQIGHRHLPAGQRGSVVCMENGILSQQQSQVTIASAFPMDNPASFMQPCSNRQSNGQVQTVVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGPWTR >KN539099.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539099.1:30497:31861:1 gene:KN539099.1_FG010 transcript:KN539099.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAACDDQSLPAPPPEASLLPSYGQCVVANSGGRSVSKRKAQRRSRADGSSRRIILELGDRDDSYPWRKYGQKDILGARFARSYYRCAQMLGCTARKQVQQSDDDPSRLEITYIGLHTCGGDRPASPAPTNPADCPRCDAATSSYRLLPSALQQKLEEHVPAASDDMMMACTPSWLFIPSPACSQSELLSEGEVPELRVVRQEPDDPVELVEEHKKPSDADEDSLALHDSVVPDFM >KN539099.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539099.1:122169:133097:1 gene:KN539099.1_FG011 transcript:KN539099.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTIVFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGTLKSVITGTLCILFTLSTELAPVLGLLMVSVSVLVALFKRSTVPTFKSYGIVQARISDTASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAEDIDDSLAYGLAKELEDSNGAVHENGTANKHYMSALKSSSSCSNLAWSGDIHLEGVHFSYPLRSDVEILNGLDLTIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEGNSRTFKTCVMAKIQATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHQIAVCSDGKIAELGTHAELVASGGRYASLVGTQRLAFE >AMDW01036627.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036627.1:80:423:1 gene:AMDW01036627.1_FG001 transcript:AMDW01036627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWAGMHTVRYFDGKTYEWVGLSRQPSIMGKNFRMDSFLSYDLQEPLATAKSMGAFYNNAVAEQ >KN539099.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539099.1:56071:58892:-1 gene:KN539099.1_FG012 transcript:KN539099.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVLASVLLLDATVTPDSEKLYDYCVYDSDIATGYGVGALLLLAAAQAVVMLASKCFCCGRGLKPGGSRACALILFLFAWLTFLIAEACLMAGSIRNAYHTRYRGMFVGTSVTCETVRKGVFAAGAAFTFFTAILSEFYYVSYSKSRDAAGGAPYGGSNIGMGTYS >AMDW01037032.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037032.1:379:660:-1 gene:AMDW01037032.1_FG001 transcript:AMDW01037032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AQGFYVASSQDGTSKTLVLTAMFDGGGAEAHGDTLSFFGVHRMAAPESHVAVIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFSIHLI >KN540764.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540764.1:14333:18650:1 gene:KN540764.1_FG001 transcript:KN540764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIWKNRAQLRSGLPPGAPVLHLGTLPPPATEAASDELCNYLLANFSCLPVYLPADLHRRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRALYHSFLSANRAFADRLTEVLSPDDDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVREDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTITVKILPVGIDMGQLRSVVSAPETGDLVRRLAESYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDIQEVQGEARAISARVNARFGTPGYTPIVLIDRGVSVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTGLDDAAKRSVIVLSEFVGCSPSLSGAIRVNPWSVESMAEAMNAALRMPEPEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVDHIVPSYRKSDNRLILLDYDGTVMPEGSIDKTPSNEVISVLNRLCEDPKNRVFIVSGRGKDELGRWFAPCEKLGIAAEHGYFTRWSRDSAWETCGLAVDFDWKKTAEPVMRLYKEATDGSTIEDKESALVWHHDEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVDNLLSSMVSRGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPASSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQRPRQVQLRVSFEGSL >KN540764.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540764.1:36596:39471:1 gene:KN540764.1_FG002 transcript:KN540764.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKESLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRCSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >KN540764.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540764.1:24239:31052:-1 gene:KN540764.1_FG003 transcript:KN540764.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGRWLIVCTLQSALRLVLHPLIIHPRINQCKTPAAAASSASGIDGVENKISPSIVFIVAVLAIVFFVCGLLHLLVRHLLRLHRQRRAREDAESATAFEGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVGVAGGEDGKDPFDCAVCLCEFAGDDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCTPVVMVLESESSRDMVHAADDEPADAGGEDAPGAEEVVEVKLGKFMCVEGNVNFNVSAIAGEGDGAGTSSNGNGDATNAKAGGLGQRRCHSMGSYDCHFYSHDEDVEHLFLRCPKAQLSGFHWAWPICVRARLD >KN540130.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540130.1:293:4144:1 gene:KN540130.1_FG001 transcript:KN540130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYFQDLSGAEPVLKLQSSATSPIIDPHLSPDGSMIAYVRDDELHTVGFSDGQTTQLTYGASESGKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVSSHGGEITWMDLLCGEPNSIHGDEEYLARVNWMHNSAIAVQVLNRTHSKLKLLKFDIASGKREVILEEEHDTWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYLHDKNGVCLGPLTQGDWMVDQIAGVNESSGVIYFTGTLDGPLETNLYSTNLFPDWSLPLQVPKRLTQGTGRHSVILDHQLLRFIDVYDSIKSPPVILLCSLLDGSVIMPLYEQPLTVQPLKKFQQLSPEIVQIEGKDGTALYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWISTVDMRAQFLRSKGILVWKMDNRGTARRGLQFEGQLKYNIGRVDAEDQLAGAEWLIKKGLAKPGHIGLYGWSYGGFLSAMCLARFPDTFSCAVSGAPVTAWDGYDTFYTEKYMGLPSEQRDAYRYGSIMHHVKNLRGRLLLIHGMIDENVHFRHTARLINSLMAEGKPYDILLFPDERHMPRRLGDRIYMEERIWDFVEKNL >KN540130.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540130.1:21780:30857:1 gene:KN540130.1_FG002 transcript:KN540130.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIYIEGLKWLNIGQKVQSMLLHKHRILDIKQHLQDLGKENGPKLWEYILHTVTPLHLEVSCVGLSVVSTGRNVKRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHAEWTSAHALGGMIMNAVIMFQNVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFRNISKQILMNRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNSLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSAPIPATSQPSENDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCRFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTSTGLNCFIEENMLEIPASQQILSGYQLVTVGIPIYDGRFRDMRWKAERLVAMCYVYFSRNFPLQSLSLYK >KN540130.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540130.1:11855:14701:-1 gene:KN540130.1_FG003 transcript:KN540130.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYAVKGRKKKRKLDDGGASRDPRTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPPQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGAKKIGLSYSAEKSVNLFDYVAKSSDDVPLVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWNIQ >KN540130.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540130.1:8681:10738:1 gene:KN540130.1_FG004 transcript:KN540130.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGIATLSPLLDQFCFVPHGEPRSQQLDSIVIFLAMPGVAPMPMRVLHSDSVASVKLRIQQSKGFVTTKQRLVFSGHELSLNNSHVRDYGLTDGNVLHLVVRLADLRAISIETANGKKFQFQVESRCNVGYLKDKLSAESGQQLGSLKDQRLVFDGEELEDNQLIADISKKGAAVIHLFIRRPAKVQTQQGDKETVVTVVTPKDNDNLQTDALNLAKPAKGKPAPVEPIIANGKVKLSPAVMEMIYSTISGLENGYLPVMSTEGSGGVYFMKDSSGESNVAVFKPIDEEPMAKNNPRGLPLSIDGEGLKRGTRVGEGALREVAAYILDHPVYGCKSCDVPGFSGVPPTALVRCFHMGKGSKVGSLQLFVDNNGSCEDMGPRAFPVKEVQKIAILDIRLANADRHAGNILVCQDGEDHLKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFGPETAAYIGSLDADKDVALLKFHGWALSPQCARVLRISTMLLKKGAERGLTPYDIGSILCRQTVKKESEIEAIIEEAEDAVLPGTSEETFLETISEIMDFHLDKLAVKLKKF >KN540130.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540130.1:34924:40316:-1 gene:KN540130.1_FG005 transcript:KN540130.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g08490 [Source:Projected from Arabidopsis thaliana (AT5G08490) UniProtKB/Swiss-Prot;Acc:Q9FNN9] MPALDDRMRCSALLREHAAGGDHYGCTSLLRWMLARGLRLNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGHADGAVVAKAVMDMYGRIGSLADAHMVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFTGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRIDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAVRNIVTDNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSPHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYNFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFIASDVQHQDRFSIYDVLGSLYQQIRGQHMETSAYNHEPVGVSLSAQKKIGSWRCIVLPETEKRVEDLYSDVKKVDITLIQCSRQDNCSMHHMIIVPGKNFFPGNFFYDIAK >KN538826.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538826.1:179075:185711:1 gene:KN538826.1_FG049 transcript:KN538826.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNAKHKKWSPVKPNRRRQKSPCGCCPASSPNISKTLNPTNQQQRRRLLIRCARMGKGKGKEKGKGKWKRPPTVKPPVMAASASDDDEIDAYMESEDDLEHPVFDLEGISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKASNHQVKVPDGEHSFETYVKMKEEFAVLSRDEKMGVLDSSAPELVGLLSELKDAHEELMAIGPVTNEVTAGQSKDKGKMQPLEVKRACLAACCQAITFYLLMKAEGLSVQDHPVIARLVEIKSVVEKMKHANVNFPRQKEDIDDYCMPDSSIMDVADMISLDKKNISSNLLLRDKGVEVAELTKNDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERSDLQTLDDFDDEVLKNTQVIKPSKVLVAAAKSNKNKFVSGDDELPKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDGDIGPSESEDEFYKDVKRRRTEKLSTKEQKYSPNPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >KN538826.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538826.1:217438:220811:-1 gene:KN538826.1_FG052 transcript:KN538826.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEHRMSPSPVPAPAPPTPVGRTDGDAPPMVLGLQLSALIDHVARVDWSLLNRIPGDRGGSQQVCIEELNHILAEVNAQILPCRDDLSPIRTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGVLFVSNMSFSGVDLTRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFKKEDFKGSKWLVVRYARQNMEQILEAIRIAKQEGLSVSLDLASFEMVRDYRTQLIDLLETGNIDLCFANEDEARELLGGELTFDPEEALAFLAKYCKWAVVTLASKGCIAKHGKQVVQVAATGESNAVDATGAGDLFASGFLYGLVKGLALEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNASGLLLPDLKN >KN538826.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538826.1:115200:122855:1 gene:KN538826.1_FG053 transcript:KN538826.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKSKAKKIEQRDVGMNLASREREEKEERNTGDEPDGEEEEEGGGEVGHQDLGLGQLERHEAREVKLRRRLNAALFAAACFSRLSLDFSYITLEALPSCFHHILRKHFSLLMSQVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQANKITSEHDYSGRFIIDLQWVSRDYQQLPVNSLLGTSNDIVKPETASVKASHMGADFDKLKFDPTEFSSKKEVFIVRNIILSTLKFANACHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWHACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKHLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSRTFLATDHLLSNTSSSHELFFQRWFFSLRASFLEILADFLGILTAHLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHNSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYHLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKRKKVRVEEKEMVTAFARFEASDSGMGLSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRKS >KN538826.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538826.1:227031:228114:1 gene:KN538826.1_FG054 transcript:KN538826.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAADSGTAGAAAAAAKWRTDASRAFQYYLDRSTPHATGRWIGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPEAHAAASSDGPALPTRGSDEFKPFIRRLPEFKFWN >KN538826.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538826.1:214775:216238:-1 gene:KN538826.1_FG055 transcript:KN538826.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAALALALRRATSSAHKQKQKQALALTDAAASRIRQLLSLRHRPYLRLGVKARGCNGLSYTLNYADEKGKFDEVVEDKGVKVLIDPKALMHVIGTKMDYVDDPLRFMICAQHFLLSTYYLLSLPYGGFSCRSEFVFINPNSKGECGCGESFMTTSSK >KN538826.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538826.1:192389:192994:-1 gene:KN538826.1_FG056 transcript:KN538826.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSLYLSNLDDQRFLRFSIKYLYVFPPSAAVAADALRAALARALVHYYPLAGRLRHHADDKLVLDCNAEGALFAEAFLPTLTAADFLRAGATAKPHKSWRKLLYRLHAATFVAVPPLVVQTVQYIDRTSACWLS >KN538826.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538826.1:169909:173910:-1 gene:KN538826.1_FG059 transcript:KN538826.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSAFFSRNIAAARGVSFLPGIKQRLLSFIFRKTWNEESDQTLRVQHLKKKFYFHFQDYVDLIIWKVSRSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKANSTSQQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKFLNFLVPRFQLISAIDRHRHCTEHPIKFISVKQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >KN538826.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538826.1:188941:189474:-1 gene:KN538826.1_FG060 transcript:KN538826.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLLFSVNVRRRLKPELPWTYCGNAFVLACAEATPTELAAVGAGVRLVQEAKECIDDDYVRSTVDLLDERRGAKPDLAATLVISAWTRLGLEDVDFGKGRAAHMGPLTSEIYCVFLPVLADPNAVTVLLSLPQPAADTFEHHCCSCFLLDLDDDQPAVPVLVDNVLPHHQHDILA >KN538826.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538826.1:196557:199808:1 gene:KN538826.1_FG061 transcript:KN538826.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADAVSGRHGSGQACQILGDGVGTTAEGDVCGFPVCRPCYEYERKDGAQACPQCKRHKGCFFLMYAAQFICLCEMNKLRLVSGVILGASSSLSTGSCAWFWFPVMVIAATQLIIKRVVSDKQLVKHLQIEVARLETELRIPDRASSSEIIIMERNRKIRQVEKEMEELKKQRDNAQSKLELQKKMGDNQPAARRLQEALSGAQRTISRGPKAKLYACHSQAQKLWRLRQTS >KN538826.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538826.1:210880:213791:1 gene:KN538826.1_FG062 transcript:KN538826.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSLCDNHLLQEDLIPWPSMPFAPAPNTFGLNHQWSQPPMLSSSTDQLSSYELESLQSVESQLAAAAPPTLSPHLQAHQLSTVLMMQELGFQWSSCAAPADQHSIASSTNNNSNVMMNEEELRPRPDQSLISNPSSIRDEHVPCPYAGPPAHLIQGPSNTLQLLRVTCVIFLPQKVLMKRNTNAAAQGRGGRHGSSTEHRSSTALPPSSKKPRLESHSSSMLPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLRSSKNSKKLACRAAQQQRVKR >KN538826.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538826.1:165629:166733:1 gene:KN538826.1_FG063 transcript:KN538826.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTNEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVPVPMQMPMPMPAAANYQQQMAMASAGIIQVPMQMQMPSMSESDQLQMLDDFSTTASLSLMAPPSYSTLPAGFPLQINGGAHPQQFVGNPSMYYHQQQQQMDMAGGGFVVSEPSSLVVSPQDAAADQNNNAADISSMACNMDAAIWKY >KN538826.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538826.1:176135:177471:-1 gene:KN538826.1_FG065 transcript:KN538826.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVNTVRQFYENLVPSYTICDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEGAIHGVPSIEKITFYLVRLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQVPTQIPTARLLDLYLAYIIVGTGHVVPV >AMDW01038688.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038688.1:194:826:-1 gene:AMDW01038688.1_FG001 transcript:AMDW01038688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPSLSITPGGRRKGTTVKVEYGDAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPVV >KN543877.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543877.1:2:1166:1 gene:KN543877.1_FG001 transcript:KN543877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KLKLREVYAARRGGFEGRASVPLLWDAERREVVCNESIEIAKFLCDLAAADGSAGGLDLWPPELRQDIDRWYSFIYPSVNNGVYRCGFAQSQEAYDAAAGELFAALDRLEDHLSGSRYLCGDALTLADVCLFTTLVRFDLVYHSLFRCTGRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIADGYFGALFPLNPGGILPLVPASCGPEALLEPHGREALSSSTSSAAGGNGRQLEATSASN >KN543877.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543877.1:4015:5203:1 gene:KN543877.1_FG002 transcript:KN543877.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRM >AMDW01035876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035876.1:115:555:-1 gene:AMDW01035876.1_FG001 transcript:AMDW01035876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSG >AMDW01007323.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007323.1:48:131:-1 gene:AMDW01007323.1_FG001 transcript:AMDW01007323.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASASPVLESILQRRLKKERDAAAAAGGK >KN541324.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541324.1:10208:19132:-1 gene:KN541324.1_FG001 transcript:KN541324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFDSCFTTDVFDDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFQQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKSSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITRVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNAKLDDQFGSLQSSSPTMIMSQQFPVSRSSSVLSSDFSPRSLSACPRFHSAPSALGITALLEDHAAFGDTENSVKVSSAVVKIPSKQSSQQHPITGPMSRSLQSGQAASRRSNLKPLHWVKVTRAMQGSLWEESQKTDEASKPPVFDMSELEHLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIYAREDFCFIHDGTIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFPSQVSDLKKSLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKVQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLSGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFVRSHDENCKQLDLEKKKALKEAEAEKTKKEPEKAHKEAEAKKTKEPGNDKAKHNNSIKELDISLQSPAQTASAK >KN541819.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541819.1:21028:22102:-1 gene:KN541819.1_FG001 transcript:KN541819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TYAQNKYGGITPKKPLISKDPERAYFDSADWVLGKQAANGSARAAIESLKPKLKVKLECSLASKSQGMEDGNSNLKLAG >AMDW01036574.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036574.1:23:361:-1 gene:AMDW01036574.1_FG001 transcript:AMDW01036574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDDSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDRTDFEAKQ >KN540825.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540825.1:2645:3936:-1 gene:KN540825.1_FG001 transcript:KN540825.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESARADLALLILYLNKAEARDKICRAIQYGSKFVSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEVAELQRLSKSMKKLEKELKHQELLKNEQYQMKLLKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >KN540825.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540825.1:40144:40926:-1 gene:KN540825.1_FG002 transcript:KN540825.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AATQDDNDDPDNMTYEQRQALVESVGNENRGLSDLLISYLETWKYKSGFFPRKANHDNCPICLSAFRRRETLITLACKHSYHEGCIARWLKIDKACPVCKYEVFGPS >KN540825.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540825.1:19913:35896:1 gene:KN540825.1_FG003 transcript:KN540825.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLGSERRVLISASALPPPETLLGRLDQLDLRTAPTPSTFTPKDNFLIDCGSTSPVTTGGKVYKTDAQSNSLLSAKDAIKVATTDADVPSPLYLTARIFRDEAVYSFPLTVPGWHFVRLYLFPLKNSDFDLATATFTVTTDTNVLLHSFTAENKPVMKEFLVNATENHLAVKFYPLKGSAAFINAIEVVNAPDELITDTAMGIAPVGEMTGLAEAAYQVVYRINVGGPAIAPDKDTLGRQWDVDAPYVQSKEAVKDVSVPVGNIKFPDGTSKLVAPAQVYASCAKMADAGVGSPSFNMSWKMEVDPAFGYLVRLFFADIVSKSMNDLYFNVFVNGRKAISGLDLSTVTGELSAAYYKDIVVNSSIATDKLSIQVGPMGEDTGRVDALLSGVEVLKMSNSWYKRPQDWERRNSFSSWLLPIHTGQSFTTSKGGSSKSGYTFSSTLGLGRFFSFAEIQAATKNFEESAIIGVGGFGNVYIGEIDDGTKVAVKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEYMHNGPFRDHIYGKDLPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAEAAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGADKPADHDGAGAAPATSSGSGVSTVPDVSTTAAGEMFAQLADMKGRCGPHEELPACSAKKITRAFHRKAPRFPHPPSHTVADKVLQSDDWDRTLVTSMSGAPRSNLGLVPRDMHGSIPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNADSFMRLPASPMSFSSNNISGSSVIDGPIVQQSPPQEQMQKRRSSSVTSQPVIDAAGALHAQKKSRVDIRQDDILQHNLIQQLLQGQSSLHLQGQQNPQIQALIHQHKLAQIQQQQQHQMLQPFSQIQQSQVGIPRQPQLRPPLAQPGMQLAGPVRTPVENGLCSRRLKQYLYHKRHRPEDSWRCDICNTHGGKGYDEYLFLDMANEFRLPNGLMLLEHTKVVQKSIYEHMHVIHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLSPQVAHLLQVAQKYQTVATESGPAGVSNSDAQNICNMFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTAAKLPVQNMHEPKQLMAAAGLPNDQTNLKAMGVSVKTEMNTHANETHGIGPIGNGPQNAAALNNYQNPIGNGPQNAAALNNYQNILRSSVANQSLLQQEASSMFKGPTAMHNGIQLEASRSFRGPNQVHLAQFQHPASFQQPMPQQSSLQGLGGTAITGSAASGDHMNNNGAVKGATPMVTTGPSSVINNTASILPSRSNSFKSVSSNPQVAAAAGGGIGSGGHGATPKADALHELDDLDNLGNLISTELEESGLFLGDQAGGGYSWNM >KN540825.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540825.1:10621:12874:-1 gene:KN540825.1_FG004 transcript:KN540825.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPPPPPVVGAAAGAAAAAAGGKVPAEEVWEVRPGGMLVQKRGGGADEEPVNVKPVPTIRVKLKKMVAARTGLHPDDQKVMYKDKERDSKAFLDMAGVKDRSKLVVVEDPEARARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRLQVKRVQKYVETLDAVMAKNAAIQFGWPHYMVLLPLLDEFSSSCVASSHQSPSCFVLAWMSDEMRDQALID >AMDW01058671.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058671.1:300:539:1 gene:AMDW01058671.1_FG001 transcript:AMDW01058671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWLGWLDPQGYIGRMKRLGGMFDRFLEHILDEHVERRRREGDGFAARDMVDLLLQFADDPSLKVPIQRDGVKAFILV >KN538681.1_FGP257 pep scaffold:O_longistaminata_v1.0:KN538681.1:396715:399867:1 gene:KN538681.1_FG257 transcript:KN538681.1_FGT257 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEAGSSKHHRRDKEKDREREKERDREERKAREREEREREKEKEKERERARRREERDREERSRRREAAAAEEEEDVDRDRKRRRRSSHHHHHRDAEPEGSASGAREEEVVDEEEAERRRQKKKEEEQKQLDEEMETRRRRIKEWQEMKRREEETKRREQEEAGAGTSAAAAAAPAEAEDGGNAGKKWTLDGEESDEEGNQEDGKKSDDNGGSGAGAMDVDVPNGGDNANGANAMDEDEIDPLDAFMNSMVLPEVAKLESMPAANLDDKNDKSAKDAVTNGDKKGPKKVMGRIIQGEDSDSDYADDEDDEGGSEDEDDEEFMKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMAAEEVAAYRKQLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPAVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKALGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFFRLLELLGEWFDKDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTLADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPEDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAAAMVAAKAASNANQQTQGTSVGPLLPLAIASNTQNNEATARALQAAFNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFFPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSAQTGKYSVI >KN538681.1_FGP261 pep scaffold:O_longistaminata_v1.0:KN538681.1:283665:287595:-1 gene:KN538681.1_FG261 transcript:KN538681.1_FGT261 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKTLSKAEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITDDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKVFNFPFIKDIPMKMSPSKTKKKLDGSIYMVISSVFLSKNLFLQQLLGLELSCLPYSNFVHPSLTSDKCFSFGSAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >KN538681.1_FGP266 pep scaffold:O_longistaminata_v1.0:KN538681.1:379425:382596:-1 gene:KN538681.1_FG266 transcript:KN538681.1_FGT266 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDENSLDLHGGCRPEAMSAAATAAAAARHGREDWSGFHESNTGTMQTLKDMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >KN538681.1_FGP267 pep scaffold:O_longistaminata_v1.0:KN538681.1:403976:406320:1 gene:KN538681.1_FG267 transcript:KN538681.1_FGT267 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDSRDAAATPDSKQATVSAKAPAETAAASTAVPPHADVYHLGVQAQRSTIAGQRSGQVQRPIQKWKGPDKISRIYGDWIDETE >KN538681.1_FGP270 pep scaffold:O_longistaminata_v1.0:KN538681.1:354984:360405:1 gene:KN538681.1_FG270 transcript:KN538681.1_FGT270 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAGGGGGRRNNEGVNGSAAAPPACVCGFPIGAVNDESWVAVDLSDSDDAPAAGDVQGALDDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRIEHKNPDAMWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRRRFDHADGTSSLPGLDIFPILSTANSILSILAADYPVDRNTCYLSDDSGMLLTYEAMAEAAKFATLWVPFCRKHAIEPRGPESYFELKSHPYMGRAQEEFVNDRRRVRKEYDDFKARINGLEHDIKQRSDSYNAAAGVKDGEPRATWMADGSQWEGTWIEQSENHRKGDHAGIVLVLLNHPSHARQLGPPASADNPLDFSGVDVRLPMLVYVAREKRPGCNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGLQGPIYVGTGCLFRRITLYGFEPPRINVGGPCFPRLGGMFAKNRYQKPGFEMTKPGAKPKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVVTKVVFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTWLMITPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGRHGAASPSHGHHSAHGTKKYDFTYAWP >KN538681.1_FGP272 pep scaffold:O_longistaminata_v1.0:KN538681.1:392229:393307:1 gene:KN538681.1_FG272 transcript:KN538681.1_FGT272 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDAGNISDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIDDEQRSIADELRAATEEQKMSIEEVSGGASDAMAVD >AMDW01037467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037467.1:32:707:-1 gene:AMDW01037467.1_FG001 transcript:AMDW01037467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLPKMVQLLKEEYNLQIGVRKKIESLLRELDSVYTVLRVVGEVPPEQLDMLVKLWARDLREASYEMEDIVDTFLVHVESMEPASPHMLRRLRKKIGKLFKKVKVRRKIAGAIQDIDKKLKEVAARRGRYTVDDIVVAKPEYQATIDPRLLNLFKKATELVGIDGPMDELIEMLALGDDIHPSMNKPKVISIFGFGGLGKTTLAKAVYDKFKPGFDSGAFVPI >KN542316.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542316.1:9041:10675:-1 gene:KN542316.1_FG001 transcript:KN542316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVELYYEREIQLFVLVSFALQVFLFFTGSLRRRSTNIFLSVSIWTAYLGADWVAVYALGNLSGVQESIISRRSQLPLSFFWAPFLLIHLGGQDTITAFAMEDNDLWLRHFLNLVVQVVLAVYVFWKSARRQSVELIVSGVFVFIVGVIKYGERTWSLKCGSSKSLESSPGHHYKQRFPELRDSDCDYRNMVSNALCSMFNVLNVFAARNLFGYSFPSVGPDDTQVDAKKMFKLVELELAMMYDDLYTKALVLRTRTGIILRCISHACSFVAFALFLASDKDRYIGVDIAITYSLFIGGFFLDFCAMFIVITSPWTWVWLKAAQKRDWLANLSWFLFSSDIGWPERRPLWSSSIGQYSLLSWDSGSDQPTRSCNQKVMALVRRSARLVGVGKKNLFWMSKLLDTKFLEVDEKTMEFVVEGINRIRDEFSDVASRAWPKLGPFLETIRVHFTADFGAAIVVIHSFTEEYLMNAAAAAAEEEEEEEEEEEAGQGGEANDMMEVCRKLSNYMMYLLVNHPSMLPLNVSSEATLAEAAQLMKVVRG >KN543800.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543800.1:88:1886:1 gene:KN543800.1_FG001 transcript:KN543800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSASTPSPSSASPPCHPSHPSPRKMVKAQVETTPVLPRRCPDLADSTELEHAARPDLVNATELKHAALAGEVGGVVLGQSSASTSDDVASSHILSIVLLKSFGHMFSKGKFVDQIYSDVTSRWDAHIRTSRSNKYTSKLIQMIKVLKKQAIYPAL >AMDW01038087.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038087.1:64:643:-1 gene:AMDW01038087.1_FG001 transcript:AMDW01038087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTALAQLVFNSPRARRRFFPRIWVCLSRTACAGADVRKEVLQSMLMALGLEEEVILSMDGGNNLGEMVFAVHEQLKGKRYLVVFDDVWNVDGWYADVVGRRNASPTGDEWGERLAFGLPKERGGVVVVTSRLEQAAETMVGKSSLHRVQPLADGESCWAIFMDAFSKERRPADLTTVNNMKDEIIDTC >AMDW01033732.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033732.1:81:431:-1 gene:AMDW01033732.1_FG001 transcript:AMDW01033732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSDSATANAFYFGLRGPPSPSAPAVPTKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQFNRTPGVYTADFPDAPQPSGTPMVEGTKVRRLKYNST >AMDW01084598.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084598.1:6:442:1 gene:AMDW01084598.1_FG001 transcript:AMDW01084598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDEYRPIGKPIEKTGAELQASGEAVYVDDISAPKDCLYGAFIYSTHPHAHIKGVNFRSSLASQKVITVITAKDIPTNGKNIGSCSPMLGDEALFVDPVSEFAGQNIGVV >KN538749.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538749.1:58970:59569:1 gene:KN538749.1_FG001 transcript:KN538749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATAVFDRMPERQTATWNALITGLAHHGHGEVALATFHRMSRDGVPPNGATLVGVLSAYGCTGRLDEARRVFASMEKDFAVAPTIEHYGCMVDLLGRSGLLTEAEEMIRGMTTCDADTVIWGALLNACKNHGDIDVAERAVQEMLKLDPGNHGVYVVLSNMYAEAGRWQDVDRLRKVMKRARLSKIPGSSAVAGDDS >KN538749.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538749.1:142104:144842:-1 gene:KN538749.1_FG002 transcript:KN538749.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLAVSAAAAVFPSAADPRRPPPPSVAIVDKNSSYGRSLQAADNGGGGGGMEAPLRPLDVQEAMSMLTEGKAVQSAMYVPLLHRCVETGSLGAARAVHGHMAKTGAGADMFVATSLVNAYMRCGAARDARRLFDRMPERNVVTWTALVTGYTLNSQPALGLEVFVEMLEMGRYPSHYTLGATLNACLASCDVDLGKQVHGYAIKYGAESITSMGNSLCRLYAKLGSLDSALRAFWRIPEKNVITWTTMISACAEDEDCVELGLSLFLDMLMEGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFSFKIGCETNLPVKNSTMYLYLRKGETDEAMRLFEQMEDASIITWNAMISGYAQIMDSAKDDLQARSRGFQALTIFRDLKRSVMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKSGFLSDVVVNSALVNMYNKCGCIQDANKAFLEMPTRTFVTWTSMISGYSQHGQPQEAIQLFEEMRLAGVRPNEITFVSLLSACSYAGLVEEAERYFDMMKKEYCIEPVVDHYGCMIDMFLRLGRVEDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADKLLELKPKGIETYILLLNMYISTERWQDVARVRKLMKQEDVGILRDRSWITIKDKVYFFRANDRTHPQATELYQLLENLLEKAKAIGYEPYQNAELSDSEDDEKPAAGSLKHHSERLAVALGLLQTPPGATVRVTKNITMCRDCHNSIKLFSLLENREIVVRDSKRLHKFKDGRCSCGDFGALL >KN538749.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538749.1:32760:37503:-1 gene:KN538749.1_FG003 transcript:KN538749.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRECLNGPSCEAVHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTKKLGPLKLYNKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >KN538749.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538749.1:60041:63772:-1 gene:KN538749.1_FG004 transcript:KN538749.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPDEASCGSPRWIGKSLSCVCIKRKGAYERICMNLTPVQEERLQRLRHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSKDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEILKSTRTQLERELLLDDVMRIEDMPSYSLLC >KN538749.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538749.1:103836:108760:-1 gene:KN538749.1_FG005 transcript:KN538749.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVAAWSCLLVVVMVSSMRLGGRSASSSCGSSCGHGGWGPRSYPVIGCLVAFYRNRRRLLDWYTEMLAASPSQTIVVDRLGARRTVVTANPANVEHILRARFANYPKGKPFTDVLGDLLGMGIFNVDGELWHAQRKLVSHEFSARALRALELTAKHCTHSVSYDHHMKLKRYTHHVSLRSLIDPRQTNRQTDMLNDKRACGDFALMGLGEGMSPSAELDFIINLRKFLLLRDVN >KN538749.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538749.1:148639:150119:1 gene:KN538749.1_FG006 transcript:KN538749.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGGHIPRFGDWKSSDGGTPYTVFFDDARKRKNAGGVVPPPNSLARGDSAPPPSGHRTPPHGAGSSTPQRNKDPASRPRSQSAVGHGGGSVPAWGQWNEGNAGGGGAQQYTLMFDQIRDERRGSAPSTPTVEQLQRATPTRYNHHNQHANMRKVYSLSMCIWV >KN538749.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538749.1:26705:28138:-1 gene:KN538749.1_FG007 transcript:KN538749.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNLALNIAEKGFPISVYNRTAAKVDATVSRAEAEGTLPVLGHRDPRGFVLSLSRPRTVVLLVQAGRAVDATIDALVPYLDAGDAIVDGGNEWYQNTERRIEEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVDAYNNIRDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNRGELESFLVEITADIFTVADPLDGSGGGGLVDKILDKTGMKGTGKWTVQQAAELAIAAPTIAASLDGRYLSGLKDERVAAAGVLEAEGMPSGLLETINVDKKMLVDRVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLAELARIWKGGCIIRAKFLDRIKKAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSNGAAI >KN538749.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538749.1:176265:179306:-1 gene:KN538749.1_FG008 transcript:KN538749.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLMKPPPPEEAGEIHESFVMSLREQLAAAVAEQLGLLNDDQEYRERKEAHEEARYFSWGSMESYRTQPDYVGLGRRINATLSSNKYLLVVENLYEPMQPESFVYYVGLPFPNYSLTGSLWLISTMSQHVCDESKSARDGVYDTFDDDDIMVLILSSLHQSAKDISKAVVGHDDDEEHWHRAALRCFHYALLHLLFPCEQDNNSNTTSHYAITSEELIRQWAAQGFLATTSRCKPRAVQAADIRIKGHHASDIYQVGHAILQAFSEYSLLKLPFSPASEASKATETAAHFLIYHHLVASQLSEHEIFHEEEEEVGLKNKRWIRMTSKQQGMENQTWHLSTQLLGKEESNDPTTLILRHFLHTSSLLNLIDNILPKLPCLRVLDLSYTQLESLPPTVWCLTSLILLSLRGCRAIKSLHSVSNSGGSHPENEKHRMMNNLLYLDLTLLSINIFPNDFFQGMTKLEELMLAGCASLVELPCSISALSSLLTLEVTGTKLTSLPSSMFAGMQKLQSLKLIDNKLLNSIPMSILEARGLKELHIQGWHSRMQEEINLDGHPTLNSFSLINAPHIKRLSLQGCMKLECVDLRDLGTLEDLDLSATAIKELPANIPNLPQLRRLILMGFPNQSRFPWHKLQRFPTVFCLDHYAQGHDNHYDNQVARVYVKDSRLFYSFSKSTKELVQEGEFLQSFYVQIAPSTVNIRKLEDEEDKLTSMLQELAHKRSPYGDVYHRCIALEFSVMYMARSAIHQTARHVHMSTIDKYPHGLKYLLEVAKSIYVINDSFVDCLTNLSNLDELEECKLHFCHRMKHVFETTYDMQVALVRVSQLKRVCISQLKNLIHFCRHTTYGYMNFSSLNHLHLEYCPRLESIIPRDCALPNLTTLDILFCYNLNTIFSKDRYERAIISNEFPRLQTMRLQELPLLKHLYDGDDIVLSAPAWKELHVRGCWSLRRLPRLRKEHQIQVVSVSGETAWWRKLISPNDNSSPSHHGCYKHKPPPEFASFDEHAQVKSYLR >KN538749.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538749.1:170121:171794:1 gene:KN538749.1_FG009 transcript:KN538749.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELPQQEVKLFSRWSFVDVQVNDISLVDYLAVNPTKHATYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KN538749.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538749.1:78652:82887:-1 gene:KN538749.1_FG010 transcript:KN538749.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSSRGGGGGRKASWLGTSSEGGAAKFLSGLPSRGNFSSINASSTLGRLRVYVCEHDTDPPEGQVIETDTTNILIRHLQLKKKEMDAKEAKEARDAGSRNQGETVKWKRFFTGLNPLVEELLPEVWMAKVHLKGPIWVAPLGCLLLKKRHLDFQVTHYRHSQLRGCVHFCDRGAS >KN538749.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538749.1:40652:46449:-1 gene:KN538749.1_FG011 transcript:KN538749.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM2 [Source:Projected from Arabidopsis thaliana (AT1G44900) UniProtKB/Swiss-Prot;Acc:Q9LPD9] MDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDDDDVVGAEEAEVDPNVLPEDDGVVAAEEEEDGEDLFNDNYLEDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELDARDVRTGAAPDRKLPRMLHDQDTDEDMSFRRPKRHRANFRPPREPRTPRSDDDGDGATPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNIKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVEDDPLAAARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKTLQKNFRKYMTYKKDYNELLLLLLRTLVKDVLHFEEIVSGPTTRLTHIEVKVEDLKNKAQEYEIYDLRPFFSSAHFRDNNFVLDEGRGIIRHPLAA >KN538749.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538749.1:10626:12790:-1 gene:KN538749.1_FG012 transcript:KN538749.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGRVAMRALLHAASLDNMVGSVLGLEHHGHHGGGIISDMGDMVREGYELVGKFNLGDYYSTTQYQCLWGLLDFHGVGPRCQRLAARVREQFGRVMEERRKVSDLHKRDDLLSYMLSMPHEERIEDSDVIAVLWEMIFRGTDVVAILLEWAMARMVLHPDIQSKVQEELDRAVGHRPMTDSDIPNLRFLHCVIKETLRMHPPGPLLSWARLAVHDTYVGKHLVPAGTTAMVNMWAISHDETIWGDPWVFRPERFMEEDINVLGSDLRLAPFGSGRRVCPGRMMGLSTSYLWFGRMLQEYKWSAAQPVKLTECLRLSMEMKKPLVCHAVPRSKTG >KN538749.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538749.1:134341:139156:1 gene:KN538749.1_FG013 transcript:KN538749.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSASASATASRFAAFWAADALAGDDALDFAVTKVIIAYAYEVSKDHCCWERGYTVVGSSGSLEKDMLPPFRQDIQKFICIKRPTLPETSFELLRKVYPEITPVVPPSPVEQNGNDQHDNISHDLVNTEKTGFATDGVQLQQDDLANLVDERNTENLQKDAMATSDFQQPCTSDNRCFDQRQEDSINTVGVNIRYPNKHHSNNGDMPLVASIQSPKDSIHEGSTMQTTVSPAVDRSNDALPASEMTHLPEFIAVEDKIMTSEPHFSKTQPNSGQHDTGDKANQDVGCSSTGIQTAAALPSEGFNGDVQGDKSEIKDPAGNNTQHTETFEQENSDKAHLEVGCSDKVNQALYDDGNIIKNNMVFGGLNKQTALESHGCSMTLHNRNSEANHFSEQNIGRNRTEVQNDCCSIPTSPNDVNDKRAKQASNKETMANTVAETLHVHSSHGSFSGFAAGGLLSMAEKLPFCTQDQYANGTVEGLSEQELCIKCGKDGQLLKCSGCFLAAHDTCFGSSVTFYDSGQFYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVRQRAANSEDHFNGCNNATKRQGNHQSEGNNLSHRDEEPARHRKKQKTNARDACTQEVVTEKAPTVHNSDVVSMKKNSVLQNNRKQAQDAEQEQPEENAEASGESGNTNSSHKTAHSSQNKCSPAPSQNIDADKEDVLASSQQSEDSDEIEATSSSDPSKQPSPPWRKLRHRKARYQDNNTAIPINSKKTLGHHDQHMASPSRKRNYAYPPKRYSNPVGPAGRRTKLCWTEQEEATLREAMAKFTPRDNGPIPWVKILEYGRDVFHRTRLASDLRVKWRNMKKKSGS >KN538749.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538749.1:189970:190404:1 gene:KN538749.1_FG014 transcript:KN538749.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKNMMRLICSKCATSARKRMATPLLYNQLLLPHDPKLEHLLVCLLDSMETQLAAQSTQGEQPKSALQVVANVLVRYNKKSVFLQNVGMHTKRPRMSAQLEAEKRENAELRLIVSNQREQMEGLSKQV >KN538749.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538749.1:151245:162175:-1 gene:KN538749.1_FG015 transcript:KN538749.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFGSQQQQPFGGAPQFGLPRPGAQPPFPAQSAPLSQQAPFMGPPRGSAPAFGAAPWQSQGAGSGAMQPPMRMPGMPPNTLGQGMPPTMPTMPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPASSSEFIVKDTGNCSPRLMRCTVNQIPCAGDLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRRFICNLCGFSNDTPREYICNLGPDGRRRDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAIQTGSTAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQKDLILPVSECHENLEQLLESIPSMFENNRVADSAFGAAMKAGFLAMKSTGGKLLVFQSVLPSLGIGSLSAREAEGRANVSTGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSARSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPSIDSDKTIMVTFKHDDKLQENSECGFQLDIYWWRVATIGPRLPASLLAYLREPGWSPWEISGPDSDISLTSHLCQLGKIRCALLYTTVYGQRRIRVMNLSLPCTNMLSNLFRYADLETQFTCFLKQAANGIPTSTLLHLREEVTNTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNEGRLDDRSYWISLVSSVSVLLAVPLVFPRLIPIHDLTSRGDDESLIPSPLMLNSENIREDGVYLLENGEDGLIYVGNVVEPTILEQIFGVSSLAALPSQAVLEQFDNELSRKVNEVINEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGGLSYVEFLVHVHRQIQSKMT >KN538749.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538749.1:49420:55091:1 gene:KN538749.1_FG016 transcript:KN538749.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDVERGVQKERMVAEDMYVLSADGKVLSSPVSKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLDPGAKEFKMTHMEMIKGIKGHGYRDELVVPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLYQLGIDWTTPEHGPINSAKRPRSVLSSSIPNGCADSKSSKHCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTYSSDETKEDIKLLRIQVEEDLKNGIVGSVPIPPDDADKEEVINALVANVESMIKADRKITSLKQLQGHIWRTGFESKELQGVVFDDVPEALKHWHASGMKVYIYSSGSREAQRLLFGNTAYGDLRQYLCGFFDTTTGNKRETRSYFEISQSLGVDSPAQILFITDVFQEAVAAKSAGFEVIISIRPGNAPLPENHGFRTIKSFSEI >KN540320.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540320.1:5412:5537:1 gene:KN540320.1_FG001 transcript:KN540320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMGAQDMKLKGLKRALKEQKARLYIIRRCVAMLIRWHD >AMDW01037008.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037008.1:26:659:-1 gene:AMDW01037008.1_FG001 transcript:AMDW01037008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLM >KN540320.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540320.1:35473:36117:-1 gene:KN540320.1_FG002 transcript:KN540320.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKLVLLLVALMATTTNLAAAAAASMEGRHEEWMAENGRTYEDAAEKARRFEVFKANVERIDRFNNAAGGNRTYSLGVNAFTDLTDEEFVARYTAAGYYYSNATSFEFGVAAHKLPGFMYENVSLSSEGAYTQGIDWRERGAVTNVKNQGNCDCFAIGDNDLFKISNSDKLRLNSKL >KN540320.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540320.1:7783:8659:-1 gene:KN540320.1_FG003 transcript:KN540320.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTRSSRSEDDLNLQAQMEKKRKRRKESNRESARRSRMRKQQHLDELTSQVNQLKNQNQQLSMALSLTTQNLVAVQAQNSVLQTQEMELQSRLCALTDILMCMNNTSATPTPTIPATTTSACDIFGASSWNQPPIDLYQYQCF >KN542601.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542601.1:7517:10483:-1 gene:KN542601.1_FG001 transcript:KN542601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDALAWKFLEKLGQLIEDEVIMTLSVKRGIESLKKNLEFFNAVREDAEALAMEDPGIDSWWKNMRDVMFDVDDIVDLFMVHSQKLLLPPRPVCCNQPLFSSFAKFSFDHMIAKRIDNINEKFEEIKMNKEMFGLERTNRQQIQITIVDRSQTSPVDELEVVGGDIRRAIDDMVKMIVSNYNENRSTVFGIQGMGGIGKTTLAQKIYNEQRIREKFQVHIWLCISQNYTETSLLKQAIRMAGGICDQLETKTELLPLLVDTIRGKSVFLVLDDVWKSDVWIDLLRLPFLRGLNSHILVTSRNLDVLVEMHATYTHKVNKMNDYDGLQLLMKMSLGPYEQRREFSSVGYQIVKKCDGLPLAIKVVAGVLSTKRTRAEWESIRDSKWSIHGLPKELGGPLYLSYSNLPPELKQCFLWCALLPSNFGIHRDAVAYWWVSEGFVTKVHGYSIHEVAEEYYHELIRRNLLQPKPEFVDNWMSTMHDLLRSLGQFLTKDHSLFMNMENVKALPNLRHLCISNDVEEIPAIEKQKCLRTLLIFDNKNFMTINKDIFRELKHIRVLVLSRTSIQIIPESVANFLLLRLLDLSYTKIEKLPESIGNLISLEYLSLHGCSHLDSLPASLMRLSNISFLHLEQTAIDHVPKGISKLQQLYNLRGVFDSGTGFRLDELQCLSNIQRLRIVKLEKAALRGAFVLKNCLHLRELWLCCTIGAHDRTYYRANEIARIQQVYELLIPSPSLLYIFLAGFPGVRFPDWLCSEPERKMPNLGHMHLNDCTSCSVLPPAGQMPELLVFQIKGADAIVNMGAELLGKGINNAKCITVFPKLELLLIINMNYLESWSLNTKNLCGKTEQLVLMPCLKRLFINGCPKLRALPEDLHRISNLRRIHIEGAHMLQEVDNLPLVLWLKVKNNRCLRRISNLCNLQDLLAQDCPALDHAENLISLKRLYMVDCHNAKQFRMCLLEDQELAVHVVTVGADGRDIFPDESLYN >KN542601.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542601.1:13167:13367:1 gene:KN542601.1_FG002 transcript:KN542601.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >KN539493.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539493.1:85648:87565:1 gene:KN539493.1_FG001 transcript:KN539493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDSFDDDLDDDPSSDLDHASASASAAASRVGR >KN539493.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539493.1:7083:8602:1 gene:KN539493.1_FG002 transcript:KN539493.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGPCNGAVTIQLDGNLLGSNDLSKYPGKRMPNWVEVRHVDNFVISGKGKLDGQGPGVWSKNSCAKNYNCKLLPNFFHMNIYRCKDITISGVTISAPGDSPNTDGIHMGDSSKITIAATTIGTGDDCISIGPGTEGVNITGVTCGPGHGISIGSLGRYKDERDVRDVSVTRCVLRKTTNGLRIKSYEDAVSPVAVSKVSYDGVVMDHVDNPIIIDQKYCPNSICTSKGDSKVSVRDITFRNITGTSNTPAAVQLLCSGKLPCSGVAMQDVRVLYGGSDKKTTAVCDHALGKSTGCLKELACL >KN539493.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539493.1:22456:28918:-1 gene:KN539493.1_FG003 transcript:KN539493.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGRFDAGFTESEMIEHMQRLAAMNRAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVIVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLASEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMTKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >KN539493.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539493.1:12867:15806:-1 gene:KN539493.1_FG004 transcript:KN539493.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIELSSEDKAILVETLKNKLQALAEQHVDVLESLAPAVRKRVDVLMEIQIYGSNFTTRLINGQIFLVNSQHDELEAKFLEEKAALEAKYQKLYGPLYSKRSKIVSGVLEVEGETEEREEKGVPDFWLNAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFDTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGYCLTQEVLTKKSSESTKPITKTEECESFFNFFSPPQVPDDDAKIDENTAEELQNQMERDYDIASTLRDKIIPHAVSWFTGEAVQDEDYGASWVDDEEEDDNDDEYSDEEA >KN540255.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540255.1:22063:24114:-1 gene:KN540255.1_FG001 transcript:KN540255.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGIKKLNLLRASKQIHATEHTWLIICTQDLALVESLIIVVILYDINLHCLETLRVKDEELQNLAKDIRARDATIKEIADKLTQTAEAAEAAASAAHKMDEHRRLLCSEIERLRHYKQWKDKWNNSWSRIFLRMKANLNIRDFLPVIRTQCSLSNLQKSEQALSLEK >AMDW01061475.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061475.1:165:1118:-1 gene:AMDW01061475.1_FG001 transcript:AMDW01061475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALMELKLEDDLPLQITRFIEATVSKMLAFADALAADNTWRPIDNLSGLMGLYSCIFECQVPRMGDALIISTMSNLCEAIWRMAKDAKAVTPVLSGWDSWENFKQNAEIHKATRLIVDYARLFWGNESLWSNIVPSKRDRHSYDQQPDRIITLIHQMLINLQDQLQKKSESFSDASLRYLFLLNNSYFVREDFLEPTGYVYILPSSTTLKFVQYQEKYMIVSWEPVLSFLHNKMPLWFPKHSSQVAIFKSEFEKACKHQKIWKVPNPKLRQKLREAIIDKVITGYERYLEDHPELEKCSSDLQDMEDMANELFEG >KN539493.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539493.1:11060:11440:1 gene:KN539493.1_FG005 transcript:KN539493.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVYASVNLEFRGLPLSHFVHAEQFLVVNAAAVGESKLRAIAISHMPCGHCRQFLQEIRGAGGIRIIVTSSDAKWRTVSSLLPRPFGPHDLLPKHAPSSSNHTTTLSSATPLPLSSPMASPTVT >KN539493.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539493.1:42186:58282:1 gene:KN539493.1_FG006 transcript:KN539493.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase I subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G57660) UniProtKB/Swiss-Prot;Acc:Q9SVY0] MADVRPDEAASEEVNSIHFSFYNDDEIKRISVKQITKSDRVDAKNCPVPGGLLDPAMGPTNDTDTCKSCGQQSIRCPGHFGHIELAKPLFNPLLFMSLKNLLQVTCFHCHKFRLNKEQVDRYTNELELLVRGDIAHAKNLEDLGGKVLSKEDDETEATSGDKSARSERENKTWTSIQLKEALSIFSKLMKKRQKKCAHCEKKNPIIKNPIYGWLIKDTTSSSVRANAIANAKLSGDGHVNDSRETGVSGLDEELTSPGTLSRRSTNETRRISDDTIKEMVASSGKKHLLTTEVESILKDLWKKEARFCMLLCDFQQNTLSVSEKRRGYEMFFLKNLLVAPNRFRPSISSSLGIMEHPQNVLLSKVQESNLALQQSIAASNHMEVLRRWMDLQRNVNVLYDSSKGLSKTEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPPKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGRPPFTVEKKGRIEKEYLIPEERNGDKVKTINPSEQVLYVHDNELIKGMIDKAQFGNYGIVHTVHELYGPETAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLSQESDMTREEILGKSEKHSKIVHINFTRPKKDDKAEDIRPKEGDEAEDTRPKEDHEAEDNQMKLQMEVEKIIRRNGESATVILDRNMSSELNTLTSKVNKKVFPYGLRKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDTSSRAGGFIGDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTFCYGEDGVDVLKTSFLDDKFRELSDNRRALLGKLDSHNDKHLLLNPNGYISELPEKLIENAMEFLKSKRNEKGRYDIKEKELMKLLKVKYISSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKELLMTASAKISTPFMKCPLLEDKTWDDDEEEMDDKLKKARDAERLAAKLRTIDDAERIAAKLRRVRVADIVERIEVCTVPFHNNNGCVSTLYKLQLKLYPQGLYPRQSELTVEECHETLRTVFIDAMDLAISKHLDLLHKINEIQAVKSNDMESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEDHQAKLDEELEESEEGHVLDSSNKGENSKAKQATARLEDEMNEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKASGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIEEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >KN540255.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540255.1:13872:14293:-1 gene:KN540255.1_FG002 transcript:KN540255.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDDKAAAAAGGDHRKEEKHHKHMEQLAKLGAVAAGAYAMHEKHKAKKEPENARSHRVKEEIAATIAAGSVGLAIHEHHKKKEAKKHGHHH >KN540255.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540255.1:30369:32431:1 gene:KN540255.1_FG003 transcript:KN540255.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRVFDVAEERDDVSWNSLVSGYVRAGAREEMVRVFAMMRRCGMGLNSFALGSVIKCCSGRGDGTMDIAEAVHGCVIKAGLDSDVFLVSAMIDMYAKNSALIEAVALFRSVQEPNVVMFNTMIAGFCRSETAIGKEVAREALTLYSEVQSRGMQPTEFTFSSVLRACNLAGYLEFGKQIHGQVIKYTFQEDDFIGSALIDLYFNSGCMEDGFRCFRYSPKHDIVTWTAMISGCVQNELHEKALSLFHESLGAGLKPDLFTISSVMNACASLAVARAGEQIQCFATKSGFDRFTVMGNSCVHMYARSGDVDAATRRFQEMESHDVVSWSAVISCHAQHGCARDALHFFDEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYETMNKDYGLSPTIKHCTCVVDLLGRAGRLADAEAFISNSIFHADPVIWRSLLASCRIHRDLERGQLVANRIMELEPTSSASYVILYNMYLDAGELSLASKTRDLMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESSAIYTKLEEMLSRIEKLATTDTEISKREQNLMNCHSEKLAVALGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISKSENREIILRDPIRFHHFRVGSCSCADYW >KN540255.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540255.1:45798:47120:1 gene:KN540255.1_FG004 transcript:KN540255.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSPRALFSVTPTAGWPASTRRRRLKKGQIVRVDKEKYLNSINYLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKILPPFRFFRRLICRCFNRFVIYDNVLYIDVNMRQFAIAPRLN >KN540255.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540255.1:8058:12607:1 gene:KN540255.1_FG005 transcript:KN540255.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSRSASSGSGSSRSRSRSRSFSSSSSPSRSRSPPAAKARKASPAPESVVLHIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLRFTLAPRQRASSPMKAPPPPPKRDVPHNEKGAPSAEKDVQQRRELLHLGGFVEAHHHADAPLGPLDGGHHHHPQGGQEALLEEGYHLHVVIAVLPRLVVPLIHVPDQFLLEGTKKGIKEPHPPKAS >AMDW01006432.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006432.1:10:150:-1 gene:AMDW01006432.1_FG001 transcript:AMDW01006432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGKASVGDGVYRAMLGAEKFSPEYLLDCLDMSSEHEALAMADRVEAA >KN540255.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540255.1:36605:43538:-1 gene:KN540255.1_FG006 transcript:KN540255.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYCVVSYCCSTKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKACDLVFIYLLIIFEIGPLTLRLPMTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >AMDW01039771.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039771.1:489:1052:-1 gene:AMDW01039771.1_FG001 transcript:AMDW01039771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKLRRKLKSLEDDMRNESSNTSASNKDNTTSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAQRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKSTKDSSEN >AMDW01035842.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035842.1:355:561:-1 gene:AMDW01035842.1_FG001 transcript:AMDW01035842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLDYMVDFGKKEVTVRGTMVHTKKKRKPHKKKQEENKKGIAANWEKKSSSQSNDSARTLAWFLRCYSS >KN540255.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540255.1:89:613:-1 gene:KN540255.1_FG007 transcript:KN540255.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARLRAFPREQATAKTCMVSSFATDYLEIRAKEPSVHVLVIPGNPGIVAFYKDFMEELYENLGGQASITAIGHISHSKK >KN540255.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540255.1:15272:15730:1 gene:KN540255.1_FG008 transcript:KN540255.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEEKLAAAGAAPPKDAGDYRKEEKHHKHMEQIAKLGAAAAGAYAMHEKKQAKKDPEHARSHKMKEGIAAAVAVGSAGFALHEHHEKKEAKKHRRHAHHHHH >KN540255.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540255.1:18777:21616:1 gene:KN540255.1_FG009 transcript:KN540255.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPYQDLVQDFNCVLQENILLQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALLWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLMNNRKTLDFVVCIGNDRSDEDTFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVNNVGEVVRLLKNVAGISSHREAVSHGRVIFRDVMDYVD >AMDW01039450.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039450.1:187:777:-1 gene:AMDW01039450.1_FG001 transcript:AMDW01039450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGRGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEASTRHTPSRGSKSSSSTSTSTSRQVVVERRERREGKWERKHS >AMDW01036415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036415.1:14:528:-1 gene:AMDW01036415.1_FG001 transcript:AMDW01036415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVGGIVELAPGYLPAVYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHD >AMDW01040794.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040794.1:17:2287:-1 gene:AMDW01040794.1_FG001 transcript:AMDW01040794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMEEHLGNTTSTTFLHQPRNLWKRPRGTVLRIEALALVAIVLTFFVAVLGSCRRWSNHWIVQKGFLAANVLSLSLGTYSIGIMQSSSVKSEMYPIWTVCLFTLLGCTDSVTSYNGLDYKSPLLKMLFQLGLYCGYVLLMSISTISTDVGNIAIGMLSAITFVKGFHRSLALVLQSRMRDMEAKTVGLQEPRFLSRGRDYGEERENMIVDFPPDLENLVYGSERPALSNTVHMADIDLICQEKDELQLCSDVCVAFSLSHQLQRYILGLSEHVDNKVDLSEDIIDYKWALKVIGVELAFLYEVFFTGNAFLHFYEAKAASFWALASFIGICFVGVAVAIPRTMTSRRTTSLGSGATVVVDTTTADLFITLVILVSLALLQLMHLIWCWTSNWARLAFACECARNQKKGIGIQWSWWMRLKWFAITRTNWFDKYLWQDKLGQCSLAGKAGREWKFLGNSITSMGGRQMHGLQYIGHVLWDLWGSDANKGVAFRLDDDVRVSITDFLGQIRSDMIDHHWLSELRENGVDIDELPYMIVEDKNSFVHVMFLNTASFGFIYAHSVMVWHVATCYCELAEQEKQDAMLNQSTEDTAAEAGCFEKAAAPCYRKKQAATGGGGGERAKNRRVANALSKYCTYLVVSAPELLPGPAAHAKRAYNVFAEEAKMAPREAMTGNYSFLIGTDLGMQLLGERPPRDGVGCCSDPWKALALVWVQMLVYAAPYGNVEAHMRHLAQGGEFITHIWALLYHLGIRKWQPPK >KN541303.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541303.1:241:1973:-1 gene:KN541303.1_FG001 transcript:KN541303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSIASRLGLSGSRPVVRKAAELRRLCDVTFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMADLCFDVFGIAKEKKDAKSIKGSRELLDVLPGKRKHDDDSDSSGESSGDDQDELDSMKLPKMLGIDTIYEFFSATLG >KN538843.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538843.1:207812:207958:-1 gene:KN538843.1_FG027 transcript:KN538843.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAKTMLVHDGDLMQLMPGPNNVPLMANLTAQVAAACLRNRGEVWP >AMDW01012736.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012736.1:23:235:1 gene:AMDW01012736.1_FG001 transcript:AMDW01012736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRETVISLIPTVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQK >KN541303.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541303.1:17531:22192:1 gene:KN541303.1_FG002 transcript:KN541303.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSQLLLLVLHLAVVGALRRAANPNSSAHGGGQVNARAEMQCSSSIAIQKSELALLSPCPFKKEAQLYNGLNLTIPDVGAFNRATYELLNATADYAASSANAAGSRVATGEISFDATYPRIYSMAWRAKDTKRSLSYASHTGDIQNIESLIIDLATLRIATDNFAENNKLGEGGFGAVYKGSLPGGQVIAVKRLSQSSGQGIGELKNELVLIAKLQHKNLVRLVGVCLEQDEKLLVYEYMPNKSLDTFLFDPEKRNQIDWGKRFMIIKGIAGGLQYLHEDSQLKIIHRDLKASNVLLDTNMNPKISDFGLARLFGDDQSQETTNRVVGTYGYMAPEYALRGQYSIKSDVYSFGVLILEIITGRKNSDSYNSEQAVDLLSLIWEHWAMKTITEMVDPYLRSDSSSLDDILRCIHVGLVCVQEDPMDRPTMSMINVMLDGNTISAKAPSRPAFFTEIMGNINIGSSTYSQPYPVTDSMAKHSTAMSLNEVTITEPEPR >KN538843.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538843.1:213255:217188:1 gene:KN538843.1_FG029 transcript:KN538843.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPAWHLWHRVPWHGVTTHHPSLGLSVSASAATATFLPCCAGAARPRGASSFQKKDSFLDLHPEVTLLRGGDEAAVVATRKGSPNGSPLEGLGAPPDHGDYDGAKIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAIRMSPVLPQNRLQIGQELTRGLGAGGNPDIGMNAAKESVESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGADMTLFEVNSAAEIIYDLVDPNANLIFGAVIDPSLNGQVSITLIATGFKRQDEPEGRTTKGGQQTQGDNGRRPSSAEGSMVEIPEFLRRRGPSRFPRV >KN541303.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541303.1:5184:12308:1 gene:KN541303.1_FG003 transcript:KN541303.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDAQQVCPEDAGKKKSVPALAIVLPIVFVGLLIALVSFYIWRKKRLPTKVPLIENTEDLEDFESVFINLSTLQSATANFDESNRLGEGGFGVVFKGVLPGGQEVAVKRLSNCSIQGLGQLKNELSLVAKLQHKNLVRLIGVCLEEGEKVLVYEYMPNKSLDTVLFDPDKSKQLDWGKRYMILNGIARGLQYLHEHSQLKIIHRDLKASNVLLDADMKPKIADFGMAKIFGDDQTRNATSHVIGTLGYMSPEYAMRGQYSTKLDVFSFGVLVLEIITGRRNSYAIISEHCEDLFNLVWRHWNEGTVTEIVDPSLGTHYPRGDILKCINIGLLCVQQNPVDRPSMSAIILMLSSGTVSLQAPYRPAYIFGRNRNYSETLDIPPSSEPHSSITELEPR >KN538843.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538843.1:220497:223815:1 gene:KN538843.1_FG030 transcript:KN538843.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGGGGGGGERATKRSAFHIDYGGGVSLRRLAQPEALARGMITQGSAQLRTLGRFVVSCIVSIAVDPVFFYAPQVTANGGNLCVGISRDLAISASVVRTVVDLFFAARIVLQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLIIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGASWYLLSIERVSDCWKKACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVRSDVIKSNDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILVAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMRHRLLPQELRERVRRYDAYKWVNTRGVDEEALVANLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPESDFCGEELLTWALDPKAGLSLPSSTRTVRALSEVEAFALHSDELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRRAAELRRREEEEEEAAAIRSSTGLKTTMLVSRFAANAMRGVHRQRSRRADE >KN538843.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538843.1:218672:219616:-1 gene:KN538843.1_FG032 transcript:KN538843.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPARALPLHLVARLRLDLGLAPDFPRSLLPNYPDYFALSRDGALLELVCYRKDLAVSAMQSYAQRTGGYKVGDAVPFPLSFPRGFELDKKVRKWLDDWQKLPYISPYEDGSHLTPRSDITEKRTAAVLHEVLSLTVGKKMEKEMLVKLGEALRLPPGFRKVLARHPGIFYLSHKLRTQTVVLRESFRRHMLVDKHPMMGIRYQYLHLMHMGQEEAGKRKGKGRKTVRGDQMIGEEFGAEGENDDEEEEEYDDEEEEEGEEEDMEAGVASGDEDSDDDDDEEGEKEDMEAGVASGDEDSDDEDADDTDHAAKG >KN538843.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538843.1:189389:191335:1 gene:KN538843.1_FG035 transcript:KN538843.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFAPDGTKSADVEIPLDQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEEADTDEVVVIGSCMTPADSIFNESDDRLESVLTEIRLNTRTGESTRRAILPPSRQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRPLLSAGTTSCGLVTGCGVGFQVESVETKISLAFGLCL >KN542739.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542739.1:1440:2450:1 gene:KN542739.1_FG001 transcript:KN542739.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDGLLRWAVRSRRDGEAEREEVFDAVVVAIGHHSQPRLPSIDGMDRWRRRQLHSHSYRVPDSFAGEVVVIVGCSASGAELALELRRVAKEVHLIAESTWKTITSAMSNILARYDNLHLRPQVEHLCEDGTVVFDDGSFVVADTIIYCTGYNYSFPFLDTNGKVTVDDNRVGPLYEHVFPPELAPSLSFVGILAKVLLPLFVEAQARWVAQVLSGRRTLPSPEEMQRAVEEHSRGMEAAGLPKRWTHDMFLDLERCDDYGERTCGFPRMEQWKKEIVFSSLSDMVDDMESFRDGYHDSDLVRDGLRRHGWTPVAPRPQEEDDDAKAIGVANI >KN542739.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542739.1:12918:13097:1 gene:KN542739.1_FG002 transcript:KN542739.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQCVAPAVAGGERKWVVRSVRVGERDDTGVQEEVFDAVVVATGHYSQPSLPTIKGME >KN542739.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542739.1:5280:9018:1 gene:KN542739.1_FG003 transcript:KN542739.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKKVCVIGAGVSGLAAARELRREGLDVTVLEQRGGVGGQWLYDAATDAGDPLGVAGVHSSMYASLRLITPREVMGFSDFPFRPGKDGGSGAGEVDARRPRLPTIDGMDRWRRRQLHSHSYRVPDAFHGEVVVIVGCSVSCKDIGLELRRVAKEVHLSAKSPEEAMTPAMSKILARYDNLHLHPQIEHLREDGTVVFVDGTCVVADTVVYCTGYTYSYPFLDTDGKVTVDDNRVGPLFDHVFPPELFPSLSFVGIPAMVVVPLFNEVQARWVAQVLSGRRALPSPEEMARAAEEYNRGREAAGVAKRRTHDILDLEYCDDYGERNCGFPRLEAWKKELMWSSYLTMCDNLETFRDGYHDSDLVAGQNELLLD >KN542739.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542739.1:2941:3511:-1 gene:KN542739.1_FG004 transcript:KN542739.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEWWYAGNKANADDKLTGSDVCDAIIYHTMDLEKLQLDRAARMMMNEKWESPPAEATAALHSLEKVVMLGMTIIILETDATELQLHVNQVPELVFHQMDSGDLPRAPG >AMDW01118107.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01118107.1:79:444:-1 gene:AMDW01118107.1_FG001 transcript:AMDW01118107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGPNDKNMNTAIDEQITDRLLRMDRRAKKFLFGTMDEQSPFKPQGTRELIHQGLQILERLACDDQNCREICCNQRLLTKIIAPITSPAFLHTDYDNAWVDILSILLRLVRLLISAPGEAGTR >AMDW01027224.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027224.1:72:269:1 gene:AMDW01027224.1_FG001 transcript:AMDW01027224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSFASSEFSMAFPYIAMSDDDKLEKSLLSGFAENCNNGFGDNHITYTDTCSVSEDLNKHHNMDSIH >KN542754.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542754.1:9835:10580:1 gene:KN542754.1_FG001 transcript:KN542754.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDLRNPPSAATTVKEDQQFTVFIDGVETALHEGVIQWNGGTVTLVSTGVLAVDRLQHVVVRGGGSGDVSFTRCGFAAAEACGVASFHRCDAVRADGAREVAVRRCSVAVRRGKVNVIEQPPVCQEKPMYHLVHAEPVSAIPLEISSEIKLQ >AMDW01040049.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040049.1:57:962:-1 gene:AMDW01040049.1_FG001 transcript:AMDW01040049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTMSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSTLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGAKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNEGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPTLDE >AMDW01037374.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037374.1:120:582:1 gene:AMDW01037374.1_FG001 transcript:AMDW01037374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQAYFLVLDDIMDDSHTRRGQPCWFRVPQVGSIAINDGVILRNHITRMLRLHFRGKLYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLNKYNIG >KN544016.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544016.1:3564:3911:-1 gene:KN544016.1_FG001 transcript:KN544016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKDFAADSGSGSEPPLTAEAKAQMVADGKKKVQLQEKQEEDGTALRQGSRRSVTDLIRYTLMGIRFAFTAAMHYYHYTQMVPKLPGTTFGVFYNCFHYFFIAIIILASYMGI >KN543192.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543192.1:811:5991:-1 gene:KN543192.1_FG001 transcript:KN543192.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPLPLLLLLLLPPLLLLLLSFDAAAAAAAEEFPRDGRVIELDESSFEAALGAIDYLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIIVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADSLVRNLNKFVAPDVSILESDSAIKSFVENAGTSFPMFIGFGVNESLIAGYGGKYKKRAWFAVAKDFSEDFMVTYDFDKVPALVSLHPKYKEQSVFYGPFEGSFLEDFIRQSLLPLTVPINTETLKMLDDDDRKVVLAILEDDSDETSSQLVKVLRSAANANRDLVFGYVGIKQWDEFVETFDISKSSQLPKLIVWDRNEEYEVVEGSEKLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILICVFALLGVMIYFTGQDDTPQNFLLVLPPLARKRTPCAQGIVLVVQCCATDFVGIVTSTRIHIDTPMLDRIALSA >KN543192.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543192.1:7816:9815:-1 gene:KN543192.1_FG002 transcript:KN543192.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCWKHGAEEEEEKERKERKPFNSSASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKPKVYLSVLGAVGIGIGVPIYAVVFQQKKTASG >KN543578.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543578.1:220:1779:1 gene:KN543578.1_FG001 transcript:KN543578.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >KN543578.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543578.1:2894:5272:-1 gene:KN543578.1_FG002 transcript:KN543578.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VASWGAYLLSRDVLAMSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWSKYNGLYMIEVDRVLRPGGYWVLSGPPVNWERHFKGWKRTPEDLSSEQSAIEAIAKSLCWTKVQQMGDIAVWQKPINHVSCKASRNELGGLGFCNSNQDPDAGWYVNMEECITPLPEVSRPGDVAGGEVKRWPERLTSPPPRIAGGSLGSSVTVDTFIKDSEMWRRRVDRYKGASGGLAEKGRYRNLLDMNAGLGGFAAALVGDPVWVMNVVPTAAIANTLGVIYERGLIGTYQDWCEAMSTYPRTYDLIHAYSLFTMYKDRCEMEDILLEMDRVLRPEGTVIFRDDVDVLVKIKNIADGMRWESRIVDHEDGPMQREKILVSVKSYWTA >KN543555.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543555.1:182:5267:-1 gene:KN543555.1_FG001 transcript:KN543555.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRLFLLLFLCCLAGSRIASADTNPQDAAALRSLMKRWKKTVPASWRKSNDPCVRWDGVICDRNSRVTSLNLFGMNLKGTLSDDIGSLTELRILDLSSNKDLGGTLPATIGKLVQLEILALIGCSFSGNVPKELGNLSQLNFLALNSNQFTGIIPPSLGKLSKVTWLDLADNQLTGPIPNSRDHGAGFDQLLKAQHFHLNQNKLQGSVPDYLFNSSMELKHILFDRNNFSGSIPASIGVLSKLEVLRLNDNAFTGQVPAMNNLTMLHVLMLSNNKLTGLMPNLTGIGALENVDLSNNSFVPSEVPSWFSELPSLTTLTMQSVSLSGQLPQKLFSFPDLQHVILSDNQLNETLDMGNNISKQLNLVDIQNNKIASVTLYNNLKGNILKLAGNPLCNDSVLSSTTPCTGQLSEYPTQSRLPPDVQCANPFVETIVFRAPFFGDAANYLHILHYNLSSKLNSCTPNNLGLVYSNDDAYLNVDIRACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKASRTVLIGVVTGCFLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKINSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLVRLHFVT >AMDW01082492.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01082492.1:161:679:-1 gene:AMDW01082492.1_FG001 transcript:AMDW01082492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFPLLGVLVLLCVASLVDVTEGAGGGGGRGGGGGGGRGGAGAGGGYVGSSNPRGLSGGRIADIMSGSSIVVREAGMCDYKVWMYISLVIVICEHGYSIKNTVIVICERT >AMDW01035143.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035143.1:3:277:1 gene:AMDW01035143.1_FG001 transcript:AMDW01035143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGGAGAAGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITN >AMDW01034622.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034622.1:46:390:-1 gene:AMDW01034622.1_FG001 transcript:AMDW01034622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDPDPTLFLPPALQLQVPWAHRLERADLVLQDDPPKRNKGMAIACIEPIPTPEQYEDFRQLVINHVQNVLGYHVLEVSRHPIEFLYIRLASALLRDTLIANGPYVVEDQFML >KN544502.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544502.1:49:2067:1 gene:KN544502.1_FG001 transcript:KN544502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFVIVDDIWSTKAWEVVKCALPENNLCSRIISTTRNADVATSCCSSLAGYIHNIQPLNEHDSQKLFFKRIFGDKSACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSRLKEQWEQVYNSIGFAFSHQGIRDILLLSYYDLPIHLKTCLLYLSVFPEDYKIGREELIWRWIAEGFISEVKGQTLDQVAENYFNDLVNRSMIQPVDIKYDGRADACKLHDMVLDLIISLSTQENFTTIVEGQQYKCSNKIRRLSVNSKYLEDEVMQEIMTNCSQVRSISFYGWKDPETSLLPTLNSLRVLAFENWFHFGSKSIKHLGRFFQLTYLRIKSRFIYELPEQIGGLQNLLTLNIRGSSVEKLPSTIGCLKNLVRLLVNEAVKLPNEVGDLQALQQLSRAGNYNSIVFVEQLKWLANLREIDIKLHGSAQLGDRGMASYMEALKSSLAVMGKQGLQSLKIRYDDTVIGEKLMDLLCYSPCLRKLVIYSCSISRLSKKMALLVNLRHLDIGVSSIKQDDLCVLGSIPTLLFVRLFVENGPDERLAISSHQFQCLKEFIFESHGGGLEMLFLQEAMPELRRLSLYFRAEETDSKMGFEFSFKHLASLEHLQVTIECTYATRSRVETAEASVRNAASAHPGCPRIEIIRNYEDWMRDNKVDKEEILKDLDGYEVALREI >KN545320.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545320.1:750:1742:-1 gene:KN545320.1_FG001 transcript:KN545320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAGNTEGALVGVASIVAGARHTCALVVGGGVACWGRNDFGQLGAPASEPVATPKRVAGLTGVVSLAAGRRHTCAVVDGGAVWCWGDNSQMQLGVGASPATSSTPVKVSYLTETATVIAAHADSTCVLTANDKIRCWGTGLADTSFPTGGFRAISVGTKFGCVSWGSLGCWGDNTPMLGGTSVPAVQTTSGDGHVCGRSRTGGVMCSASDNTAGQLGLLDQAPHTGGYAVLSNAVHVGAGAKHTCACLDDGTVACWGSNTYGQCGRPASGLGPIAVPEARGCQEMTAGDVHTCMRRADGTVACWGGNSDGQLGSGQTSDPSTAPVKVVALP >KN539606.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539606.1:63815:68229:-1 gene:KN539606.1_FG001 transcript:KN539606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMVHLLRYGKMQEKLNQRIQNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLVARITNFHNEDKGYMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQLMLGLSKECDWIAYFFSVQWDTDSPISMGTHSTKTIGEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKFIYPCFELPNEILLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >KN539606.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539606.1:55975:58300:1 gene:KN539606.1_FG002 transcript:KN539606.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDGPARGPWLGRPAILPPFPPASSRRRAAQSNPKTAAAGDGEGDEAGEAWKQARDSLPLLARRGPPRPLPPSQPPTRRPRRLHSAAAAAMKIEKANAGFLTNFEVLDFLRSRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESISEFVTRCESFKLTNADKLNVINWRPSSAADAYAMIEECGKRFSKDERGEACNEDERVEEFLELVKEAFPPPPPKPEAMTE >KN539606.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539606.1:21152:34416:-1 gene:KN539606.1_FG003 transcript:KN539606.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAQTEPANAAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSVNLPYGWNRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKECGIPVQFQRFWLWAKRQNHTYRPNRPLGPHEESQSVGQLREVSNKAHNAELKLFLEVETGVDLRPIRPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKATGKPSEILTKLNEMAGFAPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVSDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLLVIREGETAAEILERIQKKLRVPDEEFSKRRDVYGAWEQYLGLEHTDTTPKRSYTANQCCICLD >KN542337.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542337.1:595:8705:1 gene:KN542337.1_FG001 transcript:KN542337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEDNNAVAVSFSEEQEALVLKSWAILKKDSANIALRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVRPIYIGRSDPGFSGLSRSRRAKKHGSVGHRAVVAVAVPLPSLRQRCTGGKELRMIRGKKPCAESSCESGRLNFLQIFSCKHDSTFHVPWIVMAPAAKRNLGKAPIVDDSDESDCDGELSRRELDDIIYEIFRKEVVKDVKKEILNRKSCSHAFRYAKVNYTFDFNFYIACCYDNKLKEAAKIDSSFTRFSTKYFCQVISALSPHQKTIIQGAGFQNLLEFNSNYVPNKFATWIAKHVDFKTSQIILRDKVISVTKQTVCDIFGLPSGGLEFGKDFEAGKEYILSMYGLSCLPSVRFFGDQFIKKEPLTNEKVITSFLIVALACFLCPNSSILPSTKYLTIFQDVNNLRNYDWSKFIYDWSMNYMKKFVKTNSLGGCLYMWAVVYLDNVEFGDNNVSNEIPRICVWKSDMIHAYSEFDKIDDDTFGLRPLRDFKSTCYFQPQPCDERRISFQQKLDCALQNMLPVYMKEKICSMFDSHCTSLHTIDDSSCGDLLISVLAMIGEASCNESDQNVVIEENVIENVGTSKADDDIGISSAGISALYFVNAFVLYAEVHVPNDNVLPHSPIHNAFHGNEDAFVSKSAVELNDSRQCDDDLNFVTPQVGNANHSKQSVDDLLDGSGIAAAAAAIHRVAKKFRSRFNDYGNVENIFNQSRPLFSLLDSEDDVSDYEHVFSVQNEDEGSENVSPSSTQPFISFQSLPETPDNDTCNTVINENPGTSAAHNSQNSNKRLFKDVTNSPDVVCLGENKICDSSKRMCVKAEQLYNSTNQLNKYIRGMSSSGGKLPVHGPRRVLVPARHASDPFVFSPRRRFTVSDQENRYYIAICRLSDSSKWQSYYAVDIDNVKAKFYSFGHSLKKNCIVSPYVISVFCRVLFQDSHPSKSKRNYFFPSIGAELINDISDKGLEKVKKSFEGATNARKLHLCDMLYFPILHLQHWFLFIVDLKDRMLVILDCVYHEGDDFYEPIMTQLINNLQTFWDKFECSPMNFSNFKLKFPSVPAHISSADSGIFVMKSMELWSPRVILQNEFSNDNISNIRVQYANRIFFHPSNKLLSTEVEDVVLNWFDPAKFPRVDTPGAA >KN539606.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539606.1:3522:6054:1 gene:KN539606.1_FG004 transcript:KN539606.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRILCRQQDSMSLADVNGTVVLTSTDCNNDYQHWSRVETGVQDSEGRPAFALKNKATGKFLKHSFGHGYPLGFDVSHNRPLHVRYLYLKKFEGKDDQLWKMEPWESLTKSPSPPASDPSRRRSSYGLDGPTKKIVCRANRDLTLAVRDGRVVLSTPNRMDVYQHWIFDARYCTMVKDTDGCWPLSFVNKGSGRAFKHSHGNGHPARLVPYNPDFMDESIMWSGTKRDWGEGFNCIRMINNINSVLSTVSEDRREINDGVEVILSEWKEGENQLWRFYSWE >KN539606.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539606.1:15967:17507:1 gene:KN539606.1_FG005 transcript:KN539606.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVREEEEQVVEEVVRAGVVAEEEEGPEEKEVAMVGEETAEAEHDEEEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNTIMSSKKSDRPKSAEEEIKV >KN539606.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539606.1:59029:60268:-1 gene:KN539606.1_FG006 transcript:KN539606.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSARLQALEADRETMRQAIVSMGAEKAQVVLLKEIAQQLCKEATPPLPPSAVTVGHHLYKGAAAPPAVTVKMRPPRPPVVMHRRVIDAPPAAKTSYIGAVAKWFTSIVSRYKKTPRTKYPIGQCGNNVGLLLLLDKAPRPGHGHQKMPKKI >KN539606.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539606.1:6394:8619:-1 gene:KN539606.1_FG007 transcript:KN539606.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDLALEEKLAANALGGGGGGNGGAEEAAAWEIDLSKLSIRSVVAQGYHGTLFRADYGGHDVAVKVLDWGEDGYSTPEQIAHLRASLADLAAVWHSFEHPNVARFFGASMGTADLNIPANTTSSATVAGGGEQRNTGEKPPPDRACCVVVEFLGGGTLKKYLIEHYRSKLPYGEVVRLALSMARGLSFLHANKIVHRDVKTENMLFLGGGDLKIADFGVARVEARDPREMTGTTGTVGYMAPEVLVGKPYNRKCDVYSFGICLWETIDRET >KN539606.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539606.1:50221:54046:1 gene:KN539606.1_FG008 transcript:KN539606.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGCWVIGRRGGFLGVFAILACVDYCPATEMDEVPGNQEDPRLGAYADKITDAMFADHVELGSHYAGDSSSEAGWAPMIARMQSQSQLGSNQLGVSFENGSLPDDPSSLASAFKNMSLGFRDFTVGTPANPVSVAPLGGYYPGSHVISSGETTMNSFHQQEFAQDGFRPSSLNLNVAEYMKPKYGVHNVQMCTGLHGSDYVSGDPYNLPSSASPLQKQYFIDGQFRANAPYQQTGSNFRRQDFDADSHYLLQSQYAYQQMPQVAGSDVHWVRSNQHGVHSSSIPAASPYLRTPMVGQQAHSSADTYWNGAAISHGNNQLNSTFVNNCSCIIYPDCSRKICEYCQMKQAEKLKHRYMFRRSSKGFLQPQIFDKVNIKCFPGKTMVKSDDINSVRNIQSVFEPNGRIEMNQRINQHGHNQHLNIQGNDFLLFDRLNSQALSPVESEYGLAMKIPQMSYSSVDEVVGKIHLLAKDQNGCRFLQRIFTEGTSENVKKVFDGIIEHIGELVVDPFGNYLVQKLLEECNHDQKMHIVYEITKRPGQLIKFSCDMHGTRVVQKVIETINSPDEVSMVVCALSSGAITLMMDANGCHVALRCLQKFSHEHKAFLLNVAMEYYFELAQDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVVQYILDLHISWATDEILDKLEGHFGSLSMQKSSSNVVEKCLKEASWPKHVKIIHELINDPKLLHILIDPYGNYVIQTALKECEDAAVRSMLIGAIRPHVAALRNNMFGKRILSKTYLKNRKH >KN542337.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542337.1:9144:16500:-1 gene:KN542337.1_FG002 transcript:KN542337.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKKAKKKREEEEPKSKIKSEEKIKTSRSKQGMKFTEPVRIGPLEKKELNSISEHKQKVSFETQGNKQNHMKQNAGIRIMPYAEPNENSKSITQGSKEGNNKTIQSGTNIHQLQDGERSLKESERNKIDTKHTEETSSSITQITGIGTTQSPQSPALLHDELTMLKSHTTPVAQLSYTSLMHQVINSPRINIEPNMGGRGLESFTSMLQTPSAYNNSMILTTSRVCEQDMLLEDRNNDLLIPSKHYSYQEFDEIYNSARITPEIPVDKTMEELYKLQNTHLKSQGIPTSWATQNRDQEDFESDQPYNESFHEQDIEEQQTRSEATEISGIQQQQTEAEMNDNLNSGANNGEELSEEDIDNFLQNEEESSLPIDDKHIPKLGMKFKSHTEARGFFNFYAYLAGFSVVIAHHYKTTSKKRQGEITKYTYKCNLQGKNEPANKKKSNEQVTEQQRETVVLVKTNCKCTMLMWKNRAQFVPVYFKYDFCPFIQSTALSEGTNSRFKRGVGPQHSVMSFMKEYENINDTIFDTEYSKDFQSRTKMPKTLWFNYLIEEQASELYNLDIFRKFQNELKDTLRLQVSVIQQGKVYEVFVSPNSIQQEYRQRKHIVIIDLPNENFSCICGKFSKDGMLCSHILKVMLELNVRKIPEKYIIDRWRKKEKKEKVKGSIHTDATDNSVLMFNVLSRKGADIASKASKRKRTYQFMMEELEKLEMNVQLMIEQEAETHLSQDESNTVQNDTVQQAEEEIIEEVEIEDPDLANTKGRKPKRYRRIVEKMIETSKRKNKDEQHTTETRTSEITNTGNKQEEMKTSKTTKRRKKNNTQEETPKEKLHAKTS >KN538702.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538702.1:227289:228114:-1 gene:KN538702.1_FG105 transcript:KN538702.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAALTPVVSKIFCSSSQAVLMVRRRPPTVNGGGFVVTDRDQRVVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQVLSINNWWKGYLMDYGEPSKLVFSLQDPKPVLCMNGDVRVTVEPKGRKRHWDYEVIGSFSQRACTVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >KN538702.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538702.1:302521:302857:1 gene:KN538702.1_FG106 transcript:KN538702.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVFNQSSATIGAAGVVQMNCMSIGLGRTNRKGHHAKPNTCYSTLTEWLINFYSCRTGTKEVTRDDRRGAELSPNG >KN538702.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538702.1:274625:274825:-1 gene:KN538702.1_FG110 transcript:KN538702.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MPIARKASLKRFLAKRKATPASARSSSYVRAAAAEEGQPPAKKAKAAVERREDWLALGSLGHMHSR >KN538702.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538702.1:303844:307669:1 gene:KN538702.1_FG111 transcript:KN538702.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 10 [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/Swiss-Prot;Acc:Q570B4] MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYRYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPGTNCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQILFFAGVLFRHLFPSKTSAPPPPVAAMD >KN538702.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538702.1:278929:279783:1 gene:KN538702.1_FG113 transcript:KN538702.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSEQQLVANAAATTVAGNGSRFAVTCGLLRQYMKEHSGSNGGGGFLPAVAAMSLMTGGADAEEEAPEVRKITMELFPQQAGTLKDSQERKEITEKAAQLTIFYGGSVVRFLEKRKNRIVAAEPLPESEKKEAESSKRAKKDDGGASWLQVNPTLSL >KN538702.1_FGP114 pep scaffold:O_longistaminata_v1.0:KN538702.1:239573:242588:-1 gene:KN538702.1_FG114 transcript:KN538702.1_FGT114 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSLLASCQQAATLLAFWGRFLSDADPISPASNLSEIGERNGEQPPVDPSVACAGVARHEGFGSQCEFLRAHPQCSSGGFVDYLGFFYCRCERFRVLGYAVIGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGTGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLMTLLALSIILIVGKVTVWGAMMFVSIYVVYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFAQGTEDDESVYSSLLEEESDGDVARINTSLPQWMWASHVAIYSNHGIRGGSPDSPRPLWGWSDEEVDNSTVSFSKLFLFLELPLTIPRRLTIPIVEEDRWSKEYAVASAGLAPVLLAFLWSSQDGVSTKAHIAAYVIAGISGMALASLAFMFTGHERPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDSSLIYTMSFLVGGLIWALVMLPRGGMQPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >KN538702.1_FGP115 pep scaffold:O_longistaminata_v1.0:KN538702.1:264909:268936:-1 gene:KN538702.1_FG115 transcript:KN538702.1_FGT115 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGISKISGALTLLLKAAIQFIILIWYLCFKIPRPDIFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGIKLGNSICSAMGNDDCISVVSTVCSYNTEKEVEDRNTTVFTSWIDGEIFLKPNRPALVVSSTSWTPDEDFSLLLEAALMYDRRVAATLGEDDSMDEGKLWTDIKNGKQFVYPRLLFIITGKGPDRMKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKINNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERYALPLVNQSPEYALPFSFVRKFAGHRLKCMITFDR >KN538702.1_FGP116 pep scaffold:O_longistaminata_v1.0:KN538702.1:310337:314797:1 gene:KN538702.1_FG116 transcript:KN538702.1_FGT116 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEDGGDVHVGAGEGEDGGRVTVDELTRCLRCGISANATPHMRRGPEGRRTLCNACGIAWAKHYERMLDEYRYAELQADFHASQSFPRIPPSKMLRQAANMYTPVVFEIFRREFEMFVDSVIYSCGEDGNAFEYRVAVTDRPGEHYVSSSLNVPVPFIDPQHVQSNNELNHDTSVSNFHQQALHGGAQGSQGYAPLAGIQQQQFIGSFRLNHETEAFNCFAAKWPLQTMHSFNLMFSLLPFFSVGVVDYLNFLIRIFYYSHSSTTASKYFLKEKDNQIHVPALLKGRRFPTADELCCLLAIWNKFSTEDNQTILQSGFSVDERTIV >KN538702.1_FGP117 pep scaffold:O_longistaminata_v1.0:KN538702.1:365706:366923:-1 gene:KN538702.1_FG117 transcript:KN538702.1_FGT117 gene_biotype:protein_coding transcript_biotype:protein_coding IYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYIDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAPAQDFAAITDYTAPEQWSADQWTSDVAAPPAATVGDWGAAPAPVAAAEGWDQAGAPVATEAAVVPPVAPTGWDPAAQPAAQGWD >KN538702.1_FGP123 pep scaffold:O_longistaminata_v1.0:KN538702.1:219330:224413:1 gene:KN538702.1_FG123 transcript:KN538702.1_FGT123 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFIGEGGFGPVYKGYVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVGLYAGFRISDVRQLPYGPNICWARRGYRKR >KN538702.1_FGP124 pep scaffold:O_longistaminata_v1.0:KN538702.1:231125:238533:1 gene:KN538702.1_FG124 transcript:KN538702.1_FGT124 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKFNYHAKGDHVVYTAEFTVDADFGEPGAIAVANRHNREFFLESIVVEGGGLPCGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSETPPGLRELREKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDKGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHPIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIASISAETHNFQGFHHIDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAVAGINPVNIERLQVFPPVSKLDPAIYGPPESSITETHIAGHLNGLTVQQAMDEAKLFIVDYHDAYLPFLDRINAIDGRKAYATRTIFFLTEAGTLKPIAIELSLPPAKPGEPRPSKVLTPPYDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHATMEPFILAAHRHMSAMHPIFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGPVSGEISAAYYRNHWRGVAVEDAAQPHGVRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQCDLELQGWSINQGKCSIRTQTKPNPRFSTSPVPQPSPEFGDWGLRTPGPADDDILRSLVPASALLLAGDDHLTTIQGPC >KN538702.1_FGP125 pep scaffold:O_longistaminata_v1.0:KN538702.1:255793:257926:-1 gene:KN538702.1_FG125 transcript:KN538702.1_FGT125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-6-B [Source:Projected from Arabidopsis thaliana (AT2G05840) UniProtKB/Swiss-Prot;Acc:O81147] MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFSYEETVQIAISALQSVLQEDFKATEIEVGVVRKDDRVFRALTTEEIDQHLTAISERD >KN538702.1_FGP126 pep scaffold:O_longistaminata_v1.0:KN538702.1:249841:255063:1 gene:KN538702.1_FG126 transcript:KN538702.1_FGT126 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATVVSVCRQLICFCVFSSAILVSVSIFSWKKNGKEYISEEYLQQDFHLLIISMSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNITDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKEFYNLQNRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVNEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >KN538702.1_FGP127 pep scaffold:O_longistaminata_v1.0:KN538702.1:297873:299138:1 gene:KN538702.1_FG127 transcript:KN538702.1_FGT127 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRNVRQQSKKKRVHALEVATERWKVLTKVLAVVDALKKEEEHVTPLKRLEILRPQLGLTKPNKVAHFVSRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMMSVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWVVTELEKKTAALTGDVNANGIGSPPGELSLSFPMKFPPNFTTYYKFYGKVHHYVKKGNTEQFQKTTYLSPYAEARGLTPGSPEFDKRAVAVMHEVLNFTLEKRLVTDHLTHFCREFVMPQKLMRLLLKHYGIFYVYERGKRFSVFLTKSYDGTKLIEKCPLVRWKEKVLQLTSYIGRIKNLGKFAELFDSEDYLFGNDDSSGATDSILDVKSEDSYDIMDDGALADDTEMDVGDLSDCCIE >KN538702.1_FGP130 pep scaffold:O_longistaminata_v1.0:KN538702.1:316809:319081:1 gene:KN538702.1_FG130 transcript:KN538702.1_FGT130 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYSDTNASAAAAAAASREVSFSRDNHDQLYVSAARRDPPSFGYDISVASFSGQSRYEDAAGDYYYDDDDEIDVRVGKPVGVAGLFKYSTAMDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNVDKTQMMKDVKQISVYMAFLAAVVVVGAYLEITCWRIIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKMPGFVHHVFTFVFGYVVGFAKSWRIALAVFAVTPAMMACGMAYKAIYGGLTAKEEASYQRAGDVAQQAISSIRTVMSFVMEERLAGEYAEWLDKAAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSRLVANGEIKGGDAIACFFGVMVGGRHAHQPPRTRFLLSSMAPVAGVSWGLALTLSYMAQFAQGTVAAGRVFEDVEFAYPSRPDAMVLYNLSLVIPAAKTLALVGVSGGGKSTMFALIERFYDPTRGTITLDGHDLASLNLRWLRSQIGLVGQEPVLFSTSIIENVMMGKENATRHDAISACAMANVHTFVLALPDGYDTQVLTVNTHTINLTDYLLINHR >KN538702.1_FGP131 pep scaffold:O_longistaminata_v1.0:KN538702.1:226193:226435:1 gene:KN538702.1_FG131 transcript:KN538702.1_FGT131 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFLSFTIGTALALAAAYLALLLLAATDKMIGADAVTGFLWGADLTGAASLGWFVLTTKDTTRSYITREERLEVVKIG >KN538702.1_FGP132 pep scaffold:O_longistaminata_v1.0:KN538702.1:287230:287547:1 gene:KN538702.1_FG132 transcript:KN538702.1_FGT132 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFEDFPADKAAEVMRMASSGMAAAPVQREGAALADMPIMRKASLQRFFAKRKDRLAATAPYARPSPAETKPSEPEEKKTPTSWLDLAASASSAARRDSLTIAL >KN538755.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538755.1:126349:127395:1 gene:KN538755.1_FG023 transcript:KN538755.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGFGREEEDAAAAGESGLELCLGLPAYFSSSSSSSSKPSEGSTAAPAFALRSNGTNASKPRMFIATAKRLRVLKSSDLPPPSLMRAAGSRKRAAADS >KN538755.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538755.1:82700:87874:-1 gene:KN538755.1_FG024 transcript:KN538755.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQWSIDLARQGSPLPPPRCFHHILKKHFSLLMSQVGKQIVLGTLEDNFKADILLSLSRLASKSVLLFGNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHFVNTCELSKLVLAAESSLHSSSWEMQANKITSEHDYSGRFIIDLQWVSRDYQQLPVNSCLIKELARLDDSDCSKVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASQMGTDFDKLKFDPTEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLVMCACISWYACKTRDVNQESGDSKEQPDIFFSPSVWLTQELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDCSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALANCSLGLSELAHSYDLLAVSHGDMDHQSFSSIARLAFMCSLLAFCIAFSVDFSKVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHVFDSNPENKDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASCCLDVTGTRSKEFEIHKKTAGMVALNTKLMQFVQDDLGKKREKKRRKKVHVEEKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVGKS >KN538755.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538755.1:25463:42123:1 gene:KN538755.1_FG025 transcript:KN538755.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGAGKISASGGNGLAGGGGGRVSINVFSRHDDTQVFAHGGKSSGCPDNAGAAGTLYEAVPKSLVVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDIIEAQRLILSLFYSIKGVYTVLTVTVKSGSGGVHLRIFRAASAGAGVVKYSSRLFLCASIRAPKLNCEDDICPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDIWGLVQGTVIHFNRARSVSVHTSGTISATGLGCRSGVGQGKLLNSGVSGGGGHGGRGGDGFYNESHAEGGSMYGSADLPCELGSGSGNDTTKLSTAGGGIIVMGSWEYSLPSLSLYGSVESNGQSSTDVVTNASIGGPGGGSGGTILLFVRTLSLAESSILSSVGGLGNFGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSIRTSGGISKGKGFPGENGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCVQCPPDELPHRAIYTSVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMCLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLDWLETHANPSLGVNGVRVDLAWFQATALGYCQLGLVVYAVEEPVSAELDGSPRIKIEQHSLTQNMHADTQLGHSRTKEALMRKRITGGILDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFACGLVHYKSSTKRHPSTQPWNLGTCDNVFALGCAMRVDVLCEAISGISLPVGSDKLYSGSADGSVRVWDCNSGKCVDAIKMGGKIGCMITHGPWIFVGITKSVEAWNTQTGMKSSLHGPSGLVCSMTIKDEMLFAGTGDGRIMAWKIPDKKGDSGPVAILSGHERQVISLGVSATRLYSGSLDKTIKVWDLKTLQCVQTLSEHKAAVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHSEEHGVRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIELAAGGLLFTGDGAGELKVWRWAPEEEPATPALVKSSM >KN538755.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538755.1:4329:5835:1 gene:KN538755.1_FG027 transcript:KN538755.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQRRPRRHPRVRPAEETYIYTRYHYADLSEFDLDEESRLPPMRHTAATYAPPARALHFLCDMINVLAVRIILPSSDRSDDIGFPISVYGSVIARDQLDYKCVHLFRRCRDDPQLITSEMKVEDDQQQGCKDKRLSKGLIVLDGVQLSTNLSDHLRTAVKTATLDRRSTMPCAVQVTYAYVTRAVEATVSVDLLHDQAGGAHFCGEITACTSTIQDSIVLHDSDKLGVADDGIVADGSTVRLLRRVIGVCLDEVLMVTIVAQDGDLARATNYCRQTVDFTPCVNGGDEARVVGGVGSFLVKVNWSLMDPVIDK >KN538755.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538755.1:151075:152288:-1 gene:KN538755.1_FG030 transcript:KN538755.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTRDNLHDKINQQTNRPKIHNYSAMDTVGWDENTSGDTGPLDLSAEGDNGNEPLFNDTDGNRGRDGICPSHDNGTFNSSSLTVPVHFLSITL >KN538755.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538755.1:77551:81525:1 gene:KN538755.1_FG031 transcript:KN538755.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >KN538755.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538755.1:45985:46527:-1 gene:KN538755.1_FG032 transcript:KN538755.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAPVAQPVRPGIPHRRLRPRRLQGHPPDGHRHRRTSHHLPRQQIQVNPTHYIDIIADIVTFLEDHHRHDAVYNMYVGGCRWDAAMSAVTPEGEEEVFYVVSLLFSAVANDVAALEAQNRRILRFCDLAGIGYKAYLAHYDSRGDWVRHFGAKWDRFVQRKDKYDPKKLLSPGQDIFN >KN538755.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538755.1:105976:106908:-1 gene:KN538755.1_FG033 transcript:KN538755.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGTCILSCGSKNSSSFVVTELERRSCQSSETNVYLFASGSDDWRVFRNVPIRHGDGLAHLCCWTTDAVLSCHDRYMIWVDYLVAGMIVANVEHPGRVDPPEPVLWYVPLPVDPVADIDRGRGCPQASRSLCATHHGIKFVNVNQHGGSSSSRSFSITLWSWREDQTWREDATLDAAQLWELDSENRLPNVRPEFPVVDMENPYAVCFLLNGRYHTADPNATTWMIKVHMKKKVLLDCTGYSNKGSSSTARRMSEGLSFISSEMPSYLSGKTIKRFLEGPLWPATHDAIEIEENGKMGFPKKQKKYTG >KN538755.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538755.1:129390:131890:-1 gene:KN538755.1_FG034 transcript:KN538755.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALVSIDWLLPFINIIYLQCQINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMIYEKLTSGMYLGEISGIFGSIDNSKLKTRFHLRFKADPKISVNVANRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLETRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGIDNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >KN538755.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538755.1:89916:95516:-1 gene:KN538755.1_FG037 transcript:KN538755.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVYHQVNGTWKRGEGGSSGSGNGSGCTSCKEVRRRAAAVYGFARVINILIRFGILTCLEVRIRRLLAARGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLKDKGKVLDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKKVWLLDSKGLIVNSRKESLQAFKKPWAHEHEPVTTLLDAVQSIKPTVLIGTSGVGKTFTKEVIEAMASFNERPVIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVEYNGKIHVPGQSNNAYIFPGFGLGVIISGAVRVHEDMLLAASETLAEQATQENFEKGSIFPPFTNIRKISARIAASVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >KN538755.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538755.1:47858:48037:-1 gene:KN538755.1_FG038 transcript:KN538755.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLTPVSWTDYLHLTVGGTLSNAGVSGQTYRHGPQISNVLELDVITGNHSKQPPASS >KN538755.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538755.1:111139:113082:1 gene:KN538755.1_FG039 transcript:KN538755.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAGSFFQEAALPEQRLVEGVAFPAVLVPSDDDAGLDAFLDAVPTFPAKLFFFCEVEPKIGGETPIVLSHYVYKRMKEKYPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWHSTFLTKDRSIAEERAAKLGMKLEWTEDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPEVWAIV >KN538755.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538755.1:65671:68970:-1 gene:KN538755.1_FG040 transcript:KN538755.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASMSCIASSLGGGGGSGGSSPAGTGRGGGGGGEGAAGYASDDPTHASCSTNGRAERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVVIEQLMLHSTQDEATSSNQLPISHLVKQKEPEFARHLSDLQLRKHEESEFTEPSLATLDLEMNHAAPFKTKSVLTMPTFYPALIPVPLTLWPPNVANVGESGTNHEILKPTPVNGKEVINKADEVVGMSKLTIGDGSSNSIEPSALSLQLTGPTNTRQSAFHVNPPMAGPDLNKRNNSPIHAV >AMDW01072411.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072411.1:117:1871:1 gene:AMDW01072411.1_FG001 transcript:AMDW01072411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLADITNISGSGLANRRGKVGNKSLRAVERYNENCDVFTNNTPTASNGSHEDVSHLSVAELKRKRARDWYAALTPEQKDDRNKKARERRKRKKEETQVSKSEASHLNITPRRLPFTIINNVAHYGPNEVPMSCVTQRTLNMNTSDFVVDNSGCENQYESSFFEGSEQNECDHDDNISLDNELVRVPTNETKERLQSKLARAREKYATLTPEQKQAKVDQRRTQRQSLTKEQRLELNGRRRVARQSMPDVEIHDMNARRRSRRQNVTPGERFAHLARRNALYAARRDKPCAESIALECPEGSIPLLLNPTPCLETTSDVPSTSSLQTEHAADHQARSCTFND >AMDW01039835.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039835.1:186:1121:-1 gene:AMDW01039835.1_FG001 transcript:AMDW01039835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASTLKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDTLNGNEALSHIFPLMFHQKRHCDMLDFDMIDDKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSSPTRVSCSYEGSSMSIPSDPMKYQSMETAKLVLDADIAR >AMDW01023815.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023815.1:12:326:-1 gene:AMDW01023815.1_FG001 transcript:AMDW01023815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSGAHTLGVARCGTFGYRLTSDGDKGMDAAFRNALRKQCNYNSNNVAALDAGSEYGFDTSYYANVLANRTVLESDAALNSPRTLARVTQLRGNQALFTSSFAAAM >KN540000.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540000.1:10014:23793:1 gene:KN540000.1_FG001 transcript:KN540000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVKFGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPSPWIQTPEVDSSRSNKQQPLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICREKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVQCLESCCSEACPPSRSMIEQPDYFQACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMALRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGALIVNIGDLLERWTNCIYRSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLKSCCSESCPPRFLPIKSGDYLKERLSVTYK >KN540000.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540000.1:55281:56538:-1 gene:KN540000.1_FG002 transcript:KN540000.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTALRNYDLLLRHDLVVVQEINLFVHYCLLAMPGVRAAEMLRSNRMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSKPPSPVTLPMDADAKTSMVVAHRGGSMMVVLKVLSAFSSRNINLTKLECSVDLKIRPWDSSDLGAPEFVTVTNCKTLNFVQKTM >KN540000.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540000.1:32642:34755:1 gene:KN540000.1_FG003 transcript:KN540000.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEPVCGQAVGAGNLPLVGATMQRMVLLLLAVSVPVAVLWAWMEPLLLLCGQDAAIAAAAQRYILFCLPDLLFLSLLHPLRIYLRVQSINLPLTACAALAVAAHLPINHLLVSVLGLGIEGVALASAWANLNLVIFLLAFVYVSGVHRDTGGFSLPRKMFKDVDGWVRLVRLAAESCASVCLEWWWYEIMILLCGLLANPRATVASMGILIQTTSLLYIFPSSLSFGVSTRTTGCGVLRGSARPRDGAHINLGAFYGVGTPVAVGLAFWAGMDFRGLWLGLLAAQAACVAVMLVVIQRTDWDVQAKLAQKI >AMDW01077457.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01077457.1:71:424:-1 gene:AMDW01077457.1_FG001 transcript:AMDW01077457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DILSTLRIPNATVLKRSFDRTNLNYEVIGKTKTPQKQLGDLLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRSNVQGKWHSGKVKVICATIAFGMGIDKPDV >KN543285.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543285.1:846:8482:-1 gene:KN543285.1_FG001 transcript:KN543285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRRRPPRPERVMDNWERLVRAALKHQHRAPSAAASSAAGIGLASAVPPSLGKTTNIEHILQAADDIEDDDPNVARILCEQAYTMAQNLDPDSDGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYQQYKSRRRVDDMQREQERLRESGTFSTDMGSRAVEMKKIYATLRALLDVLEILIGQSPSDRLGRQILDEIRRIKRSDAALRGELMPYNIVPLDAPSSVANTIGFFPEVRAAIAAIQNCEDLPRFPSDALQLQLRHKDVFDLLQFVFGFQEDNVRNQRENVVLALANAQSRLGLLDGTEPKIDERAVTEVFLKVLDNYMKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAERAKSCTITNDSASYLEKIITPIYQTMEAEAQNNNNGKAAHSAWRNYDDFNEYFWSRSCFNLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQ >AMDW01030747.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030747.1:310:423:1 gene:AMDW01030747.1_FG001 transcript:AMDW01030747.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREK >KN539554.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539554.1:65528:66160:1 gene:KN539554.1_FG001 transcript:KN539554.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKMKALGKKKPKVPTKKKTKAQMKKQSKASTKKLVGDAGAGCNRGLHGAAVMPHPAEDYLSDEELEDDSQEVPGIPRRTRLYHYIFEIYQV >KN539554.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539554.1:80314:81911:-1 gene:KN539554.1_FG002 transcript:KN539554.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELHLEKLQVSDRGVSALSGLEVLYLAKAPEVTDVGLGKLATRSPRLRKLHVDGWKANRIGDRGLAAVAQKCAALQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCAALRKLCIKACPVSDAGMDKLAQGCPRLVKVKVKKCQGVTPECAERLRASRNGALAVNVDTPGGAGELQDARSVDESGVLENAGSDTLPDDLDDRIGGPDLSCGSSGRPSGWKARMGAFMSRSLSVSMFRRRPRGIFLLHSFKMSPDWDGN >KN539554.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539554.1:69538:69960:1 gene:KN539554.1_FG003 transcript:KN539554.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKMKAMGKKKPKVPMKKKTKAQMKKQPKASTKKLETPAPAVVGAFTARELSAAKQLVLLSGSNKSSSGGSRSAVFASSGSFLNAPPVTARVIPRPAEDYLSDEELEDDSQEVPGIQRRTRLYRYIFEIYQVTQPMEK >KN545679.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545679.1:99:452:1 gene:KN545679.1_FG001 transcript:KN545679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLQKQPLKLKKSDDEPMDFVAQRLHRLDMDASIDSVPADSRLNESLRSVLLDRIHNLYLKALSRLPMEDFRTPHHRALLKAGYCFGPLSPVSNIIDNTIWYDSLFLSSENLEVDIVG >AMDW01129970.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01129970.1:20:283:1 gene:AMDW01129970.1_FG001 transcript:AMDW01129970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCLPSRRMMDPVFAQLAARKLPNVVFFTVDIDEVRSIADQFDVSGSPTFMFFKGGEIKATVKGAKEEKLIYVLEREVAMMSSLMD >AMDW01027866.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027866.1:273:308:1 gene:AMDW01027866.1_FG001 transcript:AMDW01027866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAE >KN538682.1_FGP173 pep scaffold:O_longistaminata_v1.0:KN538682.1:1018380:1020527:1 gene:KN538682.1_FG173 transcript:KN538682.1_FGT173 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLAGKLRAPSAAALRRPRSLSTNASQSQMKRYRDIEEAIRYNREFHRRCIMASIVVGVGLGGLSCVWYARSYRKALMEHVTGFEVISPYAPS >AMDW01038164.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038164.1:95:734:1 gene:AMDW01038164.1_FG001 transcript:AMDW01038164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SMIQPIDIQYDGRASACRVHDMILDLIVSLSKEENFTTLMQGEGYNCSNKIHRLSVQSNCLGNKAMQEIMGKCSRLRSLNYYGVQDVGADLQTLHCLRVLVFENCHGIGTQHIKHLESFFRLAYLSISSDGITELPEQIGDLKYLQTLDICRSGIKKLPPTIGRLQNLARLLVGNDVELPNEIGDLQALQELSDAGKYDSIKFVQELSRLTRL >KN538682.1_FGP174 pep scaffold:O_longistaminata_v1.0:KN538682.1:1059525:1062954:-1 gene:KN538682.1_FG174 transcript:KN538682.1_FGT174 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSGAAVCCMCGDHGLPRELFRCGHCHHRLQHRYCSELYPRVAAYRRCNWCLREGRRRGRGGGGSPATATAAAKRRMSAALETSTGDSSKEKDKLVAEVMRHVLFKTHQTTGCPIKREELTQIVTKNYRQRALPALVIKEAGDRLAATFGYEMRELQRTRAPSTRSGRPSQQQVNVDAKSYVLVSKLDPEVYMKYVEHKEAAHVSGFAFVVISIVHLSGGKISEEDLWHQLRRLGLNESDENHPVLGNNKQALELLVQQRYLLKEKLSGPEGHSMMYELAERALDESISGKLKDYISQVVSTSTAAEVD >KN538682.1_FGP176 pep scaffold:O_longistaminata_v1.0:KN538682.1:1029187:1031073:-1 gene:KN538682.1_FG176 transcript:KN538682.1_FGT176 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLVKTTGSHKALLEEYAQTLEKLTIAVEKNERSKGSLATTSLFLMASVVTISFGVYFFGVFTGRVDVNGFLKGFIIDILDDPTISVKMHDYVDDLAGSAVESINPIKRFVHWLSGQPRPAHYQKLNEEILNYYTDWSSILAFAVNSIAKSEGGKISEKALWRFLINVGVQETKLNKALLKVLVRERFILRQEYKGVISYKMEFVMPAAARGPKSKPSH >AMDW01025850.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025850.1:46:243:1 gene:AMDW01025850.1_FG001 transcript:AMDW01025850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRISKMVVQQEVDDDCYVTVEADQGKEEVVFTVDKQADAEENDAAAEAASSSSAAGKKRKRRPPA >KN538682.1_FGP177 pep scaffold:O_longistaminata_v1.0:KN538682.1:1038386:1041016:-1 gene:KN538682.1_FG177 transcript:KN538682.1_FGT177 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGRSSGGGIPGGDGKRRLEEWTRSVDSQLEEILTELQQLSAAQMNAVKVSTSSFQSLKRSMERTGKATTAVISLSVTASVYLVLFALYCLGVKMGYIVDADEFAKKLAHSVLDDEEFKAKLDQTADRLGAIAVSAPFRKAQEWFFDELWMRLYQLGVRRNKENEELLNILVAVGLISKKKKGKLTTYALVEEQYRECIDAGFRPKIVPILKKGMFRVLNFGFFSLNLAVSESGADFWSGDLVYMWKLIELGHVYSQQLWGIPNVHMVDTCFGEL >KN538682.1_FGP179 pep scaffold:O_longistaminata_v1.0:KN538682.1:1035648:1037224:1 gene:KN538682.1_FG179 transcript:KN538682.1_FGT179 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLAGKLRAPAPAAAALRRPRSLSANASQSQVGSPLDSSQIVRFDRPRSGKYTNGSTTELASSMKVVKNVDETIRQLHEEVAKMEAASKEIAEIIRYNRFHRRCIMGSVVLGVGLAGVSCVWYTRSYRKALREYYVVGLEMENKYSPRTPN >KN540651.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540651.1:6166:8197:-1 gene:KN540651.1_FG001 transcript:KN540651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDPDPSTRAITSNHTQIFPECKRERATTITTATAGVLGPRHPWPPPMKGKREKGSTGKGEGGSEGVGEVEGRGMDGEGMGGSMACKRSLVAAMEIAGEGGENKEKGAGEWRTADGDCG >KN538682.1_FGP182 pep scaffold:O_longistaminata_v1.0:KN538682.1:1057938:1058803:1 gene:KN538682.1_FG182 transcript:KN538682.1_FGT182 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFLAGKLLAAALRRRTAVSKSAAAPSSSVKLISCLSSEATITLIVSYFAYPLTKEIAEIIRYNRFHRRCIMGSVVLGMGLAGVSCVWYTHNHRKAVREVFRELEPELKQEILRKYSSPSN >KN538682.1_FGP183 pep scaffold:O_longistaminata_v1.0:KN538682.1:985415:990678:1 gene:KN538682.1_FG183 transcript:KN538682.1_FGT183 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVARVLLAAAVFFAAVAAAAAAIPPSLCSLPSLRQLFLSENFLSGEIPAAIGNLTALEELEIYSNNLTGGIPTTIAALQRLRIIRAGLNDLSGPIPVEISACASLAVLGLAQNNLAGELPGELSRLKNLTTLILWQNALSGEIPPELGDIPSLEMLALNDNAFTGGVPRELGALPSLAKLYIYRNQLDGTIPRELGDLQSAVEIDLSENKLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGELTVIRRIDLSINNLTGTIPMEFQNLTDLEYLQLFDNQIHGVIPPMLGAGSNLSVLDLSDNRLTGSIPPHLCKFQKLIFLSLGSNRLIGNIPPGVKACRTLTQLQLGGNMLTGSLPVELSLLQNLSSLDMNRNRFSGPIPPEIGKFRSIERLILSENYFVGQIPPGIGNLTKLVAFNISSNQLTGPIPRELARCTKLQRLDLSKNSLTGVIPQELGTLVNLEQLKLSDNSLNGTIPSSFGGLSRLTELQMGGNRLSGQLPVELGQLTALQIALNVSYNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLAGPLPSTTLFQHMDSSNFLGNNGLCGIKGKSCSGLSGSAYASREAAVQRKRLLREKIISISSIVIAFVSLVLIAVVCWSLKSKIPDLVSSEERKTAFSGPHYFLKERITFQELMKATDSFSESAVIGRGACGTVYKAIMPDGRRVAVKKLKCQGEGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEQGGDLVNLQAESELKESSGRNVTGSEDCIVLHHTLEKLSSLSCMDKQALQSAAKSRCLDHLFPATTVGFDLVSCKLCKQVRTQQQQASEQQRTAHPKSTCSIAAFTKLWRSNTCVNFDLATDLTVSKEAKNQSSPEPHASIDIVYNRKDYKGVPSSGHSGE >KN540651.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540651.1:34542:38092:-1 gene:KN540651.1_FG002 transcript:KN540651.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AFC2 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/Swiss-Prot;Acc:P51567] MECLAEMPRAPLADRRPRKRQRLGWDVGPEIHQVQIGLCGQEVANVISAVTLGLSSQEIPRFASPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPIALVREVAKQLLEFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYFKRLPKSSAIKDLDGVTPVISGVLVVYWLSYARIFELPKLPQGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDVLQGLLRNMLFGFSRICF >KN539795.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539795.1:78816:80148:-1 gene:KN539795.1_FG001 transcript:KN539795.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGTDRLRDLHAFDDTKAGVKGLVDAGVTTIPYFFRHPPDPLPADVDRGRVVAEVRAAAETVGFFQVVNHGVAGELMEEMLAAVRRFNEEPLEAKVPYYTRDVASKVRFNSNFGLFRNGFRSPAANWRDTMFVEMFPDAPSQEEIPPPCRGVLEEYAAAVRRLGERLFELLSEALGLPAGYLGRDAGGTDGLSVAAHYYPACPEPEATMGATKHSDPTFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNDRLRSVEHRVLPTGAAGPARVSVACFFRVEYASTRPYVPVIYICPDI >KN540651.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540651.1:30122:32094:1 gene:KN540651.1_FG003 transcript:KN540651.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEQCNRQPTRSTIGRRKRSDVWDHFEQKAECKYCKALLCAADPIRDGTSRLKKHYEVTCPVRHPNKARRGRGREHCGSPATSDQQEGNHCLQNASMISGSDSDNSGRQTTVAAQDHLLRMIALHGFPSSFVQDLQFKRFLQMICPDFKMPSVDDVQKKCDALFDQEMSSLKDSIGRTPGLVSLQLGEAKTPLGKMAYLAAHFIDDEWNLHRRVLQAFKSLKEFDTAYGKILDIKDYCIDYVAGDPDNYEELKGIMSRWGLLLKFSGVLIECTFGTVRKFGEFLLNDNHLPHLSATKGKLFCSNTIWGDFQGLWSDYTISQPLKGTYGEDCVRAFRSENLKKKRREISSRLQLDCPWTYDTEWYAYYYALEIIHDECSSAPAKIAALAGNVIFHETPITELLRTALGTSYNAMRTMSASSSPTSNLWLIEMLNLRKLLASVYDYDSGSRGSKDEYDLGALYSALGEVYEMLESSYLLLSVPLLLDPRYKLVYAESFLKYAHLGADAISKVGEVFRQLFTEYTNQVITETENTNQSNGMERANHVNEMDVSQQLVEQDNMNSQKSSTELNDYLQDEMLDYQLLYVT >KN540651.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540651.1:12512:13204:1 gene:KN540651.1_FG004 transcript:KN540651.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEIVKISLLALGILFMATWVPHMYSFMRTLFMVYLPSFASAIVAPKCLFIFSNIIVVFLVGESKFGHPKVKENVAAVVEGDMVVHEKEGEEEVVVDSVMPAITGDDQCEELEEEVFAVLEEQVDALLSSDGVEIDQIGEEGHDLAVGEILITDMMRKEEEEGVVDQLVLEDGEVLVVEERGRREAEEAAEERDDLPPADELNRRVEEFIARFNMERQLEARMLVCCC >KN539646.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539646.1:84191:86122:-1 gene:KN539646.1_FG001 transcript:KN539646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVHGRPVAIDVHCPPACDQNSLVTAITVDPPVPRLIPWLRRVFHTRLGHPLVCFTGGRPGHILAVFLRQADQAVALRASPIIIDGHAVHIYPHDQGENSFTFFYRFMVCLTLEKFPLNLWNRRGVAASVSGFTSLVNIDHAAMHGHDYAAIFVMVKVEELRHIPHHIAFYQANLFGVYVDVFINEIWDGDESSPPSPPPRPPRPTRGRRGTGGPSQVWRPVPRASPVPSLSGLVEDGSSSRTFSRLADAAPATVGAPVAVKAPVVVPYDSIVARAGRARAAIQGNSNPNLFDLLTLLATAPKLSPLSYPIRTPAATVTFEQASYHVQFQLSLRRSTEAWIMVAQVDDIDFPSFPCYNLTTNCCTPYHTDSTTHDSADSADGDGQLLQLFPPIREQVPDLNFTPETERQSATRVYVRRSPRISKAYDGPCMDPVTRASRQVAAQAPSSSSGSASSRKSKSYKKIEDLLHTPITSKPQPTTRKKTKEAAKLCGLPSSSVLKDASLASGASNE >KN539795.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539795.1:62369:62722:-1 gene:KN539795.1_FG002 transcript:KN539795.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEKVARAGETDGDRGGRRKRRGRGIRMAMEAVRVGEADSDGGGRRRRRGRGRRTATEEVEKAARPREATALEGKEMPMALEATEAAREMDGDGGGEGGELATERDDGEWRRRLG >KN539795.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539795.1:30776:40963:-1 gene:KN539795.1_FG003 transcript:KN539795.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKHSDPTFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNGRLRSMEHRLGKHAESLVLPLEFLQQFKASDFLDPHEYEAWQLRYLKLLEAGLLFHPLVPLKKSDISALRLCQVIHGAYDKPVETEKNSKLLVELCSAARALAGRSLIETFDECHWADGFPLNLHIYQMLIEACFDSEDGAVVDEIDEVVEMLTKTWPILGINQMFHNLCFAWALFNHFVMSGQADIELLSGAGIQLTEVVKDAKTTKDPDYCDVLISTINSIMGWTEKRLLTYHETFSASNIDSMQGIVSIGVSTAKILAEDISHEYHRKRKQETDVVVHSKIETYIRSSLRTAFAQKMEEADSKRSSRHPVPVLSILAKAIGDLATKEKTVYSPILKKWHPLATSVAVATLHSCFGNEIKQFIAGLTELTPDAAQVLKAADKLEKDLVNIAVEDSVNIDDDGKLFIREMLPYEAENVMANLVKAWVKERVDRLKGWIDKNLQHETWNPKANGENFAPSSMKMMQIIDETLQAFFQFPLTMHSTLHSDLATGLDRSIQYYVSKSKAGCGTQSTLIPQLPHLTRCDVGSKLFKKKEKPQVLMKRGSQVGSTTNGASVIPELCVRINTLYHVQTELESLEKKIKTYFRNVESIDRSTGELNIHFKLSQSACQEGIRQLCETFAYKVIYNDLSHVLLDSLYAGDTASNRVEPLLRELDPILRMVSGILHNGVRNRVITSLMKGLSDD >KN543048.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543048.1:1052:1348:1 gene:KN543048.1_FG001 transcript:KN543048.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLSSNKKPCSSSSSLVLLVLLLLLVFFAQHGSCSRPLPLPSPTSMQPQLKHKSETTSADTTRTEEQVVQQQLSWLRSMKPKGRPQPSGPSKRTN >KN539795.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539795.1:3658:3936:-1 gene:KN539795.1_FG004 transcript:KN539795.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSPSTLHGGRRLPIFLATGCRWEVDTTVTRRSSEVFLSWARYGSPRVTTARRMARLDGSTGGLLLQLGTPLLRGFVGENGGAHFCAWFS >KN539646.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539646.1:72561:73778:1 gene:KN539646.1_FG002 transcript:KN539646.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVECASPEVWRRKFEQSVDVCSKAITPQEKKPTHTRIINSIGVKSDGSESIEFLYELAKMKSTSVAVLYQNWRLMSTEYQTGSLLPPVARSRVPWIFVPTADGAIFANFMDKRSLCATNGDMSHAASLVYSRDGWLLFLSLPGEKKRDCQMVLMNPFSGETFTMPPAPLGSLGLRCGRPKASFTVREGRPELVIYSCWVGRRDIQALLARPGDDEWQVHTASVLSHYVGLDDSLLCARKLYCVFDSGCRLMVFHLDGQYWSSYSVYLRGPYLVEFEGRVLSVCAPKPFEPDGGGNFFVGELTVGENRAALVELDDSELSNKSWFLGPVQSFCARIKGHRVYNFAYKPQWDGEDIEKNHHYHVHYHDLLKKKFRYLTVDEFSSGHSWVDLGGVLVTRYPKTQA >KN539795.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539795.1:26547:29468:1 gene:KN539795.1_FG005 transcript:KN539795.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENIVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLAAENKQYNKVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERGTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQIHAVDGEIAALQDDLTAATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKLAKQVKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDIPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDAKSDEAAEPEAQEEEPAAPVTIKKNPRHRSTVTKTKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVVLLGALVYYQYYYLPASTSN >KN539646.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539646.1:47734:48534:1 gene:KN539646.1_FG003 transcript:KN539646.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDDFKVVLQEMDRYSCVHNVCTYSIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVAAYNTMISGYCGVGEVGMAEEMFKDMVMGGIDPSVTTFEWLARGHCRVGDIDAAMLVRADMSRRVFRMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKAGSEVYCAFVCAYEKAEDYEMAEKLRKELSVISIEDG >KN539646.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539646.1:8048:11204:-1 gene:KN539646.1_FG004 transcript:KN539646.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLGRTSMGRQRIEIRRIDNKERRQVTFTKRRGGLFKKASELALLTGASVAVIVFSPAKHVYAFGHPSVDAVLRSYASVPREAAAGTDLAVRIGVMGGRWCEGAEQCDGRRLKDSDGNSDAFPRDGQRGARNRTMILVAVAGERRYGRGVDV >KN539795.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539795.1:63690:64874:-1 gene:KN539795.1_FG006 transcript:KN539795.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGVGQYDTLMLKSLSEIHKLELIPKGNEDSVALHGYLEAFLKVEQLDPEDNVVLPMLQKASTSDEEVRSVEATGSSCHSSQKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYVLFYRRE >KN539646.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539646.1:26454:34363:-1 gene:KN539646.1_FG005 transcript:KN539646.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPMLLALQNSEQVKPSDSYPPPATLVDSQDSTAPPVRTPDPVSQATSNDSATQFASTVHVAPSPAPVPNAVPIQDAAGREAQSSIFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSRLTSGLGLRLSPKGPQQDESAEGSTTPTTAQSGVFGSFTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFTTKKHPRTKELMKLLGINANWLNTTMMENRVTLNPTDLDDFDKICFLDVHHSMMRKLPSPGFQVEVVLVDYDGSQPPKPKPAAASTDQKSEADSSTGTVAKGNNASSAEVSNKESASNDKDDVFSDSEAEDGSSKGRREKVSRNVEGTTNAAKASETSSVQKEASAAASRIEKVSITSEQGSARTPDAAPLKSGVSSKSSSTTAPPPPPAAADSSMSEFKAIAADASVFSFGDEDDYESE >KN539795.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539795.1:7877:12551:1 gene:KN539795.1_FG007 transcript:KN539795.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVVNFLWSGEASYHHVWPPMEFGWKIVLGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETILKREAAKRLEQIAEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTVVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >KN539646.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539646.1:76909:80358:-1 gene:KN539646.1_FG006 transcript:KN539646.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASHHLAQPPTRAALSSRPTYPLSSHHHSSRLQLPLFSGARRSRLSPAVATSPVAAPAMDAVADWGLTTLEEVDPEVYDLVEREKRRQRAGGELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALAAFHLDPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEAANPFQYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDRINFAVFPSLQGGPHNHQIAALAVGLKQTMSPGFKSYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKVCDLCSITLNKNAVFGDSSAMSPGGVRIGTPAMTSRGLVEKDFVQIAEFLHQAVTICLDVQKKRGKLLKYFNEGLENNKDIEDLRAEVEKFATSFEMPGFRVSDMKYKD >KN539795.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539795.1:54666:56281:-1 gene:KN539795.1_FG008 transcript:KN539795.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGTDRLRDLHAFDDTKAGVKGLVDAGVTTVPYFFRHPPDPLPVASPSEAAAAIPLIDLAKADVDRGRVVAEVRAAAETVGFFQVVNHGVAGELMEEMLAAVRRFNEEPLEAKVPYYTRDVASKVRFNSNFGLFRNGFRSPAANWRDTMFVEMFPDAPSQEEIPPPCRGVLEEYAAAVRRLGERLFELLSEALGLPAGYLGRDAGGTDGLSVAAHYYPACPEPEATMGATKHSDPSFLTID >KN539646.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539646.1:18112:24887:-1 gene:KN539646.1_FG007 transcript:KN539646.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGRTSKGRQHIDNKERWQVTFTKRWGGLFKKASELALLAGASIAVVIFSETNLAYAFGDPSVDAVLLSYGPVPGEDAEPAPVHSGGLSKDVDLKMLRQAVGETRAEDRVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSVRSHFAAYLWFISADLTGVVDALSPDTILSCVQKAVSDRKVLSLLKSALNAPVRPGSVPPREKELDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRGPREDAVEIRRQLMEFCESTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDIIEGVQFARMSSIPSCDYTPFPRNWVPHHELVLREYIKLQDPKFFCELHKTIKRKEINSPQDDVSRMVWYYKVYGVYDAKRSLQKLNDWKNTDEAANKENQILLDT >KN539646.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539646.1:54386:56782:-1 gene:KN539646.1_FG008 transcript:KN539646.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRAELHAHTRSPREIARLLLGFWSEWATQILVVLSLIQQFLLLFFSGIRRRQGTSKRRAVLWLAYQFANITATYALGRLSLSAAQRGHRLVPFWAPFLLLHLAGPDNITAYSLEDSKIAGRHALTLLVQGLGAVFVLVKHVGSSGTLLLPGAIMVTTVAVFKMFEKTWALWIANFKVILSSVEGEDGEEEPRHLYRVYLEEDELPRGEFKGKEVDEEEFLMRRAHAVFLVCKSAMVDSSVYDPERYFLRILAYLRENRVDYMWTLMEMELSLISSLLMEMASLLSALWSTWTFSFLCATRWTSLRHAALCSEKWHRLRNMVLSFRRLAYSTGIWSYLSLSRRWSGTLGQYNMLDACTARPPLLGKLVVRLVFSRLSKKLGFSRLAEMLGFGRLAEELSYNVGAADIPKGLKGMVIEYINFMIKDRTVNTLGIIREQWGKVAIKRWLEDKQVDDEYKAYLEKRLGAELHEGIIVWHIATDIFIAQRKADDQDAVKEAVKALSNYMMFLLVKQPDMLPGLAQNKMYQWTKESLAKQWEEAGGPAYVSGLHPSQKLANMLHDKEITRDLISNRLFSATQLAKRLLKRDDTMKLVYGVWVDFLIYASNRCSRESHAKRLSNGGEFTTIVWLAAEHLHQLQIYDAQEKHH >KN538822.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538822.1:176097:177477:-1 gene:KN538822.1_FG036 transcript:KN538822.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFLDWRGNPINRKVHGGVRAAWFVYFLTVVTSTVNVPNMLNMVTYLHGTMHMGISSSSTTVTNVLGATSGFALLGAFLSDSYITRARTILLFGPLEFLVIRFLVYSTIYIKPNMIKGNYFCLILHCQLLCANLPIIKKLAFFSGNLLLGLFNHLTNYWQSYMQGYGLLALQAYLPSLRPPSCNAEAEVSSCREVHGRNAVLLYAALYISAFGDGFMRACMPPLGADQFDHEDPSESRQQSSFFNWYTFGISFGGFIGLILIVWLENSKGWDVGFGVCAFLILLGLLVVAAGLPLYRNHVPEGSPLTRILQVLVVAFKNRKLQLPEKLEEAQEERITEQGSTEVPEVPSQTNSSLKYVQRNTEYFF >KN538822.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538822.1:163090:166082:1 gene:KN538822.1_FG038 transcript:KN538822.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADLAAAERGGHMVPSKAAGDGEPRRTGTMWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMLLFGAVIYCCSVLLVECYRTGDPYTGQRNRTYMDAVRANLGGTKVVFSQIPNLHKMWWLSTLASAMSLSYSAIGIALGVAQIVVLDVFEIEFAANGGVRGTITGVFVGAGAGVTSMQKDTVKPVAPPSTETKVMRKAVAVSVATTTAVYLMCGCVGYAAFGNDSPDNLLTGFGFFEPFWLLDLANAGVVVHLVGTYQVVAQPVFAFLDGRAAAGAWPGSAALGKRRRVLRVGSLAEIELLGLGTPRRLYVFV >KN538822.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538822.1:170573:173329:1 gene:KN538822.1_FG039 transcript:KN538822.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSIEKDVFEPLVMRISEIAQHYFGTVGSSETGEKGPTVPEFPEKIKWEVQHLEELFEGIKEDKEEVYEGFKSVSLAISEWQRRLAIAYQNAARDPRPFEGMKWAMEYHEMWVEDNNIIGAGDEILDFHEHELFESLRYVKTAQGGSEAHLLESIKSGMQCIKNVLATIRSRKEAGNRSWCIVEQVFSPLLKLLKTINHLVSEAAARNNKSENYKILVKIDAEVNCLQDALDLIDRNKNEVYENFRLIEDLILPLLTCLKATYNDQSESLSFLDAVKHGVNYLEGVLDKIEQKQRDGNDNFHIVKAAFSPLLTCMYTFRRISLETLAHEDKSDAFILLDRIRDDLSQLKDVLQMVQEKENGIYSNFDAIEEHIDEIYDGHMNVEGSLKLNQMGGLRDKLQLIHEEITNIRGKVDDSFKVQEVSCHVMRMAAAHEASSSHQLSASNTFCITMESAQMWQLKLGQWQDASYDPRAHHVEINNAKFLKQVKSCKQLKYLSLRGISRIEALPNSIGKLSRLVILDLKACHNLEDLPKEIVKLVKLEYLDVSDCYLLSGMPKGLGKLFQLEVLKGFVLSNAKSKDPCHLNELVMLKKLRKLSIRIGYSIDSGQFANFGELCALRSLTLIWGAHPISTHGSSPSHAAPHAMPCVLPLGLEKLELRCFPLVELPHWVSPEKLRKLKKLYISGGNISDLGDLKSWEVTVLRLRFLKHMNYSWTALHDSFRKLDVLEAHECENLQPWPSCGKGLWRKEPNGTIAPVLT >KN538822.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538822.1:179350:192380:-1 gene:KN538822.1_FG041 transcript:KN538822.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFVDWRGNPIERKVHGGVRAAWFMFFLSVVTNMENIPNMLNLVTYLHGTMHMGVSSSATTVTNFIGATSGFALLGAFLSDSYITRSRTILLFGPLEFLALGLLALQVYLPSLHPPPCNIEAELSNCEEVHGLNTVILHIGLYTWAFSEGCIRACTPSLGADQFDHEDPSESRQQSSFFNWFTFGISLGGFIGLILIVWLENYKGWDIGFGVCALLILLGLLVVATGLPFYRNQVPEGSPLTRILQLMAAGGFVDWRGNPIERKVHGGVRAAWFMFFLSVVTNMENIPNMLNLVTYLHGTMHMGVSSSATTVTNFIGATSGFALLGAFLSDSYITRSRTILLFGPLEFLALGLLALQVYLPSLHPPPCNIEAELSNCEEVHGFNTVILHIGLYTWAFSEGCIRACTPSLGADQFDHEDPSESRQQSSFFNWFTFGISLGGFIGLILIVWLENYKGWDIGFGVCALLILLGLLVVATGLPFYRNQVPEGSPLTRMLQVLVVAFKNRKYELPEKLEEAQGNRNGLDSIEVPRPTNFLKFLDKASINHGEDGAWSVCSTMKVEETKIVLRMLPLFISSMIGYISNPLLLTFTVQQGFASMIVASAVAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPKDMKSIGTALFWCELGLASWMGTFLVELVNKATRHGHHGGWLEGTSLNNSHLDLFYWVVAVIGLLGFLNYLYWAKKCKAKGNHVLICPNSANFSLVAYFHQTLHLDIVTSSSVTTYLVGAVSFFTALMNILSNAYIKPTTAIFVFSPFVVLGYMLLALQAHLPSLHPPICEINKDPSKCEPAQGWNLTLLYLSLLMFAIGEGCMRACVPALGEDQFSNDDPEASHLRSNFLSWLKCANSLGALIGLVFLVWIEKNLGWDIGFLLCALIVIVGLLIAASGLPFYGMRKLNGSPLTRILQVLVTSSKKRQAAVIDVIELQEISTSDHVDEDGEDKCDSKNICTSRVDEKTEAITRMLPIFISCIFAYLPFTLLMTLTIQVGSTMDSGIGMIQIPSASLIAIPTTFHMLMQPCYRRILIPLLRIFTGHTNGITPPQHIGVASACGIMAACIAMLVEAKRLMVVEQQGLTLVADGVPMSVFWLVMQFFLLSIMDIAYIGGLVQFIKSEAPEAKHIAPAVQSLLVGIAAWSGCAFVQLVNRMTRHGDHGRGWLDGTNFNRTRLDRFFLLLATFELVAFINYAVWARRYANKKRVSTVRSDGDNFGA >KN538822.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538822.1:205700:212245:1 gene:KN538822.1_FG042 transcript:KN538822.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ARI8 [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/Swiss-Prot;Acc:Q8W468] MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQKNNGNSMGVLFGRVGSGDMSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDREVCSIHVLALSFACTIVLYIVTCYISTSINDGPGCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRRFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSGKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >KN538822.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538822.1:216585:226670:1 gene:KN538822.1_FG043 transcript:KN538822.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G60040) UniProtKB/Swiss-Prot;Acc:F4JXF9] MARPEEKLQCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSAIMKKNISHFCVSLVGSTGVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKCFGQFVLYGTYKAKYLGNLMIYTSRKYESQKSYSNRIDYSVDCWQHLQLQVVEYINSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAALVLAVECLISGTVVRCMKTFTALCQFYVEVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDAASDDGCSEKFKQLLTYFLEDRIKLLKSTRRALRLDENHVGERHYSFEESIAANISGISAKQLQEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >KN538822.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538822.1:166710:169838:-1 gene:KN538822.1_FG044 transcript:KN538822.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLYAESAQEQGKLLCIESLLQDLHTHFRMVHRKISGINDSALLMISANNEQYHQLLQRLWSLTLDIDDMLNKVSCYLTKTRVLSIQVHSSFILRRLPFRRRIVHKIKQSIVELQECYAQTYRIRFPAKHRDISTPMVCQGAHSIRPEGILGREKEVDDVLTMMQADHGKAGLSVLPITGMAGIGKTTLAQLVFSHPWAVKTFGDDRIWVVVSSSFDDMIILSRLAEFLNTRQCNTVDSESLQCLVKQRLCGRKFLIVLDDVWGQNLQKWKLLIEVLESAKSGSKMIVTSRVPDVVTMTNSLRPYTLKRLLPIDSSNLLTQWMQNSAELPPRLIPIRKMIADTCCGVPSLLLSASNKLKSIRKTEVAWQHVLSRFDLVFYADPLLLDATCVSYQQLPSNIQQCFLYCSLFPVHSFTPEQLTGMFVADDLIKLSSSKSDMHMYFSKIMTEHYYDVMQKPRHKAYAIYKMHPGMQLLAQMISRGFHLAIDARKELVWPVENAKKSARCLSLLVDSKTTELPTELFEMGNLRTLILLRDEKMLLSDKKCSITDIPEEFCKCLIDMRVLHMQSCRIKRVPNLIGMLKKLAYLNLSHNDIEIIPDSICNLQFLKNFNLSRTEIAELPESVGKMQALQVLDLSHCEKLLHLHESVSNLVNLQILNLEGCHYLAILPRSMKNLKSLAYLNVLECPLLTQMPCQMNQLRNLEILPRYIAAENHEHTISELRPLVSLKELGICNMENASFDDARNVILQKKNRLESLALSWTGSCTDPMISSKAQQILELLKPNRGLKVLCIFSCPAKKLPSWITSMPPYLKSLTEIKLVNLACECLPPLGQLPLLKIVELSGINAVTRVGDEFYGDDGTFASLEKLSFFHMRNLEIWLPSQREAIFPNLQELTITQCPKFRAVHVKLPVVKSLIMLLNNDKLIGSRGALEGFSQNLKSLSVSLCDGLLECSECEGLRELRGIEELHISRCTELISLPHGMQHLSFLRTLTITECTNLETFPEWLKNFTSLRSLHISNCPKLHIPKSLNNLSNLEISLE >KN538822.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538822.1:174299:174739:1 gene:KN538822.1_FG047 transcript:KN538822.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVRLRRRGFVLCAVDHHGVRRAVVGGQFVRKSELRRQDELLVSLHELVGVFRELQSKLGFRQWDEFRRAQPELDVLYSRLRFQGKRYRSRIYGEPMPDFDDAVHAAVRAPRIGDRRVCSRCSSCDCWYSDLANLHCLVRKFV >KN538822.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538822.1:194512:197883:-1 gene:KN538822.1_FG048 transcript:KN538822.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTIDLSMPGSSGLLDDVGGKKHMNFFSNRYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAMIGAAGGGWINDTYGRRKSTLVADMLFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEDEKAKAISVLEKIYDPDRLEEESDGTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLAGVVVSLAILAMAFILQSSSDICSNALNGACQGALGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGLVGTGLTFLIIAGIAVLAFIFVALYVPETKGLTFEQVELLWKERAWGNQGNRQSLLGAAP >KN538752.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538752.1:101045:101203:1 gene:KN538752.1_FG001 transcript:KN538752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTIKDDDTLQGFNDEQPNHAYLSVTGTGDYGLLIKYHP >KN538752.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538752.1:160543:162336:-1 gene:KN538752.1_FG002 transcript:KN538752.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRDLVACSAAIYRHAKSGLFGEAVRLFVGMMRVGVSPNSFTLVGVLIAAAGMGNLVLAECIHGWTMKSLLESNPFVRTALLDSYAKCGRPMKAWALFGEMRNPGIVTWNALISGLVHNDLFEEALLVFKRLLFSFGPVHNVVTMISIAQASAGCGDLGLCESAHAYSVKIGLDSDVSVTNSILGMYLSFGSLAIGREIFKKIAVNDVVSWTMMMGFLLEEAQAIEVIRMFVQMRSSGIVPDRVALVTVAQACAHLGDGRIGKLVHNEIVIRGFSGELPAVNSLITMYSKCEDLSSARLLFDGTMEKSLVSWTAMVSAYIENGYSLEGMYLFAKMRHEGSFMIDSVTLVTLLLACYEVAKFELCIQLHAYCYKSGLCLYKPVLNTLIAVYGKCGYATLAHKVFDEMISRNAVSWNTMILSYGVNGQGEKAVALFNEMEKSSEDQDSVTYLNTLLACSHSGLVDDGMLVFRRMVNDKGIIPCPEHIGCIVDMLARAGRLDEAAGVASLTHNKLGANAWKALMGGGHLHGDMEFTKVAAEKVLTTESFDYGHVVLLSNAYASSGKYRAAESVRSCYAKRFTRKTLGLSSIEIVPYSRR >KN538752.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538752.1:70291:77249:-1 gene:KN538752.1_FG003 transcript:KN538752.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGAIMSEVDRLFSRLLPSRIFIGFIWIVMDTDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGVSGIICAIATHFLEQHELRMIGRLFQLIYGAIQRPAGVAGDGAGGGDSVFRGDDAPKLLAALKEMKEGLDLVTGKVKTLTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDIVYYLLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKVLNAEAKSKDQPKDDEDLLKYRPNPDMMDTKIDPAGQDNDGIYRPPKFIAATMDDEDKRRKQASRKDKALVRMATESSYFKEIIDDAADRPEELKETAGDESREFTRYMRQRELQEKQEEELFTRAPLTKRDKQTEKRMRKELHGLRGLTDGFDLGINMFVDGDKDNDVGSTEPHFKSGGRRKHQKGKRKRH >KN538752.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538752.1:178961:179167:1 gene:KN538752.1_FG004 transcript:KN538752.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAADCGSYCRAGSRERAPRRECCDAVRGADFKCLCKYRDELRVMGNIDAARAMQIPSKCRIKGAPKSC >KN538752.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538752.1:9208:17037:-1 gene:KN538752.1_FG005 transcript:KN538752.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSYRGALVLFFVKLAETLNMAKVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESDEEVPPGIRLYPKLDDNMIKEAQKSKLPAKSATVASAMQKAFVKEIEHYEKSKADDDNNERYSDISVVGESTGNEDSSDDADYEGKSSDEEETTAKEGESTDEKETPAKEGESSDEEETPAKNTKEENEPVVTPLKTFPHEMAKIEAPITDNKTGTNTSKRGSHLQVTNPHPAKQAKRTPIKNDTPKRSASYVCNSCKK >KN538752.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538752.1:138809:139689:1 gene:KN538752.1_FG006 transcript:KN538752.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSSHGPASSPAISTCRPAACGRFPALLGGGGVASQRRSLTVVSGPETRAVIPVRCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDGKVVKEVTGAKLDELIQAIETVKSS >KN538752.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538752.1:165184:166482:-1 gene:KN538752.1_FG007 transcript:KN538752.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDKEKEHEQTASGRNPDVSDVEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSTYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKVMLGTFSPQLEPYTYEGEEETTPAGMFARGSYSAKLKVFKLIKFSSDVLIEFVDDDGKCYLEMSYYFEIRKEWPTIQ >KN538752.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538752.1:1867:4203:1 gene:KN538752.1_FG008 transcript:KN538752.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRQAAWLLYHVGVDASALLFADEVDMEGLMMDKRVSLVVVGQDVLKPNDKMGSVCTILTNNYCEDAYSLLQSLDIKKLLLAVLLDHNDHSFVEYLKNTYREPSTKGEGNSPLDQKHSVSASGSSQDAKKPNSNNQRPVHGNGGKTSDEAPRGKNKFFLAKWFGFGSK >KN538752.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538752.1:141035:142117:-1 gene:KN538752.1_FG009 transcript:KN538752.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTQVSWNAMVVVYVNAGDVSSAHRVFDQMPTRDSTSWSVLIVGYCKCGSMRSAREVFDRMPAKNLVAWTAMINGYAQSGVPKESLALFRELEAAGIEPDAATMVGVISAASQIGSTELAGWVGSYVDKKRIERNDKVLTALVDMHAKCGNVDEALSAFREIAQPDAYPYTALISGLAAHGHAKLALQVFERMQAQSVWPDPITFVGVLTACSHAGLVDKGLDYWEAMVKYYRMERRADHYACVVDMLGRAGRLEEAFEMVQTMPMGPHPGALGALLSACKTHGNVEIAEIVANKLFELEPRNTGNYIMLSNIYAEKEQWEEAERIRSLMRTKLPFKQPGSSWVEDRQRERGRFPVRS >KN538752.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538752.1:108684:114896:1 gene:KN538752.1_FG010 transcript:KN538752.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVSSSSSAAAAAAASSSSSRKKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSNSSVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVSFDKQDPFMSSGYPNTYNRSRPSFLDSIGVQRAPTTEVPYAEPAKASKPFGNSNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRTDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >KN538752.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538752.1:133896:135844:1 gene:KN538752.1_FG011 transcript:KN538752.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAALSSSRSPSRSSSTSPRRWRRGIPAAITGFLSRRLVDDSKEVETAVSAGAGDGAEERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILDLRDDNRAFTCYAVSVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDLIVSVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFQRPDDGLNQDVYQIALFLAVLYFIVQFLKTTL >KN538752.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538752.1:167659:169191:1 gene:KN538752.1_FG012 transcript:KN538752.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPVRWPRVLTPAHLAGAIRRQKSPLDSVHLYADAPRRYPRSSYRHNDAVHSSLLAAASASSSDLLPSLLRRILPSSPSADSLLAASIPHLPPAAAVSVFRSSLPSSLAPSWSRSFSALLRRLLSDGLLPEAARLFADFAGRPEVSLASEDLTSLITGLCRARRPELALQVLDEMSNQCLAPEKDAYRVIVPALCDAGMLDEATHVLYSMLWRVSQKGCDEDVVYRALLVALCAAGRGEQAEIVLDKVIRKGLRSSGSRRSLRVPMLAGLSIEDAQEIIDQALVVRGGRTVASFEVMVIDLYDEGRLNEADNLFKEMGKKGFKPTIYMYEAKITSLCREGRLDEAVKVLEEELPKNDLVPTVKTYNLLMRGLCNSMQSMRALRYLGRMDKQLGCVARKETFSILISGLCSESRFIDAAQIMERMVKGHHRPEAGEFNNVIEGLCSAGRTYDALLWLEEMIDHGETPDVHVWSSLVSAALGLGEGLTTAATHQVGFDQVHSLSTKTSLV >KN538752.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538752.1:199802:201571:-1 gene:KN538752.1_FG013 transcript:KN538752.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPPHALATLLSRLRACSSASHALQCHALLLTSGHLAASPARLSNLLLLALASASASPSAADHADSVFAHLAEEASRHAFPWNTLVRLHAAASPRRSLLYFSRMRRAAVAPDAYTFPAVLKACGCAPGCRVGLVVHAEAVRTGLDADLFTRNALISFYCRIGDCRSGRKVFDHGVRDLVSWNSMVAGYVGCGQVDLAQDLFDEMPQRDAFSWATMIDGYGEMAGGVDRARELFDQMPDRDLVCWNSMIDGYARHGRMNEARVLFEEMPERNVISWSIVIDGYVRFGEPNEALEFFQRMLRCGIKPDRVAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYVKCGRLDLAKLIFESMPKKSVVTWNVMIVGLGTHGYGLDAIKLFNQMETERAPMDDLSVLAVLTSCTHAGLVSEGLGIFYRMEKDLGLEPKVEHYGALIDLLGRAGRVDQARNTIETMPMEPTPELWGSLLASCRSHRCVELAELSVERLASLGADDSGVYVLLSNIYADEGMWDDVFRIRKLMSAEGMKKNIGRSVIEVDGQIHEFVNGGSSHPHKEEIYLTLWNLSNIAASI >KN538752.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538752.1:181582:181893:1 gene:KN538752.1_FG014 transcript:KN538752.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVSAVVLVALVVVAAAVGGAAGLSMCGVDRSAVALCRSYCTVGSTEKAPTKECCKAVANADFQCLCDRRDMLRNLENIDAGRATQIPSKCGVPGASTSCK >KN538752.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538752.1:172150:173987:-1 gene:KN538752.1_FG015 transcript:KN538752.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEQYYDCTKLGKQGPRVTAEHTALLGCFGRLLSCGLSAKFRLTQRFSSQMPLCQRPCFLHIFSPNIYALDDCKWRQIPAFGDWNLWDDMPVTQYFESGTFFFTAQAEKDEDLFKVPQFPANPYNYKKCVVRVKGEKENANANANAVRVRKGGRKQQYLNEQQKWKPKTAVDEDLYKISPKLICRVKKAKEVAEEFARRVPWRELHRLKNN >KN538752.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538752.1:68495:68773:-1 gene:KN538752.1_FG016 transcript:KN538752.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVTPTGGFLGRGGVQQHVVKETFQEIDRSGSGRHHHNHNHNHGNDYLTVRETKVEEDFNTCTGEFRERKQSFLLKSD >KN538752.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538752.1:195711:196894:1 gene:KN538752.1_FG017 transcript:KN538752.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQVDMIKPMYLNVSTSYDVKVLNKERLPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHRQNVPCLRIAPLFLVYEQTSIELSARIALELSAGSSGTSGLQLC >KN538752.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538752.1:25127:25796:1 gene:KN538752.1_FG018 transcript:KN538752.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKDNKEAAARDKATAKLVAVRLRLFPGNRESKVRHWLHGWCGRNESTKHTDFQWKGAVSTGRALCAAIYGGQMEDMAGSWSAKRPE >KN538752.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538752.1:86177:90467:-1 gene:KN538752.1_FG019 transcript:KN538752.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQVSEKSSQICTGVHCKCQQLLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLLRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKNVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHSELINKHDGLYSRLARRQNDALD >KN538752.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538752.1:101955:103587:-1 gene:KN538752.1_FG020 transcript:KN538752.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPRLAGVEPSSTKEARKKRMARQRRLSCLQQQRSQQLNLSQIHISGHPQEPSPRAAHSAPVTPSSAGCRSWGIWPPAAQIIQNPLSNKPNPPPATTKQPKPSPEKPKPKPQAAATAGAESLQHSTASEKRQAATKTDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGISIPMEDIGTSQVLAQQQKQDVSSRKHR >KN538752.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538752.1:129389:133137:1 gene:KN538752.1_FG021 transcript:KN538752.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEEAESSAAGEQRRMPFSRGGPVFVPFMVGPVSTVPEFMSSALRELQSLKDELGDPGDEFDEELCVDELRVLSEEELVERALREAMEEGWDSTALSQLEDQRNDGGMSASSNFGNGAITSSSSGERETSRSPTEDTSSIPHVQGNNGKTRGVKGETRGRKRKNVTPTLNSSVETEIPGPSVDTAVVPYVPQQGIEGKTGGMKGKSRGRMKKGGNTTSNSLGENLEVVSHESVPPVEDLEVVSHDAAGADGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEEDKHAASLHSFSGDSVLAKVSKPSAEKVDVAKSLRYISTTWKSQEFLVLGSQLLTDLRDNIYCFKDKLMNVAKQHVHSGYFLIEDTFYNDTRRSTVDYSKPILDWIKNSRNEAEEKWDAITSGVLKKRQKDLLMGLNVSNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIFHATKMTVDDKWTLNNPCYFCDKCYYLLHYKEDNSLLYHHTVYDYLQE >KN538752.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538752.1:115869:120073:-1 gene:KN538752.1_FG022 transcript:KN538752.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGIVPENKPILVRDFVRSALYDPNHGYFSKRSGPVGVLDSSIRFHQLDGNPPFYLLLGSFYCLDRIWLWKFGRSAYMQYLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNTMKYISVEISSSLAEKQLETVGEVRSHLSKFTVECRDATDRAGWGCKDPQPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSSQGSEVYKPLQDPLISRCVEITGINEEKVSVRDKLSLAAKGVVSKVFPKPRRAWLPTGCLKILDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTLDHRNYLEAQGDADIFFPTDFWLLEKIDHDCSGFSKQQKNPGAFKPVKTRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >KN538752.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538752.1:158798:159508:-1 gene:KN538752.1_FG023 transcript:KN538752.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MNICLLLRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSSVSLTIDMFITFLE >KN538752.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538752.1:79236:85233:1 gene:KN538752.1_FG024 transcript:KN538752.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G21150) UniProtKB/Swiss-Prot;Acc:Q93Z16] MAAGGLPSSATLLLLLLVIAAVAFAPFASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPAFCAYFLSTICRVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETIYATGGTNTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHVELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFAFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >KN538752.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538752.1:47973:54624:1 gene:KN538752.1_FG025 transcript:KN538752.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYSLGIVSSSSCTCHLCSCKANKLSYTSNLPGRGKLVNHITMWELLFWDLLGREKKKMKKRRELLKIRGNLTAEANHGRYMCMAVAPLKLKENSIAPWIIHRTDFLRKMHSHVICDLQEEGQRLDFYVEEKELISEVSVPGSESTLRISTEVCRAVLFKIIKRFQSMHSAGFSLGGFEHKILFVTSDFEVKIGGISRVKDFTKTRGSKDYKSIGKIAREVIFSSVTNLPVDIEQLLDLLTDNPMEQTALLGMHYSLLDPLTQVSEFLWWHKRLIHLKDINPEKFQRIMENIPTGNNWMSRAVENKYIRKVSLGIPKRRKGLVHTTEEVKPETTAASQGTGIEGVKPKTAVGQDTNIEDPKPETATPKCKYSEDSWGQSLLCRNSIEHLDRWAALRRIKKKKSGGKIRTPRRIGQPESQITCWCGSTLLQTNSFAAMSKLGRSSGLPRSNEGMRLLFSAVIGVMLGYLFGISFPTVNVTKPLLFREKRTDCILQLHFPSSIISYIEDKDSGITTQTLLNHAWTSANSKKRNNSESNSDEIPKIYVPTNPKGAEGLAPGIVVPETDLYLRRLWGEPSEDLTSQPRYLITFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTNDWDEFEWSKRAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLSVQHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGTAENGRTPWEGVS >KN538752.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538752.1:169997:171400:-1 gene:KN538752.1_FG026 transcript:KN538752.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKTSIALIANPQTRATTYKKRKAGLIKKAGELATLCDIPVAVVCAGPDGGAPTVWVSPEGGDAIERYRALPAEKRARHTHVAYLQEELDKERAKLARLRQKGRPGELDPPDAVLDGMSQDELQQLLASIDATLLATAKRREALGLLPGADDDADVAGTNSVGVHGYRHQEVHAPATCDPFHPYNAGVTLMQPGYNNVRYMGGHGVVDMSGYQLQMQMPSNGSNNNHGQLAWGGFQPYNATFVQPVYGNLQCWDNNVVDGNGEPCDAIVPSAGDPYMDIAGNDVYGNQMQPAPAANGGWHNPGAWGNDDGEPCKAIVPSSGDPYMDIGVYGNQMQPAANGGWHNPAGTWSNDGEPCNAIVPSAGHPYIDIECDLDGNYIDTTVFDYQTTSTSDNFMDAPVQFIATGSDESIVTNVAGCDETEFSIDDLLQCSDASQHSSGLEELHYLSDLADGFDFGCNFDVLLD >KN538752.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538752.1:94590:98536:-1 gene:KN538752.1_FG027 transcript:KN538752.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MELWPPSFASIRCSVLVRTERDYRSYLVIRRFSQDWKRSYVIINRSSAVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSLFALRPAERLDAYNILSLSLLGTGGPFCPDSVSVSLLVFILILLIVPTCLASSHQVDKDSLVRKQALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSEVFLLLYEMLQEYGTHLVEAA >KN538752.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538752.1:153262:158053:1 gene:KN538752.1_FG028 transcript:KN538752.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVENGGVVGGEVVAGKKGKTPQATETFEKVATIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDAYLGRFKTLAYGCFASLLGMLGMTLSASLPALKPPICHEKTRLGGGCNSPSTLQLSVLYLSLGFLIIGGGAIRPCSLPFGVDQFDKTDEEGRKGLNSYYNWYYGTSTAALVLSMTVIIYIQNNVSWPIGFGIPTLLMFLAIIMLFLGTNLYVHVQPEGSIFAGIAQVLVASFKKRNLKLPCPHDINQQGLMLYNPPSKGNRVFRLPLTSQFRFLNKGAIVMGDDINVDGSARNSWELCNVQQIEEVKCLIRIVPVCISGVLCFVALAQQFTYIILQTFTMDCHFGTHFEIPAGSVVSISLIALTLFIPMYDRILVPIARRFTGVESGITLLQRQGIGLAISPISMVVAGLVERKRRNSALSNGGISPMSVLWLAPQLVLMGIAEAFNAVGQIEFYNKQFPENMQTLAGSLFFCTIAGANYLSTALANIMRKVTTRDGHSSWLTDNINLGKLDYYFYFIALMGVLNLIYFLICSPSTNIR >KN538752.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538752.1:55629:56766:-1 gene:KN538752.1_FG029 transcript:KN538752.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMSVHENAVQKMSSSFREEKVYDQQGGGAGDVQVCRNGGAAAGAVQKHTYAEKEEEVYEESNHGGGGCGGYARQHGAGGGQKHAAYQHEHFTAGGGHTQYRHQSYGCEEEDSDEDDCDDDDESDDDDDHCPPSRQGSVHSYHKAAYQHEEKQHAGGGRNHYHAYERHEEHGGGAQRYQKYESSTQVGYAGGGGGGGNGGCVRANAKPCFRIMA >KN540824.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540824.1:33004:34326:-1 gene:KN540824.1_FG001 transcript:KN540824.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLSEINDKVMEEEEEGSDVVAKEHELAAGRGEKEKKGKLFEKAANIKEVVVTPTRPSARLAGSGDEHLMEKAGKRKAMKNLEFQQGNDSEEDGEIDNLVLGHLCGDLAEEVQTKKKNEMKLETLVLQSWCSHNIKREQLAKAELEFYNV >KN540824.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540824.1:36171:36710:-1 gene:KN540824.1_FG002 transcript:KN540824.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGLTVDEVLRVGEQLLYQSSSLIVTSCPVTLKERKNRRLAKRLVEKLAKKREVPCKPWKGPLPKEITLLQRHFGEVLVESFEKFNSNKMESLVVTDAEVGTNPANANETNECDYQIASRKQDFSLCFTDLEEWPILKKASMEVDVNTSAPRILGRSESQPAVLNHRAQFEERSEGIK >KN540824.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540824.1:34524:36016:-1 gene:KN540824.1_FG003 transcript:KN540824.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVYSSGTDVRQQNTPLGFNLAEEKERSSDDRSLGKLGVGARKEGVVQGVMAWRNNRWEREYSGGQGDLGRDGYHGRDKQRGTTKELIGSSAVTKGDEVAGGGGRKVKVGQFEVHLNQKGRKKQEPRLVAHAVGYSGEGVGFYHIPHPPLQKSKKESKSSLIYCEGGHVTKEQLIVQLKRLFIVNWRWEPNTQEDNSFVVPFPSKQEMKRAFEFGCAEVKENGVPTGVRLHFDVWDEKEEGFLLPKVWVRVFELRKKLREFLNLWVVGSLLGATQTVDMKTTRKNNFGRVFVAVLNPKCIPKYLDVVISDHYFELFLEVEKMGFDESGNEVEIEQEEEGGGQDGEEKN >KN540414.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540414.1:51055:51784:-1 gene:KN540414.1_FG001 transcript:KN540414.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLLSTVEHRETLPEGYARPESDRPRFAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFK >KN540414.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540414.1:16760:17747:1 gene:KN540414.1_FG002 transcript:KN540414.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYGFDFRNINPAVPARQLVFFGSPGTGIHQHPPLPPPPPPPPPPHQLHITVHHPSPVVTAGLPMVVDSVPHVNNPAAASKRVRLFGVNLDNPHPDGGQSSSGHDANELSLRMPGWQRPAPLRSLELPPHMPAGAAGAESSAASSPSSSSSSKREAHSSLDLDL >KN540414.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540414.1:42859:44263:1 gene:KN540414.1_FG003 transcript:KN540414.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAKPLLSDLVAQSGQVPSSHIRPVGDRPDLDNVDHESGAGIPVIDLKQLDGPDRRMVVEAIGSACETDGFFMVKNHGIPEEVVEGMLRVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIDQWPSNPPSFRQVVGTYSREARALALRLLEAISESLGLERGHMVSAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQIQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPAGALVDGDLHPLAYRPFTYQAYYDEFWNMGLQSASCLDRFRPNDQAV >KN540414.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540414.1:33811:34636:-1 gene:KN540414.1_FG004 transcript:KN540414.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MECCQGRFCTKKSVAHAENQLLSEVSHRNIARAVGFCPNSSNPVHEHFAGGTLELLTGLRHQHPFDSVAPKLREGRLHEVIDPTLLTGKQLPAPNEEVRKVFELAVACLLSAENGLCMLGVAKELMLIGRNNIGSSSKIEISLEETFLSLSLLQMMSMSPKTLHHHLP >KN540414.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540414.1:30495:33035:1 gene:KN540414.1_FG005 transcript:KN540414.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM13 [Source:Projected from Arabidopsis thaliana (AT1G61570) UniProtKB/Swiss-Prot;Acc:Q9XH48] MSLLRKKKPTNAQLCGGRVGGSRRSPEIMDSFSSPSSAGSTASTEHLMEQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGTSLSGSESSCISRCVDRYIEATGIVSRALFSSQR >KN540414.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540414.1:45987:47405:-1 gene:KN540414.1_FG006 transcript:KN540414.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLQQPSNTVQSRRRLITQPETCMNLEIMSTYCREVRQLGLRLLGAISVSLGLEEDYIEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPHVAGLQVLRDGDQWIAVNPRPNALVVNLGDQIQALSNGAYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGESPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQ >KN539279.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539279.1:108961:112245:1 gene:KN539279.1_FG001 transcript:KN539279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKGITVFKLVIHGDVVLGAAVLDLALVSDFLPIFFRHIYLKQMGDKKLTKLKVRGANDVEVKSVLRHEFKESVDQENFKVKVDGSSLKVDVPGTVDVGKLYERLKKMSSSVKIESVVPDDLMAKMDRYKKDLQNMKKQKEAVESKQIKQQEGYKLLQQEQRKWKRDKENLNSKLEKKTKETKDAKEELKSTKREKEYLNTKLEMKREENKRLDEENKKLQRKIKDLQEIQKGWITTTSTKLDSFESVDQNHHGMHHRVHKEVHRHEFHMHQEVRHHGNVMALENDGRRAHEQPRLEYYH >KN543212.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543212.1:4336:7185:1 gene:KN543212.1_FG001 transcript:KN543212.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIMNRCIHNENLDTDFNIPLFPLTSSMPHYSIISPIVSLFVNMLWKPIKKHIYYCLNPESNVHNFVIAADDLRDTIDTIEERILVGECEGKKPKAQATSWIRSAQSVRDESDKIKNSYEARRIHALGCSWNFFFNYSVSNSATKMHANADEIKKRAPENDEYKDKIVGSIKQGTTGTIGICGMGGSGKTTLLKQLNNTFSCAAETHEFDHVVYVEGSQQQNLETVQQNIASQLGITLSQNKNVTFRSASLYNFLKERSFLLLIDDLWRTLDLVKVGIPQGGRQLGPQNRQMIVITSRLQQVCYGMDGHCQMIVLQKLKFNEAWSLFESNAGVRITNNVQIKCHAESIVEKCGGLPLALKIVGQAMASKGTEHEWELAVNLLEQSQFHKVPDVENDLYSVLYISYDNLPDERTKQCFLFFAFASYGTVCTESYTRSFWMGHGLLDEDDDIGNSNLRGYSVVACLKRACLLEGHPLGEKYLRMHDCIQDLALWITATKRANGSNKKWLVVSDQRKLIDPKEWSMAERIRLLHNRNVTIPNSCYCPHLLTLIMRQACQICMLTMAGFFGVALSLTYLDLYCTNIEQLPSDIGALLNLQHLDLSYTPIQSLQVEFRLLKKLRYLYLRYTRKLQTVPDGTISALSMLRVLDIHGSVFFTKVKARSYLEELESLTSLQLLGVTVVDFQSLRRIFNLSRVSLRSLLIHEMTGLGHLQVSSQLISKARAQHLEVLALSCMKSLEELMIGGESETSVDYSDWCFHNLDEFRLHHLTKLGSIMWKGVMPHACFPKVRTVDIIGCHSIKTLTWINQLPCLEEVYLYNCNSLLEVVSDDDEEDTTMPSATASSPFPRLRHLGLSHLRDLYKICGDGRLGFPCLQRLLVYECPMLARLPFVLWNGSGCVPLILGEQNWWNNLGWDDAGLKFNSVSFFRELPPGFKGSNMEVWEAMFQALP >KN539279.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539279.1:1033:3282:1 gene:KN539279.1_FG002 transcript:KN539279.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWITTILLILQFIWSIRGLVIFSFIAHLALVLLAGLRRHQPTGVRMFILWVANQFARWAPVTVLGTLSVGSTPQKEQLVTLWVAFMLLHAGMPDNFTAYSLEDTILSRRNGGDAMLWISSVVLLMAIGKYWEGAYQALMRGNLKNMQSSRKKMKNKSTKSVRNSLQIARRGGREPNEEQLLLAAHGMLSITKDAFIDFLDKTTADEQEALSDTWDEKLYRVVNMELSLMYDLIYTKAAMVHTWKGYAIRCASPIAGATAFVLFCLNSKEGQSTVDVIITYVLLAGTVILDIKWLLRAVASTWFYSFMDEKPRSALLCSGKWRLIRRLIVSDLNLFRFLANSKKPTRYRMWSETIGQFNLMEECTRYESEPRTKNLKSSMFKQFAPEDKWMEYEYQHVRGNRIDSRDFREELFNSIWQVMKKPFPQRRPVAKEETVAGKTFHFPVFPPVAEWAWPEQHTHAHQEPDIALKFTPDLQETILILHCTSPLTSFSCHRNLKLKHPADSTTQQQVKAIKALSDYMMFLVAVRSSMLPGLVLSSLYEAVSDALAGIWKENGGSDPSSRTSSSTMRKKRLANILIEKDRKEGKFVEKDESEPDKGFLIVLYDTSNVLSEGTLLARFLLGEIPSIHYAAKTLEEKFDRQYPFLMELAQSRSGYHRTADFLAVTTDAILREWARQLINVSIRCTRDSHAKQLARGGEFTTVVWILAEHARILRVKKPN >KN539279.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539279.1:67982:70094:1 gene:KN539279.1_FG003 transcript:KN539279.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSQKEAEKKKPRKLSNSIRNLRRIGRRKMDNNEQILLAAHDMLHITKGAFIDNLAYEHDVDKQEIVRPETWNENEMLYDVVDMELSLMYDILYTKAAMVHTWGGYAIRFSSHFITSAMFLLFWSQSKEVLELVSLDDHWMEYRYHSSLGFHLLCYQSSDVRNLLFESIWECLKSAYPPIIPDKRPLMAPTLVLPQAAMHRELEEALDFAPAFQETILILHITTDIFLLISGEYASSSRHVRAIKALSNYMVFLVAGNQLKSSVNNSMEGKKNLAQLLIDKEKKPKPMTPMNISNWRPGYSTHKSRPELASALFDQNIILSDGTSFALALLSRVMKIPEEKSNITTPKTFSSESPGFDRYKRLKQLIPELKKWDKEDFSMSEMLEHIFMAWVRLLMFASVRCTRDSHAKQLACGGELTTILWILNEHAGVFRIDHRDGKKNKPEEFFSY >KN539279.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539279.1:29491:39701:-1 gene:KN539279.1_FG004 transcript:KN539279.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIDAAGDDSGEGNQADEEPVADEDKKRKPLSPRMTRQALRKMAQQQSSLPSSHRGRSAARSLDKPMPSKLRTPPTSPSPRTSVPRSPRSPTDGAASQDTRTDATPQKNTNK >KN539279.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539279.1:4402:6579:-1 gene:KN539279.1_FG005 transcript:KN539279.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHYRRMAAAGTDQMAGVGSLIMSGTNAVDLLLCAHSQFKVCKGALVDSSSEFVDTSELGDTVFSNKWEWEKRWTVFQMEVSLLYDIMYTKAGVIHTWHGYFHRVFSPLATAAALLLFHLSTSASVAMDSDSAASKKKYTGQTVVIPPDVMKLVFDELERVILRKKEMTEIKSAAPRSGHDVKPSGETEQSGTKSRPSPNPSFPTNSVGLIKAEKGQHAVAELNLKDGRDRKYLQRYIRDEIQEGILIWHIATDFYLRTCEGSKKQETIVRAIKLLSNYLMFLMVEHPTMVPGIDLRKYYTQTYKKLSTNYAGNANGDPDRLAKILAQDETVNPVLKQNDEKQALRGNALRLATKLALKLAELKVSATKKKYKVDMVTFLFYMWVELLLYVSHRCSRESHAKKLSEGGELTTIVWLMAEQAGKFYIDKKLSKEDDVD >KN539279.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539279.1:86377:89340:1 gene:KN539279.1_FG006 transcript:KN539279.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHYRRMAADGVGSLIKYNNNVAVQFVNAWEIEFIVVSSFVLQVCKSALVDSSSANAKNTSYLRRTIFSDEWEWEKRWTVFQMEVSLLYDIMYTKAGVIHTWYGYFLRVFSPLATTAALLLFHLSRSTTSSVGATSIAAMNSPHVLVDVGITYALLVGAILLDMVSLLSAAGSGWAYAYLVLGMPRRRHGWLYCAAVHSGMWRRLHRWLEYLRELVNVHDRRRWSGAIGQYNVLQFCTATSEKKNYTTTTEEIPEGVMKLVFEELTRVILRTNMEGNSGTGNKDMSKEGIGLAKKDLTNNPSDHMEGIGSDLGDHSSHHVKWIGTSNKDLTNKSSDHVEGNESDLNDNSSGHGEGIGSGNKHLSNNSSNHMEGIGSDLSDNSSDHEEGIGSGEKDMMNKSLDRVEGIGSDLRDNFSSDETFGSGRKDMSNKSLDEHVKRIGSDRRDNSSGDETFASYGEEEDTSHKSLDNVEGIGYDLSDNSSNHEEEIRSGNKSSDQMKNEYDTAEITRKLRRGVHRQTPEVSKPSAELTKLEGAATDSVGLIKAERGQLALRNLMAKKEGLGDLKRYLRDEIQEGILIWHIATDVFLRTSESDDESAAMKQQEDTDHQTHQRVEAIKLLSNYMIFLMVERPSMVPGLALGKLYRQTCRAVSKELAPGVNGDANKLAEILARKKRDNPVLQQDGKLALRGNALRYATKLALMLAALNEKFAHESTTLNGKPTSIPEKKRDDDLVQFLFEMWVQMLLYVSHRCSRESHAKRLGEGGELTTVVWLMAEQAGKFYIDKELSVAEEAEQAEEKDDD >AMDW01037759.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037759.1:65:692:1 gene:AMDW01037759.1_FG001 transcript:AMDW01037759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SHSSITDDEFRDSFHSRNQKGIRAVYTLEKDRLSNNEDENALYDVMRKEVQQAVDEIRTQLEKVVTKSEPSEKATSADAQPTQ >KN544596.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544596.1:2016:2833:-1 gene:KN544596.1_FG001 transcript:KN544596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLHALLGNSQPSWLKTKPSSKPAVKFLRDLSAIDKEVTKSLQCALDRMELPAAIRRRIQVAMPILPTSRLSSITCGPPLLSSAALSPFQCSTSTAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >KN540948.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540948.1:497:19503:1 gene:KN540948.1_FG001 transcript:KN540948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEGSSDGKTEMDQLRLEAYVKVDGWNPEEVQEGKNGIPVMVSITVPPGTVGQREDVDLVLCLHICNNADSKVPNNCEKLLTEAVDAVVRTLGDKDSLAILPIAPALDHMGNKANPIISMTAIISDLPLATALGSAELMLYERSRHDRERPGHIIIISNSLDDAEHSLESLLAWRFRSVHALGFRNAHNASTMHSIAANSRECTYGILDDEYGQLTTALDTTMRRITSTAGATVPIEVKLKCEQDASLLSIDSPIVSHFISSEKKKAGILWASANQATSGTNFVAYMRSSMGEVHITDNENFDWSTLLNVEAKYDQVSGKANVKGDQVSESKLQLKGEVVAVAKGMEGYCGSNKLKKVASMEVAAEIVRYQAVNVVSAILNTDKYKTDSKWGSLHEAAEDLCERWRIHKKSSCGMEAYEGCLIDGLDDNMREMEIRLYNNYLWQEYMLSWQSHHVKVPETGLAKLKTPFVDIVLVVDVSRRPWDGLNLVASAVDVIVGTLRHKDRLAILPVESLAINNPALTLLQDVYVLFAIVKFQTSRWRKQLNMTINTIGKCLTNTGTSSASQGTTSTTGTPSPAADGSIKQLPKAVNDAIKMLDDRPEEKDRVGFIIIISDSDDNSICQTALSPNYTIHAFGINRMHNARAMYDIASRSNGTYAVLNNENKQITEAFTSCINRITTIVVVGTKVDIKCSDYSADAALSTIEAGQFKTTAIDNAHKSCSIWIGSIQATSVKNFIFYMNNVREGGHGSLSKQFTVQVSCYPALRTKEEKLNGETISLTRSGIDRYNDEEVVAGIARVVAVKMVTEITDPNFHQNLVTCLPGEMEAMQKIFATVMKKPDAKLRKKIDDPNYTIKLVQRLPWEMCLSMYKFTREAGKARRTREIEKMKPRSNRVNYQHSKGSKNIPYVNIMEAILMSELDNMEASLFGQITEPNYYELLVERLLKELSPEGSGYSGQDAPKARLMKMNLMSEMEKVETYFTRQITDGTDNEKLVEELVKRLCESCAKHAQDNGEVQLGTELRKMHNSLGKEMVAMICKEVSVPMYYKKLMTCFARLKCLDVSQRERELGNARNALTMEKMEATLAMEMEKLGANKNLIEKIDGMDYDDKLVVLLVREKCLSVCEHAQVAGREIRLISEMEDMEDRLHKEMTDPNYYKRLVDNKLSYLLSWLSFRGSCELKSHAT >AMDW01022810.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022810.1:4:306:1 gene:AMDW01022810.1_FG001 transcript:AMDW01022810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASNKELSSPSTSTVTAEDSFAGSVVADAPETESILTKSPESDSSEGGNIEMNEQCMLPVDTSAAEIS >AMDW01033318.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033318.1:228:329:1 gene:AMDW01033318.1_FG001 transcript:AMDW01033318.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNTRM >KN540105.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540105.1:27808:44935:1 gene:KN540105.1_FG001 transcript:KN540105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVEPSNSQSSLEKKSSMQMYREYASGEHLELRASRRPEVKLVNRYAVMLGYQMLAVKAIGVFTALTDDQSAYFWESLPSFDKYLGDLADELRKKEHSSAAFAIAIALKFANLNLSFLYVFILYPVSAVYLYGLFISPVLALMRLAQHDYDNGDADPSKANLKPALILLYSLAMLQGIFFLLGGSYIILETIMCKVLTKHYELEDEWGYKSVKGYIVETRNKCFKDPQFARGRNLITFAVDLLESESPEKFDCGAWLLDKLIKEHKQELAKRTEKQTRHLERLTERQKQHYLEKLEECRLHVKLNWASRYSYNIFGWQIPSFQPSRQEKLLKQMRDMFIEQKDLKTKLIESASSKSIIQTLLHKFGQRSTEVNTRKCIASIVEYVAGDIHLEQFPGEIHCISSLLQTSEQDWYRNAEAPSLSPAQSSSERQDGESNNADAGAIINTQYDTQYEQLMLRGLCIFEELASNEDNCRVISNTECLLSKIMVPVSSDLLHLMDHAVWHDIVMGSLKVMRRLMTAPKETGSKLLGEIASNREAISSMQGILQRGTCGLELQKLAMEILTKLFMDTSLRMEPASKRSFTEMLLHKFNNKDSSIRKLAGESLAKVSLRSESYCMIILQLNDNVVRNLTNTMLQDENNTYRIIAAKILEGLCIHHTNNDDSCKRLQNAMIEVMPKVFKNILHCGSRGEEKQNETEADGAEHPIEATDLENQNGISEDNERNKSISSSQQNSEKPEDGKLKAALLSLIARVLHNPISEHQVLSIQLDPVAHGDTALSFVGMLKEIVKQNSQPTPNCLRILRATSEMIISMMKNGSSYLQEDLEGLMESLSTASKLMVELDMFMLFSSGDDAETKPVRTLTCLVEEANLWMKKG >KN540105.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540105.1:64593:65240:-1 gene:KN540105.1_FG002 transcript:KN540105.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGKPVMLVGIDDSDHSYCALEWTLKHFFAPGQPQQYHLVLLTSKPPASAVIGIAGLGMTELLPTLELDLKRGAARVIEKAKEMCSQGCSWERERLLQPSRALHRDDSEEAQA >KN540105.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540105.1:59047:60423:-1 gene:KN540105.1_FG003 transcript:KN540105.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGLQIHARIYVSGYSGDVSIWNALVNLYARCGRIREAFSSFEEIEHKDEITWNGLVSGFAQSGLHEEALKVFMRMDQSGVKHNVFTFVSALSASANLAEIKQGKQIHARVIKTGHTFETEVENALISLYGKCGSFEDAKMEFSEMSERNEVSWNTIITSCSQHGRGLEALDLFDQMKKEGIKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSDEYGIRPRPDHYACVIDIFGRAGQLDRAKKFVEEMPIAADAMVWRTLLSACKVHKNIEVGEFAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMRDRGVRKEPGRSWIEVKNVVHAFFVGDRLHPLADQIYNFLAVINDRVAKVGYKQEKYHLFHEKEQEGKDPTALVHSEKLAVTFGLMSLPPCMPLRIIKNLRVCNDCHSWLKFTSGVMGRTIVLRDVYRFHHFNKGSCSCGDFW >KN540105.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540105.1:48455:58236:1 gene:KN540105.1_FG004 transcript:KN540105.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKQAIDEDWVEIVDINDYASPMLGLYISAGISLWRLIRRDYVGVVTADGSAANLTPALNVVYSLAVIQGVVMACKAVLSTTERTIALDTARRMKLDHQALASVEDHVRETRIGCETDPSSADGRNLVTFGLNLMESRSRDGFLSGVRIIGSILRRPLPDQDRRTYISWKCRRWFLGQRLLAKQLLVASPSFRVVISKLLQTLGPRSPYNREMRVYAAMIVAHVAGEIHLEQFPGGIQCLSSLLCALEEEVGQLPKAYKRDQQMPSLRGLNPALFWKETTREKVAQGVGDHDEDALSDDSTELVLQGLEIFQKLADDDDNRRIICNTPGLVSKIMAPVMSNLQLTKNQEEISHVAQAINQEEISNGAQAMNQEGSSPAMGSQARQNSIGRMLERSVGISAIGKVDQAPSGRRIVKGSLQVICQLVTAPGQVGSKLCREISDNKEAIRRLLRILDEGARSSTCDVELREGAIQILTQLSLEKSSGMDAVSKRSFIEKLIAIFTGGESGSSVRQSAGEAMEMVCLESENNVPIILITDQNIVDKLKEILLKANENKTCRISAAKILKRSCSYTKDGKCCLREAQKEAMIDAMPKVLNEILCYMPTVIQEADDQNQNNISSCFQWQVTNELKDVGTILSLIFKSSATQGDIESQGGDQAEHSSQEPHESSKLQAALLSFCVTVCDKLISRGESLAERVAATADSTFSNIPRMLKEMVERNKTKDRTFFKGKKRKDYRQDGNCLKIMKLTSKMAISMMNHNDRYADEDLESLIRYMEHTSSEITNNLKGIIISSKRNHGATSPVKSTLSSLVKKAQELVIEKKTMDAPESEISSTSSILVS >KN538776.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538776.1:196710:197096:-1 gene:KN538776.1_FG035 transcript:KN538776.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MFESVHKVFDETPVHGQNLVSWNSMLNSFAANGRPNEVLSLPGRANCAQALPSVAAHPARIGCSQGFTALYSGVANPNVLVGAVVGGPNLQDQFPDQRSDHEHSEPATYINAPLVGALAYLAHSYGQL >KN538776.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538776.1:236771:238074:-1 gene:KN538776.1_FG036 transcript:KN538776.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEVINYGGEIQYVQFKLLNSDQDRVAVLAAQKNASETATYLSSIYDDDSIEKKTVQLQQCLEDCSERYEAAVEQLTDATVALDTGGYEEAMALVAAGQAEVKMCQRGFKAVPQHRNILTLRNREVDQLCSIAFTITKLIRVSPSAEE >KN538776.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538776.1:198387:200563:-1 gene:KN538776.1_FG038 transcript:KN538776.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCLFLLAVLLPHHNAAVVVAAASPHHGPAPHDYRDALTKSILFFEGQRSGKLPPSQRVSWRGDSGLSDGSSIKARNSPLLRVDLVGGYYDAGDNMKFGFPLAFSMTMLAWSVVEFGGLMKGELQHARDAVRWGSDYLLKATAHPDTVYVQVGDANRDHACWERPEDMDTPRTVYKVDPSTPGTDVAAETAAALAASSLVFRKSDPAYASRLVARAKRVFEFADKHRGTYSTRLSPYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLSYIQMNGQVLGADEQDNTFGWDNKHAGARILIAKAFLVQKVAALHEYKGHADSFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKTTVSCGGGVAVTPARLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPARIGCSQGFTALYSGVANPNVLVGAVVGGPNLQDQFPDQRSDHEHSEPATYINAPLVGALAYLAHSYGQL >KN538776.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538776.1:207337:215786:-1 gene:KN538776.1_FG039 transcript:KN538776.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHAGRPGPLLVLLAVTLAAATVGASSDTAPFYPSAEEAAAAHCEGTLYPELCLSTLADIPDLHKKSLPDVICGTVNRTKDAVAATSYNCSHYINSKYLTPRDRLAISDCMELLDATMDELQATTSDLESPAVAGGNNGSASMAAKRVTMDHVMTELSAAMTNQYTCLDGFDYKDGERVRHYMESSIHHVSRMVSNSLAMAKKLPGAGGGGMTPSSSSSPDTATQSESSETTQRQPFMGYGQMANGFPKWVRPGDRRLLQAPASSITPDAVVAKDGSGGYTTVSAAVAAAPANSNKRYVIHIKAGAYMENVDVGKSKKNLMFIGDGIGKTIIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFIFGNSAVVFQSCNLYARRPLPNQSNVYTAQGREDPNQNTGISIQKCKVAAASDLLAVQSSFNTYLGRPWKQYSRTVFMQSELDSVVNPAGWLEWSGNFALDTLYYGEYQNTGPGASTSNRVKWKGYRVITSASEASTFTVGNFIDGDVWLAGTSVPFTVGL >KN538776.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538776.1:242168:247029:-1 gene:KN538776.1_FG041 transcript:KN538776.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MCQIQGNFSLKTTRKEIETYPLVWFLCYLVVISQRRHSRNGYHCCYVHKETYLLKCSEHRKLSVKSEQVVTAELIGKEDIPLTSSQPTEISLWERLGNASALDIESPDFSWNMLSSLHHTEHSSSSDHSEDEMCKPLEVTVNSGGVVFFALFSSSSNVLPKEAAAVIKFSSSKMSTQAERLGYEFARLLGVQTPQARVVHNSSLEWQDIRKAAENARSVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFSPREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRPSAPSVDRLDDSKCTTESPIPTITQLVQSDKRTHTANATINSPELVSMSPKPDALKSERGNADSLDGPVHIVAIDTGVPRRPPAGRRVKDHERYPKVVQLMLNNSDYSSNILYEISGGKLGTPGPDEAIAFTDSCCSISDEDNTAAIHEFRGAFRAALRDLEGFHLFLLQLYQKLDGVLRVFLFIVTKGSEESDNNDATVPDFPSPGANYSTPCAPSKQQNSELHGDSEILKSTTKPSSAGSRGSSDSVSPLSRESWSNKYFKGSAEGPRSLRMTMKLRDFYKTPKVDPELVKEIEQWNEALKSDVIKFCEENNFHSGFFDGNENNMVADAYELKVRLEHIIERIALVSDAANTERPSLVINNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDYIDHVDHVGGKVLVHCFEGKSRSATIVLAYLMLRKGLTLAKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVPMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTLEIQKSIQSLRISRGGSLSPSQKLTKAFADELSF >KN538776.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538776.1:204512:204772:-1 gene:KN538776.1_FG042 transcript:KN538776.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding METSAFRTYRSFPQPIIKTRQCSSIPLEMKTNAALARELWLNAQAQDLSSAGKVGSEVEREHEEAWLKRRNADNGAGEEEKATMTG >KN538776.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538776.1:136830:138877:-1 gene:KN538776.1_FG044 transcript:KN538776.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDALFITGVLGNVTALFIFLSPIQTFYTIVINGNTGVQQPEPYVFTLLNALLWLYYGVSKQNGLLIATINGFGAVMEAIYVVLFLLYAANQNMRGDRNNMCVLQRVDVCLSTHSRDLYPTD >KN538776.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538776.1:233318:235482:1 gene:KN538776.1_FG045 transcript:KN538776.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHIATALSATLLLSLLVLGRSDATLPPPATPVPPSTACNGTTDPTFCRSVLPTNGTSNLYTYGRFSVAKSLANANKFLGLVNRYLSGGRLAAGAVAALQDCQLLSGLNIDFLSAAGTTLNRTSSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRNGLAVPMSNSSKLYSVSLSLFTRAWDAVAAAPTNLDGTKGYFVIHVTAGVYAENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEAYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLYNRLPMQGQSNTVTAQGRTDPNQNTGTTIQGCAIVAAPDLAANTAFATTNYLGRPWKLYSRTVIMQSVVGGLIDPAGWMPWDGDYALSTLYYAEYNNSGAGADTSRRVTWPGYHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >KN538776.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538776.1:260981:265373:-1 gene:KN538776.1_FG046 transcript:KN538776.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQDNNLKNFFQTNGHVVLQRVENNCSLRYFTENEIRQITRGYSILLGKGSFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPILHGYVDPAFCMNGILTPKSDVYSFGVVFLEIITRKKAVDGTITLAQRFTKAVEQGKKVMHLFHEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCADGLKHTASADRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQASGDNKPNQHVAPPLTKKFVKTPPTIVSIIPLNILEKITSNFILEKITSNFSNDALIGEGPDARVFFGELSDGQKSAIKKLDPNEKIVVQGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPEYAMKDFHA >KN542263.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542263.1:12917:16823:1 gene:KN542263.1_FG001 transcript:KN542263.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSTGNHAVNRSLEVAWPYFVAASNRIALVSCNARVDVRAAAGRNNNKTSTQLISSCTAVCPSDGVGTTTFLNIGPEGPCSGIGCCETNMLLGSSTAAYSIQVQNYLQERVVLNRTDDLVYLVDERFNYTLDMSFGDSSPEALPALLRWYINSSSACPLPASAPECRSAHSYCDSSYGKNAYICSCSDGYEGNPYVPDGCHDTDECSSGYCSYGECRNTPGSFICNCPRGYEGNPSPKDGCKARDLGLRIGLGVGGGTILLLLALSAPFISSKMKLRKMKRMKETFFRQNHGLLLERLVSQNADIGQRMIMTLQELEKATDNFDKSREIGGGGHGVVYKGILDLQVVAIKKSRIVVKREINDFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGSLDHHLHVDGPISLSWDDRIRIALEVARALTYLHSATTIPIFHRDIKACNILLDENLISKVSDFGASRYIPVEQTEVTTAVQGTIGYLDPMYYYTGHLTDKSDVFSFGVLLIELLTRKRPMYMTDHGESLVLYFASLHRQGQVVEIIDPQVMTDGDGDQIQEVASLAATCTKLNGQDRPTMRDVEMTLETCELRRSLLHIV >KN542824.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542824.1:175:411:-1 gene:KN542824.1_FG001 transcript:KN542824.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVVGPRDRDPGVGVLAVAICGPRGEVVLRIHKPVQAQQGRMTLEAMALVEGLNAALALGIRTLNVLTDNKPLHNH >KN542824.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542824.1:1214:8986:1 gene:KN542824.1_FG002 transcript:KN542824.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRRHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPAVSSSHSILLTYGNAIIKAHAISRFLLDNCRAHRIKVPNSSGIAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATARHYFSVTSERTHKVEGLPLKSKTERSSVTKSSGPTIGKDMVPPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSGTAQRNPERCFSGNISSVKPPSLPVQPATSDSTPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDGDRNSMPNECNVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQMPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDIEDKIEFDTKLSSSEDASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTNPEQQADVGASFAHGLHDPLRRGDVAEADVGGDEGVERGGAGGEARGEDPLQNARDEVLAAGARTHLDEDVVGERVRRRVPGGHLVEEVAEADAVSGVGAGAERGVEGGGVGEGISFIVVVAEVVEEGVEESEGAGRGEAREGGGVGASVGEEGASEHGEEEGVGEVGVVGAGGGGGGEGEGVGVEEVEGLAGAEAVEEGGGAGEGRIGELGEEAGGGDRGEGEGGIGGEEVVGGVGVAVAEEGEEVCVQLGVGICSVNGNGIPTKSTEQEARKKGGEIGRGCWEPGSR >KN542116.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542116.1:2769:6162:-1 gene:KN542116.1_FG001 transcript:KN542116.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLVCLSALLLIPLSTVSAASSPGLTKSSNNDTDLTALLAFKAQFHDPDNILAGNWTPGTPFCQWVGVSCSRHQQRVVALELPNVPLQGELSSHLGNLSFLSVLNLTNTGLTGLLPDDIGRLHRLELLDLGHNAMLGGIPATIGNLSRLQLLNLQFNQLSGRIPTELQGLRSLININIQTNYLTGLVPNDLFNHTPSLRRLIMGNNSLSGPIPGCIGSLHMLEWLVLQHNNLTGPVPPSIFNMSRLTVIALASNGLTGPIPGNTSFSLPALQRIYISINNFTGQIPMGLAACPYLQTISMHDNLFEGVLPSWLSKLGNLTGLTLSWNNFDAGPIPAGLSNLTMLTALDLNGCNLTGAILVDIGQLDQLWELQLLGNQLTGPIPASLGNLSSLARLVLNENQLDGSVPASIGNINYLTDFIVSENRLHGDLNFLSTFSNCRNLSWIYIGMNYFTGSIPDYIGNLSGTLQEFRSHRNKLTGQLPPSFSNLTGLRVIELSDNQLQGAIPESIMEMENLLELDLSGNSLVGSIPSNAGMLKNAEHLFLQGNKFSGSIPKGIGNLTKLEILRKKVKHQENPADMVDTINHQLLSYHELAHATNDFSDDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAMRSFDTECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPNGSLEALLHSDQRMQLGFLERLDIMLDVLLAMEYLHREHCEVVLHCDLKPSNVLFDDDMMAHVSDFGIARLLLGDDNSIISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVVDGQLVQDSSSSTSSIDAFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENRTAVFH >KN542116.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542116.1:14018:17433:-1 gene:KN542116.1_FG002 transcript:KN542116.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSPVCIIMSALLLITSSPVVAAAAASPPGTSKSNGSDSDLAALLAFKGELSDPYDILATNWTAGTPFCRWMGITCSRRQWQRVTGVELPGVPLQGKLSPHIGNLSFLSVLNLTITNLTGSIPDDIGRLHRLELLDLGNNALSGVIPASIGNLTRLGVLRLAVNQLSGQIPADLQGLHSLRSINIRNNGLTGSIPNSLFNNTPLLSYLNIANNSLSGSIPACIGSLPMLQFLDLQVNQLAGPVPPGVFNMSMLGVIALALNGLTGPIPGNESFRLPSLWFFSIDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKLTNLVKLNLGENHFDGGSIPDALSNITMLASLELSTCNLTGTIPADIGKLGKLSDLLIARNQLRGPIPASLGNLSALSRLDLSTNLLDGSVPSTVGSMNSLTYFVIFENSLQGDLKFLSALSNCRKLSVLEIDSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNIQRLFLGTNQFSSSISMGISNMTKLEYLDLSDNQLASTVPPSLFHLDRLVKLDLSHNFLSGALPADIGYLKQMNIMDLSSNHFTGILPDSIEQHQMIAYLNLSVNLFQNSIPDSFRVLTSLETLDLSHNNISGTIPEYLANFTVLSSLNLSFNNLHGQIPETGDDSSMISASMPGTVGYMAPEYGALGKATRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVIDGQLVQDSSSSTSSIDGFLMPVFELGLLCSSNSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENRTAVFH >KN542116.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542116.1:9817:13208:-1 gene:KN542116.1_FG003 transcript:KN542116.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETDLAALLAFKAQLSDPLSILGSNWTVGTPFCRWVGVSCSHHRQCITALDLRDTPLLGELSPQLGNLSFLSILNLTNTGLTGSLPDDIGRLHRLEILELGYNTLSGSIPATIGNLTRLQVLDLQFNSLSGPIPADLQNLQNLSSINLRRNYLIGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIVSLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPNNLFQGAFPPWLGKLTNLNIVSLGGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLGQLSELHLSMNQLTGPIPASIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTKLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFGELTSLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVARLGLPSCQTTSSKRNGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQKILLILNTCSNLDFRALVLEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVALHCDLKPSNMLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRQWVYQAFPVELVHVLDTRLLQDCSSPSSLHGFLVPVFELGLLCSADSPEQRMAMNDVVVTLKKIRKDYVKSISTTGSVALPAYTKE >KN541364.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541364.1:6507:7760:-1 gene:KN541364.1_FG001 transcript:KN541364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRRVYVAIEEIESHGHEEDDDGGEEVEEEDEQSHGEADGDGDGDDGAAAMEESDVHDEEGDNGGDEPDQSPGGDDGDGVEEEEERGGGGGGVHGGEAEVEMGHSEQASSARPVVAVAGVTVEDADALECGVCCLPLRPPIFQCEESMCEFSWLCGFGI >KN541364.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541364.1:17692:26296:-1 gene:KN541364.1_FG002 transcript:KN541364.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSRLWIEEGGHGGDEGKKRDLIYVLSNVSRQFNFVDHTSDIGQKESEKVQSMIVDAGIYLAGRTNFFRASEKRPTPDAFKFFTGISCCLCFCFGSPWVILNRQFIEYCILGWENLPRILLMYFNNIMLPQEGYFHSVICNSLEFRNFTVNNDLRYKAWDNPPQTEPVFLDMTHYDKMVDSGAPFARRFRENESLLDKIDGNVLGRWGHGPVPGAWCSGRKSWFSDPCSQWSDVNIVRPGPQGIKLRQYINRALEEGEFGSKSCRR >AMDW01039814.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039814.1:2:1100:1 gene:AMDW01039814.1_FG001 transcript:AMDW01039814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NHHNHELLGQDQVRLLPAYRVVSGDDRDRILMLARSGISVQQMMRIMELERRVEPGNLPFTEKDVRNLIQSCRKSDQEESVDLIKMCRRFQEKDPDFKYEFTKGASNRVENVAWSFASSVQSYEMFGDAVVFDTTHRLPALDMLLGIWVGLNNHGMPCFFGCALLREESLQSYAWALKVFLKFMNRKAPLTILTDENMYLKEAIEKELPGTKQALCIWLIAARFPSWFDAVLGERYNSWKNEFDRLYNMESTMEFDLGWSDMMNSYGLHGNGHIASLFASRTLWALPYLRGQFFAGLLASPETSKSISAFIQRFSSAQTRLAHFIEQ >AMDW01038988.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038988.1:60:698:-1 gene:AMDW01038988.1_FG001 transcript:AMDW01038988.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLQVGNRFVGLADTLQFVYVLERHNSVWTRYETTSRCTDLTAKIIISGFVVLDRRSFMVSDAATFDCLLLNMDSLEWTIVKACQWYRYGALFVRSLCIHGFVYTLFDGGIRAFELVVSENDGSYYLDAPIFLRAWSKIVRERRMICFASVGQDDDDDHSCDHCLVFCLARGGYPRAGYSSTVRKKLYDDVQITMIQVMTRETGRGTREPVRPP >KN540884.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540884.1:9649:10999:-1 gene:KN540884.1_FG001 transcript:KN540884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >KN541822.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541822.1:36:330:-1 gene:KN541822.1_FG001 transcript:KN541822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFIVVLTSIVFLMVTSNGQAQAPTGCVPRERDALLEFKNSITDDPMGQLKFWRRGDDCCQWRGIRCSNMTGHVIKLQLWKPEFDDEDGVYVGGGGS >KN541822.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541822.1:11667:14164:-1 gene:KN541822.1_FG002 transcript:KN541822.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQVLVLSSNEMTMKTTNLTTLCNLRILQLGESYSYGNISELIESLPQCAFSKLQELSLRGNQFTGILPNWLGQLTSLVILDLSMNNITGPLPGIFGKFTDLRDLNLACNQLTGHVPSQISMLSNLTRLDLSNNILDGLITDEHFVGLKGLEYIDLSHNKLKIVLGSPPFRLKEAYFTNCQMGPMFPAWLQWQVDLSYLDITSTGIVDKLPDWFSNSLSKVIYLDISNNQISGGLPTNWEIMSVEQLYLSSNQFTGEIPSLPRNIITLDISSNSLTGNLPSNLSETPMLDTLILFSNNITGRIPESICNLSLYALDLANNHFEGDLPECAEMENLDILMLSNNSFSGKFPSFLQRCFFLSFLDLAWNEFSGTLPTSIGNCTSLRFLRLNNNMFHGHIPGSITGLRDLRHLNLAENRLSGPIPSGGQLETLYTYNPLMYSGNNGLCGFPLQRSCPGNSTSKDGDLSKEKHGDQQIPELHSDDQMFFLFGCGVGFVVGSWVVFFSLLFVKTWRIAYFRLFDSVYDKIVAYNVIFQLIWNLEELCLSSSRVANTLATGEVISMKYSIITLVLRLQESGKRRFYF >KN539857.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539857.1:30885:32279:-1 gene:KN539857.1_FG001 transcript:KN539857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCLLIVSFAVVAALAATDDDAAAEGIAVAEASSDPENKCVYTIYVRTGTIWKGGTDSVIGVTLLGADGSGVRIPEQVDKWDLPTASFLPRLGRGPWVRRYSPGVLDRPKHGSVEKSAAVTACRAGVDG >AMDW01038646.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038646.1:255:839:-1 gene:AMDW01038646.1_FG001 transcript:AMDW01038646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAMAVKEAMAKKGISPDVTTYTCLITGFCCSGDWRSASGLLDEMKEKGIEADIVTYNVLIGALCCKGEVRKAVKLLDEMSEVGLEPNHLTYNTIIQGFCDKGNIKSAYEIRTRMEKCRKRANVVTYNVFIKYFCQIGKMDEANDLLNEMLDKGLVPNGITYETIKEGMMEKGYTPDIRGCTVSQASENPASS >KN539857.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539857.1:63332:69437:1 gene:KN539857.1_FG002 transcript:KN539857.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWAAEQPGVELEVKVVRVAGVEARPEGGGGGGGLFVSERKFCSGSKLKEMTGSGIFAENSENDDSEASNPANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTLETDAEAKMNKQHSEAKSKELSGSDIFGPPPEIPARPLAARNMELQGNLDFALPQPRSVHTSVKVSNPAGGPSNITFSEEPVVKTSKKIHNQKFQELTGNNIFKEDATPASAEKSLSSAKLKEMSGSDIFADGAAGPRDYLGGVRKPPGGESSIALI >KN539857.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539857.1:7114:8925:-1 gene:KN539857.1_FG003 transcript:KN539857.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVVVFAVMNTLTKMAFNEGMRSTVLITLRQLIATLFLAPIAYFRERKTRPKLTAEILVYLFFSAVLGQSRIAKKYPALYSGTALMFLLSFLQMAAVALAVDRVSLSPWILTTKLQIITVLFVGIVGSGIAFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLHEQLHLGMYVR >KN543219.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543219.1:3278:5737:-1 gene:KN543219.1_FG001 transcript:KN543219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MPLSNPLSRAPSTHIRSLARVLLSCLPTGGDRLRRLNPAIHARATVAGGLDDLFLTNLLLRGYSNLGRLRDARHLFDRMPHRNLVSWGSVISMYTQHGRDDCAISLFAAFGKASCEVPNEFLLASVLRACTQSKAVSLGEQVHGIAVKLDLDANVYVGTALINLYAKLGRMDEAMLVFHALPARTPVTWNTVITGYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRSATETDTSVINVLIDLYCKCSRLSAARKLFDCMEYRNLVSWTTMISGYMQHSFNAEAITMFWNMTQAGWQPDGFACTSILNSCGSLAAIWQGRQIHAHVIKADLEADEYVKNALIDMYAKCEHLTEARAVFDALAEDDAISYNAMIEGYSKNGYLAEAVNIFHRMRFFSLRPSLLTFVSLLGVSSSQLAIGLSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKAVFNMLHCKDMVIWNSMIFGHAQNEQGEEAVKLFNQLLLSGMAPNEFTFVALVTVASTLASMFHGQQFHAWIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGEDVICWNSMITTYAQHGHAEEALQVFRLMGEAEIEPNYVTFVGVLSACAHAGFVDEGLNHFNSMKSNYDIEPGIEHYASVVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYASKGLWADVHNLRQQMDSSGTVKETGCSWIEVTKEVHTFIARGREHPEAELIYSVLDELTSLIKNLGYVPDTSDHTLLCETG >KN539857.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539857.1:34872:42137:-1 gene:KN539857.1_FG004 transcript:KN539857.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSLAPVGLLITAALPPVISPKPFEANEPIIIAKRKLRWQQQLNHSDPAACLAAKLKQTRTSIKSWMKEHRKYSSLNEDCKFIIDLLDCFEEFRPLDEGERCLRLLVQEKLIQTVQSKVAYWKQRGKVKRLKIGIDNSAFFKAHASKNFRNSRIRLIKHNGSEIADHNGKALLLHSFYQHFLGWGKFLCFTFASLVSMVVLAAGSRSPDGVAALPRRGQLVAGGDNDKNECVYTLYVETGWIWKAGTDAAIGVELAAADGSGFAVGDLERWGGLMGAGHDYYERGNVDMFSGRAPCLWSPPCRMNLTSDGAGAHHGWYCKSVEVTAAGPHAGWSELVVGVWRAVRDLSVDAAISQYWQHQTESTGEGRRRGSPDPPLLSSPEAAAGSAERERGTGEVGEFSGVGGTAAEDGRGRRGAEAVDDGGAAEWSGGRTVEAPSC >KN539857.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539857.1:50180:50521:-1 gene:KN539857.1_FG005 transcript:KN539857.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRKLLFCFAILVATAILAVGSASRGGGTLAVPDLVVAAGEEEKYGCVYCTPGPGDRGDDAAETGTGTAVYARPIGIRCC >KN539857.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539857.1:3607:3846:1 gene:KN539857.1_FG006 transcript:KN539857.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAGDLVQAYVLKKACKEKMRAETNAGEAAAAAVMTGKKTAAGGESSEKKTAPEVSKGERRGFSGLMMKKKKVHPK >KN539857.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539857.1:71225:74361:-1 gene:KN539857.1_FG007 transcript:KN539857.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPASSGFPSRRFDFPDWVLLGTVAHADGCGDDATIARAETSDGLPIEVSFVAADPPAFTRCVVRCSGLTAGEFLKEPPCIIGADGAFLLIRVIFPRRLDRRCFTDFFVYRSGPGTPLLELLRRPYPVEHLSDHPGILSCGEHFLVVEPRWLFHPDGQMRYNLHVFSSKTTSWESKVARLACGVEAYLGDFVPTKVFSVGGGSMAWVDLWNGILLFDSVASDPELNFRWKATMFKRLVHPEECQWEPCGTETDSAELSRADSCSFSPDLLPVIWDSKDNQLTFTNLICTYPTMDLYDDNILYVMAKMKGTDPSGWVLSVNTENKKLEKVSPFSEEILFFHRIYLQCDLFKHLGKAPECHLTKVLDKHTNRDKRRIFEEKEADSSNSDSGTYAVSEHLRLMGDLTLQLLAPIDVTESKIRVAHGALYK >KN539857.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539857.1:43078:43713:-1 gene:KN539857.1_FG008 transcript:KN539857.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLCFAALISMAALAAGATSEEDTGTLLLPGSTGSNQCVYTLYVETGSIWKAGTDAAIGVELYTAAGNGILIRNLQAWGGLMAAGHDYFERSNVDIFSGRGPCLGAPICRMKLVSNGAGEHHGWFCKSVEVTVAGPHARCSRAAFDVQQWLATDAPPYQLYAERSVCGKISTSAAAAELES >AMDW01025315.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025315.1:70:330:1 gene:AMDW01025315.1_FG001 transcript:AMDW01025315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQRAKPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAP >KN539857.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539857.1:18989:25319:1 gene:KN539857.1_FG009 transcript:KN539857.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVGVEIFAPFLFFFVLIAASSTSLVFGDCDVLEEDGILDPVGSGAMRLIHAVIPQMIEREQGTIVNVGSITALAPGPWAGVYSASKAALHALSDTLRKWQLRLRPIGILELKSFGINVMIVAPGGTKSNLGSNSTSKYVQIRDWKYYKKFEESLRARTDASQGPGSTPAEDLAERVVALVLKKNPPAWLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTAENFSNATGEQGYPGGKFFDPLGLGGETRDGVYIPDADKLDRLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >KN539857.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539857.1:60:1981:1 gene:KN539857.1_FG010 transcript:KN539857.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding DMYGGNETLKARGALSIAVPGEIAGLYEAWKRHGKLPWKRLVMPAAKLARAFRVSPYLRKQMEATRDGILQNKGISGVYTSNGDILNVGDVCRNIRLARTLVAVAEKGPDVFYKGAVGDQLVKDIQEIGGIITMEDLKKYQVKIRRPLSENVLGLTVLSMPPPSAGGAGLMLVLNILTQYGLPAGFSGSLGIHRLIESLKHYFAIRMNLGDPEFVNVNEVVSDMMSPKFAADLKKTIYDNMTFDPKHYGGRWNILQDHGTSHLSIVDSERNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPVNTSANSPPPAPANFVRPLKRPLSSMTPTIILKDGNLKAAVGASGGSMIPAGTMEVLLNHFVKNMDPLSSVMAPRVYHQLIPNVVQYENWTTVTGDHFELDAATRADLRKKGHVLAPLAGGTISQLVVDDVERHGGLTAVSDPRKGGFPAGY >KN539857.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539857.1:52304:61411:1 gene:KN539857.1_FG011 transcript:KN539857.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDVHGNVIATKQDAVGGMKVEQPLQRHGGRLEHNETYCGSCYGAEESDEQCCNSCEDVREAYRKKGWGVSNPDLIDQCKREGFLQSIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKANVHVHDLLPFQKDSFNAGKLLFPCEHSFFNIPTFTLFFMFQVVPTVYTDINEHIILSNQFSVTEHFRSSESGRIQAVPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGAFDILSIEFPFSSKPEISTFVSKNKQNVAAEQLKMDWVGTRKKPLPKPKWMKETIPGGAKYAGIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKVLKPEMLIEALVPDFRGDPACVEKVATSGLHVFAHNIETVEELQRNVRDHRANFKQSIDVLKLAKEYAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFERYRSLGVDMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKATTAI >KN539857.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539857.1:75536:76137:1 gene:KN539857.1_FG012 transcript:KN539857.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVILGAVNLVCLMLSTLVIDRYGRKVLFMVGGAIMIIAQVGVAWIMGAQVGKNGSEAMARPYAVAVVAFTCLHTAGLAWSWGPLGWVIPGARDIPGTATFAYYAAWVAVMTAFIAVFLTETKGVPLESMATVWARH >KN539857.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539857.1:25585:26470:-1 gene:KN539857.1_FG013 transcript:KN539857.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLPADIDVVPEADRSSIDELMKLYYSCLQGTDTGGGGEQGKDVATGAGGDGSVAPETVHVDDDDMLEGLLGVAQVVDMSDFPDSPIWHWAHVTTKCSIDVVSASRQMQPLKKEWQSYYSTFLPSAQWKKQNTMVISDFEEQMRYFVAMRPSADAISLCRQAAKQSAHDKEWHEHHEINEISLLILQP >KN545026.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545026.1:1081:1970:-1 gene:KN545026.1_FG001 transcript:KN545026.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPALNHAFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTGGYLIVMRWLALFSFHGS >KN541996.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541996.1:10346:11599:1 gene:KN541996.1_FG001 transcript:KN541996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLPQDGQAREPAGDAEDRNAWFKEMRGWLMVVATVAASVTYQAGLNPPGGFWQDNLGGRGGHRAGNPVLRDSVAARYQAFYYLNSTSFVTSLVIIVLLMSKRFYETKAKVVALLLTTFVDLAGLVGAYIAGSTRYMSSCIYVIVIAGVAFLCVIYAGHVMEDVCQFFNMNFPDMKKSPCLDSGGVFGYCSGLK >KN539304.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539304.1:54232:57615:1 gene:KN539304.1_FG001 transcript:KN539304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKAETANQQLEMNAVVNGGAGFDPSMWRDFFVVEERMRARAERLAGEVRRRMFAAGGGNGEGEGGVTMSVAEAATLVDTLERLGLDGHFRHEIGVLLGRLRREEADFAGSDDDLHTAALRFRLLRQHGVWVSADVFDKFRDGMGSFSSSLRDDPRGLLGLYNAAHMAAPGEIALDDIIAFARCHLEALSMEGELNSPLAEQVSRALDIPLPRFPRRLETMSYLVEYEQEDEHDDMLLELARLEFEFARSLHLEELKALSLWWRELYESVKLSYARDRLVESYFWTCGVFHEEEYSHVFDKFRDGTGSFSSSLRDDPRGLLILYNAAHMAAPGEIALDDIIAFARCHLEAMSMEGELKSPLAEQVSRALDIPLPRFPRRLETMSYLAEYEQEDEHDDMLLELARLEFEFARSLHLEELKALSLWWRELYESVKLSYARDRLVESYFWTCGVFHEEEYSRARIMFAKVFGLLSLMDDTYDVHATLEECYKLNEAIQRWDEGAISNLPEYLRMFYIKLLSTFDELEDSLEPHEKYRVSYAKNAFKLSSEYYLREAKWSNTKYTPSFAEHLEVSVMSSGFPMLAPVVLMGVHDDIGVATAAAFEWATAAVPDAVIAASGEVARFLNDIASHRVGKNEKDVPSSVECYMAEHGVGEEAALAAVAAMAEHGWRTINRAFMEMDPGLLPAARLVVNLTRTLEVIYLGGRDGYTFGGDIKGLVVSLFLDPVAVIRI >KN539304.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539304.1:106660:109577:-1 gene:KN539304.1_FG002 transcript:KN539304.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRSAWRARAETQPSGFVDNLLGNGSGGRQIHLGLGRWRGCRHAADQGFDHSCSVATGLLIGIWQRSEEWMRGRVDQLKRQVRCKLLKATSVAYMVMLVDVLERLDIDHHFLDEIAKVLKHVFHNEELESAAARSVDGDQLHFESLRFRLLRQHGFSVSADVFDKFKDSIGSFRESLSTDPRGMLSLYNAAHLAMPGEAALDDAIAFSRRSLQSLQGKLRLPMAEQVSRALDIPLPRTPKLLETMRYITEYEQEEAHDSVVLELARLDFELIRSLYLKELKTLSL >KN539304.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539304.1:23502:26332:-1 gene:KN539304.1_FG003 transcript:KN539304.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALRSVGKVFEPFKDPRVDTLAPKLVFIALNLAAMGLGVWKLNTLGLLPTNASDWVFSLAPARLLLLLSAPLVHGICNFTITCKLLKTYDIVQSQWNLFCEIVYLPNDWHETWDMYSNFCATKEVEYAGGGIPLY >KN539304.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539304.1:66959:69952:1 gene:KN539304.1_FG004 transcript:KN539304.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKQAAANQLEMNSVNHGFDPSGVEERMRARAKRLAGEVRRRMFGAGDGDGEGVAMSVAEAATLVDTLERLGLDGHFRQEIDVFDKFRDGTGSFSSSLCADPRGLLSLYNAAHMATPGEIALDDIIVLARCHLEAMSKKGELKSSLAEQVSRALDIPLPRFPRRLETMSYLTEYEQENEHDDMLLELARLEFELTRSLHLEELKALSLWWRELYESVKLSYARDRLVESYFWTCGVFHEEEYSRARIMFAKVFGLLSLMDDTYDVHATLEECYKLNEAIQRWDEGAISILPEYLCMFYIKLLSNFDELEASLEPHEKFRVSYAKNAFKLSSDYYLREAKWSNTKYTPSFAEHLEVSVMSSGFPMLAPVVLMGVHDDIGVATAAAFEWATAAVPDVVIAASGEVARFLNDIASHSVGKNEKDVPSSVECYMAERGVGEEAALAAVAAMAEHGWRTINRAFMEMDPGLLPAARLVVNLTRTLEVIYLGGRDGYTFGGDIKGLVVSLFLDPVAVTRI >KN539304.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539304.1:98098:103433:1 gene:KN539304.1_FG005 transcript:KN539304.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVLATEVLAVNGGSSPLAAAAVVTTGPLLQGFAPSVWGDFFITYAPSNSQACRLNSTVHRSEECRRERAEALKGQVRRKLLKATSRISSVAEMVVLVDTLERLGIDNHFRHEIAAMLHHVFDKFRDNGGSFRASLSSDARGLLSLYNAAHLAMPGEEVLDDAIAFSRRHLRSMKTAGKLRSPMAEQVSRALDIPLPRTPRRLEAMRYIHEYGDEPGFDGVVLELARLDFELVKSLHLRELKALTLWWKDFYDNVKLSYTRDRIAEVFFWVSGVYYEEEYSRARIMLAKVFGLITLMDDTYDVQATLDECCRFNEAIQRWDNGAVSLLPEYMHAYYIKLLSNFDEMENSLEPNEKHRVSYAITMYKQLSEYYLQEARWSSHRYLPSFAEHLYVSSISSGIPALAPAVLMGVHDGDAVATKEALEWACAIPDMLLASGEVGRLLNDVAAWKLLVNLTSTMEVVYLGGKDGYTSGSGLKGLITDLLLGPVVHDD >KN539304.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539304.1:14263:17847:-1 gene:KN539304.1_FG006 transcript:KN539304.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSRISPSSRMRLEALEEVEKNLSSLRQMLSGDGEAEPNQEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLRQKVDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLTKYILDSSSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLSSHYEQFFELYARLLTSPNYVTRRQSVKFLSEFLLEAPNARIMKRYITEVRFLNIMTTLLKVFVANPNKPRSIIEALIENRRELLKLLQNLPTSKGEDELDEERNLIIQGIQKLA >KN539304.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539304.1:78363:82104:-1 gene:KN539304.1_FG007 transcript:KN539304.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKVISVLDAEVLAIKGGTTQLADAAAATGRTCAPSVWGDFFVTYTPPSSQACKLILSIILLNSIKVREVDEGTGGSAKEAGALQAVEGHEYGLHGDAGGRARTPPHRRPLPQRDSAALQHVLLLHHEEHDDSVAAVDLLHLESLRFRLLRQHGLWVSADVFDKFKDSTGCFRESLSTDARGLLSLYNAAHLAMPGEEAALDDAIAFSRRSLESLQGKLGSPMAEQVSRALDIPLPRTPKLLETMRYITEYEQEEAHDGVVLELARLDFKLIRSLYLNELKTLSWWWRQLYDSVKLRYARDRLVESYFWSCAIFHGEKYSRSRIIFTKVFQLMTLMDDTYDIHATLEECYKLNKAMQRWDKSAVSILPEYLRIFYIKLLNDFDAMEDSLEPDEKYRMSYAKTTFKQMSEYYLREAQWSSDKYMPSFAEHLDISLMSSGFPAMAPVLLLGVRDRGGAAAATKEAFEWATSVPIPALRGVGGGEAVAAVAALAERAWRTINGECAVVGTMDAALLPAARLMVNLARTVEVIYLGGRDGYTVGGDLKGLVSNLFLDPLPLY >KN539304.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539304.1:33717:37026:1 gene:KN539304.1_FG008 transcript:KN539304.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDSSGKQRSDEEWRAVLSPEQFRILRLKGTDPKLQIMEFGSLQVLQNYKLDSGRLFICPLLYTGTGSWWKIYRRWKRGTRSAASQPLVIEIRSMVKLERVSLGLKPLGIGISEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >KN539304.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539304.1:3942:7187:1 gene:KN539304.1_FG009 transcript:KN539304.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQLSLKRLMARRRRQQRERRRRRQKPQNPRTDGSIASKRKGTLCQQGNNYQCGKRIRYSWPNFPEDIWSHIHSLLPLRDASRAACVSRAFLRSWRCHPNLIFSKRTLGLEQNACRKSDISRAFTSIVDHILTNHSGNGIKALNLDMFDCPNLNTCDLNNWLQNAIKPGIEEITLVLPLKHWKVYSFPCSLLFGGSGRSLRHLDINGCSFRPMVGLCLRSLTKLCLCQVRITGDELGCLLANTFALKELKLMKCSEIICLKMIEIKASNLSAFNFTGSTVQFSLGQLLRVKDLNITCLKNFDVLCYVITKLPYVVPNVETLAVSSISERVDTPMVTAKFLHLKYLSLELAPACNISPECDYLSLISFLDASPVLETFILRVVQEYMEHCSVFDDASPMRLMTEQKKHKNLKNVTMIGFCSAKSMVELTCHILESATSLECITLDTIASWYENEEDIIGRCCVRGTRRRECLSIGTEMILEAHRALLAIERYILGKVPPAVRLDVHRPCTRCHTV >KN539304.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539304.1:105271:105537:-1 gene:KN539304.1_FG010 transcript:KN539304.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGVGGEEAVAAVAALVESAWRTINRACVEMDPNLLPAARLLVNLATTPEVIYFGGRDGYTVGADLKGLVTALFLDPLPVYQPQQS >KN539304.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539304.1:39538:45888:1 gene:KN539304.1_FG011 transcript:KN539304.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQNVRAEEGRGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWNARRLADHFDFRNVMRATIEVPGAGEVNLYCTHLDHLDEGLRMKQVDSILRFADGHHHILAGGLNALDASDYSADRWAAIAKYHEEIGKPPPKSEVMRHLKAKRYVDAKDFAGGRDAGLVVVPNGQDVQGTCKYGTRVDYILASPNSPYSFVPGSYAVVPSMGTSDHHIVMVDVALRHDVACLSGEDGFEVRLDVDERLVHSEHEVELLRRRGGHSHGVRKADELQDASPWDVGETLMAELVLFMWMLELGLEAGGFLTNWYQSRSVHWDSSHGGVWEAVIQEGGGIGLGSRYAVSEEEVLLVMSKEKVTREEALHLIHELRDAERRIDEKLDRLLEMFGVKLDGGINGAEKFNTFTEELTPTTVAVASPPPQESPSLAPTKCSTACLNSDIMCTTASSNHINKEHTLKISLELGDSEDKAHTPCIDTTGCFKETHAKCSTVGLDVNGGTNRAVVGFQIRMSVFKVLSAPFASLEFLSSTIIADIKQNTPMATKCSTECVGHDKILMTANPLVVNPWPPHFRSSYKGIRAEQQLEPWPSFWGNQGRRECVQSLPLLFQTSAALVFLVPLLNEVSRLECIEIKLWRPPQESLCTMRNLVATMLVQELESLGMGMLLMLVSATGQFFGYSFTFAEGPWRCLHQLQPCELATVQDCWKEIELGYCILEIEKKYGDNSEHGQCFIEAPHLPWDPAKFMFILCEDQAASYVHENKLYWSNDGSNERTLMVIVSHYIIGCAIRLQLFYLPIELQPYTPPHYLARETSWLRAANSYGRNYLSTVKGLLKRFKEDLSSKLQQFPHFTDLFEWHCHKNLWVLSCWGYKMVVLSWMIWNYVRRISWFASYRQSFAGKLWKCPELFCDKGELLGGDMQQKKFNRSFFERAAIHVSK >KN541267.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541267.1:11237:13981:1 gene:KN541267.1_FG001 transcript:KN541267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVQALIKIGSAFGETALPLLQDFIKKEAALLQELPELAKCIRGELDMISSFLLQVRSKIHSTDNEVLKRWVVRVRQVAYHVEDIIDEYTHNVALLQDQSYLIRKMREAYNITTFHAIATGLKDVSNEIKQLSEMKTKYAEYFGELLSNTSANTQAHLSRDGSLHTVKEGIVGMTVEMDLLNSWLAPNDLSRVVLSVWGLFGLGKTTLVRKVYQSMKEQKSFDCYSWIEVPHTYNNDVILRQLIRDLSEDQSQVPGSLESMYGSKLVDILSEVLTNKRYLIVLDNVWDAAAFHGISSFLMDSGNASRIIITTRTSDVASLAQETYKLKLKPLEDDDAMELFCRRAFHNSNKVCPPHLEDLCKQIVRKCGGLPSAIYAIGNVLAVREKTEVAWKIMNDQFQCMLEDNPGLGEVRSALSVSILFLPRHLKNCFLYCSLFPQNYRLSREILVKLWTAEGFITKRGSSTLEEVADEYLMELIRGSLLQLLETDEIGRVAFCKMHDIVRDLALSYSRKEMFGLSDGDLQTDQKEDVRRLSISKCNKNVGSILEFPRLRTFITTNGGAESDLLHSLIQKSKYLAVLELQDSPIDIIPANIGELFNLHYLGLRRTNVKSLPKSIEKLTNLETLDLKYTGVDVLPKEICKLKKLRHLFAEKLIDRNRQVFRYFKGMQLPHGFSHMNEIQTLETVEATKDSIELLGKLTALRTLWVENVHRADCTKLFDSLSEMENLSSLLVSASDEYEVLNFDAFSPSEMKLQKLIIRGCLENDTFDKLMFKNLGSHIKYLSLSSSRLGNDPFLLLAKNMKNLIYLSIRKWCCAEEVALRKGWFPRLTTLFLGDMKQVHTVVIEPSAVESLEALYLVSLTAMTAVPTGLELVGSLRKLVVWGQSDDFKLEWKRENWETKLRHVPEIRI >KN541267.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541267.1:26862:27559:1 gene:KN541267.1_FG002 transcript:KN541267.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKKDARVLLLTAIAVMAVILSPCNAEDKCYTVYRCTLPACNDYCIKLGVKNPQVTCKLSFPPSDYYDTCCCGTWDDKSVGARRLLSH >KN541267.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541267.1:16840:17379:-1 gene:KN541267.1_FG003 transcript:KN541267.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKVVIVVYVFIFTLLFSHGMSLNEHCDNERAPTLTGCPGGHFNCAQVCMKNGYKGGHCLDDGTDQPHCYCFNNCWQEKLQVGRSKLLLEHA >AMDW01040859.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040859.1:140:2551:1 gene:AMDW01040859.1_FG001 transcript:AMDW01040859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFEIYLTRVDSLRRRMADGLDFQLIRQTFMDATEFLSPQMGTEDLLLLHAYWAKLERTLGNDLAAARGVWENTLKKSGSVLEVWQHYIAMEIETEHIHEARSLYKRCYTKRFSGSGSEEICHAWIRFEREYGTLEDYDLAVKKVNPRLKELMMFKAQQEVKVDTHAVPKETTGSDFSQKRKPSKMPTKQQPPAKKKKDNPPKSAVLSDDHGSKEQISTGHVKAGEVSGEKTQASMEVNLVDGSRREHTASKESKSNFYSDKCTAYMSNIDLTANEEHIRRFFSDIGGVTAIRLLRDKFTKKSR >AMDW01039339.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039339.1:372:788:1 gene:AMDW01039339.1_FG001 transcript:AMDW01039339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHLENCASYDEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDKVKKQVIKEGHGKKPSRFATCF >KN542813.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542813.1:10655:11555:1 gene:KN542813.1_FG001 transcript:KN542813.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAKDLEEMMHGPGVGSSNIRDVDPWQYKPYAVIVGPYHHDANQRMEKVKLAKLLDALPSDEQKRLSVLEDYLIAISGLLRKVRPYYGDGARSCDDKTLSRILLVDGFYILHVFGVGSFGGGDGLGAEDSIEHIRDVFYLLENQIPFFVLVKIYDLIFPQTDGVISSAATVTVVLQGLKNSVRPLLKRLGYLLLEETDGVPPLGDSPWHLLHMLYTHFKPTAMSDVTPATVSVEKISRTPPSLCWQWQWHLLLSRLGIRVTPAATPADPVAGGDDTPHPV >KN541746.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541746.1:11891:14395:-1 gene:KN541746.1_FG001 transcript:KN541746.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGWAAAAAAAAWVAVKQRLLGAELLMWWRDMTPSVPIIGRFVCLRICVRPHTRTLSTGSTFLIWFGPTPRLAIADPELIREVLLAPADRFDRYESHPMVRQLEGEGLVSLRGDKWAHHRRVLTPAFHMDNLRLLLPCVGMTVLDMADKWRAMAEADKSGEVEIDVSDWFQVVTEDAITRTAFGRSYEDGKVVFKLQAQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEVGDDEKLDGCAKDLLGLMINAAASSNGGKRSALPVSPITVNDIVEECKTFFFAGKQTTSNLLTWAIVVLAVHPEWQERARQEVLDVCGADGVPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGYLRIPRDTELLIPIMAVHHDARLWGPDAAQFNPARFAGGVARAARHPAAFIPFGLGARMCIGQNLAILEAKLTVAVILHRFEFRLSASYVHAPTVLMLLHPQYGAPIVFRPRSSSQPTCEKMNPLTSS >KN539950.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539950.1:58205:58587:1 gene:KN539950.1_FG001 transcript:KN539950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALACASRRLLAGAGTPARSFHSQPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQSLLETRTLRLKKLGIPCKHI >AMDW01081456.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081456.1:119:579:1 gene:AMDW01081456.1_FG001 transcript:AMDW01081456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEEMMNILKGIEKASGWSMPMVHIEDVCRAEIFVAEEESASGRYICGSLNTTVTEIAGFLAAKYPQYNVRCDCIEEHHPEKPTISLSSAKLVGEGFEFKYKNLDEMYDDLVAYGKALGLIPN >KN539950.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539950.1:11167:14098:1 gene:KN539950.1_FG002 transcript:KN539950.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFERKSGMVITKKVLVQIFFSSIFGATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAAFRMESVRLSAAAGQAKVFGTVVCVGGSMIMPFYKGPLLRLWASPIHWRCAAWAVWFIIQTKMSERFSAPYTSTTIMCLMAGVQCAGVSAAMDRSVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVRGPLFVSMFSPLMLVVVAIVGWAILDEKIHVGSAIGSVLIVAGLYMVLWGKAREMGSPSDLDGGGGVVELNGKGADAATTLPVFCTTTNKHETTRNGCSN >KN539950.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539950.1:46651:48344:1 gene:KN539950.1_FG003 transcript:KN539950.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVVNALIDSYASLAEGVVDARRLFDALGSGRTAASWTSMIAGYARWGQERTGLRLFKTMLKDGVELSTFACSIALHACTLVIDLCLGQQLHLQCIKKALDANLAVVNSLIDMYCTCARILDARSLFDGTPERNLITWNTMIAGYSQCDPLMALQLLLEMNDEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYCDDLQMGNALVDMYSKCGSITNAKNVFDRMDFKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHAGVHPDHVVFLSLISSCSHAGLVDEGWNFFRSMINEYNLQPNKEVYGSVVNLLARAGRLREALDLIDTMPFAPDEYVWGALLGASKMHNNVEMGRLAARKITEINPDDVKNYIMLASIYAAGSKWGEYAFTRRSLRGIGSRKEAGISWIEVMDKMYSFTAADSSSPQVCLADEVLHILSQHMDDVGSEFCHIIFKAT >KN539950.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539950.1:43220:43384:1 gene:KN539950.1_FG004 transcript:KN539950.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVEDASEHWKWERKVWDDENTRAKKCGKEMVEIDNSCKVREKPCKVKNVPN >KN539950.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539950.1:62148:63728:-1 gene:KN539950.1_FG005 transcript:KN539950.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEVHGRDVLVDLEKGNCLLMRGEGDNGTDVNMISSQAKTPWNDLIAMKDDHHIPCCSSRSQDFAAKSGEDRTSDGEMKVGLLDKSMDAADQKLISELSELASLKRARIERMKALKKMKNTKPASSIGNLVALIITVIFCLVILWQGVFSKYGAGIIFHGSPISSGRSHGSLFSIQFYKKNETATSPQSSSSAPKCDSL >KN539950.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539950.1:25041:25286:1 gene:KN539950.1_FG006 transcript:KN539950.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTAAADWSSEQCGSGSATSTSVGISDDMFEGSWSELLARAYDDDGADSSLLPDFQMADTGDNCWWSNLEDIWSQQPY >KN539950.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539950.1:50761:54678:-1 gene:KN539950.1_FG007 transcript:KN539950.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGFLRGFIMLCVHLVLWFLAPLLPEEVKLKVMVMVCFFGLKEKKVARVARAALPKHFLEGVGMEGLEAVRGVKSVVGVSRVIPRVMVKPFLEDYLGVDVVVGREVKMVRGFYVGLLENMSDGRLELADLEGEEMIGFGSSSSGYSGHDHHHLFSWCKSLLEKGLIDNMSLRAVFISLNHQEVYLVTPEEKRKWSPLPRDQYPKPLVFHDGRLAFRPTFQATLAMLVWLPFSLPLTIFRTLIFVTLPYPISVAIGSVFGVRTRVINSPVGQAKPDHPRNPKGHLYVCNHRTLLDPVYIAAMLNKKVSAVTYSVSRLSEWISPIPTIRLTRDRDEDRRRMEEALRRGDLVVCPEGTTCREPYLLRFSPLSLELVDEVYLVALVNWSDMFYGNSTGRSKCLDSFYYFMNPRPAYDVEFIEKVPTRMVVDGKTCESKHVANMVQGEIGRVLGFECTKFTRENKYLALAGNRGVVDANQ >KN542641.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542641.1:8118:8429:-1 gene:KN542641.1_FG001 transcript:KN542641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVAAILCLLLLQSSKSLVQAARMMPAGDRPEIPVARTNSATDTAASSSTSQDLLQEFMAPPRPIAGKLEIIAVVDIAKRRRAIQVQGSVPSPGIGHH >KN538798.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538798.1:48034:50712:1 gene:KN538798.1_FG015 transcript:KN538798.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKSLSQPKQDNERITTEGENEYWFKVTTWYRCRYFTPKRKKKILTASNIREISHGGGGGGGGCGVKDIGHGLDTGTAGFFCCGGGGGGGGATGADLTGGMDGASAEQISFETKLLFKPKRREALRSTGEAEFEAGRRKGMVRRRMRVRALTGEDAAGEDGGAGGSRVAGKREVETSALVDAGREEESGGRGEGGELVGGEESEAYSGGLFGGMGQL >KN538798.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538798.1:98965:99505:1 gene:KN538798.1_FG016 transcript:KN538798.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIGQSRQTFGTFEFFRFQNRIDYCENRLEDAPPSNSNLTGDQLLGGLLSAAFSPQSCRSRYEFAGYHKRKPAHKPSPYLVAKLRSHEAFQVRCGPGTAPYEKALRQLKSGDGAAAADGDDDDCRYVVSIG >KN538798.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538798.1:20835:27749:1 gene:KN538798.1_FG017 transcript:KN538798.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIRVTMEVGADGVAVVTICNPPVNALHPIIIQGLKEKYAEAMDRDDVKAIVLTGAGGKFCGGFDINVFTEVHKTGNVSLMPDVSVELVSNLMEAGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLEAKVFKELVLSPTSKALVHAFFAQRLTTKVPGVTDVQLKPRKIRKVAVIGGGLMGSGIATALLVSNTSVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDLEKVCPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKMIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSIGIDVFRIDRVISSFGMPMGPFQLQDLAGYGVALAVKDIYAAAFGTRNLDSNLVDLMVQNGRQGKSNGKGYYLYEKGGKPKPDPSVQVVIDEYRRCAKTMPGGKPVTLSDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKFR >KN538798.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538798.1:56613:57411:1 gene:KN538798.1_FG018 transcript:KN538798.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVMDMSRQVKEVKGYNMFLLPMEEEDQTGGMVVLLEKISSSLMENMCTGMTQTCLQEKVTGYVRIPLAEILILLGGLTVTTATRNAMHLPCTSPATVLTDASSTLHHKGHRVGLLVHRVAVICQGRNKGIDHRRVVGVWSDRMIIGTILRSCPKIVQDEWQTLCTGTGSTSGMNFHTDSVGSLTGMAIITGSIHAMDHILTEENHGWGLPGVIGDKLYGTEVIHPCVTSP >KN538798.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538798.1:78187:78865:1 gene:KN538798.1_FG019 transcript:KN538798.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPQDALP >KN538798.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538798.1:9973:17629:1 gene:KN538798.1_FG020 transcript:KN538798.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSGSAERRPPDTKGNLRNPPEAQQILKVSSMSTLVTCSLPGAVTTHASTRRFGGSQFQTSQASCISFKREVSAKAVLRVGNKLMEQRDVGGQGIRASAAWPRPPPLSGLTMRVSVSSVAGLRALAVDAYNQAEDRTARWPQMLTQSVRCNATQTQSAQRKSSTATVKRSDPKGKTQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLLDYLKEFEKNLIARRQRAGYDANNDMFQQ >KN538798.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538798.1:106446:113576:-1 gene:KN538798.1_FG021 transcript:KN538798.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAASGDEWSETAMLVIDMQKDFVDPATSSAALLAGEAILPTVTEAVAVARQRGIFIVWVVREHDPSGRDVELFRRHFYSSGKGLGVEGSKGAELADGLTIKDGDYKLVKTRFSAFFATHLDSVLKTSGIKNLVIVGVQTPNCIRQTVFDAVALDYDKVAVIIDAAAAAKPEIHLYHLLPRKDLAFLRRLGHRDNHLVIHVDGAKELHFGVDILYHVSCSMAFFNVPFFLEIV >KN538798.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538798.1:66280:70668:1 gene:KN538798.1_FG024 transcript:KN538798.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSWSCWFLGVKQISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLPSIAHQPKGYHFSYTSLELFHFDSPDFTLSVVVHP >KN538798.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538798.1:29556:31427:-1 gene:KN538798.1_FG025 transcript:KN538798.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFMRRLPRCAFGKLQVLQLSAVNMSGHLPKWIGEMSELTILDLSFNKLSGEIPLGIGSLSNLTRLFLHNNLLNGSLSEEHFADLVSLEWIDLSPNNLSMEIKPSWKPPCKLVYAYFPDVQMGPHFPAWIKHQPSIKYLDISNAGIVDELPPWFWKSYSDAVYLNISVNQISGVLPPSLKFMRSALAIYLGSNNLTGSVPLLPEKLLVLDLSRNSLSGPFPQEFGAPELVELDVSSNMISGIVPETLCQFPNLLHLDLSNNNLTGHLPRCRNISSDGLGLITLILYRNNFTGEFPVFLKHCKSMTFLDLAQNMFSGIVPEWIGRKLPSLTHLRMKSNRFSGSIPTQLTELPDLQFLDLADNRLSGSMPPSLANMTGMTQDHLPLVLNPLTGYGASGNDRIVDSLPMVTKGQDRSYTSGVIYMVSLDLSDNVLDGSIPDELSSLTGLVNLNLSMNRLTGTIPRKIGALQKLESLDLSINALSGEIPSSLSDLTSLSHLNLSYNNLSGRIPSGNQLQALANPAYIYIGNAGLCGPPLQKNCSSEKNRTSQPDLHEGKGLSDTMSFYLGLALGFVAGLWMVFCSLLFVKTWRIAYFQAVNKAYDTLYVFIGVRWAKFREDKTATS >KN538798.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538798.1:2234:5287:-1 gene:KN538798.1_FG026 transcript:KN538798.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHHYSVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLHSSSTQGVGNLEGDDVQSEGHSEDQEGNKTDLFASDDGTEKPSRIPVSDELREYARTRGFEIFEKMRSEEEKVPMNEAALTAVARMAMSMGNGDMAFDVVKQMKDQGIAPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGVRPEEPELETLLKASVAAQQGDKVYYLLHKFRTTVRQASSTTAKLLEDWFQSPTASKVGKRKWDAGAITKAIENNGGGWHGLGWLGRGKWTISHSHIDRNGACLACGEKLTIIDLDPKETEDFATLVAKLAIKRERRSNFENFQINIVADVIRQRFQSRKLPLIVVHNRHLTGERMQKPSNRKLVEKWKQSNAIYATPTGSNDDWYWLYAAIRCKCLMVTNDEMRDHTFQLLERDFFPKWKERHQVRFNFEDSCVTLQMPPPCSVVIQESENGQWHIPVVSEEGSLEKDRTWLCVTRRN >KN538798.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538798.1:93276:94374:1 gene:KN538798.1_FG027 transcript:KN538798.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDGDGASSSPASTTDEVAVAAVPWWRRLPLLSGCGTGRKAVRAACVAAAVLIATVVLSYYARAGDYDEMPSSLFTTTTATGGLFGLDIF >KN538798.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538798.1:124197:135388:-1 gene:KN538798.1_FG028 transcript:KN538798.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding METILRYHYDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFQDGMSDLDRVIHGSRFTGVGAQILGADDKLMKRSLSQSFILNHHLNLQRKRLLSTKQLVLFFLFIWNFSSASRSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRKWYWVQCNVFSGASGEVALTAAGGLRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKLSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLRLQMWEKRNDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNEIVNNVVGTQVDVESLFPIPFLKPPGMDAVIQENKSFWSELAGNIIVVSTLHMVNLSEFLLHYLKKRWLSPTPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQVVREHDPSGADVEIFRRRYYSGGKGPTVKGLKGADLADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKNLVIVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLCE >KN538798.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538798.1:44501:47283:-1 gene:KN538798.1_FG029 transcript:KN538798.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MKNASDRNHIQEAANFALNGATGSLGYKSSPVRRKKYQENSLGEQILSEAQFQPTDHADVSQLASSCTGFGGDIASNYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINEILL >AMDW01040574.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040574.1:38:1375:-1 gene:AMDW01040574.1_FG001 transcript:AMDW01040574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CPLLNITYCPPSETDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSEGREVESQLLPIANASLHTREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHPSQGSENSSMQIGQGQLKLQYNAAGALSLYSNSKTQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQ >KN541304.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541304.1:6169:10325:-1 gene:KN541304.1_FG001 transcript:KN541304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQVHRGREGAAAESHGEDLLSVLPDEILLHIRSMLPAEAVARTRFLSRRWAKLPLHSTPPASSLLQQAWRGGGGARGGGVLDLEEGWRDVLAGVAKLKSIRTDSDFGGFPPDEYMHIYTLVYYMCTQKGHKDYPKELYHLCKQALDDHLDSIVLPSLNEKHGNFLLAEMLQSWEKHKLMVRWLRRFFDYLDRVYITWKSLHSLEHMGWIGFRDMVFDKLKSTLTTTVIGMINDERNGLLIDRALLKNVIHMCNKFGDSQLNSYPEYILKAEECLQKEREQVYSHSTTEPKDTSDKGMALLKNGTDTAKSRKDKKNEVMVGFPINLISGSRVVDEILGRVPVKAPFTDSTFEFGNSSCNPPDSFGYIPTTSKSFAERLMAPENEDLVVMLSLDLAEELETIDEVYQQISRKGERGSSKLWKFIDHGVKKCTQIRRSITLLGGSPQEIPNYLIR >KN541304.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541304.1:27944:28761:-1 gene:KN541304.1_FG002 transcript:KN541304.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGMTTPLEESTFTQRFRTDEVHAIWREKEMPVLTNIRAHAMAEVSKDEASVPTPIAVDS >KN541304.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541304.1:21230:24099:-1 gene:KN541304.1_FG003 transcript:KN541304.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMPAGVRFDPTDQELIEHLEAKVKDGGSTSHPLIDEFIHTIQGEDGICYTHPENLPGVTRDGLSKHFFHRSAKAYPTGTRKRRKVLADQQPDDQLPQASKGRNVAAAETRWHKTGKTRAITVRGQPKGCKKILVLYTSFGKKRKAEKTSWVMHQYHLGELDDEKEGELILSKVFYQTQTRSAAAAEAPDVVAQVKVDRGHHCMPAQRQVNFNLKVTPVPTTSSLPVVVDKQLYSPVALFRSEHLHVGKNFNSSAPKGRLASPALAS >KN541304.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541304.1:13991:14421:-1 gene:KN541304.1_FG004 transcript:KN541304.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGATVEVRLASSAFIVLSSAAPDRTAQSLTTHRRKGGGGGDPVKEEGGEEDDGKEGGGAPHLLDLFELGGNQRLRARVWKRITVAALLWKGGVVGRGRRSGRRPMGKRKVRIGE >AMDW01027923.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027923.1:38:343:1 gene:AMDW01027923.1_FG001 transcript:AMDW01027923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPNGTGLRRVVHSGDGGRTNHPWFSPDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVDIDGSNIRRLTHNSFEDGTPSWTPYFLEPRDVGETLQASG >KN540457.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540457.1:36657:38993:-1 gene:KN540457.1_FG001 transcript:KN540457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGKPDPAPPPAPPSAQKGVFMRRIFPFILAANIFIGVYVFAKTYKRDQEKKNAQTAAAAAAVVALSSPAAPAAETVDPTPPTPPPKRVLPPIPEDEQRQVYKWMLEEKRKIKPRNAAEKNKINEEKALLKEFIRAESLPRL >KN540457.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540457.1:1588:8883:-1 gene:KN540457.1_FG002 transcript:KN540457.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLPELFTLLQDNEMWPDLIEKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVNLRIGPYVCAEWNFGFPALAAEMQKFTTKIVEMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAVALNTSVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAQFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKQLHKAIKLCEPALVAGDPIVTSLGNAQKSSVFRSSTGACAAFLENKDKVSYARVAFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGFAWQSYNEEINSFGEDPFTTVGLLEQINVTRDNTDYLWYTTYVDVAQDEQFLSNGENLKLTVMSAGHALHIFINGQLKGTVYGSVDDPKLTYTGNVKLWAGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGESMSLHSLSGSSTVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGNCGTCDYRGEYDETKCQTNCGDSSQRWYHVPRSWLSPTGNLLVIFEEWGGDPTGISMVKRSIGSVCADVSEWQPSMKNWHSKDYEKAKVHLQCDNGQKITEIKFASFGTPQGSCGSYTEGGCHAHKSYDIFWKNCVGQERCGVSVVPEIFGGDPCPGTMKRAVVEAICG >KN540621.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540621.1:3052:7132:1 gene:KN540621.1_FG001 transcript:KN540621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKTFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVQQPTRPSAQSSKTEDDELAALQAEMAM >KN540457.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540457.1:25759:28084:1 gene:KN540457.1_FG003 transcript:KN540457.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTKKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDVTAIVGHSKGGDVVTLYASIYDDVRLVINVSGRFDLEKGIEERIGEGSIDRINKEGYLDVKDKSGNVQYRVTKESLMERLNTNIRAVSMSITKECRFFTVHGSADETIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHREELADAVVDFITSN >KN540457.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540457.1:19996:22128:-1 gene:KN540457.1_FG004 transcript:KN540457.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding METVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRIIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVYAFI >KN540457.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540457.1:44688:47162:-1 gene:KN540457.1_FG005 transcript:KN540457.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTAAASRHRRSAASSYLAAVAKKWNHQQRGRVGIGCTSLTFRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILSESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >KN540621.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540621.1:30550:45367:1 gene:KN540621.1_FG002 transcript:KN540621.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSMSTCLELLVVFVFIVAPALAATKPSYIVYLGGRHSHGDDGGVILPEEAHRTAAESHYDLLGSVLGEGRRMHTTRSWQFLGLERPDGSVPPWSPWEAARYGQNTIIGNLDSGVWPESLSFNDRELGPIPNYWKGACRNEHDKTFKCNSKLIGARYFNNGYAKLHQEYSNSYQISRSLTGIETPSGDLSSSSPSAGASVRLLISKLLGIFQALLRFAAGEKKKKKKRQCFHADKMRKLKELLHKSENRICADCSSPDPKWASANIGVFICVKCSGAHRSLGTHISKVLSVTLDEWTDDEINSMLEVGGNSYANAIYEAFLPGGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRIVSNKSSLQAMDSRKDIGNASNSYSFKSEAGMVEFIGIIKVKVIRGTKLAVRDILSSDPYVVLTLGQQKAKTKVIKSNLNPVWNEVLTLSVPQKYGPLKLQVYDHDVLSRDDIMGEAEVDLQPMITAAMAFGDPGLLSDMQIGRWLMSRDNALARDSAVSVVGGRVKQEVSLRLQNVECGEKRAPFGEMLVTFMFTSAIFERLEESLSYSLCSSLDFVCLGGPYSPQILLSIDGVQRSIALKWQRGCQMYEPKPFSSIVLAHNNPVSHNQQIERISNNVVSNRGGNSSNSNFATRQRLRWTDDLHDHFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGESGKLSALGPAPGEPYQDSNKTDPSTPVPTSESPIRDKAGSGLFKTISSHDDCREPLTPDSSCRAGSPLESPRASKRIRVSSGIDHHGNDEFPLLSKFRSQVQLILVQSERCSVHITLQFMEVWKYSKDIGKYNH >KN540457.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540457.1:17574:18834:1 gene:KN540457.1_FG006 transcript:KN540457.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSQINHRNVVRLQGCCLEVEVPMLVYEFIPNGTLFELIHGKYSRITSISLDARLRIAQESAEAPAYLHSSASPPIVHGDVKSPNILLGDKYIAKVTDFGASRMLPKDEIQFMKMNILGVGTELFQDVAQLAKCCLSTKGGERTLTTEVAERLKAIRSTWREQLIESANGETYVRTKICHGMICPLFVLPDGLSYFHHGRSSEKDIVGTSILEVDDFSDAEEDWKDAGRSRWRVGLLETMKSSWKTTTFCLFVTCSLLKLG >KN540621.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540621.1:15225:18494:-1 gene:KN540621.1_FG003 transcript:KN540621.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDSKPSYSYSSSYDYGNSSSGYNSRYPAYPANASSSQNTRYAPSMENYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPMIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRIQPRIPLPPPMRNAYSRSTSFDQHSGVYSRSSSFGPQTSGFQQSESFKQRQPVATTAPDTYTSESSLEGRLVSIFVYIHTMLNR >KN540457.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540457.1:33143:35843:1 gene:KN540457.1_FG007 transcript:KN540457.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSSSSQGSSVPSAQEERVVVTNKHGEKLVGLLQHMGSNKIVVICHGFTASKNDSIIVDLANALTKQGVGIFRFDFSGNGESEGEFQYGNYRKEADDLHSVISHLNQEKYDVKAIVGHSKGGDVVVLYASIYDDVRTVANLSGRFHLEKGIEERLGKEFMNIIDKEGYIDVKTNSGKEERFYIRFFTVHGSADEIIPVEDAYEFAKHIPNHKLHVIEGANHCYTAHRKELSDAVVDFITSSEDGDNSSA >KN540621.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540621.1:12649:14049:1 gene:KN540621.1_FG004 transcript:KN540621.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVEPNRQALRELLFTTPGAFQYLSGVILFEETLYQSTAAGTPFVDVLKAGGVVPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIGEYTVAALRRTVPPAVPGIVFLSGGQSEEEASQNLNAMNKLEVLKPWTLTFSFGRALQQSTIKKWGGKKENVAAAQAAFLARCKANSEATLGNLFAMQTNINTSDK >KN540621.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540621.1:45607:47136:-1 gene:KN540621.1_FG005 transcript:KN540621.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML21 [Source:Projected from Arabidopsis thaliana (AT4G26470) UniProtKB/Swiss-Prot;Acc:Q52K82] MGGILGRHDTMKRSSHGSKLETKMVESMQQRASHGTSLKSFDSIIMKFPKIDESLRKCKTIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEACDINEDMGMKFNEFIVFLCLIYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKEEMVQSMNETATGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDDDE >AMDW01012339.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012339.1:110:212:-1 gene:AMDW01012339.1_FG001 transcript:AMDW01012339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKT >AMDW01021892.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021892.1:1:280:-1 gene:AMDW01021892.1_FG001 transcript:AMDW01021892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNA >KN542356.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542356.1:983:3588:-1 gene:KN542356.1_FG001 transcript:KN542356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALLRRARALPRLPQRAAARSFSAPNAGHFSASNTNHAIQRCREALLEELIWNEVYAKHNEARVRHLINSLVRSLGDVPKQKGFTKTFSQEFGMVVKELEKDMNMSFKSFKVPLRRLILRTLDKYQQQGSDALLKNSLESKVHSSHWGDAHANPNFWTKAFGLSLLLSFFSFEVGQQYETLNGGGELPK >KN542356.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542356.1:12365:13507:-1 gene:KN542356.1_FG002 transcript:KN542356.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNMEKNKGAKGSQLEANKKAMNIQCKICMQTFICTTSETKCKEHAEAKHPKSDLTACFPHLKK >KN542356.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542356.1:6130:11197:1 gene:KN542356.1_FG003 transcript:KN542356.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGVQQYITLSSVCYGTSVYCLFFIFLRNDRKIMFCKSGQTRSWDYEALTIRWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKLKLSMFDVRNCFICCYDAISSDSNQEQQLHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRAQ >AMDW01030636.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030636.1:39:400:1 gene:AMDW01030636.1_FG001 transcript:AMDW01030636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLT >KN544356.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544356.1:89:2294:1 gene:KN544356.1_FG001 transcript:KN544356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRRAQIESLRPLSNSDLPLMDLSSIYDATNQFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKEEKDYSGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNNQQTLIQDAWKLWNEDKAAEFMDASLAGDYSKEEAWRCFHVGLLEPAMGPLIDMDPSERNRGLKNMDSKGAIRCRPGLLFLPRSKKSRKHPSTRAH >KN541847.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541847.1:2723:7522:1 gene:KN541847.1_FG001 transcript:KN541847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFAWMVATAAAAAAASWAFIAVVVKLVWRPRAITRRLRAQGVGGPGYRFFSGNLGEIKRLRDEGAGVVLDVSSHDFVPIVQPHFRKWVSLYGKTFLFWFGTQPNICLADMNMVRQVLSDRTGMFPKDLTNPYFAHLLGKGLVLIDGDEWKRHYNVVHPAFDMDKLKMMTVTISDCTRSMMSEWESELGMKGGSAEIELSQRFQELTADVISRTAFGSSYREGKQVFLAQRKLQFLAFSMFLTIQIPGFRYLPTKKNLKIWSLDKKVRSMLMNIIKSRLANKDTMGYGNDLLGLMLEACAPEHGESQQLSMDEIIAECKTFFFGGHDTTSHLLTWTMFLLSTHPEWMGKLKKEVTTMCGDEVPTGDMLNKLKLLNMFLLETLRLYGPVSLISRRTGTNAKFGGIKVPEGTILRIPIATIHRDKEVWGEDANEFKPERFENGVSKAAKHPNALLSFSNGPRSCIGQNFAMIEAKAVITMILQRFSFTLSPKYVHTPISVITLRPKYGLPMIVRSLKVFCQMECPKKRRTPNALVSFSSGPRSCIGQNFAMLEAKAVIAMILQMFSFTLSPKYVHAPTSLITLWPKYGLPKILRSLKM >KN541847.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541847.1:17678:19364:1 gene:KN541847.1_FG002 transcript:KN541847.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGRLRAQGVGGPGYRFFSGNLGEIKRLRAEGAGVVLDVSSHDFVPIVQPHFRKWIPLYGKTFMYWFGARPTICLADMSMVRQVLSDRTGMYPKNVSNPYFARLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTVTMSDCAQSMISEWESELGTKGDIVEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLSIQIPGSSYLPTKKNLKTWSVDKKVRSMLTDIIKSRLNNKDVAGYGNDLLGLMLEACAPEHGESQPQLSMDEIIAECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQEKLREEVATECDGKVPTGDMLNKLKLVNMFLLETLRLYGPVAFIQRRVNAELELGGITVPKGIVLSIPIATIHRDKEVWGEDADIFKPERFENGVSKAGKYPNALLSFSSGPRACIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKV >KN541847.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541847.1:10017:11839:1 gene:KN541847.1_FG003 transcript:KN541847.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAAVVASWAFDAVVKLVWRPRAITRRLRAQGVAGPGYSFFSGNLGEIKRLRAEGAGVVLDVSSHDFVPIVQPHIRKWIPLYGKTFLYWFGTRPNICLADMNMVRQVLSDRTGMFPKYIDNLQFPRLLGKGLVLTDGDEWKRHYKVVHPAFDMDKLKMMTETISDCARSMMFEWELELGMKGGSTEIELSRWFEELTADVISRTAFGSSYREGKQVFLAQRKLQFLAFSAFLTIQIPGFSYLPTKKNLKTWSLDKKVRSMLMNIIKSRLTNKETMGYGNDLLGLMLEACMPEHGGSQPQLSMDDIIAECKTFFFAGHDTTSQLLTWTMFLLSTHQHWMEKLRKEVRMVCNDEVPTRDILNKLKLVNMFLLETLRLYGPVSLVTRRAGTDVKLGSIKVPKGTILTIAIATIHRDKEVWGEDADEFKPERFENGVLKAAKHPNALLSFSIGPRSCIGQNFAMIEAKTVIAMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKNWDVNFSSLLVDWI >KN539553.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539553.1:46566:52204:1 gene:KN539553.1_FG001 transcript:KN539553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLDLGRRKALDLDDVPTLDDNDSVQGILPNFEAKLILVSGSGKYTDVTTIKLVKALVLTTWKLIMFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPRSAKEGYILVLSFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAIIYQKGLSLSNQSRESISSGEIINAVSVDAIILAMLILYSTLGLAAFAALAATVLTMLANLPMGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTSAMLISVFFGAPAFVATVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGTTDVSIEVRNGQFSWNTSSEVPTLRNLNFHIRQGMRVAICGTVGSGKSSLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKMHRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSKLFRQDKQKDENEGAEEGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMASMSFFDSTPSGRILNRASSDQSTVDTSIFDLMGYVLFPVMELLGTIILMSRVAWPVFVIFVPIIAASLWYQQYYIDGARELQRLTGVCRAPLMQHFAESVAGSNIIRCFGKERQFINYVSHFMDNLSGPSLYNAASMEWLCFRLDILSSFIFAFALVLLVTLPAALIDPSLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALNSCHLGDEVRKNELKLDSAVTENGNNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFFECTVITIAHRIASVLDSEKVILLDNGKIAEDDSPAKLLVDNLSLFSKLVSEYTKGSEY >KN539553.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539553.1:3844:5115:-1 gene:KN539553.1_FG002 transcript:KN539553.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFTRSASRRHGKAVEEERGVEGVDGAVVHDGAAEEEEEGVVRGGGVEAVGGLIEEENLRVPEEGKPDGHAPPLTAHGSGPFRGASAGPGHGEKLSVCCQESPRSGDWKRIQETTQTRSGDSGDGRKI >KN539553.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539553.1:67788:71125:-1 gene:KN539553.1_FG003 transcript:KN539553.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATSAAGALASWKETKKVAAPMGDRSVAGRESSRAILRKVGGGDGEGEEEEGGGAPESEPEPEPVKKESAKKTKAEAKKKRAAPEPAPSGKAKKAKPEKSSAAAAPEPAPSSGKSKKAAKAEAAKSAAAEPAPSTGKVSKSKLVPEPSPSSKSGKALSRWTTDDEVKILEVLEAHFKSHGTQLNVEGIIAAVGDSLERKSIKYSDMYEKVRRLKQRYEATAKKVEHGGDLPAKEDDLRMYQLSSEIWGKNAKDAGNLSKNKKGQAKKDKVSGDSKEAAKEDKVDEAATAVNEKGGTLAENKKGKTNKQKTGMETKVGSSKEAALAASPTKGKKKGSHKDKLDEEAKSGTAKVTSTIATDDDDDDDGTLGGSKREKAGKEELDGDTHIVMPKEATTTAARDDGTLVGSKKGKADNGKLDGDTHSVMPKEATAGTQNGGILTGGENHKEKVDKDANVPSIRREYAELQSLYPNLASFVNGIEAQHPCGSTFKRAFEFISDDKACTLESKIKKQKIAEVRMQLRLADTKKEVANALLGLLD >KN539553.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539553.1:84367:84819:1 gene:KN539553.1_FG004 transcript:KN539553.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFINLPDGVRHEEDGDLNLFRSNDAGVNLIHVNGFQARLWRRGGDDDDGDGMTAGNWVLVDDIGVRRGFDHLAKVGGEMDIGGPVGVIKVGDDCEFMFLCVDGDVLYMDIRRRMVKKIFEASPKRGGVLPVIHPLTMVWPPIFPVLE >KN539553.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539553.1:2057:3033:-1 gene:KN539553.1_FG005 transcript:KN539553.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARPPELDPVVLRRGDFSLAYEGHTTSIFQCRNGSILLFKERHDRRELKHAVHRPLQHQDGGSQLYRLSVMFTPRGVTSTWFYAFRDGGWHVQTKATAQLPGLPPESAGFVVVRDKAYLAATASSVLVLDLKSSSLYTIQLPDGVEFPPVMMAYNDRRHDVLFGRASDDSGVYIADLKEPQLRIWLLKHGSTGWTLVDTICLREMCANLHINCVGGDRRVVYMDYVGDDAEFLFLKTDECALYLDVKSRQLHKVYEVTEKEEILFSIMPFMMIWPPIFPVRKEIS >KN539553.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539553.1:77806:82423:1 gene:KN539553.1_FG006 transcript:KN539553.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKDGTGTHRTISDAVKAAPERSGRRTVIHVKAGRYDENVKVGRKKTNLVFVGDGKGVTVVSAGRSVADNFTTFHTATFAASGSGFMMRDMTVENWAGPERHQAVALRVSADRAAVYRCSIIGYQDTLYAHSNRHFYRDCDVYGTVDFVFGNAAAVLQRCNLCDDPSYTFVEFLRKDQEMLAKAVSVRAGIPLDLNSVRESAAMEIQESWERNIRRDVLVNGNLYLLGGKGHVLGLNLASMRLFLFRLPDGVQQLHRMGNIELLNAGDSGLYLIHLKGFQIHVWFHASDDSDIGGGDWEMVDNICLRESFGQVAEPNWESGDALVALHRVEDNAEVFLRVDRVIFHIHIMNRTVNKVFEMSPEAYRYFDIFPFMMLWPPTFPQLRNDHDQDE >KN539553.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539553.1:276:700:1 gene:KN539553.1_FG007 transcript:KN539553.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARGGAAGVSCPDRGRKLVINTLGVRVQITRPLLVCTKLLFVQEMDMGNTLACSLDELSRRSSSREINDIYYIVYMTKARALLRKVEIYFGR >KN539553.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539553.1:14455:20079:1 gene:KN539553.1_FG008 transcript:KN539553.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLDLGRRKALDLDDVPTLDDNDSVQGILPNFEAKLVSVSGSGKYTDVTTIKLVKALVLTTWKLIMFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPRSAKEGYILVLSFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAIIYQKGLSLSNQSRESISSGEIINAVSVDAIILAMLILYSTLGLAAFAALAATVLTMLANLPMGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTSAMLISVFFGAPAFVATVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGTTDVSIEVRNGQFSWNTSSEVPTLRNLNFRIRQGMRVAICGTVGSGKSCLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKMHRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSKLFRQDKQKDENEGAEEGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMASMSFFDSTPSGRILNRASSDQSTVDTSIFDLMGYVLFPVMELLGTIILMSRVAWPVFVIFVPIIAASLWYQQYYIDGARELQRLTGVCRAPVMQHFAESVAGSNIIRCFGKERQFINSVSHFMDNLSRPSLYNAASMEWLCFRLDILSSFIFAFALVLLVTLPAALIDPSLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALDSCHLGDEVRKNELKLDSAVTENGNNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFSECTVITIAHRITSVLDSEKVILLDNGKIAEDDSPAKLLVDNLSLFSKLVSEYTKGSEY >KN539553.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539553.1:88140:88921:-1 gene:KN539553.1_FG009 transcript:KN539553.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDTLLGRATDVCILLPEDGGDGQSYTVVTLVRSKRVDWRVWWEFFAKVSVLRAGAWDDDVRATAPVELPARQAIRPGHAVPRHSCARPGIHALAASFINLPDGVRHEEDGDLDLFRSNDAGVNLIHVNGFQARLWRRGGDDDDGDGMTAGNWVLVDDIGVRRGFDHLAKVGGEMDIGGPVGVIKVGDDCEFMFLCVDGDVLYMDIRRRMVKKIFEASPKRGGVLPVIHPLTMVWPPIFPVLE >KN539213.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539213.1:93475:94658:-1 gene:KN539213.1_FG001 transcript:KN539213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTWHCWLLLVFFLLSDDASGQLSTSYYADSCPSVEKLGGPSWAVPLGRCDSTTASRSEANSDLPGPGSNLTMLIARFGNKGLSPRDMTALSGSHTVGFSQCTNFRAHIYNDANIDPSFAALRRRACPAAAPNGDTNLAPLDVQTQNAFDNAYYGNLLVRRGLLHSDQVLFNGGSQDALVRQYAANPALFAADFAKAMVKMGNIGQPSDGEVRCDCRVVNDS >KN539553.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539553.1:30803:36424:1 gene:KN539553.1_FG010 transcript:KN539553.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGNECAAASASLACLLLAAALSAAGCCRAHAAATAPRSLLARFPTTTTTTSELEKEAAGRVFSSVSKKKSKNTLKGYFKDGDDGPFADAAYPRMWVSPPGDDASPTLPTNGEGQFADAAYPAKWKPDEDPSTPSLVVAHHLPNGNAPFIDAAYPVKWSPRADGPPKQPAIFPASPNGQKAEFIDTAYPVKWSPRADGPPKQPAIFPDSPNGQKAEFIDTAYPVKWSPRADGPPKQPAIFPASPNGEKAESTNAAYPVKWSPRSVAPPKAPGIFAQHSNDNKAQFTDVAYPVDWSPRSVAPPKPPAALSSLAHPAGIHIQRGMLFLMKKLHPGAVLPEGTKLALAQDDDHGVAAAAPRFSFALLAANLGSTNVVQPSTDFEHPISHQGDMF >KN539213.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539213.1:10179:11471:-1 gene:KN539213.1_FG002 transcript:KN539213.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYLDRSNPVFWQLLEEVRRYRRADGFLVNSFAEMESTIVEEFKTAAEQGAFPPVYPVGPFVRSSSDEAGESACLEWLDRQPAGSVVFVSFGSAGVLSVEQTAELAAGLEMSGHRFLWVVRMPSTGRLPYSMGAGNGNPMDFLPEGFVERTSGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSALESVSAGVPMVAWPLYAEQKVNAVILTEVAGVALRAAAARGGDGVVTREEVAAARKQT >KN539213.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539213.1:69213:72044:1 gene:KN539213.1_FG003 transcript:KN539213.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNSMAGKFPDDLPPLDGVEFLNISDNNFSGVVNSTWVTKFGRSAFLRAGNATSLVIEDNPPASAPAPAPATMTPSSGGKKHKRVVLIVVVVVCGVVAVSAAVAFMAGCVACGFRFNQRKKRGKKAAAAAWEDDEVAVGAVKVAATAPVEKLLLYEYMEKGNLYRWLHELPASSMDMEETGADMWDTTGQDKKSIDDWPTRYRIILGIARGLAFLHQGWAGSSGRPIVHGNLVPTNILLDDDLEPRISDYIHPVDSNNGEVTPESDVYSFGVLVFELVTGQVRWDDSTVSWARGVIRNRKSLNIVDARLREEEEEGGTGGAAKTAMTVAEQEMVECLQVGFLCTAHSPEKRPSMQQLSLSVQQITQQHGFPALRSWV >KN539213.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539213.1:52860:55066:-1 gene:KN539213.1_FG004 transcript:KN539213.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRQIRGAIASGEQEAEKDMDRCKNKLSISLSLSPPAASAAAAAANFSSMDLSMEQQKQKQKQEKTTIGSSEEEAGDLGQSTLDLTMSIRALE >KN539213.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539213.1:103889:104860:-1 gene:KN539213.1_FG005 transcript:KN539213.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSWHCCLLAFFLLLSSAACGQLSTTFYAASCPTLQLVVRATVLSALLAERRMGASLVRLFFHDCFLFNGGSQDALVQQYSSNPALFAADFAAAMIKMGNIKPLTGAAGQIRRSCRAVNSC >KN539213.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539213.1:37579:45675:-1 gene:KN539213.1_FG006 transcript:KN539213.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVRTDRNFYLLVYSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLCSDGSGLRGPAEFLTADLMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGKYMGSLEKFSVPPHLSLVTEYMEMGSLYYLIHASGQKGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYNVANEGARLEIPDGPLGSLIAGMI >KN539213.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539213.1:83811:84071:-1 gene:KN539213.1_FG007 transcript:KN539213.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTTTRFCLLLALVLPMISSAAAGDDALPLPMTPSYYRKSCPTLEAIVRGTMLSAIKAERRMGASILRLFFHDCFVQVDDPS >KN542496.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542496.1:1528:3420:-1 gene:KN542496.1_FG001 transcript:KN542496.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGPAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFAGDEAEATISCDENGVDEGFPYARPPVCELTGDIRISPKEKTMFFVNPSSAGAFDGNGEKKIRPYARKDDFLLPGVVEVIIKSVSSPAIAPACTRTHNVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTSHLAGEVQFLITNFKPWWVHKFTPLLKKLSNYGVINFDKDDEVHCFRRGHLGLYRDRDLIISPHPTRNPRNYSMVDYNRFLRRAFGLPRDSPAVLGDKTGAKPKMLMIERKGTRKLLNLRDVAALCEDLGFAVTVAEAGADVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMAIHAQGWPALAEIVMKQDVMVNVTRFKPFLLKALDELQE >KN539521.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539521.1:65000:72957:1 gene:KN539521.1_FG001 transcript:KN539521.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIEPSLLRSAAQALRRRDYSAAAAAERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGITILPLFSQATPTSNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGQLSEFEKEGLENLKGELKSSIEKGIKFAHAN >KN539521.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539521.1:48631:50268:1 gene:KN539521.1_FG002 transcript:KN539521.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLERVEHGGVVEEGVSEPLEQRRLVVIRLLLDHREHPGVHGRDPVEHAVDGRRERRRGGGGVLVDQEAVELEHGVDGDGLAGSDLEHPRAEPVELVRGDPAEGEGDAARSRELGEAEAHVAELVAVAGGVGTDPDIENEGDMRAIPHKPCNYESV >KN539521.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539521.1:10443:11648:1 gene:KN539521.1_FG003 transcript:KN539521.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPAPPWAELPDAVLLGIVRRIPCAFDRAHVGEICRSWRRTLLQIPPPRPLPGILLLTPHGPTLSFVVAGDAWCTHPAFVPEAFRRARYFGSYDDSWLFLAVGQDNGHALFNLTDSQEEELPNWGTFQLHDRELGAEILLVAATLSSSPNVHGSVAGGILTADLPPANSMEHIAFWRTGSDVMSKAIKASGVGPLEDVTYHDEAFHFLTLDDIIVVCRASMAEPGPPGKIVVVDEVHVSIELGNIAPRDELGYRDLRIVASYLVESRNDLLMVEKLAPNLLSPASAFRVFQMIKERLHDGQVRYSWEELTTKLDGRMLFVGQGCSRSYEAANYPGLDAGVYFLDDRSTRHDPKIPFQEARARRYLCSDNGKWSGTPPQIKLCVPDPGPSNHSPPVWFFP >KN539521.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539521.1:100189:100441:1 gene:KN539521.1_FG004 transcript:KN539521.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDFDTSVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQ >KN539521.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539521.1:1740:2552:-1 gene:KN539521.1_FG005 transcript:KN539521.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPRTLYDVCAESVDAGEGSVPAGGGESTRGEEEVAGAVVGESLAVASGGVGGGGEVISVAGLGVAVDFGEGVGVVGGGGAATVDVLGLGSGCGGGGAVGGAAPKSNLCSAAFVHAAKRALLCIRTSTTTASVRRWRPSCSSFFVGGGGGHSGVIVAVTVGGGIMVTGGGGGTVGAASSKSNLCSAALVHAAKRALLSLFTSTASVRRCRPSPSSSFVGRGGGVNTMGGHSKVAEVGGGVGVGEVGVGVAVAVAVLLLLVAGDGGGG >KN539521.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539521.1:93537:93890:-1 gene:KN539521.1_FG006 transcript:KN539521.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGVVQALLEVSLLGSPLAQRRAAKILQWFKEEGQNRIRAHSGPRMEGASSASCDDGGEGAKDRRNAVDRIVKQSLDRNMNSILRRATASVDLTGVKLLVGSSSSKSLPCETLHP >KN539521.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539521.1:80054:83507:1 gene:KN539521.1_FG007 transcript:KN539521.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVAVATDEGVAALGRRDIVVAWRGTVESLEWVNDFDFTPVPAAPVLGAAAAANPHAIVHRGFLSVYMSSNKDSKYNKASARDQVLEEVRRLMELYKDEAAFASFPDLRALHVKNAGDVVPMYPPLGYVDVAVKLPISTSRSPYLRSSGTIETLHNLECYLHGVAGEQGSAGGFKLEVDRDVALANKGVDALKDKYPVPPRWWVSKNRCMVKDADGHWALHDFEQI >KN539521.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539521.1:22676:28549:-1 gene:KN539521.1_FG008 transcript:KN539521.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPASVANLGTNGRPAASSSHLASRIRFAGLGSIRRWQYAPGRLCRCMVVTNLIDEEKGVQFSSRGSVSVKPSDDSDLLLKPPQKPIRANGPPESVNAASPSPARPTLEDRDKVRESLDEVLEKAEKLKASTSGNGIGNGDLRQNGASKPDSLATPAAEGANSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSSSTAKPSMPAPTKPVPPLLTKPSVAPPPRRPVKADTSKEKKGPILIDKFASNKPIVDPVVAAALIEPVKPVRGPPAKVKDDRRKKTSTPAGPRRRMPKNDGLVDEDTAVRKGRRWSKAKRRAARLQLEASQVEEPVRVEILEVGEEGMVIEELAYQLAIDESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEDRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVIVQVDGNPHACVFLDTPGHEAFGAMRARGARVTDICIIVVSADDGVRPQTNEAIAHAKAAGVPIVIAINKIDKEGANAERVMQELSQIGLMPEAWGGDIPMIQISALNGEGVDELLETIILVAELQELKANPHRNAKGTVIEACLDKAKGSLATLVVQNGTLNKGDIVVCGEAFGKIRAMYDDGGKLIDKAGPSNAMQVIGLNNVPLAGDEFESVDNLDVARERANARAEALRIERISSKAGEGKVTLSSIAASVSSGKQVGIDTHELNIILKVDFQGSVEAIRQAIQVLPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLELAEEEVPIGSAKVRAVFSSGSGKVAGCMINTGKVVHDCNVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASVTAALKDAGVQL >KN539521.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539521.1:13526:14002:-1 gene:KN539521.1_FG009 transcript:KN539521.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDSHEPNDCQHPVELPETTHSPPICHERRQHQGFPNAGIPQFDVVVVGSCDEVVVVGKGHHAGADVVTVRGGDVEHSHLEGHIIVEEERTLPAVKRWTLSPPTIGVLDNPCLDGVGVEHVGKSNDGYLSTQKTWMTPFFSLKARRAQSKQNDGEYR >KN539521.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539521.1:57474:59877:1 gene:KN539521.1_FG010 transcript:KN539521.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTAAKPVALLPAPICRCGGGGLRSTLLALMPPAAAAASRFRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQNLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGGLAY >KN539521.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539521.1:75308:75766:-1 gene:KN539521.1_FG011 transcript:KN539521.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAPAAGKKPKAEKRLPAGKGEKGGAGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >KN539521.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539521.1:83769:85439:-1 gene:KN539521.1_FG012 transcript:KN539521.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQWLGDDTARRWRELHGESDWDGLLDPFDLGLRRTVIRYGEMAQATYDAFNHERLSPHAGLSRFAARRFFERAQLPGHAAAYRVARFVYATSCVAVPEPLILRSASRARRCRESNWIGYVAVATDEGKAALGRRDIVVAWRGTVQSLEWIKDMDFVMVPPKGLLRDKASDAMDLLRDKASDAMVHRGWLSMYTSSDSESSHNKDSARDQVLSEVARVVSMYQDEELSITVLSEVAKLVSMYQDEELSITVTGHSLGAALATLNAFDIVANGYNRAPRAAVAALAATGCPVTAFVFANPRVGGHGFKSRFDGALALAPASSAFTTHETSSPGTEVPDGATVPRERAGVAQPQVVPARRGRSVGRRGRAVQARVNAGEQGLRRAARRARRAGRVVDPVEQGHGERRRWPLDSDGPRGR >KN539521.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539521.1:89738:93228:1 gene:KN539521.1_FG013 transcript:KN539521.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MERQMTELRKRRQRDVAAGPDDCSSSASSHNSESSNAATNSAKSAKIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKIEEEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLARVRDGAMNRYRGYQIPWEWMQDTGIVSQCNVHVCQGALIKLRSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQDLKEKASTFQSQRECQNQHLQQHKLAGRS >KN539521.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539521.1:53779:56046:-1 gene:KN539521.1_FG014 transcript:KN539521.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MRACAHADAEHPSFGLRLGNAMLSMLVRFGEIWHAWRVFAKMPERDVFSWNVMVGGYGKVGFLEEALDLYYRMLWAGMRPDVYTFPCVLRTCGGIPDWRMGREVHAHVLRFGFGDEVDVLNALVTMYAKCGDVVAARKVFDGMAVTDCISWNAMIAGHFENHECEAGLELFLTMLGNEVQPNLMTITSVTVASGMLSEVGFAKEMHGFAVKRGFAIDVAFCNSLIQMYTSLGRMGDAGKIFSRMETKDAMSWTAMISGYEKNGFPDKALEVYALMELHNVSPDDVTIASALAACACLGRLDVGIKLHELAQNKGFIRYVVVANALLEMYAKSKHIDKAIEVFKFMAEKDVVSWSSMIAGFCFNHRSFEALYYFRYMLGHVKPNSVTFIAALSACAATGALRSGKEIHAHVLRCGIGSEGYVPNALLDLYVKCGQTSYAWAQFSVHGEKDVVSWNIMLSGFVAHGHGDIALSLFNQMVEMGEHPDEVTFIALLCACSRAGMVIQGWELFHMMTEKFSIVPNLKHYACMVDLLSRVGKLTEAYNLINRMPIKPDAAVWGALLNGCRIHRHVELGELAAKVILELEPNDAAYHVLLCDLYTDAGKWAQVARVRKTMREKGLEQDNGCSWVEVKGVTHAFLTDDESHPQIKEINVVLHGIYERMKACGFAPVDSLEDKEVSEDDILCGHSERLAVAFGLINTTPGTTISVTKNRYTCQSCHMIFKAISEIVRREITVRDTKQLHCFKDGDCSCGDIGYG >KN539521.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539521.1:14498:17077:1 gene:KN539521.1_FG015 transcript:KN539521.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGELQWIVIHGLHDAVLRAAAIVGPRLVIIGDVRISDAPAVLALLDKEGVRQGWEIERRHRLARLARLDHELAIVLEAIVPMLMHTPFHIVAGRELQRYGWSIQHLAASIFGPVHALHAAVGPVGRFLRRHARHQHHGTRDAAWLAGNILEGCLRNN >KN539521.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539521.1:62607:64172:1 gene:KN539521.1_FG016 transcript:KN539521.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQQAPLLQRPAAAPPSSSRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLVAAHLSTFTLGDLADLWQNLQYNLVSVLLCSTLLVLVSTAYFLTRPRPVYLVDFACYKPDDERKCSRARFMNCTERLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMYGALDELLAKTGVNPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDADGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVTRKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKEKNFTNPWIHEIHRFPVPVPKVSAI >KN539521.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539521.1:17860:20528:-1 gene:KN539521.1_FG017 transcript:KN539521.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKRRRRPIRAQNELIRWCKRKGSPCQHGDSRAVKTMRHSTPYPCLPEDIWHHIHSLMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLDWCALRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCTEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLRILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLTIRSGPEVQQRSMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIHGDCRCYLKTSPFCNHIEEDILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHAKGLQRISC >KN538869.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538869.1:58351:58978:1 gene:KN538869.1_FG027 transcript:KN538869.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVEKGSGSIDPDERTASGEPKACTDCHTTKTPLWRGGPSGPKSLCNACGIRYRKKRREALGLDAGEGGAERQEKKKSKRERGEEVTMELRMVGFGKEVVLKQRRRMRRRRRLGEEEKAAILLMALSSGVIYA >KN538869.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538869.1:39940:40137:-1 gene:KN538869.1_FG029 transcript:KN538869.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRHDAAGAKAWVTEARADGETCMDECRMTEGGAAPEIADRIDELAKLCSIALALTDASMSKRP >KN538869.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538869.1:13445:13735:1 gene:KN538869.1_FG030 transcript:KN538869.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRDLKSTCPGAPAMAAPWFDTDVSTVWMSSSRWPRSLTHSLENGPAVHAATSGLDPGGRRAWAAIADAHIRATTNIAMDSNDAIFLVDHSLC >KN538869.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538869.1:88532:89553:1 gene:KN538869.1_FG031 transcript:KN538869.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKGLVFSPSDDQLTDGYLRSYLARTSLDDLPSAAASYFHVADVYSAPPDQLVAGLAPAPGTGDGDGRVCHAYDSATAELVNLSDKEFYDIIFSGDQQDGAAVAG >KN538869.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538869.1:6982:7879:-1 gene:KN538869.1_FG033 transcript:KN538869.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPHHNEWSSGLFACFKDCEVCCLTTVCPCITFGRSAEIVSRGERTCCAAGVLCVLLGFFAHCHCLYSCCYRGKMRDSFHLPEDPCCDCCVHALCLQCALCQEYRHLKRLGYDPSLGWVGNNQHVVPPKHNPPMRR >KN538869.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538869.1:90164:98697:-1 gene:KN538869.1_FG034 transcript:KN538869.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIKEASYREDPGMHAWSNPDMASAERRIRMWWRTAGRPKHLWVRRSMLYMGHSRIYMDHYYNPIELHGQPPPLLYKGAHKFVIMAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAARDLHRPYVEGDNSTGASTWHEASAYPDHKHVPLYTRRPPIGHAPVSQCTIGQRPWAMPSHVYTRGSSTPVGQFLSLFVMHDPVVSVEFISGICDEASLRNSGVHVPSHGWEDRWVKSEWKKEDNTAGEWNHTSGKWYGDADDKGMCEVTLLLCAGIQTSEDYRFYAISAKYPEFSSKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKDVPCETDQLTHVYTLIIRPDAKYSILIDNTEKQTGSIYDDWNIIPPKNKRDPEAKKPEDWDDNEYIPDPEDKKPEYQERVHGDVPVGNSVLVMYYAKMVCRDLGTWNSMIFGYCCRSAEWEEARHLLDAMRQEGIQPGVVSYVEYIDFELCQITRMILTSTLFDSSNHIGIELWPVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKAAFDEAEKKRLEEDDEDEADDDKADVVAEQTKDKGDEKPQDIKVSADEKPKSSKDDSSAAKKDEL >KN538869.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538869.1:69102:72908:-1 gene:KN538869.1_FG035 transcript:KN538869.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylformylglycinamidine cyclo-ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55010) UniProtKB/Swiss-Prot;Acc:Q05728] MAGDHFLVAGTDGVGTKLKLAFESGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYKEGEYDLSGFAVGAVKKDKVIDGKNIVEGDIIIGLPSSGVHSNGFSLARRVLEKSGLSLNDQLPRNDGMTTTVGEALMAPTVIYVKQVLEIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFTAAWEVPPVFRWIQEVGKIEDAEMRRTFNMGIGMVLVVSKEAADGILEGTHGPNHAYRIGEVISGEGVHYV >KN538869.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538869.1:85429:87510:1 gene:KN538869.1_FG036 transcript:KN538869.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPVGVDNTFRRKFDKEEYLERARQREREEKEEARKGKEKGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCKVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPGSFSEQDLDERILKQQQEDEERKRQRKEKKKEKKKELAAQNEPEEDIDPDVAAMMGFGGFRSSKK >KN538869.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538869.1:38255:38875:-1 gene:KN538869.1_FG038 transcript:KN538869.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGSVRLLLLILLLRPAAAGSPLMMTCAKTPHPDVCITVLGAIPECRNTGDPRVLAENACLDECAQDIEEAVSHLDDTEGGVVDLDAKFKDVRLFMDVAERDTWSCEESCRDAPDSTVKATLLDKNEAFEKFMRVTGALIEMVIGTAGEPAPEPSADEHSDELIPDVQL >KN538869.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538869.1:17870:18570:-1 gene:KN538869.1_FG039 transcript:KN538869.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAPVTGVPVGSAAWSTGLCDCFDDCGLCCMTCWCPCITFGRVAEIVDRGSTSCGTGGALYGLLCAFTGCQWIYSCTYRGKMRTQYGLAEAGCADCCVHFCCEPCALCQEYRELVARGYDPKLGWHLNADRAAAAGAAPAVQYMGR >KN538869.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538869.1:49568:49980:1 gene:KN538869.1_FG040 transcript:KN538869.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSKAQAVREVCAASAAFSACPHRRRQRSPPFVDWYLVLAVADAAPEDTVRRRYRQLALQLHPDKNTHAKAEVAFKIVSEVGNLCIRMLLLPNDGLESLPFCCFQF >KN538869.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538869.1:64130:66812:1 gene:KN538869.1_FG041 transcript:KN538869.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding METSLKMWRTGDCTNTSPYFCLEDLWESFREWSAYGAGVPLLLNGSDSVTQYYVPYLSAIQLYADPSRSASRTRRLGDESDGEYLDASSESSSETDVDRLRVSSVEATHGMANGSLRTDDADGYASASSPIFQYMERDPPFCREPLTDKVSILASRFPALKAFKSCDLLPSSWMSVAWYPIYRIPTGPTLEDLDACFLTFHCLATPSKDSDSTTPACPGFGGISPCANATGKLSLPAFGLASYKLRSSIWASDGTQGQRVTSLMEEAGNWLSCVQVEHPDFRFFVSRSAALSTSAYGT >KN538869.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538869.1:82681:83586:1 gene:KN538869.1_FG042 transcript:KN538869.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIELDHKDMVHDSAIDYYGKRLATASSDSTVQISSIGGASAPSQLLATLSGHYGPVWRVAWAHPKFGSILASCGYDGRVIVWKEGAAGQWSQAHVFDNHKSSLNSIAWAPYELGLCLACGSSGGSISVMTMRPDGGWDSATIEQAHPVGVMAVSWAPATALGSIVGSGELVQKLVSGGFDCVVKVWTFVNGSWKLDSALPSDMHTDCVRDVSWAPVLGLAKSTIASASEDGKVVIWTKGKDGDKWEGKVMHDFEAPAWRVSWSLTGNILSVAAGSGDITLWKEASDGQWEKVTKVEP >KN538869.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538869.1:76272:80291:1 gene:KN538869.1_FG044 transcript:KN538869.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGNGSDSPAPRVSENFRESDSGTPSTRPNSFLEDAPSLKESSEHSCSSSVVDDEALARRGPTVVARLMGLDSMPAASSSGSYTMPLTVQQSPQNSTIHDEFIGRSYVGSPHKMPGSPHKMPGSPIDRFRMEALPPRFAKRTLSVAQNKLSPMKNPNHISSRNAADIMEAASRIIGTGVEVISPYRIRDVGYANTVRVYSQREIAIVQQRPPRMNEALKKRDGLTSYRLPTGKPLDGSLKSSGNTSASVVSQSNGGAPVGPKVKASSRSSPDSRATNVQGREDISKISRKLATRDPERRMVERNGINQGKNNNQVGMASSSNVLVQNNRKQNAMVKHKVNSKPPTPNRQRSNTHSINGTMRKVGTAGTPSENNTQGNRNVELRSTGHANRRQNSTAKSIPKPGRLPDGRIHSVKTRPSDKDIADRSQRRVRHNIVIDEQSPFSMNKKKISTDIVSFTFTAPVDKPLSGYRLPNHLVEKQFMKNASSVPNSSETSSAKFDSIDGDYLGLLLEQKLRELTSGVRSPYCKPAKDVRIYAPSSVLEDSQSACETSSIASTDYDRESVQSYKDGKASFTQTDLASKSGQSSQSVKYENDAMDQMEIERLHLSPLSTWDASVSTETGSSTESWRSANGTKVFSSTEGATTSDSACFSKFLEADAFSEYSDTASSITVTTTDIPPSDSSSSSRMDCRQEIDFIREILNTSPLNGQICSCLERFINSDILDLQLLEDLNGDIRLTMGVAEGKTLRMNRRLLFDCVNEILSVRCAYYFNAGYGSWFLGMAILKKLTAEEIYAEMTDLKVAEEWMVDELVYKEMSSPLGSWVDFKLESYESGIDITTELLGSLIDEMVADLLLVSDTSL >KN538869.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538869.1:40686:44959:-1 gene:KN538869.1_FG045 transcript:KN538869.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQGDLQHEYSGNDCLQLKGHDAHTEVAYLLEHLKICMFYSKKTFSAFLQFGGYNQEDILIHKARARLMQPSFALVRDKKSKCFLLFIRGAISTKERLTAATAAEVPFHHIVLSEGQISNVVLGYAHCGMLAAARWIANLAKPHLHKAVQEFPDYQIKVIGHSMGAGIGAILTYILHEHHEFSSCTCLAFAPPACMSWELAESGKEFVTSLINRNDVVPAFSKVSAENLRAEVMVSSKLDDEQDQAHFSLFTAISKRVAFIKSHMLSVSHPTEKNTDPDSSISEPLLKHVPEITQPVTNGLSTDCNQHQADLVANTEQDFSAVSVVTSEEKIVLSSNDNVISTKSVTGSGFAAQGDVNINGSLETEQEQSSLTGQEEPESLKQNDDGKDKQKEPLPTCSSRQFFPPGRIIHMVAIASPDPNPGEGSSSNEIISIYETPRDFSRQFFPPGRIIHMVAMASPDPNPGEGSSSNEIISIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLLKDDNVDTITNDL >KN538869.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538869.1:26220:26983:-1 gene:KN538869.1_FG046 transcript:KN538869.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAPVTGVPVGSAAWSSGLFDCFDDCGLCCMTCWCPCITFGRVAEMVDRGSTSCGASGALYALLAMVTGCQCIYSCTYRGKMRAQYGLADAACGDCCVHCWFCL >KN538869.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538869.1:51349:56483:-1 gene:KN538869.1_FG048 transcript:KN538869.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKWKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLETISNLGLQKSSVLQHMTTVIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSIVDDTKLVTKIVIQELAKHLKQLIFDKDEASESAAEDIPENKVDVATNKEQDGSEGMQTENKVDAATNKEQDGSESMQSASDSKKDPFQRRHELLIKSELAEVLIQTLIENVGELLRTNFGKDMVKPAFYYMLTIFHVITSLAWHDLWLVAVGGEDNILEGITDRIHSLHNAIASDAARPKTEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDRAKAELLPLVDRGILKIPDHKAVEK >KN539007.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539007.1:111698:113925:-1 gene:KN539007.1_FG001 transcript:KN539007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVDDDVLGADPTAHRFEMEMARITGKEAALFVPSGTMANLISVLVHCDTRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDKIVAAIRHPDGALYYPTTRLICLENTHANCGGKCLSAEYTDEVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVKAADSVSVCISEGLGAPVGSVIVGSTAFIEKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRRAKVLADGLKKIKHFRVDTTSVETNMVSLQDYLSSILVFHYITFKHLTWKNVLCVAKVFFDIVDSRISPDKLCQVLEQRNVLAMPAGSKSMRLVIHYQISDSDVQYALTCVEKAAEEILAGSKKFEHLTNGTTRNSYGH >KN538869.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538869.1:99274:108639:1 gene:KN538869.1_FG049 transcript:KN538869.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGTCCLVGVVHQRTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEDVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHSQYNTEQIKPKFRLPEPFSRPILNANPSIIARCLQPSDCFIIFASDGLWEHLSNQQAVEIVHSHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKGSMDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWGVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHGVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLEPFGSTERKQIVLVDNDDAKIKFVLWGEQVLLANLFSVGSMLALDRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMASQVTLPRDLHGSVDFSKYPFRVYVSDLHDKMVGVSLFGMVTSVCKASTSGTYFYLEIEDATGVVLMKLNFIGLWSLGRVGVGHMVYMSGLTCTLSSTNKLEVAWTEKEPGSLFVNISLLPAVINSTCLHNLSLLSDLPHSTNRTHICHVRLDHIDVNSLKVLLFHNLCGCVVSDQSGGLQCSFYDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNESFTVAIANTSQRIEAYIEGEKSLPVWEITRAQKCE >KN538869.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538869.1:32002:32355:-1 gene:KN538869.1_FG050 transcript:KN538869.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MTCWCPCITFGRVAEIVDRGATSCGTSGALYALLATVTGCQFVYSCVYRGKMRSQYGLGDDAACADCCVHFWCNKCALCQEYRELVARGYDPKLGWDLNVQRGAAAAAAPAVQHMGR >KN539007.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539007.1:56743:58633:1 gene:KN539007.1_FG002 transcript:KN539007.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLLPRTRDDEKLLPRHQNPMAGCLVAGAVTAIFLVLPVVLIIQQLLFADFTPPPRPETSVVVDGFSGLDGAAARVPHVVEKRGATIIIFHDGRDCDDSHRESGIGPKRQKHEPYRQLNGILVDDITLLRAARIVTHRCCQELRLPSVRQRSSSTQRLMSLSKLGGEGACPGGPCSSASAKEFIHELHLED >KN539007.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539007.1:10961:16774:-1 gene:KN539007.1_FG003 transcript:KN539007.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVVAALAALAPGARGLRRDDFPPGFLFGAATSAYQVEGAYLDDNKGLNNWDVFTHLQAGRISDGRNGDVADDHYHRYTEDVDILHNLGVNSYRFSISWARILPRGRLGGVNSAGIAFYNRLINALLQKGIQPFVTLNHFDIPHELETREEFEYYSDVCFNAFGDRAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRALAFEPCRFLDPIFFGDYPREMREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCKLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQVRSRRADS >KN539007.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539007.1:169824:170165:-1 gene:KN539007.1_FG004 transcript:KN539007.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNLDSVLVSDIKGQESMELIRGLAELAKQCLDMCGANRPSMKEITDELGRLRKLSLHPWVQVDTEMETENLLGGPSTINSDLEIETSSTGYLGEERENLPMNPGSTYYAR >KN539007.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539007.1:2819:7884:-1 gene:KN539007.1_FG005 transcript:KN539007.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVVVVAALAALAPGARGLRRDDFPAGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQGCLWRFSFSFHCMEWKEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIREEFGYYSDVCFKAFGDRVRFWTTFNEPNLFTKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIELAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNLTEDLQVQSRRADS >KN539007.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539007.1:151499:157525:1 gene:KN539007.1_FG006 transcript:KN539007.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAPPPRPPCRRLRVSSPAEDSRTEDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVSHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKEPSETVFKDMMRQIDTLPSYTGGCNSVECLYSDQGFLNSYYADFANSHVYEPDKPYTPEPETQRLSTLYNADVGLYMLANKTTQTLVDILNLRRAFIQQTKLFLLVFHANLLPLAHWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRSPHDQLVVKVLFILPVLLLSFGYYQSCFQFSNGMHSKLPSYFGALTVLACFMSAGVSFAFAFAIIPKQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSLDANHVGHSRFDSSENHMVTGRHHNMSDCDIDATFYWTGMAIIAIVTVLLPTLLGVTALFAKLGLMVAGGVVLASFMTYASEHLAISAFYKGQRDRNVSRSRSICFLF >KN539007.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539007.1:122121:125498:1 gene:KN539007.1_FG007 transcript:KN539007.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRSLACFLFLLCSSFTFEAVNGRMYGGGDVVEEEEDDSRTVADGARGGAGGWPGYLYTRAVGRCTPQFWSSGAEQWPNIIPQEAAVSKVFGSRSIDRYGPRLTVLEATTRTDDNGSSSAFAKLVKQGSAALLNAYARKGFPLDSWEVKALLLEALVSEDAAAAQADRDPEENVGTGVQGAMCLVLVDCIRVTLFLYVSNAGSIYAHHLAAIRRLQLIHVQEGQMLRHSSESRAAMQMQAAQTHQNAENVPQAYLYNFVRVYALPVAPSSSA >KN539007.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539007.1:43512:49121:-1 gene:KN539007.1_FG008 transcript:KN539007.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGGKFKLGKKIGSGSFGELYLAVNIQNSEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKSVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQLDYVFDWTMLKYPQIRDNKLRDTPDRFSGAGEALARRTGSGSGRNGEPTKHRTLLDSLMSSKATADTDKTRPTSLSRNGSTSRRAVVSSSKPISGDPGDTNRTSRLFSSSGSRPSAAQRALQSAGAELRSSSLSKTRKSSRDDPTIRSFEMLSLSADRRKIQLPVWTEGTIIKIVVSSAPDSESCTVAAVVGSEFNNERRLGSVSVCRLRQKKEGSSSSPWWCITKTFYLEDIVFFEGKLHAVDGAEQTYVFEDDELEEMRRWPLFHRDRVAPLSIHKRYYLTPCHGKLLMVSRSFGINRVPGGAYHTIGFKVSEVSEHSYGRIIPPPPVAVKKFDGHALFVGDACCGAFAITDEGSKIKEDQIFFFDDESNTSVVLGGGGGTFQVVNHEGINCYRPLRLLQSYDLRTDCFRRYRQLRPTGQWQCVTVQRLLHRDALPPPPATDQWGAMLLLWEVMSSLGASRPPCYWSRMPSHVPSIRVIPGNVIMSVTVIVYDQRWCFTQSGRSVQEAKQLAASEAVIFLRSRFRSVLDDSPWSGVPHCHSHVSEDEYEDDDEDENT >KN539007.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539007.1:20803:25888:-1 gene:KN539007.1_FG009 transcript:KN539007.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSKTRIHASLVSTLLLLLPLASAIHRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGNIKDGSNGDIADDHYHRYEEDVELMNSLVVNAYRFSISWSRILPRIQPFVTLTHYDIPQELEDRYGAWLNAEIQSDFGHFADVCFGAFGDRVKYWTTFNEPNVAVRHGYMLGTYPPSRCSPPFGHCARGGDSDADAYVAAHNVILSHATAIEIYKRKYQSKQRGMIGMVLYSTWYEPLRDVPEDRLATERALAFETPWFLDPLVYGDYPPEMRQILGGRLPSFSPEDRRKLRYKLDFIGVNHYTTLYARDCMFSACPQGQETQHALAAVTGESNGLPIGTPTAMPTFYVVPDGIEKMVKYFMRRYNNLPMFITENGYAQGGDSYTDVEDWIDDEDRIEYLEGYLTKLAKVIRDGADVRGYFAWSVVDNFEWLFGYTLRFGLYHVDYRTQERTPKLSALWYKEFLQNLHENH >KN539007.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539007.1:62910:65816:1 gene:KN539007.1_FG010 transcript:KN539007.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKKATITVEEVRGVEEETKKEEAAASDVSLKELSKKLDDFAKERDWEMYHAPRNLLLAMIAEVGELSELFMWKGEVAKGLPGWKESEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKSS >KN539007.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539007.1:119819:121273:1 gene:KN539007.1_FG011 transcript:KN539007.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASVVVASCLCVGASACVSSGLAFCATFAVSLPFVARELSPEHIDGVFAAVDACLQKGACYARAAVEAETRRLRDPARCHPALAFLYARAEAGARRARALVTDAVDRLETRAAESKWRDMTDASAAALRWLRLIAGAINLAVAVLTTMSERRAASGLRRSGAHGIRTTPNSEAITSSSKLDDTLFVVWIVATFTYSTPVFFQCAVTGGMASLAACFACFATLCCFALMQANKVHLWSSRDVADINTAMAEVPHAWGLLWSEITLATYLVDACLICVTLDSRPSRPVALAFLAACNLATLKVARQVEAIGSAGVIRRRGHAVAVCAMGIAKVFVVCLVLDFRLGALRFAFLCSVIAFLLNKAAGSLPDVSTPVDASAGDADVAGDVELLPEYVNSEVLSDHATVNHEEEEDSSSPAACDRENEHDSSNSAAIDGEEEDTTTKEYFDGSDSEKLVETSRSSVKKKKRTTAAAWTNGTSLRPMR >KN539007.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539007.1:129625:129921:-1 gene:KN539007.1_FG012 transcript:KN539007.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVAEEHGNAGISSASASASASASVCAKEESSFTSASEEFQIDDSFWSETLSMPLDGYDVSMEPGDAFAAPPSADDMDYWLGVFMESGEAQDLPQI >KN539007.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539007.1:147463:150763:-1 gene:KN539007.1_FG013 transcript:KN539007.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/Swiss-Prot;Acc:Q9SJX7] MLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRILADVLYDFGTALEVISPLCPQLFLEVAGFGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSVVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPVRRVLSPQRIEQLKATFSKEKFLLSRKDNSAYMVLEQSATGEDALRGWLVAAFASEMERSGVGSGDTVLNVAYERMENVFPMFVAEVKSRGWYTDQFLDGNRSRIAYAKSHQR >KN539007.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539007.1:60227:62464:-1 gene:KN539007.1_FG014 transcript:KN539007.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGSSVPRTQHEPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIQRGGAIRQELAKLKKEAAA >KN539007.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539007.1:30858:32252:1 gene:KN539007.1_FG015 transcript:KN539007.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALEKLGYYSGEEDMEFSSFSSGTERAVKTWQATVGVSESGIMTSDLLDMLFTGQAGQDVKTKDGINGAAIPSVTEIAEIQQTVVKGNGVSGVGLSENRVFLIGENRWEDPSRLTQKNKPISSAANASTKKCISCRGEGRLMCVECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSIVCDVCEGKTVATN >KN539007.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539007.1:79871:81672:1 gene:KN539007.1_FG016 transcript:KN539007.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDEELVCHYLYKKVSNERASQGTLVELAILCAHADVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSGWVMHEFRLDSPHSPPKEDWVLCRVFQKSKGDGEQDNPTSAASPAATFAGSSQAAVPGQAAYSSDDHTGSSMGFAPRQNEILDSSSHQLLNLAMLQCNSVLDHFPQEVNSSPMMGLAGSIGIGDEYGFFYDTGFEETASLGGMRFPQGWS >KN539007.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539007.1:33540:41908:-1 gene:KN539007.1_FG017 transcript:KN539007.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MADAISCLQPLCECLDGTGLFDAAARDVASFLRLKSNWGDLDKARENLGAVERMVRGRVTAALNKLNVCDPQVELWLRRVDELKLGAIDEDYSSLMKYSSICQCTRHAARRSWIGKRIVEVLDEVNKLIEEGRQFKKFGFKPSPEIVERLPQTKTFGLETMLVQLHDLLEKADSNIIGIWGQGGIGKTTLLHAFNNDLEKKVHNYQVVIFIEVSNSETLDTLEMQKTISERLNLPWNEAETTVKRARFLVKALSRKRFVLLLDDVRKKFRLEDVGIPTPDTNSQSKLILTSRFQEVCYQMGAQRNLIKMDLLDNDAAWKLFLSKLSTEACAAVESPSPNNVVRDHAIAIAQSCGGLPLALNVIGTAVAGYEEPRDWNSAADAIKENMKFEGVDEMFATLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEQLVNYWLAEGLLLDDREKGNQIIRSLISACLLQTTSSMSSKVKMHHIIRHLGLWLVNREDRSFVVKAGMALDNAPPAIEWKEATRISIMSNNITELSFSPKCENLTTLLIQNNPKLNKLGWGFFKYMRSLKVLDLSHTAITSIPECDTLVALQHLDLSYTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLRYLLFLGITIYSQDVLKKLNETHPLAKSTHRLNLKYCGDMQSIKISDFNHMKHLEEMHVESCYDLNTLVADTELTTSCLQALTLSVLPSLENVLVAPMPHNFRYVRKLSISQCPKLLNITWVRRLELLERLVISNCDEMLTIVEEANSTEEQQYGAQTIKMQGYYSEEQDDHAMAESSRNEWNDDYQSVNGESTNGATRQPDFPKLRLIVLTDVKKLRSICTPRDFPCLETLRVEDCPNLRRIPLCSTHNCGKLKQICGSSDWWKKLQWEDKEAAAHMESKALHGRILRGGLPLQGRLGDALVELYCKSGRVGYAWSALGYAGERASGAASSLLSCHARSGSPGDVLGAFRYIRCTAGGRPDQFGLAVVLSACSRLGVLAYGRQVHCDVVKSGFSSSAFCEAALVDMYAKCRDVPNARRVFDGIACPDTICWSSIIACYHRVGHYQEALALFSRMDKMGSAPDQVTLVTIISTLASSGRLDHATALLKKMPTPSTVAWNAVISGHAQSGLEFNVLGLYKDMRSWGLWPTRSTFASMLSAAANMKAFVEGQQIHAAAVMHGLDANVFVGSSLINLYAKCGCPSDAKNVFDLSCEKNIVMWNAMLTGFVQNELPDEAFRMFQYMMRYTLQTDEFTFVSILGACIYLNSFYLGKQVHCVTIKNCLDISLFVANATLDMYSKFGAIGDAKALFSLIPYKDSISWNALIVGLAHNLEEEEAVCMLKRMRLDGITPDDVSFSTAINACSNIRATETGKQIHCLAIKYDICSNHAVGSSLIDLYSKHGDVESSRKIFAQVDASSIVPINALITGFVQNNNEDEAIQLFQQVLKDGLKPSSVTFSSILSGCSGSLNSAIGKQVHCYTLKSGVLYDDTLLGVSLAGIYLKSKMLEDANKLLTEMPDHKNLFEWTAIISGYAQNGYGDHSLVSFWRMRHCNVRSDEATFASVLKACSDVTAFADGKEIHGLIIKSGFGSYETATSALIDMYSKCGDAISSFEAFKELKNKQDIMPWNSMIVGFAKNGYADEALLLFQKMEELQIKPDEVTFLGVLIACTHSGLISEGRHFFGSMRKVYGLTPRLDHYACFIDLLGRGGHLQEAQEAIDQLPFRPDGVVWATYLAACRMHKDEERGKIAARKLVELEPQYSSTYVLLSSLHAATGNWAEAKVTRESMREKGVAKFPGCSWITVGNKTSLFLVQDKYHPDNLRIYEMLGDLTGMMKKDNDIDEYGLLYSAEMLA >AMDW01037389.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037389.1:197:643:-1 gene:AMDW01037389.1_FG001 transcript:AMDW01037389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVFR >KN543108.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543108.1:3269:3952:-1 gene:KN543108.1_FG001 transcript:KN543108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGRWSAEIRDSSYRGHRVWIGTYATAEAAARAYDAEARRIHGAKANTNFPPPPNDVDSDAPPPPPWDLEAHMRFLGEVELDDGGAEPPPPPSYGIPELLHMEPELASATQSVHGDDEPWGLDKYMRFLSEVELDDGGAEPPPPPSYGIPELLHMEPELASATQSVHGDDEPWGLDKYMRFLSEVELDDGGAPLPPPPSQHGGVAAAGSPQYGCRYDYLLLMMCN >AMDW01040455.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040455.1:151:1527:1 gene:AMDW01040455.1_FG001 transcript:AMDW01040455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAEKLNRLSCRHLWSPLHGSNQTGHGNEKDWERRRNLLLILSILAATVTYQAGMNPPGGLWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDPGDKSERKRHKYLMLLSILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSRVNTLPLKQQV >KN541495.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541495.1:4138:5485:-1 gene:KN541495.1_FG001 transcript:KN541495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDGSVGFTTRASVDINVALTDHKDLVKKLDHKELAPLQADLNIFSQSYGRVHHQTADEEQEALPVRNFEEKRGNKKLVFHLRDSYTLLPNSIETLAKTLCPHLGSKGSIAHDEVQVSSLQENRAQLLDYMELDISLLGDVMLRAKSIYWTRYNVDIGKTFHRLQSICDLYNRYRKQVNRWSRHLGCGDLSRLSEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQSC >KN541495.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541495.1:19781:25879:1 gene:KN541495.1_FG002 transcript:KN541495.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVTQLLRETTKRVIGTKTTSRAGLTLSCISTVSNLQRLAGKVAVITGAASGIGKATAAEFIKNGAKVILADIQDDLGRSVASELGPDAAYTRCDVADEAQVAAAVDLAVRLHGRLDVFHSNAGIPGRIPQDDALSVDLAGFDRVMAVNARAVLAGIKHAARVLIFVKPKHCPIAYTWSLVELVITLANKVSLADNFSEIFPSYFNILLFKIARGCKDDAYNYVGAQKGHDFMVLAKVIIDRHMYLSGHYRFGTEKCGAVLKPQRE >AMDW01019198.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019198.1:17:275:1 gene:AMDW01019198.1_FG001 transcript:AMDW01019198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQLGYNQLTGSIPPQLGKLNKLAVLALQSNQLTGAIPATLGDLTLLARLDLSFNGLFGSIPSKIAEVPLLEVFDVRNNSLSGSVPA >AMDW01067785.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067785.1:787:1191:1 gene:AMDW01067785.1_FG001 transcript:AMDW01067785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCQSCAQRNTHSLEGAKPLPKSEVSVICHDAKNRAMVRCHRAVADDNGYFRAELDETKVSDFYMGDPRKACYVRLHASPDFECNNPTNINYSSIEGVPLRDEGKQWSDHDYYNVMYATGPLAFRPAICPPKH >KN543059.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543059.1:693:1502:-1 gene:KN543059.1_FG001 transcript:KN543059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKATGKLDGTGVFSIPLDADLHSSDCVAQLHGATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSVLCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHFHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >AMDW01018958.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018958.1:162:278:1 gene:AMDW01018958.1_FG001 transcript:AMDW01018958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVVVVLVGVVVGGASASAGPLKAHYYRHVCPAAEAV >KN538839.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538839.1:210996:216396:1 gene:KN538839.1_FG017 transcript:KN538839.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADRRRLAGQWQGRKPEDDGGVDAGTGIGGKEQKGKVGVGDGKASCGWDRSRASRRDGDCEARSDGDREPGLRRWQCGRRRWRRGVARRWGMARGDEHALMASHALIRNMAFSPCDFGLARRARSRSHVPPPPGAGDGRGGDGEVGGGFDLISRLPDAVLGDIISRLPTKEGGKTRALSKRWRPVWRTAPLNLDAGDLAPDANGAALAVLVTQILLAHAGPVRRFCIPAQQIHERPAMVEGWLGSRRFKNLEELEFTVPEGPFYGRSFLLLPPPPSTFRFSATLRVAAISQCSLPDCAATLALRFPQLRLLSLQEVVISEHSLHSIIAGCPALEGLLLKRSFGFRCLRINSPTIRSVAFHSPCCGDHCVWKVGYHLEEVIIEDAPCLERLIHIERAMGLGVNVTVIAAPKLEACVLDDLDDGYYRLDFGKVVFKGFAVINYTTPVSSIKILALIRDNLRLDRVIELMRCFQCLEKLYITASHYGATNCWRRKHWRKLKSLDICLKTLVLDNYRGLKSQINFATFFIRNATKLENMIFTGGRSNGNAYFIARQHKLLEFEKRASKTAHFHFTPKKCYYDWVHIKDVHDLSVADPFECTC >KN538839.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538839.1:192889:193170:1 gene:KN538839.1_FG018 transcript:KN538839.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWTARWMRQQRHSGGASRDRATTMTWSHRRRGGGGGGDGGMREELGPCDDDDGMELGGFGRRSARRRDVEVIALGKHSSGRGGIDSTVGG >KN538839.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538839.1:171903:173879:-1 gene:KN538839.1_FG019 transcript:KN538839.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVGNAGRSPKKRRSEAPEIGDAEEEEGVDILHWPDAVDAWLRSDALDNLEELELFRECLYTERDMQPRPPPASIFRFSSSLRVATIGKCHLQDAIVQTLHFPKLGHLGLEDVVISVGSLHSMIAACPVLECLLLVRAIGFRSLRINSASLISIGVDILYFPAEQIVELGELIIEHAPLLEKLLNLGVRNELDVSIISAPKLVTVGCLCQQFCHRHSKFTFGTTVIKGVKNESFPEVVHNVKTLAVSVLLLDVDKVVDILRCFPCLENLYFKVQKMVLELGVSKLGKLSLEVLTFLVPINCY >KN542605.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542605.1:12988:13717:-1 gene:KN542605.1_FG001 transcript:KN542605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSKRHYGLRTRSDGDGISIMSSSNIWIDHVSMSNCSDGLIDAVSGSTAITISNGHFTKHDHVMLFGASNSDAQDEVMQITVAFNHFGKGLVQRMPRCRFIAPDDVNAKEVTKREYTPYDEYKEWVWKSQGDVMMNGAFFNESGGQNERSYDQLDFIPAKHGKYVGQLTKFAGALNCHVGMPC >KN538839.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538839.1:168859:169089:1 gene:KN538839.1_FG021 transcript:KN538839.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding METIAADNQQAFQNRTNGNQAVQCVLREGDILESEMVQLGEVKRLRGGVLEVAFADGGDAEAGIEAEDGGRWRPRH >KN542621.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542621.1:655:1491:1 gene:KN542621.1_FG001 transcript:KN542621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLTRVKVGPWGGTGGRAWDEGGYDDTSGGGYTGIRSMSIGSSNWCVSSMLFVYDYNGRRVKGKLHGVEDFGTNVKY >KN538839.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538839.1:203527:205404:1 gene:KN538839.1_FG022 transcript:KN538839.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGWRGSPRFNNLEELEFTEDLCYMRQLLPLPPSIFRFSNTLRVAAFSQCRVPDCTDLMLQFPHLKLLSLREVCCSEIATAQYDITAPKPLSGDDITAQYEQFDQLLHIERSVGLGVRVSVVVAPKSETLGVLDDVKDAVLDFGTVVFKGFEVVNFTTPVSSVKVLSLVMKNLSLDRVIMLMICFPCLEKLYITGFKCGETNYWRRKYRKTIKSHNISIKTVVLDDYRGTNRQVQFATFFIQNASNLENMIFMGRPNKYNAYFIAQQPKLLEFEKRASETAHFHFRPKLCYNDWVHIKDVHDLSLADPFECTC >KN538839.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538839.1:161795:165175:-1 gene:KN538839.1_FG023 transcript:KN538839.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPCKEVEQVVTPWEGFEEDTGPVRGGGEILPVHGEGILIGGATPRPPHPLHMFTKAFYENMLEVSNRCSIFSSSFPHLFHGNDDQLPCLIPCAIDQDPYFRMTRDVAPKLGFQKPSLILSRFFPALQGDCTKMSASDPNSAIYVTDNSKQIKAKVNKYAFSGGQDTVELHRELGANLDVKLFPKWKKYLNFFLQDDDELEHIKKEYTAGRMLTGEVKQRLIEVLSELVARHQRARADQVTEEMVDAFMAIRPLPNMFG >KN538839.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538839.1:189304:191054:1 gene:KN538839.1_FG024 transcript:KN538839.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGWLTSPRFNNLEELEFTVPEGPCYRGRLLAPPPSIFRFSNTLRVAAISQCRVPDCTDLMLQFPHLKLLSFQQVKIIETSLHSIIAGCPALEGLLLRRSYGFRCLRINSPTIRGVAFHSPYCGSHGDGEVGYHLENVIVEFAPCLEKLLHIERSMGLGVRVSVIIAPKLETLGILDNVNDGYSRLNFGTVVFKGFEVVNFTRPVSSVKVLALIMDNLSLDRVIKLMICFPCLEKLYIKGIKHGETNYWRRKYGKTIKILNICLKTIVLDDYRASKPQVQFARFFIWNAAKLENMIFMSGPNNRNTYVIAEQPKLLEFEKRASETAHFHFRSKKCYYDWVHIKDVHDLSLSDPFECTC >KN542621.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542621.1:4839:9904:1 gene:KN542621.1_FG002 transcript:KN542621.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLVVSPCPSPKRERAGHHGKDDGDKEEVGRRGQGLGEGGGGSEGMGQEESGEGRPRMVAEKDRDRRSQTETERGGGGWWSEELDGGVRIRIRIRRTGAGKWIRPGPWLGLELDFPGEVLTHISGYHDSKLIRRLEFRTNRDRTLGPYGANTLDNEQWRQFEVPMEKAGSIVGFSGRGGNYNYIDAISVYIAVWNPDRFFDTLRQRGIIAYRMAPIRLQLREMEKLRNEQVERDHVERNAEEGQEHLIRKLPSHTQDKSPVEKLVKEQQELTLKVKELEEIHKETKQKVHDLQKQVDQKKERLRELESSIMPREVYKRQYQEENETLRSMEEDLKQLERREQTMQQQLGQKMLQEFRHLEDQILETKRYEEDIKQKRALIEREQLDLLNEPEMIRKLKEQQQEVARQLKKLEEQREQSRRLELEKILKNIEEQMKNKKKKKGFIRRIFGIK >KN539296.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539296.1:83315:83716:-1 gene:KN539296.1_FG001 transcript:KN539296.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTSSAIHSVRPAPSKRKAQMQDAQQMVKVTATAKVSTNEGGSATIDLHAIVPYSQASSSASVRLNSGKAVVNVSAQEPVKMKPKKATPGPLLLLSPWESAKL >KN539296.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539296.1:29928:34647:1 gene:KN539296.1_FG002 transcript:KN539296.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLRGKSHPQCRIGRNLHPRLVSPICSLDSPLIDIAGCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVNVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPESAKGSCVTVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKLPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >KN539296.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539296.1:87726:88550:1 gene:KN539296.1_FG003 transcript:KN539296.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIEFFRRELGLTDAEIRRLVLANPYRVLGYSLKHCIRPNYLILRDLLGSDKNVTAAVLQSTELIHGDVPGILLPKIKILQDYGATNDVIVKLVTTHPRALMHRASRFEESLAAMKELGVRPSSGMFPYSFGLFARLHPRKWKGRMDNFLSLGWTKEQVIEAFVRHPYCMSVSNDKVKLIWQFLAKKLRWTAEYVARSPMVLSFSYDKRILPRCTVLNLLASRGVFNRDIKTSHLVLGEKKFKEKYVTPYQDEIPEVLEAYSSVAESRVPVYK >KN539296.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539296.1:116059:116802:-1 gene:KN539296.1_FG004 transcript:KN539296.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALAIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQPETKPSPQPNPQPNPQPDPKPSPQPDPKPTPQPEPKQDPKPNPQPDPKPSPQPDPKPTPQPDPKQDPQPNPQPDPKPTPQPNPKQDPQPNPQPDPKPTPQPDPKQDPQPNPQPSPKADPKPNPKPKPQPEPSPNPKPEPKPEPKPEPSPNPKPNPNPKPEPQPDPKPEPKPQPEPSLPKPPPLSPAIAIIVPGN >KN539296.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539296.1:12444:12905:1 gene:KN539296.1_FG005 transcript:KN539296.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAALRVLRKPAVAPRALADAVGPAGGTPMLMASELVPGALNAPCETSMPGGRGGSPVMHVPGPAKLQAMAKRVASSGGVIDVGRGKRRLGGGGHVDRDKLGKEVSEGLASGGRRGHRRRVGEGGIAGSAVQVESVVDGVGDGLGGVVEGG >KN539296.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539296.1:94906:98346:-1 gene:KN539296.1_FG006 transcript:KN539296.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAVAILLCFSYASGYANLVRSEDAHVNPEMNGMTRRPTGLFFSRRHKVRTDDDDSRASHVAMYHTPRGWYFGTRAKIGIWGSPNQERFQESGASILVTSNELEDLNALEAGFHVYPDLYNDNNVHFFTHWTKDNDRSTGCYNLKCGGFVPAAGAELTPGQAVAPASTYDGEDHYISISLHTDPNSGDWVLFRDDMEKPLFLGHFPKELCPKLNGGASRMAWTGFVGYPKNELGPAMGSGHFPLEGERKAAYIKNIKFFDSKARTHDPYMEDLLPVLDRPDCYHLSIVDFVVKDRVYFYYGGPSGCIG >KN539296.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539296.1:665:3972:1 gene:KN539296.1_FG007 transcript:KN539296.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCFPWLALNFHLTRGLGLTPAALQLVQNAGNLPLVAKPLFGVLSDAVYVGRAHRLPYISIGALLQLMAWGTLAVIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIVLAAGSLLGNLSGGYVLLRTQEPKTMFSAFSILLGLQLALSLSTKETLPSSHRNWNICHVRTSLSDNLRKQFSNLRTAISEEQIFYPLMWIMTSFAVVPILSGTMFCFQTQYLKLDPSVIGLSKVVGQVMVLSLTVLYNKYLKKIPLRRLVAGVQTMYALAVLSDLVLVKQVNLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSQCPPGCEGSLFAFFTSGLVFSAIVSGVFGVGLSSLIGVSGGDYTSFPLCILLQSLAALLPLGWISFLPEKWTADDKILKPR >KN539296.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539296.1:46081:46797:-1 gene:KN539296.1_FG008 transcript:KN539296.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQAISGNPGALRDKLQEASGSCPPANYRDGAVVLENAVLLSLLAELGASTSTCVYFGQAPRGQQTSLLLGRLGLARGSIAARHITEAFTDGELDLVIGDGGDGRHGMEVPVFDGEGRRYGLTCGYSDYAMCYRLFGGAGEFGRFRANNSEVRDVAVGKDKLMKVFTFRSPALRPVEVDLNDGHPDGALGMIVLFYDLDAKEAVKNELLDPDTLTVNQIMKHSPKLAQMMLD >KN539296.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539296.1:67839:68549:-1 gene:KN539296.1_FG009 transcript:KN539296.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALRDKLRAASASCPPANYRDAVVLENAVLLSLLAELGASTPTCVYFGQAPRGQQTNLLLGRLGLARGSIAARHITEAFTDGELDLVIGNGSDGQHGMEVPVFDGEGRRYGLTCGYSDYAMCYRLFGAAGEFRRFRANNSEVRDVAVGKDKLMKVFTFRSSALRPPVDLDDGHPDGALGMIVLFYDLDAKEAVKNELLDTDTLTVNQIMKHSPKLAQMMLD >AMDW01040885.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040885.1:1486:2647:1 gene:AMDW01040885.1_FG001 transcript:AMDW01040885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGLLQWNSTLVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCPDCDEMLDSRGRNFLHIAVEHKKWKVVWHFCGTQELERMLNVMDYEGNTALHLAVKNADQMIVSLLMANKAVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIALV >KN540798.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540798.1:5873:29167:1 gene:KN540798.1_FG001 transcript:KN540798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRAENQMALNISIIRRDVSVIKSVIRRGLRAPEDTKASTNIAIPIDFSPREIPDPSLVSSSRSRSHASHTLKTTSISKIPLLGGQSAKADSLVSSNNVISASSDSGVEGVLNMLWQKYENAIDKAEKKESLQIFIMHFVQAFKKWEPQYTEQSVDQEPISDDTVLGCSRGHPSEIILILVQEVSQITSFITEMHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKAIDNMKMMQKILVHIVTIISNFMNLEPTATRLTQFVNTTGQTLSNEFLATVTPISAKSAVHDTNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDVLLHFITLHALRSTISQHARAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDESFGNVNNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSRVQNCKLEESTGISLTSESFASPIDILDTTEWTEYSVKLSIALCSFLLPPNEIRNSSGAVDSQVSLSISVAYCEQCARWIIKVLSTVFPCIKACASESELPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWSLIFSDKFFYFGSSMEYIHHIVCDTQNNHFIDATESAGSKGLNQADVNILQAEAISFLEFAATINENTNNLPECSALLDVLERCTYDPGLAGTILKSFHVILQLATEQTISSFKSLDVLTRVLKVACLQAQHLRKLSHPGDGLSGNVFQSENVQMSSSDEKIKSTIACVELAFNLFKEYTTISELGRILVLHNANCIECLFDLFQEENLRKNVLEQVLDLFRLPSASAQDHTAKLQLCSKYLEAFTRAKEKEDFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAENDVSKASFRMLVGVGYQTLQSLLLDFCKWLPSQKLLDAILGMLVDGTFDKNEETTIKNEDVIILFLNVLQKSSTALQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTVIKIAELIQIIGGHSICGKDIRKMFALLRDEKIGVKQKRNSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFLENSMMGLFSFFTESGKGCLAMLGKDALIFESISQKHQCVLSPLSLPTKQWIFLSVTHSVGRAFSTGSQLRCYVDGGQISNQKCRISDTIQFLVQFSEIPVGKSYGLWMKMKQGEGHKAYEPLEFSDGGPSKVESPSMWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDALSSEQIKGIYNLGPSYMYSFLGDQNLLMNVDTLYKGILDGRDGISSKMIFGLNAQASNNRTLFNVSAALDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVIHSREPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSDVLLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKCLPMLCGLPRIIDIVLQFYSEKTDLRSSKTSLHPVTKEVIAERPNIEEIRKIRLLLLSLAEMSIKLKVSQHDITTLVSFFERSQDVACIEDVLHMIIRALSHNSLLSSFLEKVNPLGGCYIFINLLKREFEPIRLLGLQFLGELLVGVPSEKKGPKFFGLPVGRPRSISENLRKGMTAAPQLFFYSISERLFKFPLSDHLRATLFDVLLGGASPKQVLQKRSQSEVSKDSAISSASLSPFAVPQILVCIFKYMQSCQDTLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSSSEAEDNTYETNELILVRNMYSLVLTYCLCSMKGGWHQLEDTTNFLLLKIEQFPSPGLSAQSSSDDSLIEDINTSVVEILNAEGSGQLTSFPWSNSTSTDGDKLSDDWWSFYDKIWTLLCNLNGRGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKAGLENLSKSLLRGRSQYGGLDDGARSHVMSPLIFEILVQGKSLLATNMLARDDSTEVNSNKDSGYVLNFVQKDRVLAAAADEVKYMKDAKADRLRQLQELHSKIDESLIEDIEQLQSFEDDIQFAKSAAISVDDSRKAALQLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSTVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNESNASSVNPSVSAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDMSEPSQNTLSETQGSSDAADSSGYSTSVQNRKEPVSTGGDDDYAAILSSVQCVLVTPKRKLAGQLTITQKALHFSFEFLVEGTGGTSVFNRYQEKDSDPKNDLGGAEKLKGSLDGGRGNATESGDALMKNTSNKIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQNDAKNIGSLLVSLRNDALFPKGSTKDKNSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSEKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGCPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSSSSSSSPVLFVSLLDSNIVVMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLTAVQIHGDNYLILCGNWENSFQIISLGDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKSADKKSRNANYDLSTKDHVIIESPYHILCGHDDIITCLFVNTELDIVISGSKDGTCIFHTLREGKYVRSIRHPSGAGLSKLVASQHGRLVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLIRKGTVQRNKIKSSVGG >KN540798.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540798.1:35490:38231:-1 gene:KN540798.1_FG002 transcript:KN540798.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSPLRTFIEKEATLLQDLPQIAKHIERELDMIHHFLRQVGTKIYNNKVLEGWIVRVRKVAYCVEDIIDEYCYNITLFQDEGRFKRVIHTTFHANVFHQIAVGLKDIEEEIKHLSQLKRDYREMFNELLDNASDSADIHLLSSNGSLHSIKEDDIFGMKEGMELLDKWLDPKELTRIVISVWGFGGLGKTTLVRKVYDWEKGLKSFDCYSWITVSHNYNIDAISRQLIQELSEDQSKVPPDLGTVHRGKLKEALKEVLSNKKYLIVLDDVWDTRAFNELSDSLMDDNKGSRIIITTRNNDVASLAQELYKMKLNPLGDDDAFELFQRRCFQKNNTECPPHLQELSRQIVNKCGGLPLAINAIGNVLAVQGAKEIVWRRINNQFKCELEDNPGLDEVRSALSISFMYLPRHLKNCFLYCKLALSFSRKERFGLADINIETANKDDVRRLLVSNHEQVNQLIRSSIELPHLRTFIAANKVANYQLLCLLISRCKYLAVLELRDSPLDRIPENIGDLFNLRYIGLRRTHVKSLPRSIKKLTNLETLDMKSTNIETLPKEIAKLKKLRHIFAEKLDDPEERQLRYFRGVKFPDGVFNLVQLQTLKTVEATKKSVKLLKSLPDLRLLCVENVRRADCATLFSSLSNMNHLYSFLISANDLNEPLDFDAFNPKCTKLEKLFIRGCWDNETFWKPVFRDYGANIKYLTLTFCKNDADPLPSISSSLPNLIFFSIRRGCWAEEITLREGWFPHLKTLCLGNMGLRRLCIEEGAVIRLEVLLLLSLTSLKEVPKGLDLVSSLKKINVSMQHPEFKAEWERGNWKTKLHYVQEIRM >KN541660.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541660.1:2764:5100:-1 gene:KN541660.1_FG001 transcript:KN541660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFLSMANPAVTLSGVAGNIISFLVFLAPVATFLQVYKKKSTGGYSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVLYLVYAPRRARLRTLAFFLLLDVAAFALIVVTTLYLVPKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPRNTAVLPTTSDSMSPISAAAAAAATQRVIELPAGTHAFTILSVSPIPILGVHKVEVVAAEQAADGVAAVITAADKELQNKPEVIEITAAV >KN541660.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541660.1:22185:24301:-1 gene:KN541660.1_FG002 transcript:KN541660.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LTLKIYAGLHAKYFDELCHEFFLRGRFIFGPDVRSIFLTMFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADIRDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMEGRAGTHSRRSSWVNRTGTSESVDSMNAQMMNAQIIAAWEAIQSDPVH >KN538815.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538815.1:84283:86269:1 gene:KN538815.1_FG035 transcript:KN538815.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKAEKKEYDESDLAYLQKKKDEEKALKELKAKAGQKGALGGSGLKKSGKK >KN538815.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538815.1:57940:66434:1 gene:KN538815.1_FG036 transcript:KN538815.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDARYSELIPCLDRGLHNQLRLRLNLSLMEHYERHCPSAHRRLNCLIPPPAGYRVPIRWPRSRDEVWKANIPHTHLASEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSRSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAMDPINRNIWRKMSDLAQRMCWQIASKEDQTVIWVKPLTNECYMKREPGTLPHMCDRDDDPDAAWNVPMKACVTPYSERVHKVKGSNLLPWPQRLTAPPPRLEELGISSNNFSDDNEIWHSRVIQYWKLMKSEIQKDSFRNVMDMNANLGGFAASLRKKDVWVMNVVPSTESGKLKIIYDRGLLGTIHNWCESFSTYPRTYDLLHAWLLFSEIEKQGCSVEDLLIEMDRIMRPQGYAIIRDKVAVINHIKKLLPAQLSTKTVYKSAGELDLVPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLLHVDLM >KN538815.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538815.1:7125:8437:1 gene:KN538815.1_FG037 transcript:KN538815.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGILLAAALLLGLVSASHAIEGTATFYTVYTRTFPNCSVILNDVFASACYGFQDQGTMIAAASDGLWDGGRACGRMYTVRCVRGTNAVPNPCNGGTVTVKIVDRCPSPGCTSTLDLSREAFAAIGNLDAGRIVIDYNQV >KN538815.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538815.1:3568:5522:1 gene:KN538815.1_FG039 transcript:KN538815.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLKRLRITDVTVMSATTKAGIAVLADELREIRVSCRCPTEPMSSSSPAAYHLLPRFRALFTRYSCFRVRAPKLRVFEWRCCFADKVCVESVGRLTDVAVELAAGRLPRLSDEESKSLSVEDCDKLMKGILRGLMPGLQPRSWSSIQRKCIKRDERWLSFEISSAPKYYNGYGRGYYEPVGHSKSWRFLVAKKIARLLELEPRLYAWLMKITLNRLLNR >KN538815.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538815.1:93773:104725:-1 gene:KN538815.1_FG042 transcript:KN538815.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MATRMAAAVAAMVAAVVVSLAAGGAAQSSPSTPSCASKLVSCAPYINGTDTPPAACCDPLKEAVKNELKCLCDLYASPEIFKAFNINISDALRLSTREFPYELSSSLSIRWQKRWSSHYVSWSSRIDELVPSVVVCSVIDSAELCRNTHPDKEFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVMLTEEARRAATTLRVDFEKGGIHLPKDKLEHVNHLNLEIAQLGRNCMSARLQLTISKRSHYLVTFACWFSENVMNKPGFVDIYPASRIPRNMQRHFKSIHRIKPWGDEEQRNQMDTTKQKGLRIVTDSGTLSTALRWVSDEEIRKQVYLVGNSEPRENITVLDKLINARDELAKTMGCKSYGDFAIHPNMAASVDVVMSFLKDLSGAVRHKADEEFERIQDFKRTVCNEKSAKLEPWDEDYFIGMMKSSVHNLDVSVVAAYFPLSQCLKGLNVLVESLFGATFHQIPMGDGESWHPDVMKLSLHHPDEIVALVCNFSSSSKIMARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFAVDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQGSKPMDTISTVADLRRKHTSWKCVEGTHWHTRFTHLINYGAGYYSYLYARCFATTIWQEVCQDDPLSRSTGSALRDKFLKHGGAKDPSALLKDFVGDSIIINSGVGIIPDISSLCKEVGLQIEAEQDVQLVRLAAGELLAAEDVQAGDDGQDEYKPAIIRVQETTEQHRQRLLQHNIEVIEISVYTVQ >KN538815.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538815.1:43441:46552:-1 gene:KN538815.1_FG043 transcript:KN538815.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAFAVFADQPSALAAMSATNGMVFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNVHMSGMGNSSHSLNGYPSAQSYTNFEPAAFSKAYWRVCNAYWRDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKVSSYYVGLLWRAICLLKSVVNLQIMDAHFTFR >KN538815.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538815.1:10344:16590:-1 gene:KN538815.1_FG044 transcript:KN538815.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMEVAEEDISDSQAFRFVEHVLQSVRMDPFQVDLRDKEEYDSLLSIVDSSKKRSYDDEALLVTTLKALSEAVSKIDIMYHHALLNNAAVADQFLRECLQMLVNNFTPPGSLTAFIGQPRWLARKKEIYSQLHESLRMISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLTELDALFGGNACAEKLDGLMVVFCEHLKSCKEHGRLPQEFDILKTIFRASVLRVHKSKFAQFIMFYACSLDPEICGLEFALFLSDIFIKKEEDSISRLVDWCVDYCDLQNNIGITTKPINHQIFYASCQAVMYILCFRLRSIMDYPNLKAQLFNMPFGYILTHPLEPLKVCLPSIVDEFLRQAKAARLFNASVHSEFEDALESDLSKTFGGMNRLDMFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELVDLDAPEMNVGSLDDHVEIDLNSDDDLEYSMNKMSITPNRSFFHQIMANSDTGLTMPARIRPSVSPPS >KN538815.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538815.1:71016:73763:-1 gene:KN538815.1_FG045 transcript:KN538815.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVIQAKLVVYLCISIFLESTIGAAFFSQVLIMDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVIYDISSTDSYIRARKWVDELQRQGNPHLVMALVGNKVDLEEKRQVGTQTVPKEEEEEEDEEEEYAGDAPPPWKVMGSDDEGETEVGEEEEAAAAEEVVEYEFYDSDGSEDEGDGEEADPADGQEILVLYRYTLLKRAWRDPAGVELSMWAKVSKIHRLRFIVPASGDPASSLPFAGLSLSPLIYHDDYVEELETLWSKLAAQVRVPPGATRVQVIVDVGILRAGDNTPERREYMRAELEAKKEVPWPGKLLGMELHVPEPVVVAAASCKRDSTEVFDAAPAPPAKRRKVFDAGEECPVCLDELEDGVVAWPGCSVAHVFHGQCLETTLKGSQMCPICRRDLGLKTLQE >KN538815.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538815.1:92318:92596:1 gene:KN538815.1_FG046 transcript:KN538815.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSVPHEHSAAYYGCGGGYDYEDVSGGGGAAAKSYSFNGPSARDDPEAKRRRRVAAYNVFATQGRLKSTVRSSFKWIKSKFSDIRYGGL >KN538815.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538815.1:110630:111028:1 gene:KN538815.1_FG048 transcript:KN538815.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCQPTAPRARGGGRAWALPIQLSKGPVKHELTGPSSPTILGPVKYALTGPKTFKAHYYTASLSRSTGCPCNAITLVRCQQQWSEQQGQRPCTSLQCRAQYCYVRDMSSTRKLWYRNSAMPVVTSGHELD >KN538815.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538815.1:116441:119516:-1 gene:KN538815.1_FG049 transcript:KN538815.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQVKDFCVEEMITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPTPAAAGNYGGFSMFLRGGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDGKRAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSYSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLASWWSTVAILKAKIAGFLVLVQFQGGNSVFFN >KN538815.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538815.1:68078:68458:-1 gene:KN538815.1_FG050 transcript:KN538815.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRRELEAEAAAAAWSWPGHHVGLDLNLPEPVLCERGAATAGEVLSEEDGDGAPRPAKKRRAAVAGVAGEECPVCFFQLETDLVAWPGCSVRHVFHGECLEFTLERSDKCPICRKDLVIKNLQA >KN538815.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538815.1:18241:27819:-1 gene:KN538815.1_FG051 transcript:KN538815.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQHPASGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFVTAMQLVSLAQAGDEITQDSLKRDDLGSLNPPTMDGLDALLVKSKHHAKRVDPDIDGFPQAQSPATSQWFSSKSSKKIPLNAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAHIIGHLKKEMPAMMGKAKAQQRLIDNLETEFAKVQREQHLPAGDFPYVEHFRDVLGGYSIDKFEKIKPKMVQAVDDMLGYDIPELLKNFRNPYELLFFLLFSTTALYSSTSSSSSSAVLRATTTTTTTTTTTTTTTNTFTLSFQANPNPPPSNLSNHTALDAAGAAGHTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRHVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSGLTIVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVIVFADDSNVHSLELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLILLNDPSSVEPLGNCGKKILLWWLRVEARADSKFPQGWVIEPPLDIVVPAKRTPWPETTAELSAELVDSKQDQEGRRLSRTDRSSRSRSTTKRKEN >KN538815.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538815.1:76437:81814:1 gene:KN538815.1_FG052 transcript:KN538815.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVTPDNVGVISTRRKGEEEEEESGRRGGVGKMFGSLLNSKFYNKCKHAFKCIRTRLALIRRKKQAMIRFMKKDIADLLTNGLDTHAFGRMDGLIIEMNHASCYDMIEQFCEYIGKQLNSLQKQRDCPQETREAVSTLIFAAARFPDLPELCDLRHIFTGRYGNFLEPFVSLEDLPAKDSKKQVELAVPLSSKKKGDDAAPSGRKSEAATLGHKKKLEASLKQQKDVHPVADGIDRLRENTRRQHADKSDGKGHVEKPVSDSEMKRRNIQKEVQKANKKDGRPCEKELMEAVELDLNGLPKKEFGSLKVPEAESKKTFALNVKPKKDNDLEKENESNLGHHHRSHIPCAADHADSGLRTLGLDKQGLQSVNPLNGNTKNRMPPYSKLDGSTGKKCTEKEENTGCLNARPHHLADKGNPVQDRQPVPERAAYVRPPYIKPKLNMETVNDDPAERAASDYSKRAIPEQTDHLSDKDPLRPVSVRSKYAKLPAPAAVYDEAPANEKVSSRTPSSHRRHTSRQNAVDDGSARRDGSRQPHGGKGMDDVNGENVQRTPSSRPRHSGRRNGALFTEDYDGFVQRHKSEEDEAAIDFGNLLPRTGNGHRRHKSRNTDARSGVDEEERMMDKLLRHYSKKGLDAEINPAPTNKAEEQSERKGSMHPPGRAISLPGESVCRDEDVKVPARSTSLQPDCPKTVHVHPKMPDFDELAARVSALRKA >KN538815.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538815.1:29914:34466:1 gene:KN538815.1_FG054 transcript:KN538815.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit eta [Source:Projected from Arabidopsis thaliana (AT3G11830) UniProtKB/Swiss-Prot;Acc:Q9SF16] MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGTTISNDGATIMRLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVHPHSLIRSYRTAGHLAIEKVKDLATSIEGKSLEEKKELLAKCAATTLSSKLIGGEKEFFASMVVDAVLAISNDDRLNLLGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLSPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEIKALQVYKFAQLKLTLLQVIPRQLCDNAGFDATDVLNKLRQKHASGEGANFGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETIKNPKSESAQGDAAASAMAGRGGGAMRGRGGRGMRRR >AMDW01039137.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039137.1:573:878:-1 gene:AMDW01039137.1_FG001 transcript:AMDW01039137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYECTRESYEDEAKYAKFQAWVRGEYARKGFVEVDYDYFAKREEAIRLNEEAREEVLGHWSDRHHPSHTDLDDEDWKLVRSILERFDQRSAISRFNRRN >KN541952.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541952.1:4394:4740:1 gene:KN541952.1_FG001 transcript:KN541952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVVKKQGSCHLQEDEVISFVQSKVAPYKKIRKVAFVDSIPRSPSGKILRRQLKNLLQGSILHRSRI >KN541952.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541952.1:16716:17138:1 gene:KN541952.1_FG002 transcript:KN541952.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLLTLLALVGSVTCQGYTGNASPPTPITYPSPSPTTPPTYPPPSSTPPSPAPVSPSPPTTYPPPSPTPPSPAPIGPSPPAPGLRVGYYSSSCPKAEQIVKDTVKNAVYANRGIGAGLVRLFFHDCFVEVKSFFIN >KN541952.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541952.1:11450:13229:1 gene:KN541952.1_FG003 transcript:KN541952.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLTLLALLGSVACQTGGYGYGGGSPTSPPTTYPQPSYGGPPAAGGLRVGYYDYKCPGAEDVT >KN539373.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539373.1:51993:55093:-1 gene:KN539373.1_FG001 transcript:KN539373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSRTNSRANYSNEIHDLSTVQNGTMPTMYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSDLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVIHPVDVIGTTTPVGPHWHSLVVEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAVSGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSQKLSSFKLRRLRSQQSIAADVDDEMENIQV >KN539373.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539373.1:96312:96785:-1 gene:KN539373.1_FG002 transcript:KN539373.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVFQRIALFKAGIVPVSYRRSVAHAGNFLPSRFAFLDLPELTDHGVRALECRVACQKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSVVSNNAVTRGWSFGQTFSGAQFN >KN539373.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539373.1:30327:31879:-1 gene:KN539373.1_FG003 transcript:KN539373.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRASAQRRATLAALITLLFLASLAFLLSATGTASAPNSAPFRLAAIRRHAEDHAAVLAAYAAQARKLSAASASQTESFLSISGHLSSLSSRISLSTVALLEKETRGQIKRARALAGAAKEAFDTQSKIQKLSDTVFAVDQQLLRARRAGLLNSRIAAGSTPKSLHCLLLAVSDFPFLNASASPVIRQIEDGNRDVPLLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDLGGKVNAALETCFGGFRRYGKHINFSDPAVQERFNPRACAWSYGLNVFDLQAWRRDQCTQRFHQLMEMNENGTLWDPASVLPAGLMTFYGNTRPLDKSWHVMGLGYNPHIRPEDIKGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >KN539373.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539373.1:62709:65705:1 gene:KN539373.1_FG004 transcript:KN539373.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTWASCHGPWADLRGRGVAVDGGVADLSGGALVPVEGPEAPLFALEINPEKAREEFRAASQKNGGTGVKDFMDGMGLGVLSEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSMFRRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVQVRELFRDTESTEFIIVTIPTVMAISESARLHSSLRKESVPVKRLIVNQILPPSSSDCKFCAIKRKGHLPSSFIQDQTRALDMIRNDPELMGLNLIQAPLVDMEIRGVPALKFLGDIVWK >KN539373.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539373.1:71069:72787:-1 gene:KN539373.1_FG005 transcript:KN539373.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRREVLDAYLRSRAEGAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSNGKAGGEKRTFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMKYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQAATRLRAKDRIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRRYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >KN539373.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539373.1:14862:28596:-1 gene:KN539373.1_FG006 transcript:KN539373.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKATASSSGSGLPSPKTTPRGVRPKAFKKKAKADPEMQKVAAAEAAATAETASAPPLKPAEVSPAAAVAMENGGQRMSRKEKTKMKEGDRMKEDEKGRIKGKEKKDDKARERKGEAGFIFMCSAKTKPECFQNGAKLFLYDFDLKLLYGIYKAKTKGGLDLMRGAFHGKFPAQVKFKVDKDCLPLPESSFKHAIKENYNSKGKFTQELRLKQVHRLLELFKPVSLPQSSIQYVKERHRRLDVSEGRLPHYVEARRLPRHVEEMHHLRHVEERRLPYDHEERRLPYDHEERRRPRYVEDIRHPQFLEERHAITDSLHDPFRSQHVTHLPELQHAPPTYYHHVAHTFDERYHQPQVDIMYERSAPRAIVEATDREALLARDYRVPEEIVARSDHVDELYRSYRLATRAMDLHQGPSYVTAAYENPGPAYSESIHQMPVSSTRPNVPSVPLNDPEIVLQLFGTNQVIGDESGIEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVCTTLYTSTRDESNGYLNFTGREQSKRREGTTTPEGGKPPPLRVAGERAGGMPERRLPISTPAAAGPRRHPRRQRRHCRLLVLPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYISKENVSSSNGIFEPLFGGHQSLQEREETYYARNQTLHCGFVQGPEDYPNTGFDLDENDKIYMASCRVVVSSCIFGRSDYLRRPTKSKIGPYSKKNVCFIMFLDELTLGTLSSEGTGPDETGFIGLWRIVVVEKIPYKDMRRAGKVPKFLAHRLFPSAMYSIWLDSKLRLNADPMLIVEYFLWRNKAEYAISVHYDRTCVSEEVLQNKRLNKYNHTAIDEQFYFYQSDGLVKFNESGKEPVLPSCSYHYLMI >KN539373.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539373.1:101818:109092:-1 gene:KN539373.1_FG007 transcript:KN539373.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGAAALTPATGLGSRPSLTVKTSRVCTTAALPPLCRCGRRHLIGSTSATALLPLLALPSPAASPVDPEVMLERVHPARPEWYEKFYATAMDKFMKPYEAEIAQYKSKLFSQLMTAGKNILELGVGTGPNLKYYANADGVNIVGVDPNKHMEEYARAAAVSAGLPPSNFTFRRGVGEALPAEDNSMDAVVGTLVMCSVSDVEMALRDGSFLRFVQGALNPLQQFVSDGCHLTRETGEIIREAGFSSLDLNTTRLSTAFILSPHDTIGVMEETTSKMLGEWSDMVALGHSCIDIEKGVVRNAAEIIAKASFSIAAADATACKLGRKIDALLLDIIESRRRREGGGRKTTTTDLLSLLLAGNEASAAAERKLTTALVLSWTLLMLATHPEWQAAVREEVEEVTGWSGPMDTAAMGKLTKMGVHAKRGAPAVSAFAERAEAGGVRCGAKDGTRGELGNDNFNSSYSSHAKGTLVKGMVDRK >AMDW01019393.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019393.1:18:221:-1 gene:AMDW01019393.1_FG001 transcript:AMDW01019393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CTIDLDVNLYFLGMYVYAIEQKNAMVGGAVTGALVSAASNSHRQNVVKNAITGGAIATAAEFLNYLT >KN539373.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539373.1:68707:70354:1 gene:KN539373.1_FG008 transcript:KN539373.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRFCGGHQFQSYSFHEEENLFQDWSLDYLLLGEDEPFFTHHFSTSVHSNFVQDELYTLFDGDILSIWGDMKEDAYHKSDKDGGEKEEKLDHEKAMELQLQRLPSGRQSGERTLTFELVSQYFCLPIKQAAQKLNVGLTLLKRRCRVLGIPRWPHRKVKSLETLIKNVQELGMETGQDEDNTRNAVEMLQQTKKLIEKSPDAKLDDWTKMLRQACFKENYKRRRLLAIEG >KN539373.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539373.1:32879:37280:-1 gene:KN539373.1_FG009 transcript:KN539373.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQNKSMDWYLDRLLEKFEEIGKRERESMQWRLDIMKEITTSLKASTPDFRAASSPPSQAPPSPTPTTCSTKCPNNVNPQVMESSSRLDEETAPMIFLELGDVKDKLVAAKDTTGVTYIDTPNYSKVTHAKCSTVSLDVDDGTSQAVVVFSIMKSVSKVVPISIEPLDIFLQRLMTDLKQYTSMPVRCLLKCPNDDKEPLMEHPKRNPWPPPTHNYALGNGQALQLTLFVLNCLGIILQWMPPWLPLIGLIQEHVCEQEQIMCKHWDPGKDKVHQHKILLDDWLPQYYFHLRFWDLGDDNATGHLIGLDCLLKSDSFQLGHNWQTPDHRIRFGQHAVYFQVHLLALYCATAHPNTILKSLVMSSISVEQSRVSCGKEMESLAELYSHCYSYARVNRKENYFLQLAKYLLASYNAHDDRGRSGAIGDARKFCTWEFYAKKNPYKLHTVVICTDHWRLHLCEVVQQICIGWIIKWVACADGQAASSPRRTREQSRNYYTFPNSSSTISSVQILQMPWDPGAGKLGYQGDVSQALMLGMICYVEMRLGPTPMFLANEVNKEVRQLLVEFKKHGEVLFIVCNSMARRLYGHVVDAKPSTTWKQAQVVSKNDVSWDNKMLIYFGHTVRFTVQLEAIQDSQTVLNIMLKSHVWAGIAAGGGVELRKADVLARVDYCSALLSSGFSDHLPPLAAVTRDPCSSSHGAVFPSTFAAKQAHTSANGGVMNFTFFSRPLQRPSGCESKSATASAVGTSTVPIESTVVRRRRRTG >KN539373.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539373.1:5804:10530:1 gene:KN539373.1_FG010 transcript:KN539373.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCTPVPLQAATARQDSPEKLKNKNMQESCREKRFYIPFLDKKVMKNLDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLERTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPQSHRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFEKPFLDVTASFYSGESQEFIECCDCGNYLKKSERRLNEEMERVSHYLDAGTEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYDDLARMYNLFRRVFDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPTEILAICDKFRTYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQDTAIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFMPNPVVIKKRIESLIEREFLERDKADRKLYRYLA >KN539373.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539373.1:80130:92010:1 gene:KN539373.1_FG011 transcript:KN539373.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQIEAPQADDVEDSVSSPKSVKPAVAKQNGAVVSRAPKSDAPVSKPKVDPSIPASKAEADGNAQVAESKAALDKKEDVGVAEPLEAKADAGGDAGAASSADDSENKESGPLAGPNVMNIIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVNYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEIRSPPCHEWATQVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRVVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKAALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNGKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRDYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQCTSVLDFLSPTPRGTSPVHDRRLHAGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELVVPLLRGAVVACMAMSVIVLAEKVFLGVVSAVVKLLRRRPARLHKCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEDSFTGVTLAPPDKNSEQCRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFGKKVDYAATALTMWTPCVILLFFLPHITNVLARLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSVWKKLYMTYNFFIARRIISTFFTFFFFSILLPMKVFFPEVQIPLWELILIPTAIILLHSVGTPSYYDQKDGTSHELHVLQNVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVRVTKNCRFKDRRNAAAIEGFDKL >KN539373.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539373.1:38704:40860:-1 gene:KN539373.1_FG012 transcript:KN539373.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGDIEKQDEVMLPGFRFHPTDEELVRFYLRRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKIIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNEAYTAPVSQTTQRSQHISDNTSSVMTDVISSTIQFTGSSYLPSTVPSCHNPLSMIDSNSRPDASVPLPSPVAEHQTMGILSAIPLDITAGIDIASMVFNASSFTLPNMDRMTANIEFGQPQKCNSSSSMTNRCVVDMPDVANNINSGPRSINFNLQGTLSDDWRMTLPWESLPCTTEVSTNFQSTKCYT >AMDW01037211.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037211.1:145:564:1 gene:AMDW01037211.1_FG001 transcript:AMDW01037211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR >KN540356.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540356.1:19793:20464:1 gene:KN540356.1_FG001 transcript:KN540356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSEKKQHHRPHLSPPPVHAATVNNSNVPDLTAATADKRGAIVFHSCIVVRTLKIDDHYQTKAVVSAPLQGRRPAESSPQPRPPQVRRPQPPRHHRSTAPSSSSRQFQAAAPSGPPSALPSPTASSSTSSPPADKIISRRIQAASMHHGQVIRRGHIITKQQACNMANERRPHHHHTTRPCKQLRPHPATTMKTQETAQHAKGLAFNLQANQPSPESGLPA >KN540356.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540356.1:44122:46294:1 gene:KN540356.1_FG002 transcript:KN540356.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPEKLKLLLGVLALQCCLAGFHIVSRAALNMGISKIVFTVYRNCIALALLIPFAYFLEKKNRPPLTFSLLAQLFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFALAASLRLEQVNINKRYGTAKVIGTVTPVLKKYPARLSMLALTLAFGLVQFLAIAAFWENDIGKWRLHSGEELFTILYAGLVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAVILSDLLYTGGIIGAVLIVIGLYLVLWGKNEEKKSNSNQPDLSRHLLSEESSRPTTVTSDVP >KN540356.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540356.1:43150:43344:1 gene:KN540356.1_FG003 transcript:KN540356.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSDQHNFAAFCRDVLHALLDHGDHVCFIQFPQQFEGIDPSDRQPNLVSTSLCASWTDSRGG >KN541771.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541771.1:46:1034:1 gene:KN541771.1_FG001 transcript:KN541771.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEVGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPILGFDGLKTVCVASKLPVVAIGGINASNAGRHAEKAAPRTVADEIGHWQDSKMHVRGEDDDDGSLGVRSTIARQTEKAETTTPSQPVKRSSRSRPASIAVERKARLQIN >KN541771.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541771.1:21216:22841:1 gene:KN541771.1_FG002 transcript:KN541771.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSRFSQIFLILVVLPHLCNIFHVQGLSEDNNTEKYVPVQSMNVTNEGFQINVYINAWLFHLVKEDVEINM >KN541771.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541771.1:19192:20639:1 gene:KN541771.1_FG003 transcript:KN541771.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSRFSQIFLILVVLPHLCNIFHVQGLSEDNNTEKYVPVQSMNMTNEVARQGEDARSEQAMCGMPQRFVERIDGVSLRMVVGLTARFFFLLVSRSKILRHDIGIRRTCTRFGLMHSSEQSTNDKIIRMSTLVEV >AMDW01040633.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040633.1:623:1771:-1 gene:AMDW01040633.1_FG001 transcript:AMDW01040633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIARCQEAVDNLASMLNSRETKPEVLPEDMLDSECSSHTSGMLLVSSPSHIMPISSSATITQVVQVKAEKPEVTEVLDAIPEKKRGRTKHRKTIKRVSWVHVGCRPVRWPIRFLQSCKVGDVITHVGGVSLFKEVELEVRFHVFDDKGHNINADLLSKRVNLADKILVREEGVDQSTQQSNNLILAPVDAMFSEMSPDGWYEWRQVYLAWEISHVQNILEEIPSWDRSAFSPWECCGSYPWIYGSNRYYLWFDKVLSCQQNGFSPRSIEQQKLHKECLYGGLQKVHKNQFQQYFHCFALPQCKHLSHITLLTSNVISSNNMQWYSGILGIDFMELSTIHYLQMELIWMTEAQAWGKSRIRSFLGFSILHDLWLKEPWPSPS >KN538814.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538814.1:98077:98664:1 gene:KN538814.1_FG001 transcript:KN538814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQARAQWPQKQNKLFEQALAVYDKETPDRWHNIARAVGGGKSAEDVKRYYEMLEEDIKHIESGKVPFPAYRCPAAAGYQAERLKHLKI >KN538814.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538814.1:44327:54117:-1 gene:KN538814.1_FG002 transcript:KN538814.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSGILMDDVSRFLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENMMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQADAENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPMQEDPPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKQLMQLWKECTEVKSPKAPSSGYYTICSFLSPFWITKGTPQVDNDREMGFHPVDFADDIEKLRGNTSGEYERDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTPLDPEVQLPSGRSKRRQHSSGKGFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEETEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACVSIVAMFDGRVSDFNYVNNASDHTFQFRVSTYYRLGRSVWTRAVRPDPPPLSMLDHLARLKHLARSGRLADAHHLFDGMPHRDEVAYATLLAGHAAAGDFPGAMALFSRLRASSPPLAAADPFVLSLVFKSCAAAADARLLPHAASLHAFAVRSSAVSSVFVATAMADVYAKAGCLGLALKVFDEMPHKNVVSWTTLVASLTRAGRRHEALRRFSEMRASGVHCDSYAYAAALTACADAGLLSRGREVHAFCAKLGLDSTPYVANTLATLYARCSDVDRALAAVSRMGTRDVAAWTTVISAYVQTGRAKEAIEAFVRMLREESSVAASPNEYTYAAVIAACADIAWVCLGEQLHAQAARKGFACTRSVANSLVTLYTRAAGCLSAADAVFRESVVKDVVSWSAIISGYAQEGLAEDAFALFREMRHHSSCPRPNEFTLASLLSVCATAASLDAGRQLHTLAVAAGLEHHAMIRSALIDMYGKSGSMLDADMVFSHRVKDDVVSWTAMIVGYAEHGHSKKALELFQEMCRVGLKPDHVTFIGVLNACCHAGELELGLRYLNEMNQIYGLYPAKEHYGCVVDLLGRAGRINEAEELIGKMAANERDGVVWTSLLRACAARGEEETGKKAAERAMEAEPWGAGAHVAMANLYASKGQWHEAAQERHMMKQKGVVKGAGWSSIGVGGEGRRVGVFVASDHTHPQDSAIYRMLELIYFGAGMARYVPDQLDLGSELDMMISS >KN538814.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538814.1:9058:10903:1 gene:KN538814.1_FG003 transcript:KN538814.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPLATASSSSSGATADESSSSPSTASIVARVVAVLAVASVSLFARHEASKGFHVDIVNAAPRDTVAGRRFDLFFVSNGKAERILHYANRGVEAALFPDASFPRKQHAADIPIILLNIYNKTKTPQILKKKRSNLLAKNDIDERSVCQVMNS >KN538814.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538814.1:156998:157372:-1 gene:KN538814.1_FG004 transcript:KN538814.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHRAGQLLFLLLLLGHWHITASAASSIHWRAIRRREERLAWAAQGLAAELEVADEGLRRDCLFCFSPEIVQIFCLTACLTKSRACMRTIVMKQPHEYYLTTCWIDVSAESLGQDVNLEEQR >KN538814.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538814.1:2109:7157:1 gene:KN538814.1_FG005 transcript:KN538814.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLLYGKVHSDANLENETIKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRAVLRAMAEVGIILVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKHNEKPSVMAIKIACCFLTVILIWEIPGVFEFLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLFIKGAIVTLSLTAGYLWYEYIYRLDKITYNKVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSTPNGQPKWLLSFIPDYPLLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFIAGIAISACLYFCSLILVKIAIV >KN538814.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538814.1:164542:166779:-1 gene:KN538814.1_FG006 transcript:KN538814.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPEVGMEDSSATHLSDEVQDPGRGSSDASVGSSLRDSRGKEVVAAAEPVREELVQSAVGFLKHPKVVASSDVQRRSFLEKKGLTVDEIDEAFRRLLSPSSNSMSPNSCTYQGVSDHSSKITQENPSTVTKCMDDDSGRPEPETESVDPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISESRMAPKPKPWEKQGQESSIWELKSQSMDTIESRSEIQLDSTNHFTETENISNQGDSLLLAETVAGSEAHTDDAALTKS >KN538814.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538814.1:147662:153387:-1 gene:KN538814.1_FG007 transcript:KN538814.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALAPLGRHGAWSYLLKLFFSMAEDLDKLREMEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPTIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >KN538814.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538814.1:119223:120835:1 gene:KN538814.1_FG008 transcript:KN538814.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLHALAHPKVGGGENVGAIEGEDHEHVDAPCANALDHGEHGGEGAVVHVDDGGVGEDPGGILAGEVVEVGGLARGDPDLAEVLGGEGEDGLGEDVVLAAEEGEEAGVDGGGGLEGELLVEDGSDEGVEGAVRALEGRGVVGVDDGGEGRVGGAQVFFIKID >KN538814.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538814.1:38585:39016:-1 gene:KN538814.1_FG009 transcript:KN538814.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRISVTDRPLTAKTAYDLSFSKLVDDPFAGSIWDNHAQVKCKIFLWITHKRRIFTNERRARRGLATSACCPFCNFDEDVEHLFLRCSGVAAIWHAFGLDEHQIASLPRLEDVWDIPPPEHFVTPRIWRTILLAAIWNIWK >KN538814.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538814.1:15729:16837:1 gene:KN538814.1_FG010 transcript:KN538814.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSYALVEEAAGGGGAEQVATQAHHDASRRLAAQVAHGTPERDVTSPLATVPVDNPAANPTVTSTTNPAAMPGTQTTPSLANPVAAGGGGSWCVASPSASTAALQVALDYACGQGGVDCSAIQSGGGCFNPNTVRDHASFAFNSYYQKNPVQTSCDFAGTAILTSTDPSSSSCKYPSTSTGASVLNTSTPTNPAFGGYDNSPPGFGNNSPPLYGSMSPPGYNDNIGAAAAMAGSKKTLLSLACVVATVSLNLYK >KN538814.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538814.1:69808:87619:-1 gene:KN538814.1_FG011 transcript:KN538814.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAAWSVSSCKPGNGVASLRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVVYVDFKLDESYTPSKISVRAGDGFHNLKECIDIRLGRTGKLWQDFVSVNMEIKTVELSKPVGWVHISLSGADPRETFIHTFMLQISVLSNHLNGRDTHIRQIKIYGPRPNHVPHQPFHFTSREFVTYSTVRSSMIKESSQPDEQSFMLPQHFKEHESSVISFPPDNQQFVMSYNKTTQRSDDLVEMTTDPSMDYVVDSCEFPHVPATNHVATMDNGIEANGGKRKKSATTSASVSLRSSESDFTVDQKQHIPAYPPISEKVSANENHKNSDSISTSSNGIPSSDYAFLRVSDVNVQTQTVKPPPPLKQTSKLLKKREILAKGDVHLENHSCTPASSAHAPSNTSTSQAERRDDTALFNNEANPSSAAAAMKEAMEYAEARLRAAKELMERKGDSFKLRKKPSHHRSTRSTEVKVPTESDTFDENLSVKKSTKEEMNSEDSLLDKHQKASAVRTDHCDDSGKRALSLEKPQHMQSCTAPNQTSSKLGKLGNWTSGDEFYELTGEDQKQKTDAAVGEEDKCEVTNPVTKLSKEQKCEVTAADSDLERYEKLWEVNDGRDAGVKHVNPREDNTSPMGKDRVSTILEASTENIDHEKIYNSHFEGPEVVETSNQSHDGEDGAVEIPCKSGITISEPNLMKDMRSSFMEASSPGEYVADFGKGTTEESPVAGISLEPKTTKEELEAACEAEMQCTTGDSEKLQESSEVTNIDNSLARQIKSLILEDLEGSSETQAFPGDPGTAGSEAETYGRNLGTTGLETESYGREKFSFVEESFMHNANRNVTESPVETPIPEQVENVEIEDRVGSCAHSEESTVDKDAECPEEGSDITSQNNNLPDHEDSTMLNVFEVASKLIKRDLDQEKQDTLQPGEVETRTVLDSNDKYTKENPSENSNTIGSEEVLSHGNQEDQKVPEMDKTKRRSDANAQVKLSGVNFYEDGDVTSAANNVTTRLTTNSKDQASSSSEMLTGRQHLPQDAGPAISQTSNGTFPSLEKTEEVCKEAGRELPTDKSAAFEDENSRACKSKAELKQQQSHSEKSSSLPKSAEGHIPSSADISRKETPGVQRLKEQGSLRTEREREKDKEASRRLEETKERDKKFEKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKIALERVTAARQRASAEAREKEERASTEAAAERAARIKAERAAVERATAEARERAIEKAKAEKAAAEARERRERYRSSFKESFKSSNLDNRQDTQFQRAVSSNLMRNPDSYSKGLEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRLSEYLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTELITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >KN538814.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538814.1:114180:116202:-1 gene:KN538814.1_FG012 transcript:KN538814.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPCYPDQPVVHRYLPVWAKLPAFAAKPAFVWADDGDTAMSYATVTYSQLDAAVERMSSGLLGALRRADTVLVLASPGLRLVKLLFACQRAGLTAEPEIEIAVVDEESGEPVEDGVEGEIWVSSPSNASGYLGHPSASREVFCARLPGKGSCYVRTGDRGVVARGAERYLYVVGRSADVLALDVDGGQRSVCAHYIETAAFGGAPDRLRGGCIAAFATSPAPSTSVVVIVAELLKGSGGDHKDICEGIKRAVWEEEGVRVGWIVLVDSGVVPKTTSGKLRRGAAREKLLAGKLPILLEARYDGAESESSVPWAGGEEEMEKCPGMDTAYGSASRRLRLQSFL >KN538814.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538814.1:123165:127529:1 gene:KN538814.1_FG013 transcript:KN538814.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGGRTASASDLRKPFLHTGSWYKMSSAGGGGGMGSRLGSSAYSLRDSSVSAVLCTLIVALGPIQFGFTCGFSSPTQDAIISDLGLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKVPVYIAEIAPQTMRGALGSVNQLSVTIGILLAYLLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDIAVEVNEIKIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQISTTGMTITLVVVSVSFFVKVLSLCYFKNLFGTFAIYAAVCAGTLVFVCLWVPETKGRTLEEIAFSFR >KN538814.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538814.1:142007:146172:1 gene:KN538814.1_FG014 transcript:KN538814.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIKGLTFLLLLVLLVLCSNVSLSDARSGKHWRQNRASSSTLLRRKGKGKTNNSHKQYGKGNQDPYQPSPSTSPNVPVNPSERPVQGKGHPAPTMPPPSSGSGHTLPSPPPPLPPLLPPPQPPAAQSQNTVFNVVDFGARGDGVTDDTQAFEEAWAAACKVEASTVLVPSELEFVVGPISFSGPYCKPNILFQLDGTILAQTSTRVWGSGLLQWLEFTKLSGISIQGSGVINGRGQEWWTYSDPNDDDNDDVDAYNVELEKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSKQVSIHHTNLACGNALINSIKAKPTGFRTKGKLKTLVQVSEVIFALCDAGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNVTVRDVNMFKTMTGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDRTTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLKPLIVPQYHLYNPFCWQAFGELSTPTIPPISCLQIGKPSGNNVMSDYDLC >KN538814.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538814.1:65243:68618:1 gene:KN538814.1_FG015 transcript:KN538814.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSVFPLEGKACVSPIRRGGEGSASDRLKIGDSSSIKHDRAVRRMCLGYRGTKNGAQCVLTSDAGPDTLHVRTSFRRNFADPNEVAAVILGGGTGTQLFPLTSTRATPAVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGTDLEAMKVDTSFLNFAIDDPTKFPYIASMGVYVFKRDVLLNLLKSRYAELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSACELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKINNCIIDMNARVGRNVVITNSEGVQESDRPEEGYYIRSGIVVILKNATIKDGKVI >KN538814.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538814.1:20236:27687:-1 gene:KN538814.1_FG016 transcript:KN538814.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVAVERLISQDPFHEVRRKRDKKKEIKAPQETRPRPFYKPAFRGSKTGGDSTGSGKGPTKKETELHSLPKSSVSDSVKESNPTEKISAADHATINDSLILSSGQADAKPTPLQPPSQVKHGWGGMPGRPSMADIVKMGKPQAKPVRSVACNTGMPTIGGSVISNATNHTSKDSQDLVLPSQVNSVATDRIPNGTNEVSPASNDSSIDVLPPREGLEVAESVATVKPGSSTADVYKDEVEEDMDSDKNKEMSASNADGRTSSGPYPASSKEVHSEHTQIATHHNDLIVETEDSQSDGNAFENNRDSEGNMSATDKQFEQLILHEEKKSKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKTANGDEEVAAVSDNHSIDQSDVRIHEYENKDTVAPAADEHVASSTKSDMENVDVAPVQQPELRTADLIDVPNNTMYNNLSTSDYATPSAVQPDSSAHIYLQEHRQLQNISPLSSFMQGNIPNGLLPPALPPLRDFDPAFSLLLTNPPLATMVHGTTSSSMGNATTVSTQPQEIVNPGALSNPQLNQSQPSTSTSIASGPPLPQHLTLHPYAQATLPLGYASMIGYPSLAPSYTYLPPPAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKGNVSLASLPQQASLLSSYVGGFGAASSMPGNFALNQSTPSATAAPGFDGTVPAQYKEGNQFVSLQQSENAAMWMHGASSRTMPPLAANALYGYQGQQGHQGGLRQGQLPSQFGAPLAPTQPGLGHEHRNPSDGNLTSAAAAAQANQMWPNSY >KN538814.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538814.1:93603:93938:1 gene:KN538814.1_FG017 transcript:KN538814.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSKQQQAMMSLPSSRGGGGGGWTQRQNKQFECALAVYDKETPDRWHNIARYMGGAKSADEVRRHFDHLVEDVARIESGRVPFPRYSSSSSRGADDGNRLLNVFHLS >KN538814.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538814.1:32498:33701:-1 gene:KN538814.1_FG018 transcript:KN538814.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVVFLSWRMSGKRRRGEAGPCSVADGRLTHRHVT >KN538700.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538700.1:231281:243113:1 gene:KN538700.1_FG081 transcript:KN538700.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPRNLLLVGTASVALLAVAFVVYTGVWQWKADGEVETPLRRVVRSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGLMWIGAKNGQYFLRHVCQDSDELSTYGWTDHNGRDYGRQVLVDHGLLLTTSFLKEKGEGSGYGGDWAVRLNANTDGPSLSEDQESTTHLFFYIADEAGNSITMDSHIPSSRGHVLLASGSREEIGDWKVYLRSEENLEIHRAGFKSISMHNLSDLVQQALATNAMQSGNLNLPDMAEDSSNVIVYQVSMKRSAEVDIVFLSGAASENPMIEERINRLTGPVLSTRLESKQKDFEKRYDQIFNVNNKINPKELSVGVAALSNLLGGIGYFYGQSKIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHANQFSDEESEKISTFLKRAYVRLNSWFQWFNSTQTGKYEGTFYWHGRDSMATRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMCSIAEFLKTDSSLEKDYYKMSNQLSDFGILNKMHLDDKTGAYFDYGNHTEKVRLRWYEVRENDVMRRELLRETLQPPQLQLVPHVGYVSMFPFMMGAIPPESWVLEKQLDLISNSSILWTDYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSGLHHYAHEDGPYKDRAKELYDELRSNLIRNIVKNYHETGFFWENYDQKNKGKVCSVGLVLNNLYVKKRNLITGIQGAVTFILVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASILIVADFGRYTKELASLRKDVVAPYVHVVDSFLNDDPPDPFDARPTLLFFRGRTVRKDEGKIRAKLAKILKGKDSVRFEDSLATGEGIKTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQIQKTKWVEMWSKLKNVSHHYEFQNPPRKGDAVNMIWRQVKHKVPAVNLAIHRNRRLKIPDWWG >KN538700.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538700.1:217952:218797:1 gene:KN538700.1_FG083 transcript:KN538700.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTVIITSVNDAWAAPGSLLDLFRDSFHNGDGIAHLLDHVLVVAADAGGLRRCKAVHPHCYLLDVVVVSSGAGDAANLSSANRFMSRGYLELVWAKLSLQQRVLELGYSFLFTDVDVMWLRDPFRHITLYADVTISSDHFRGDAGDVARNSPNTGFYHVRVCTVHANCCVGLENKVLDLKNVLADWKNYTAGLTSPGKKSANKFRWTFPAKCKESLKRH >KN538700.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538700.1:285465:287979:1 gene:KN538700.1_FG085 transcript:KN538700.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLCDAGAAKPRRYDLTMSRRTRRPATASVVTITDCNQDQGMETQSQMILMIQEQQQQVQVTQLKDLFQCPCATTQPEAEDTTEQEALQQPEDAQEQQKPRESQGEEEAQHEQQQQQQECQECEDNSRRLSLQELIDVEPINGAKDAAIGSQEVSSAAAGAAVQGVAEAAAAEKQPEHVTGKKMIGMMRRPLISVQQCANHMNRNCRYQRVLVAPEIQTMATSPISSGESFMFPTSAVESSTSASGSSNVGSEEIDPNTCKNISEMIINIRGGHHMAAASQWHMAQREIDAMVKEIHSKAGRQHALVWSYKLRLLAFADEITKLQLSPDKLFVVLRLLKVLNPDFFLVSQCRPEEFSVAKYDDTLQKLRMAVYHMLRELKILIQTRASRRVPPGGGIHEVTRYVMNYIRLLLHHKTTLGLILGNNDRDKDNERMDSLDHIVQDLIICLESMLNKAPEAYESQGLQCFFLMNNLHFVVKQVEGSELISLLGQSWVQVHREFIEQYLKTYVDLSWGPAISCLSARTGVLGGCFSQPSSTVRFSLQFDSTYYNQECWKVEDPQLREKVRRAVCDKVILAYQAHLDKYMKAKRKHEWYTPELLKAQLMKLFEGRTE >KN538700.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538700.1:324428:328561:1 gene:KN538700.1_FG087 transcript:KN538700.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDAKMPSWDLGTVVCEAHSKTAVVTVAGQQQRFCQQCSRFHLLGEFDEEKRSCRKRLDGHNKRRRKPQPDPLNPGNLFANHHGAARFTSYPQIFSTAASMSPQEAKWPANVVKTEAADVFQEPYYHALHLNGAGAAAAASIFHHGGNKARKHHFPFLTTDHGDGAAAAPPLFGCQPFTITPSSESRSSSSSRHSNGKMFAHDGGLDNCALSLLSDNPTPTAQITIPQPLVAGAGGGQYGGGDVSLTGLSYVRMAGKDTG >KN538700.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538700.1:280765:282509:-1 gene:KN538700.1_FG088 transcript:KN538700.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAKKSLHFAALLLLTSTRKTILLSENCRGVEHAHVLYSHHVNQGTLKRDEALDSDGRGFKHGRKRHLPSPCVLTTPNLTAPEVDGIVHEQSYGRAYSIGYSFTAQFLDNVRL >KN538700.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538700.1:248879:250451:-1 gene:KN538700.1_FG089 transcript:KN538700.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MQARREVRTREEQWRGEERVVESPLGSARWSPEAEIGMRVEDIWDSLDQPQLSDRDRLNSCFDAIPVASFPHTFDGAQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQAAVVHMLAECAGLHWFEDWGAKSLTELGLPMIRPSRLVKVRHDEPALKAFRLMRKRGVGGIPVVDHAGKPTGSIMIKDVKHLLASSDANRDYRTLTAQEFIANARQSSGEKQMNIVTCKKEESIKEIIFKLDAEKRQRIYVVDEQGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVFPLPQNSRV >KN538700.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538700.1:223395:226427:1 gene:KN538700.1_FG092 transcript:KN538700.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MAMINRNHVVSFLAGAALPTLLLFFLASDRVSEQLAIVSSWGSGGSSSSAAAADIRGAGGDVAPHAQQEKFPGLPELLPKVAMEDRTVIITSVNEAWAAPGSLLDLYRDSFKNGEGIAHLLDHVLVVAVDPAGFRRCKAVHPHCYLLHVKSINLTSATRFMSREYLELVWTKLSLQQRVLELGYNFLFTDCDMVLFRDPFRHIAVYADMSTSSDDYSAARAPLDNPLNTGLYYAVFGHIKHELVAKLRARIEPLDTLYFGGFCEYHDDLARAVTMHADCCVGLDTKVHDLGGIAADWKNYTGMSPEARKKGGFKWTYPTRCRNSIGWRKPVHP >KN538700.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538700.1:245730:247223:1 gene:KN538700.1_FG093 transcript:KN538700.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAGEDLHASLLRRLSLTVPSFASSASDLDCPSFASHAGDGDESGGAGGRASVSDEEISPYLISPDTVGTLRGIADVMLRAGYAPELCQVYGEMRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICNQIFAADAEAEEDCFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELEGLFSGDARDFIKEEAVGILMRLGDAVRGTVAEFANAIQGETSRRALPGGEIHPLTRYVMNYVRLLADYSRSLNQLLEDWDTELENGGDNVNMTPLGQCVLILITHLQAKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKASLKERFKNFNLAFEELYKTQTTWKVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSARYIKYNPEDLENQVSDFFEGRRPNA >KN538700.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538700.1:250924:254282:1 gene:KN538700.1_FG095 transcript:KN538700.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFDLACQNAKVTYEQNPHDADNLTRWGGALLELSQMRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDTVKANEFFEKATQCFQKAVDVEPANDLYRKSLDLSSKAPELHMEIHRQMASQASQAASSTSNTRQSRKKKKDSDFWYDVFGWVVLGVGMVVWVGLAKSNAPPQAPR >KN538700.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538700.1:262562:268370:-1 gene:KN538700.1_FG096 transcript:KN538700.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAKASPASLAVKAASWSEPVQRFLKLCADAGNLEACYILGMIRFYCLGNRSGGAALLARAAVGGHAAALYSLAVCCRQKLASAACAWLTVFVLISDAKARESNADGGVDVLIIHETMEKEAFNFGNDGFSKGPETVDFAESNLQSDQNSGDDYDDNQA >KN538700.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538700.1:219906:221734:1 gene:KN538700.1_FG097 transcript:KN538700.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRSHVVSFLAGAALPALLLFFLASDRVGKQLAIVSRWGYNDGAALTGHELQQEKFPGLPELLPKVAMDDRTVIITSVNEAWARPGSLLDLFRDSFKNGEGIAHLLGHVLVVAVDAGGFRRCKAVHPHCYLLRVNSAAGDLSAANRFMTRGYVDLVWAKLSLQQRVLELGYSFLFTDADIVWLRDPFRHIGLHADMAVSCDRFSAGADADDDVDRSSPNTGFYYVKSTSRTVEMLRRWRAARSRFPPDNNDQGIFNAIKRELAGGELRLKIAFLDTALFAGFCQYNDGDVGRVCTMHANCCVGLENKVHDLTNVLADWKNYTAGLTSPEKKAAKFRWTVPEKCKTSFQ >KN538700.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538700.1:228203:229513:1 gene:KN538700.1_FG099 transcript:KN538700.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNGLSPVVVFLLGAASATALIVFVFTSTASPAWPTPEATPATRQEKKAAAVACAPRAKGIDSETRRAARTNQTGGGDDDDEFARMVRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHFVEHIVVVAMDEGALRRCRAVHPHCYLLLPEVAGLDLSGAKSYMTKDYLDLVWSKLKLQQRDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATPRNARAMAYWHAARRRFPGEHDQFVFNEIKRELAAGAGGGVGVRIRFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLHDLRNVIRDWRRYVARPRWERQMGKIGWTFEGGKCIH >AMDW01021415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021415.1:6:300:-1 gene:AMDW01021415.1_FG001 transcript:AMDW01021415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATMSARLFTTAPAGTTLPPTSLPLPPELTAILATGDLYGTSDASASALSAQDVSAFFITPGAASATLPLVGLPPQAAGAPPPPPPGYGGFIPLMT >KN540136.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540136.1:4235:6703:-1 gene:KN540136.1_FG001 transcript:KN540136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEIQVYASSVSLYNLTAVLVAKTGSIVIRASLGLVQFNCHPIPKGLLAVSRDEQDSLPTSSPQPDVRDSMPALSPQPDEPRPEKRKRGGRGRNKMPKGRYIITHVTDDGQPMLPKTAVSAFRRACSVIGRSKIKITYKDWKKVPNTEKMVLWETMKGMFEIPESAHDSVQRQALLKIGKVWKNFKSELYKKYVKQDRTPFHDKELAHLRDQWNEFVQRCQTPEFLHQSETEHVAQRVQQLAEESLQGSFQSCREKDILTEALGTKEHPGRTRGLGATVPWKAGFTDNSDLYKKHRRSKGECEETNVAQLKKEIYDELAAKIDSEVEERLQQALNQRSVASPVEPSPNTIQDSVVSPVPVEPSPNTNQGNCGAVAHSHPGGSIIHDRYPVDDIEEHTKCKIQVAIGVGTNFIIDAGEGTAYPCSEDPWVQGVPLAEGYGKVRVNMVYPNFTAFPLPLPPNEEIMTLGQALRKCIQWPKKDITLST >KN540136.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540136.1:63430:63774:1 gene:KN540136.1_FG002 transcript:KN540136.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGADGGRAVRKAFSDFSHLFGTKPVSDYLPWLGWVDTLRGRERKARRTFEALDGVLDKVIDDHRRRRRDTGRRQTGDADAGHRDFVDVLLDVNDMDNEAGIHLDAIEMKAIIM >KN540136.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540136.1:38367:38666:-1 gene:KN540136.1_FG003 transcript:KN540136.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPYVVAAILSLKREPPPSAEYLEVLSAEKREKELDQYAARRKELEDELEAFEKDGYFVVDESYLEETAGCLAMANEQLAKLDFRGIVFGDWDYDDLD >AMDW01007179.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007179.1:3:143:1 gene:AMDW01007179.1_FG001 transcript:AMDW01007179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSVSQPPPTQQQLQ >KN540202.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540202.1:3077:9538:-1 gene:KN540202.1_FG001 transcript:KN540202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVNAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDLRKRKLKLRPVTYLSSAHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMQPFLLPVKRCFGIAT >KN540202.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540202.1:31733:32068:1 gene:KN540202.1_FG002 transcript:KN540202.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGALLMIPIATIHRDKEVWGEDADEFRPERFENGVTRAVKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >KN540202.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540202.1:28864:29673:-1 gene:KN540202.1_FG003 transcript:KN540202.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSATEVSSPWLFTSFESARRNSSAVVTFDMNSLSPVAEIGRKEVYGADVEAAIPASRLSWLGRHNLLLAAGSHSGPAGVVGDICLWDVRASATVPVWELREKEDCFADIAASEALSSLFKVGAASGEVFMADLRMLGGGGISIEPWVCIGDGQRAAAAASAGRKEGNGCRIECYLNWVFVARGGEVEVWTQVELAQEAGGKKLMRRNWVGNGPSFVIAGGSGHESVKEKTKIVSWAFGGSRMALARDDKRSIEVWDSAPAAISFNP >KN540202.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540202.1:12219:14617:-1 gene:KN540202.1_FG004 transcript:KN540202.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEINCKAIKDMLGSTDVLDFWCYQKVLPVTFEAALFSQVFLGWWNFNSLFSNNISLPNEGTSPRGTDNAECSETSSDRSNSESIKPEECAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQWYRQYLVLILVLTQ >KN540202.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540202.1:47546:48289:-1 gene:KN540202.1_FG005 transcript:KN540202.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALVIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQPETKPSPQPNPQLNPQPDPKPSPQPDPKPTPQPEPKQDPQPNPQPDPKQSPQPDPKPTPQPNPKQDPQPNPQPDPKPTLQPNPKQDPQPNPQPDPKPTPQPDPKQDPQPNPHPSPKADPKPNPKPKPQPEPSPNPKPEPKPEPKPEPSPNPKPNPNPKPEPQPDPKLEPKPQPEPSQPKLPPLSPAIAIIVPGN >KN542415.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542415.1:3921:4906:1 gene:KN542415.1_FG001 transcript:KN542415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTKEEVEHNEEDNTFSRLQLLAQQRHAMEEFWRRSQEQIEASAGNHEHILPIDYVKNVIRPKDDAMMLSADTPTFVTKLCELFVQELTLRAWVCANSHNRDIILGTDIAEAITTTESYHFLGQMGTQHTLSPFAFMMQGESLLNMKRENPLVNEVMVCTNKMSINNFDGATSIGGGSSSDAAIVAQQGETTHPFSSQNACPSLEDNYVVPMPTGHVDSFSPPTNINVKKLHQEEKNIYSQDVAEEDMSNESLEGSQKDEDLFLHEK >KN542415.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542415.1:9533:15792:-1 gene:KN542415.1_FG002 transcript:KN542415.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding CKSHPEPALYNGGILRWANKVTDFRTEDDGNYSPAFVLYNMSAATVYSFSCWVKIDGPTTAHVKAKILTLANAASQCLGTALVRNDCWSFLKGGFTLNSASETSVLYFQNRKRFVNVHVADSNGSRVVGAKVAVHQITRDFPFGSAISRTILGNKLYQEWFNKRFNAAVFENELKWYATEPYPGKEDYTVADQLLQFVQANDAVARGHNIFWEDPKYTPAWVKNLTGSQLRAAVSGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATVDFFDTAKRADPLATLFLNDFNVVEVCDDLSSSADSYVSRLRQLADGGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPIWLTEIDISSSFDPKTQAAYLEEVLREGFAHPSVDGIMLWTAMDTNASCYQMCLTNQNFTNLPAGDVVDKLLGEWQTKETLGTTNDRGSFNFSAFLGEYKLSVTYLNLTAEGTFSLAHSDDTKHINIRLSPSR >AMDW01032580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032580.1:50:356:-1 gene:AMDW01032580.1_FG001 transcript:AMDW01032580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSMLWVSSFVCLMAIAKYMEGAYYALQRGNLENMRSSRKGEKKKEMISRSLQNASRGGRKPDDEQILLIAHDMLYITKNAFMDFLDKKSDDDDEQEALS >KN539324.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539324.1:97976:104107:-1 gene:KN539324.1_FG001 transcript:KN539324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRPATMRAVQYGGYGGGGAALKVSSGTIIHMLINLVELLYIARFNLCKTRAALALPEYSQQDATKDANMDDLAKIYDLTFKAHQYQIEYGKNTERNYCEPIIEIPPTPLHENRGETSDEDDENEYYFDDDMEDIGRHDYDMEDIEHDYDMVVDLRSAKPTTNTSQAGATPGKEMIPINPRAKSTPMVKKFSLRTEYTACIIPDGHIILKKFDPRVPGDRNPYLLVFRSFDEHTVKATILVFADHSSSRSPIEINRDLVWELRRQTCIVHFGTRVHSVTKGQTREGLYHFYNEGLDLVQQGFIQRKIAETSGLTGELIDTSTKLIRILFVEIPVPSLKKNEILIKIEAASLNQADWRIQKGLMRPFHPKFPFIPVTDVSGEVIEVGSAIHEFKVGDKVVSKLNLWLAKLGNHRVTATCGARNLDLVGSLGADEVLDYATPEGAALASPSGRKYDYIVNLTDRGKWSVFRPQLSSNGGGRVVDVSPNLGNFLALVMTLFSRRKKLSLVILTLGKEELGFLLELMRGGKLKTVVDSRHPFEKAAEAWARSMSGHATGKVIVEM >KN539324.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539324.1:6106:11417:1 gene:KN539324.1_FG002 transcript:KN539324.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTPAPASEGFAVVRELAADDPDLDAAKVSLGVLGIISQVTLALEPQFKRSVKFVKRDDADIAEKVAVWGRLHEFGDMVWLPGQRQVIYREDNRVNISTPGDGLNDYFGFRAQPTLSMVGARVIDEWLEENPMYTDTARCLASRAVTTMFDLLAYGFTNDGATFTGYPVVGYQHRIQSSGSCMGSLEEKDDGLLLTTTCPWDRRTRGVFAYNVAFTVPLSRAPAFVADVSRLRDVNPAAFCQIDAKMGVLVRYVAASSAYLGKAEDSVDFDVTYYRSHARGAPRAHADVFDEVEQMALRKYGGVPHWGKNRNAAFDGAIARYPNAGEFMRVKDRFDPEGVFSSEWSDRDRVLGIGGASPAIVGDGCAMEGLCVCSDDSHCAPELGYFCRPGKVFTEARVCSLRDAADGYVRDRRHGNVRADVIPAIYFIINGQDWYNMCKNRYNDTKPYTTLATAIFSTVQAVTIHEMKLIDINVEISTVPQYSASSVSL >KN539324.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539324.1:64140:65621:-1 gene:KN539324.1_FG003 transcript:KN539324.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERPATMRAVQYGGYGGGAAALKFVEIPVPSVKKDEVLVKVEAASINQSDLMTQKGMMRPFHPKFPFIPVNNVSGEIVEVGTAVREFKVGDKVVSKLDFWTAGGLAEYVATSEKLTVARPAGISAADAAGVPVAGLTALQALKAIGTKFDGSGTGGGADVLITAASGGAGTYALAKLGNHRVTATCGARNLGLVAGLGADEVLDYKTPEGAALTSPSGKKYDYIVNISNKNKWSAFRPRLSSHGRVVDVAPNFGNFMASVVTLFSRRKKLSLVSLKMSKEDLGLLLELMREGKLKTVVDSRHPFEKAADAWARSLSGHATGKVIVEM >KN539324.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539324.1:60743:61903:1 gene:KN539324.1_FG004 transcript:KN539324.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSLWRPPVPIKDAIEQDAITPFPKPEAPEEEEEESQGDRDRCLMSSLRAKVYGERRYLEYQATWWPESALQAVLAIQRRFRPRPSDVLLASYPKSGTTWMKALVFAIMSRKVYPLRDHPLLRLNPHDCVVHLSGAYATSKEAVVEALPSPRIMAVHMPFSTLPASVVADDSSGCKIVYVWRDPKDVLVSLWHYYRRLRPEEAHVSEFHDLYESFCHGETSFCHGETVFGPWWDNVLGYFRASVEMPTRVLFLRYEDMLEDTASAVVAIANFVGCPFSAEEERAGVVDAIVKLCSFEELKNLDTNMSGSNGHLIKLPSSSYFRKGVAGDWVGHMTREMADRIDSIVQGKFQGSGLEIKRAST >KN539324.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539324.1:38383:44386:-1 gene:KN539324.1_FG005 transcript:KN539324.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRYLDADDVLNYNTPEDAILMSSASGEKYDYIINAAINIGWSLMRPTLTSHDNRREVQKLLGIMAAAGGIPASMRAVQYTGYGGGAGALKHVETPVPSVKKHEVLIKVEAASINPIDWSIQKGMLRPFLPMFPFIPVTDVAGEIVEAGSAVHELKVGAKVLSKLNFWKGGGLAEYVAAPESLTVVRPAGVSAVDAAGLPVAGLTALKALMSIGTKFDGTGGTGANVLITAASGGVGTYAVQLAKLGNHRVTATCGARNMDLVRSLGADEVLDYNTPEGAALTSSASGEKYDYIINTAKNVNWSAMKPTLSSHGRVVDITPNPGNYLAAMLTMFARKKITMMALMSLGKEEMRFLMELVGEGKLRTVVDSRRPFEKAAEAWEKSMGGHATGKVIVEM >KN539324.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539324.1:74241:74459:1 gene:KN539324.1_FG006 transcript:KN539324.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANACLKIVNARLKKLREQGLIPASVSLSSTEVPIQREGLLMDVARGTDSMGYNRFEGSSGSGTAGSNGDMA >KN539929.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539929.1:49667:50077:1 gene:KN539929.1_FG001 transcript:KN539929.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQSNDGAVMFSSIALLQQRFRELERIKEEREERLIQMLPPRSDRSHSGAAAVVVATAAPREVPVKWFFHPELLYPCRPLRDMAAATLLPVMPATIDCEFKTFQLRGDSLAVDLWPSKAYKHVSSEVDVDTSLHL >KN539929.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539929.1:2713:6691:1 gene:KN539929.1_FG002 transcript:KN539929.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVKRKLPSQEPTLSASPSSVSPLGTGKLVEASADLESSEDSTQSYLSDAASRATAQEDVELVARALSRVAAKSPEAICQFVRRLTPAKVARSLDWDLLESDRLRKMAGGGHCHWSEDELLAFLQSCLEEIAARNITSSCPKTQGYANLQAKMLAKVGKHVTKAQQRRGARSFMHAPLRFIAEHHAVFRAIDVEELVDLPDTPPSPDPQPMPPRCRGKRLATPGSNSRGSKKTRSDSTGEALQRLADLRVKSCESKAQKQRERDAMGARACIELLKGDGHLFSSDVYHMGIYLFSDPYFCEFFLADAITPEMCEYYIHAHYAMKCPGGGLFPPPSCSGGWFPGGGGRMNTDSENNSGSEDGYTSEEDEAIVELVAAAVQRNGPRRAPRRVPRQSRMEWVMETMANPEQCHNMFCMRSDQIHALYNLLISRGYSMRSVNNRMVRCNATVNRYFYRVLNAVNEMAADIIKPVNPNSFPQHYRLQQEPVFEPFRDAVGAVDGTHIPVMVRRTSSIVHRNRHNETSRNVLTVIGWDERVMFVDVGWPGSVHDQRVLSEAVRCYPLAFPRLSWG >KN539929.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539929.1:31933:32818:1 gene:KN539929.1_FG003 transcript:KN539929.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGTAPRRDELVRRVGDSGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >KN539929.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539929.1:25804:26991:-1 gene:KN539929.1_FG004 transcript:KN539929.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQGKRKAGACRLGGGKRRAVAVVEVEERDWTSLHGDIANHIAERLLAGDGGVKDYMAFRAVCSHWRASTDTPRDPTLADPRFRPRGWIPICENIGLRPADAGWIAYLHAATGKVRRGMLQEAVRGHRIVGFTDGLVVLLDTRTAAIRVVHPFTRVTVHLPTLAIFFHTVLRLHPSFSMDSFIWMNAFVCAAAGRPASIAVVITFPDMPLVITAQAGSKSWSMVHTDLNLSTTLPFNGRLYGMTQGNNQLVQVYPGTNNPTSPAAAAAIVIAQVPNGISDRPSNSVYYLVETMASMLLVVLHKNANNSATGFTLLAVDLRRGKLTPVTGLGGHKALFLGHDRCVSVSSKNLPSIVGNTIHFAMPGRNPVTEYPGDRVLVRRFLVQEAGDAIPVT >KN539929.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539929.1:50266:61573:-1 gene:KN539929.1_FG005 transcript:KN539929.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTGINWIPPYYFLPHTGILLYESVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQMVTFYIFYHGALIHGLESFPMVIAFDKDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDRERTQAYELEILEANARKVGKVRFKPISSECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATR >KN539929.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539929.1:9646:13300:-1 gene:KN539929.1_FG006 transcript:KN539929.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSANIGDGVGIFFAPYGKKWRHLRGICTLELLSAKRVRSFRPIREEQVARLVGAIAAAAAPSGEPLLSAKLYDMVGRAIEQHQEHADDGGAHGERECLLGTLLRIQKEDDNNDDGGDLTMANVKAVILDMFAGGSETTSTTLEWAMSELVRNPEVMQKAQAEIRHALQGKSRVTEDDLINLKYPKNIIKETLRLHPLASLLVPRECQESCKILGYDIPKGTILIVNVWAIGRDHRYWDDAEVFIPERFEDTTIDFKGTNFEFIPFGAGRRMCPGMTFAHATIELALTALLYHFDWHLPHGVAHDGMDMEEQFSVTVSRKRDLYLHPIQHVGVEEI >KN538803.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538803.1:176387:211039:-1 gene:KN538803.1_FG001 transcript:KN538803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAELFVGETMPLFLFYLRTYVFPSWKQRFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVERHTNLQIDQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDAVIEKNPRKIKKKTLRWRKGETLESLLIANSESHTTSKDRSSRKVPPRTTKLKYRYPRESDHKNISRICREHLQEIISSQQKIFSNYSSRYYHPKFRLTDSSETASSFGEIDNFSARAQSSSKLELTKVVPINEFDTKGIAPTHINGSDCLEALEADDRQSQATQHEPDKAEDVCKRSLVEQNAMLSNSDRMQSVQEENLLSAMVPADQNDDRCRPDDTGSDQENFVDALNNMESEGEAHAEMKIKKDPGAKMELDELNFHRDEGENERHTEFSELGHVIDSSPWLNDSYNGGEPNHAISSNTNFSGVDCTNDEEPSNDVDLMEMDVSSSSSVFSDDNDVFRTNGNMNGFQQYQEASLSNDHHAVIAHSSDKQSSQKSSGLDGSSIESNDFIEKPFHSLEDDKNFAPDGTSVILVRPNDVSQCEEEIEVGNADDSLLQPTISNQEVHRSNNQLEGVAMHASVSSGKVASFPDMDPGMCTKDLELDNVVVPKETVANTPPTGLGTDHIHEHVDELDSGVAPINSSIQSDSTYESDDDDMAEDLNSRPEDDLYKHDVEDLYKHVLEDDGIIALGKGPCSTRANTHQEDPMEVSDVRGDFSNGQELPVLTETASPQGELVGGGELPLLTETASPQGGEEDLADEVVVISSRDLNDEKKPSLAEVPLACGDASLLDSSASCLEHDESTETGEIAKSDEVLVNVEVAEESITGRFTDDMTPFQEDLPDGAKYSEDAEFLANPRVDNSRHDVQLQSSSPCREELETVKAPCENLCALDESREHIFEKSVLQINNLPQHIETKNTGEACSDIDDIQHLSALHCPKNPVCQEELPDETNLSADVQYHCDVEKIQHLSALHCDLEKGGAVILNSKMVEEQPENIDLVREPRAQDSFGTNPFMDPGYKANHALADPCPSYQPCFSEEEQDFISELLIPHGNMGIEDLNPVPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTATPESIDDKPASDSNVVSSSLVTSESEFLYCVSAVRTGVDQEESRDAPGDTLMHFSAKADPDDKAANSDLKSDEPFIDEKIHELGVPSVPMELEVEQHALHEVDSHGDSQLLDNDMIDETCSSPSGNSIAVKEKQETCANLVSRAFINERTDELEVPVSNSVLLEPSEEVHDSDEYNYQDVPWSSTDEGRDEVDAHPLSKQIQTQGSEALVLGELDSRAGPSCSVNEMADHADAPPLSTVLEAEQEPEDCISGEHNSQVTKSSLVDEKIGELDDASPLSNTLLAEMEREVCVPGKSASQIASCSPTPSNEKIDELNAPPLSSSGLIELESEDSISGDLDSQIIPCSSPNDKTNEPDGATSTHVLPVELEQEVCSFPELDSLVAPCSLNDDKVCELDEPPCKQLESENGSYCLPQVDCQIEPCYSESVVLSEASTMSSANAMPSTEETYRLSSPVPPPNEPFSNVSYEDPQKPPPLPPLLWRLGKPRLGIASTKGHMLEPERGKGPVLHTSDAGMDNMPGCLSGMTESIEPVSSQEIKERHLDPILDNNERGVEFRRLATPPTANDVAVTEHVQLFSDACENIKHQERVSSSETEAEEHQNGTGITDVMDSHPPKPLFLVPSISQQGLQGSVFPSDTSDNGEHSCYTSRAVSEDEKTVDDHNAACAMDLHITSSSASSHVSENGCNQQSHGESLPVTSVDKVHTSDASCEDNKLKNHFITSEVCSDATNLSASGLLTEEENIHNVEDQYEGPLPSEESSGCLDYPHDDHNSEKEDIHQPDGYAASPGNNNHFDSSHEGGYLHAEQPPVMGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPVSIRNIPRNPLVDAVAAHDRSTMRKVSELVAPTDKSKPNERNLLLEQIRNKTFNLKPEHGTVLQCDVTQRMAMEIYEEAIQELPWQGGERSGGVDGGVELRGAGVDEAEHGRPLVAVQRVAHEHQPLVPQLPQKLLRVKPYTYTYLGRSQPCGCHLQCWYRRSVLPYFSHADCSDITLHALSLYSGYAALSYTHLPEKRSHSPPPDDDDDGSFIDAGGGRGGA >KN539929.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539929.1:42884:43350:-1 gene:KN539929.1_FG007 transcript:KN539929.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDIMVVVDKLEGIAVVIVSVEIEDETIMNKLGYPALADEFQLQVLSSRTIAIVHEARSNKVTTTTMVLSLRNTMAQLIYA >AMDW01070070.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070070.1:228:1398:1 gene:AMDW01070070.1_FG001 transcript:AMDW01070070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFSFISEDSTNCCGLYSDDMAKNSHLKDLQALGPLKVFRADMDEEGSFDDAIAGCDYAFLVAAPMNFNSENPEKDLVEAAVNGTLNAMRSCAKVGTVKRVIITSSDAAISRRPLQGDGHVLDEESWSDVDYLRTEKPPAWAYSVSKVLLEKAACKFAEENNMSLVTVFP >KN542371.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542371.1:251:9947:-1 gene:KN542371.1_FG001 transcript:KN542371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPEGPCSGFLVVVDEAAAERAAVCCCGLCPDKQRSAPHPAETGVEVVTGIVGQLLGVDSGGGPGMRTTTETDNVMFIPVVGLPLSSRRYYVVRTDGKNVGRVSACSREEDKTTCCFCTCVNDVPPRPFKHDDLYLQVEVQPLPRTRRFTAGAVVAGGIPPEYLRRKGWKEYGALPFPQSRQLALTYRSTPHPAETGVDVVTDVVLQSVEVFQSLDIKSGRGPGMKMTTETDYVMFIPVVGLPLSSRRYYVVRTDGKHV >KN542034.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542034.1:4474:8960:1 gene:KN542034.1_FG001 transcript:KN542034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKEAALLVGWRSVQVAGEVATRQESRQKMHRTPPSGRFDKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKSRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNRPIPLALSESLKLQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >KN543524.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543524.1:2994:4655:1 gene:KN543524.1_FG001 transcript:KN543524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLVSSCLGLYAPLPSPSPAGARVHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR >KN538803.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538803.1:237385:242686:1 gene:KN538803.1_FG002 transcript:KN538803.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLFPLAVRMARGDRVALAPAVLASIYRDLRELKAFLSSSSAAATGELLSPLSVYAPFYLLQLWAWERFPAIRPAKANPIKAGEPRAARWNDVSNKIDPAVLRKALNSGSSFVWQPYTISVQPCGWVRGCHVSGNDELTSLAHCLRACELVGMDCIEQYLPHRVAMQFGLDQDVPGDVQRDNDDCRVAWETYHLEGKNVAFFIPQSEPGVTARYAEWWRQPLPHSHLDVGAASTVVESKVSKRKVKKTLVAIEAEEEKERKLKKARVLPSNNDKKRKLQELYDAKLSDCLAAARDEGAGSCDRGSLPLSDMESEKALLSHVETINDDIVLLVPRKQTAAPDVNLIKDNMNLATGDRGSLEATPPVGMEEKDEMPKAQQTCNVEHPTHQPYCQETKAAPSTEITKDESSGIVLANVNELDRGRTPDVSNWHEEAVPSEAMEKEESRYHLSDVVCKDESIKEVVTVDKPVDVSSEPEGGATAMLEEKMLNVSVDKSLDATDRPEEGTTIMLELENEDNLSVDESCRVSNSPEEVSATVGGDKEEKVAIDEVDEGNGASEDVGTAALGSICSIEVAPGSKQEVDTGVINISHDAVTLPDEVLPVQQPNDGETTCHDFVTEEQRKACCIEEIGGENSQMVEKASKQKPHEAHQVNMVECGEDINPMENDNEDEHEKIPQPLENVISDSNMTIVFSVVPEAENADTDKGLFLAKKDTEDMPKEVVGAEGSQQDQFTTSTHEVVDEHNEVAEVEPIVLAEPNIHGQCDGEKPDEGKVLREKDTEENAKNALGVEQIERQDKALTESCIHEVEQVDGQSERLTRTGVEEKHAEITQEQENEFDNDVMEASKVSVNGAMPCSTASIQSEGEQKEASDKGMAEKQSNQDIVSIDERDSLSDAAATVVEGADDHITLDTNEEATRKHTHDSGSICENKDTQMFQEGCKLDSGVKSDIDILEIETQATEGIQNQETMELDKQEMEEEQNPGRTIENNKMKIPEEDASTFSCGEIQTDPPSTDVSEVEFTTGTQNNKHLDIKEELIMDRRLGCEIKYGNERPLEEANTFGGCDGRVDNIAVALDVNEENSIKGMQNQEIHSTEEASEFQAGEANLNNGAENVNEKRILEDASTVDSGDSTNAVVNGAESTEGTPILCALNTEKELEIQEKQDQGTENENTNQNLVNTDSFECGVEPHGTLKTTHEILPTVQVVSTSGDTFSSKNQQSNVPREDQNKSDAEVSESNQTATKEFERAIPPEHEGQEEEKEENMENKIEISTGRENDEVSEQETSTEEPIGAPSGMDDRDENNKGWAEESVQTYGRYASDPVNTSWQPCKFGKPGMEESRRTHSGRSIYLRDIKESQGRTRSETSNKVHINSAGYYSRHAVPEPVSVTREIKVPLYDSTRASGRDRGPELVVTGPPEESSRWRQEQFALQILEDVQNARVAEKTRMEMEIRILKAQVSSMQRQAMNLDRVGDVI >KN545686.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545686.1:861:1302:-1 gene:KN545686.1_FG001 transcript:KN545686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNASSSSTATGRGCGLALGRLVRKLRRQSRMMLSTATSSRPPAAARCQYDPLSYARNFDRSGLGDDGGDVSAQLYHRYTFASRFCC >KN538803.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538803.1:135105:146526:-1 gene:KN538803.1_FG003 transcript:KN538803.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNMIAVASEIEKLRGDLANAEKRATAVTATAPVANSGFPTTYGNSEATYPAPAAYGNSETTYAPTYGNTEAAYASTYGSSEAAYAAAYGNSDAYSTNQAHTRTDGNPHYMAPPVHYAQYDVARGSNSVSSAPSMSDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQGPESDSLKKIPSWMKGWESPWKGRVKGGELVSEGEEELYNLAIRVKERFQGLFDEEYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGPVKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALVNRYHLNFTPKDVSSLWFLCKQVTTCLRKLVFDSIIPMDISEASLMNITNQACQLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIVAKEENHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFAKIQREESLDIPPVPPQGRNWKGSVVAPFAGNNMLALYQCPGKTDGGKISRDQKSSYFVQVLHNEAPVSMPGCGNKDFCPFEEFKEKIVEPHLKHDYDALCKIRPVAREEPSSFSSRMSNFFLGLFSQKGYRVGAQDCSTADSVEIKLKVLAHGCDCGPCAFSSINPDSGDSQFEMNHDKSSAQLMVPAVEGTLNVLRAAKDAGGVRRVVVTSSISAIVPSPGWPAGEVRDERCWTDLDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVVNPGTVMGPVIPPTINASMAMLVRLLEGCTEEYADFYMGPVHVEDVALAHILLYENPSASGRHLCVQSIAHWSDFASKVAELYPEYKVPKLPKETQPGLVRAEAASKKLIALGLQFSPMEKIIRDSVESLKSRGFIS >KN542034.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542034.1:19214:19649:1 gene:KN542034.1_FG002 transcript:KN542034.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILLEKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVGFLGKDCG >KN538803.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538803.1:218957:219903:1 gene:KN538803.1_FG004 transcript:KN538803.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTYLMSSMWHAFRGDSTSRRSILFSVVKDSVVQVRTKIFVYLGGYRSADEVPDFVIGGNYYGGACTVYAGNSDSDADAAIAQVHQM >KN542034.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542034.1:12859:15284:-1 gene:KN542034.1_FG003 transcript:KN542034.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPFARPNRASPSAWEADFLERTNLTMSTVEYALPTAIMTSPSFVAEGAGGSQICAMYSFQAFSEMINERNAEPGMIDVFLLPHLPLNDVSDVYDQIGSGDAPYRISNGPMLLNKVNAYRELIRVGKELAPLEGLMKSLEDELLVRLNSIVSILKSDGEDIPLPLDMSAATQLLFKWESDFVEACEMATKARRRLMLATLLAMFWSSSDDFVENEFSVREGDAISMSHVQETLQRLAPDLYQNVFGALNEVHYTEDGRPPKSVVVSLKRLITEITSEMTPTEFVAFSLETSRRDLIHQLSDVSHQEFRLKVLRSLQSVASALIHLHMLDKEMLEPHLSRPTLLGCKEMDWFQKYLYKYTIFILGLKDRFDFCAKGGEEELEESEEERKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDQYLHEKSEIGTDAYAHSLLHTFLLA >KN538803.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538803.1:224128:226782:-1 gene:KN538803.1_FG005 transcript:KN538803.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDGVRTPANFPPRQKARLPRGPVHEKSLEQQKKGPSSSSPSVSSNKSPLQLAAAIVQPQKPLESPQHMVTPVRLQESPGPRTIPCSSGSVGSGSGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLLKKFLKHDDQDKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >KN538803.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538803.1:131804:134634:1 gene:KN538803.1_FG006 transcript:KN538803.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQVASNPRTVEDIFKDYSARRGALVRALTSDVDEFFGLCDPDKENLCLYGLANGSWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALVDRKHVRDRSGVDSSGKSKHSTKRTGEGQVKRSRVVAEEYEDDDEEHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSSSKKTRL >KN538803.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538803.1:220489:223509:-1 gene:KN538803.1_FG007 transcript:KN538803.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MARLALDTTMVGLVALSLDTVATKDEFVRLCATGRLRDALRRPFRGVLWSEAARLFSHLFRACRALRPLRQLHAFAATSGAATDRFTANHLMLAYADLGDLTAARDLFERIPRRNVMSWNILFGGFDEESLGFFLDMRREGMHPDEFGLGSVFRCCAGLRDVVTGRQVHAYVMRSGLDRDMCVGSSLAHMYMRCGCLQEGEAVLRMLPSLSIVSCNTIIAGRTQNGDSEGALEYFCMMRSVGVAADVVTFVSAISSCSDLAALAQGQQIHGQVMKAGVDKVVPVMTCLVHMYSRCGCLGDSERVFFGYCGSDTFLLSAMISAYGFHGHGQKAIELFKQMMNGGAEPSDVTFLALLYACSHSGLKEEGMDCFELMTKTYGMQPSVKHYTCVVDLLGRSGCLDEAEALILSMPVTPDGVIWKTLLSACKTHKNFDMAERIAKRVIELDPHDSASYVLLSNIRATSRRWGDVSEVRKAMRDNNVRKEPGVSWVELKGHIHQFCTGDESHPRQKEIDECLEEMMAKIRQCGYSPDMSMVLHDTEDEEKEVSLSHHSEKLAIAFAFLSLPEGVPIRVMKNLRVCDDCHLAIKLMSQVTGREIVVRDRYNKLIWKERNSRIFEHKDCTAAQVYRAIRDEVLIWREAGQG >KN538803.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538803.1:154671:156743:1 gene:KN538803.1_FG008 transcript:KN538803.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLGFKAHFNVLFFHHVIDMAQYKMQWAGSWPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTALEKHARFWKGADILVFNSYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVENNMNPRNSRVFFVTMSPTHTRSKDWGDDSDGNCYNQTTPIRDLSYWGPGTSKGLMRVIGEVFSTSKVPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >KN538803.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538803.1:129160:130856:1 gene:KN538803.1_FG009 transcript:KN538803.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KN538803.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538803.1:231058:234787:1 gene:KN538803.1_FG010 transcript:KN538803.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHVRIREKTSIASLLSSRRNWSGGGVDGGKKSGKREGAKTKGTRTTGRNKSEKREEHKEARGNAGQRRMWSDTNGQSDAKKNASQAVRRKRKGDHDSWNGDHSDTLYSKSKLTRNGPSTMTRGKASARKGDRFRSETLDEDDLHSRKRSNSMVSSKFDTPTRVSRQKEAATDANLDDHGAESKKLDDSGQIAEEKPRPRRTRVLDKTGKKIRVAKKDPVSDIEETLPPKKRKRMKLDPYDTSNKRLEDSTANQDVCSPEKIPEKSSPEETETSINAKFRAIQPSSSIISYVEDNLLGRRRLNEIKNAGYSVKLSAPLDNVPFSTSPERERIEENVFRNKLEFFAAAKISSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKDEVKDSWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERSKTPYQIVLTKTDLVFPIDVARRAVEIQESLKKNKSVVKPVMMVSSKTGAGVRNLRGVLGKIARFIKP >KN538803.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538803.1:163879:167999:1 gene:KN538803.1_FG011 transcript:KN538803.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALAVVALLAAAAAATIAGAQGESPELLPFAVGAAPEGKDANNALCSSRAFAWKDSCFNATGMLEMLRGKRMLFVGDSLLRGQYTSLLCLLHRGAPGAGGGSRSFETVDSLSIFRAKDYDATIEFYWAPMLAESNSDGAAVPDDRLIRGAPMNKHSSFWKGADVLVFNSYLWWMTGDKIQILRGADEDMSKDIVEMEAAEAYRLVLHQVTRWLEGNVDPRSARVFFVTASPSHAGAGGECYDQTTPVGAADAASYRGSTSRRMVQVAGEVLAARARSYIRLSGYLKTLISKLFSRQAFLASVLEGKEDLFDSGFSCAVKLELLVALALVLINVITHKYNLDKFS >KN539185.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539185.1:58093:59122:-1 gene:KN539185.1_FG001 transcript:KN539185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCRKEYFEIPWANLRAKMDTAAVARTVIPHSEYLTQAKVVTAAAVGVDDSVVLTSAGGAVGYDFLVVATGRECSRPQKREDRLQMFEHDKARIASAGSVLVVGGGPIGVELAAEIVMASPEKRVTLVHGGPRLLMVMGEKASAKALEWLRSKNVTVLLDQTVDLSAAADDKSFLGEHVGGDGKVAVDEHLRVGGLRNVFAIGDITDVPEAKQGYLAQRHAMVVSRNLRLLVKAGGGDGGGSSKERKLHRYKASKAAITVTLGRRDALAELPFMTVIGHLPGVIKPRDYFIARTRRMMGLRTGARYDQSMFRI >KN539775.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539775.1:62647:64225:1 gene:KN539775.1_FG001 transcript:KN539775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGTLPIRVSPSKTPVRPRSPRVMSN >AMDW01033975.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033975.1:73:475:-1 gene:AMDW01033975.1_FG001 transcript:AMDW01033975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHEKSLEQQKKGPSSSSPSVSCIKSPLQLTAQIVQPQKPLVTTAHGYTGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLLKKFLKHDDQ >KN539113.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539113.1:60672:61534:-1 gene:KN539113.1_FG001 transcript:KN539113.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEIAQRQPAAAAEVTDPRGIAQQVAHDLAQARNRLVEVESNALAASVTLAKAAALLREDIDATKVLVNDAFAVVPAHDDLDPDGTLAVAAAAKLVVAAFCEAPVLPGAIGAAMDLVASMCALPPPVIGTLRNAHRLLGVVGSDHDKARDRFVICAPELGIQDRGETWLKWSIHRHRAFVEEVTAETSLSSAISDAQIAVRQHRLYKELPSLSPGERARETWKVEEIVSTAINEVDEASVAVRQMRVAVAVEEQTVREAIDDAAP >KN539185.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539185.1:113087:113719:1 gene:KN539185.1_FG002 transcript:KN539185.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDDIRQACRRLLAILFGLALIVAIIALIVYLVLRPTHPRFFLQDATLRQLDLSNSSTSGVLSTTLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITLAASLPPVYQGHGDVDVWSPVLSGPDVPFAPYLGDALAKDVAAEYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFFIASGGNGYPGANGLKFQTATYCRVEV >KN539775.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539775.1:4354:7583:1 gene:KN539775.1_FG002 transcript:KN539775.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWNMIGNKVVDSNVLRYKDFVDDIAKSYPWGPNETVTIGYMDLVDKTSHHVKTDQDMIAMFEKFIDIKVIPMIIRIHGIDENIDELDHTLDKVNIDVFDTPSLAIPTQVDFSQSSSSTQPSRVTVPSNTYLVNPFPMAEHVGVDDEGMYLNDVEEAAAGHAEETRGKEVVNEESEDESYSSSKDASEDETEDASEDDEDNMTRNNSLDSSKSVRSNSLTGSNQPEPSNMIIALPPLGEQTPPPAPTKAKRKTKGKPPSDAAPDSPAMGTRSKKKSPAMSTRSKKKLMD >KN539775.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539775.1:20665:26601:1 gene:KN539775.1_FG003 transcript:KN539775.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERCPFCKTPSYAVEYRGVKTKEERSIEQFEEQKVIEAQMRMRQQALQDEEDKMKRKQNRCSSSRTITPTKEVEYRDICSTSFSVPSYRCAEQETECCSSEPSCSAQTSMRPFHSRHNRDDNIDMNIEDMMVMEAIWRSIQEQGSIGNPVCGNFMPVTEPSPRERQPFVPASSLEIPHGGGFSCAVAAMAEHQPPSMDFSYMAGSSAFPVFDMFRRPCNIVGGSMCNLESSPESWSGIAPSCSREVVREEGECSADHWSEGAEAGTSYAGSDIVADAGTMPQLPFAENFAMAPSHFRPESIEEQMMFSMALSLADGHGRTHSQGLAWL >KN539185.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539185.1:35173:40366:-1 gene:KN539185.1_FG003 transcript:KN539185.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEARWSYAVFWKAIGAADPVHLVWEDGYCGHASCPAGSDPSEALPTDVGCAAAADTMTMCSLVNKVMASQVHVVGEGTVGRAAFTGNHQWIIHGAANDHGIPSEVAAEMSYQFRVGIQTIAIIPVLPRGVLQLGSTGVRISKPIFHAIVNSECADANLWQLPVISSSEKQIKNNSSQQGRSRPLHGASNVQSVENRSKLFSQFPVTCEQYNRPDTMAVSGSTSLNACMNGSLLKIAQLNGQAVREHIVYSKPDVRFIQQVYRDGQLGSNAQSIAMSSDLISSSLRSVQKQPLLMNNISQLEYGDGADTSADLQKNVLLKPPVCLDPFIHDPNINISHGIAEVSNVINDHGNFDFLSGGARVVRANLCTSATSQVLDRRSHSVSGMLLHRQPIVSCEVPQSSEFSTKMGSLERGSFQISSAPSSESDVQISNGLNTSISQENQLSVSNHICQDQKINGVNDLSATLSTERMNNMDGCKPPGLSVEKTSPLFMEQSVENDLFDILGPQFHHLCHNAGADLVPWTDAKPESSDRDVPESSIHADSAPLFSSRDNELYSGIFSLTDTDQLLDAVISNVNPAGKQSSDDSASCKTSLTDIPATSYLCSKEMKQCRSSGVPSVLIKNESAQFIKQPCLAENAEDGCLSQNNGMHKSQIRLWIESGQSMKCESASASNSKGLDTPSKANRKRSRPGESPKPRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGAMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDSSGAAENANNVNMPLGLAHQPVIPATGRIQ >KN539113.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539113.1:79009:79817:1 gene:KN539113.1_FG002 transcript:KN539113.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWAGVARKMVMRIESIESRLEAATRYAEATLDYAAGLLQEDTDAADTLAADFFAVLDLDAPAAADHEDEGESEALIRRPPDQASNARLHLGVAIDRSNTLNHMIRSSSSLADRPAGTGSPGASQDWMDYQERVVELGSDAELRLFAAVKAAMDAQGAHPLCVVRSPQHEEHMEEAKQHLRNATCELDGALAALLEMRRDVESQEILVRRWGAAEAEASAREAALSG >KN539775.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539775.1:16847:18385:1 gene:KN539775.1_FG004 transcript:KN539775.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFQAMSGRLEAAARDEVQRLRDLVSQLKSTLQEHHGGVMYLNNAEITAAEARKSDLMAKKAKLDESLASARQFRALLQQQLQKSFASQIGDQKTT >KN539775.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539775.1:68422:74369:-1 gene:KN539775.1_FG005 transcript:KN539775.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTYLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEIADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATNEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVAGDLQSFGEQLRNNFEETKQLLLQVAGHKDILEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVMPQPALSKEFVDSNQPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >KN539113.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539113.1:38519:51792:1 gene:KN539113.1_FG003 transcript:KN539113.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRRRLEARRKRLERRISVGSSSAPNKPGREDGGDGAINRLQLRRSIGSQGSSSANPQDQGPDGSAVCQSTEARSPSTSDDTNQNSALPPTASTGKPLNGTVIQQPPLRTLGSLTMRTSSTGDIGKIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDLIDNDDLAKIASAAHISLGKDKADSDRNIDTLKAKELAQAKLAELRWKQEVKESSSHPDKWKILGRGEETDHLDLMAKELKAAATNVGRIRTGIG >KN539185.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539185.1:67422:70938:1 gene:KN539185.1_FG004 transcript:KN539185.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPPLPPPSFIGYLNHYSGGFPAPAYGMGGRGELVMTVSGGGSPENAVVWTTVAEPGHWFYVPPSGQYGGAIMINSTAVTGGATGITGTGIGTGVFIPERPRIRRMKHPINWVPLMPDRGGNGRKPELKTANASKGKGIQMSYAAAVKTAGPSHEAVRANQSKKGHKFSRQKKSAATAAVEAPAPEKKEEATATTVEDIPELALLPEEWVY >KN539113.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539113.1:65468:65935:-1 gene:KN539113.1_FG004 transcript:KN539113.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDATETFFADAFATVPAPDDRDPKATPRSGHKARRLRVRRGAAAPWGDRAQPWMDLVAGVYALPPSQPGTLQGGGARVLTSTVANKHQAAAILFANCNPAPRRRSEGQEVAGGDRHHGRSQCAPVYGGGEAAVRHLRGPERRARPPPLPACILGC >KN539775.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539775.1:12470:13759:1 gene:KN539775.1_FG006 transcript:KN539775.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIDFPAPYARRIGYLTSFMALENYDAYYNLCNLLDLAFQFFYTKKNTNYTIVGIFLWVLFFLLGITAVAGFDGFDSNKDGLDRDDVKVTYILLCSAIVMEFSSLVWLNDWNWVPLWMLAPEMHRTIVQFNLIGFAARSRWPTMVMWIATLLGCKNYVNQHWYLEHRSSTAKIIGFIRKDLTSGWVSLRSVADYRRFNDRRGHWTLRREQCYGELGWSVTELPFDEAVLVWHIATAICLHCTDVPTAAAEDADGASAAARSMEISNYMMYLLLFQPDMLMPGTQQSLFTVACREIRRALRNQRQQQEQLSERELARWLLFSVDEPTTAAAEQGGEGRHLADARRLAGAMMELDADRRLRVIGGVWVEMICYSASRCRGFLHSKSMGVGGEFLTVVWLLLHRMGMEGLADKLQRPELTTGDVQDAVVV >KN539113.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539113.1:73214:73930:-1 gene:KN539113.1_FG005 transcript:KN539113.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMANHLAELRAPLAMVLSDAEAARATLDEAAGLLREEIQATELHLAHAFSAIAPRDGPALAAAAKLAARVFSDAPLLPGAIRAAMGLVASVYALPPPHAGTLEDARLILGKVFDDHHDATWLFRLYANCTPNYGIQPGDETWQAWSARNEEAFHEAAEAETKLISAIWEARHAVRVHRDYQAQSRRREVAWEAKQILSTATEEVDAASVAIRQMRDALAAEEQIVREAIGEAAAP >KN539775.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539775.1:39011:45895:1 gene:KN539775.1_FG007 transcript:KN539775.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/Swiss-Prot;Acc:Q9FPS9] MATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAATGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIHKKPPYPSGSTKTAVSTNSSLQGCSGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLDVVVKSLTISDFFDIECFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKRHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRFLTHGTLKGGIPALELQGNICNSLKISAKAE >KN539185.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539185.1:89195:93688:1 gene:KN539185.1_FG005 transcript:KN539185.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAARPQTAEALSKRASMLRDSLQRSQGNTDGMVTILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADGILSQFDLARRLQAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSTNKNFKSSEGVLNHVNNLLAKSALKIEEEFRQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDADPGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLIQAGNQQSCYKIYRDTRGSALESSLRKLGVEKLSKEDVQKMQWEALEAKIGNWIHFMRIAVGILLRIGPYCVYSDLKTHILLTTQVKLLLAGERKICDQIFDGVNFNKDQCFAELTANSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIEVIFEGKSCSEMWEASLGLAKRLAQTAQETFADFEEAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSQTESQLAVVTMRIMQALQNNLDGKSKQYRDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSIQGAGSTGSSDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVENGKNPHKYVRYSPEMVEQLLGEFFEGQQWGEQKR >KN539113.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539113.1:28375:31001:1 gene:KN539113.1_FG006 transcript:KN539113.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAGTPATPISPQVISGAFVQQYYHILHETPDQVYKFYQDASIVGRPDSNGVMKYVSTTADINKIILSMDFSNYLTEIETADAQLSHQDGVLIVVTGSLTSEGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPVAISQVSQENENNQNTATLPETDPNPAGDGMISEPVAVENNVAEGEVTNSTVDGTSIENNDTAAVEPPVQMTKEEPRKISVAAPPPPAQKDVTKKSYASITLTMIALQVKVMKEVSLTPVVKPKPAPKHVVKTVEASEKPSVKSSQTVEITPDDNNDAENNTSNDEQGYSVFVKSLPHNVTVQTVEEEFKKFGAIKPGGIQVRNNKIDRFCFGFIEFESQQSMQAAIEASPIHMGGKEVFVEEKRTTTRVVNGVVITRGDNGNAGGGGRYQSGRGGYRGDNFRGRGGGYANSGNYRGGDNFNRRNDLRNRNEFSGRGRGPPPGNGYQNNGFDPARPFQNGNGRFTRVNGPRQTPVAA >KN539185.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539185.1:29824:34602:1 gene:KN539185.1_FG006 transcript:KN539185.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAERQELQMKKGKLINMLDEVEQRYRQYHQQMQVVVASFEAVAGGGSARTYTALALRTISRQFRCLRDAIAGQVRAASRALGEAVDADGGCGRTVGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGGAGAGDEGSKPGGSKGGGAGVNGGVVDSAAKMDSKAAHMESGGGVHPSLLELAGYRKRGRGVYHDVQGYMDLDIGSNVKSE >KN539113.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539113.1:90780:96939:-1 gene:KN539113.1_FG007 transcript:KN539113.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDRRTTANRATAIRAGARRKGELKIEEASASRMKWFVGYVAVVMTKIEWQNVFGAMSINIGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEASLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPRHRSRAIRGKIDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEIRWTCTCRASDSIFIDCYSDDLHYAHIQSLLDCRGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQC >KN539113.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539113.1:67595:70224:-1 gene:KN539113.1_FG008 transcript:KN539113.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGVATEAEAVANHLAELRARLAMILSDAEAARATLDEAAGLLREEIRATDHEVRDLLGTVSDDHDRARNLFADCRPYLGIEEEGETWEAWTSHRSQALLNGYVAEMRLNRAIWEAGQAVRVHRFYQVGSPRRGRRAKEAWKLKEIMRTVMEEVDAVIAAVVHMCYSIAGEIQIVRDAIHAAAL >KN539185.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539185.1:116356:120189:-1 gene:KN539185.1_FG007 transcript:KN539185.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQTSDSSHHGIVENSPYRTPYDRYAEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDAAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVVNQRASGKAPGASEEPPTHENHLAPRQSSTPSHQGYDHPHSEKQNSSQRVPQNDARDGAANSNEGPNVSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGATRLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >KN539113.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539113.1:53694:53996:-1 gene:KN539113.1_FG009 transcript:KN539113.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQQEEEEHGDPPATAAARGGRRRGRGHQGRRPRRRGRGRGRARSMTTWWREVDAFPVKTLQGKLVYNNGTATHHPMTLFRAAPVLSVVILLLLQHQY >KN539113.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539113.1:10243:14392:1 gene:KN539113.1_FG010 transcript:KN539113.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMQAFAQEASDFDRQMGCMAGMFQIFDRRRLLTARQRGGARGTAPPGHVLPNSNSNVSIQNPVASNITLDKTFSKSMTENSSLSMESSRASSSSSSCSSFSSTDINRPIQQELSYINKERFAGKPLRSSQTKSVKCSKTEAKTKDPHTGFRDIVKESINRETHGMTIKTSTKESRKGLHKDSPRPLLISKSTDGTYVIGIDRSTGVPGYVHESSRPPRFSCDDRQLLRSVEAQDSKKPSAKLKELPRLSLDSRKESMNPRSRLKNSGYIRTDDNLLDVLKHQESPSHQRASSVVAKLMGLEGTTPDIHETARSPRPVHGTQIDRPSHYQRIKSQDHSVPVQKNHSPVLKTNPSPRILPEAAPWRQNERAVTGHAAEVKPRTASIYADIQRRLRGLELSECNKELRALRILSTLHKKDSPSQSDNNPELTATQKKASEQIVDSENFQSPIVIMKPARCITKPDASDTLVAPLSRPKGIRRLRHEETSFTRKNENSDSKKNHSPNGSAHSSGEEPVNSARSPRLSSSLSPRLAQKKADSERRSRPPVLPTSPGKKTKETVSPRGRLRSRHSQTKSNSDDDNVLHIPETKINLAKQIDVGVIDHPNPLNANSSYIHQSKIASTPNREEMPTILPADKKKIHPQENIPSPVSVLDATFYHEGSSPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNDGNQIKEENMKALIQKLELLQMLSEEALKTDDTFSSVAANKDHQYLYEILSASGILHNKLNFQMMPHQLRPSSYPINPELFLILEQAKPDEEKLHRRLIFDLANELLAQQMDANHTVNSSVQFFQSKKLSGWQLFKDLCAEIDMIQSRSSMIRCSEEEDSRLAEDAMQGMKEWKSSDSERQGIVLAIEKSIFKDLIDEIISGEDKGKVHLTQWKLRRQLSFISI >KN539185.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539185.1:77616:81690:-1 gene:KN539185.1_FG008 transcript:KN539185.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWGVMRERAYAFDLVLTEVTMPTLSGIELLSRIVASDECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNASENNAASNHLSANGDNGSKTGEHSDEESDAQSSGSKREVEIQSAEKLPEVVADGGAGSSREHKIQNGFIDGMNTKSHALKGNDDAPSGNACGDSELQVLSTEKNVRSKFLNGITSAKVAGQIMDNALRFADSSSLRSSDPGKDLLVVAQTTADRKCKSSALENNAVMENNLSENSKGTATGHAESCPSHFVEINLEKQHHLNGYTNHKLKERDIFNHSNSSAFSRYGNKRIESSAQRPFPRSFRVVHQQPVYDKNPQSSRVLLSCEHNTRESTVQAQVPLDRSTEGAAILCSSSVREDAGTSSSSPRKDGLTHPSYGFIPVPIPVGAAIPYHYGAIMQPMYYPQGAFMHCDSAAINKTAIQHASCQSNYHENLGKPPQIDEHKQPEENHQLHHSRQILRESGEMERINQSASCSQDIRKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNVLDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSAITTEAETD >KN539113.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539113.1:76278:77144:1 gene:KN539113.1_FG011 transcript:KN539113.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEAELPVQAAAEAAAAPIDWMWYTVHLTVEEIERITARVEAVTTALEAIRPALVVAVGLLGEDIYATEILDDYMLAALVPAGHQAPLPDATLDAAARIFVTVSSGAPLLPGSILDVGDLISAAYDVVDQPPPDAPTPDGLLNDAITDLQATFANGGLLTNVRNHFHHCAAYLHVQPIDADPTWTACTGQAQQANYFATDALAMLNVVAWEAMDAMELIRSASHCLVQSPERNGHMRELERCLLTAIKYIDKAIAAVGLVHGEVELMDQTLRQAIHDANIPANGWA >KN539113.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539113.1:123151:126396:-1 gene:KN539113.1_FG012 transcript:KN539113.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTESNPIHAQHHGVQSTDTHSPKTCQVFQAAASHMCGYGIVACWPQVHNIRILKVSIFRSGLVIGMDAVPDTLTPAPFSQLSNLGTNETENRPGAPSSESSNNTISFISTPEIGISMSVFRHPFQRPVNRRLAFNRVNPATPIDQHFVSSSDGPTVPGVQSFPAVCGNGPLDNIGLRLPRPDPSTTMEARRKRKATMVLRRGRNFIPLLANRHRRKFFSSTCFDALNLYITSACSRLIGVFPYYYQLIVMLLDIQAQPPQFMF >KN539185.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539185.1:41569:41904:1 gene:KN539185.1_FG009 transcript:KN539185.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSDVASLLNLSMHPAGQMTIVEVGSVGLVVDVINVDIAKAEAQHNVVAILDLLPLIKQPGRIPTLVQLIPDHEERHGYPVWAAPKRDQPGQGHRHGHRVNPRRLALHRP >KN539113.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539113.1:57722:58093:1 gene:KN539113.1_FG013 transcript:KN539113.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPYLHVRAGDLTDLTWFAWSKQTERAKKLATEAELWVNAAAWEAKDAAERVRSHCLVQSPERSEHMRELEVSLLVATRYADKALAAVDMVRDAVEAMDQTLHQAIGNAHIPDPYHPMPVWL >KN539185.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539185.1:2015:10161:1 gene:KN539185.1_FG010 transcript:KN539185.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMVGVDDLIEEAKVRTVWWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSLIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLINNKDTNSAGGNLDNSNSSVTVTNAHSAHKGSSQGCQVDSRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAGRILVLSLLFMLTIMNVPIKYFPHSRYLFALAPTVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSRYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGPGAECEGSCRYVSSKQFSPEIDLDIYDDGVASRY >KN539185.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539185.1:102593:102996:1 gene:KN539185.1_FG011 transcript:KN539185.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVRLAAVAVFDRGGWRTRRRVMRVMCDGVPVAFRGKNGTEAAFTGPARRSIKNRGRDMYVILLRGFKVDAKSQNLSVATLVWI >KN539185.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539185.1:134629:136318:1 gene:KN539185.1_FG012 transcript:KN539185.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVGKYPAIVSPANDDDDDVGSSSNGAAVYHNLYLDMNGIIHPCFHPQDQVCPPSPVPTTLEEVFHSMFDYMDRLIRIVRPTSLLYLAVDGVAPRAKMNQQRARRFKSAMAAKQAEVEENILRDRFRAEGKKVLPRETSSSSEVSDPNVITPGTEFMDKLSNALKYYIRARLNSDPLWKDINVILSDANVPGEGEHKIMSFIRAQRGREGYDPNTRHCLYGLDADLIMLALASHEVHFSILREEVLHQNNQENTIPITPKTFTSQEAEKFKCRAWFPRITEARPEGKLTKKPYQ >KN539185.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539185.1:47208:51145:-1 gene:KN539185.1_FG013 transcript:KN539185.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVPEASEEVPPLDLGELLAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDHLAYNSFPASEPSAFSNDNAGDELDLRIASVLQSTGHNYEGGFWNDGHKYETADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFSSPQEQEAYMRSWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQHSFSKGAYFLGKMVWAKGYRELIDLYAKHKSDLEGIKLDIYGNGEDSHEVQSAAMKLNLNLNFHKGRDHADDSLHGYKPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSNRDCTTSTSGCGKSGDNKMEKSASLPNMSDMVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQQ >KN541483.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541483.1:5260:5691:-1 gene:KN541483.1_FG001 transcript:KN541483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASRGEVQPSKGQHMRRPSLGTIRVETELEPVIERGGGKLKPERAWADPDVKLASYAGRNGCQAQEWPSPRWYGHRITLSLRQLGQRLSLETSYWRLASSLGTTCLEARGLVDSRRGVCGGQGRAGCPWTMSMEGKVELVT >KN541483.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541483.1:14436:24551:-1 gene:KN541483.1_FG002 transcript:KN541483.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVVGFTVRLLLTGEERSESAGGGAAVRFYKRHKSEARICNCKLEDMTDNAEGESSANVDLVCALCDNGGEIASCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVSVLNLPLMNDTVFTSHVLHILDGNIHLAFPYLQALNPFLCKNCELEKYQCFACMRLGSAKTDTPEVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVIAKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGASIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCFYKNFDLIQPKVGIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEDTPPPLDELVPGFSGQPIVSLPGGGRLSAVLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLARGMDHPPFMHGSSGWLDD >KN539769.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539769.1:58645:59667:-1 gene:KN539769.1_FG001 transcript:KN539769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGTVLRCVEVEPEDEKLCLRLRLRLWSRNVDDNGVAQWIRGRAIELEPLLPDGALQTPWIPSSVQLLGAVEGTDVIFVGTHSPDHPAAVYMVQLNSRRSRKVFDKCTSVVPYASFCIPGIDDASTSEGAREGASSA >KN539769.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539769.1:9343:9972:1 gene:KN539769.1_FG002 transcript:KN539769.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPQEGKSTGDGLRRDRSTAEDVGNGDAGSTARGHGSGKSTDGGLERDGSGTKEVRDGDAGSTTRGHGRGGFAVEEDGNGDAGSTARDHERGGSTGNGLGRGGSSAEEVGDGDAGSTGRGHGRSGSTGGALGRGRSTAEEVGDGGSSVVEIGNNV >KN539769.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539769.1:31233:32448:1 gene:KN539769.1_FG003 transcript:KN539769.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRSPLDWSSGLPRELLEIIAKKLPSGHDAASFRSVCSPWRAALPFARFAPLLMLPFDPTSPSPPEEKTVVSFYSLVEEKTVSLPLPELHGKVVVGASRGWLALVDEAAAVSLLNPFTGSHVALPPADQRVAAASTVVHCHGSFLAIGCLGEVSIINIAGDDDAMPSPARPVSSLPEPAQMCHRSYLEVNGQLHLVGVAIRAFHGEWPFGHHAVVYKCNLAAGETPVWSMVTDAGDMAMFMSKNFNSGFGGASVSKIKRNCIYLSEPIYGSDHSPELVDISTGMSEKIACPTMEGSEALCWIRPNLWT >KN539769.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539769.1:21931:23734:1 gene:KN539769.1_FG004 transcript:KN539769.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGVLHDVAAARGKTIAQVALRWVYEQGVCMVARSFNEGRMKQNMDIFDWELSDQDKAMIAGVPQRRACRGDYFVSPDGPYKSLHDLWDGEI >KN539769.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539769.1:68638:69282:-1 gene:KN539769.1_FG005 transcript:KN539769.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding METELCSSRVLSPPRYESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYCKTILR >KN539769.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539769.1:16078:17297:1 gene:KN539769.1_FG006 transcript:KN539769.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIWAPLLLLAQKEAVYLAQKLFIAKGLVPVYPFIVASECHVYSKYVHAGDKYLKPEVICEPDITITVRTVDDECLILASDGMWDVISNETASDVARQCLEDGSPTSGRRAAGSGEAASSSAGAPAAAVGQESEPRCYRAAALLARLALGRESSDNISVVVIDLKGRG >KN539769.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539769.1:48025:48822:1 gene:KN539769.1_FG007 transcript:KN539769.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKTVSMVDGAWILHYISGATKPIKLSNMRDVFFREIVLSASPRNSRGVDCMAMAVLASSTLVAFCRVGDARWTLVDSKLEYPVTCVVHCRDRFVAIGSLGEISIFSVDNVDGAAPLTASLLLLMPPPAHICQRSYMDINGELYLVGAILRVTTWTRYEIVVYKCNLLGENPLWSKVEDSEDIAFFVSKYFNTGFGVASTSNIRWSCVYLSEPRLYTHEDQKGTVAGYLEMVDINTNESALQAYRPSIQGLGALCWIRPNLWS >AMDW01067122.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067122.1:134:388:1 gene:AMDW01067122.1_FG001 transcript:AMDW01067122.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLFVLLVFINLCTGMPRNMAKDGHHTADLGLKVMRNLMSGTDGRTGPPSNDHQCPLGTYPNCQGVSQSIQGTEQDVGGN >KN540823.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540823.1:31383:33309:-1 gene:KN540823.1_FG001 transcript:KN540823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRWKDLLRDLLTWKQILQKRPCIACGLSAVTAVDSGKRALELLGSESSRLKEIPVVIMSSENVPTRINRFVCTVSVPISAQILSRYTHLDTFLVNLPGAWRKERRISC >AMDW01040595.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040595.1:99:1006:1 gene:AMDW01040595.1_FG001 transcript:AMDW01040595.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIHQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNLVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQEVGMVVPFEDIRGE >KN542742.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542742.1:4768:7717:-1 gene:KN542742.1_FG001 transcript:KN542742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEFKTYKKRQRGQQQMDFELKTYHRKRQRRRGHQQTVELPDEIVREVLIWLPVKSLARFKSVCKAWLSIISESCFIREHLQCSKLKRYWNPSSFLITPHIPLKPGDSIFAAFSTDIRFYQWSLQEDTRTAATLLYRRHFPAGEFEPVLPMAHCDGLVLLPTKTKAYVFNPATRDVLALPESNRNMRQRDICPPIGLGFDASTGKYKVARSFYRSREYNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLYSKIVTHCKGCLFYYIDKKNQQNPPQALLRFSLQDETFGVTPLLTDTYPQVEDDEVTITELGGQLCATFFCNTLQQVTLLAAFSTDIRFYQWSLQEDTRAAAKLLYRRHFPADGLVLLPTNTKAYVFNPATRDVLALPESNRNMRQRDICPPIGLGFDASTGKYKVARSFYCSREYNIPMGIAVMGLEVFTINGDESYWRETLVDPPYPVLYSQTVTHCKGCLFYYIDKKNQQHPPQALLRFSLRDETFGVTPLLHDTYPRVEDDEVTITELDGTLGPVKTTWGMHGKTYAGLTYFHTPKVLVQLFLGPPYRPYNVYASAHQLSGYITQRLHRGKHEEKEE >KN540823.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540823.1:2:3161:1 gene:KN540823.1_FG002 transcript:KN540823.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVAAEASILSLECQSKAEWSRPSAKYAIPAPRPTAQRCSSPVSNLLIDEQFNLTVLSSTRKEQSGMPDIGDSDPSVIYVRPGVEVDLDSVIQETIRLTASAKLVMQRSLKRLLISESTDGGNQKKRYSQRWSKLLDLKKTLDKAYEVELSNDSYNRMNRCYYEMSQMTLERKTQQLALPK >KN540823.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540823.1:20555:22612:1 gene:KN540823.1_FG003 transcript:KN540823.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSERIAGLGTEANNAQNIKNPHLGWIIGFLFLVSFIGLFGLVPLRKVMIIDYKLTYPSGTATAFLINGFHTPHGAKIAAKQVKKLGIFFILSFFWGFFQWFYTATDDCGFHKFPSLGLQAFQHKFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGDWFSADLPDGSLHGMQGYRVFIAIALILGDGLYNFLKMIILTAFSLRSQIKKKNASTLPVSDDGMVTTAAAVSYDEERRNELFVKDQIPCGSDYPAPNAAVFRSIAILGVDGFSSLPKNCLNLCYAFFAAAIVVNLIRDLVPKKVSRFIPIPMAMAIPFYIGSYFAIDMFIGTVILFVWQRVDRAKADTYGPAVASGMICGDGIWVLPQSVLALAKVKPPICMKFLSRRANDKVDAFLTTLGK >KN540823.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540823.1:10747:15382:-1 gene:KN540823.1_FG004 transcript:KN540823.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASHANASGGGGDEEMFLHENLYIKIFSGIVWLKSIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAQVDGFLGN >KN540823.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540823.1:39928:41088:1 gene:KN540823.1_FG005 transcript:KN540823.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTWALGVARELGVPCYVFHGFGAFALLCIEYLFKQRRHEALPSADELVDIPVLPPYEFKVLGRQLPPHFVPSTSMGSGWMQELREFDMAVDGVVVNSFEELEHGSAALLAASAGKKVLAVGPVSLSHQPILDPRAASDDAKRCMAWLDGKGSRSVVYVSFGSAGRMPAAQLMQLGMALVSCPWPTLWVINGADTLPGDVAILDHPAVGGFMTHCGWGSTLESVAAGMPMVTWPFFAEQFINERLIVDVLGIGVSVGVTRPTENVLTAGKLGGAEAKVEIGAEQVKKALARLMDEGEDMRRKAHELKEKARAALEEGGSSYMNLEKLIHSSV >KN540823.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540823.1:4580:7284:-1 gene:KN540823.1_FG006 transcript:KN540823.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIERVGVLKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNIKNPHLGWIIGFMFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGADLAKKQVRTLGKYFSISFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGIISWGIMWPLISKKRGSWYPETLPESSLLGLQAYKVFITIAVILGDGLYNFVKVFGYTIKGFIVMYKNKNSNTLPISDNGTPANATEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITSAPYTIMYRNMAILGVNGLSSLPKYCLTLCYIAFVAAFIINLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYFWEWRNKDEAQSFGPAVASGLMCGDGLWALPQAVLSLVNVNPPLCMKFLSRAANAKVDTFLGN >KN540823.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540823.1:24346:26227:-1 gene:KN540823.1_FG007 transcript:KN540823.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAKDAGIREVLLLRYFDLQVIRIAEEFFVEGPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGEDFWAEFKLYAADLLVGVVVDIALVGLLAPYVRFGKASASTGPFGRFNRMAGSLPSSVFEAERPGCRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPIAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >AMDW01035692.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035692.1:188:569:1 gene:AMDW01035692.1_FG001 transcript:AMDW01035692.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGN >AMDW01026114.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026114.1:68:257:-1 gene:AMDW01026114.1_FG001 transcript:AMDW01026114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTK >AMDW01025559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025559.1:59:178:-1 gene:AMDW01025559.1_FG001 transcript:AMDW01025559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRKVTARSEEEAAEADMRAAKMQVEATDEAEAKKEQLAD >AMDW01026061.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026061.1:167:316:-1 gene:AMDW01026061.1_FG001 transcript:AMDW01026061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLER >AMDW01020686.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020686.1:6:197:1 gene:AMDW01020686.1_FG001 transcript:AMDW01020686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKKEKVEETTDVAKLRAKLEKLDAKIDDLKAKKQEIVARLVQLEEGATANAAAAADAAPPASG >KN538701.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538701.1:83971:87328:1 gene:KN538701.1_FG035 transcript:KN538701.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTDPNLQGTLVGVYDGHGGPETARYINDHLFNHLRGFASEHKCMSADVIRKAFRATEEGFFSVVSSQWSMRPQLAAVGSCCLVGVICAGNLYIANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASFEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSEPAIVVHQLQTTDQFIIFASDGLWEHISNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTANWSRPSVSLRGGGVSLPANSLAPFSVPT >KN538701.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538701.1:150642:151934:-1 gene:KN538701.1_FG036 transcript:KN538701.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGTWTGVVLESMEILIHLRSNRQECKMDGFSFRAWHPASGKAAAGETVLEEHRKQQLSMYVFWTSGALADRAARNTVMAKCI >KN538701.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538701.1:31948:32136:-1 gene:KN538701.1_FG037 transcript:KN538701.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTEEVLGEKKKKKRLLARVSVSVRVSDLKEETSPATILYGLALCYVIPCVGGHSSLPAK >KN538701.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538701.1:205628:209342:-1 gene:KN538701.1_FG038 transcript:KN538701.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVHVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILITLMSGHFLLTNLLMENMKSTSSESGVEGRIVNVSSWWHFAIYPEGICFDKVKNPSRFSGIFAYPQSKLASILHSTELARFLKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >KN538701.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538701.1:12663:14217:1 gene:KN538701.1_FG039 transcript:KN538701.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNRDNSQCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGQSNYQAAGNALGLDLVGNPDLVSTDAVVSFKTAIWFWMTAQGNKPSCHDNDANVDRIGYYKRYCDMLGTGYGSNLDCYNQRNFAS >KN538701.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538701.1:114807:118967:-1 gene:KN538701.1_FG040 transcript:KN538701.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDEEGEQGLRLGGVGDGADGEEEEVARGVVAPQVLELSSSSSDEEGGSWEEEESQGSVEVTRGGGGDREARVSESRDSAAEVNGGEVESQSLPGCPICMNAWTADGAHRVSCIPCGHVYGRSCLERWLLQCRKKPATCPQCGRRFKLNNIINLYAPEISVPNNDLEKQVLSLREKNESLEKQNQELVQEINEHKRQIILQQNFINESSLKRKNEFFLDGARVMGIDASSQIILTSGRAPGIGAEHVLTKLSMSRQGLQKIHLPSDTKAIRDICILPGGHVVFASLGKKLSLLSMTTDSVVLHYDLPAPGWSCSGDQSSPNHIYAGLQNGMLLTFDIRQTVAPLHSMMGLSAHPVHTIHSVVDGGGSRKVISASSIGPCIWDVDGSRNRPDLLNGMENHGVCISLACNPPSSDLLVASFRPKVELSDDGTSQAGKSQSPTPSASGKLGCHALIRRTSNTSFARDQICRGNVSGLRMSKSAIIPCTGRSNQQHLFAYGDESLCGVRTWRLPSLQTFADLRPHRQPILDLRFAERSSTGERYLGCLSEDRLQVFRVT >KN538701.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538701.1:159157:164760:1 gene:KN538701.1_FG041 transcript:KN538701.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLRKIVPRQIKAENAVNIIRNTVEDLITQCKKIVDAENEQIEGEEYVNEADPSILRFLLASREELLVMKQQALYSRGLFIFSVSITLLKDPAALKRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRATVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNSLKVASFYLNGDRMLEMKKYLLQLTAELNLPILFRSEKYTKYSSFSQGLYMNVPNVTLVKMGNACAQGDSDFSMNQFCQGLHPYCQFQDGSTYSKTSEGQSVPVFRAGYKHHMHNLNCQDWDKKKYAGKTFLVNKTNEVAEMFAHRLQLTFVFGQKPVPILLASFSLVISVSSTSATAVFTH >KN538701.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538701.1:7235:11078:1 gene:KN538701.1_FG042 transcript:KN538701.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENKAIEWAMRLRVAYNIAEALEYCSNEERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVVFSFGTILIDLLSGKRIPPTHALDMIRSRSIQTIMETNLEGKYSIEEATTLVDLASKCLQYEPRDRPDIKKLVSILQPLQTKSEVPSYVMLGVPKPEEVPKAPPAPQHPLSPMGEACSRMDLTAIHQILVSTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKNFKQAIDCYTQFIHPLENICMVIVSQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDSLDMLNEASQLEEKRQKSLKGP >KN538701.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538701.1:119995:125785:1 gene:KN538701.1_FG043 transcript:KN538701.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVSYVSAAKLLAMARGNPRVAIIDVRDEERSYQAHIGGSHHFSSRSFAARLPELARATGDKDTVVFHCALSKVRGPSCAKMFSDYLSETKEESGTKNIMVLERGFNGWELSGQPVCRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARYAADRSKSRVRLGGLVLEGSVAHPSASSSEIEFVVTDLITDVLVRYEGALPDLFREGHSVVVEGFLKPFTDDLRRATTGRKVSDKARDCECFFSATEVLAKHDEKYMPKEPFTDDLRRDTAGRKVSDKARDCECFFSATEVLAKHDEKYMPKEVGEALERNKKKLEEEAAAAAAASQESATAAVALDGAKSSS >KN538701.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538701.1:92340:97197:1 gene:KN538701.1_FG044 transcript:KN538701.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATPVLLLLRRRSAATLLPRSRSPRASACVLPPQRRRGRRWRLLRSSPPPEGVPGELMEEEEEDSKFVPLNAEDPMYGPPALLLIGFEEGETDKVQEFLRELDGEFLKVIHCTGEMTKQTLWDAMHTKQPSIEAVKIAKSMPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSADKILGEVIEEIMGDHEMLTMKIIYISGLCHHCHQWMLDDSRAQPLEIYKNIEHEGWSLVRRIAREPSERDRFPGDAWSVQVVGAFGVCTPQGNHWMLDDSRAQPLEIYKNIEHEGWSLVRRIARRLEIRVYKRKKPHDLVSKSVPWRDYL >KN538701.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538701.1:111725:112502:1 gene:KN538701.1_FG045 transcript:KN538701.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSGNIPGSACIPLLILMMLLLLLHPSEAQPSPGYYPSKMFKSMAFYEGYSTLWGPQHQTLSQDQKSLTLWMDRSSGSGFKSTRSYRDGYFGASIRVQPGYTAGVNTAFYLSNTEQYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGNIVGREMRFHLWFDPTAGFHHYAILWNPDQIL >KN538701.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538701.1:175588:184058:-1 gene:KN538701.1_FG046 transcript:KN538701.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDRRGSSDRLRKRVKFRFSGFRAVQRCIVERFVGDQSIRGIAMNVQPYVVVPVVSDRLMLSIVAVDTGKTIAKSTKAAALSGACQWPDSILESIWFSQDQVSEEFQECQCRFVVSMGSTNSGILGEVFLNLTNYLSSLESTAISLPLKKCDSGTVLQLNIQCLGAKSKTSRTNDDTECTSDGFDSMLNRTTHSLSGNDLGGSYQDETGNRDASLSASRSYSRDSTTDRTNLPPSDNLNDELNTQRHNFASPDAIHVSADHVDEASRSNNSSFSSQTPSRNMLQGNNAQPSASDLSQLSSGVSHASKDVLENAEETIDELRGEAKMWQRKTRKLKQGLETLKKVSTDKSKQRSEQDLEKMWQRKTRKLKQGLETLKKECADKSKQQSELELELSISISERDSLRQEIEELKRSLEEVTARQTISRSPRSGDVIGLQKEVEDDVQFLKESNASLATQLNKAQEANIELVSILQELEETIEVQRTEISNLSHTSDLIDHEVSPNNLLIQEDVEWARKVSLKEDEILMLREKIDRMLHVENPNGEGSGAIYLELEKENDFLKVKIQELEKDCSELTDENLELIYKLKEVSEVAKGEDPSVPNSEEVSSEGDLSDRLTSKVKYLETKCADLELKLISFRSESSELEEKLQKSQEELKDRTLELSDLRDKLSGFHATEMEEGDTDSAKSYKLKSEKLDENDNKTELDALRSTVLLKEQEIESLQHSKNEMESFISEIMNEKNKLEELLEESLKECSITAACLDEMREELLLLTSSIDSHVSTNNVLETKITELESFKVNLELHISKLEHENVELSEFISGLESQLTYLANEKELSMLQMDESRSLITNLKDELEQVEAQKVELKLQMDESRSLITNLKDELEQVEAQKVELKENQLESHRRLSEVQEDSEALRRSNAKLQATVDHVVEECKSLQTLTADLKKQKLEVHGYASHLEQELEQSKRKTMDFCKTLESLEAKLSSLQEDISLKEQSLLSELENIFQEHKEHEERIDRVHLLLNKIEKEKTVELSNLEREVISLTAQLSSTEEERESSTLDTIREVSILRADKAKLEANLEDVNAQMIHYESQLEDLRESKTKIKDLVDSLNASKQNEEMLTTDVDNMRRSIEAARSNEDNLRKALCELELKSKSSDYEKQQIIEEISVLKIQVHKIAGLQDEVLTLQVSLDEAKFEKGKLEGLIQSLSEECEELKAQKGILTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIHSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQVSLQDEVLTLKKSLDEAKFENGKLEGLLQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIHSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQGNDANENGDSPVNEIPELQSKIQLLETRLAEALEENKLYRGQLKSPMPEGKSASKDGKENDDDKISQLESELKDMQERLLNVSLQYAEVEAQREELVMELKTANAKKGRWF >KN538701.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538701.1:211210:211596:-1 gene:KN538701.1_FG047 transcript:KN538701.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITECLLAV >KN538701.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538701.1:198116:200419:1 gene:KN538701.1_FG048 transcript:KN538701.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLPKVVMGSVAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKNAGMFRHLGRLLAWRSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVTGVTVLSVIILLLSNLASNVPTVLLMGDEVAAAAATISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWNHVIFGLPSTLVVTAIGIPLIGKINI >KN538701.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538701.1:26924:27668:-1 gene:KN538701.1_FG049 transcript:KN538701.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPSSAEVARVLACLFEKDVEFQLIRVDSFRGSKRMPQYLKLQPHGEALTFEDGNVTLVGKQKN >KN538686.1_FGP161 pep scaffold:O_longistaminata_v1.0:KN538686.1:398032:399314:1 gene:KN538686.1_FG161 transcript:KN538686.1_FGT161 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEASSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KN538701.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538701.1:19406:21036:1 gene:KN538701.1_FG050 transcript:KN538701.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLLAGVKAALVVLAGVALYSPEGFSPAPMPPEYSYGAPGLLKVSPDKAVELLTDEAEGVKFALTDGVDVAGDGVIYFTDASHKHGLAEFMVDVLEARPHGRLMSFDPSTRRTAVLARGLYFANGVAVSPDQDSLVFCETVMRRCSRYHINGDKAGTVDEFIGDLPGFPDNIRYDGEGRYWIAISAGRTLQWDVLTRSPFVRKLVYMVDKFVVAVPHNPKNAGAMSVTLAGEPVSMYSDPGLALTTGWLKVGDYLYYGSLTKPYLSRINLAKSPAEKAQE >KN538701.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538701.1:45729:49953:1 gene:KN538701.1_FG051 transcript:KN538701.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWIIACMRVHGNVPELREGASRLLEDVLGIGDTVHELAEDKGVGVSIDSGEMRREAADALCEVLHDDEKCVRVVVSEVADGVGVLASLDILARRCRLPRPRPRLPRQRRRHAAALRPEGGAPSVPRLGGHQEGHRRRRDLVMRLQVHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAIKLQFHSGHSNNVFQARFMPYTNDQTIVTCAADGEVRLAKIGDGGDVPTTLLGDHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFQCRNSLSKSGHSSNIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSDYPSDCYCPPHLIGNRFVGITGLAFSHQSELLISYNNENIYLFPKNGGLGPDPKSSVKIEANKGSKSTIVSSGEDVDRPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKKDGKFLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPTATERAPVVNIEELKPRKRRTKLWHFSLPEELIFHVLASRRRRQAAGDDDSSEDLEDSTGLLNLVLRAAERDVLSDEDEESSEDSGDCSLN >KN538701.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538701.1:89186:91748:-1 gene:KN538701.1_FG052 transcript:KN538701.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDRWGVSETLHLDKGSIIRRAGLPPRDLRILGPVFSDSSSILAREKAMVINLEFIRAIVTADEILLLDPLTIDVIPFVEQLTHHLPLKNLLCGNGQPGGDDHGEKHDDSPGDQVPRLNEATGAEHELPFEFQVLELALETVCSSFDVNVSGLERRATPVLEELTKNVSTRNLDRVRTLKSDLTRLLAHVQKVRDEIEHLLDDNEDMAHLYLTRKQLQNQQVEALISSAASNSIVPGGTSLSRLNNSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIQSKLYSIDDGSFFWPFVGGTSSGCFMICIVLLWYARWKKLLGP >KN538701.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538701.1:103247:105749:-1 gene:KN538701.1_FG053 transcript:KN538701.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPPPKDRRIRTERTSYRDAPYRRDSRRGPSRFPNDLCNNCKRPGHFARDCPNVALCHACGLPGHIAAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARECSAPPMLPGEMRLCSNCYKPGHLAAECTNEKACNNCRKSGHLARNCPNEPVCNLCNVSGHLARECPKSDAINERGGPPPFRGGAPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >KN538701.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538701.1:99825:102386:1 gene:KN538701.1_FG054 transcript:KN538701.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTAAESLWFRYSAGIPDYVLFWHNILFLFVVFTLAPLPVALLELRAPAAVGPFKLQPKVRLSREEFFRCYRDVMRLFFLVIGPLQLVSYPTVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPALAPGHMITFWLWIVLRQMEAIETHSGFDFPFNLTKYIPFYGGAEYHDYHHYVGRQSQSNFASVFTYCDYLYGTDKGYRYHKAYQAKMKALGQTEGEKADSNGLSHAKLD >KN538686.1_FGP163 pep scaffold:O_longistaminata_v1.0:KN538686.1:342430:352760:-1 gene:KN538686.1_FG163 transcript:KN538686.1_FGT163 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQMAHHFDINFVKNLIIKVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKHLNCLFFLSFYDIMGITKEFQKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQCAQVAGPAANFRTRVCGLRCLIAAKLKFRKTLKRHGWQLRRNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPPETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLIDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSRMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTTQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGVRLDDEW >KN538701.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538701.1:131347:150076:1 gene:KN538701.1_FG055 transcript:KN538701.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSASSVALREFHGESRLEAARSERRWWPGLAPVRLALFVGTMNINAQSLMVATLAKSLKNLGYEVEVLAFADGKANDILENICHVNVVSPPSLKYIDWSKYNAVLLSSLEGKMVVSILMQEPFQFLPVVWLIHEDALGQFLRNPELHQSIPNHIEDWRTHFNACTYVVFPDSYLPLLHSALDTGNFLVISGSPVDILATKRYSSSHTQESARNQYGSKEDDVVVLVVGSYLFFDELPWDFATVLRASAPHIMDMAKTKNLGVQFIFFCGNDTDAYNSAFQELASHMGFPVGSVKHFSLTHDIRNLLVFADIVLYGSSRQEPVFPPLLLRSMASEIPIIVPNLTVITKYITDGTHGFLFNADDPSTMVSAFAQILGEKRLSARAFSVALEGKLLSKNMLAYDCITAHIDERVERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTHLSVLDNPYYSDRLCEFGAMFAVANRIDAVHKLPWIGFQSWQAAGRKVSLSEKAEETLEETMAGENNEDVIYYWAPMDMDQTSNFWSMCDWLNAGRCRTLFEDAFRTMYGLSDGITALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDSLHSLNVNGTDPASCLLGASQLEKRHCYCRILEVLVNVWAYHSGKKMAYLNPVTGDIREQHPLDDRNEMWVKFFNFTLLKSMDEDLAEEADDGMHAGDDQWLWPLTGQVFWPGIADREREEKYIRKLDKKLKNKDIYDACASMDRTFIKIYSYVVLQGYNDVFYPQFQQQEDLVSIYGSNPQGHAVDELEEVFFQEEFDPIKYILQSIPAEGDSSYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMDIPVISIFLNFPKITCSVLCYQKCIYTDFLLRKSQITDNKFWPVKGMQLVMELEQDLKVANVICMNGRRHVSSSKNEVSRDLVVNVKSKKKQALLDVLPILTELRNAQDMQMELESFVEKENYFQAWLARTIQKLDTHLLGVCQTFNEESYLTVIDAYALMGDIGGMAEKMQSFFLQEVLSQTHYVLKEMLEEVSRFTYSDLCAQVPEPKLRPCLLRTFQSLFSLMCSYYTIMSFCPGVKSIESEGENSLTERNNTSQSADESLGDSVVFSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKGICLNYSVAFHRQNIYALKMVLERESWTIMSAEASRIISLAGLTGDGAALISPTSRISTLPIHGSTMADTGKEKNGFAAWTKIENPFFYKVENGTTESPKSNMMFNSVDNSSAHGSTNNGNGNKAPLDEENEDLLADFIDEDSQLPSRLAKTKIVKGNSSHWKDGDISSQTGSSLSLLRCDFLTGIRMMDKYARLMQKLEIVNVELFKGICQLFGIFYHYIYETFGNQDRGQSGKSLPDHQSFRLRVALSKITQDSDQWIKPQSISYSSPSSPVSMDVMPTAPPSSMFTSYGLKERCAAAETISLVARVLNRSRAHLHSVLSQSNTSILEEFFGTMCVLIVTWSATDDVVCTFYYSYVDLLLGEFKHYKTRLDHGGISKELQDLLLEYGIDSIAEVLVEGLSRVLINGLLHIVSANVRPKLQIVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETIERIEAGP >KN538686.1_FGP167 pep scaffold:O_longistaminata_v1.0:KN538686.1:300654:302596:1 gene:KN538686.1_FG167 transcript:KN538686.1_FGT167 gene_biotype:protein_coding transcript_biotype:protein_coding DGKSDEGNQSKEHVPSIQATSGPMSGESGQGKATSSSTLEKIMIHPDQYNKVHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVQGRALGKCPIFLFFSVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFIAKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDTMAIEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFGGQNTSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVNKEAKGNGNEMARITTTGVVKVGSVHIKVLLIAGITVQ >KN538701.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538701.1:37116:38989:-1 gene:KN538701.1_FG056 transcript:KN538701.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDDDGSSSLLEELMAPLRRGTPPTTTPEDLWLQAYPMMMSPMCGDGVMLGDLLVGGGNARNTLASPPSFPLPVPLTTTTTTPCPPLHEEMDRTSILGDTIGYVKELMDRIKNLQVEAAAAAGDSSSSSSSTDNLSMLNTLKPPPSSSSSSSGEETPLIRNSTRFEVERRENGSTRIEMACAAIPELLPSTLAALEALGVEIEQCVISCFDDFAMQASCLQDDKKREMTRDTEEIKQTLFRSAGYGDGCLI >KN538701.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538701.1:22987:23939:1 gene:KN538701.1_FG057 transcript:KN538701.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIIDQTYAAALGIPGRREMGDGAVEVSSAVDADDPDSPVLTINASATRCCVAFDTPRRDAVPYKKFTSPKRKTRPDHRLARTIVSVSPATLYLSHRSDSDCDGGDSKDYWSCAEVAPDVAAGGALAILDTIMLRLEAAIHLEENILVNAMEFNCGTSSVLEVVAETRNALEEMRREMDLPAMMQRRLHKRRHV >KN538686.1_FGP169 pep scaffold:O_longistaminata_v1.0:KN538686.1:417102:417529:1 gene:KN538686.1_FG169 transcript:KN538686.1_FGT169 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDQKVPLPASISVYFSGQGQNCNGQLDKTAATFSEAMYENPIMAFNYIINMERPSILRYVTLIN >KN538701.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538701.1:190250:190894:-1 gene:KN538701.1_FG058 transcript:KN538701.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRGIAEGGHATRAAVVRCIVAAILAAIVVAGLVALVFWLVVRPKPIEYTITSAAVRHLNVTPRGGGGGLTVNATFYLALAIDNPNRRVSMRYEDGVALRVLYGEGSELELAAGDDVPGFHQPHRNKTTLPVRAVARSAPVPDLVAWELKHDLAAGELSVDVEVTAGVRFIVGGVASRYYRVHGTCSPVNIGLSPSAARSFNSVPCDVEIS >KN538701.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538701.1:68415:70890:1 gene:KN538701.1_FG059 transcript:KN538701.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSSSSSSPVAPEVVQWAGGADGFGSAAIGFACRVLCAVATCVFAAVGSVVGAVTGSAIGLATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSSDSAVWCLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGTKGTCA >KN538686.1_FGP170 pep scaffold:O_longistaminata_v1.0:KN538686.1:441507:445659:1 gene:KN538686.1_FG170 transcript:KN538686.1_FGT170 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKSVMRKRGRPSRHAQGTSLSSVTPEADGSTKQKRSWELDKDDLHIPFFQISDNPREAVDDILMTFGGLHRRIMQLIDVKMASKQLVFQALNLMRKAGYHVNKDKRVGEVPGVKIGDIFYSRIEMLLVGLHSNINGGIEFMSGAFVNKEDKIATCIVSSGMYENGDDDPYTLVYNGQGKVHQKLERGSKEKIYIYDGLYKIEEKYRQTTKSRSNLKFKLVREPGQSDGIVVWKNTQKWRENPSCRDHVIVPDMSNGAEMACVCVVNNIDSEDAPNNFTYSTKLDNGNHMVSANKMCVCKCTSSCLGEDNCSCLKTNGSYLPYNSSGILVCRKTMIYECNDSCACTINCSNRVVQRGSYLHFEVFKTMDRGWGLRSWDPIPAGAFVCEYASVVIDKDSLDEEDEYIFEVTRPEHNLKWNYLPELIGEPSFCDMNDTFKKLPAIISAKQIGNIARFMNHSCSPNVFYQPVMYDHGDEGYPHIAFFAIKNIPPMTELTYDYGQSNGSGCRRPKICICQSHNCKEFVLGTWKLLAGCIWYSGVTHTNGYIVFDLKGMHVVCQIIGHVPNFIGMVSLVSSEKRKCLV >KN538701.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538701.1:128545:129665:1 gene:KN538701.1_FG060 transcript:KN538701.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRTLLALVAVAAAAATRADAWHNYGAAKFTVTGSVLCQDCTKSWNAYAYNAKPIPGSMVGITCLDKETGRTVYHGTDKTDDKGMFNIEVPYTVGSAHLHPSACLVRLASSGDHGCAVFTNFNGGKTGERPCRPSHVYPGRVTYSAGPFYFTLSQCDVKDGATY >KN538686.1_FGP171 pep scaffold:O_longistaminata_v1.0:KN538686.1:498760:500272:-1 gene:KN538686.1_FG171 transcript:KN538686.1_FGT171 gene_biotype:protein_coding transcript_biotype:protein_coding CKREGFVQRLKDEQGEGCSIHGFVNVNKISHKINKLSFGVEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >KN538701.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538701.1:26257:26571:-1 gene:KN538701.1_FG061 transcript:KN538701.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHRQKVEEMKQLFEKSSKELGKVLDIYEQRLEEAEYLAGDKFTLADLSHLPNADRLAADPRTLRMLQSRRNVSRWWADVSGRESWKQVKSLNRPPSAEAPF >KN538686.1_FGP174 pep scaffold:O_longistaminata_v1.0:KN538686.1:384112:385863:1 gene:KN538686.1_FG174 transcript:KN538686.1_FGT174 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYNFDYDISGHAIWFHLLTPKVLWYTVVQRFNVLSKNFVSILTTTILQTPVPPLQRAADVTPVFNRILMNEQEEEFDGPPQKEIPVLIVWTLGGKNVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERKCLPDLPCETDIMGNAVNLLDVHDFVPESVESVAEFEPPPSPDSSYSIQAPEEKDFSKEPPVLPSQLHLGVLNSQNSDESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >KN538686.1_FGP175 pep scaffold:O_longistaminata_v1.0:KN538686.1:401850:405529:-1 gene:KN538686.1_FG175 transcript:KN538686.1_FGT175 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENDEPVAIKILDKEKVQKHRLVEQIRREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILYVLLAGFLPFEDDNIIALYKKISEAQFTCPSWFSTGAKKLITRILDPNPTTRITISQILEDPWFKKGYKPPVFDEKYETSFDDVDAAFGDSEDRHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKNYKMRMENLKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQKFYRTLSTQLKDVVWKCDGEVEGNGAAA >KN538686.1_FGP176 pep scaffold:O_longistaminata_v1.0:KN538686.1:429221:435748:-1 gene:KN538686.1_FG176 transcript:KN538686.1_FGT176 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRGRLDSSLFHMLVLVCTLSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNRVQVTGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATRTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTNSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKDVVDGIEFARGDPETTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCGISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRGFSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVIVDPYSLTSFDLLLDTNTNKYPLLESSFHSSM >KN538686.1_FGP178 pep scaffold:O_longistaminata_v1.0:KN538686.1:419746:421728:-1 gene:KN538686.1_FG178 transcript:KN538686.1_FGT178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin SIP2-1 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/Swiss-Prot;Acc:Q9M1K3] MSPAPPRIRPWLVVGDLVVAAMWVCAGALVKLAVYGVLGLGGRPEADAVKVALSLVYMFFFAWLEGFTGGASYNPLTVLAGALASRAGPSLYLFAAFVRMPAQVFGSILGVKLIRAALPKVGKGAPLSIGVHHGALAEGLATFMVVIVSLTLKKEMKGFFMKTWISSIWKMTFHLLSSDITGGVMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTLLTKPKKVEEEEDESKTKKE >KN538686.1_FGP179 pep scaffold:O_longistaminata_v1.0:KN538686.1:375327:375848:-1 gene:KN538686.1_FG179 transcript:KN538686.1_FGT179 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGGGSPKEAVVPSAASGDTTLGRHLARRLVQVGVSNVFAMPGDLNLTLLDHLIAEPGLLIVGCCNELNAGYAADGYAWARGVGACTVTFTVRGQLLHGRRRRSHRFWNQVTGDEAGAAFRNQECAGAAPSLPSAVARRSGSVIVDGGSASPSSPPSPSSETTASWDRHG >KN538686.1_FGP181 pep scaffold:O_longistaminata_v1.0:KN538686.1:393687:394897:1 gene:KN538686.1_FG181 transcript:KN538686.1_FGT181 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAASDAPPTPEATGKGSDNRWKDDGSRDVDPSPSPTPQKPRSEEHYPSPDSVLDAITSPRFPCRKRSSPCTDLDADRKLSCGTPAVGSKIVKPSRTLVFSGDYCKIKPCNELHAVAMYHHPVVAIEAIPSCQGLSTPIIGVIKIST >KN538682.1_FGP195 pep scaffold:O_longistaminata_v1.0:KN538682.1:207420:210961:-1 gene:KN538682.1_FG195 transcript:KN538682.1_FGT195 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFQSTGACPTQAASSSPSRHEPTDGGADREELAAEVARLEQKAASLGRVAMWREKAAAAEVMKESVRREKECVQHLLNAKTEELSRKTSECGRLQEKSLALAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVMQDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQERYRKESITCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVLRSMKATTSTWEKETLTIDGISKQATRMAPGTGPQQVHNFNSLSDDFQIPIRNLGGEGTGKSVGKWCKGVATLGSLNTNANKRNLIAVGPDGRGGKDSKTQALWPKAQKVGSRESIALKVKAESKFRSVITIGPEGIELVNNELCRKPEFLCSSEAQVVMCTTLLNIVY >KN538682.1_FGP196 pep scaffold:O_longistaminata_v1.0:KN538682.1:281001:285028:-1 gene:KN538682.1_FG196 transcript:KN538682.1_FGT196 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGKWRGGWRIGEEALTCRCSTPPLATSLVAAPSSTRRPLASPYKLWFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCAGVPLAIITIASLWLVDQNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKELGHLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLTELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQFTRLISIKKKLANDGYFQKLRIFRTPSSFVRFDLHGCESINGASIFMPRLEHIEFSVDVRFLKDAADLHAGLDNLLAGFSDFGRTSLKRVYAIIHCKDALAVEVQEAEVALANAAHGHPNRPVLRTDRAYERMMRSPDDDEPTSWSDQKVFEIYVYPSSNDHHRYLSYLRLLKKPRLEKLIVNIYVSKDGMVGDVDEAVAAARNVVDHHINRPTLEINRMEESISDQHQQARYISLLDLRPQYYTKEKPG >KN538682.1_FGP197 pep scaffold:O_longistaminata_v1.0:KN538682.1:186531:187952:-1 gene:KN538682.1_FG197 transcript:KN538682.1_FGT197 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKSGMEAVAVTIPPLHTGENNHRIDSNVSSQCHADPAELSDETQQQSLWHQGLRKIIPSSVPLLKKVSAEFFGTFILIFTVLSTIIMDEQHKSIETLLGIATSAGLAVTVLVLSLIHISGCHLNPAISIAMAVFGHLPPAHLLPYISSQILGSVAASFAIKGLYHPVNPGIVTVPNVGTVEAFFVEFIITFVLLFIITALATDPNAVKELIAVAVGATVMMNILVAGPSTGASMNPARTIGAAIATGRYTQIWVYLVATPLGAIAGTGAYVAIKL >KN538682.1_FGP199 pep scaffold:O_longistaminata_v1.0:KN538682.1:166545:170216:-1 gene:KN538682.1_FG199 transcript:KN538682.1_FGT199 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVSCSVVNKCLAYNPCLSRNYYQQSHTVKLQRSQAGQIILPRKLRKSTLWQTNFTQRQIATHCSSDLSTSCREELPSYLTVNVLKDQSCARQGIFRKVLVILNPNSGFRSSREVFYQKVQPTLELSGFMMRVVETAYAGHAHALASTVDLSTCPDGIICVGGDGIVNEVLNGLLGRDDLEEAIQLPIGIIPAGSENSLVWTVLGIRDPVSAATTLAKGGLTPIDVFSVKRIQAGITHFGLTASYYGFVADVLQLSEKFRLHFGPFRYVIAGLLKFLSLPQYRFEVNYLPLSPRRNHKLLPVTEKCNDHLAADSSAEDNWVTRKGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHNDGSLDLILVHGSGRLRLFCFFIAYQFCWHLLLPYVEYVKVKHVKVRPIGKTHNGCGVDGELLHGDGQTEWQCSLLPAQGRLLGRHRSASE >KN538682.1_FGP200 pep scaffold:O_longistaminata_v1.0:KN538682.1:257687:260266:-1 gene:KN538682.1_FG200 transcript:KN538682.1_FGT200 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKKGVYLGLCDKPVPVDDGSMMVWLEKESGAKCFALPARKLSLPWEDGEFSWRWTPHPLSRFEEVAQLVDCTCLDIYGRLPAAALTPATPLSSESGVSIHACLISPTNRVVTIACVNPNEGSVVWFDG >KN538682.1_FGP201 pep scaffold:O_longistaminata_v1.0:KN538682.1:288163:290109:-1 gene:KN538682.1_FG201 transcript:KN538682.1_FGT201 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKVGFPLYAFVPIGQNPDMKKILWNILNRVGQDKYLNCPNMEMLNVQELIGELREFIKGKRNIYQIQIVDHFISLLRKFGITTNDRNGIYVYCK >KN538682.1_FGP202 pep scaffold:O_longistaminata_v1.0:KN538682.1:158879:165270:1 gene:KN538682.1_FG202 transcript:KN538682.1_FGT202 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLERSVFTFQATLFFFFLVNRLTCMHAGDIMLANKHIVCGPLHLSPSKHGSTDEMSSSAKENKRKEHLVEEDLDRATCLISDGDIAALLPSKTHGTFLKMFLGPVNLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPMILLVLRSWLWNGCFPVLPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWMCHHYCAMLMSLISLTWEIKGQPDCSRKQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGVLLIAMAIGNFANTVDTLMAKSRFKAKKRSRGKRDTDTCNSPTGLSPTNSTARA >KN538682.1_FGP203 pep scaffold:O_longistaminata_v1.0:KN538682.1:268826:270249:1 gene:KN538682.1_FG203 transcript:KN538682.1_FGT203 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILSFMTTREAIQTCVLSTRWRHIWKSVQRLKIKASEFTSKMGFVNFMDNLVLHRGCVPLDSLCMNTLYQHGSVSLNHHNPNTWVGYALRSNVCELHIREHYSEYFDLDHSSFKSSHLKILRLCYVSISDLFIEKLFSGCPALQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVIDTPSLVSLHLEYLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDEDDSFCSRQNEVLKRDLWRCQSFNNLKKLSVYDWCVDVDLSALIYLLRCSPIIEKLTLHLGMIEGLAWEQWMSYPQAETPDMSFSCERLKKVKIICAQDDKRVPAIVNAILANANSLPEIVIKPYKRFD >KN538682.1_FGP204 pep scaffold:O_longistaminata_v1.0:KN538682.1:216166:218255:-1 gene:KN538682.1_FG204 transcript:KN538682.1_FGT204 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPIKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRILLKGDNITLMMNT >KN538682.1_FGP205 pep scaffold:O_longistaminata_v1.0:KN538682.1:246825:250480:-1 gene:KN538682.1_FG205 transcript:KN538682.1_FGT205 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQPSGSSADASASSSSSSSAAVENLAAGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKAQVNLKIKQAFCPPTIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFANMNELIADYESGALHPADVKPALAKAINQILQPIRDHFKNNSEAKVLLNTVKGS >KN538682.1_FGP206 pep scaffold:O_longistaminata_v1.0:KN538682.1:294449:294757:-1 gene:KN538682.1_FG206 transcript:KN538682.1_FGT206 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVPQDQQNELVKLWASDLREASYDMEDIVDTFLVHVDDDGTKAADTHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIDARHGRYGKYSIREKS >KN538682.1_FGP208 pep scaffold:O_longistaminata_v1.0:KN538682.1:309043:312367:-1 gene:KN538682.1_FG208 transcript:KN538682.1_FGT208 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRRRSTGAAQSHAASGEEAPKSSETSPAPAAAAAGSGRWTRSRSARSHLGLDSDSTDHPRVVSGSSDQAAPKKRKKTTTAVGGAGGSTRLASRPVPGRYPDYPSLRPGQHALSKKHMSAVQEWMDECSRISKLEKQARPEDIPTLRDNPRDPFTPDAVVSSQDKAMVLRVARSVVSVSSSKPDGELISQCTGIVIGWDGANKCAKILTSCSTVCDFDGEFHKPTFKLSVRMPNRTITEGRLLFFNVHYGIALLEVKGDFQLQVPSFGLGINYGQDVFALARDENMSLMVRHGTISWLDYPGLLTSPYMFLSCDIPEGGSGGPVVDHDGNIIGIAFNINPGPVVISITTIRTCIEMWHQFSRVARPVLGMQLKAVELLDVSMREELCLEYNITGGFIVNLVKVDSTAERIGIRRGDVIVFKDNRCSTLPQLEDYLLSLGWGYLQGLSFTVDLKVEVHNLADSYKESITFPIPFSDASKRVD >KN538682.1_FGP209 pep scaffold:O_longistaminata_v1.0:KN538682.1:185034:185522:1 gene:KN538682.1_FG209 transcript:KN538682.1_FGT209 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLKAVVLEGLRRHSPGLYALPHAVEYDTTLDGYRVPANTPVNFALREIGLDSEVWTSPEVFRPERFLPSGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWREVAGDEVDLTEKMLEFAVAMKRPLKAIAVPLRSAAAVTGSA >KN538682.1_FGP211 pep scaffold:O_longistaminata_v1.0:KN538682.1:172269:173321:1 gene:KN538682.1_FG211 transcript:KN538682.1_FGT211 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKAGGAVPFLDPDNCPNGWATPPGDTFMVRGPDYLATKVKIPGGEYLLKPLGFDWMKSPAKICEVLNNKSHRVRKAIDDEVSRGNQPFVWAFNLQLPSKDNYSAIFYFVSLEPVPEGSLMDQFLKGDEAFRKSRLKLIANIVRGPWIVRTAVGEQAICILGRALTCKYTQGSNFIEIDVDVGSSIVANAIVHLAFGYVQTLTVDLAFLIEGQTESELPERLLGAVRFSELNPGSAGVYEVPSEEQQESAPFLPARLWQGFSNMLHNPGNSREPSCTSQSTNGSLHKEDADENTKGSLHKEDVDDNTAGSSLKEDGYESATGSFDKEDTEEDSNGSLHNEDADENTKW >KN538682.1_FGP212 pep scaffold:O_longistaminata_v1.0:KN538682.1:197695:199882:-1 gene:KN538682.1_FG212 transcript:KN538682.1_FGT212 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSDVNHNQVAIDMCSASPVDRSLSAAVAGGGDGTTPRSPGISKVVVPVESPEKTTGQPKTDDHDQQQGRAKEVPLVKKSKELVAIAIAAAIMMNALVGGPSTGPSMNPARTIGAAVATGEYRQMWIYLVAPPLGAIAGAATYTLIKP >KN538682.1_FGP213 pep scaffold:O_longistaminata_v1.0:KN538682.1:214919:215569:1 gene:KN538682.1_FG213 transcript:KN538682.1_FGT213 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEARFAALKGAAGPEKETRVRLEDLGGESDEDEDDEVDKVMRWAMDAARLDVATAGAGKAKSTKKDDDEEEEEKDEKSSSVNRSTVNFDPWLLLSFRGKRYGLFPKELIISLATQYI >KN538682.1_FGP214 pep scaffold:O_longistaminata_v1.0:KN538682.1:237539:240130:-1 gene:KN538682.1_FG214 transcript:KN538682.1_FGT214 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLKFICRTAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCPVLPPSMGKLHDMVAMTKQLQNSSLEGVSSSSTVNLAPQVARDLPPPIPSFKATNVDSSLSKMNHMDGFLRAPMLFRPIPRIAEGASSSTPATASIADLEFQANLTACSNALFASPRRKPKKADPPAEKDLDLTVAPPSQQTRASISSQNAVGVIQVV >KN538682.1_FGP215 pep scaffold:O_longistaminata_v1.0:KN538682.1:229345:230249:1 gene:KN538682.1_FG215 transcript:KN538682.1_FGT215 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAVHCNIDLTIDNPVSDTSHDKTDMKFPQKIIKGDSEVF >KN538682.1_FGP216 pep scaffold:O_longistaminata_v1.0:KN538682.1:277667:279066:1 gene:KN538682.1_FG216 transcript:KN538682.1_FGT216 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSRRMSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRWQNVWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLVMFCIHTSYDSSDDSLDYSDIHPWVRHALRCNVKTLGILNYCDGKLLSVDGYPVPFTSLHLKSVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDPEGFQHLVINMPNLICLHVEEIANRNLRLLDISSVESASVYLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDVVQKVLLRDLPRCGIFSNLTSLALGEWFFSDGCYPLLYLLRRSPNIEKLSLHLVKHGAYGYDHHTNSANATADLDPTCEGTGTAVNCEKLRKIKIICPQGDRRVHIIVKILFAIINPLPQIKIHPQNG >KN538682.1_FGP217 pep scaffold:O_longistaminata_v1.0:KN538682.1:193324:195843:-1 gene:KN538682.1_FG217 transcript:KN538682.1_FGT217 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVKVLIADTIKDQNLQRQKDTGSGSSSVCNSASMVFGKAGFGKIYYNNWCFSFGARILEKRMQAGDQSNRIAIIISPRAGSSKILPFELVNGAANAGSQRHADPAESTPEAHHHLWHPGDLPKIKPPVPLVKKVGAEFFGTFTLIFTVLSTIIMDEQHKGVETLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPPAHLLPYIAAQILGSITASFAVKGMYHPVNPGIVTVPKVGTVEAFFLEFVTTFVLLFIITALATDPNAVKELIAVAVGATIMMNALVAGWRRVLFCNQAVITFREKKCDPWSMLNYLNLNTAHSLQIYDIDQAAC >KN538682.1_FGP218 pep scaffold:O_longistaminata_v1.0:KN538682.1:175540:177796:1 gene:KN538682.1_FG218 transcript:KN538682.1_FGT218 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSSSAASPSPLSPADGFLCGSLEEFRRTPGLQLRSDLIPVFIILGGFAVKEGVDEMIKYVASEPSVGLYFVQQHAQASMPLLLDVKSRVRLGSQGKVAEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIMSKTQPKRGLIQNPTWGFQSGKSSGTWEEDLGITDGGSSRNYFSSMFNTAKQKASILRWPQPDFGTKDDTTEESESSAAPESSQAGGHGASTPSDTEKDDLPVSSQLLDNNTATMKESSSTDISKSVENYNKFKEEQELKLQEWLRQSEEADDNKE >KN538682.1_FGP219 pep scaffold:O_longistaminata_v1.0:KN538682.1:299194:303642:1 gene:KN538682.1_FG219 transcript:KN538682.1_FGT219 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNSEDNATDRISNLPDELLHHVMSYLTAQEAVRTCVLSRRWQNVWPSMMFLHANATKSSSITSFKKFLDNVLLYRNPVPLKGLWVSAACDNSDDSLDYSDIHRWVRHVLRSNAREVGIFVRCGSKLLSIDGYPFAFTSVHLSKLVLFKFTVDDCFAKKLSSGCPVLKDLVLISCGIDVTMFSSTTLKSFVIHNAEGIEHLPKQIEYLVIEMPNLVTLHIEEIPRRNIHLVDLSSVKEATIYFFEHSFRNSAVDCNILSALSNATSLKLICDSVYDEVSKLGAEEYEKFPTAAAAIDPPCKEAARTFHCEKLTEIEIVYPQGDKRVHIIVRILIANISPLPEIKIKPFPKSGLSWGSYRNYGSTRGFQCENISSSTASEPHDTIIRLRETTAGGAADMWGRPAAALVSHFTSMLLFGHRRRHPEPPVGGGTPASRDQGWACMRDYTGYLRQHLARKLLDSMSLIEQVLPETGMTTSNNEENAPDRISRLPDGLLRFVMLYLTAQEAVQTCVLSRRWQNVWSSTKWLKADAAKFSSMKSFKKFVDSLLLYRKPVPLDALFILATCNHSDDSLDYSDIHPWIRHALRSNAWALGIMEHCGTNLLSIDGYPFPFTSVYLSILHLCHFIIDDSFVKKLSSCCPVLEDLELKNCAIIVTMFSSTTLKNLLINSTETTEHFPPKFEHLMIDMPNLVTLHLDEIPNRNIQLVDVSSVKRATFYFFELSFQNSAVDCNIIPALSNVTSLELVSPTAYEDVVPNVLIHSFPRCKAFSNLKYLKLGEWFLRDGCYPLLFFLRHSPNIEKLHLQLNKYGSDDYEDYPDAAAAIDPTCREIEEMFNCVKLRKITIFYPQGDERVHIIVRILIANISPLPTIKIKPTPV >KN538682.1_FGP220 pep scaffold:O_longistaminata_v1.0:KN538682.1:201626:205957:-1 gene:KN538682.1_FG220 transcript:KN538682.1_FGT220 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASSQGCISWALRQRGLGGGVGGWVGGVSMPKKKWDAHQVFVELPNPSRRYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEVDSLFRTSIPGIFAIGDVAAFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETIEVGSFEPKIATFWIDSDSRLKGVFLESGSSEEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >KN538682.1_FGP221 pep scaffold:O_longistaminata_v1.0:KN538682.1:272915:274303:1 gene:KN538682.1_FG221 transcript:KN538682.1_FGT221 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSNKCVRPTALEASTYDFISSLPDEVLHHILSFMTAREAVQTCVLSSRWRHIWQSLHCLSIKTSEFTSKTGFVNFMDNLVLRRGRVPLESLRLCNSDGSVTLNHDRANQWVGYALRSNVRELHIQEYYDEYFNLQHSSFTSSHLKILFLNYVSISELFIEKLFTGCPALQDLVMADCCVYATRFSSASLKNLTFTSHSPDNGDLVHDDFKDLVIDTPSLVSLHLEYLPFLGPCFVNVSSVAKAYIRLDDMSFPCFDTKYKILSALSNVTKLKLLADESDDDSTRLMQNEVLKWDLWRCKAFNNLKKLSVGGWCLDGDLRMLIHLLRCSPIIEKLTLRLGMIGGLAWEQWMSYPQAETPDMSFSCERLKKVKITCGQDDKRVPVIVNAILANANSLPEIVIKPF >KN538682.1_FGP222 pep scaffold:O_longistaminata_v1.0:KN538682.1:178677:179760:-1 gene:KN538682.1_FG222 transcript:KN538682.1_FGT222 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRELLSNFLSFEVFAFLPSITKLVFRKRWNKLVSLRRRQEELFVPLIRARREAGAGGDCYVDSLVKLTIPEDGGRGLTDVEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDKLREEITAAAVDGEDRLREEIAAAVAGDGEVREEDLQAMPYLKAVVLEGLRRHPPAHFVLPHTVEEETTLDGYRVPANTPVNFAVGEIGLDGEI >KN541742.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541742.1:20845:23646:-1 gene:KN541742.1_FG001 transcript:KN541742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSCYNDVDAGDMFAAADYYSAGDEGDLFDMVWPGRRRRREEDNTSGCLPLSPPPPELAVDDQLPAGGDGGGGGGGGGEPVAVAEDDDSGKRWTEDQVPTDEGICVMGTRSESSKERRKITRARRSSRYSQTHSLTERKRRCKINENLKTLQQLVPGCDKSNNQASTLDKTIRYMKSLQQHVQAMSVGCSMKAAAAGVSYHPFLQPPPYLRPAIAARGAAPAGMVPRPLPSSMVPFAPVLPMVVHHPAPLMMMPAAPAPLMTYPGSGVAGSSNITAERNKD >KN544734.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544734.1:325:1352:-1 gene:KN544734.1_FG001 transcript:KN544734.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDSAP >AMDW01033631.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033631.1:27:339:-1 gene:AMDW01033631.1_FG001 transcript:AMDW01033631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLLGLFLVLAIMVAVVWGDPSGGCDQDRQDMIRECKKYEGWPAEPKIEPSAACCAVWQRANIPCLCAGVTKEKEKVWCMEKVVYVAKFCKKPFQPGYQCG >KN540446.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540446.1:47090:47588:1 gene:KN540446.1_FG001 transcript:KN540446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQMAREKRSCPRASETQQNNSEKKGKVDGESAARDKRAITVTVDPEVLECDVCFGPLTPPLYQIYRTV >KN540446.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540446.1:1238:1930:1 gene:KN540446.1_FG002 transcript:KN540446.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPNHGKMENIQEAGILYPQYVYCPGNGRHTGFNPINPHECLQRKRLEAPRSLQVNVQFHLQQLVWQTSIEFFLILQGSTRTRNSYWNSTVCLADARSIG >KN540446.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540446.1:33567:38867:-1 gene:KN540446.1_FG003 transcript:KN540446.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 7 [Source:Projected from Arabidopsis thaliana (AT2G01320) UniProtKB/Swiss-Prot;Acc:Q9ZU35] MEVRGLGQLLAALAAALFARFLLSNASGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGFLYINGRPISEGGYKIAYVRQEDLFFSQLTVRETLSLAAELQLRRTLTPERKESYVNDLLFRLGLNLHNIYRCLFKYFPYLLTNPYILYHQVNCADSIVGDAKVRGISGGEKKRLSLACELIASPSIIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVVYMGPAKEEPLLYFASLGYHCPDHVNPAEFLADLISVDYGSAESVQSSRKRIENLIEEFSNKVAITESNSSLTNPEGSEFSPKLIQKSTTKHRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTFSRNSRILIVRYYFVYAPSNNIPCYTFRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKVSLIRWAFQGLCINEFKGLQFEQQHSYDIQTGEQGKWFAFDALLLWQALERFSLGGIRIADTLVAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQNKQQVKEVK >KN538730.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538730.1:220448:220591:1 gene:KN538730.1_FG001 transcript:KN538730.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSSTSQLAFEYNARVEATATFLLDLGGLSVFVVLTIGLAAASLP >KN540446.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540446.1:24664:24915:-1 gene:KN540446.1_FG004 transcript:KN540446.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAESGWEPPAEWAAWEKEWYGSYDADVCALVGAVQAFLMSSRPGVGVGIVAAVAVSVPATAFVLVSGLLHASQSLLANLQH >KN540446.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540446.1:4041:8538:-1 gene:KN540446.1_FG005 transcript:KN540446.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSAAGPAEGPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGIAGFVLAAGWFISFGIAVAARCFWKSRIDKENDFHADILRLVLLVVFIFTLTAGSVILFCGQSKFGQEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTISVAALYLPSDVQGQIDNLKVDLNKAADTISQKTSENYRRIRKVLHNLSVALICIAALMPVLAFLGYVLELYGPRSTVYVFVTLCWTVVATLFILLGIFLILNSAAKDTCEAMDEWAQHPQAETALSNILPCVDESTTNQTLYQSKHVVVILVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDANLTDRQCKSREVTFDNATTAWLNYTCTVPDSDLCSGPRTITPEIYSQLVLAANDCKFVRNTFSSIASQYCPPIWRDLSLVSAGLALIASGLTLGLLLMLFADRPQREEVSELPSGSCPFSRIQTANPWKGSPEKHIQKRSDKKENSPIHFSSKLEHLYYHFSPYLSSSCEKYLHDLNSDEHHGWTRVLQDFKLQKLLEFCIIVLVKKLQRCIIRILVVVVLAVCM >KN538730.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538730.1:271648:273881:-1 gene:KN538730.1_FG002 transcript:KN538730.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRALSLLLVLLGMALASFPSAASASRDLRPRRAGFVVRGRVWCDTCLAGFETPASTYIAGAKVKVECRSKSTGAKTCSFEGQTDHTGTYNIPVNDEHEHELCESVLVSSPDAKCGKIVTGRERAPVFLTNNNGVTSNVRLANALGFQKDAPLAACAQILKMYEEVDDRV >KN540924.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540924.1:19719:21543:1 gene:KN540924.1_FG001 transcript:KN540924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMQTHGEYTTTKFNDTDTDSLRTAVEARNIIGWKTVPPPCAKYVADYITGERYGRDADVVINEAIAYAESLKLSGTGKEIWVFDVDETALSTVPYQANHGYGVQPFDNQSFLKYVAQGSAPALQSTLRLYRRLLQLGIKPVFLTDRTEDQRTVTTNNLLQQGYCNWEKLVLQPVGLQTSTLAFKTCERQKLVNEGYIIVGNIGDQWNDIRRSPDGCRTFKFPNPMYYVD >KN538730.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538730.1:149147:149329:-1 gene:KN538730.1_FG003 transcript:KN538730.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVHVGPDNVAVLTDNELSTVDVQADESSDSDLFGFGPAWPDGIIVVIDGIAPATAA >KN540446.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540446.1:41558:44850:1 gene:KN540446.1_FG006 transcript:KN540446.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLVTQDDLIHVFSSVPRHLNFIDHTSDIGWKETQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPQEGYFHSVVCNSDFRNSTVNSDMRYMEWDDPPQMEPHFLNTTHYDEIVESGVPFARKFRENEPLLDKIDERVLHRWRHRPVPGAWCTGRKRWFNDPCSQWSNVNIVRPGPQAEKFRKHMNQIIEESASGNNSCKQ >KN538730.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538730.1:228188:228469:-1 gene:KN538730.1_FG004 transcript:KN538730.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYSYGGELESLRGTCDVLRQSNADMREEKGILQGMLEERNVEMKALKEELVKCKECEEFKLLYQKLINANG >KN538730.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538730.1:241826:242836:1 gene:KN538730.1_FG005 transcript:KN538730.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMFDWQVQAEKRHTKNVQMALDIINRKHPGRDYELWEISAKSRIVEMERSYCHYNFTAYSPSSGFGFFFAETSDDVKWCCVRCMSYEIYLVHPSSDKFLFGDESLHCCCADH >KN538730.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538730.1:136271:136474:-1 gene:KN538730.1_FG006 transcript:KN538730.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKGWSSRRRMRFSAAAWPSLPFLESARQSTTFMAYSHEDDEPTHSPSDDVPGADVVDEGEVPRA >KN538730.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538730.1:230645:232673:1 gene:KN538730.1_FG007 transcript:KN538730.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSLAASAASPSLAKAVDTYRKAVGTAATLTAYTVLARGMARELVPHDLRAAVAWAASLVRGRFEPRPAERRTAIIRSIEGNGHGHAQCIESRFFVDAHAYLATKIDPRMNEGSSWNGIVHHHPATFDTVAMDPALKKSVVDDLDRFMRRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFDLYDLDLSQVYSNYCLQRLLFDMPNRSLLVVEDIDCCFSARSREDAKNSADDDDEDKEKEKLTLSGLLNFIDGLWSTSGEERVIVFTTNYRDRLDPALLRPGRMDMHVYMGHCGWDAFTTLARNYFLVDDHPLFPEIRRLISQAEVTPAEVSEMLLRSEDAGTALAGLVEFLEVKKKMKMKMNQAAV >KN540924.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540924.1:37531:38109:-1 gene:KN540924.1_FG002 transcript:KN540924.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTENVYPTLWTSFPIDLTKIIFLQDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWASKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGIIPYPNPQKSRV >KN540924.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540924.1:33017:35501:1 gene:KN540924.1_FG003 transcript:KN540924.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASARFLLLLLLLTVAAAASSCCFGEETMGGGAGRRHGVRQLEAGRGGAQRHRLEDGAGGSSAVRQHELPQGSAPALQGTLRLYQRLLQLGIKPVFLTDRTENQRAVTIHNLLQQGYSGWEKLLLQPTGVQTATQAFKTSERQKLVSSGYVIVGNIGDQWSDLLGSPEGARTFKFPNPMYYVD >KN538730.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538730.1:180510:181925:1 gene:KN538730.1_FG008 transcript:KN538730.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPEFQDVQSRAAAAVAFLGNVKARFRRRPAVYVELCDVLTAYGRDPAAPAAPVLRRTAELLRGHPDLVAEINASSAAAERRAKVSKAEQFLASLRIVGGVELHDRVEHVIYDVNKDKGLDAHQVYARLEEVLAAEHPYLLHGVDEFFPRPKHQPPPHTAADGEPDVGHRPSSSSSKRAAAVDINQNGDATRPSKARATQLRTAAIFDLHNNHVDLHVNKNSDAVRPKKKPRAADPQTSKSALDGGGDDDDDDDGAVLPSRAAKKPRAADIKIKRRHPLDDGDESDACWQVTTTDNPHDAARTFRKILEFIAWYSKLVTTMRRAEELERREPHLHGALKDLFPSSDCHEILEELYGGGWRTVQVALDDGDGGRAGRTTLAAMLVGLRQRENAAVELARRRADKTRYGEELAAASGSRPRRHRP >KN538730.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538730.1:130150:133417:-1 gene:KN538730.1_FG009 transcript:KN538730.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALKLLLLPYLLLLASASCTQGLPFSNNTDLDALLGFKAGLSHQSDALASWNITRSYCQWSGVICSHRHKQRVLALNLTSTGLHGYISASIGNLTYLRSLDLSCNQLYGEIPLTIGRLSKLSYLDLSNNSFQGEIPWTIGQLPQLSYLYLSNNSLQGEITDELRNCTNLASIKLDLNSLNGKIPDWFGGFPKLNSISLGKNIFTGIIPQSLGNLSALSELFLNENHLTGPIPEALGKISSLERLALQVNHLSGTIPRTLLNLSSLIHIGLQENELHGRLPSDLGNGLPKIQYFIIALNHFTGSIPPTIANATNMRSIDLSSNNFTGIVPPEIGMLCLKYLMLQRNQLKATSVKDWRFVTLLTNCTRLRAVTIQNNRLGGALPNSITNLSAQLELLDIGFNKISGKIPDGINNFLKLIKLGLSNNRFSGPIPDSIGRLETLQYLTLENNLLSGIIPSSLGNLTQLQQLSLDNNSLEGPLPASIGNLQQLIIATFSNNKLRDQLPGEIFNLPSLSYVLDLSRNHFSGSLPSAVGGLTKLTYLYMYSNNFSGLLPNSLSNCQSLMELHLDDNFFNGTIPVSVSKMRGLVLLNLTKNSFFGAIPQDLGLMDGLKELYLSHNNLSAQIPENMENMTSLYWLDISFNNLDGQVPAHGVFANLTGFKFDGNDKLCGGIRELHFPSCPTKPMEHSRSILLVTQKVVIPTAVTIFVCFILATVVFSIRKKLRPSSMRTMVAPLPDGMYPRVSYYELFQSTNGFNVNNLVGTGRYGSVYKGTMLLKKSETTVAIKVFNLEQSGSSKSFVAECNAISKIRHRNLIGVITCCSCSGLNQNDFKAIVFKFMPHGNLDKWLHPEVHSSDPVKVLTLMQRLSIASDIAAALDYLHNSCRPTIVHCDFKPSNILLGEDMVAHVGDLGLAKILTDPEGQQLINSKSSVGLMGTIGYIAPEYAECGQISPSGDVYSFGIVLLEMFTGKAPTNDMFTDGLTLQKYAEMAYPARLIDIVDPHLLSIENTLGEINCVMSSVTRLALVCSRMKPTERLRMRDVADEMQTIMASYVTEIDKVSL >KN538730.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538730.1:265132:266439:-1 gene:KN538730.1_FG010 transcript:KN538730.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWRCLAAAASTSGSSKLPPLPMALGGAVEHGQLVHGAAAPVAQFVVDAEQQSLSPAAAMVLGAGWYNYNLVTPAQAAQLHHRLRRAFVAVALMNKCYVQYAKDIDTAATLV >KN538730.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538730.1:201985:203979:-1 gene:KN538730.1_FG011 transcript:KN538730.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAATSIPSGVVTTALEKEEELAFEVVWSDGGRGLQATAILAASNRTMQRDRESEATGLQPCRRFGGDRVVRGDGEETGGGGEARLCCRRISKSNPMVCCNAALLLLDVFVFDQVHVFCKHSCILCYCLHHGKVVIVAHVLATLGIGGMGLLLVLVGPDSVAVLADNEFSAINMGVDDSEPDNEFSAINMGVNDSGGLDIFGFVPAWLDGIIVLIDEIASAAAA >AMDW01044007.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044007.1:274:889:1 gene:AMDW01044007.1_FG001 transcript:AMDW01044007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KVKTSVQKKNSNPVWNEVLQLAVTNPTKPVKLEVFDEDKFTADDSMGVAEFNVTDIYDAAKLDLKHVSDGARIKTIYPVGVNYLCAESHVSWKNGKVVQDITLKLSKVDSGLIVLQLEWVHVPGVTL >AMDW01016871.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016871.1:2:241:1 gene:AMDW01016871.1_FG001 transcript:AMDW01016871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKTCAAVTERVLAAVYKSLNDHK >KN543656.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543656.1:56:2753:1 gene:KN543656.1_FG001 transcript:KN543656.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIISALHQSAKDISKAVGQEDDVEHWHRVALRCFHYALLLFPQWHEPPNADNNNGINVTKEELIRHWAAQGFLTASKPPRAVQDNIHNVGIRHHDDVYQVGNIILQTFLEYSLLKLPFAPATKVDEPTDTAAHFLAYHSLVINHLTEDEMFHEGQCLQNMGWIKLVCEQTMEDQKWHISGKCITNKEESSGTTALVLSHFSHKPSLLNLIDNILPKLPCLSVLDLSYTPLESLPPSVWHLRNLRLLSLRGCTNLKSLCNFSNCGNTLSPNDKCHVNNLLYLDLTQLNINIFPGDLFQDMIKLEELLLAMCSNLEELPRSISALSSLLTLEISGTKLTSLPEWMFTGMQQLQSLKLIENKLLVLVPRSISKASCLNQLHILSCDELDEVEVFGGARLKIVILSGSTQHWKWPKSLMKDVSHGCLEELHIEGWDSTIQEIKLVGHPTLKSFLLINAPHIRNLSLQGCRKLENVELRDLGALEELDLSATAIKELLAEIPNLPQLKRLLLMGVSSLSRFPWHKLQRFPDMFCLDCCAQGNGNHYDDQVANIKKNIAHVCIEDSRLFYSFNSNTRELVEYGAYFQDFYVQIAPCKANIRRLEDEQDMLADKLTKLANKKSPYGDVYRHYMAEEFSVISIAPPIRQTKRHVEMSATNRYPHGLYSLLQVAKSISLTDDIHVSCLTDLSRLDKLEDCKLRSCHHMKHELPLLQHLRDDVNAAISAPAWKELHVRGCWSLRRLPRLRQEHSSQVVEVSGERAWWRKLIWDDDSSTMHSASYKSKLPLPFASFNERAPVMSYLR >KN539111.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539111.1:104407:110655:-1 gene:KN539111.1_FG001 transcript:KN539111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAVLLHAVLLVLAVAVSSWRGVVSGDAAVVLGRKAAGISSAAASSSADDDLPAPQISARKDGAGNDKYAVIFDAGSTGSRVHVFRFDKQMDLVKIGDDMELFAKVKPGLSSYAGKPQEAANSIAPLLEKAKGVVPKQLQKRTPLKLGATAGLRLIGDEKSEQILEAVRDLVHSKSNFQYKPEWISVLGGSQEGSNLWVALNYLLGKLGGDYSKTVGVIDLGGGSVQMAYAISSDAAENAPPVPVGKDPYVTKEYLKGKDYNLYVHSYLHYGLQASRVEILKTKNGQFSSCMLRGFNGLKPTREMTLVKQVKYGDYYVESAWPLGTAIEALSSQKSHQSA >KN539111.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539111.1:80403:81127:1 gene:KN539111.1_FG002 transcript:KN539111.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFKNERWSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNEAELSRCQEECKSRGLAVTVSACDVSVRADREALAARVRALFDGKLSILVNNVGTSYLKPAVELTAEETSSLMATNFESCFHLSQLAYPLLKASGRGNIINISSAATSLALPSLPVYSAAKG >KN539111.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539111.1:59745:60347:-1 gene:KN539111.1_FG003 transcript:KN539111.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHFITDENTCATVAKTNLATVKEDELFSVLELYESFSGYNDIVEQNMPSRFSEKFLQKYGQIRYWDCALLTKGDMGNYDQLELLMCMSMKWIQLVLCTMLYRRIEWKLLIDCNSKQCIESFLAILDTKLLVISVNKDNALQGKASNFLVVWWNASATKELAMVESSHGIIAFIMQRHWGSLTLVEPFVWNPSDGKVA >KN539111.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539111.1:13774:16648:1 gene:KN539111.1_FG004 transcript:KN539111.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSINERFSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNQAELSRCQEEWTAKGLAVTVSVCDVAVRADREALAGRVSAMFDGKLGILVNNVGTAYLKPAADLTPEETSRLMTTNFESCFHLSQLFYPLLKSSGRGSIVNISSVASVLAFHSLPIYSAAKGAMNQVTRNLACEWASDGIRVNSVAPGYIQTPLLTAFVAGNDFAQVEFNRLPLGRLGKPEDISSLVAFLCMPAASYITGQIICVDGGRMLS >KN539111.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539111.1:33752:37027:1 gene:KN539111.1_FG005 transcript:KN539111.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNFDSCFHMSQLAYPLLKASQRGSIINISSIASVVAFPSLPNAIYSAAKGAMNQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >KN539111.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539111.1:99533:99649:1 gene:KN539111.1_FG006 transcript:KN539111.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPMEEKESRQDSRKRQDTAAVEVVAVSSGGQGARR >KN539111.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539111.1:30862:32035:1 gene:KN539111.1_FG007 transcript:KN539111.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSKNERWSLAGATALVTGGSKGIGRAIVEELASLGATVHTCARTEAPLKICREELTAKGLAVTVSVCDVSSRADREALAGTVRELFGGELSILGI >KN539111.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539111.1:67733:68326:-1 gene:KN539111.1_FG008 transcript:KN539111.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGMEVHTGKAFKERIAAIKTSPTDLTAASPSPLPASPTSAPTWDSTVCPNSNIMYMTASSCHFNKKPILEVALELGDHEEKAHALCIDTIGCFKDMHAKCSTFGLETNGDANQAVVVSPTIIGMSKIIPASVVPVDIFSPTSITDIKMYTLMATRDVSQCQYQRLPCYGCVTSHGKPSGTHHSANGAITSHGAEA >KN544729.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544729.1:1747:2301:-1 gene:KN544729.1_FG001 transcript:KN544729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVTRRRLGRKGFIVFAGDASDGDGVRRIIGGRSNAATESEMERGVTSDQVGDGDRGGEGDVETGEEEEEEVDGDGYIADDGLPGDEDDDGGDLRHLQADEIDVISFGPRMNSSDARAVVPLVGVADGMNRTAVINTSVNDSGVSLNPPVTGSLRYNHREATGNIEALGGLEPTITNDMEED >AMDW01028327.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028327.1:266:361:1 gene:AMDW01028327.1_FG001 transcript:AMDW01028327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACRTLELTLLSASDLRGVNLVSKMEVYAV >AMDW01040462.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040462.1:89:910:1 gene:AMDW01040462.1_FG001 transcript:AMDW01040462.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAARADGVLVNTFRELEPAIGDAADGVKLPPVHAVGPLVWTRPVAMDRDHECLSWLNQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSQHRFIWAIRRPDQDTSSGAFFGTANSRGEEEVGMDFLPEGFIERTRGVGLLVPSWAPQTAIFGHASIGCFLTHCGWNSTLESVSNGVPMIAWPLYAEQKMNVAMMEVQAKVAIRISVGNERFITKEEIANTIKRVMKGEEAEMLKMRIGELKDKAVYALSKGCSILAQVTHVWKSTVGQK >AMDW01022732.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022732.1:115:282:-1 gene:AMDW01022732.1_FG001 transcript:AMDW01022732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLALKLGVWGVTLVVLGFAYYFVFRSKAGDGECRDEEHGHAQADAGSSRPPEEK >KN540384.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540384.1:9291:9518:-1 gene:KN540384.1_FG001 transcript:KN540384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTHWDHDDDGAMAWWSCGEVAAVRNFAGAQQLYGDAATMAQWHEVVAALGSPAMTMIASRLMAVAVAPRQRCCGW >KN540384.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540384.1:28366:41125:-1 gene:KN540384.1_FG002 transcript:KN540384.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLADNVMPSDVGLQTEAQSIRSWRTPRVLNRKVVFHSSTTIRYRHIYECKDFSIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWVEDTTQLLKLSKARPTKIVRDGEMSAPCGAMVIQPKDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSVATFICMLFSIIQLNKHRGSIYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNMLQKSLHEIKSHLWKDKSEQEDAEEDSSFGRIPFQIWNSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSLHTEYTKSDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFTSSPFSSYSPLEVYLFNGDSGQLSVLNRHIG >KN540384.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540384.1:11253:21526:-1 gene:KN540384.1_FG003 transcript:KN540384.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSYKEVGAACSFARAQQLYGDATTMVQWHEVQECYEVAAEYETKHDVQKLDELGNMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIGETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFEVQFDSTILKYKFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGYCDIPEEATLTNVEQLLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPRTDEVADVLDTFHVIAELPADSFGAYVISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAALTRLFSISWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEQLVKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPSAPKPEWRALLDEMAVVATKEYRSVVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVTLRPHLSKEVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGIAAGLQNTG >KN538692.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538692.1:383341:384886:-1 gene:KN538692.1_FG059 transcript:KN538692.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNNLNSTTLNDRTIKVDFARSRKKQYVVPPAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQSVS >KN538692.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538692.1:335451:351130:1 gene:KN538692.1_FG061 transcript:KN538692.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVGILLLVVAAAGCIAGWPALELLEHGGDDWILTFPSSRLSLVVAISALSSCSSSPPPPTDIAQTKIKPKAFDVHVIAGDGFLYCKSHVTAEQHLQPTQDFWIAASPSNVVQADAAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLELPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTVLALAFPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQDFARRENLKIISIADLIRYRRKRDRLVERVCVTPLQLQWGSFQSYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPSGNTSALVDGGIKNEQDQVDSANEQDTSKIYTLEKIYGFRLVCRSVVDLRSQKFHTRVSKRKCYFRSSASECEKIVHSARWLEFRRQRVAFQRTRRIIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKEWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHERQQMNTSESDLSLLMLGLTCLAAITKLGSTKVSCQQFFSMVPDIIGRFMDMLLEFVPLSKAYTLTKDIGLQREFLCNFGPRAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTNLDDSINDIVSSHLNKLTIIQVVCEELEWLPFYSGDVPAATIEGREDVHKGEIISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMKELDLTKYDMPKDQTFPEAKEHLVARTELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEGRGKTASNANESSTPQKPANRVENKRRPIWDLFGRPSGRRVELVQQTSDQNVSVANVDDKDTQSNDILRFEQLRRELIELEKRVQKSADNAQKEETYVANETLDSSVSSSPVSMPSVPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPLVNFNVQCKYLHGYSIVNKIIMFPERLDLLRQLEKVKEMGVAEGSSEEMVEACFGTRQKMVSFRL >KN538692.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538692.1:294747:295085:1 gene:KN538692.1_FG062 transcript:KN538692.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGYGQRSSRRGRRRTRWSSGCSSGAAAGVVAATTNRRCRVVRASGTAAHAAAAEQAARAPSSATTAEQATRALGTAARATEQAARAPGSATAAVQSTRAATVEQASAAL >KN538692.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538692.1:472795:478387:1 gene:KN538692.1_FG064 transcript:KN538692.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGILGFDHGVVQAPLGPDISGPELAAAVANAAAIGLLRLPDWPAPDRVRDLIRRTRSLTERPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHLAGVKVLHQVGSFEEAAKAKEAGVDGIIVQGREAGGHVIGQEGLLPLLPRVVDLVSDTGISVIAAGGIVDGRGYAAALALGAQGVCLGTRFLTTEESFAHPLYKKRLIEINCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPEQETEENQPIIGHTIIHGVIHKHDENINFFMIRCQAAQPFMIHPLPHTDIVVIELYQLHVHLINIHGSKYARWRTVVPCKGHDFFQLLMMVSHWDEDEYSNNITFYSPSIEKISRYKSFYQGQEDDDLFVVLINPLTRETTTLPLLPKFLHNNCALDQITDEGGDVCVLLYNWMSSNAALWYRGNAITIVDWAIFSRNNLKGKMTRYLGILFAMHGDRMHI >KN538692.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538692.1:289954:291784:1 gene:KN538692.1_FG065 transcript:KN538692.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMAKLAEQAERYEEMVEFMEKVVTAAAAGGGGELTVEERNLLSVAYKNAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELSPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDATKPEDEH >KN538692.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538692.1:398545:400364:-1 gene:KN538692.1_FG067 transcript:KN538692.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAGGAWSWSHGYGGGFDQGKGVMELVVDDGVVNAFWDGGGASSSPVMAAVPGFIEEPDGEIVMGDASKLLVILISVMAASLRSFTPREPSTRSRRKTTTVFVFFYDANACMAALWSLQVEDDCQLTSVDDIAAAVHGIVETIEQEQQQQQKQSCS >KN538692.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538692.1:424900:431530:-1 gene:KN538692.1_FG068 transcript:KN538692.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRIVREEEWDDFISVLRKPLPATFRINASSQFFKDICSKLENDFKRYLESEVATLFIYTLYLNTSSCHSNFFYKNKLLAKVSDEYGEDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNIQPDHHIFDKIYKNDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNGLHLLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRCGNSVELLDVSNELPELVHRPGLSTWKVQDKGSWFQNHDDVPHDRKNLILPSMFPANKSIQEGHSVCDDIEVNTECQMVEVTKTEVLDDDESLEEQKKKSIDAHTSEDSNLTEVTLVSDDVKNDQAESGNRMNKLQDQCKWKGVDPVLFFRDLTVIKSIVSFFGINISFPLEGHLVTRSADPNNARRIYYVSKSVQEILQLNVEVGEQLKIASLGLKMFETHRSKDGCPCAYRLSYEGLPLLLPYISKRILSASPNDFLRLLQYRTVNFARFINARFGEEAASLIPGCCVVILREGHQNLDLGSITMDPTTIAIVCWRGKATLNAMVSPPDRKELLERITHRFGLKALRVEEDENPRRQD >KN538692.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538692.1:328904:332694:-1 gene:KN538692.1_FG069 transcript:KN538692.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTARAGGVFLPIVKSLSLSAGSKPNDRSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASLPAIISLLATPYLLYKIFPPEIKDTPEAPAIAAQKLKNMGPVTRNEWVMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGTFWWKFLGLY >KN538692.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538692.1:296676:297974:1 gene:KN538692.1_FG070 transcript:KN538692.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVFVDSVRSTSNGDKASNSITEASEMQEKLNKLQEELKNEKKEKARALDEIAGLKKKKNENKVTSNGGDDKLDLVHRLEQLEGELEAARDSEKKLLVSLGAQTKQLEQTKVSLEEAKLEIASLKDNKKSSEAFSALSSNPSQPARNLRRRGIMSFSFADPGEVETWSLQRELKLAVEAEEKCKKAMDDLAIALKEQTTDARDAKAKLSLAQSELTNARTEMENSKALLKNTEEKLQVALEEAAQLKFESDELAAASKEKERGLVDCIKMFEGDLIKAKEENNKLIESQRVIRDENSRLREMLKHAVCEANVAKESLEIARAENSQLKEDISEKENTLQSIIQDYESLKVSEAAAQSSIGELKDMIDAMFSSESTKTSAEASPRDTKGNEVYYDHERTQLEDIRNPARHKKRTVLRKFADIMKKRNSQSAI >KN538692.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538692.1:364660:367118:-1 gene:KN538692.1_FG071 transcript:KN538692.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLIKLLVVVGCAAAASAATLTVGGSSGWALDQNYDTWASGQTFAVGDKLVFSFVGTHTVTEREDQDMFVAIFQVSLVFTIDRSESSNPIDSRLRDLKL >KN538692.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538692.1:387186:390966:-1 gene:KN538692.1_FG072 transcript:KN538692.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPLPSTTQEGTRQVNFLVRFVLTEIESKNTMGETRFRGEKPAEGGADLSDIDSGARWMIGGVLHTVVPFYERARYVEDETARNVETAAEVVEHVAEVTEKLASNVADHLLENGCLQKAVEKIGYIAEVVDEDAEKVEAITKKIDKFSDKIDAQVEPIFKEIEKEFEDSTSNGMDQEASLLPAASSHTVVRRKRALQLNETVYEEPEYVATKGGTYNVAEMPKRFKSPNNVDGQDAWTTAMFVRGMILLDASFRELQSRIASEKTPSNVCD >KN538692.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538692.1:421098:421409:-1 gene:KN538692.1_FG073 transcript:KN538692.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCIGIDKNRVHHFAGAVRDSKPPEVYKGKGILYIDEVIKLKPGKKQKK >KN538692.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538692.1:410466:414263:1 gene:KN538692.1_FG075 transcript:KN538692.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRSGVLVFGLVCVFQLSHSSSDDDFTKVRAVNLGGWLVVEGWIKPSLFDGISNGDMLDGTQVQLKSVGLQKYLSANGGGGGNLTVDQDVASTWETFRLWRVSYREYQFRCIKGQFLTASNGDVISATADSPGDTETFYIERNNSMLHIKLLNGGYLQVTNNNQLTSNYPSQPGWDDGMATFEMTIVANNLHGDYQLANGLGPDQAMVVLTFKSARYGGSDFDIRIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPANIEKTLDVINFLAQRYGNNPSLLGIELLNEPSAGAVPLGTLVSYYKTGYQIVRSNSDKAFVIFCQRIGNADPMELYQADLGPTNTVVDLHYYNLFDPFFEKLNATENIQFIYNNRMPQVQALNKANGPLVFIGEWVNEWNVTDASKTEYQLFGKAQLEVYGEASFGWSYWTVRCNSVHWDYEWNKRNRWFTVGKPKVHASCGRMPTLSFIYTDMILETYHQKIEFLSL >KN538692.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538692.1:359995:360965:-1 gene:KN538692.1_FG076 transcript:KN538692.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLLVMVVGCAAVASAMELSFIVGDAQGWNTGVDYTAWAKGKTFEANDTLVFRYARNQHTVTEVTKSDYDACTVSGKPISDFEGGALVTSIALSPGEHYFICKIGNHCASGMKLAVTVSNSSDTPRPQPWIGPYSTPASASAHLHAEVTKSDYDACTVSGKPISDFEGGALVTSIALSPGEHYFICKIGNHCASGMKLAVTVSNSSDTPRPQPWFGPYSTPAGGASARLHAGGAVVAAAVGILLNLALF >KN538692.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538692.1:299571:301616:-1 gene:KN538692.1_FG077 transcript:KN538692.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRNVISWNSIIAGCLSHGDLAAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARALFEQMPERNVVSYTTMVDGLARCGEVASARELFDAMPTRNLVSWAAMISGYVDNNMLEEARKLFEAMPEKNVVACTAMITGYCKEGDLQNARRLFDGIRAKDVISWNAIISGYVHNGLGEEAMKLYIIMLREGIKPDQATLIALLTACSSLALLRQGRSTHAVVIKAMLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRHQKVIALFHEMELCGLIPNDITFLSMLSACGHAGRVDESLKLFDLMFSKYAISPRAEHYACIVDILSRAGQLEKACSYIKEMPSEAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSDFESSGAYVMLSNIYAAAGMWGEVNRVRSQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMVTDKTQMMEELAQECG >KN538692.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538692.1:380651:382815:1 gene:KN538692.1_FG078 transcript:KN538692.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSPVRMKATDAGVPYGYPGENKRSFRWIIQRLTKGVPYTFAHAFSVSGPLRQDITTSANYNLLTYHGILWQPATLIWNKAIPNTCRIFLWLAFRDRLNTNANRVLKKWDSNPHCMTCPAIETSNHIILRCKLAGEVWKKLNLYEIAVRSSNIQDFVESILDTLPEHQKPGWPACFAACSHGLWKARNQLIFKLTETSVAYILHRIRESLQLWVHRLKPSLREHLNTWADKLS >KN538692.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538692.1:304151:306485:-1 gene:KN538692.1_FG080 transcript:KN538692.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDLVEDLLVTVNSARAFVEFRRTQRKECANLLRWLELVLPLLEELRDSAPPLTEDAYHRLALLGRAFSAARRLLRSCHDGSKIYLALESEAVQGRFRAVYEKMNSALDGMPYSELAISDEVKEQVELMNAQLTRCKKRADTQDIELSMDLMVILDNKEGERNADRAILERLAKKLELQTLADLRAETMAIKKLISERNGQSGDSTKQIIELLNKFKEVAGVDEKNVLGEVSVTKSLDKCPSLMIPNDFLCPITLAIMRDPVIVATGQTYERRSIQKWLDSGERTCPKTRQRLSHMSLAPNYALKNLILEWCDKNKVELQKREPEPAAEQDDEHQRGAEDIPSLVEGMSSIHLDVQRKAVKRIRMLSKECPENRTLIADSGGIPALIGLLACPDKKVQENTVTSLLNLSIDESNKRHITKGGALPLIIEILRNGSAEAQENSAATLFSLSMIDENKLTIGRLGGIGPLVELLQNGSVRGKKDAATAIFNLVLNQQNKVRATQAGIVPALLKIIDDKALNMVDEALSIFLLLSSNAACCGDIGTTPFIEKLVRLIKDGTPKNKECALSVLLELGSKNKPLLVHALRFGLHEDLSKIAKNGTSRAQRKATSLIQLARKCY >KN538785.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538785.1:74455:79561:1 gene:KN538785.1_FG041 transcript:KN538785.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDNNNNNNMLKFWAPSVRNSSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPISKIVVVTVNLPPPALSPTCGDSCCLSRETRKLTKFKRLLKETCLIKIMSIFFGEDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFMHPSIVAPYEYIFLWDEDLGVDNFSAEEYISIARKHGLGISQPGLDATKGKRSRYTATARRPAGDMHTSGRFVENDLVHGWGLDHNFWRCVDEPEEHIGVVDAQFVVHRGVPTLISQGNGEQEGSSAKVRSRQFDEMRTFYRRIADAEKAQADATAAAADHHR >KN538785.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538785.1:43368:43502:-1 gene:KN538785.1_FG043 transcript:KN538785.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKPPKNDFDLNHLSLEAIQAAQEEQAAAAQEGNKDEEPKN >KN538785.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538785.1:3204:4116:-1 gene:KN538785.1_FG044 transcript:KN538785.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRSRSTAAGGEVEQLPGFRFHPTEEELLEFYLKQLYCSSNGCTRHHQQWPVRRRAGGGGGGGRPSRTTEHGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDTMQLQMQHDDMVLCKVYRKAVSLKELEQRVAMEELARSTTSSGTHNTGSPLQQDSSSISISSSSDAMKKEVVVVDEAAAAAHELVRPATLSLPQLEVARPQSGLEWMQEPFLTQLRSPWMETWSPYYASVLNF >KN538785.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538785.1:125879:128992:-1 gene:KN538785.1_FG045 transcript:KN538785.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSDVAPFPLLSLLLLPSKNLSFLLASSSSRIAAAASSSSAAAPLPPPNSLPSRTAEKDSSDVAINKGLSLDLVKSPLMMNDASATTTAMQPNEGMEEFPVKVRKPYTITKQREKWTEEEHDKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGSNNAIEIPPPRPKRKPLHPYPRKCANSGTDANPATGQSKLAPVSSSSGSDQENGSPISVLSAMQSDAFGSSVSNPSTRCTSPASSDDGNNIPTFTSGEDNNVPCEPTVIDQSHKEIDRDRKDVNNMSEEDSSEEEVQETSLKLFGRTVVIPDPRKRSSSDPKHESEEQISQPSNEEMLQASSSVGEIPAAYCAPNGWFMSYNSFPFQFGESAADARIPPLHVWWPYYGFAPISHPRGLSTVMQQTEGSDESDGGKSHSAESSSDSGENVQMTSPQSSRIVESLGAIYVRESGSGFELKPSANSAFVRVKPSNSGDEEI >KN538785.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538785.1:36:647:1 gene:KN538785.1_FG047 transcript:KN538785.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding FATTGDAATRKREVAAFLAQTSHETTGGWATAPDGPYSWGYCFKEENNGNVGSDYCVQSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGSNLLSNPDLVATDATVSFKTALWFWMTPQSPKPSCHAVMSGQWTPNGNDQAAGRVPGYGVVTNIINGGVECGHGADSRVADRIGFYKRYCDMLGVSYGANLDCYNQRPFNS >KN538785.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538785.1:90034:91182:1 gene:KN538785.1_FG049 transcript:KN538785.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWSGRLVYDSVVVDGGDVLVFAKGVNPRQGVNRPASDVRCVYYRGRDDVVASLPAATSAQQVFRCPPPPPAAMLRVTLALAGEEEPIPSVATYSLPPASPPATHKRICACTMVRDVGKFLREWVLYHAAVGVERFILYDNGSEDDLDEQVRRLTAEGMDVTTLAWPWPKTQEAGFSHSAAVHRDACEWMAFIDVDEFIFSPNWATAASPSSSMLRSLVAVKPDVGQVSLGCVDFGPSGRTTHPPEGVTQGYTCRRRAVERHKSVLRLEAAERSLVNSVHHFELREGKRGEWNRRARVNHYKFQAWDEFRLKFRRRVSAYVADWTHRVNLQSKDRTPGLGFDPVQPAGWASKFCEVNDTLLRDVTRRWFAAAGESQLQAAR >KN538785.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538785.1:108888:121550:1 gene:KN538785.1_FG050 transcript:KN538785.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPDDIVQAARSAASAAAQAAAAARATAAEKAAIAAKARAAARAAMEFLDSISRPASSRNGLQLKLKSRKKHVQVKLLYKPNGRLEEGRGGPPTAGDASSKPRRRGRETDEEVARKLHRAMNSSPRISFTGPKRPRTIAAENGGVLDACNGSSPHPPTIEVSAITNGCSLGQSSELPVPLSEHEGLDDDNKDSSGHDTTKSRAIAGNGVGAGNLSAGRKVKIKRKELLLNQHNSKDTQEAKEIKPSIDSIRYDESKSNGAEKRLNQLVDAKDTGDGLAPMKISSVWRFKKFKTSHCSSDTLKKSLVNDLLDRQFIDSNIGFYIFSHWRLRLSSSFGSTPCMHQAGTAAQMRDRYGAICLAAQPVAEKSELLPFWLLPVVQRCSAVKITPSIEGSKKCCIDPLFLSLKTNRKILGEFLQQLQAYSGVSSLVYKRALNIPAKSRIWTYADKNRTGFLGREDFYNALRLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINVSTPLPNATSVTSPLQPTQAPRPAQQSPAIQGSQGPLSTSLNPQVLQPGNVVRPPQVSIANTPAQAIAPRAPAGGVPNHTVPATTGLSTDWFNGKKSASPLGVTSQTPTRGVSPQVNLATAGIPTQSSTPIAGYGSHTPASTTSVKANSADLNLLSSPPAANDSKALVPLGNGLSSASTFGVDPFAATPQAKQDSSSPPVISNSLPSANALGPSAGPHHPPKPMQTGPMQGVASLPSQPAPKQNQFNSMPSAPAPMGSFPGGQIPSNTNQSQPPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRELLRKVWDLSDQDKDGMLSFREFCTAVYLMERHREQRPLPDVLPDGIWAEGISLPSTGQFAENPTGPAPHPSAGFTSRAMPGQHHGMPPSSMKPPPRRPLSLDADDAVRTEKQKPKIPVLEEHLTGQLSKEEQSALDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCDNRFNEVSERMSADKREVQSLAAKYDERCKKVGDVASKLSMDEATFREIQEKKLEIYNAIVKLQKGDGNDEKLQERANQIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAAVWDEEWDKFGDDGFSTIKELTVEMEPPVVQKDQPTVEDSKVSTNGPSAPTSTEKEDSRGDKSAAASEQTVEPDATPSDSKTVAAKSPPVSPVKNTKDGHADERDKKQSGTNDTSSRAVESVSNNGGADSPVHGEKRDDSHYWGPSFDNGDDNDSLWNFNRKDGENGDSDLFFGPQGLPPIRTGGSSTAGSVYGKEQKPFFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQKSVFDYSVPSTPLQKSLFDSVPSTPMQKSVFDSVPSTPMQNSLFDSFPSTPMQRSLFDSGPSRAESPTASSIYGKEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGMNDSNSFGQRDSFSRFDSFRSNADQGSNDTFGRFDSFRSNADQGGGNSFTRYDSMNSSSDHDRTDAFARFDSMKSTDYNSRGYSFDEDDPFGTGAFKSSDTSSPTKHGTDRWSAF >KN538785.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538785.1:33420:35368:1 gene:KN538785.1_FG051 transcript:KN538785.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVAERQLSDGDLLEELLSTANAARAFHEFRQSQRKECFNLLRWVQLLLPLVQELRESAPALSDDAYRRLALLGRAFQAARRLLRCCHDGSKIYLTLESEAVMGRFRGVYEKMNMALEGMPYDELGVSDEVKEQVELISAQLKKRSKKRTETQDMELAMDLMMILQSKEQDANNADRPILDRLAKRLQLQSLADLRAETMAVKKLINDHQSDSTNQIVDLLHRLKAIAGVDEKNILGDVFIPKYLEKCPSLMIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQRTCPKTQQPLGHLSLAPNYALKNLIMQWCDKNKVEIHSGDPPPEPPEDPKVVIPTLVKDLSSPNLDVQRKAVKKIRTLSKENPENRLLVTDNAGIPALIGLLPYPDKKMQENTVTSLLNLSIDEANKLLIARGGAIPLIIDVLRNGSVEGQENSAAALFSLSMVDENKVAIGTLGGIPPLVDLLQNGTIRGKKDASTAIFNLMLNNGNKLRAIEAGILPTLLKLLDDKKAAMVDEALSMFLLLASNPTCRGEVGTEHFVEKLVQIIKDGTPKNKECAVSVLLELGSSNNALMAHALAFDLHDHLADIAKNGTSRAQRKANSLIQLARKCS >KN538785.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538785.1:7869:13988:-1 gene:KN538785.1_FG052 transcript:KN538785.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADKPSPGIAGGGGNVRLSVVSSPRRSWPGKVLSVVQVGGGGSCRASWPPTTAWLLGCTVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDHKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYIHYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFQSSDEDCK >KN538785.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538785.1:55179:63010:-1 gene:KN538785.1_FG053 transcript:KN538785.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDSFFFTKRANNENDDDDAAPGMSASKRTTSSTTTGKLSTLSNSTFIPSTISGVSTDDAYPDGQILESPNLRIFTFAELKNATKNFRTDTVLGEGGFGKVYKGWVDERTMNPSKSSTGVVVAVKKLNPESVQGTEQWESEVNFLGRISHPNLVKLLGYCKDNDELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNAKLSDFGLAKHGPDGGLSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDPSRPSGKLNLVDWAKPLLADRRKLSQLMDSRLEGQYHSRGALQAAQLTLKCLSGDPKSRPSMKEVVEALEKIELIKSKSREPRNSSSLFYTSDEIQCCSFKNNEIQCSAMKKGLDDADKTIAYTDQNGRIKLFKVTTTEFLSSSIWKNPLLPKDTLPLAQTVFRRKRKEDRTQELLQVDREGELNMRNVATNRSRNFSNKVRASYNIWRPGFHHTKTDSTLRLMKDQIIMAKVYATIAHSQKQPDLSLGRAKAMGHALSSARDILYNYGEVSRRLHVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVIKEYFRDAALKEGEDKSKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLKFAAMTMWFISNPPLPATVHVENIDNFKWLNSSYCPVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLDFSHPKISENFDPHACGWAFGMNVFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLVELENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQHCYMSEQ >KN538785.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538785.1:85225:87786:1 gene:KN538785.1_FG054 transcript:KN538785.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MRANPIVTALSAAVFGFFIGISFPVQITPQLQCGLLPCSSGDGANYSFSGSSMIDILWSSFRNSTILSNGTSENPALTKPKGAEKLPPGLVVTESDLHMRRLWGSPREDVATGKYLLALAVGYSEKANVNATVLKFSDKFDVVLFHYDGRTTEWDDLEWSKQAVHVSAKKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYVREGGEEEWVGDIAARIGQHKREEDVRSHRSKKRRPGNAQYLIWSLKQTTSKLLESERHYTIDTQNDLVHGWGLDFNFWRCVDNPEEQIGIVDAQYVSHHGVPTLIAQGNSEQQGSSEKVRARQWAEMRTFHDRISNAEKKLGDSSQALEEYHP >KN538785.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538785.1:100064:105931:1 gene:KN538785.1_FG055 transcript:KN538785.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEEPNPHKDGMSQGKFRLIHTWKKGKKVAETKKTGDSIFLELYMKEEKMSLLLDKCHIQRDEPDFSPTPPSRRRPVAIKDRGERRLGGRKERVLFFFGLRVLINRKRGKLTMNMSEYIILVLRLFTTTMVHSDPCTDQQHFNLFIRKNMQIKMILPDVMFSFTTLVMASSYLNCILISPHILFAFFQLAGRTKFSRKLMAEILLHHNEALPRKQRKSAARRIHGNGPEAPRNSLDSPLYDHTTITMTSTMRQKPPMGSTPQDKTTAKNVIHKDIFPSQPSVIARLMGIDTIPVSAKRDEVMIHAEEASNLKLPSKLEMITVTSPRSATFRQSKCSLISYGSSSVDYTYRHCLKKMRPRRSRSRQHHPQEELVEKIREDFQAWQTSKALENARTVVTASGCPTITSSRHRMEEGRYIQMLAQENLHKEKMAKYGYGSCTISMAEKDTLKNATDNSSDTEITSAKAAAESNISPGDKVIKVLRVSHCATMPDKFRDLEDEHNNSSTSAKPRSQKRIVLLKPSTCDIVASDQESLFSSSKVKREGNMEEFLEEVKERLKKELKLKSKSEVVRRSWGTTDPKQIARDIAKQIRETVSRQDLGKRLYSRSESFRAFRSDRKRNAAAAARNASPEHVSPKSVTSRTSGTNQGSNDCSPPIIRRSRGRIRSLTDMPLSVSVSVSVPASGFDDQSYTGECNVADADVVSPRALVRSFSAPASGISRGRLFAEEDNNVDSGRHGNSDADNFTELPPSPVSPLEVKGSSSRHFFSDLNCNLPELSPKSWSEFDTTPRASNGSSSCKNRTNATETEESYTEMAYIKQVLIAAGLYDDGSSYSSPSLMNNARVDSMATRPICDYVFDEVEEAYNAEEDAADHRMLFDLANEALEIIMMGSAKPGSSSLRRWVVDSTGVSPGRKLLDDVWQQVQSLRNPPVQQEMQTVESMVAREAWTSPWIEVLHEDSYVLGRKLERAIFDQLIADIVQELFISQNAAD >KN538785.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538785.1:93954:95273:1 gene:KN538785.1_FG057 transcript:KN538785.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMGFSFDLVSLREEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >KN538785.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538785.1:50966:54439:1 gene:KN538785.1_FG058 transcript:KN538785.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSGSNSTFWTTNSGAPVWNNNSALTVGERGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPIIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGIKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFSFLFDDVGIPLNYRHMEGFGVNTYTLINKDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLTDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPANAPKCAYHNNHHDGSMNFMHRDEEVNYFPSRFDAARHAEKVPIPPRVLTGCREKCYLVSMVLSRYIRRLFTDLECDEICIIDVCVIDKENNFKQAGERYRSFDPARQDRFLQRWVDALSDPRITHELRGIWISYWSQCDASLGQKLASRLNLKPNM >KN538785.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538785.1:15664:18294:-1 gene:KN538785.1_FG059 transcript:KN538785.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEQLYSVFRSYAPPIWASITAGIFVITSLSLSLFLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKREGSSGSDVPLLDHETGQRYVNHPFPMNYMLKPWPLGEWFYLVIKFGLVQYVIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAVALNFSQSWALYCLVQFYAAIKDELAHIKPLAKFLTFKSIVFLTWWQGVVIALLYNWGLLRGPIAQELQFKSSIQDFIICIEMGVASIAHLYVFPAKPYEMMGDRFIGGVSVLGDYASVDCPLDPDEVKDSERPTKTRLPQPGDRVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHKISQNIKKHEKEKKKTNDDSCINSQQSLSRVISGIDDPLLNGSLSDNSGQKKSRKHRRKSGYGSAESGGESSDQGLGGYEIRGHRWITRE >KN538785.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538785.1:64142:72073:1 gene:KN538785.1_FG060 transcript:KN538785.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANQDFQGPISSPPPSPPPRVAPSDTTRRMKASSILMALSAAVLGFFIGISFPVQITPKLEYCAFLIPCGTNTNSSSSASNINILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKTEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPTSDVASGGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSNKVVHVSARKQAKWWFAKRFLHPSIVAAYEYVLVWDEDLGVDNFTAEEYISIVRKHALEISQPGLDGTKGRRQYPVTVRRPSGDMHNSGKFVENDLVHGWGLDFNFWRCVDEPEKHIGVVDAQFVVHRGVPTLVSQGNGEQDGSSAKVRSRQFEEMHTFDRRIASADKAQANATAADQHR >KN538785.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538785.1:23874:26220:-1 gene:KN538785.1_FG062 transcript:KN538785.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNRRALRDIKNIIGAPHQHMAVSKRVYLMGLNLLTCFSKPAAKNQAGHRPMTRKFAATLANQPSSAPLIYMQKLEMAPIGSERQKRTADSAFHGPADMECTKITSDDLPLPMMSEMDEVMGSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRTDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAQSDKKLSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCFISLSLPCRECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSVAL >KN541968.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541968.1:19917:20814:-1 gene:KN541968.1_FG001 transcript:KN541968.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ANLDSNTSNEEADRSNVNNSDATALHTRERPVQAPETTNEIAPKSVITSEKENRPPTKQNIPTSDVTANKENVDPTTCKSRVSKVTKSSFTENAGKGTAVKYVSSKQLLSGALTRASKRKQL >AMDW01037921.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037921.1:129:386:-1 gene:AMDW01037921.1_FG001 transcript:AMDW01037921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKRFVYVSAADFGFVNYLLQGYYEGKRATEAELLSKFTYGGEFSHM >AMDW01039632.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039632.1:158:991:-1 gene:AMDW01039632.1_FG001 transcript:AMDW01039632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFLRINTQYLHETIGNFRYLSLVVSSSDHTDVALNSVTIPGGIRILKVVNAQDNRRCSSKLFSSSINVKIPTETWQNLRHLRALDFSHTALAQVPDSIGELKLLRYLSFFQTRITTIPESISNLYNLRVLDARTDSLRELPQGVKMLVNLRHLNLDLWSPLCMPRGIGALKRLQTLPRFSIGSGGWHSNVAELHHLVNIHGELCITGLRRVINVDDAQTANLVSKNQLQILRLDWSDRVCSNNCSHPSSQNDVATPDPELEEVIFESLRPHWNIEELE >AMDW01039174.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039174.1:121:909:1 gene:AMDW01039174.1_FG001 transcript:AMDW01039174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YNDIAKHALDVVKRKSLDASKALDAHTISESTEKLEGFDEVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGHDALPVSALDYNAK >KN542797.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542797.1:2873:6579:-1 gene:KN542797.1_FG001 transcript:KN542797.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSPILHALLHLTATLAAGDPATTTIITLKVTPATLSKSNNSLTIQWSGLPSPSPLDYVAVYSPPSSGDLDYLGFLFLNGSASMATRPGRPHVGSDSLGWSETYSFISRDIEANETIAFLFGDLGTYVPYNTYFRTPYEGLSTVRWILRDLQALGDKAAFISHIGDISYAKGYAWLWDHFFEQIEPIASRTPYHVCIGNHEYDWPSQPWKPSWAANIYNGKDGGGECGVPYSIKFRMPSNSSLPMPSNSSLPTGTGAPDTQNLYYSFDAGVVHFVYMSTETDFSQRSDQYNFIKADLERVNRSRTPFIVFQGHRPMYTSSNEVRDTAHREHMIQHLEPLFVKHNVTVALWGHIHRYERFCPMKKHQCLDTSSSFVYPGAPVHVVIGMGGQDWQPSWEPRLDHPDVPIFPQPNSSMYRSSEFGYMKLLATREKLTLIYIGNHDGQVHDMVEISSGQASSEAELVATTQRISWMYVEIVGCVMLALLIGFAAGFLTRRKDSTKWSPVEREEVELSY >KN544261.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544261.1:1356:3335:-1 gene:KN544261.1_FG001 transcript:KN544261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRGTQHSEGPATILAIGTANPENIVFQDNFADYYFGLTKSEHLTELKDKMKRICHKSGIEKRYIHLDAELISAHPEIIDKHLPSLETRVDIVATEVPKLAESAARKAIAEWGRSATDITHLIFSTYSGCRAPSADLQLALLLGLHPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSADGEHPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSIRNTDPNWNDLFWAVHPGGRAILDNIEDKLQLHPCKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDMQQQHEWGVLLAFGPGVTIESIVLRNPLSRGLMEN >KN543232.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543232.1:4947:8682:1 gene:KN543232.1_FG001 transcript:KN543232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEKLTQGHFGDEGESLANNLSPPGSIWCGRELNGINSSSVNQGDIETEMDQIDSASSAIGMGSMECTDALSVELSTSAYMSDAYSTPSHSRCIPFCKRWTKWCKREAVFDIDGNILEEKAICSEDSEMQKMSSYAHNDMEESIILAAQSRETMEFVRTSLANLLISVRKMVPVHQQTRQGEIEAFIGSNIPDKINIHAPGDINAKGRRKRYKGHVDKGGQQDKDVKKRKESNPRLCGLCKQIAFHDKRNCPNKETL >KN541193.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541193.1:1590:3819:-1 gene:KN541193.1_FG001 transcript:KN541193.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAEARRRWTLVLVNLASVLEKADEVLLPAVYREVGAELGVSPTALGSLTLCRAIVQAASYPLAAYASARHDRARVIAVAAFLWAAATLLVAVSGSFLQMAISRGLNGVGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSIMGGSFGVLLAPVTFLGVAGWRLAFHAVALGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGLVGDPVSRRFPNTGRIALAQISSASALPLAAVLLLALPNDPSTGVAHAAVFFIMGFAISWNASSTNNAIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEQVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRDRARRNLLMASDDQLCQEAGESDSIEIRTQEDEEFAVGSINQRLIHARE >KN541193.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541193.1:9724:11315:-1 gene:KN541193.1_FG002 transcript:KN541193.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHVVALISVAVGILVRLFAVDPHYINFGNKKQHVRKSAWREMKDLVVEAKAVVKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHKGTGSLMVTSAVASSLGGLFGGKIGDYLAKHYPNFGRIVISQISSASAIPLAALLLLGLPEDPSTGFLHGSVMFIVGFCISWNAPATNNPIFAEIVPERSRTSIYALDRSLESLFASFAPPVVGYLAEHAYGYNPITYGVGISSVERDKENAAALAKALYTAIAIPMLLCCFIYCLLYQTYPRDRERARMDSLITSELQQIEQERSQRTSDYYNGEGVSVIDIEYGEEGVDADDDEKTLMQFRIEQSAADK >KN541193.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541193.1:6063:7341:1 gene:KN541193.1_FG003 transcript:KN541193.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEATAARARQQRQPPSWADIPRDLAVQTSDKMPINKLLLCSPNLVAAFIGSSLANAGRNSQILVCQPGASSWSVRAYDKCKLFEDMAFYRGKLYALTHDENLLVVNISQDPNTGDPQISQIGQVIKGDPTWSSVLIPDDDDTSTTDKKKLYLVESCGVLLMVRRKVCCRVVGKTVVAGQNEFEVFKADLEKSRWVNVTTLGDDQMIFLGRPCSKAVSASQYGMPNDQIFFLDDVMENNKEYAYEEETTSVGVYDMRSAEVSSPLPMAWKHEMISATWLFPWD >KN539537.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539537.1:80622:82083:-1 gene:KN539537.1_FG001 transcript:KN539537.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEITRSEVLRPSETSAAGGGGKTSPLTVFDRAAMDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDADERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRAGATLPTPFVDRAAIAVPRSPPAPAFDHRNIEFKGERSWTHSYGSLPMERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARVDEQYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDMPVEGLLIFVPSCAAKGGVEMFMALDDVHVEAFRQICYSMD >KN539537.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539537.1:800:2274:-1 gene:KN539537.1_FG002 transcript:KN539537.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNMKRKEHDEVANNGLSIFLDPKRLKLQDDEIPDMMEEEKPSAETPPLRADQAAAAAPMDVEVQLRQGQPPAAPCQQAHFRSDSLSDEFRVFFCEKLHKISKENHV >KN539537.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539537.1:38584:43093:-1 gene:KN539537.1_FG003 transcript:KN539537.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLLPDDVLEEILRLLAPSPRSLAACRVVCKAWRAIMDTRCPPPRPDLLPLSLAGIFFANFYCTIDNLPGFFAQRGRHHRARIFPKLDYLDDAPIDKLEAHDHCNGLLLMDKITLLDDKYQVIKSPSDINLNNHPYIYLGRSKKGMEWMLIHDVSLEQIMADFRWNPEAVKPWIKHNTYRGDNKNNEEISEDESPGWDSEDDNIIVYTEDMYTQDGSSWSYGHLVSVVSFIHRCMTGYGLCVHGVVTWVETMMVDDGVL >KN539537.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539537.1:37194:37814:1 gene:KN539537.1_FG004 transcript:KN539537.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSDMSFDRRDRVTAGPDGVEESYRQKTLIAEAMAIVRLGSKSRVAFEIAMAALKVLRKELEEMLPDSVACRDDLVAHNNGAGTSTEVISQEPPPKPKTKERTVGPEENVSLGARGKKLCTRVCSWCGLRDGHYTTTCPKNPANFEKVRKAMSRGRGRRGRPRGSGRGGGRGMACVGRSLMDELEGDGITEQDSNDDMVESDAE >KN539537.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539537.1:11175:20641:-1 gene:KN539537.1_FG005 transcript:KN539537.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPPPPPTQSSPPRFVELVRRGTEADREAALDCLRTALAPCALDAYPRRIHCPARAGSCPGSAECGPERLTDFSTSFAIFPGKICTAPFWYQQSDIMHLPHTYLINNEAYEEFKHILLVLIYDKDDQSSPVANEIQHFFIERYNIFHLVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNLLFSISSKQFPTCISSHPLQADAIQPFPWHLLPFYEPKPITLWYKNELCRMKLDLPLLDKLIHEYCIYRGIVEGGSHVLPGLQSNNQSNDVNFVDKQEDSTETRIDFQMTNNQNGNCSTSDTSHHDSWSRGLRRVRSSASGQRRRKRWRGRVDDLDYGCETPLDANKHAMLCSALDMDEDDMIVKPDLMADTGLPDSRSSQDQKYEAILEMRDLTRKGMASKVIEEINNMDPDFFLKNPILLFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGSHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >KN539537.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539537.1:63610:63930:1 gene:KN539537.1_FG006 transcript:KN539537.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFEGMVRADARFEVVTPRRFALVCFRLRSPNKKKTANELNRRLLEEVNAASSGPYMSSAKVGGVYMLRCAVGSTLTEERHVREAWRVVQDRATSILAKMDIIM >AMDW01007835.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007835.1:43:159:-1 gene:AMDW01007835.1_FG001 transcript:AMDW01007835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLLDLTCQHAADLIKDMSVEEVREVFNITNDFTPEEEAE >AMDW01039693.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039693.1:202:552:1 gene:AMDW01039693.1_FG001 transcript:AMDW01039693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQKGICKSPTPSSREKYITWTDEATEFMLEWFIQTRKDKPDIFKWKKQHHHQCAQALNEKFGLGVTRNQVNRHFRSYKEKWNWIRFALAKSGYGFDSTICKFNIDPSEKDLKKLG >KN543295.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543295.1:2735:8082:-1 gene:KN543295.1_FG001 transcript:KN543295.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFVAKPETLADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSICRLYRGENNVNVGGSNEVAMKSLELDGFKIGNRFMRVERCRLAAGSKRKRTVEFQTDPKKADGCLSAYVGNLKWDVKETDLRDFFKSLKISSIRFAINKRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPIKISYAVSNRG >KN542037.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542037.1:3162:4262:-1 gene:KN542037.1_FG001 transcript:KN542037.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMIQSFAVAARAPFAALLERISASYSRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPENRLVREHGLKFHPVEACMPKEFVEFISREEQDEENAASSGILMNTSRALEAEFIDEIAAHPMFKELKLFAAGPLNPLLDATARTPGQTRHECMDWLDKQPLASVLYVSFGTTSSLRGDQVAELAAALKASKQRFIWVLRDADRADIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPLDKHSEVVPAEAIQEVIEEAMLPEKGMAIRRRAMELGEAVRASSRKDLDDFVGYITR >KN542037.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542037.1:12560:19868:1 gene:KN542037.1_FG002 transcript:KN542037.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIYREAMTVKTPEIILVCQISREKCAFMKEGSQEWHLSFGYQCLCIASKQQGKSRESREMPLDKNLRVRGGGDLNEAAAEMLANIIGARNSFLSRTQISSLEECVLEVAIVYRNSVKKCWSSNSHSTVNVLSIAKTVGQSMRMKRIHVKPHATDLKNSPQAHEDNVFYKLVYRLPENLSWLLASPEMARRPASKKKLKKAEMVTSNQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAILEVLHWSEDPQEVQRLAARKEVIYKTLRGRFYQLRPGVLDFLNTLVDFDIPIAITTPRPRLSLEEGIKAVGLQGYFDAIVAAEDFCRGKPEGEMFEVAAEQLGLEPDVCLVLGNSNSTIESAHTAGMSNGGLKKKGMGLGDAADDVKGEDEGDEIEVDSDANNDNQIKQEKSCEKELIWMLMKTHLFPFIHKTVQAEAGNPNKPNIHP >KN542037.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542037.1:8092:9135:-1 gene:KN542037.1_FG003 transcript:KN542037.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRQHATVNAPMRMPNGQVVYGDQMMMRRQMATAANNRRQMMFLQQVAARNGQQGMVVADNGQASSSQRPPPACHGQQALVVQGSQVASNGQMSPVQRQRAAMAAAYNNYQYHQMLLQQQQAAMAYNLQVQHLQGREEVAHTTSAQQPPARHHNCQMMPAAQGAEVEQNGETTSSAQRAPAACNCPAHVQRPQARPFNSVPPTPLRPRPATAAPTNSGNSFDRTLAMRRPPSPSVVVQPRPAQEPPEMHARRVLWQLVKELVRQRRIDQAQAAAAAEQERLMMTPPAQAPQQPCSDAVRCNDDGEKRSAEVATAAEVAPDGSASAEGNDRQRESRDSPVAPQTE >KN543810.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543810.1:4194:4358:1 gene:KN543810.1_FG001 transcript:KN543810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQRLLEMFAADEEEVTRKKLKRASDSPPGGADEQHTKQSKVVGVDGVVKAS >AMDW01040908.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040908.1:205:3617:-1 gene:AMDW01040908.1_FG001 transcript:AMDW01040908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDANAGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLY >KN542064.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542064.1:2554:4797:-1 gene:KN542064.1_FG001 transcript:KN542064.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGEEKAPRSDRRGYGQCCLGQGVVGARTKALVTMFKERCEKEPQNEGNVYIAVTTPEEVIKLYQEQFEKEFLNFLELRSEELISGGQMVLTFLGRKNENILDEDRNILYELISQALQSLVIEGLVEKEMLDSFNIPLYGPSVNEVRTAIMQQKLFSINHIKILESSWDPQDDEFEGHTVLDPVESGLNVAKSIRAVMERLFATHFGESIMPLLFSRFASNVTEYTEKKKRKGKHTVILLSLSKMQDDNTDGGLAG >KN545166.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545166.1:129:780:1 gene:KN545166.1_FG001 transcript:KN545166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVLLAPWVVHGWYEISTKGWREVDLGYIAILPSLLLRMLHNQAWITVSRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYLPGGQHLPLWRTDGAVLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHSSIVTEPITCTYLPLETVYPRDSKHYIAFSFRQ >KN540091.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540091.1:462:2620:-1 gene:KN540091.1_FG001 transcript:KN540091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKKVISGCHIPAFGAWNYRDDDLPITQCFDLAIQDRLMRRANRRGDGNCKRRLVVPFDACPPTPRGAAHGKVIRRELAQKQWDYVADEMMQWRAVGAYGTKRKVGDKAVDEDLYKVPQPLIYPKRRKACNAQRTAPSLSPPWSGYMRHHRPPVRIATASSILPPPKLRPTRHAMARTSSPCQPTYPCQLHASTMSQPSGLITATYTGVGLPRCRTLPTSSRRHAIAPHRHVTTAGSGTASRTKEAGSAREMRKVVWSLWIGCLGLDCIA >KN539189.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539189.1:94659:100948:1 gene:KN539189.1_FG001 transcript:KN539189.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAKKAKVESQSAESLDRLSSLPPELKVAILSKLNVVDAIRASILSSAWRNVWTTLPHIILFDTYRISGTLRSKFTTLVDLSLLLHNGPLVSFSIRCLRSYLNAYDRWMHMLSRKKPSSITIEFSCGNYYKIPSCLFSISDLEYLQIERCIISLPRQFEGFKQLTVLNLKYFSSTDSDINNLISSCPRLNTLRLKYFKGINRLRIQAQALQVLEVKGFFEDFHLHAPNLSNVYVTLNKTKVADRSKNYMMQAFVSLTGIEALVMKRCMVALPQTFEGFKRLSVLNLKYIYSTDADIANLISSCPWLKTLHLKYFEGISCLRIQAPALQHLEVQGNFEDLHLHAPNLLYLTLDKTEAEQCDAVAGDKKNYPKEAFVSLTSLEELSINGPSLTYLSEGCLLTKPPGVLDRLRKVSIGECFWHWTEVLGACSIFRNAPMLRELEIQSFSRPDDSWSQPIWDHDQTEIEEPTLHHLLTATITGFVGLEYEVDLVRLLLRWSPALEELKVEIGRYGLAYWASYFGRGLLIRPNIVGCNWAELRISRPDDSPEVVAAGGALRLPPLASWRLAPSRSQLSLSPEIPNPIETNREGEAPRIRVEASAIDLAGTRKIYLTLQMSLHIGRLSSHVRQRYLEHLFQRFGNCTVRLKDGYGFAVFDSNDDAARAMRALQGKFVCGERITVNWSKQQPRFYKDFRSSRNVESSHQRAPRIRDVNIRFRDPLAQKNHPASHDQGHSPDVAPEQKSSDGALEKKSDGDVEDLKDVRETVGQDPVEMKRNEDVTSDANVIEHDRWEETGKGNPGRDDDDFDRYEPYHGYARQEERAEVVKASSQETYHHRFSSQKSKEYPAGHTAHDCPQKTDGGFEAWRDALSRQERLRRFGSPSRRQPDTRDCSKKTDDRFEAWRDALSRQEKGMVRLKRFGSPSRKRTEEFRVDKVVETHRMVQDGRKQFSDRTNHAHRLSNVSREDKRHTRCSESIPQTPKESRKRSRSKRSRGSSLSSDRSTSHSTSKCSRSRAHSPSHSAHSSSKSFQPTQREGLRSMAVSNVSPLLVSGSPQHNLPSTAENKNSDFLVNSPLEGNLDSKTTTGLKHTRGYQQDIKDSRLSGESPDIPLRLKIQRNGELPVSGKDANLDGYTETNLNNNLVYDDNVADGVQVQKTNSEDASSVKSSKDILVKSERSNSLKLTTNEVVSALKHYGMEARGTDLLNQPVEKYFGAARLWPWEVIYYRKLKKGPISTENYAKRLEQNKEYSIVDRYILRMTRFAF >KN540091.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540091.1:38071:41925:-1 gene:KN540091.1_FG002 transcript:KN540091.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVVQNCDILLEDVFVPDDDRLPGANSFQDLVKALSFSRVIVAWISIGIAAGVYDACLRYLGERKQFGAPLAAFQLNQEKLIRKIKHNLQESEMAVALVGEGDGGGRRWSGGEGVDTGGWGMEAAVAGSTYQNPVEAGSSGGCWAWITKMARETVALGRELLGGNGIVTDFHVGKAFCDMEFLYTYEGSYEVNALIVARDITGIASIRPASRL >KN539189.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539189.1:63186:65546:-1 gene:KN539189.1_FG002 transcript:KN539189.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MSTEAEKTTTTSAPAPAPAAASAPARCQRIGCDATFTDDNNPDGSCQYHPSDIGTLKLPLDEASWAMGNGPMFHDGMKQWSCCKQKSHDFSLFLAIPGCKTGKHTTEKPITKAVPTKPSKAVPVQTSKQSVGADTCSRCRQGFFCSDHGSQPKAQIPTTTSDTNMVPVEKPEVPPPKKKIDLNEPRVCKNKGCGKTYKEKDNHDEACDYHPGPAVFRDRIRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAA >KN540091.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540091.1:17680:31430:-1 gene:KN540091.1_FG003 transcript:KN540091.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHTHHTTGELEAIASTHFTRALPRYMNVHKTQTYYFYTIIDLLVPLGFIFWEKAEFPLHLIPKMGSLGIIGGIIKGYGCPGLSGTAHAMCFLEIARVDASIASFFLVQSCLAMLSIAQLGSEAQKEKYLRPLSKMHKVCVYALTEPNHGSDASSLSSTARKVPGGWILNGQKRWPANGSFADVFVVLACNTSNNQINGFIVNGGSPGLKISKIENKTSLRVVQNCDILLEDVFVPDDDRLPGANSFQDLVKALSFSRVIVAWISIGIAAGVYDACLRYLGERKQFGAPLAAFQLNQEKLVRMLGNIQAMWLLGWRLCKLHDSGRMTTGQASLGKAWITKQARETVALGRELLGGNGIVTDFHVGKAFCDMESIYTYEGSYEVNVLVAAREITGIASIRPTSRL >KN539189.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539189.1:120232:122058:-1 gene:KN539189.1_FG003 transcript:KN539189.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAKKAKVESTSAVSLDRLSSLPPDIKVTILSKLNVLDAIRTTILSRAWRNMWTTSPKIIVSDLYGVSDFSEGTTARSKFVTLVDLALLLHNGPLVSFSIRCLRIYHDVFDRWMYMLSRKKPRSITIKFLWGDYYKISSSIFSVINLEYLHLKRCIIRLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCHLLNTLRLKYFEGISCLRIQAPALQVLEVKGNFKDFHLNSPNLSSAYISPAKTEEAVNWKNYLKQAFVSLTRIETLVIKRCIIGLPQEFEGFKQLTVLNLKYFSLTDRDIYKLISSCPWLNTLRLKYFEGISCLRIQAQTLQLLEVQGDFEDLHLHAPNLLYLTLGKTEAEQSDAVVGDKKNYLKQAFVSLTSIEELTISGSFLTYLSEGCLLAELPGVFDRLRKICIEKCSWVWTEVLGACSIFRNAPKFRELEIRSFYSDEEFWYQPIWDNDQAELEEPTLHHLVTVTINDFVGLEHEIALVGLLLRWSPALEELKIVREDEDVPNDDCMFRALTKLLALPRASSKAKIIVI >KN539189.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539189.1:136173:137446:-1 gene:KN539189.1_FG004 transcript:KN539189.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding GITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNMKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDIKTLISSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLSKGCLSTQLPDCCAFLLIVFFVLVCNCVCLTNCFNFYRASHVQKRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEELKIFRAKNVNDEYMCICKGLTKLLALPRASNKAKITVI >KN540091.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540091.1:7014:7208:1 gene:KN540091.1_FG004 transcript:KN540091.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPTGGEQRWRERACRGCQRKEKDAFLTEGARATGNGLAIGRWQWRAWVDRGDDGAAAFNGR >KN539189.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539189.1:38558:43439:-1 gene:KN539189.1_FG005 transcript:KN539189.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIADDVLSIFCHTEDVTPVPTDSTRRKGGRRATAILALSGAAHADVQGTCKAAAGIDSRISYTFCVSKLSNHHLSPDADTWGLALIAASLGVSNAEDTVFDIKGLVAKPGTGARAKPLLARCQELYNEMSFAFAEGYDRINARSYAAGKEKVGEAIPLARQCDDAFAKAAVPSPLVQRSW >KN539189.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539189.1:124917:125417:1 gene:KN539189.1_FG006 transcript:KN539189.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGRSVIIRQGHRCSSGTNNNWMRHGACGVRCYGMAEDYALMYIGHMQERFNGWWMCCICMEAVSELQKRDPTLAVREGVVSQAALLTPSCASKPALCLMCCMRDIIRISCHSMSRVSTASSSVTPGGSASDCHQHSVTPLPPILLKVTEASASVVETNGIRDDR >KN539189.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539189.1:14132:18549:1 gene:KN539189.1_FG007 transcript:KN539189.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLLALAPWLLLLLLQLAGASHVVHRSLEAEQAPSSVPASIVSPLLRTGYHFQPPMNWINGPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIALEPAIKPDIPSDQYGCWSGSATILPDGTPAILYTGIDRPNINYQVQNIAFPKNASDPLLREWVKPAYNPVATPEPGMNATQFRDPTTAWYADGHWRMLVGGLKGARRGLAYLYRSRDFKTWVRAKHPLHSALTGMWECPDFFPLQTPGLQGGLDTSVPSVKYVLKNSLDLTRYDYYTVGTYNKVTERYVPDNPAGDYHRLRYDYGNFYASKTFFDPVKHRRILLGWANESDSVTYDKAKGWAGIHAIPRKVWLDPSGKQLLQWPIEELETLRGKSVSVSDKVVKPGEHFQVTGLGTYQADVEVILEVSGLEKAEALDPAFGDDAERLCGAKGADVRGGVVFGLWVLASAGLEEKTAVFFRVFKPPGHGAKPVVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSMAIGDKAHLYVFNNGEADIKISHLKAWEMKKPLMNGA >KN539189.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539189.1:67714:72938:1 gene:KN539189.1_FG008 transcript:KN539189.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRPQGGINEWLHFLPVSLHKLIDQVLRQFFRNTRLAPVVEGRKPYRVPNGGSVGVGLSSVLEADAKKIVAVARDTFDKEGPTLIVACGWDTISYSSSIRHLASGNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTAGGQQEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTRNCKYGVDLARQLITSTYNVLDSCGSVRVSFSRRTPRKVFDIISKEFAGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEYCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREAIAERGWSVG >KN543406.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543406.1:2356:2669:1 gene:KN543406.1_FG001 transcript:KN543406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAQSTNVARVLLCLEEVGAEYEVVNVDFTVMEHKSPEHLKRNPFGQIPAFQDGDLYLFGK >KN539189.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539189.1:76493:76681:-1 gene:KN539189.1_FG009 transcript:KN539189.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRKGGRGQEGEAKRRYDEFVRRKRGRKESKARREVLVDLCCSAVSAVAVLSFLAAVVLR >KN543406.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543406.1:7677:7967:1 gene:KN543406.1_FG002 transcript:KN543406.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGEPANRPDSIQRCLKWTTAIKEEEGFLSRSAAVVHVTGNMPVTNARQINRLFASRFQVPREELTVVVFPKGGYLVTCSSQAVRDSMVAASPFS >AMDW01073346.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073346.1:20:361:-1 gene:AMDW01073346.1_FG001 transcript:AMDW01073346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MREIAQSYLGTTVKNSVVAVTAYFNDSQRQATKDAGVIAGLDVMRIINEPTAAAIAYGLDKMASSVGGKSLLIFDLGGGTFDVSLLTIEEEGIFEVKATAGDTHLGGEDFDDRM >KN540851.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540851.1:9837:13462:-1 gene:KN540851.1_FG001 transcript:KN540851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHWSLLLFIAIALVSSVAQAAVVEHTFNVGNFSISQLCQPPLIITAVNGQLPGPTIYAREGDTVVVHLVNSSPYSMTLHWHGVLQRGTPWADGPAMVTQCPVQPGGNYTYRFNVDGQEGTLWWHAHVSFHRATVYGALVIKPRGGAKAYPFPKPDKEHVVILGEWWNATVYDMERMAFLTGIPAPHADAYTINGKPGDFYNCSAANRTSTLDIAVETAKFVVRQNGTYLLRIINAGMNTPLFFKVAKHRLTVVGADACYTKPYKTDVVVVSPGQTVDALMVASAAVGRYYMAASPMNNASFVVPKNTSLLEAHFRREPAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGLNFFVLAQGFGNYDKKRAERRFNLVDPQERNTIAVPTGGWAVIRFVADNPGMWYMHCHFDAHISLGLAMVLEVLDGPTPETSVPPPPADLPRCS >KN540027.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540027.1:20943:22457:1 gene:KN540027.1_FG001 transcript:KN540027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTHELSNNPRAGGRATILGIGTAVPVHVYEQKSFPDYYFEITNSNHLVDLKAKFANICKKTTTEKRHMYISDEWLRANPSVTAYMSTSLNAARRAIDDWGKPASTLTHIVFATTSTGCLPSADVVLIKLLGLPPSTKRVMLYQAGCFGGTTALRVAKDIAESNRDARVLVVTSEVMSLIIRGPSESHVGNLVAQAVFGDAAGLIAAYYCTVNLDVGFGTG >KN540027.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540027.1:42289:44420:-1 gene:KN540027.1_FG002 transcript:KN540027.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNTTNRQVTFSKRRGGLMKKARELAILCDADVGLIVFSCTGRLYDFSSSRVFFDSLDDLKSGALFLKEKEMRSRSWYARGCQNHEINNRAVPGGRRGALSVAEPNVRGKVLAAGGYNFEAASAKLTPQQQSHSYMTYTDRQLLGEEISNFTVRDLQLLQNQVEMSLHSIRNKKGSLVQKENSELRKKFNIAHQRNIELHKKLNSGESTSSEQVTRSSKDPGESSTPRDSRVCIDLELSQKEVEDE >AMDW01032839.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032839.1:74:259:1 gene:AMDW01032839.1_FG001 transcript:AMDW01032839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALGLSPKQTADVVAGFAYEASTTMGRDTPFSLESRKLQRTTFRRGKRDDITVVVAYIV >AMDW01022402.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022402.1:68:253:1 gene:AMDW01022402.1_FG001 transcript:AMDW01022402.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNATRCSVTNGYWAYDRSKKLPYTDQTCPYVDRQDSCQRNGRPDSDYLYWDWHLDDCLLP >KN540281.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540281.1:6639:11546:1 gene:KN540281.1_FG001 transcript:KN540281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIYSSPCLQRRITKNKLISVHYKSFSNNIVSYCNAAVPGLPIIGNLHQLKEKKPHQTFAKWSETYGPIYTIKTGASPVVVLNSTEVAKEAMIDKFSSISTRKLPKAMSVLTRKSMVAISDYGDYQKMAKRNIMIGMLGFNAQKQFRGTRERMISNVLSTLHKLVSLDPHSPLNFRDVYINELFSLSLIQSLGEDVSSVYVEEFGREISKDEIFDVLVHEMMMCAVEADWRDYFPYLSWLPNKSFDTIVSTTEFRRDAVMNALIKKQKERIARGEARASYIDFLLEAERSAQLTNDQLMLLLSESILAAADTVLHLPRLPYLNAVFHETLRLHSPVPVLPPRFVHDDTTLAGYDVSAGTQMMINVYACHMDERVWESPGIWSPERFLGEGFEVSDRYKTMAFGAGRRTCAGSLQAMNIACVAVARLVQELEWRLREGDGDKEDTMQFTALKLDPLHVHLKPRGRM >KN540281.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540281.1:45838:45957:1 gene:KN540281.1_FG002 transcript:KN540281.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQAHDPAVRHSVTPFSNLTREEFEARLMGIAAKGDDV >KN540281.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540281.1:42201:44428:1 gene:KN540281.1_FG003 transcript:KN540281.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKKAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >KN540281.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540281.1:32407:32695:-1 gene:KN540281.1_FG004 transcript:KN540281.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSTTELSYFNFLLKINLIGKHRKMRKDIKCWNFEMIVDSDRTCFMDFVQSVVDKYPPRYLEVAHV >KN540281.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540281.1:55898:56880:1 gene:KN540281.1_FG005 transcript:KN540281.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKHIWMEWEESNLVKSVNQLAPTFDGWIEEFYDVVANSINEKYQSNRKYVSLDGTKVKSKIKESKRLHVKAAKKLSLVRKSQVSFSVDAMEGPQSKMSALRYLDGQGCTDIELYKKYSPVMDDKRALELFWVKEKYEEKIALLKVIYKEKYSMDYGGVEDWKVELESISCDVLACNSSRLDSLEGFKP >AMDW01037584.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037584.1:61:589:1 gene:AMDW01037584.1_FG001 transcript:AMDW01037584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQVLDVSYNNLNKDLVMAGELNNLCSLEILDLTGMDIIGDMTMLIEGLSSQCARKKLLELHFSHNNFTGALPNSIRRFTSLRMLDISFNNLIGSIPPGIGNLTSLVSLDLSYNDISGHLPTEVMHLLSLASLDLSSNRLSGSIPAEIGVLTNLTSLVLRNNTFSGVIREEHFA >KN540146.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540146.1:41000:42613:-1 gene:KN540146.1_FG001 transcript:KN540146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLAISADRSHLVVALTGPCKLVRHWIEGPKAVEFAREGLYSISCAMWAATGLR >KN540146.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540146.1:62928:63026:1 gene:KN540146.1_FG002 transcript:KN540146.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIIAMDVVAGVLGIHAEKAQHQ >KN540146.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540146.1:12917:16516:1 gene:KN540146.1_FG003 transcript:KN540146.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAAHRGRRRWPEEARVSRSRGDLGADSGRWCGGGLGRRDKDKRNRSTSVIKKVVYRDKPPPLLTEEEEEEEKMLANYVPVYVMLPLGVVTAENELEDAAGLRARLWRDAGVDGVMADAWWGIVEGAGPARYEWRAYRELFRVAQEEGLKVQAIMSFHACGGNVGDAVTIPLPRWVRDVGDADPDVYYTSPSGARNHEYLTIGVDDRPLFQGRTAIQLYADFMKSFRENMADFLDSGLIVDIEVGLGPAGELRYPSYPESQGWEFPGIGQFQCYDKYLEEDFRAAATEAGHPEWELPGDAAGEYNDAPEDTRFFAADGGTYLTEAGRFFLTWYSSKLLEHGDRVLDEANMEFLGCNLKLAAKDCCPDPARYGRPMRPLERSGPEVAIERLLDATAPEPPYPFDGETDMSVGGGLAELIDWMFDKVEWIFC >KN540146.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540146.1:48667:49074:-1 gene:KN540146.1_FG004 transcript:KN540146.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSRDDVNGRGMRMAESFPRRRVRASRGLVVANLHARGPKTADPPASGSAAVDLDSQGPMVANLMLLRPVTADSSCGGHIAVITIVVTVVITIVVVVVVTVIVAGPIDVPLTPKAHQLRAWSTTAVGRSGRWL >KN540146.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540146.1:54781:55919:1 gene:KN540146.1_FG005 transcript:KN540146.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTGLSQGVQLPAGPRRAGGRDDLRLRASYLRYDLKTVINSKPKDEKKGLKDLTGKLFATIDGLDHAAKIKSPEEAEKYYTLTKSALGDVLAKLG >KN540146.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540146.1:10212:11173:-1 gene:KN540146.1_FG006 transcript:KN540146.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTVNMAKNSQQINVRDQKVALDQAPKHAGKKFLELGAVLEPGKIPKMDKSSILYDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPASAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >KN540146.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540146.1:50856:52193:1 gene:KN540146.1_FG007 transcript:KN540146.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPNPQINGLITSAALILLNSESAGFSVQFHDTNRNRRPKLDVICSGHGYKGTHPRRRSTNARSKEHLRSEELVKAKKTISIVRRSKARRRLSFMGAVLGTGRVGTLTRVALTIVVFLLLLPSHALAAAVAKDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHEMVTATGDSTGRLMKYDATTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIDGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTESPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEVIERGGGKLYLGSVELGHVAVVKAT >KN540146.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540146.1:27207:29748:1 gene:KN540146.1_FG008 transcript:KN540146.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLLANYVQVNVMLPLDVVTVDNKFEKVDETRAQLKKLTEAGVDGVMVDVWWGLVEGKGPGSYDWEAYKQLFRLVQEAGLKLQAIMSFHQCGGNVGDIVNIPIPQWVRDVGASDPDIFYTNRGGARNIEYLTLGVDDQPLFHGRTAIQMYADYMKSFRENMAEFLDTGAIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAEAAKAGHPEWELPDDAGEYNDTPEKTRFFADNGTYVTEKGKFFLTWYSNKLIKHGDKILDEANKVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDNRDGYRTIARMLTRHRACVNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATAYNTILRNSRPTGINKNGPPEHKLFGFTYLRLSDELLEGQNYSTFKTFVKRMHANLDYNSNVDPLEPLQRSMPEMPIGKILQAAHPKLAPFPFDENTDLPV >KN540146.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540146.1:8400:8576:1 gene:KN540146.1_FG009 transcript:KN540146.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSVALLAAVMAVAAVASTAVAKDYTVGGSYGWDTYVDYDKWAAGKTFIVGDTISN >KN540146.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540146.1:57714:59335:-1 gene:KN540146.1_FG010 transcript:KN540146.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGEGKLPAELMASVKNLLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGVAWKAKIEKMYSQLAQMEVGFFSPEEETKIEQGFEEARAAKREHRREARRALAKQTQLEAGNAGGDKTAEAASNVAVKS >KN540146.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN540146.1:36429:40447:1 gene:KN540146.1_FG011 transcript:KN540146.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVTVGGGGEHRVQVAAAKPCGGQQQQGKKAAAPEKWLNGFVRLVALVERVGNALGTLAFTWATVVLLGGYPTSLTALGSYNDFWFATAIIFLEAARMFSGSNNRSDYQLFFRTKGAFRPLDWNWLIAIVCILDVWKLLISLPHKKNVMAAVVLYAMIILLILGKSMTPKFQPSLRNPLRRAISLWSPLAAILLMTPAMQHDHSRDFVRNITGNGSITTRTGDFAPTRSTVVAKWIVFLLLLVSVLVVTISRLQFPRIIKLLDSARGRKLVSWRRSIQNICMLAALVMVVLTTDGFFRFLIIGMLVIVAVMVSSGNLQIPAAVLRVVFAGLALLHLMALHKDNKEDEETNLVPSLIVFYAMVTAQGILYTVACTLEIFSFIPRRSLIRRAGLRGQLGVEYVNLYYAYALEKCMEKAVFAPKKTSLGNFAMDSLNSDSPKNHLYGIQLMHSLLEKETTRVRLLEKLITSTKTMARIISMLGCTSPNYMMVRLYAAKVTAELAKDLQVIAVPRAVQLVSALLDTDGKLKKGNPLLQVDDEQEERQDPILSTANSQEERPNAIRNADDPPKKRQQETLQGTDNLPETQTRSAHIHEQNCIIRSLQKISEYWTVPKEHPLTYHDQLPALGMLIVDKLASCDQNNCVEIDRVLQRLTSIEGEIGKALRYKISKHPFLLRNLADILGDNIRDQELKKLAVGILRNISIDGNTRQEIGNMKVLITRLMKAFLDLHRTSNTDVDFLLPKVAGQALAMLAMDNVHNCLVMLKEPEIITKLKNMILIQDEKYIYVAPSLLRSMCQHAQAKLTELDLKELSHTLREVLERIMNAEGAELEILIGLSSQICKVNPEEFAQELDHGHIRQRFVKRLVDALNANMKPSAHCPGIRRVILEQCIYMMECNSHYANCFNEFRMMDALSIVEETPSKVENYMVFLGDVGFMECGTPLFALVDRAKELMGRQWLQGINSAN >AMDW01033273.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033273.1:48:245:1 gene:AMDW01033273.1_FG001 transcript:AMDW01033273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYVQLRQRHFAHQSGQALLRHVASRSRDAR >KN540405.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540405.1:7039:12804:-1 gene:KN540405.1_FG001 transcript:KN540405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYTWHARGPQPRMGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEVCQFFMDDCLQQLIDRIDAGEGELLKNLSQPANISTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSRRIKDALDLQKTFQEAAYLAEAVGAGMGGSLQGVLNRVFKK >AMDW01035958.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035958.1:49:603:-1 gene:AMDW01035958.1_FG001 transcript:AMDW01035958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMILDMFGLMGAYAAGSSREVAMSAYILVLVILVCSYVSAHVLLYGLTAQVSAPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHLAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALW >KN540405.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540405.1:31450:35128:-1 gene:KN540405.1_FG002 transcript:KN540405.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKRPSFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMINDTTFDWAHLK >KN540405.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540405.1:15311:19314:-1 gene:KN540405.1_FG003 transcript:KN540405.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDSSINNFHLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGIHGIFDADESMPYPDKFNDSYSETKADAEKLVMKANGRDGLLTCCIRPSSIFGPGDKLLVPSLVTAARAGKSKYIIGDGSNYYDFTYVENVAYGHVCAEKTLSSEDGAKRAAGKTYFITNMEAIKFWEFMSLILEGLGYERMSLRMDSEGYILYIHEFINYHCTNALELCGRPSIKIPVSVMMPVAHMVEWTYKTFARYGMKIPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSLKVVFSLLVVSILSSMSSQSAFKIGFKAYEKWEDTIDDLVGNACSVVTHFVQGQKSSRQKHADN >KN539626.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539626.1:32704:34353:1 gene:KN539626.1_FG001 transcript:KN539626.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRLAPSPAVARAGQQDLSFVLQKGSVEEKWKGLKTNTEEKLRCSNAILNDNDEKFMLKKLVDFLSTPTIVLVFIPNSI >KN539626.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539626.1:60167:61487:1 gene:KN539626.1_FG002 transcript:KN539626.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDQGRLEGKLARPFLRRLKCVSIGVAGRETNRALGAATSPAGFVSGFSTASNSAQRLAGKVAVITGGASGIGKATAKEFIENGAKDDMASVDLANFDRMMAINARAALVGIKHAARVMSPRRSGVILCTASDTGVMPMPNIALYSVSKATTIAIVRAAAEPLSRHGLRVNAISPHGTRTAMMMHVVSQMYPGVSKDDLEKMADAAMDAGAVMEPEYVARAALYLASDEAKYVNGHNLVVDGGFTSHKGSDTRLN >KN539626.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539626.1:6113:7846:1 gene:KN539626.1_FG003 transcript:KN539626.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDTVSFNSMIHAHAMSGDVVSARRLFERVPSPTPVTWTSMVAGLCRAGDVAAARRLFEEMPVRDLVSWNAMMSGLAGNRRPVEALCLFRRMMAEGFAPNRGTVLSALAACAGAGALETGKWIHAFVERKRLFRWWDEFLGTALLDMYAKCGAVELALDVFTKLRSRNTCTWNAMINGLAMNGYSAKALDMFRKMELDRTVVPDEVTFVGVLLACSHGGFVDVGREHFHMIEKKYGIRLILEHYACMVDLLARSGHLQEAHKIIAGMPMKPDAVVWRALLGGCRLHKDVKMAETAISEMEATCSGCCETMEVYISSLHSIVK >KN539626.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539626.1:36225:40418:-1 gene:KN539626.1_FG004 transcript:KN539626.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGMGMETGTVHGDWKRMFFLVPILITQILFLSPQSGVQGNAAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKVLRSPQKTRKACAILEFGSKEHARAAIEALNGTCVVFNGSSATLVVKLADTEREKQARKAQKAQAQPSKPLRFYLFPQLLSISGAPQMSFLPPYNVLDYKTEGTTDPELKDLMKMTNDKLEMLVTELKSVVNLLENRVTYNDPIQPIQHSLLPVEHDEKQYKPNECDSKTLEVPGHYGHTKNPLALYSTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPPYPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNSHSNHASSAANTKIGSKIEGPPRANLFVYDIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSKCFGFVSYDTPASAQAAIRRMNGSQIGGKMLKVQLKRET >KN539626.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539626.1:49248:53472:1 gene:KN539626.1_FG005 transcript:KN539626.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLIRGRRIPAASSSSLTAFATASDSQRLAGKVAVITGGASGIGRATAEEFVRNGAKVILADVQDDLGHAVAAELGADAASVSKATVLGLVRAVAGEMARSGVRVNAISPNYIWTPMAMVSMTALYPDRSTDEHRRIVEDINEMEGATLEAEDVARAAVFLASDEAKYVNGHNLVVDGGQAESLKFRGIMFTAMHRILSRGRRTPAASSSSVTAFATASDSQRLAGKVAVITGGASGIGRATAEEFVRNGAKVILADVQDDLGHAVAAELGADAASVSKAAVLGLVRAVAGEMGRSGVRVNAISPNYIWTPMAMVSMTALYPDRSTDEHRRIVEDINEMEGATLEAEDVARAAVFLASDEAKYVNGHNLVVDGGYTVSKAPNMPAPDGH >KN539626.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539626.1:2244:4685:1 gene:KN539626.1_FG006 transcript:KN539626.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSESDYYSEEEEELGWEEDGLGSEGEEEDAEAARERALKRLDGLGKRGVCYLSRVPPNMNPSHVRQMLSKYGEVQRIYLVPEGQGHRKHTNVRAKAYTEGWIEFSKKSVAKRVANLLNGEQIGGKKRSPFYYDIWNIKYLKKFKWDDLVGEIAEKTHIREQKLNLEIAAAKKQRDHYLSNVEKSRTLKHIQERRKKKQKTEGAEFNEVREEKIARPIPQKKPVEETDAKTKPKLPKDILAGVFGGP >KN539626.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539626.1:78411:78596:1 gene:KN539626.1_FG007 transcript:KN539626.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELKQMIDVDANDMMMGPEEVAMAAVYLASDEARYVTGHNLVVDGGYTVHKGADTPAAR >KN539626.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539626.1:89958:90950:1 gene:KN539626.1_FG008 transcript:KN539626.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVLRVKRSSGLLHQFSTAANSQRLAGKVAVITGAASGIGKASAKEFIGNGAKVLEAYPGMSFEELKNAMAASMEQMEAGPLIDPEDVARAAVFLASDEAKYINGHNLVVDGGFTVGKLLKIPKE >KN539626.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539626.1:45306:45599:1 gene:KN539626.1_FG009 transcript:KN539626.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGVRVNAISPNYIPTPLVMRIMAEWYPGASADEHRRVVEREINEMEGATLEPEDIARAAVYLASDEAKYVNGHNLVVDGGYTVGKAPTLPAPPQ >KN539626.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539626.1:8928:14423:-1 gene:KN539626.1_FG010 transcript:KN539626.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALTASLRCYPLLGSAAAASSSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQNQQLERLFSNLNQATMKHEPGEAHNMLLSTSLCIFVLPLRCTVMELFLIWMSGSVTSSIFLVAGTTIVTDWVDYQNRLVRGDMHSEKPLKGSGGVSLMGECCPVFTGFWGAMLLWKILSQRVIGAVNGFLVFSILASFTTLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADCKLSSSAQSNHIFQLIRGLKEKLSIS >KN539626.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539626.1:167:783:1 gene:KN539626.1_FG011 transcript:KN539626.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding DADEDDDDLDLFGDETEEDKKAADERAASKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEEHLTEEPINEFVQSCDIVAFNKI >KN539626.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539626.1:54254:54445:-1 gene:KN539626.1_FG012 transcript:KN539626.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSSEEELKQIMANDDVVMAPEHIAAAAVYLASDEAKYVTGHNLVVDGGHSAYKAADMRMR >KN539626.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539626.1:83839:84111:1 gene:KN539626.1_FG013 transcript:KN539626.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGVRVNAISPNYIPTPLVMRIMAEWYPGASADEHRRVVEREINEMEGATLEPEDIARAAVYLASDEARYVNGHNLVVDAGYTVHKGA >KN539626.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539626.1:18182:24686:1 gene:KN539626.1_FG014 transcript:KN539626.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MANLSGKKSLNTVTLVFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQGNELIWSGRVSVATGFTCQYNYYVVDDNKNVLRSESGEKRKLVPPEGVEDGDVVEIRDWWQDASESLFLRSAFKNVIFSGSENAKRELKTTPLNKSLEPEDIVVQFIVSCPRLGAGSTVVVTGSNPQLGRWQTQDGLKLNYVGDSIWKANCLLRKSEFPIKYPCANEYSLTSNLHESPWRGAGVAVPIFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKDEISQAKQQLDKKDVDYEASLASKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLISEGTLHHDVICFHYYIQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLSEGLWDFDRMSRPYILQETLEEKFGSFWTVIAANFLNEYKKQHYEFKEDCNTEKKIIAKLKNSSEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKFYPRFNQEDTSSFNDLDEHSKNILRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPKYIIQVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDGGRRSRFYQTVIGSYDEPPSRCTPEVANFIVKQHFDAPSMWAIFPLQDLLALKDKYTTRPAKEETINDPTNPKHYWRFRLHVTLDSLLDDKDIQATIKELVTSSGRSFPGKVDGAEESGEKLAKVQLNGKP >AMDW01039472.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039472.1:353:958:-1 gene:AMDW01039472.1_FG001 transcript:AMDW01039472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIENLQWLDLSGNSLSGFIPSNTALLRNIVKLFLESNEISGSIPKDMRNLTNLEHLLLSDNKLTSTIPPSLFHLDKIVRLDLSRNFLSGALPVDVGYLKQITIMDLSDNHFSGRIPYSIGQLQMLTHLNLSANGFYDSVPDSFGNLTGLQTLDISHNSISGTIPNYLANFTTLVSLNLSFNKLHGQIPEGGVFANITLQY >AMDW01054093.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054093.1:91:258:-1 gene:AMDW01054093.1_FG001 transcript:AMDW01054093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPAQKLVVGKPEYKSIIETTL >KN541977.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541977.1:8289:10187:-1 gene:KN541977.1_FG001 transcript:KN541977.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIANFLTDIRNEWADASIRENEGDNLLGYVLEKYSTNDVEAVLITSHCLLDVCQGLFIGLPGGWRHYVSKVLRMFDDDDRLDKLMEMELSLMYDILYTKATVIHTWYGCCIRIIALVATVAAFFLFQLSNMHGHSRKNIAVSNILLAGGVFLELISMVRAVGSTWTLVFLYRTKWHWLHGKLHFLRRIFRVATHRRWSSSVGQYNLLTACAHGHIVGAAPAVEPASMPTNWLLRLAKSKEHYWDRFRHTKYIMLQDRTKELILKRIHRMHEKGKVIGSLRGLRTLRNLKFDSRIGWTIQDMAFEDSIMAWHIATDICLGGDGSDQQPAGELVAATTVLSNYMMFLLAFGRYILPGPVRRRSYMQVRTDLHSFMNKYKSSSPQERLDWALRKGFHARLLQSNDPPKQYDIGLRLATVLYHRFDMLDVIFGVWVEMLCYVANNCSRESHATQLSSGGELVTIVWLMARHVELS >AMDW01016073.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016073.1:3:257:1 gene:AMDW01016073.1_FG001 transcript:AMDW01016073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLVSYAVSAAAKWDAARVPLLLAWTVFLQMLRNTIDTARSSSSTIGNGSGSSKFRPSVEQLARMGWVAFLIVSSDGTAGSPQLTG >AMDW01037360.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037360.1:234:650:-1 gene:AMDW01037360.1_FG001 transcript:AMDW01037360.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSAAQSVTVAVNMFFTAFISQIFLTLLCHLRFGLFYFFGAWVLLMTVFIATLLPETKCVPLEEVAHVWRKHWFWRKFIVDSPDRGGAEMRKRIALEMS >AMDW01035645.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035645.1:39:560:-1 gene:AMDW01035645.1_FG001 transcript:AMDW01035645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAYENEAFIRDVVTRRWQMEFVQFFNCWNEFENAYTAQAFVFCDKAADAELVVVAFRGTPALDVARWCADVDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHVKGKPQRVHAYYAIRDAVKRLLEANGRAR >AMDW01040566.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040566.1:1:1634:1 gene:AMDW01040566.1_FG001 transcript:AMDW01040566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCWKEENYKLSVENEILRDENRRVKIAHCTAICLTCRNSSVQNQLAVEMERLMGQSEWLQQEIARSNGTPPAANLAFQLNSSADYVFSGQHDQQMIAELAKNAMHALIILAESHVALWFPVPGCSYEVLNKMAYDQAYPGDNSANAIGFKTEATRAVSMVMMDYKSVVDFLMDPYNYRTFFPEVISGAVTNRIYTWPTSDGYNGVIQLMTVEMMFPSPLVPARKCTFLRYCNVLNEGLVVVIDVSLDDGSIFSKCRKMPSGFLIQSIRPNSCKVTAIEHVLADDTGVHELYQPCMNGLVFGARRWVATMARQSARMRDVHHNKTAPQ >KN543463.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543463.1:2345:3092:1 gene:KN543463.1_FG001 transcript:KN543463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAVAAVREMVRSMGAEQLDEAIGFATMELAGRDIPFEDMFRLCDEQELRRAKKPVMAVVSGSGEEVERIKSKLEIGEDGRPTSNSSEKTVVELLRALQTVPMTFKTLEASKIGKTISGLRKHSSEQKANTPPSGQKPAPTAPRKKTASALVDEAKLAAAKRKLQEGYEDAAFAKKQRMIQVIDAPRKKVKNWRPVAVVEPPRRITPAVAAVPPLRMCRAT >AMDW01039819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039819.1:5:1054:1 gene:AMDW01039819.1_FG001 transcript:AMDW01039819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKRHLLGLVTQLTLAIYIMVKSWHPDKQLLAPLVLMFISGTIKYVERILALMAASRAMEPGGDSVADHVMDVQDDVIIDAKSYFRELHSIFPGKDVQDLDVRDGRIREADEAYQGLVMAAGEGLRICLGFLTDMTPFLVWSSKEDTIIERTVEKLRSSDPDTQVEMAYKLVEIQLSLIYDYMYTKYGALQFRLGLVYSVIARLITFCSTSVALRLFVGTDLKGPFNYRREDAMVSYVLLVGAVTLDISSIFKLISSYWLQLHQTGGLFGCVFSLVRFVNPWSKPLWSEKIPQYNLIDACIQEEHGSIICGWVVRKTGIMPDIDMSKTVSPELKKLVLDKLTEVATTRS >KN540677.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540677.1:5441:11271:-1 gene:KN540677.1_FG001 transcript:KN540677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDAPITRHPEFRYKSEFQSHEPEFDYLKSLEIEEKINKIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLDSRSVGTGTTSSASTSSSRDLLPNGGCSDKSSFLNSDILFPPGGYPSLRLPVVASQDVNLVARCRRVYAHAHDYHINSISTNSDGETYISADDLRINLWNLEINNQSFNIVDVKPPNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNLFRVFGCTPGSTEATTLEASRNPMRRQIVNPTRPTRTLTSLARGVRRGQIEELSAYIPQSRMLTPSVPACLFQRYISY >KN540902.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540902.1:19986:24100:1 gene:KN540902.1_FG001 transcript:KN540902.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRCMVRYSDHDFFGIADTDIMFMRTGARVSRENITYSDKLRQGLAHLSAQAATSPEHLAASETTPYALQVQCTRDLPPDSCNACLEALSANATDDVLATIATDGERKSFSCRVRYSSSSNAASFTMVPVDAVAPPHSPRRKAQSVASSSEFENEGLSPLQRIVILLLSIATDNFSDRHKIGQGAFGAVYKAQVMDLPFPVVVKRIFQVTEKAKRDYADEIKIILVRRQDSNTCVKPLCDW >KN540677.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540677.1:31657:41167:1 gene:KN540677.1_FG002 transcript:KN540677.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQIHDRMEQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSVAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGSWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVLFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPADASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKENPAEHDGQNLGKVEEKNIDKVEEHIKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQSVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKMDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEVSLKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVRVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSAKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >KN540902.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540902.1:26039:36661:1 gene:KN540902.1_FG002 transcript:KN540902.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKRFKITIDIASALVYLHEHDNQLVLHRDIKPSNVMLDNQFRAKVGDFGLVRNVPADTTSQSMTITGSSAYIDPESVRTGKVNSKSDIYSFGVVLLEIASGQTPKCVNDNDPTVNTLVMKFRDLYGRDAPSSNQVKDYLEGRQPVPQLHRNLQTRGKDIISYDKQAPAFVPREFTYDELSTATSNFSSSQKLGAGSNGAVYKGRLHEHGMDVAVKKIVKVDCKTIKDYRKEIETISALGHRNLLRLLGWSDDDGNLILVYELMINRCLSTHLDGNLDDKVKSPLNWEKSSLAVEDSGAGRQLELGLDGVHHLICSAGLNRWFQVQERAKQVVSSTGKYRIIVGIASALSYLQNDCAECVLHRDIKPGNVLLDESYNAKLGDFGLVQPVKDDKNEQTMSLSGTLAYMEPEYFKTNRVSRESDVYSFGVVMLEMALGQKPMSPVNQNILTNTLAENALAWYANNEIFGKVDAQWSGDYNKEEMQRVIHAAVFCVHPIRRQRPSSKQLLNYLTFQVWDLYVTTGKSAADAPSSSTSVARQQSQYKTCTSFVQDRQLDPVLEDI >KN540677.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540677.1:24010:26542:1 gene:KN540677.1_FG003 transcript:KN540677.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESLLVLCFTFFLGLRDVEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPVSPSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKVMSNLLYYYGMCNMVKDCLLQRYFSKFLDQRQSMNVWRFIHTINERHDLTESLKELQCRTLIFVGQNSQFHAEAVHMTSKLDERYSALVEVQGCGSVVTEEQPHAMLMPLEYFLMGYGLYRPSQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >KN540277.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540277.1:46447:49072:-1 gene:KN540277.1_FG001 transcript:KN540277.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRRREASIDGSVSRALDHLDDLASSASASSVPSDAAGVAESLRAMCARMDSAGFFGFVVARRKEVDALRAEMPPALKCCVDPAKFVMDAVADVFPVDRREAKNPTDLAWACVLILEAAVPALADPDPEIGAARPLVPRAARERARGMAREWKGAAELKGGVEWTKPPDAHAFLQHVATFAVAEREDRGIYRRIVVSFSWRRQMPRLALTLGLEEDMADIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLADSKKTSCTVSDNSSTSSGQSGNLMLVTLQSNANKKEQSALRAVIKCIEDRKLEAEFPLEDLQRQLEELEKAKTEKKKATSSASSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACVSSTPAATTFVRSPSHTSYAMASPYPYDRPVGHGLYCNQSPPAIREPYVYPAKEVTNFAPGLPYSSPPMSYPHAYGGYNNGMGAYNNGMAPAFHQAYYR >KN540277.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540277.1:14904:16125:-1 gene:KN540277.1_FG002 transcript:KN540277.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALKTLRRHGRGGLVFTSWSSRKYRSDGCRWVSYERDTKIVNAGSFTIEREDHTIGNILRIFECSVPMRFCRQLHRDPNVLFAGYKLPHPLQYKILVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKIRYEERPKQGY >KN540277.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540277.1:25451:25600:-1 gene:KN540277.1_FG003 transcript:KN540277.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSLPRPPTPDPPLLRAQVDGGVAMVDDDDSVARPWWRQLSARSEKQ >KN540277.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540277.1:23041:23994:-1 gene:KN540277.1_FG004 transcript:KN540277.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFPFMGAYNLEDPLHCPLQKLPPAPPHTVVLFVVSTVVVLGVIARMVVVDSTSWGEALLMLPVMLPVMAIIVVIQATVYLSIIRDFSAAAGAEGHDGGGDSQMLLDQMEQV >KN540277.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540277.1:18459:20642:-1 gene:KN540277.1_FG005 transcript:KN540277.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g03800 [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/Swiss-Prot;Acc:Q9FFN1] MAPTEYTFVGLLTACARRGNPRLGSQVHALVVKGSASGGSFLVDNALLGMYVKGGRFDDALKVFDGMERRDVSSWNTVLSGLVELGRYDEAFELFGDMRDSGVGADRFSLSALLAAAAEGFGLHEGAAVHALSLKSGLEMDLSVGNALVGFYAEHGHSIEDVVDVFERMPAKDVISWTGLLNGYMEFGRVDMAMDVFDRMPGRNFVTYNAVLTGFNHNKEGVRVTFARKSGLRGLGLFKQMLEDGLEISDVTVTGVLNACAIAADRKMSEQVQAFAIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEKWRHEESFHIAWNSLLAASFRDGEYEKALSTFLKMFRSNDVQFIDEFILTTVLGACGALGFAEFGKQMHCFAAKSGLLSAQGVGNAIISMYGKCGALETAVNVFKRMPCRDLVSWNALITSHLLHRQGDEILDLWSQMERLPIKPDSVTFLLVISSCSYTSSNSADKCRELFLSMSSIYGIEPAVEHYAAFVHVLGCWGHFEEAEQLIGKMPFKPSALVWRSLLDSCNRRPNMIMRRLATKHLLALEPQDPSTYVLASNLYSESARWQCSESTRLKMREKGMRKIPARSWTFHGNSIHSFFARDRSHPQSKDIYAGLDVLILECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLAAMYGLLMSGHGETIRVVKNVRMCGDCHSFLEYTSAATGKEILVRDTAGFHIFRGGKCSCRG >KN540277.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540277.1:31971:39281:1 gene:KN540277.1_FG006 transcript:KN540277.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVLYMNLHFQKKSLANLETRMTKTATPASVSSRFIPRYQVGSSKVDTSERYFDEWQKKLPNTDEIRKSKPGSRYFTVSGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLILSGFLGALSWGLMATVVDSKYSAAFSILLGSLSVAFSDVLQNGNKLAFFLDFLEFFSFMVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGQTFFSLTINLQAGTMVQDHQKMSFNAKQYRYKIILC >AMDW01040723.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040723.1:528:2012:-1 gene:AMDW01040723.1_FG001 transcript:AMDW01040723.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRNAMKALKSYAKHLDTKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQVGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCLKALVESSNWRFAAGETVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRLLKGQ >KN540741.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540741.1:28691:30136:-1 gene:KN540741.1_FG001 transcript:KN540741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVSAVTGEIVSRFISFLLSKYSSHEISEEKQLERLQQLLLRVSTVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMFRCRNKIQENSIKEVSSPFPPLKRFRAIVDAAGNNKARYLELHKTLGILEAAVDHMAEFVVILGGCDRMSRRPNDAYLYIDNFMFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPIALDIHSYEELSYLFKTLAFGSVNPKDHPRLLQIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNFWLCMLNRCITVAEKNFSLYGEHTRLLLEQGHRVDITNFDSSPAAPLHIVPCVGANSTGKDLPRVKFTELLLDPSVRPKGEFNLVSWESRLPPYTSFVHFVPNYSQDFPKDTPLSGRKRRGVPS >KN540741.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540741.1:863:2796:1 gene:KN540741.1_FG002 transcript:KN540741.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSSLVHLILKGSAIARPPQTIGCAVDVKHITYGSPGSSSSNSINSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLADSFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETTNDDDLFQRKSYAGQSYKYSGVTPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDASSSRTNRADINI >KN540741.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540741.1:18544:19673:-1 gene:KN540741.1_FG003 transcript:KN540741.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERLHNLLLKAHMIVEEAEGRYITNSKMLLQFKKIVETMYQGYHILDIIKHRTLCSSRPEEEVSSSNTLSTRTCYVDPFRTSQSYTIRHDQLQSTLDSLETIVSSMTEFVILLGGCERMSPKPYDTYLYFDNFMFGRQVEKQQVISILLQENMPHFAPTVLPRSLALVQLIVRSHFSSILHLNSENNREMECETFTERRDLFVIEFTADIDDENWKKFYASCTHMGRGSKIIIISRTERISRFGTVRPIHLNSLPLEEYSYLFKVLAFGSTNPKEHPQLLSIANELSVLLGGSFVTANVCADIFRKNQNVNLWLRVLEKYRNVVKNNLYVQRTPQASNGERTPNRYKQFGVSILTTSPHASSL >KN540741.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540741.1:13225:14670:-1 gene:KN540741.1_FG004 transcript:KN540741.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVSAIASDFVNRFISFLMKKCGSQQNLETKMERLQNLLLKVHMIVEEAEGRYITNSKMLLQFKKIVKAMYQGYHVMDIIKHRTLCGSRHEEEFTADTDDENWKKFYASCTHMGRGSKIIVVSRIERISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQMVSIANELSVLLGGSFVAVNVFADIFRKNQNVHLWLHVLKKYRKMIRKNFSEFKEHPKLLLDKEHHIDITKLASSSSPLHLMPPNCEDNQSKRSLTKVMFSDFIADSVVIPKENFELVTWESRIPPYRKFVNIVSNYDDEMNFHHTEVPHKKRQKLDK >KN540741.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540741.1:31757:33202:-1 gene:KN540741.1_FG005 transcript:KN540741.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVSSITGDLTSRLISFLMNKFLDNLYSGEKVKRLEQLLQRVHMVVEEADGRYITNRCMLTHLKTIVAAMYSGYHVLDTIKYMKNNKGANDLVNNSSALSFATPLKRSRTTTICPETKNKFSMELQGALKNIETVIDDINEFVILLTGCERMSHRPYDTYLYIDNFMFGRHVEKQHLINFLLENNTIGPPPVLPIIGGRGVGKKTLLAHVCNDDRVRSHFFFIFHINGENLGEITENKNMSERTLVIVEFVSDVDDNDWGTFQSSLMNLNRGNKVIILTRIKKLERFGTVRPITLDRMVHEEYRYLLKTLTFGSANPMDYPQLIPIVEEFAVLLGGRLIPANILGYVLRNNLNVHFWLSRLKGIRFVVKKNLSMSGSHPNELFDQGHPAHLTDYILYPASTSTDSPKNDLPKLTFGDMLAGQNFPPKGDFNLVSWESRIPPYTSFVHMARFFPSFAQDHLESPLSGRKHARPFSVSYDE >KN540741.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540741.1:6113:7553:-1 gene:KN540741.1_FG006 transcript:KN540741.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVSAIAGDVVNRFISFLIKKYESQENLERNMERLQNLLLKVHMIVEEAEGRHITNSKMLLQFKKIVDAMYQGYHVLDIIKNSILCKSRPEEQCETFTERRDLVVVEFTADTDDDNWKKFYASCTNMGRGSKIIIVSRIEKISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQLVSIANELTVLLSGSFITANVFADIFRKNQNVHLWLHVLKKYRNTVLKNFSEFSEHPKLLLEKEHLIDITKLASSSSPLRLMPPHCEGYGSKRRLTKVMFSDLIADSIVVPKEDFELVAWESRIPPYRRFVNIVLYCDDEKNFQHADSLHKKRQKNT >KN540741.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540741.1:24386:25837:-1 gene:KN540741.1_FG007 transcript:KN540741.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSAVTGELFSRFISFLLSKYSSHEISEDKQLERLQQLLLRICAVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMLRCWTLTQENSIKEVQVGSPFPPLKRFRAIVDAAGKDKARYFEIHRTLEILETAVDHMPEFVVLLGGCQRMSRRPYDAYLYIDNFMFGRHTEKQRLLNFLFEYNPPSLPAVLPIVGGHAVGKKTLIAHVCADERVQSQFSSILHLNEDDLLRIAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLAQMNEGSKVITISRFRKSEKLGTVKPILLDIHSHEELTYLFKTLAFGSANPMDHPRLLQVAEEFAMQLQLRGSLISVNICADVLRWNLDVNFWISILNRCITVAEKNFSLYGKHVRSLFEQGHRLDITNFASSSAAPLHIIPFAGHSSALKDLRRVTLRELLLDPSVRPKGDFNLVSWESKLPPYTSFIHFVPNYSQDFPKDKPLSGRKRRGDPS >AMDW01034271.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034271.1:21:297:-1 gene:AMDW01034271.1_FG001 transcript:AMDW01034271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKASKGGSAGQSSYSGGAFYTTQSRPSAPPATHLSPLPPEPADFYNDFSTPVDIPMDTSK >AMDW01022640.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022640.1:50:142:-1 gene:AMDW01022640.1_FG001 transcript:AMDW01022640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITRDALLMRMKRTQWQEVVDVNLTGVYLCAK >KN540559.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540559.1:9859:17763:1 gene:KN540559.1_FG001 transcript:KN540559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPNGGSTGSSVDVSLTSGRRRPTSAGSGSGSGSGGGGRAEAYFADVEEVRPAPADACREDPAVYLTWEDVCVTASGAGSRATPSRILEGISGHARPGEVLAIMGPSGCGKTTLLDALAGRLGPGMSKTGLILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAIYCSAHLQLPDTMLVSEKRTHAEHVIQEMGLDDIMDTRIGGRITKGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITSVAAGEGMTVVAAVHQPGEVFELFHSLCLLAHGRTVFFGTVSDATEFFNLNGFTCPCLRNPSEHFLGTINKDFDEEIVEDSRYRRKTAAEAIDTLTNAYQSSAYSEKTTNQIIQMKEMGGAPFRTRERASFCPKLLALTKRSFVNMHRDMGYYWMRFAVFTVACTCVGTVFHHIDNSYNSIQTRCNVIMYMTIFLTFMAIGGFPSFAEDIKVFRRERLSGHYGVTEFVISNTLSATPYLAVMIIIPGAILYYLTGLTRGGSNITYFVVTLYMCIVLVESIMMVIAAVVPDFLMGIVVGSGVQALMMTNGGFFRLPNQLPKPVWKYPCYYISFHKYAVQGFYKNEFVGQTFASDQLIMANATITGHQVLEALQVEMWYSKWVNLAILFGMAVVYRMMFFAIVKIAEGVRPKMRRMKCCL >AMDW01030373.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030373.1:179:394:1 gene:AMDW01030373.1_FG001 transcript:AMDW01030373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRDATARLMKYDAKTEQVTVLKDRLPYANGVAVSHDGRYLVVAHTGPAQVFRYWLKGAKAGQYELFADLP >KN540559.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540559.1:22265:24309:-1 gene:KN540559.1_FG002 transcript:KN540559.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCNKPEKIDHSVILQLLYAYWKLILGFIAASMPVTPDTAHNSLSNDRSATLEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTFSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPKPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDLMIPAKVPQLQLVKDTTLQMVTGKPTSIATSASHGTAVPISRQVPWVKLVKDVTPQMFTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAEKAIHQKKRKANNDPGESLLARHKPTINDVPPSPYERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMESLRFLAKNHNFPNVATNDGN >KN542945.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542945.1:4129:8641:1 gene:KN542945.1_FG001 transcript:KN542945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDSVQHLGIDHLFQEEIDDALASIHGSEFRSSSLYEVALWFRLLREHGFWVSPGAFDKFKGDDGMFRNEIVNDQKGLLGLYNAAHVLIHDEPELEEAISFARKHLELMSQENVLNPPLAEQVKRALSLPLPRTVKRVETICYMLEYHREAGNIPILLDLAKLDFNLLQHIHLEELKAISEWWKDLYGYIGLSYARDRVIESYTWSSMMFYEEGFVFPRMFVAKLISLIGLMDDTYDTHATIEECRLLNTAIQRWDKSAISILPEYLKKFYNKLLIKFEEFEDQVTDNEKYKVSYAKQQFQKQSTYYLQEAEWSYRKYKPSFKDQVVLSTKSTCVKLLCMAATIGWGNAETIEAFEWAATDNDAVIACAKIGRFMNDIAAFKLIELKSHKSEKLNFVSKYKGF >KN539093.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539093.1:123706:125637:-1 gene:KN539093.1_FG001 transcript:KN539093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGNALDGDLPDLSPLRSLSYLNLSGNRLRGSVIGAFHEQMKVIDLSNNSFSGLNFSSGYAGSSLAYLDLSGNELTGEFSVGNRFRNLKHLNLAFNQLSVENLLVSMGEISGLEFVNLSSTGLHGQIPRELSSQLSRLKVLDLSRNNISGVVPDLSSIRLQVLDLSVNNLTGEIPVALVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDRIQRSGGKRKGMKLALAIVLSLFFSVLGLLCVAVACRRRRKRGDVLPAVKQVSFKEEPGISGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMADQDAARELERLGRIKHPNLVPLTGYCLAGEQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATENITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCGMDPRLSDFGLSMIAGTSTDNNLLHHSPGYAPPEFSDSENAMATAKSDVYSFGVVLFELITGKKPLGDDYPGQKEASLVNWARAMVKANLGPGIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQD >KN539093.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539093.1:102594:105432:1 gene:KN539093.1_FG002 transcript:KN539093.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MLLPAAAARAARRGGRYRGAFSGGKQEEDPRPAEDPANPYGFLRFPAGYNPELDSLASKVRRDVRRACCVVSGGVYENVLFFPVVQLLRDRYPGVVVDVVASARGKQVYEMCKNVRYADVYDPDDDWPEPAEYTHQLGVLKNRYYDLIISTKLAGIGHALFLFMSSARDKVGYVYPNVNSAGAGLFLTEMFRPPTTNLADGGYNMYQDMLEWIGRPAKGVPEQPVPPLRVSISKKLRAFVEDKYSRAGVEKGKFVVVHGIASDSVANMRSRGDDDCLLPLEHWAEIAKEISSEDNGLKPLFVIPHQKHREEVEETVGKDTNILFITTPGQLTCLINDSVGVVATNTAAVQLANARDKPCVALFSSKEKARLFLPYLEEKKGCTVVASETGKLIDIDVEAVKKAVKEFKAAPSVALAQT >KN539093.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539093.1:89896:90741:-1 gene:KN539093.1_FG003 transcript:KN539093.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSSLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFPNSLIWGQKSSGAVPFTTMFAPVLLWFDISVPLVFVGSFLGFKKTAIEDPVKTNKILRQIPEQAWYMNAIFSILIGGILPFGVVFIELVFILTSIWLHQFYYIFGFLFLVFLILVVTCAEISIVLCYFQLFSEDYLWWRRSYLTSGSSAIYLFLYATSYFFTKLEITKFVSAVMYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >KN539093.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539093.1:18828:19813:1 gene:KN539093.1_FG004 transcript:KN539093.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLATVLCAALAFAAAVAVADARDLVVGGNNGGGWKVPAQPDALNRWAEATRFHIGDNLVFKFDGAADAVLEVTRDDYNRWVVFLPVLINRLTVSNYNKSK >KN539093.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539093.1:56600:57726:1 gene:KN539093.1_FG005 transcript:KN539093.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELGEAGELFSTASAAAGGVKADAKDESGPAAAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPARNPDWADDNDGDANDNNKNSPSGTDEHAVAASPEDKKDADCNGNASGGDKKPEATKMREWWKEEFFEEMSKKGGSFDKRRGGGGGKPWLRSISMNTGNGNANGDGGNNIEATSGLDISSFRRNRKRSRRRGRSVGSGDMHSGDFLSRELSTTTSMRGTLARAGNVLELMDERLDGGFDKDQATLCVQLALLCLQRRPEQRPDSTDIVKILAGEMDLPPPPVDYSPSPRVRPFPRSSRRAQPPDATE >KN539093.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539093.1:106035:111322:-1 gene:KN539093.1_FG006 transcript:KN539093.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGKGKESMEVEEVGKEKEAKGKESMEVEEGKEGNGKAKEKETKVKVKEEGGEEKEKGKVEVVEAKRRPAGVGAETPILAVPMVAVPCFLASPAFAGHFSMSHQAALASVTAQAQIQLQSPTTPYSEGLPSPFPITPKAVMPLQRSPSGTEGSVHRPVLEKSASFQSRPHNHMSVNMVGDGFNWRKYGQKQVKSSENSRSYYRCTNSNCLAKKKVEHCPDGRVVEIIYRGTHNHEPPQKTRFVKERVAHITASSGDDETLRLVNNEIIESPSPGCKLEPGAVSEASEQQLFCSSDCEGDAGNKSEDDHPSTEPQPKRRIIETSTPLTPVLRTVREQKIIVQAGKTSDGYRWRKYGQKIVKGNPNPSVYDKLMSYYRCTHDGCPVRKHVEKAPDDDNNIVVTYEGKHNHDQPFRNNNESKDGPVPMIIPAETTSEQPSTMTSTSEQKQPISLLKDGGDEPMKGKTSEIGGEKAVESAQTLISIKTNPDDMKNTLLKDTSAVVPVQNN >KN539093.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539093.1:36383:41282:1 gene:KN539093.1_FG007 transcript:KN539093.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPAAP >KN539093.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539093.1:94479:97473:-1 gene:KN539093.1_FG008 transcript:KN539093.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPVAATALAIALAAFCLAGLAAGFYLPSVAPNNFDKSALNETVCVGRRADQCARFAASTNTYPLQVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAQNLGEVLRGDRIENSPYTFEMREPQMRQIVCKISVGEKEAKILKEKIEDEYRVNMQASSKDEKSFIHNHLSFTVKYHRDAQRDVSTIVAFEVKPYSYAACDLLNNVKHEYEGQWNDKKTRLTTCDPHAKHIITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASCWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYKPRKKHDGSLSMFFGTLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSSLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFPNSLIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKILRQIPEQAWYMNAIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGF >AMDW01038823.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038823.1:12:404:1 gene:AMDW01038823.1_FG001 transcript:AMDW01038823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WGEKYDGCGGSAKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWAGKYGDRWNRTWGEHHNGTGWVHKYGRSSSGEHWDTHVPQDTWYERFPHFGFEHCFNNSVQLRSVKRQTSKNTKPEKD >AMDW01040541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040541.1:461:1304:1 gene:AMDW01040541.1_FG001 transcript:AMDW01040541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPSHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPE >KN539730.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539730.1:45111:49572:-1 gene:KN539730.1_FG001 transcript:KN539730.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRAGMEKTMHGTGVEEGRGCQGEPRRWGVAMDKVVCALAGSYGRPARVAPGVSYFSAAQSPAATAPLFLQVVLGKREYNGRIGQGEFSFPVTSLRESMVMLLYNADRSLLSQAELKTKAVVESGTMDVDFSLDNGGSIILKLQFLLSDEDRRRVQEMRNSAVKRKQQKLLSDGYALSQDSSLSKQVENISNVPSKGDESSLRKSISLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSFPQDLTRIKSESSLKGLSASSENFTQSSSDKSSSSLATQDVSGHTELAGLVAGTSGKMQLPPDDKSFSNKRSNAAGKQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVLVGQVDIKKPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >KN539730.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539730.1:28039:28263:-1 gene:KN539730.1_FG002 transcript:KN539730.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPPTRPEGYSTSDSEADGWSVSEDDEEEVEEVQLEPMSVDDVAAGKEWEGFTLEYDHEHDLDADDEDAAE >KN539730.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539730.1:54567:55103:1 gene:KN539730.1_FG003 transcript:KN539730.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVFAVDQTSPAALLRLFFHDCQVQNILPEPVGKPETYKNLDPKFS >KN539730.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539730.1:79790:82556:1 gene:KN539730.1_FG004 transcript:KN539730.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLQYVAVLLSVLTLFLATSDAVLNCSTTAGNNSSTFTVDPRRKSQNNVYQTPSPSSSPPRSNNHAPFVPAGNQPGSGSNTKSFSTTVVAGSITAAIAVVAGVVVSLVCFMRKRARFNHHRLNRKTEILIEMPMEEEVHNTRRFSYAHLLAATENFSDSRKIGQGAFGAVYKAQLMNWTTPVAVKRIMRVADHERATRDYDNEIKVISKLSHPNLVPFVGSCDENGELLLVYDLIHNGTLDYHLHYANTILSWSRRYKIALGMASALNYMHGNHPRVLHRDIKPGNVMLDEEFNAKVGDFGLVRQVPIDKTSCPMTIFGSSRYIDPQYCSTGWISPASDIYSFGVVLLEIASGEIPQCLKGNGLVEKFRRLYYSNSLLDAVDRRLNDDFDEEQMKRVILIGLLCVQFDHHMRPSSKEVLGYLEGRLPVPQLHIKTCKQAK >KN539730.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539730.1:18308:19750:-1 gene:KN539730.1_FG005 transcript:KN539730.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASSDEASRSVLRPAPPLKWEDEEGRGSSPGGGGGGGAAGWAVDCGDQENGADLGPVIAVEGLGGLVPQPEVAVVAVELLPQLVQEPGKVSPRIHEQANSGEGENVDGKYRVVYTAGRRKRCLISPGGSDGDRITPRDLAVAFHGQEAGQVAPEAATAAIADGAGVAVDSVLTKMQELVNHGSCGNGKNNVRKSRRIHMKQATAVPVSDELKNLHSQEAEAAHGKITDQSDCEIKKEAPESCMSSIVGSDDGHISGLSTRLQCLGINITSVTPILSKNVSSTDCHPNQARLLLSRHAVEASPLLGMLTPLEDALVHGSGLPIEVLDRYGCSYDMFLRYLDCTSSYRLIVQWRNFLEMSHMIPGDLVKLGAFRFEGQLALTLLHYGNAGKAKKVLDRKLKEKKVESNSTVTEKSKEELTSRETEESKEELTSRKTEANDEGSASSVAEAIKKKWPSEMLEAAETLLMLSCSRDKPKPPE >KN539730.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539730.1:57821:59598:-1 gene:KN539730.1_FG006 transcript:KN539730.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP4-1 [Source:Projected from Arabidopsis thaliana (AT2G25810) UniProtKB/Swiss-Prot;Acc:O82316] MAKEVDPCDHGEVVDAGCVRAVLAELVLTFVFVFTGVAATMAAGFMVVIVEELQDCQATPVHTLGSGIGPMQGLVMEIILTFSLLFVVYATILDPRSSVPGFGPLLTGLIVGANTIAGGNFSGASMNPARSFGPALATGVWTHHWVYWLGPLIGGPLAGLVYESLFLVKRTHEPLLDNSF >KN539730.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539730.1:12059:13746:-1 gene:KN539730.1_FG007 transcript:KN539730.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGSSCRYLPHSSAPCATDGARCFRCDHRPAIDEIVREQSLVTQLRAVVLPALETKADDGRAEIVAQLFGSILDCSRKVISALNSRYVEESPPDDDGIVDKRRAKRKNSEGKKGDDQVKVKPHEHKRSRRYTNSTSQITAVPHYDGHQWRKYGQKNINNSNHQRSYYRCTYKHEQNCKATKTVQQLDSAGETIMYTVVYYGQHTCKTNMSNAPLPVVETSTPQSISTTCCSDDLGDYSQKMENMHTPELAEVCSDELGSYHAIIGAEHSALGLEDEHMHKLLDTFACGALDLDSWEIDAIVRSGFC >KN539730.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539730.1:30101:34959:-1 gene:KN539730.1_FG008 transcript:KN539730.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGIPAKLIHYNVIRYGYLVTTYHGSSSNDQVGLSTENNSFQAPEPELQNSDCVEDEEEDYYLYDEDDVCYDDDGDYEFDETDFNQQLADKFDGLDLPPGVEATVPWLQKKDIADGPSTFKSMAELDADITKKYEFFKQFDVVENFSDHHYADKPVGKTGKDWTKRIQYDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPSTPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALVLNENPYFNEPGYETYANSATGQKSAMDYNDTTFQYSCRTMLYSLRRSPQHFDALVAGHFRHSGHAILAACKYYMEGHKVGSVVPNEDEEDAKQQDDTDAGGSSSSSSGAKPQPEKPDLCKGRAASFKTNMAVLFEELLMEFNVKGADTKKFCDEKLKKNQQAAAAAAP >KN539730.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539730.1:42997:44121:1 gene:KN539730.1_FG009 transcript:KN539730.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKVRHAKELGDQKLELPPKGVIKKMHHTAYTSAINAKYDNTYNVDQINDRGILSKPIQFFDKLQELFSGSSADGAFMEDPSSVADFDDEADELDNFNDMSTYAETKYPQGEDSDKLEADSDDCKEVAALNAATAALNAATSQVSSSHTSGLKPNKKSFKKCGKPKTLPQSHNDKGKLKAKSSPGLHDDDDIDVLITSTLVGIKDNLAKPIQTAAPQDPNAPLWDMLKKIALEPEDKMRVGLHLCKPEFQAHRSFLISMGQEYLERWVYKFLSGDDTGL >AMDW01039405.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039405.1:2:868:1 gene:AMDW01039405.1_FG001 transcript:AMDW01039405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AWLEALKNVSYEAIDVFDEFKYEALRRDAKKKGHYNKLGMDVISLFPSRNPIVFRYRMSKKLSKVVHTMDALVRVMNDFGFTQRQQVTPSMQWRQTDSIMVDSDKDIVSRSRNEEKEKIIKILVEQEGNGGLMVLPIVAMGGLGKTTFVQLIYNEPQVKEHFSLQRWCCVSDDFDIGNIARNICHSQEKNHEKALQDLQKELSGQRYLIVLDDVWNRDADKWGKLLTCLKQGGRGSTILTTTRDAEVARVMTMGVPGTYNLEKLGNKYMKEIIQSRAFRVQKPNSDELD >KN538829.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538829.1:196661:197071:-1 gene:KN538829.1_FG027 transcript:KN538829.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFDPAYPVHTRHQFLSCGIEDDGIVFLCAGEFAVVAGAAGAALSGGRTLSRLSAASTTPSKLAQRSVFPSSQTRPENDLTFVVCALRKFLAGTNSETRGSSSEPHLTRFGGHSAPSGIPREKGKMFDVSSLRQ >KN538829.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538829.1:206190:209133:-1 gene:KN538829.1_FG029 transcript:KN538829.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MEAMHTVALEIRLLAEKADRELATSGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVHLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALVHCNPQYESNLRRILKFLIPVKLSIGVLPRITLLERYNLLEYADVVTSLKRGDLRLLRQALERHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLEVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >KN538829.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538829.1:218919:224073:-1 gene:KN538829.1_FG030 transcript:KN538829.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGAGGPADAVEDMQLQSEREELYSTVKSNRLSDDLVETSRERSIRKACETLAGDMIEMIRSSFPAFEGSGINSSSQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSLLLQSIITYTSRMKILIHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKAKSQKLLQRLHGTSDAAGSKKMPTGNTSQNMTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYALRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATPQALLEALGSNGATGSEAVAAAEKHAALLTSRAGARDPSAVPSICRISTALQYNSGKFTAVVIVVSSRKKMVVSSSWLGYEALSQVEYTHMLTVSLLTVSPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKMVSERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATVVDWVTIDGQSVGAWINLVKQLHMEISRRTLAISTAGDD >KN538829.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538829.1:214736:217086:1 gene:KN538829.1_FG032 transcript:KN538829.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVESYTIKGTTKIVRVGDCVLMRASDTEKAPYVGRVERLETDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCADCVKENGTKRPSNSYPASSNSDSKVII >KN538829.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538829.1:180172:181298:-1 gene:KN538829.1_FG034 transcript:KN538829.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASTSRVRGWSSLPTDVLVRILGSLRWSSHPNAALVCRQWRSAVSLSSFYPAWITPLLLSTAEVGTANIRYYSPYYDKNFEVDRPLKVPGAKICCSTGRHLSMCVDQSLVFDIDLVTGALVEVLPPKPHALFNFVVSDAQDGRLLVYDPCRHDNGFEILDKPDGFGFKCEDSYLLRSSQYGLMVVLIERRGKAVHVLKLNEETMEWEKVESLHGQAVFTGSLTTIIKKPKFKWMENKVFLPRFYNWPETIHVDLVTREGEMAFVPKSSSYSDTLDASITNIWSYELGCGTPTMEYWGTERPDYNIWVDFAGN >AMDW01038859.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038859.1:133:786:1 gene:AMDW01038859.1_FG001 transcript:AMDW01038859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEM >KN538829.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538829.1:194246:194434:-1 gene:KN538829.1_FG035 transcript:KN538829.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTLRAMRNEADDAADLTGGSLECGDGDDCAGSIVSDGLMSFRRRQRELGSSSCGNTAEF >KN543363.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543363.1:151:1072:-1 gene:KN543363.1_FG001 transcript:KN543363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGEEAQLKALEETLSASASPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRAAPNPKAAKAASSDASSSLDFDVDWVAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQ >AMDW01030213.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030213.1:2:289:1 gene:AMDW01030213.1_FG001 transcript:AMDW01030213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKPINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTE >AMDW01039653.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039653.1:43:977:-1 gene:AMDW01039653.1_FG001 transcript:AMDW01039653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASLERGMFRTQHFRSYKALIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSESGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRVGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGATRYATPFTSPSVEVITEQLHK >AMDW01031350.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031350.1:42:354:-1 gene:AMDW01031350.1_FG001 transcript:AMDW01031350.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AWPALYGDSLSRLFIYYTNDSGAQTNCFNLDCDGFHLQNSSFALGSSWSDSLSQHGGERYGVTLSIHR >KN542493.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542493.1:351:876:-1 gene:KN542493.1_FG001 transcript:KN542493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDVGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNH >KN542493.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542493.1:1302:8383:1 gene:KN542493.1_FG002 transcript:KN542493.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGSVSTVRGGAVLLGWPDGGRAVVCAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTALVTNFESDKPPAEFANIYKEDELIGGHVIMLGADPASQAAAMEALHAYPGGLQVGGGINLENAISYLNEGASHVIVTSYVFSEGKMNIERLKQLVDLVGKHRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRRVLYLFSVSCFLDRIGLIPVTYAGGVSTMDDLERIKRAGKSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMESHFWYSSPCVSRLQVQICCAVGADTDVVQNWRFLAPLGLPLAAEVWVARYIRGCRSLLRQILPTMVCFGLTTVHSLATPLVGFLDRLVTERTMEAVDCK >KN542018.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542018.1:4434:7034:1 gene:KN542018.1_FG001 transcript:KN542018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGWIVRVSSVSRLAAALVQAENHAPALAHQPLRLRVFIVPYLPPAEAPLILSMIEQEISRVKNARDKYHHNNQDHPSSPATAIAPPAPADIVLFVHFISWALEVASSILEYVDNSLFHSLREKDEEEVRSGMQRLQDDLKKKHHGSHHSVRRIAHEILGITTLSKWEYMKQLPLPAAEDGRTMKKKLKCHAKKLRKLLTKHTLMGVVPLVWAKLEPVPTITSSGRTIKSNARLAGPELTA >KN539889.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539889.1:903:1678:1 gene:KN539889.1_FG001 transcript:KN539889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFRRGNVKELISNVSVYTSAAESSGGLSLIFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIIRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSTPAAEMEPL >KN539450.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539450.1:86231:96596:-1 gene:KN539450.1_FG001 transcript:KN539450.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFGSQSSDEYISISKYNGYSTLQCLSNVDGGSVAQKYGGFKILSQFLCQKQITTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGVEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDARHDRVDLGLPLGIQCPHPYPYPHYLGRVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVREPHLYTFSLRNGKLEVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >KN539889.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539889.1:14566:20618:-1 gene:KN539889.1_FG002 transcript:KN539889.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNKGVNQDAMLVWENFCSKEDTIFCGVFDGHGPYGHLVSKRVRDLLPIKLSANLGRDGHKETSTSIVTSSMTEGGGTERMDRDTETPLGTEENGEYPEMFAALRTSLLRAFYVMDKDLKFHKTIDSVFSGTTAVTVIKQGHDLLIGNLGDSRAVLGTRDEYDQFFAVQLTVDLKPTIPSEAARIRERSGRIFSLPDEPDVARVWLPKYNMPGLAMARAFGDFCLKDYGLISMPDVSYHRITEKDEFVVLATDGVWDVLSNAEVVSIVSQAKSEASAARFVVESAQRAWRTRFPTSKIDDCAVVCLFLNTDARNKSPGSGIKDLANAIELGGGNLS >KN540460.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540460.1:4817:5176:1 gene:KN540460.1_FG001 transcript:KN540460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYTRSADEKKKNSDIREDPFLAGLVLNFICPSAVLPLSELVNIFSKFGPIMEAKTENAYAMVMFKRRADAEAAFSGTTKINALSSSLISFRLNYSMSASPIDSPECSLNTAMDRLLF >KN539450.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539450.1:64738:65103:-1 gene:KN539450.1_FG002 transcript:KN539450.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAARVVTQQLARSGSGSGIAGAVRGYNNVSASHAAAAGSGSGAANKQAARAMATTASRQRQVTETVMAVSQNNAARIRKLEEEVMFYRSFAAFLLGAYVAAKVMERA >KN539889.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539889.1:6741:12127:-1 gene:KN539889.1_FG003 transcript:KN539889.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNNALPVLKKMSSATSLPSFFLLLILADMRTTGPKYKRYVTVDEGSGRRLFYYLVTSERDAAADPVVLWLNGGPGCSSLDGFVYENGPFNFERGRDPGGLPNLELNPYSWSKVSNVVYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYMSGESFAGIYIPTLADEVVKGIEKDLKPRINLKGYLIGNGATDQDYDFNSFVPFAHGMGLISTELFEELKDLNKYNILAPCYHHPEIQELEFKNSSLPSSFRKLGETEKRFPVRKRMAGRSWPLRAPVTRGRMTMWPELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARIDYYHDTGDSMVKYHKKFTAMGYRALIYSGDHDLCIPYVGTEAWVRSMGYRVIDHWRPWYFGGQVAGYTQGYEHNLTFLTIKGAGHTVPEYKPKETLAFYSHWLSGEKI >KN539450.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539450.1:29938:33006:-1 gene:KN539450.1_FG003 transcript:KN539450.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDCNGKEVSLEMYKGKVLIVVNVASKCGFTETNYTQLTELYQKHRDKDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLIDKNGKVINRYSTATSPLSFEKDILKALED >KN539450.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539450.1:1088:1381:1 gene:KN539450.1_FG004 transcript:KN539450.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRMESLGANPNGASCIWRSKQASMQTVNTSTATERSVIGDEEEGYRSRGWVTGEKTWSGQHHLPRVRLKGKPHTRRNFVMPLAQSAKGEPKGYW >KN539450.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539450.1:24550:28174:1 gene:KN539450.1_FG005 transcript:KN539450.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSASTLPPVGKSGFTKLCKGLAVVLFLGHIVIRLFPSAVTYLALIPSRTIPFAWNLVTSGYIEQTIPGVIVSIVGLLVLGKVLEPLWGAKELLKFIFLVNLSTSACVFVTAIILYYITQQEIYLYTPLSGFYGVLSGFLVGIKQILPDQEITLFLLNIKAKWIPSLVAFISVSLSFFMKDSVSYIPIILFGIYLSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPEFLRPVLDPIASVFHTLVCGRSERSEANDQTLDGLLPGSYSIEANRRRERGQRALEQRLAEKLAAVRSSEATLHHHHHHQQQDEDDASDKV >KN539889.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539889.1:2842:6247:1 gene:KN539889.1_FG004 transcript:KN539889.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYDHWKEHNSDLWGSSNAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDSGVGLMEDIVRAVCAQSKSDDPIVGHIAKEAPEGKLLEAWADKLSSSSVQLIDITNGFSELFAMKDTSEITCVKKASYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDETEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKPGIGLEFRESGLNLNPKNDRIIKAGMVFNVSLGLHNLQAETKSEKTKQYSLLLADTCLVPLENLTASCSKLLKDVAYSFNDEDEVLPVKKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGPSRSSNELVAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGNRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEANEDDEDDSEEDSEEEKGKTWEELEREASNADRENGAESDSEEERRRRKVKTFSKSRPPPERSSFKGGPSKKPKFRANPS >KN540460.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540460.1:31648:36419:1 gene:KN540460.1_FG002 transcript:KN540460.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G28560) UniProtKB/Swiss-Prot;Acc:Q9SK02] MANKLVSEMRLPPHLAHLLAARRLTTAKALALLEAFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQFCLKLALLATLPECYGGLNGRVVYIDTESKFSSRRMIEIGEKSFPQIFRQEGLAQKMAGRILVLRPTSLSEFTKSLEQMKVTLLQHDVKLLVVDSMAALMSSSIAEFSQIPVVVTNQVRSQSNDDGYHYSFEVEKKYDSNNAESFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSTEITSIRCQGCNIRTEDISSVPRDGTNG >KN539450.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539450.1:73557:75953:-1 gene:KN539450.1_FG006 transcript:KN539450.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVGGVIGQSPKPKVVFVLGATATGKSKLAISLAERFGGEVINSDKIQVHDGFPIITNKRLARQAAHAVTQRARNQTAATARGYHHAGVAAAGGKNVTPAAAAAAARRHPDVVVTIEEAAGAGPRPSSTSQEDAARRLRWLLYEASFWRGCSVYFAGVAAARVMAR >KN540460.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540460.1:18630:24992:-1 gene:KN540460.1_FG003 transcript:KN540460.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLIDVAAEDDLLLDLTSPPPLHPDPPHAGCDEVMAAEASRLDPAGDSPEMRRVVDPDGATEEAPEQSESPKRRKAKTGVNLRKSLAWDSAFFTSEGVLDTEELAVVNSTFRKAQGPRLPGIAEEMRRSGESTTSTLESESWVMENLETELFDNVRASIQRSHGNPGKAPSVTTVISKPLKSKANVPRAAARKGVDLMPQSKIRAPMSTSQGAAGGKQRTQATLKEPTAARVAISGSTEVKPSLKPPRALPRVATMRAPTNTAVASGIPDKRSSTGGVINRQTVGKSVNGSVSAHSRPGGVTKSTSTSKSGALPSSSSATAASIGNMLGQKPKSSTLSNKSRIAQRIPVRSTSRTDANKVNPARASRNNIPSGGNSNRVSPSISPSSSVDSLSSVVSGASTASTVGKKSHTSESFSTRSSSLSPSLRNSNDHPPIKADADTQGKGSKPSGLRMPTPKIGYFDAKSMDQQIGAHMQVQSMKIQCSPQLSSAQMGTPASSILSQPESRLAASPHEKKSSVQRKASPLLPLEVVQIELEPSQAMEHEVCTPQPCPVVAAAADTAKENIPALHQNIQPNDGAGWESNQGRSSEESRAYPGGDDDAGDDGDEGGVGGARLPAGRDGVGEDGGEEGRGGADGLVERHGEDFI >KN539450.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539450.1:4639:7226:-1 gene:KN539450.1_FG007 transcript:KN539450.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLGNVTATNDMWKSHRGTVIRIEALALLAIALSFFLIALCSFRRRSNHWFVQKGVLATNVLSLSLSTYSIGLMQSSSVKSEVYPIWAVSMLTLFGCIDSITTYGHDYMGQFWKILYQLCLYSGYVLLISISAISSDVVYIAIGILSAITFIKGFHRSLALMLPSLQQDMIKMIAEVMTAEVISYSTKTDHLDQLNCPDLIGYHYVVHWPLDKSKAKFLPASSPDDVITIDKILQCNEVHFLSDVCLSFSLSHLLQRRFYRLRCAESKHLVARKFFFEGLLMSRDAAIDYKRVFNVIEVELSFLYDIFFTSNAFLHYYESKGTTIWGLASVMGICFVGVAAAIHGRMSTHTRSPDGTIIVDTTAVDLIITLVILLSLALLQFLHLLHCWSSNWARVAFACDYIKKGKRLSCWMRLRRWILKRIDCDNNYLWQNKLGQYSLIESISTRECKLFSTLGGFLYQIYSRLLGILGLQYIEQVFRGMWGIKTGDSVELHDDVKAAIVDFLISSNCKLQNWPSSLDHDGWSGTGFLFLPDHVVTIMRFHIATCYCELVMHKEGFSVQDEDVEEIVKKNHGVATTLSKYCAYLMVSAPRLLHRHEIGTKSLYSQVAQAARISLYGAKDKLDAMRRLGQDDEPSEGACIFQEGVAFGKQLETMPKRWEVLANFWIKALVYAAPSDNVEEHIEHLAKGGEFITHLWALLSHAGILKWRGGSTNYDESPYKLSESTNDDSELEDESDGPAADTDSTDVGGGSFED >KN539450.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539450.1:48026:56294:1 gene:KN539450.1_FG008 transcript:KN539450.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWCSLGLGNENILDIAFCNYADLEAFTEEKRRSLLAKRQGKGSDFVRAVDEIIDVYDSLKEVKPGVEKLANNNSSLDTEGLVSSSNMGSDKKQEDHSIVASGHNTADSDEPSVTAVGSERCVVNSAPDDPTENVSILDEMRNIPLSTSSISKKLRDAQPQNCYTRSRVSSLRRSRSSLNTDTRKAQDSGKLSGGTSLASVDLVADGTKEGSSHHVYVEDVKGNSGSPSTQDDVWLHSSAGIDNQPGTPGTSNNNKKLNYTTKVDSTCDSETSQNGASETEFKSHDASSIPMKKSVIFKRKRKPSRNIFSHSMDKDDEFQAELSEKTADSPNPKTEVNKSDGDEHLPLVKRARVRMGRPQLEDSPVDGIDVTNNRPELAMLADLCNMHNTVALPGDDCSVDQSAVVNSVSLTGRVANTVSNQSSKLYMPGPSGEGQSAWKNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANVAETNNLPEVIGSKQLIPNGFVASENSHSNKSADAVVTATNGSAIAESPRPSLCTESMHSPKCKTHSSESILQNNSVPASASVPSEAKDDSHVTEGNICEETHVDSKTTDCLLVSSEVGNDDCGKGLALSMKLNESALGGTHTVAVPDRLSSSLGTASVSEVAKPINFNEGPKPVDRPAYDTDRSVQRCDEPIYRPKLLSSNNNAISDSVLHNETVVAETLVNVADTASTSSLATKSSSIQSDADTRTFEGHTFSALALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETVVDTPAFKEGSQKQLSPLNRIIRSTSTNDNIHSRSPFDSQQQKNLSKLTGHDEANAARKAFGAFLGTLTRTKENIARATRLAIECAKHGIAGEAIDIIVERLEKESNLYKRVDLFFLVDSITQYSRNQKGGAGDVYPSLIQAVLPRLLYAAAPPGISAWENRRQCLKAGTFSSLIELVLKLWLERKTLPEYIIRHHIRELEVINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEDEDGSSSEDRSFEAVTPEHDAPCTDEKEESQMPAEKHHRILEEVDGELEMEDVAPPSEVEASTRCRPEQIDTKCRTSDRHTLGPPLPDDRPPSPPPLPSSPPPVPPPPPAPITQTGQLQRTLPMASDPVGPHPTRATNNIQTQQPNSVVERPGSMNPSVAQLQPPPFCNSGYGGHPNQMPPPPPIAPLNPPGPHGNFPAPPAPYHGNNYHQPPMASVPNEGYHMQPPPPPPPINQCPYRPPEPQQRPRPWSNNSSSYPERYRYDGHDRDHHRHDRRHHGHDRRHHFDDRGYHYDDRGYHYDDRGHYFDDRGHHFDDRGRSFDERAIRGPMHHEVADRGRFPFPPGPPGPDHFEASSAAPVHYGRPSDPPPGPCSGWSMPPRSSNYSPSRHSMEPPVPHVGDIGLSKESSFISVCPHMVAGDQDNIFAIREWVDTFVSWALRDAFVDAF >KN540460.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540460.1:6511:13098:-1 gene:KN540460.1_FG004 transcript:KN540460.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQLRQDYIQLVEECLRALGVISMECPKECLSHGVPAAVLQFFDFFSMHKQKLVLKIVANVLGDFSAKDAAKAMEAAPVLCNLLQSTDKTILDSAVSCLVLVSDGACDSAQHMEKLYELNAVQATMRLMENDGWKSLRDETLSGILGLLKDLASLSARAVKSLFELNICDLLKQMITYYTSSHSDHNKVQTLVELIYYLMPPLEMCDHRTELIIAKKNVITEQSGYIQQLASILTFIIQVAKSAALSSICYSCVVVIRNIVELSTPSSLVEVQKTVNLSSLLAGWLARKNRHIIFQTLNVSKTLLRKDQKFFFETFIREGLKHAIDAILTQEKGKSRLPESCLCFDLDLETSTDDACRINNGATLKLAEEIKKNFLVKVAKSPHKFGCAFKSIKEFFSRLNCHATAPPAKDQDLCKQLSDFSRQLLSDELPSTSTFEFVQSGSIKHLAGYLSNGTYFNSNLRNCQDLIGELKEVKIRLQKFTHLALSMDNESSVKPLEILVEKLIDALHVWYDSFPVILADEQCTRESTMIPLRDSGTEEPMSLYIKFSRSAREEELEDYGGVLPVDLSSTPESIEEVLLPEICKRTGNETSCKENTQEANGSRKSVGLRNGDGHKSSRLKFSYKGTQLQSSTPLFESILRSMQEGETDLQIDPSFWDKEHKIVYRRRNKSKKTSSHSSYNIQLSRVHEKLEMSLLKDPFFSTILTGKLPGDLDESDPSYNFLFMLKVLEGLNRFSYHLSMDDKLCKFAEGCLQELDDLKVTICPIPRDQFVSSLLTNKLEQQMQDSLFGDGLIPSWCIYLVETCPFLLSFEARWKYFCLTAHHSFMTDEASSSTETKKYSVTRSKILEDASSMLNKHGSDTKFIEVEFDGEVGTGRGPTFEFYTTVSHELQRVGLGMWRGDDTSQECEAGFVHAPFGLFPQPWSSVNTSSQGISLSNVVQKFKLLGHLVARAVLDGRVLDIPLSKAFYKIMLEQDLDIYDIPSFDPKLGKTVMEFQALVKRKKFLEERTSNPAADLSYKNVRLEDLCLDFTLPGNPEYELVPGGSEKMVTLDNLEEHVSSIVDATLKSGISNQIEAFKAGINEVFALKTLRLFSEDEMERILCGEQDSWASNKLEDHINFDYGYNANSASVISFLEILREFGREDQRAFLHFTTGAPQLPLGGLASLDPKLTVVRKQCDGKVDNELPSVNTCRHFFKLPPYSSKEIMRQKLKYAIKEGLGSFQLS >AMDW01035081.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035081.1:189:488:-1 gene:AMDW01035081.1_FG001 transcript:AMDW01035081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSNHGSLRQNGWNYVAENKERKSVSGGWLASKATNPAATNSTGMMNGSDSCEPASPSITGAHSLPSEEGHDALDLFNESESGTKAGIKYDTNPSHHGMST >AMDW01037951.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037951.1:115:321:-1 gene:AMDW01037951.1_FG001 transcript:AMDW01037951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RMVIVKEDDKPVDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQSTKSESFAMVVGLRS >AMDW01003557.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003557.1:2:166:1 gene:AMDW01003557.1_FG001 transcript:AMDW01003557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLNKRACK >KN542441.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542441.1:10979:13435:1 gene:KN542441.1_FG001 transcript:KN542441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLLLGIGLLLLAAQHTTAKAKVPSPQCQRQCGDVDIPYPFGIGANCSFAEWFDLECKVQDGISKPFISNVEVLNISLSHSTLRVLNGISTFCYNASGQMGEVRYEFDAKDTPFRFSDVYNKFTVIGCNTLAYIADDGGTGYQSGCFSQCRGLSGLVDGSCSGMGCCQTTIPRGMYYYNVTFDKRFNTSRISRFGRCSYAVLMEAASFNFSSTYINTTKFNDTNDGHVPMVIDWAIREKSCEIAKRNKTSYACLSSNSECVDSSNGPGYVCNCSRGYEGNPYLPDPRGCHGVIIGFIVLMIIAFCGQLVIQRRKLNKIKKEYFRQHGGMILFESMKSKKGLAFTVFTEAELIHATNNFDKSRIIGQGGHGTVYKGTVKDNVLVAIKRCALVDERQKEFGQEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFVPNGTLFELIHGKNTGLQISFSTLLRIAHEAAEGLHFLHSYASPPILHGDVKTANILLDENYMAKVTDFGASILAPSDKEQFVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEILTGQVPLKLEGPAIQRSLSSVFLSAMKGNNLDSVLVSDIKGQESMELIRGLAELAKQCLDMCGANRPSMKEITDELGRLRKLSLHPWVQVDTEMETENLLGGPSTINSDLEIETSSTGYLGEERENLPMNPGSTYYAR >KN539633.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539633.1:43031:43189:-1 gene:KN539633.1_FG001 transcript:KN539633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELMSLRMLDKHFGDDEAVMSADELVKLYRGLFAWFDRDGSGAVELECSC >KN539633.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539633.1:84358:87471:1 gene:KN539633.1_FG002 transcript:KN539633.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEDPEIFPSRMVTGGGGGGVAGGGPPGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRFDKDVPDSADMEPPLLGLPNYHQDGSYAEYLANFQERSHADDWFGTENMDVLVSWTKNLCSNKDLRSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDHSEGAIEVARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDTIGLHPDGPVKRMMYWQSVAGLVSPGGILVITSCSRTKDELVQEVESFNQRKLSAMGSEGAQASDAAVFKYIDHVQTYPIVDSSSITTVAFSHS >KN539633.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539633.1:17678:18106:-1 gene:KN539633.1_FG003 transcript:KN539633.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARADFGEPVADMGLRYMEYSVAADESTLLEMLGPEHQVVKDPEAVHRSGWDKVAEYYLGKQDVRINVARFAATLAAAFDHLRPSHSYISIIIGGAYEHAH >KN539633.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539633.1:48348:51277:-1 gene:KN539633.1_FG004 transcript:KN539633.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKRTVRNFTVKVGLVLFAVCFLVPVSLLISVDPTSSVIMKELKIRSRNSGPPMCDFSSPRSDVCKLKGDVRIILRNSTVIVVGGGDPSAKHQSWRMKPHPRKNDRHALAGVTVVSVTLTSAAELAPECTAKHAAPAVVFSVGAYAGNMFHDFTDVLVPLYITTGRFAGDVHLLVSDAQPWWLDKYRPLLRELSRRDIIDVDRGSSTGGGVHCHPEVIVGLEFHKEMSVDAAKIAGEYSMADFARLIRRSYGLTRDTAIQLHDGGDDNGGVKEIKKMPSPRLLIISRKATRAFTNAGAIARAAAALGYEVVFGETDHHSDLGAFAAAANSCDAMVGVHGAGMTNLVLVQVVPLGWLGKMAREDFGAPAGDMGLRYVQYEIAVEESTLARRYPAAVRRDGWLALRSAYLVGQNVTLDVARFRHALLHALHLLRHSRGSLVRSIRSLVYKVLSHHLLDITS >KN539633.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539633.1:63939:70424:-1 gene:KN539633.1_FG005 transcript:KN539633.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFLQLLEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKEKKLKSRKHRRRGHSSSDSESDSDSDGDRKHRKRKDRKRHRKHGHSDSDEAKRHKRRSKRRSSDSSDDTDSDEYDSGSEEENRGKKRSHRRKHRRHSSRSDSDASDYSSDDEERRSSKKDHSRSRRHHHHRSSGDDSGSESEERGRSIHKKHHRSSDEDAPSDSNNHKHRRSRSLEESSDDAAAGEYEKKSTQMTGQDLQPSSSLKKHSAKCRTSYKNTTMNKQAVAESVKVLKEAAKTRKVPSPELLSALAKIKKSKLDTSTFFETLGGTESPGRTWMLIFTAKVTNMRLIARKRSSHFGVLKEKKTETETGTKRTENVMQGRLEKGQYFPVTAVQRFDAAGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGGGDDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCKRVP >KN539633.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539633.1:11332:14023:-1 gene:KN539633.1_FG006 transcript:KN539633.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGKLMKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHASPTIPPPVEEKRPQLPPIIEQRQAPKAEHEHAAVVQEKTPSAEEIEIQKETEEDHTKEKPTDDVTTTVEESAPAKKPACDIQGPWASDVCSIDGDGGGSNPNPREWRVVPYSRKHMGGLKEVAVREVASAAEAPACDVRSPVPALVFAMGGLTGNYWHDFSDVLIPLYLQARRFDGEVQLVVENIQMWYVGKYKRVLDRLSRHDIVDMDRDDKVRCFPGAVVGIRMHKEFSIDPARDPTGHSMPEFTKFLRDTFSLPRDAPVSLVDNAAAVRPRLMIISRRHPRKLMNVEEVVRAAERIGFEVVIGDPPFNVDVGEFAKEVNRADVLMGVHGAGLTNSVFLPTGAVLIQVVPYGKMEHIGKVDFGDPAEDMRLKYMAYSAGVEESTLKKYS >KN541798.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541798.1:2160:13016:1 gene:KN541798.1_FG001 transcript:KN541798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTINGHSYFNLVLVSNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSVVSNNAILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLREDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >KN539633.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539633.1:88145:92266:-1 gene:KN539633.1_FG007 transcript:KN539633.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAVVFAGNVSKPLTYCRLLLPSPREEEHSGDARGSHEDFSMSLESLYRRNIFTDEKLLISLCQINGRPSGIDCGSAADGVDAGRGNQEAGGRSAGGDGGDRLLLRRRWRWRLGEEDGGVQDLPRGGLGYQHGGTLCLLWQPQGGFLQDIKFLASPLQYAHRKCIQRWCNEKGDTICEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEIARQDLHDSQIITMVPSERDFMDGYEDYLPIRTRSSTLCCRTVAIIFMSLLVLRHTLPLMIGGDGEYSLALFSERREMYMTSSDTEEEEDYSDTDPAQPIHSQTRLVPIY >KN539633.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539633.1:52448:62508:-1 gene:KN539633.1_FG008 transcript:KN539633.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MAPTKLAYFDDMWALSSTATVVSFLQEEGGRRAVVVDATVFYPQGGGQPADTGVISAGGGARFIVDDVRMKDGVVFHYGRFEDAGDGCNSEFSEGQSVSLEVDAERRNLNSRLHSAGHLIDICMSNIGLSHFDPGKGHHFPDGPFVEYKGVIPPDQLQDKKNELEKEANELITKGAKVLAYILPYEEAAQLCGGALPSYISKDSTPRIVKFGDYPGGPCGGTHVADISIINNIKVTNIRVKKGLTKVSYSARRAYPYDEIEPRWQRHWEDHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQLALLSYNQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYLVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGKIVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMVPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTEEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVGVPNRDNEGRWVSADSNSSLIDCYQEKVPADKVSKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQEIRFNTAISAMMEFVNAAYKWDTQPKSVIESFVLLLSPFAPHMAEELWFRLGHSRSLAHEQFPEAKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNVILDKQKART >KN539633.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539633.1:30214:33159:-1 gene:KN539633.1_FG009 transcript:KN539633.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCNLGHNASVLEGKKKDETFAKDSGDASVSASTDEALAKDDDAIVGAVLPPLSSEEPTNITQDSVLEDEELKVQETAPATTNPSPEKSSNNGSSPSVVPSDPATLPVQQIPPTQEAKDPPAQQIPAVPEAKVPPVQQIPTFPVVKTDSEAAPRRKEWKPLCDLWSNRRIDWDMGLRYLEYRITPEESTLIDQYPRDHPIFTDPDGVKSKGWNSLKEAYLDKQDVRLDMKRFRPILKKAIAHLRKNSGNNNTTHN >AMDW01034821.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034821.1:6:533:1 gene:AMDW01034821.1_FG001 transcript:AMDW01034821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDALAWKFLEKLGQLIEDEVIMTLSVKRGIESLKKNLEFFNAVHEDAEALAMEDPGIDSWWKNMRDVMFDVDDIVDLFMVHSHKLLLPPRPVCCNQPLFSSFAKFSFDHMIAKRIDNINEKFEEIKMNKEMFGLERTNRQQIQITIVDRSQTSPVDELEVVGGDIRRAIDDM >KN539633.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539633.1:5918:8950:-1 gene:KN539633.1_FG010 transcript:KN539633.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAQQHGGGRAVAMKAAARERKPRHSNGRAAAAAAKNLSKVEPGRHLAVVRLFPACLLALLICLCVVKFFSSLSSQSQRIGTRSRMVSSWEGSASTNVPRIPVAPLIMGRVDEDISTRSPELGSVFKNENFKNGTDSENKSRSERQVAISTENDPPPGKEESLTKSPQTAVSESEAPKPKSKISCDDKSKDEGFPYARPIVCHLSGDVRVSPATSSVILTMPLQQGEAAARRIRPYARRDDFLLPLVREVAITSAASEGDAPSCNVSHGVPAVIFSIGGYTGNFFHDMADVLVPLYLTTFHFKGKVQLFVANYKQWWIQKYKPVLRRLSHRAVVDFDSDGDVHCFDHVIVGLVRDRDLILGQHPTRNPKGYTMVDFTRFLRHAYGLRRDKPMAGVGGGSGGVKRFASAVNSCDVLVGVHGAGLTNQAFLPRGGVVVQIVPWGRMEWMATNFYGAPAAAMELRYVEYHVAAEESSLARRYPREHAVFRDPMAIHGQGWKALADIVMTQDVKLNLRRFRPTLLRVLDLLQD >KN539633.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539633.1:72950:79783:-1 gene:KN539633.1_FG011 transcript:KN539633.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A [Source:Projected from Arabidopsis thaliana (AT4G11420) UniProtKB/Swiss-Prot;Acc:Q9LD55] MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFYLQKSYNKNLSQKDLQLIASSVLLAALAVSPYDHKYGASHLELENEKDRNLRIANLVNFSLDSKRENREVPSRASLFSELAAKGVIACASQDVKDLYNLLEHDFLPLDLVSKAQPLLSKISKIGGKLSSAPSVPEVFLSQYLPALEKLTTLRVLQQASQIFQSVKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAMKFLKSVGHFGLLSVLIGGIYLFIVLFPYFKLGVTVVDIFGNLDIESDCLSNHLSVLADSLNKARSLIHPPVKKPSKLGENLTSLAAVVENEHKRLLARKSIIEKRKEDLERQILEKEKEEEKKRLSVLKKSAEDERIRLLNDVKLREQERIRRQLVEKEKIEAEELLQKQIKEIAKRGGKKPVLQGEVTKEAVMELAMNEQFKERQEMEKKLQKTGKQMDYLERAKRQEEAPLIEQAFQKRLEVEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNIFQERIVQRREAEFSRLKKERDESTSQLISSRKRERDTVRKLMYYLNLEEQRLQRLREEEEARKQEERRKREETERKAKLDAIAAKQLQRERELEEKKEKQRMEALMGRGAGSAEPARTPDAAPVAQPAQVVLAARDLLWHLSKTVGVLAMIAHVLICVRSAKRHPLLAKMLPQPAKMVLQAHGDLQDTPQVHHLPQPGAAGATEAVCFQMWTARLRFFAVQGHC >KN539633.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539633.1:38288:39370:-1 gene:KN539633.1_FG012 transcript:KN539633.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVPFLADPPHVSSLHMLLPSPAYTDLSGIGEISSIHNGIVVIYAHRYYLLYDASNNRLAAIPPLPDSLCSPLGRVAVVAAGDDDDDDDDYILADIVTSSTTGLPDAKLFVWSSSSSEWAETPPVRLPLPPHLCGPTYFFHVDTAFSFQGSIFWVDLLKGILICDDVSSPEGPKLVFVPLPHCHDVHGKPRHCFSPNEHRSIGCVSGAIKFVALIGYGEEASCPENEVKLKTWALSPDFKHWKEETTLTVGDIWASESFNEIGLPHVMPISPILSVNEDGIMYAVLNDILQEPIPDHVNEFGQVLGDRLVAKANYMIRLDILQNKVLSFTKISQHGELRWLTPYLIATDFSAYLQDHTV >KN540073.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540073.1:57935:60576:-1 gene:KN540073.1_FG001 transcript:KN540073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAECAAAAVGGGGRAEIDTSAPFESVREAVDRFGGSAAWSSHLIRRMFAPPNPKEQSEETKQPVDIKEQAAQLEHDLIIKEKETLDVLKELESTKKIIADLKLRIQKESNETSPSAVKSDDQSEIPITESEEQKPENVNIDMDMEGLDEHPQQLSGSVLLELEQAKANLNRTTGDLAAVRAAIELLHNSIAKEKLLLERSREKLSSNTALASSLEDELDQTTQKLQTLKDLQARREDPSDIFIEIKKMASEVQQLRGMANASKSEAMMLAAEIEQTKASIGTAEIRCIAAKKMEEAARAAEALALAEIKALLSSESSSECGSSVCDGVTLSAEEYFTLCSKAQEADENSRKKVEEAMLQVDVANSSETDSVKKLDDARLEVEECKRALQEALKRVEAANRGKLAVDEILRRWKSENGHKRRSIGGSPKFKNAAQRRKDSHSMDIISDASTNSCKQTLSIGQILSMKLMGPEGYDKTIWDDKTSEMPNVSLGQILNRGRVLSREDTAVRKRVSGKRKKFALTGLSVLLAKQAKNKKKRESL >KN540073.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540073.1:3992:4957:-1 gene:KN540073.1_FG002 transcript:KN540073.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASQPMMGQKKDPFGSIDPFAAKPGSMNAAKKSNPVKPDQGFGAFQGVNSGGIAGLSGFQTADSGFGSFQSSGAAKPSSFTPAPAPAPVPAPAAAAANSGVDHLDSLFASTTAAPTAASNGGGGGDMFGEMDGWVDVEADFGSGDSGGATTELEGLPPPPSGLTASAAKSKGMDNYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLEKDKDNVSVLVQRALLYESSEKYRLGAEDLRLVLKIDPGNRLARSMIHRLNKMAD >KN540073.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540073.1:45323:45646:1 gene:KN540073.1_FG003 transcript:KN540073.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKAVQMFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGRLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNPWRSFWVERVHKQADF >KN540073.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540073.1:42570:43697:1 gene:KN540073.1_FG004 transcript:KN540073.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVGTAEEPLLSYQLLCERSPSFCERSELVDLVVEISLQPWKVFTPNEVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEVSTTFSIQIWRLEIDGLFESIES >KN540073.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540073.1:8930:15560:1 gene:KN540073.1_FG005 transcript:KN540073.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEQLKATRYVSQGKGILQPHHILDALDEVQSSGGRALVEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQGLGSSGCAGFGFSASHEAMTVCSIRCRCKRYNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLDFLRGHRHKGHVMMLNDRIHSLGRLQSVLTKAEEHLSKLPADTPYSQFAYKFQEWGLEKGWGDTAGYVLEMIHLLLDVLQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDFTPKILIVTRLIPEAKGTSCNQRLERISGTQHTYILRVPFRNENGILRKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWTKYDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPYTEKAKRLTSLHGSLENLISDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAYAKNARLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATVHGGPAEIIEHGISGFHIDPYHPDQAANLIADFFEQCKQDPNHWVEVSNRGLHRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELAKTVPLAVDEAH >KN540073.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540073.1:53967:55313:1 gene:KN540073.1_FG006 transcript:KN540073.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKGNILMKRYEIGKLLGQGSFAKVYHGRNIKNSQSVAIKVIDKEKILKCELMDQIRREISVMNLVRHPCIVQLYEVMATKTKIYFILEYVKGGELFNKVRRGRLMEEVARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWACGVILYVLLAGYLPFQDKNVMNMYKKICKAEFKWPSWFSSDIRKLLRRILDPNPATRISVSEIMEDPWFRVGLDSDLLNKTIPTDKVVHVDMDSTFGNLSNNINEGKQEAENLTSLNAFDIISLSSGFDLSAMFEDENSKEESKFTSTNTATTITKKLEDVAKNLRLKFLKKNGGLLKMEGSKPGRKGVMSINAEIFQITPDFHLVEFTKINGDTLEYQKVKQEMRPALKDIVWAWQGEQPQPQSLNEQS >AMDW01036562.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036562.1:267:627:-1 gene:AMDW01036562.1_FG001 transcript:AMDW01036562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAGPTPGADAAAGSAGPSEKPGGEE >AMDW01036540.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036540.1:230:592:-1 gene:AMDW01036540.1_FG001 transcript:AMDW01036540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVRYDEELGKALFNGLPKYCFYTIYSLFAFFFQILMVF >AMDW01040625.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040625.1:822:1775:-1 gene:AMDW01040625.1_FG001 transcript:AMDW01040625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKVRVQTQPGYARGLSDGFPKIVRNEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNAKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMVGLPTTGGAPAPAAIPIGELAELKASA >KN542973.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542973.1:459:701:-1 gene:KN542973.1_FG001 transcript:KN542973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERQIGCFRVAIRFVFGVAVAVVVAMAAVLTAGSTAAAAPNGLTYKGFVPNQPVCARSCVPGRSNLPQRGCLKIYRCR >KN542973.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542973.1:4759:5364:1 gene:KN542973.1_FG002 transcript:KN542973.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQHGHVTSRVDEYGNPSEDDGMGGRRKKGIKEKIKEKLPGGNKGEQQHAMGGTGTGTGTGGAYGQQGHGTGMTTGTTGAHGTTTTDTGEKKGIMDKIKEKLPGQH >AMDW01029167.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029167.1:121:355:-1 gene:AMDW01029167.1_FG001 transcript:AMDW01029167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELCELPPAYRSLDKAVSSLEMELAVERARSSATGAGAGTAVSSLGPQKAFVVIGINTAFSSKKRRDSLRDTWVPR >AMDW01039097.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039097.1:69:884:-1 gene:AMDW01039097.1_FG001 transcript:AMDW01039097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TILQFFLDFGEAVLHDADGSLRTFFRSCLSREFADPIVSERTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASNGERLERKFSEVLPLMMSAGSFLPLFLSLMDLP >KN542555.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542555.1:4308:5925:-1 gene:KN542555.1_FG001 transcript:KN542555.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSVERLIRGRFTLFSTVRFLLRSTFVLILPLLSSMSREDTVHRASVLFVLLWMLLIELMRKKELDFLDSYYDAGSPVAMSSPWLFIVNYFFSLVFVSTYLAAIIVVLVDVKYNVGTFKDRLPSQGLYIAVSLLLVVTLVAVEFTDLLTNYILSNWFMVHLFCLQAGDGGGRIWRWVCKPAIWMFIAGRFLLFYSFRCMLRLSCRGVNVDKIKLKQVSILRVCEPVHKILTWSPQVKLATEGQTAIVKFLEDVVRDSLKDDGNVATVSMPKVRQLSRSSESI >AMDW01038610.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038610.1:30:701:1 gene:AMDW01038610.1_FG001 transcript:AMDW01038610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRISADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVILPHKEIKSSILKPEIVM >AMDW01018969.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018969.1:34:174:-1 gene:AMDW01018969.1_FG001 transcript:AMDW01018969.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLLLAVVPLAVIAGVLVYVADVPWAISIVVLVVVFVVVHWSRRG >KN540010.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540010.1:42029:42706:-1 gene:KN540010.1_FG001 transcript:KN540010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQASAGILAKPSDDDLLSFEAFGIFVDGCLHWPGSTSFMVQAISSSTISIILARQKLICSHSSAPSLKVGCSSDSPFLLFPNRRNHAGFVIRVELGPPVQFRLTGLMLELLRFNDEPRGDPSLSPVTHTPKSTAQQQTSVMCRFRGGSRWGLTVCQAECTSFEAQGSSRRGFAADPCRLAPFSTVRLFQEDCFCSSVNPPFSGVALLLSVFILLGYVMGFIP >KN540010.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540010.1:24095:26243:-1 gene:KN540010.1_FG002 transcript:KN540010.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKNILPMAQPPPPPPPPEFRRIESRPASKRRYTEPKSEGGEAAAAEGEEQPYEEYEVEILKPYGLKFSKGRDGGTYIEAILPGAAADQTGKFEVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMEKRFGKWDGAAELSEKEIIRAERNSGVISNRVREIQLQNYQRKMEQKMQREEDLRMGLRLYKDGKYEVALEKFESVLGSKPEINESSIASYNVACCYSKLDRIQAGISALEDALKAGYEDFKRIRTDPDLEKLRKTEEFNVLLNKYDESFINENAINAIKSLFGFNKK >KN540010.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540010.1:49792:57803:1 gene:KN540010.1_FG003 transcript:KN540010.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVLSDVEASMMEQGKAVAAATTKTTTQPSQHVRAMPGDPTVDERERFEAMNAIFKLVLTLFVTGVSFGGAVALIVVAFLNADERLMEAPAKSVAVADGNPKTATETPRVEDYKDAAMYYGTYPAYLYGAYGGWGEYSTYLSHDGAETPTAGAYGDMYYGYSPYGYSTSGHDSQMYGSQHYQYQPTYNKQQNSTGKPSNNGKTENPAALPQGDVSANGVDSLKGQKKTNLLLKASQNTPGSNGSYGRPSGRFGNYQNQTNRTTYPCYSSQIFNGKQQKLPAGNRSLTTSNSKSKGQSRNQNTYPHLMGLQTPTSPLGPPSIYSASGMYGYNGSSYGSGLWYGSHLYGSGLYGGWNALSDGKYNPRGRGNASYGYIHGNQDGFNELRRGPRSGLFNNQQGVGATVAPVKGQELSASDSSLSVMKDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSSDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTLEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEPGLQVLKIFKDHVCKTSLLDDFDFYDNREKMMQERKAKHQQLKKVVDEKLLNAVDTENSLLTVKSKLQETAEVEIDVLNKEPHGKAGQVDGKENGVLAVSVNGVTPEDVQLTNEKLASLSVC >KN540010.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540010.1:62144:65753:1 gene:KN540010.1_FG004 transcript:KN540010.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRRAAAAAGIGRRRCFSGDAAAAGVAEGKVGGGGGGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMGNRAIAEIQFADYIFPAFDQACLRLDQCFVPTYLYIQLLVQIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLTLSEAEVIRKGSDITLIGWGAQLAVLEEACEDAAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAAFITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKL >KN540010.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540010.1:21658:23125:1 gene:KN540010.1_FG005 transcript:KN540010.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHSQMLGTPFVPPSVTTRLNCMKEVFERLGKCSLCEFQSKDILVSETHNFSAIVPFAASYPFEIWIIPRQHSSYFHEIDKDMALDLGSLLRTMLAKLSKQLNDPPFNFMIHSAPFGVSSSCLPYTHWFLQIVPQLSVIGGFEIGSGCYINPVFPEDAAKILRELDC >KN540010.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540010.1:58612:59795:-1 gene:KN540010.1_FG006 transcript:KN540010.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKADVLEYYDQTVSSPSGSFYIPAVLRVPELLQVVKRRRVKHSLSRKNILYRDGFTCQYCSSVDNLTIDHVIPTARGGKWEWENLVTACSRCNSRKGQKTVEQANMKLLKVPKAPKEFDILAVPLTKAAFRTLKRSQGLPEEWLQYLARPSP >KN540010.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540010.1:2397:12707:-1 gene:KN540010.1_FG007 transcript:KN540010.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAVKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTLSLDAPFLIYVCRFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKFAGRSVQLLREKLAGIVGYDEFMLCVRTGLHGRLTPLLINLPRSQETLHIVLIRTNTTGDDKLLSSTLTDEMQPMNSMGAAGSSTATGAAGSDHTADSTVPPSLLSSEHHCSTESDPSAEHERHDITKNNLSGGMRAVDAEASSSSAVDMANGKRAKGKGRINTEVDNDRMVLWTNLEKAEDDLWLREEQLSIERLILCQKALEMEESERVHQRIQSCLFRWNTYALSGNEDLLSPLISAPMPRPDKTSTPQIFSTENTVVAPSPTAQNTSADVTDTGDRDVWNKRQIGYVYILGTSLAVLFFLRPLLPAGYGIWILAAFATIWGFGSVGLPAGMFGEKFPKIFSRHMGHVLYAAFSVLVIYSIYLLALSTPTSPLAPPPPSSPLVPAVHDNELWFWGLVFGFIGSVVLFGHLYLWARSCCTGVDQDPDL >KN540010.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540010.1:28241:30442:-1 gene:KN540010.1_FG008 transcript:KN540010.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDADGDKCVVLVPTANAADWSVAEVKGGGGGGGEDATATTKMIQMRPVGLGIARTRPGGDRLDGIEDDIDEVKDPGEDQAVHQPMLLVLVRGSAPEKSDLVVIHKILKKHSNISMHQDTPINDFKYVPRNDLFDIDEYGHYIPSRPRDAFNWDRVPISRADLLEIIGYI >KN540010.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540010.1:36470:38035:-1 gene:KN540010.1_FG009 transcript:KN540010.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAHIPRLTMIPTASKLSTYSMAITDGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTIITSFAGNGCIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSIPDTDKKGCTGPLFPVW >KN538685.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538685.1:678112:679955:1 gene:KN538685.1_FG073 transcript:KN538685.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFNPQPATSHGIPFDSFLLQDELHHHADLDHPFEAEGITVHESELAGGSVLPFATLHDLDHEFFRRGSRKDFIDNASSIFLLNPKTEVSHLVRDVQVGAFGAYEMNGRLISRNKASRKGIKKADAVKGHWTVEEDRKLVKLVEQFGLKKWSLIGGMLPGRVGKQCRERWFNHLRPNIKKDTWSEEEDMVLIQIHKEVGNRWAEIAKRLPGRTENSIKNHWNATKRRQFARRRNRSTSKSGSTVLQNYIKSLAISPQESQMNNERSESNPSDMMITQGTLCCFDENNCSQSHISEENTVPSCGDFAAEMWSGLFDTKEDEEDEAQYLLCDMDTHVDMNCIFSNMDYGSNIEPGLASVVKAEGSAESRAVNLKEMD >KN538685.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538685.1:731693:734355:1 gene:KN538685.1_FG074 transcript:KN538685.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELDSGAGLGRHGHGQKIGPDEKIPTGGAGEGYQSNDDYYDDDDTNFLDSYRNSWANTYGRNDGSSFQDETEIPPMRHTDGPVLPVSSWPMDVLQIFSVKVDLKVITHNNGGLPLSESEEDDKVLSYNAFFYDGVAHMNNTGFARRSVESTEHSTMEFVFAHLIFAVEATIAVRVIEGSTDFRARLTARTAGIDEDVVLLDSGDGKVAVVNDDDDSGPLVVLQRRVVVVGEKGSLIFGVEAAEIGSEEIVTRQVDIRPRHALRSRCGFNLGSARCRS >KN538685.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538685.1:627176:630023:1 gene:KN538685.1_FG075 transcript:KN538685.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIAGHRELLDRAVPSLDVRLSFAQDVMPELAMVTAARAIAEWDHPAANITHLVISTNAGAHTPGADEQLAALLGLRLARHNTRGARVLVACAKVFLIAPVALDEAHLDTLVAASLFGRGSSAVIVGTDPRAPVENPIFHMVSNWIGRLPKEKFLATPLFAMAPAGFFDLSILPDDSKSTTTNISVVARALDLGYSAVALDHPHRGLLTDSHAPVASSLRVSPSASLHRRRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIIAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLPFRLKLPMLKLALQRGLHLEIAYSPLIADAASRRQAIAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSVNCRSLISKALRKKHFYKKTIRIDKLLPNKQLNSANFKLADWIGWDPMPHEVDLLSLELPAYKGEPQSLDINPEPSANEDD >KN538685.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538685.1:684320:687486:1 gene:KN538685.1_FG076 transcript:KN538685.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPVIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNESSRVHSVRHIEYPDWPDHGVPTNTDAVRQIRKWLQNTPMEHPIVVHCSAGIGRTGAYITIHSTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEKQYMFCYRAIADELKYLLESNR >KN538685.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538685.1:717865:722131:-1 gene:KN538685.1_FG077 transcript:KN538685.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSHYQNPGELWMPCVNRKLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPAFHFNSVWRDHSKFGDIFDEDHFIETLKEHVRVVKELPVDVLTRFDHNISSIPNMRTKAYSSPNHYMQKVLPKLLELGAVRIAPFSNRLAQSVPSNLQALRCFVNYQALRFADPIRVLAEDMVERMDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQMFPLLATKDTLALPEELAEFEGHSSRLAALDYSVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNARTIKPDKRKLVLSFDDPNIRWNRFKRHMQDILHHSDMRGTALRKPNDSIYTFPMPECMCQQDGMM >KN538685.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538685.1:618498:620589:-1 gene:KN538685.1_FG078 transcript:KN538685.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49940) UniProtKB/Swiss-Prot;Acc:Q93W20] MAAAAAVAPSTTTTSSASFKLPLTAGNVESVLDQVRPYLTADGGDVALHEIAGNVVRLKLQGACGSCPSSLITIKRGIERRLMEKIPDVAAVEPVTDKETGLELNEENVEKVLNEIRPYLAGTGGGGLQFLMIKGPIVKVRLTGPAAVVRTVRIALCQRVQIRKT >KN538685.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538685.1:737224:737475:-1 gene:KN538685.1_FG079 transcript:KN538685.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MPANGDGPARGSAAAAASLRRRRTTGGAGAGARGGTSTMLQFYTEEAAGCKMSPNAVLIMSIGFFAVVALLHVFGKLYRTSSN >KN538685.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538685.1:689661:690501:-1 gene:KN538685.1_FG080 transcript:KN538685.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLISRRSIQLVLVVAAVVAIAGAVHAAAAETTATATTTHIKVYWHDVVSGASPTVVQVARAATTNSSASFFGAVMVIDDPLTSGPDLKTSSPVGRAQGTYISAGKDTVALLMNMNFVFQYNGSTVAIMGRNEVFAAVREMAVVGGTGVFRWARGYAQARTHTFDMKTGDATVEYNLYINH >KN538685.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538685.1:631110:635501:-1 gene:KN538685.1_FG081 transcript:KN538685.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISRWLWPYKVEEPVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEERGSICTLELDSKKVQQFSDAIDNSYWFEFFMGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLQTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIVLAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >KN538685.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538685.1:614216:614652:-1 gene:KN538685.1_FG082 transcript:KN538685.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTTTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYG >KN538685.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538685.1:725652:730564:-1 gene:KN538685.1_FG083 transcript:KN538685.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDHPSSLRPARKDGEFFGGRSGNKDSEFFGEPGMTLRVCSTEMGRTSSLYLDRRSSPLPLPPPPPPVRVASPPLYTLVPPAETGFLTGGSAMKAGENFGMGSSRSLLDGGEFQYRDRLDDPYVERSREREIDRLYAGRSLHLERDGEMDRLYPSKGVLGADLAPSAYAGSSSSLLAKERPYRVHDGPSYEPTNGYAMEELGRLSHDTLGHVSGHAHRFSGSPLEHDSGRDGKILLDITRQKHSNHSPRDASVEYGRRDPVNAYLPPENLRGNGSQVSSPSVRRMFGSSSLTGRKDERIDHQVRLPLRMAEDEDTFHGMHDGMERDVQHSYHGDALTRHRRTRNSDLRYSRSPETERLKLGRHPVQHGYSSFDDTHEFSDQEVSPVVSRGRPRKAMYRDHVTEHYQADDSPLGREYYDDDVDSYDLSPQRMAEPYDMVDDQDKYDARYDLPNNRNVFSRITLRDDTNDGWTDEDQENNHQSNSITYGHSQNKPISQRLSRPTGHSQFGGFPMHGRGRGKSAKKRLRSALPQFHVGSTQGGDGFVRPNKRFKLTEVNHDDPEMSHEDAPEDKDISMQKDPPEGSEEFTKQVHQAFLKYTKLLNESPTVQKRYREAAKGSLSCCVCGRVKSDDRVYLGLGQPSLYPVEPLQQFVFAGCMNQQYCISFLEIAEDEEVNAMKDDLMLWPPVVIIHNSSIATKAKATDAKIVSKEEIEGVLTEIGVACDKAKISHGRPASQSVFLVKFLPTISGFQEAMRIHEHFTAKSHGKEEFQQIKGGKGKKDAPVDELEELLYAHIAVAEDLGYLDEETKKRCLVRSKNDIEAKADATLNLDS >KN538685.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538685.1:746910:747098:-1 gene:KN538685.1_FG084 transcript:KN538685.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTNLGMLAALNAYNRGGAGDQQQQQQPEMDQQGRNDDDDDDGDDSGDEDNGNNNNNNSSQ >KN538685.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538685.1:642972:643659:1 gene:KN538685.1_FG085 transcript:KN538685.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIADEETPDRTVLTNAWKSWLEEHITATGKAPPGNVAGNHTWVGPPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKDNKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNHGLRETLKTYSNWPTFPQLFVGGELVGGCDIVSSMAEKGELAALFKK >KN538883.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538883.1:48652:57815:-1 gene:KN538883.1_FG021 transcript:KN538883.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVFPTASNGGCPLGISRNNFRKVVLGVGAGHLQGKKCFSGGSSRPSKLECSANSRRVGPRRTKDALYDLHPEISMLYGEDDGAVAAPGKEQGIVKTTERLEDVSATHRYSEPRIKVIGVGGGGSNAVNRMIESDMKGVEFWIVNTDFQAMRMSPIDPDNKLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFAFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEAESRQNCARTLVYKSRGEYAEKCLVGRIRCVLDGITWLLFWSTGILRCVLCLIIIYLIAKPGLLPWMEGVYRLLLHERKLIQDDTSHTKRRVSMQYERDVCTALGTTKWQLEQFEREVNAAALSNKSNSRENAILQFRQFIRAIAEQISQVEDSLESLRNDSIRTPKHPYLTEHDGDELASFLSGSNRKDNHVIYSTGTDEIVELKLDSVPAVNGYHSTQERTSCEFRYSGEDVEGAAKPQCSCGENACEGDHNGSSMRGLDSDDSIGRKHHFRSKLSRKYHSFMRNLWFTNRGRESFTKRRKDGEVMDSLRNGSTLPSFNLPPAGRAMYFWPELIKRRLSKFKCSTHHKHLQVRLATVLLIALAVLELPREYTMYCIGLFVILAQSSNQPLSDQTIEMATATAPRSCFPKSGMAIAPATKSPSFIGYAKQTLNMSGLRISNKFRVSATAVHKVKLIGPDGVEHEFEAPEDTYILEAAETAGVELPFSCRAGSCSTCAGKMSSGEVDQSEGSFLDENQMGEGYVLTCISYPKADCVIHTHKEEELY >KN538883.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538883.1:98740:100076:-1 gene:KN538883.1_FG022 transcript:KN538883.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSVILPAAATRSSKFLAGMPRWAPSACSSTICAARDGLSEVLWISSLPRDAAACPFTARTLSCVLKVNKLMRNHLQKLNFTVTSQIQVYFGGLTGASREN >KN538883.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538883.1:12332:13081:-1 gene:KN538883.1_FG023 transcript:KN538883.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYRGRAPAGAKTKWKMNEYRAFEEDDDNAAAAAPAPNHYLQDAAESSEKGQKRKRSAPDDRLESTSSSDDNGGCDGSMLQQQQQRQRGTDEELVGCSMTDWADLLDWF >KN538883.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538883.1:62614:68029:1 gene:KN538883.1_FG024 transcript:KN538883.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MVQALILICLPLTSEAHTLSACLYIPEKFSFYLFVHILHMAIGYHRELQPAAAAYFGELEKALIHGTSAGAGVDHVMIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSQNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQSIIAVSVLSAPSGLHVLAKTSLRPRMSALQELNFRLFSNLSKINSPGSETSSPGGYKFCISASSKPKPKSLWIGPTDLPRRLGLEVLGLDDGPAGVVVSGRRRRLLSCLIRLRLIDSSWPAAFSPTKVWSL >KN538883.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538883.1:87996:90780:1 gene:KN538883.1_FG025 transcript:KN538883.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGELCLFAGFAVALFMFYSITPFVLKVKFLYHIRQEMVANEWINIVQPFTPNIRHVGSRHSSFILSPTGMNPQINWLYYIAFAVVAIGLIIYSLNGTRTTASTEAAAQYQQLPGEDNSTGIGSNDSQERKQEEEVHIC >KN538883.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538883.1:5638:6455:-1 gene:KN538883.1_FG026 transcript:KN538883.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLGSMGGSPSSWGVAEVPVPSSRPWSKAEDKVFESALVAFPEHTHNRWALVASRLPGRSAHEVWEHYQVLVDDVDLIERGMVASPGCWDDDNNSAGHGRGSGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANASSRGDSKRKSIHDITAP >KN538883.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538883.1:74700:75631:1 gene:KN538883.1_FG030 transcript:KN538883.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACTMVEGSSFVVVGGGVPFFEWLKPRSSPPPSPSSSSTTTTSSSQLTAQRQPRGTGAGTMLCLPLLGRLGEEPVDADDGGAMNNPPVKEEVSNTTDDYAGVDLNIGLPATTGGCSSEDAPMDEGEEDDDDDDEEEETEDDEEKAAGHEGCKVEEEEREQMLRLADAFNNYAS >KN538883.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538883.1:35001:40056:-1 gene:KN538883.1_FG031 transcript:KN538883.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MERWGWSCALCGTLNGFDDDALRRLQHPEGWPELTSSFVDLEIPVDGSEGAGDGVQARPVYVAAVDLASLIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMSALTDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAITDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFNFSHPEGFSRHTDPAVVQIAFQYSVIEPVKHTSENETQSSTSNMFCLKRRLRIRTLQYRPAKNINEIYDSVDPETLLHILVHKVILISLDKGVKEGRSLVHDWLSLLIARYNQALRSDARIPESHVDVDFLQCPQLQMLPQLVFALLRNPLLQLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSPSADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDPALFERYLIEEQDVDGTGFTSGSQDYLISQYKEFTGYSHL >KN538883.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538883.1:1232:2177:-1 gene:KN538883.1_FG032 transcript:KN538883.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGGEMGGSSSSWVAPLVPSSRPWSKAEDKVFESALVAFPEHTHNRWALVASRLPGRSAHEVWEHYRVLVDDVDLIERGMVASPGCWDDGAGRGGAQGASRGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANASNRSDSKRKSIHDITAP >KN538883.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538883.1:96017:98248:1 gene:KN538883.1_FG033 transcript:KN538883.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGADAPFTQSFFSYLLLALVYGPVLLHRQRKFLMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEIGLFIGFAVASLVFSSIAPFVLKVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETQYDYEQLLCE >KN538883.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538883.1:41812:46051:-1 gene:KN538883.1_FG035 transcript:KN538883.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MELCAVFFSSAFYLLLLLLLLSAVVYNEPMRSFSSINQACFRRLVSGLLQSLSVNRVKLYDADPAVLAAFAGTGVEFIVGNEDLHNLTDARKARAWVAQRVQPFLPATRITCVTVGNEVLSGKDTAAMQSLLPAMQSVHQALLDLGLAGRVNVSTAHSVNILATSYPPSAGAFREDLAQYIQPLLNFHAEVGSPFLVNAYPFFAYKASPASVSLPYVLFEPNPGVRDPATNLTYDNMLYAQIDAVYAAMKAMGHADITVRISETGWPSKGDDDEVGATPQNAAAYNGNLMKRIAAGEGTPLKPAVPVDVFVFALFNEDMKPGPSSERNYGLFYPNGTPVYNIGFDAASFSPSPTTSTFSSSSRPTDSRVHFSSIVWILKIVIIEHRRRVMHIIGTPTQEIVFPKESDSSQELNWKHRWQ >AMDW01020545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020545.1:87:257:1 gene:AMDW01020545.1_FG001 transcript:AMDW01020545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGKAAAMPPASVMTRLILIM >KN541659.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541659.1:167:6045:1 gene:KN541659.1_FG001 transcript:KN541659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAAKRLEQTSEEPEYAPLPTGPGAVADTKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQLMFLFIPKEWKPLKITYSKSFTLYGIKIPVSVGVTMYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKINQHEYMGFENLCKYDAWSIDTVMIIRVSDNCAVIVTLCTNVLCMFFCLKFDPGLSLEITGAKGEKRTFCVFLQGAMEPSSLSLRLKAAIYDLFNSNSLQTYVPFRIRHINGGGNPRPRQEDPGLTDAEFKKAMEQLNKQAYRSLDPHKMVESNRGGRDHAKSTRSEPAPNNMEEEKACTICLETFLAGEQVVATPCNHIFHQECITPWVKGHGNCPVCRFALCERNTVSNNSQSGVGEVEVDLDLLEMMRAMEEIFSRVMHCNSTNNRMDEQLHALEISKQTMFKVAPAAGDIVKTLSKVEDNGQDGRELCPNKKRQNRVRSVLETIMAALSTIACARDTSDSAATRRASQISEEGLEMLKRVFNISNIPFVL >KN541659.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541659.1:13669:21322:1 gene:KN541659.1_FG002 transcript:KN541659.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLVPCGRGQLFLVVLGLLLLLLGEVHHGSGARTASLPRLLPAEVRTLRRIAQKMGILRWNFSVDPCNSGGNGGFGGTVNCDCSFYNHTFCHVTNITLEGQNFTGELPPDFAEFPNLLQLDLSRSLLHGGVPDQWARMKLQGLSLMGNNLSGPFPIALTKITTLTNLSIEGNNFYGPIPSDIGYLMQMEKLILSANEFSGPLPSALARLTNLTDLRISGNNFSGRVPVFLGKLKKLGKLQIEGSLLEGPIPSEFSKLINLYDLRISDLRGRGSVFPDLRELVSMKTIILRNCSINGSIPSYIGNMDNLKHLDLSFNKLTGEIPASFANMGHVDHIYLTGNSLTGSIPDWILKRNKIANMVESYSPEMSSLTNVESCLKRNFPCGSSNGKYRYSLNINCGDKEVTINGTKYEADVEPKGASLLYQSPGSNWAFSSTGNFMDNNINDDSYIAISASKLMVPNSELYAKARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDSTYCSLGKRRFNVFVQGRMVLEDFDIEQSAGGAAKAVIKTFTANVTNHTLEIHFYWAGRGTTGIPKRGYYGPLISAISVVPNFEVPLTVEPPQIGGSKKLSRISKAFLVAMPILAMCAALFVGIYWIKWRRKNSMHKDLRAFDLQTGSFTLRQIKAATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFGTVEQYRLSLDWPTRRKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLSAKISDFGLAKLNDDDHTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTSYRPKEDFVYLLDWVIPKPCLE >AMDW01027483.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027483.1:51:372:-1 gene:AMDW01027483.1_FG001 transcript:AMDW01027483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYSAKYRLISQAKQLGFGDPLLVCCGYGGGEYNFDRDIRCGGKVEVNGTSVLAGKSCEDPSRSVSWDGVHFTEAAN >KN541892.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541892.1:1401:22026:1 gene:KN541892.1_FG001 transcript:KN541892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGIVNKNVQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGFENPKLVAVSEAISFKNPKAPVYPRLAQGKSGV >KN539494.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539494.1:51522:52582:1 gene:KN539494.1_FG001 transcript:KN539494.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVDDSYTNGSVVEVVSMEEGSKMDKEQQVHDDHQNPQAPDGGDDDGDVVVFGMPMSFTFLQMLLAEFLGTFFLMFAGLGAITVEEKKGAVTFPGVAVAWGAAVMAMVGHMAGVAVGGTIMLNVLFAGPVSGASMNPARSIGPALVGSKYTALWVYILGPFAGAAAGAWAYSLIRLTSDRPLHEVTKGGTDRTD >KN539494.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539494.1:20213:22393:1 gene:KN539494.1_FG002 transcript:KN539494.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSANRDRPVGDNATLQLSDAGDLVLRDAGGAFVWSTNTSAGHAVAGVRLSDSGNLVLFDDSGSPVWQSFDHPADVLLPGQYLRPGMRLTANFSAANFSEGSLYVTARNNAMAGFVGHDPPQLYFTAPVSDTMDDTLANITFLNGSISAFGRSPSSSSEILIPLPVAHSVQYIRVESDGHMRLYGWNSSSWVIMYEVLQKYIAGGDCEYPMACGSYGICSGAGNCSCPSEIHSSPIYRDRPGLGCKLATPISCRNVRGIEMVELPNVTYFNYNGSGAIMRDKVTRSDCLSGCVANCSCKAAYFKLRMNDTNGTCFLQSQLFSLHKLQTTAPSLYNSRAFIKLNNITFAERVRPMKKTFGTGILVGIIIGTVSLLFSIALLIRMRTRRERVDGEHIEHLPGMPRKFSFEELKVVTGDFSSKIGEGASGTVFEGKIEDENIAVKRLDSVGRRKEEFLTEVQTIGSIHHVNLVRMIGFCAEKNHRLLVYEYMPNGSLDRWIFDGKDGRPLDWPTRHKIVSDIARGLCYLHEGCRQRIVHLDIKPQNILLDDQFNAKISDFGVAKLVDKDKSRVMTRMRGTPGYLAPEWLTSTITEKADVYSFGVVVLEIICGRRNLDHSQPEEALHLMSLLQESARNDKLLDMIDHRMDDMQLHSEDVMHMMHLAMWCLQLHSNRRPSMSTVLRVLEDAATMQEDIDFNFVVTNSSIFNEGIMGESNLPSASLLSGPR >KN539494.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539494.1:54677:55006:1 gene:KN539494.1_FG003 transcript:KN539494.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHLHWRGWSSDAVPCKSTGAVGPLGGGCRFNGEGGIICPASKQTMEMETRSPEEPSPVELVAARSAVIGGWHSTAVAALWLCCQIQKIRVQGEKNENWIAVRQNYCR >KN539494.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539494.1:70382:71675:-1 gene:KN539494.1_FG004 transcript:KN539494.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPLFLLGEVMSISTGFDLGGDMLQATLGAMGQRQCDQLRVSFHLFHVAFKHTFVRYSTAENRFHEVVHMSTPCG >KN539494.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539494.1:15368:18007:1 gene:KN539494.1_FG005 transcript:KN539494.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEPNPQFQRVPCPSQIGLWQESPISNSTSPPQFQTVPHRSTRRRPRRAPRSRLTDDHPEQDFVTRDRGALGHLPQQRDRKDECQDYGSSNLEEFVTNIERTINSVVLPKYDGSAQSSEQQAPTIYKVPREMKGRSEAAYLPVAVEIGLLSHRRKGTPIGNADEGYIALENYKWCCVRRFVHLQLSREEPSTILRRCMASLKALEPRILASYPPRGRTRMKLFEQLRTDDDNKKTNNDDDVLVTGALRLFRSLRPQKLYSSPTISCSQMDVHHLLHLFYISIGFPPAAAPDHLRHHAVPLPPSELSQWIPCARELEEAGIRFRPRKGATSFLDVNFSGGILEIPELQLFDYSEPLFRNLIAFEQTYPFTPGHVTAYAILMDCLVTSPEDMRLLLISGVLVNQMSGERDATTTGFFGRLCAEAHLAADRNYLAGVIHEVNRYRRSRWPRWRAALVRNYFSNPWVATSLVAAVIILSLTVLQSFFAAYAYFKPPKQQ >KN539494.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539494.1:65015:67178:-1 gene:KN539494.1_FG006 transcript:KN539494.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRSHIVGDVLDQFSNSVPLTVMYDGRPVFNGKEFRSSAVSMKPRVEIGGDDFRFAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRIVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAMYFNCQRPTGTGGRRPT >KN539494.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539494.1:2511:4982:1 gene:KN539494.1_FG007 transcript:KN539494.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEDETVVTVGRRPEVAAAAGVSGEERREEAVVALGTGRRQRWWQGQGGAQMAVAAAVVGEEAEAAMQRVGGVADSKRCTGEDRGENENMGTLEDRDLREVSSRGFYETFSHLIRRFCLPEPYIGQSLFFEGRGPMRSRERPAATGLSAPEPGSTVAAGYIPPELRSTTPPEPESAAVDQIHAGAPPPQALHFVAEPLRSRSRSAAEAAPWPPPCHSVLPRFAPLCACV >KN539494.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539494.1:31467:33693:1 gene:KN539494.1_FG008 transcript:KN539494.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRRGGSCCLLFLLSFRLVPSTTSAGAILDATAICVSAASSVSLCFGFLLRCALSIFASIRAGLMSNHLKMACAALLVFSNGGNAMRGKKVFKAAGSVDDACFNGEVIDKYEHNRVPLTCIQGCKPPQAERPDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITIGGNSLIGGVEGSSLIPWLKTLPLSSSYASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRLRTDWENASVQPPWASANYTSLPNSTPPSPGHKIAPDPAWLAGFQISSAGPSSPTYNLVSPNPFGIFKEAIASTSRVCTPGQSGTCSPVMGGMPAHHDVQMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSKTRADPS >KN539494.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539494.1:91979:92475:-1 gene:KN539494.1_FG009 transcript:KN539494.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAGGGGGGAAPFVWKTYRMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYVSLVTPSSISSSHHTPPLSALHIMLPWILSGTQLKTWRDRR >KN539494.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539494.1:37411:40269:-1 gene:KN539494.1_FG010 transcript:KN539494.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQELVQNTYYDCALRDQTGMWVPPSVPPMTKHDHEEWQKGFGANGGYFAEEDLWDIDEENKEMTMWDVLAAMVSAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKADTLEDASVGLEHALLEAEPTVWLPDSAAPSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSEPQRVCDVCGVRLECIQPYLMNKISRACQIPTNDLTDLSTLRSWLNLPWARTMEYEIYKAVNSIYGYFKVGSLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIRTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKAKQRWAALLGLAVKN >KN538756.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538756.1:76040:76600:-1 gene:KN538756.1_FG045 transcript:KN538756.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDRQEAEAPVAGIKRVKEEEATASPSGGEKKKILPNWRKSSIPCEGSEVLKKKKEAIAARPLWVSRDVPGAMECWIEEREKALAEEEADIASGKKKRKKVVKYKMPNEVIQQMMRFPYSYPECTEEELARRSASNRQLHRLRMFIDGKMFDYEQTLIDQYLKHGYAFDEAEISDDDEEEEEHK >KN538756.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538756.1:140206:141896:-1 gene:KN538756.1_FG046 transcript:KN538756.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKQPGEKKSEEEELAALLAAFAFLVVGAGGIRPCNLAFGADQFDPRTDSGRRGIASFFNWYYFTFTVAMMLSATLIIYLQSNVSWAIGLAVPAALMAISCALFFMGTRLYVRVRPEGSPFTSFARVIVAAVRKRRVPVPASADDLFDPPHQSKLVAKIAYTDQFRCLDKAAVVTPEDTEARSSPWRLCTVQQVEEVKCLARIIPVWSAGIVYFIVVTQLGTFVVLQALQMDRRLTRWWAFEVPAGSMVVFNMMAMTVWIPVYDRVVVPALRRVTGKEGGISQLQRIGVGLVLSVATMAVAAAVEQRRRRLGAAGVKMSFLWLVPQQVAAGMSEAFAAIGQTELYYRQFPENMRSVAGALFFLTFALANYASGFMVAAVHRTTGWLAQDLNHARLDLFYLTVAAIAAANVCYFLLCARWYRFKNTTIADHVELPDYHHHQPGTDNTIASKV >KN538756.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538756.1:65417:67174:-1 gene:KN538756.1_FG047 transcript:KN538756.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGDWLLRENLLPLEVTSEIMASLKSQEHVVSMSEGKSNVHNFLLSVTEYRKRLSREAKILQSPGLIILTRGRISVASAIMTGLTNE >KN538756.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538756.1:14729:22807:-1 gene:KN538756.1_FG049 transcript:KN538756.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMERYLLACCCAQSEIAATLDDDGEGIASTVARTAFHGMNALHAARSLHVYRYLLEVAKMDVNKPDTTPGRKTPLERAIAGGDLPSVRYLIDHGADIHHEREGNITFLHSAAKKGRTEIVKLLLSRGAHVDGKSDHGTPLHFAAIKGYESTVKILLEHQADPNKVMPSSQATPLSAALFATSLPCVKLLIQAGADVNATNNPLARAAGSGLTEAIKLLLKAGANPNCPDTHGRMPIELAAVYGTREDVEILFPLTNPIPTVADWSVDGVITYANLERKKLEDDDYVNTKMYDLKQKGNEAFDEQDYEKASVWYTQALEVDPCDATTLLKRCLCWLRMGEGKKAVKDATTCAKHHPKLSEAYHRLGEALMLEKDYEKACVALTHGIELDPESDEMDKLFWLEFMVGVWRTASSSEELELKHRLMATLTSCLRYGFLQHSVQTVHKNVFGNKISENEKMSMLVVSIEKVDRNANAINDSGANPEYNLDEPTMEEKLATLNLLNGGGDGTAGEATQEQPLSVVVPPSADSVHVLLKQALRADDHTSLLNCLYNKDHKVIVNSISLLTPADAVKLLKFFILLMQSRGAVLVSLLPWLQSLLSQHMSSIVSQESSLLLLNSLYHLIDARTSTFKSSLQLSTCLDYLFSEIDDDGSGEEEESPPIIYEDKDTDDEESEVDDMETNGEGEDLGGVTDASEHSDGSEVMSD >KN538756.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538756.1:102331:104104:-1 gene:KN538756.1_FG050 transcript:KN538756.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAAAAFAAHVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLIAEVTSPWFILAVGAAMNLGGYLMLYLSVTGHVGARTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVILGLLKGFVGLSGAIFTQLYLAFYGGGNTKPLILLVGWLPAAVSVAFLGTIRIIRAPRSPAAARREYRAFCGFLYVSLALAAYLMVAIILQKRLRFTRAEYGVSAAVVFAMLLLPFTIVVREEAALFKNKSPEEEEADDVPRALSVGHGVAAAAGKKALTCIGVRCYRESFLVMTAVTVAAAAVAALLAWRTRVFYAGDIYAKFKDGKTELGADNNGSGAAKE >KN538756.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538756.1:151222:153066:1 gene:KN538756.1_FG051 transcript:KN538756.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDDKATPHHQDSATTISKSNKRERKKSKYLSPPYTNLGGIALVQKASDSPKPSPPAAAEDDEYKVLPKPLQENVSPQEVLLFVRRTGLDVFHRIRSMKAVTAFLSLYTSSLLVEDADYKSFIAHECSTENAFTNAAVETSDSFVNSRAALKPGKCALKRTRKQGQDEGGSSSTKTEKRGKKSPAAALGCGVTITPAIPIRQARAEDIRSPTKPENGARGMAVGVQLEKIKPDFKSPTLASAKIAKEPGQEQDKTNGGSVLKTPANACKNLSDQPVKQNDAGMLEARQLHTNIQADPGVQGIVVDVPVRCVPVEAVKSEANIPLHRDEQNAAVDVTDKSAPLPKSEDVSLSQPTDGNKEHASAEVRTVQESYASLEAMVPEMLMKAEVANGTNVAAASNSLKDEGQRADQPSLKKMVPGANVNHSSGEATNSAFPDIAYSTPKKKKKKIAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLVIESETDIVKDTHSARVVFGKSAEAEAAYNSAETLGMFGPPFATPRLHYLPPIKLSVPSPASKPPLTDIRKNLERMISSLAGHSSVKKATPSDGSKQMPENLLGEMQGLLAKVDKMLTGPSATASNPH >KN538756.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538756.1:330:10077:1 gene:KN538756.1_FG052 transcript:KN538756.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MSARNASRAVSESEGKGRPAMSMAVEYLVQFGGPAFPASPLCSRRSPLLADGIAASYAEPTGLFFDELDALAAEFGPAVPSPPRVSPVPPSASGASTSLPRTACTPPTGGEWLRPESPLPQQAPTLVVDVPDGVLYDVPMVVLDLDGRPLAHSLPSSVADNAALRAFLTSCSRPLPPALLPPPLPLPPLAAKAVEVVPKRSERIAAKMALEALEGPIHAVSHAQRNLMRKLGLVPERGPRKPPLLILPFPAVVVNVLFFGAAVVARGSSGAASLTTTMSRVRGGWWSSSSSVGNSTQEQRSSDAKKAAARHPAARPSGRPQLWPRWAWAPPRLQEKDAHRGEEVAARIGISIDTSGVVSHLGLDPFLRANISIDIILGLTIMV >KN538756.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538756.1:108599:110063:-1 gene:KN538756.1_FG053 transcript:KN538756.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGGGGGGRSSFRGSSSTGTKAVPRAAPAKSTGTAPATTKNGSNDSVIGSIGSAFFDGWGWGTGYGMVQRGMDAVFGPRTVNVVDATPTSSSPAPAAAAAAHPMLDACGAHKKAFQECVAQQGIHVSRCQPYLDMLNDCRRDSAASAAVGVATTTRIL >KN538756.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538756.1:83223:84587:-1 gene:KN538756.1_FG054 transcript:KN538756.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACVLAVVVVVLAFLSPAARGGVTSTYRRSLQALPDMPIDADVFRPPPGFNAPEQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDAPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKLVADHAWFTNRYWFPTDTN >KN538756.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538756.1:98722:100493:1 gene:KN538756.1_FG055 transcript:KN538756.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLIAEVTPPWFVLAIGAAMNLGGYLMLYLSVTGHVGAKTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLAFYGGGNTKPLILLVGWLPAAVSVAFLGTIRIIRAPRSPAAARREYRAFCGFLYVSLALAAYLLVVIVLQKRFKFTRAEYAALRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATLVSLISIWNYLGRVSAGFASDALLSRYGISRPVVVTGVLLLTVAGHLLVAFGVAGSLYAASVLIGFCFGAAYPMILAIISEVFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDREARRQGAVAVPVGKKELTCIGVNCYKDSFLIVAGVTVAAAVVMAALAWRTRKFYAGDIYARFREEAAAGGGGVGNGTGAGEDEKVESKEEKTVMTPTST >KN538756.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538756.1:52962:55012:-1 gene:KN538756.1_FG060 transcript:KN538756.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQGSGSELIHDDAHTGSQLEFGVLNNHNSSSVPSMQSQGLSLSLNTQIMAPSLPYWSIKPDMLTPQSYHDNLRGEDMRMKNLQSEASRAIRNSRYLKAAQELLDEVVSVWKSIKQKAQKEKVESGKADGKETDGGPKSEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGSAKPYTAVALQTISWHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDLEQDSNSSSDNAPRSKDKMASSEDKEDLKNSRARICETSQLSESRTSIGAMNVGGAPVGFQNEPNPDDSFMNLMLKDQRSNEADGGLLLHNTVAQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLGVPNAQPGFPGVSEDDIYNATAPLGVTVASSDYDSMNQMDQRQRFEHSPLLHDFVA >KN538756.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538756.1:32098:34353:1 gene:KN538756.1_FG062 transcript:KN538756.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSQTLPLSNLLPFLCFPLNVQMVPQPNGNRELFSIYIHSTPGYNPDFPPTSVFYRRQVPSQVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVSPEQWRKGAQWFGGDRSLAVFVVGDERYYPKFRELCRPPCYVDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGADVGEAWVRKAAAGQRCLYNGQPSEVCFLFARKLAPSALQPLLALPPTLLGY >KN538756.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538756.1:70947:71540:-1 gene:KN538756.1_FG063 transcript:KN538756.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDPQEAEAIMAGNKRTSDAETETETRKKMKEEVTAASPTADKKKKKILPKWRKNAIPCEGGEILKKKKMALDSMSLWKFKDMPRAIDLWIESRARAEAEEEAAIAAWKKKRKELFKTRVPNGRVEFMMKHRFSSTEPLSDEELANCSASYRQLYGIAKFIDRKMNDYEQLLIDQYVKQGYAEEETEATDDDDE >KN538756.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538756.1:77369:80324:-1 gene:KN538756.1_FG064 transcript:KN538756.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQMDSYDSPNRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV >KN538756.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538756.1:91264:94462:-1 gene:KN538756.1_FG065 transcript:KN538756.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGARLCSSSIIVVVVVLIVAAAAAEAMRCPGTTSVYRRPKKKAADMVDMPLDADVFAEPEGRNAPQQVHITLGDQTGTAMTVSWVTMEEAGNSTVLYGLSMDKLDMAADATVTTYTYYNYTSGFIHHCTLTNLQYGVKYYYAMGFGFTVRSFWFTTPPRPGPDVAFRLGLIGDIGQTFDSNATLTHYEASGGDAVLFMGDLSYADKYPLHDNNRWDTWGRFSERSVAYQPWIWVAGNHEIDYAPELGETKPFKPFSHRYPTPHLASASPEPYWYSVKLASAHIIVLSSYSAFAKYTPQWKWLEAELARVNRSETPWLIMASHSPWYNSNNFHYMEGESMRAQLEKMAVDARVDLVFAGHVHAYERSFRVSNIRYNITDGLCTPVRDRRAPVYVTIGDGGNIEGLADEMTWPQPAYSAFREDSFGHAVLDIKNRTHAYYAWYRNDDGAKVAADAVWFTNRFHMPNHDDSTPTPTKRHYYG >KN538756.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538756.1:85677:88126:-1 gene:KN538756.1_FG066 transcript:KN538756.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLRWGAHLLLLLLAAATWTCAGAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANEMGSSTVRYGSSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFAHTVRTFSFTTPPKPAPDAPFKFGLIGDLGQTFDSNSTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYEPELGETAPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIEYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPTNDDV >KN538756.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538756.1:118963:119328:-1 gene:KN538756.1_FG067 transcript:KN538756.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSQAFPAIGLNELCYKESPESMRSVAGALSPLALAVASYASGAMVTAVQRATGWLAQDIDKGRVDLFYLVVGAMSAANLAYFVACALWYRSKNIADDDGIELETSSKHNADAPPAMAV >KN538756.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538756.1:68363:70217:-1 gene:KN538756.1_FG068 transcript:KN538756.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVVRHWNADVNGISLHVAEQGPADGPPVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSTVPDDPAAYTVMVVGHDVGAQVAWHLCLFRPDMVLAVVNLGVPFFPRGPSSLSEAFAGRDGLYIMQFQEPGRAERAFARYDVATVLKKFFSIEIDDLTAPPGVEIIDFLEARSTPPPWISEEELGQYAEKFQKSGFTGPINYYRMMDTNWRLTAPWQNAKIMVPTKFICGDKDIGLKSFGTEHFVKSGAFKSVVPNLEVVIIEGHHFIQQEQAERVNSEILSYFDKFTGEKA >KN538756.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538756.1:45750:46454:1 gene:KN538756.1_FG069 transcript:KN538756.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSGSSSGGADSGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQANPNTHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGHAFHGGHAHAAAAPRHGPPGQQQQADVYLKALLILVGFLVIASLITF >KN538756.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538756.1:74389:74745:1 gene:KN538756.1_FG071 transcript:KN538756.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTTVSSYGASPVAVALVWLQGWLVGDRTDGVGVEVSMHLCFHQPCRSRAGLRFTFTSSGSDACLRFSNLRKGKRSCSRGGVGGNHALALRQAGKAIGSRGKEQWEALLRPGRRL >KN538756.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538756.1:113018:116489:-1 gene:KN538756.1_FG072 transcript:KN538756.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLFSTSMALTPDGQALLELKLSFNGSSQRLTTWKPTDPNPCGWEGISCSFPDLRVQSINLPYMQLGGIISPSIGKLDKLQRIALHQNSLHGPIPSEIKNCTELRAMYGLVQQPLEGHNTGVHWKPYSPSFPMSKCWCKSLKEHMLICIAGICPPISFLEKSQMLVSLEPSKAARSLGIWSFVDCPSRRLAVEPSGFLPCCRILIPSPQLASLPFLSHLLSVEALLSKFGAMRFTNQQQQNIALPEWHCDWLNVNHGSCIDRGAKLVTYQWNLPYSSGEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPIAKLLIYDFLELGSLDCYLHGDAQDDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELYYEQHLEL >KN538756.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538756.1:47507:52504:1 gene:KN538756.1_FG073 transcript:KN538756.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQAFELLLGRDRDAWPRGAAFLVAAHYGDVREIKKIAKELDEDGKGIEATVANTSFLGMNALHALGWLGKVPAYRYLVEEVKMDVNKADTAQGFTPLEHAVYHGRLPATRYLLDHGADVHRIRSTGNVSLLHSAAVKGFSEVAKFLLSRGVNVDAESEMGTPLALAAFRGYDSTVKVLLEHNADPNKVTNKALGAPLDLALTSSSVSCVKLLVQAGAEVKVEGPNNHLVRAAEKGLTEAIKCMLEAGANPNVPDRLGRMPIELAAEYGTREDVEILFPFSSAIPTVANWSVDGIINHVQSEIKQLEILFTQDDNFIKKRRSDMKQQGDAAFKKHDYLNAPVFYTQALKVDPFDGTLFSNRSLCWLRMGDGERALDDANACEKLRPKWAKSYYRQGAALMFLKEYERAHRALGRALELDPESEEIENLYCICMPAKPQLEGSRCCHPQHEGPEQQGN >AMDW01048315.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048315.1:42:777:1 gene:AMDW01048315.1_FG001 transcript:AMDW01048315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEVPLTFVFFIPCFFTKLLIVG >AMDW01015405.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015405.1:74:166:1 gene:AMDW01015405.1_FG001 transcript:AMDW01015405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPIDEFSRKKMDATAQELSEEKTLAYSCLN >KN544156.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544156.1:1659:2761:1 gene:KN544156.1_FG001 transcript:KN544156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSANDGYEYDDDAVSGSANEVPRVTGVHQFTIRQYSGVKGKGVGKSVLSRNFTVAGREWFVRFYPDGYNSDTSDHVAFFLQSLYRPSCGSVYHVEFSFALLGGGDGGRPVGNEVSSPVIRLREMSPEAFGAVLHYVYHDSLPPEATKGRNAAAMAREVFEAADMYAMERLKLMCASNLCRFIGDDTASGIMELAKAHSCDPLKKACENYMKRRRIPFNPDS >KN541330.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541330.1:9949:10788:1 gene:KN541330.1_FG001 transcript:KN541330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKMHLVDAVQRLGIDHLFQNEISSTLSDISRSQFASNSLHEVALRFRLLRENGFWVSPDVFTTFKGEDGRFIDAISNEPRGLLSLYNGAHLLVHDETELTEAISFARDHLQSMCAASELKPPLVDQVKRALHLPLPRAYKRMEALHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKAFSQYASFLDIYTLQSNRKQ >KN541330.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541330.1:14685:20313:1 gene:KN541330.1_FG002 transcript:KN541330.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYIRDRAVESYIWSNMVFYEEDLAVTRMVFAKIFVLAVIMDDTYDCHANIEECRKLHEAIQRWDESAISFLPDYMKKFYNELMNNFKEFEDQVGEKGHYRVAQTKKEFQKLSTYYLQESEWLHQNRKPSFKEQVELSTMTSGGSLLCVCTTIGRDDTLTKEAFEWAASDIGAIRAYAKILRFMNDIAAFKV >KN541417.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541417.1:2393:3996:-1 gene:KN541417.1_FG001 transcript:KN541417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRDVATWNSLLAGLAQGTEPNLALALFHRLADSFQELPSREEPNEVTIVAALSACAQIGSLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDRTLVSYNAAIQAHSMHGHGGDALRLFDEMPTRIEPDGVTYLAVLCGCNHSGLVDDGLRVVRDIIQGSRLAVADIFNLVPIEINLVSHSVGGLKILHLAFTENDLENEIPNTVYTV >KN541417.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541417.1:10278:13291:1 gene:KN541417.1_FG002 transcript:KN541417.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHDAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQLSMLCSELTMMINNYCNWNTLKELTSMINNYCSQYTKRWVEPIRLTLNPVLDKVATQSFFIINACRYTPGVYPLPNEKDSANFYKIASKRLSEAGYNHYEISSYCKTGYECKHNVTYWQNRSFYAFGLGSASYINGIRFSRPRRMKEYAEWVQKLENGVWSHESGNSGIKDMAMDVVMLSLRTAWGLDVQSFSKTFGRSLTESLCNTFRPFVESGLVIAMDMERRALQPSEFELDLQHDGENGSRVAFIRLSDPDGFLLSNELISLAFGIISP >KN539462.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539462.1:80046:83665:1 gene:KN539462.1_FG001 transcript:KN539462.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGAVAALSYMACSDLRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >KN539462.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539462.1:25022:34233:-1 gene:KN539462.1_FG002 transcript:KN539462.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTQKIMSPAFFPAANAGGSDCGLRISVYQSNVSGADHLSVCLESKEPLVQATSGSSASALPSSAGGSGVPEGDRGCWCLFRVSILNQKPGGSHIHKDSYGRFGADNASLGWGDYIKMDDFLAADGGYLLDGAVVFSASVHVIKESNSFTRSLPMIAGMNGAGSGRAGARKSDGHFGKFVWRIENFTRLKELLKKRKITGLCIKSRKFQVGNRDCRLIVYPRGQSQPPCNLSVFLEVTDPRNSSEWSCFVSHRLSVINQKLEERTIVKESQNRYSKSAKDWGWREFVTLTVLFDQDAGFLVQDTVVFAAEVLILKETATIQELSDEDSEACSSGSGCQIDSLPKRPSFTWKVENFLSFKDIMETRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQPSGFDPDKNFWVHYKMAIINQKNSAKTVCKESSICTKTWNNSVLQFMKVSDLLDTDAGFLVRDTVVFVCEIIDCCPWFDFSDLEVLASDDDQDDLSTDPDDFIESEDSEDMSGDEEDMFRNLLSRAGFSLTYGENYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSTRAGGKKDVSKCDSSSTSLISLLMGVSVLKQAIIDLLLDIMVECCQPSEERPAYVSSSASSKTSPDSNGASSPPELNVEGELTECACRNKYERLKPGNDDIHHRLSVQNTDKCTNDIPARVLEQSCSPPEVSGADLLEDEGSDQACGTKWPEQSEELLGLIVSSLRALDSAVPHGCPEPRRRPQSVQKIALVLEKAPKKLQPDLVALVPKLVDSSEHSLAACALLDHLLKPDTEPSLRLPVFNALSELEFDCDIWKRASFHALELLADSNDEPLVEAITYVLKAASQCQHIAQAARAVRWRLKDLGTEVPLCVLDFLSKTVHSWSDVADALLKDIDSDCEPDSSCLPMSCSTSSTDEFSAEGMHSLQGQAVHGKDHLSDVFILIEMLSIPRLFVEVSQVLQRALLQGAFGLQLVAMVLERRHSHRLSLKSGTGTMVNDSQNKQVLLDGQFEHLPVQEDDFTSVLALGEVLSLSTETRVQDFVRMLYAIIFKIYTEDHYRYRILKGLVERATNTSDSCRAVDIDMDVLVFLVKEEFGIARPVLNMLREVAEVAQADRANLWHQICATEDENMRLREDMDMEQTKFTKEKDVLMQQLTESEAANAHLRSELKAEKDRFVREKKELSEQMLEMENQLEWVRSEKDEQIVKLTADKKNLHDRLHEAETQLSQFKAWKREELKKITKEKNALAERLKGVEASRKRVDDEFKRFVAEAQTREEIRKSLEGEVRRLTQTVGQTEEEKKEKVDQITRCEAYIDGMESKLQVCQQYIHTLETSIQEEMARHAPVYGVGVEALSLDELETLTNIHERGLRQIHAIRQRKGSSHRLSAPSLPHVPGLYSSPPSMAVGLPSSLIPTSSVAPNGAGIHGNGHMNGSMGSWFNPT >KN539462.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539462.1:84429:86169:-1 gene:KN539462.1_FG003 transcript:KN539462.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWCLSASASASAAAVPVVAAAAAAPGAIGASVASVSLARAAVPSRRRRRWEALVEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEPQPQEEPQEDDPDKEEPEEDDPDKPTE >AMDW01040589.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040589.1:538:1671:-1 gene:AMDW01040589.1_FG001 transcript:AMDW01040589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKWADYFKAHDILYVFWSAKAATATLEGKMLSGYSEQDSASLDLDTKIYGRDELLKKLQTEAEFIVAQRRASAIKEDSRATSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGMVDTENEHEETSRLEGPTTSAYNESGGSDSDERDDTVDPAQPDMRHVLSDLESFDLATEGSKPAGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTAVVRVYQKPAVNLSAVSASDKT >KN539462.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539462.1:97344:101654:1 gene:KN539462.1_FG004 transcript:KN539462.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENPQPHQDSSPPAAIDISGAGDFYGLPTSQPTAADAHLGVAGHHHNASYGIMEAFNRGAQEAQGIDGQGDMRLISGIIAVEEKGRVARVGKFILVCMQTPSVFFSLYLTILVTAQSLYLYHDYSGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPGHSSTATNQGSTCSYGNEEQGKLIGYDAMAMASGAAGGGYQLSQGSASTVLLDWV >KN539462.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539462.1:7726:13744:-1 gene:KN539462.1_FG005 transcript:KN539462.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVHEDDSFQTSSRFSKTSSLKWLLLTLPRSNSDVSRKGQGKGPGRCPSLMELCVAKACEDINKYSSFSVLPRDLSQQIFNELVASNRLTETLLETFRDCALQDIDLGEYPGVNDAWMEVVASQRHSLLSVDISCSEITDSGLYLLRDCPNMQSLACNYCDMISEHGLGILSGLSNLTSLSFKRSDGITAEAMEAFANLVNLVNLDLERCLKIHGGLVHLKGLRNLESLNMRYCNNIADSDIKYLSGLSKLTQLNLEGCPVTAACLEAISGLASLVVLNLSRCGIYGEGCENFQGLKKLKVLNLGFNNITDDCLAHLKELINLESLNLDSCKVGDEGLLHLKGLMLLKSLELSDTEVGSSGLQHLSGLRNLESINLSFTLVTDTGMKKISALNSLKSVNLDNRQITDVGLAELTSLTGLTHLDLFGARITDYGTSCFRFFKNLESLEVCGGLITDAGVKNIKDLKALKQLNLSQNVNLTDKTLELISGLTALVSLNVSNTRVSNAGLRHLKDLQNLRSLSLDSCRVTTSEVKKLQATVLPNLISVRPE >KN539462.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539462.1:90934:91317:-1 gene:KN539462.1_FG006 transcript:KN539462.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCNGPSATSSVPATASRFCTYAHRRGRRGGGAAYASAASGSPSPSGSSATASEAKVGIVVREGEGGEAVVATVNQLAATALIVGLHDKSFLYRYL >KN539462.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539462.1:155:4027:1 gene:KN539462.1_FG007 transcript:KN539462.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding SYRRRVFFNYEKRIRTRSPPEKIFEYFASIRNPEGEVYMLPADLMRAVVPVFPPSESKIVREGSLRGERNPGELHCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMALMRSYHRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNEQLRYDKFSNFLKQLHDEIVRLEFSHYDVKSSKTISVKDFALSMVASADMNHINKLLDRVDDFDDYPDLKDLRITFEEFKAFADLRRKLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCEVDLTDKVVDVIFLVFDANRDGSLSADEFLRALQRRESDIRQPASSDCDLVLGSENDHPLEFCKHDFAVNSLAYQSCRNM >KN539462.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539462.1:41237:42935:1 gene:KN539462.1_FG008 transcript:KN539462.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKREDQAYQHQFILHAALDVVQDLAWATNTMFLKSVDRFDDLVVSVYVTAGHILLKLRYGRSESILGIEEYRNLRTWIMIILPALF >KN539462.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539462.1:69373:71594:1 gene:KN539462.1_FG009 transcript:KN539462.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFGWIQYYERDCDRHDRGLIKDLLALQGGAFNTVLTLRWSRPRSASARFATILPRKFAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLEVFSPFAHSQHAILLACNSEALDCAL >KN541104.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541104.1:17838:24448:1 gene:KN541104.1_FG001 transcript:KN541104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GIGANTEA [Source:Projected from Arabidopsis thaliana (AT1G22770) UniProtKB/Swiss-Prot;Acc:Q9SQI2] MDELTGGFNVVLITEFSQFLGQALELPSMSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLTYDRNGFPFMSFISLFSHTSEILPLLCISILYPTVLLIAYQTTLAHKEATFMQKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSDQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRTGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLHIDLYFHTCSFNCTKLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDSRLSNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSMLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >KN541104.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541104.1:29019:31298:1 gene:KN541104.1_FG002 transcript:KN541104.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLQQRCKPTDTGTAAKLGCSTMQSLKPGKYINKSSGNASTKYRRAGTSSPQLYTEKQIGPKDGSFMEPQFHDSKNVSARPPRHSGKIALQLFPIDEEFQKSLQQKNHNPYLELTVAPRKKISSVLQHLNTKWGNSQCARGELMLFPDGTRLDNINGSERWTRSDSCTAADVHVAVGSPSTFRLREQKQMATLSEFPSNFAAPSAEANTVKTMKQDNQSKETPLSWIDCISNISFGALLAEAAPSQDSKQLLPQNNSSLQQIPLTADSFDAAIASLIARQQASSQPKVSTPSLWDAEETCHAFPSQNRISRRTPGTTPSSCGASTLSVLGTILESGTDGEKQCSTEDRREEPNPQASLLANDDNNVKPDIPVSESTGEPRLGASCFQPESTGEPEVGASCSRLLSGTDSLSVSDLLANSLDAFQKFSVF >KN541104.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541104.1:25980:27558:-1 gene:KN541104.1_FG003 transcript:KN541104.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAKKRRPEEEEEAGAEEEMHLAFRGAANALSQVYGQAVAAQEKSFRAGERRAMESVYRWICSKHQEGLEVSVADLVAFLQRMALSTPYQMELELKATILPNIRISCTATRMNPPWMSHDAC >KN545101.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545101.1:1445:1603:-1 gene:KN545101.1_FG001 transcript:KN545101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPANAFFALATRNFGPPTITGFTALFRKSAAASTAYSKFALLHAQSDGTNIT >KN538997.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538997.1:74180:75079:1 gene:KN538997.1_FG001 transcript:KN538997.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEDEGCGGRRVGSMVRELPPAQLPVAQAGFAPAAAAAAAALPEQCSGGDGDMGRRVVGGGCTVGVGRRRLTKGGGPGAPSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDNAAIQLRGPSATTNFSASTNSAGAQDPVAVGYESGAESSPAVSSPTSVLRKVPSLCSLAEDKDDYEAGPCEPATAAGSNLTVLEEEELGEFVPFEDAPVYGGSSFWDFEPESGFLYAEPSSPETPWDAGATSSGEAQDYFQDLRDLFPLNPLPAIF >KN538997.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538997.1:126790:127517:1 gene:KN538997.1_FG002 transcript:KN538997.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MINICRKTLPKWSKQVKVRSVQDVGPLDDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCNASKQEQNAVSVAFTSMAVVNASTSSPFVSPATSDCQVSYELGGGSMAGVRNVPDVELASKTNSSMGDDNYKYSSYF >KN538997.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538997.1:32525:35759:1 gene:KN538997.1_FG003 transcript:KN538997.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYSEQRPGFEVTKDWNGADQVMIRSPRGASVLVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRLWAIDDEAPPLNHNDNNGKVSVDLLLKPSEDDLKCWPHCFEFRLRVSLSTDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPSVIAVLDHEKKRTFIVRKEGLPDVVLALLSRMDEKLLSVLVIINKQWGSNPLSWLSVVWNPWDKKSKTMADFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >KN538997.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538997.1:90197:91957:1 gene:KN538997.1_FG004 transcript:KN538997.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGGSNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYQPDGSTDSKPGALSRTANNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGVTLILMAACAIGSGLSFGSSRKAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSSIFLTYNKAPSYKGNHDLSRQMPAADYVWRIVLMIGAFPALATFYWRMKMPETARYTAIIDGNAKQAANDMQKVLSIEIEAEQEKLAKFNAANNYPLLSMEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAMGLISGAAEVNALTEMFQISKASFLVALLGTFPGYWVTVALIDKMGRYMIQLIGFFMMSMFMLAMGILYDYLKTHHFLFGLLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAAAGKAGAIVAAFGIQKLTYNSEVKNIKKALIILSITNMLGFFFTFLVPETMGRSLEEISGEDGNTGAGGGGAPAAANAGVSVTASDVSRDEKFPASSTEWQTSMHA >KN538997.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538997.1:95663:99542:-1 gene:KN538997.1_FG005 transcript:KN538997.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLAPAAREAELAHLPGPKLVDHLCTTHRRADYEAGAREVEGSSEEGEVRGVDFIDLSSDDDDEEEEKEVEAGRGAGSRVPIIKEAPDDAEGDEDDTVPLSQLWKRRRLGEPGAVKSEKGDGHNPVDSGGNDPPKCTSARTDAPEASTGEMVSRPGDSMVAAFVQGKGTVQPENVGGEMPRVMLHSPAQVIRSTLQKRKFGKKDGSSAIPGDTTSSQARSTSLAPKREGSAAVPGYTTSSQARNTGLSPKKCRDSTSPDVMCNALADSVPVGAGSTMPRGPGEQDKGIGAVQRATVLHGTPTKNSEKGNSAKGVSQPPKGNDQMNKMSMVESSSKCGYEKVGADVQKCSPLPRQSEEGSVARGVVSFEETGIATVHPLSIRNLSGPELRNLNKGGGELSKKLVVEGSPKYGEKNNDARSEKNSSPLGQSEDVKIIREGASNEEPRVGRLSPSILSNYSTGEQIHSVSKNAELCSPTAKKALFEPGSSCTPLKHTVCPPSSGKSISIQAKGEINLLPSAMSRHWETAAHMIASLRGNMELSMQALCALYRQRKLVIMSTEGQQTGTTGLTKIDAVRAIRLSEFLLDGKLKGPLKRTAKELLNHDATGPTFLEKVALSFSKELFDIYKNKKDPYFC >KN538997.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538997.1:24046:27213:1 gene:KN538997.1_FG006 transcript:KN538997.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALSPYLDWSNMQAYYGPGILPPTFFSPGIAAGHTPPPFIWGPQPLVPSAFGKPYAAIYPPGGAFSHPFMPLMVSPLSMEPAKSVNSKDNCSNKKMKEIDGAAVSTGSGNSEKTRGDCSLEGSSDGNNQKASGTPKKRSIDDRPKSGVETGGALTPNDRPSEQAALPNLCIPVTAIKPDVSTASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTETGPDEAQEVPPVKTKTQRARGVENFLSMIDKSGTPRSSGHMDHAIATPKLRQLLGSGLATDAVAAR >KN538997.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538997.1:135295:140973:-1 gene:KN538997.1_FG007 transcript:KN538997.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREVLAGDRKVGTVSRSARRRRLELRRLGRTASAVAEDDAAKRVRPASDSSSDSSESAKVAPEPTAEVARWPACVSHGAVSVIGRRREMEDAIFVAAPFLAAAKEAAVEGSGVTTEEESKEEDEGFFAVYDGHGGSSVAEACRERMHVVLAEEGVMKLRLVSTPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLKSRTTASKENAIACG >KN538997.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538997.1:92666:94746:-1 gene:KN538997.1_FG008 transcript:KN538997.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRASVLVMAIVAMLATLVNAQAPAPAPTSDGTSVDQGIAYVLMFVALALTYLIHPLDASSAYKLF >KN538997.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538997.1:37146:37507:-1 gene:KN538997.1_FG009 transcript:KN538997.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGEELAVTLAPVAVYWLYSGIYEALGSVRALDRYRLHSRRDEESKNMASKKEVVKGVLLQQAIQVAISLAVLKRA >KN538997.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538997.1:9680:13904:-1 gene:KN538997.1_FG010 transcript:KN538997.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHNHHEYKNLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYASKAEVHHPEILVDHDVYLPPSPSSHDSHERFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQVTA >KN538997.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538997.1:107037:113708:1 gene:KN538997.1_FG011 transcript:KN538997.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVPRVHLLVWNGGSTVWDSLGFSGISRNKISAQIQGAVVDREEEAFRLFLLSTSVYYWLGKGSFVIWGVLDASSGVDDNVENILRMIGEENESAETEPSDDSGNAFKKSKLSSLVKGFHEEYEYLHKHYQQLIGKLENVGHSSSDSDSSDSDDEGDSSDNNNLKTKVEDALSEENGWKQKLVEDREAKEQSLEAEIEKLKQNTEEQAKEISDLKHLLDKAIKDKEATRVELSSDVANLSSENENLKLLVETTEREAGESHKTITLMENEIRTLSVEKQVTEKERDDLKISIIDLENMNGDLSNQLQETNEKCTFLSSQLEKAQLAEKEVQTLLSEIEKMKNENLMLSRENDNLKACEQNLGTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVEIDGLIAEKEELMNSMNIERGAAAEEKEMLVSEHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQNEKNSVSSELHQLEASFKNLGNDLEQELERISIMQKNNEDLELVNSNLQNELATVQGQKNEAVASTLELGNKLEEKNQQISNLQEAVENLEAAKTNMYNEVTVHQEKCTFLSSQLEKAQLAEKEVQTLLSEIEKMKNENLMLSRENDNLKACEQNLGTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVEIDGLIAEKEELMNSMNIERGAAAEEKEMLVSEHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQKEGSSLASELQQLEASFKNLGNDLEQKFEQISVMQKNNEELEFANSNLQNELAMVQEQKNEAVASTVELGNKLEEQNQQISNLQEAVENLEAAKTDMYNELTVCQEEKNAALLQVQQLEANLKNLESELEQKQSEVSALEQANEELREKISSLERQLEEARSKLQDEIIKLQGEKERALDNLQQSNTSIKTFEEELEKQREHNSILQLANEDLHKSIANLEKELEDTKVSSHAEILALQEQKNKALSDLQQSEISIENFRMELEQGREKISILDLSNEEMKDNNYRLNQQLEEIRTSLHAEIAALHEEKDVAQLELQQSLASARNLETVLEKQTENLSTLQHANDDLKKNNCTLTEQFEVIKIELQEEVKMAHEEKDSTLTQLEKSEDSIKNLESELAQLKEELSVQMESNSSLNKQLEEAILKVSNLTEELETVQAETASKINDMETNTKDLVNTIDLLSSQKNKVEEHMKIITEACMEKMSFMKDFEDQVKQKITDREIAIACLQQSLRGIIGSCQRLQYAYGEVSTKASHLEVLRRNHLEQIDTLENKHTEIMEKHRHLGEENTSANKENRKLQNHVQELEAQLQLARQKLRVTEAESKSKEDSYVMAVETSHREIQYLEQKIQKYSGQINSLEETLVQIKGNAESGTSTLVDQLDKLESHFNKSFSHFSARSFACSEELKLLRNRLQHHLAEQKELVKENDVLGMRLREKENVLSEMVRSASEAKKKMAHLEKTIDEKEEEISARVQEKREAIKQLSSAIIYHKNNSDDLIRYIRNHNRPRLPFCL >KN538997.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538997.1:152008:172795:-1 gene:KN538997.1_FG012 transcript:KN538997.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLKIQPVQLGPVVASSSSPKFFCSIASWLLHLFDRLPHRPQHLGCKVYMNGQLIMQSYKKCYGDFAEEPPKKCTSYPFTSSVHTTFYSFYAGALYCTRRNNWEVEPEELEGTSVGPYKQPRPSTNCNILREEEKNRKLGARSKMQETNNWQDIEMPKDGTKLRIAQITVGINHTAFEGLIFGCPHLGRCGMQPVSIISLSWHWIVAIICIWQDTLYISMFHCRFMQMMLGCFFIEPYPLSRYDEVVDAAADPVIQRLIRMAVEKFPDNRMLGHREIVDGKAGAYVWKTYKEVFDLATKIGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCIPLYDTLGAGAVEFILCHAEVEIAFTEEKKIEQIFKTFPKTTEFLKTIVSFGKVTQEQKEEASKYGLEIYSWDEFLSLADQEFDLPVKAKTDICTIMYTSGTTGDPKGVLISNASIICLVAGVDRLLNCVNEQVRYASSPFIMHPYIITDQSLIALTMRESQLEQTDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKIASGGFIKSTLFNLAYKFKQFRMMKGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMCMIGTVGPPVPNIDVRLESVPEMNYDALATRPRGEICIRGETVFSGYYKREDLTKDVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDFEALCENPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGTIDNMYRSAK >KN538694.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538694.1:230232:231799:1 gene:KN538694.1_FG081 transcript:KN538694.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAAAVWGGLGQAATVAQLAGVDPLGLIAKVIKVARKARNNKEECEKLARRVNIVRDVLLDLQQHKALVTPAVLDELNHALLEAHHVIQSFEERCAIYQFVMAFRQEERLRGVQSKIHEALNLFPAVNTTLISRLLSTNNVFQLAQLREVADDQEVEIHGEGGEKFTAEELVAATNNYGFEIGTSNSGTVYKGTLADEREVAIKVVCDNYSMEYFEVEASILLWNKHKHIIRLFGTLTVMDKDTSSSSTDDEEGVVCRMQIFQYMNNGSLADHIHGDSLSPVTESWKMRIEILLGVARAVRYLHYRPTVIHRNISSSNILLDNDYSPRLSGFGLSLILDDDETERTDVDIHGTTLGYIDPEYENTGRVTPASDVYSFGIVTLEVLAGWSANLQQVQDEYGAVHDVQTRQLVDAVLDVRKLKKVLDSRPATTGTQRRKALRFVAETAASCLQLEVADRPTMSEVVDSLKEALKLLCEDE >KN538997.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538997.1:39395:46730:1 gene:KN538997.1_FG013 transcript:KN538997.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPYFTFVSVEPFSCFHLLAFYDIHNHNLLDYSTEKPLTSVKTFFNNSPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVNEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETRGTDRKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQSDFE >KN538694.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538694.1:264932:266508:1 gene:KN538694.1_FG083 transcript:KN538694.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSVSTIVRIAQEIAGAVSTVSRNRSRCRKLTNRVQCIGDLLRELESTVGSPPSAADDDEATRRLLDGLEDTLHRALELVTSCQDSGCPRSLIAGGRMAGQFDEMDGEIDRCLLDLGVANRIQIARLERLLHQNAFHYGDPPAVSTDTVTLRIGMPCDDSDEHIIHTVQGVTDVAAAAACGNGKDQRRALKEKLNHNVELVKRPVPASNGGGVRNSGGKENYSKANNKGACEARYSSGDEIRKEKAAAMANMGVTNQQALALVPIGDVAARMYHPASPYSFLPYPQGPPGNAQPYRHRVPIPAKKYGGGGDTMVDAVKDADGDMGAGKKVRFTADSGGGDKKSAPIGGGEWKASAKKAASSNVMAIGVPVHELVPPPSYGSEILNCGFTGCVQFYMQNF >KN543706.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543706.1:662:2654:1 gene:KN543706.1_FG001 transcript:KN543706.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPMPLFHGSDEFLCDVGDAKVIVGRPLFFMQITQLKCGGFVLGTYHCHCIADGSGIFQLLKAIFDIARGEAKPTVLPVWDRELFVATSFSPHIIEEHQKLFDELESATCDDIMVTMPTENMVSEYFTISEKQMSCLWRYVPLNLTKTITSFELLTAVLWRCRTVALGYKPWQNVLLKIIINARGRWRKLPLGYYGNGLMYHIVETTVKELCTNPLEHTIELVRKAKHKIRTEENMKLMVDVMPLWYEKPYIKVQRIFESCDIKWIGQDTLDIGWAKRIGGGIPTVNLPDTTSYQFRFQNEKNEKSIVISMLLPRPAMDIFKEEMAAWLNEYSIRPKM >KN538694.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538694.1:208684:210273:1 gene:KN538694.1_FG090 transcript:KN538694.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWEGLRQAATVAQLSRVDPFGLIAMIMQAAMKAHSNKEECEQLAYRVSIIGGLLLELQQHKAQVTPSVLDELNQVLLEAHHFIQSFRERSALYQFVMAWKQEERLRGMKSKIDSALSLLPFANSINISCLDPNHSVLLPNVDMLAQPCPTASSPPTYQELEVADEEVVEIHGEGGEKFTVQELAAATNNWHPDREIGRSNSGTVYKGRLADGRKVAIKIMSDSGNHSMELFHAEASILICLEHKHIIRLYGTLTVLEKDSSGNDEDQVVCRMQVLEYMKNGSLADHIHGRRLSPSSPVRESWEKRIKILLGVSRGIKYLHNCAAVIHGDINSSNILLGSSWKPRLSGFEFSLAWECDDETETECYPDPEYEYEGTWSVTPASDVYSFGIVMLEVLTGKRAISYPEGGEDAYGAEPVIVPTKLIDVALPLIAAGELGKVLDQTPAAEPTRKQRKALRMVARTAARCLQFEKDRPDISDIVDNLKQALELVRDNE >KN539027.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539027.1:99489:100112:-1 gene:KN539027.1_FG001 transcript:KN539027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVPEHRRQLVAAVGLILAVAAAVAPPGGARRKPVRLRVYMHDIVGGAGQTSVVVVKGPGPANPSMSPGNNFGDTVIIDDVVTEGPSLASREVGRAQGTYMLGSMARPVFIVDITVVLTDGPYNGSTIVVAGRDDTSEEVRELAVVGGSGMLRRASGHVLWRTAKVESKLHAVLELDVHASVPAAAVAPSASGSHGHPLLVTSA >KN538779.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538779.1:2521:5105:-1 gene:KN538779.1_FG018 transcript:KN538779.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEDRFNGLMIFLLELFKRVNLLVGATSVPPTIGSSKRIVRRAISLLQPTTLPALPTTTPTRATAEATHRVEEASQSALDSSAGHQGPKPQVGYMIRWEPEGHLPYENRQNPPDDGDDNDDKDDEEQEDDMNLDEEFKNQENERGRQARGDVRNPGSRFGASSAPPTYKGKTQVHQSGCVKKIASKKTYLLKDEEVSGPCTTLTVWNEVAELTRERFMIIDENLIDEELEKCQIPTDFDIERLREEEDKEDEESFQEVSHKKKGNTPSEIENNIDSLKAKELVQAKLAELKWKKEIEKKNSKECETDDLVDESQEVGKEVTPNEVRVNNEEISGDICPELIKRGGGRKQKKK >KN539027.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539027.1:62499:64580:-1 gene:KN539027.1_FG002 transcript:KN539027.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVSIDLATNHLNGSLPLSLADCGDLKSLSIAKNSLTGQLPEEYGRLGSLSVLSLSNNTMHNISGALTVLRACKNLTTLILTKNFVGEDLPDDGIAGFDNLEVLALGDCALRGRVPEWLHQCKKLEVLDLSWNQLVGTIPEWIGQLDNLTYLDLSNNSLVGEIPKSLTQLKSLVTARRSPGMAFTNMPLYVKHNKSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNAISGSIPDALSRMENLEVLDLSSNNLSGSIPSSLTDLTFLSKFSVAHNQLVGPIPNGGQFFTFSNSSFEGNPGLCRSSSCDQNQPGETPTDNDIQRSGRNRKNKILGVAICIGLVLVVLLAVILVNISKREVSIIDGEEANGSCHDSYDYWKPVLFFQDSAKELTVSDLIKSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVSLRGYCRYGNDRLLIYSYMENNSLDYWLHERSDGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPKGDVYSFGVVLLELLTGRRPMDVSKAKGSRDLVSYVLQMKSEKKEEQIFDTLIWSKTHEKQLFSVLEAACRCISTDPRQRPSIEQVVAWLDSV >KN539027.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539027.1:27991:28812:-1 gene:KN539027.1_FG003 transcript:KN539027.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSGTRTTRALDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVAVVGTFLSKAADYLVEKQEALLFRALHAHKKHQGGDETRMMVRAMEMNKVRYKLYTAGLLLVAVVASGTVVVRKVEGMRAVDAFYCVCATVTTLGYGDRSFSSEGGRAFAVAWITVSTVVVALFFLYAAELYTERRQRELARWVLRRRTTNMDLEAADLDGGGGVGAADFVLYKLKELGKISQEDISEFLDEFDNLDADHSGTLSPADLAAAQPTPDPPPSLR >KN538779.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538779.1:114558:131372:-1 gene:KN538779.1_FG019 transcript:KN538779.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEVKDRAIVMLTSHRKKITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKFIMQYLTYVGGRAAIDERTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPGSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDSTSNFHLQMAAKLFMCDPDLLVSTLCTRAINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGILDIYGFESFKNNSFEQFCINFANEKLQQHFNEKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFVISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGSLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNQPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKMYMVKREMAAAIIVQKYVRRWRLHRTYQQSHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVAIQCAWRQKVARRELRRLKMAANEADALHEAKNKLEKKLDDLTLRLTLERRLRAAGEEAKSVEILKRDKLIESLSAECAAAKSAAQSEHDKNLLLQRQLDDSLREITMLRSSKIMTAEAERENSNLKNLVESLSKNNSSLEYELTSARKGSDATMKKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPPAKYLASLPQSLTRSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKGEEADGRLPYWLSNTSALLCLLQKNLRSNGLFATPSRRSGGTLGIGDKIVQTLRSPSKLMGRIDTLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSWSVIAGITDATDEFAGTSWHELNYIRQAVGFLVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >KN539027.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539027.1:142574:144082:1 gene:KN539027.1_FG004 transcript:KN539027.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQGQGEQTSSMAGAGAGEVEEGGGGGGGGMEQKLEKLMSAFHDHQEGNKAVRHEKELEELFSFLREHEAAFSQLPGDKKDDLDALLRNIEGVLELCKKPSPPDHKDADADADADADAEPTSSVVAPLLKQARDILGDSSSSAPAGAGAGPNKKEVLYEWTTSYVDEERLYGWDDEAKEVADALAGPEEDDKEKLFRAAGIFGIHGSGKTALAQKVFVHDRIKDTFPLRLWVCVGPTPPDDDKQQQYEVKFSLLYRMLDNLGLDTYKVEDVVNASEAVKKHGGDGDSDAAKESKIGVLLFILHVALAKTSYLIVLDDIRAYDPWYTNLALPPPPHGEWSDRLAYGLPKLKKSAVLVTCRKEEHARAMVRTGRVFHPPLLAVADAWKLFEREYLEAKKKQVGYNVKDDMLYNDLKVVQEEMVGKCLGLPVAILEAAKGFAQYCTYVDDDDAKTTQPTTAKGADAGDPAHAAA >KN539027.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539027.1:18653:24533:1 gene:KN539027.1_FG005 transcript:KN539027.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAAIVFSPKGKLYEYATDSSLTILLIIKESVNTYTYGYRIMGRMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMLESISELQKKERSLQEENKALQKELVERQKNVRGQQQVGQWDQTQVQAQAQAQAQAQTSSSSSSMLRDQQALLPPQNICSYPPVMMGERNDAAAAAVAAQGQVQLRIGGLPPWMLSHLNA >KN539027.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539027.1:147209:149163:-1 gene:KN539027.1_FG006 transcript:KN539027.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVPATALIFLVGIIKYGERTYSLYSGSVSGFRNKILGEPNPGPNYAKLMTEFDSKKNAGLLVEITIADGEASKAKEALEEGEEVRLVKESNKSLEAMAYDFFTMFRLLFVNLILSYKERRISQAYFLDRHDMTAGKAFEVVEVELNFIYDMVYTKAPVSHRRSGCVLRCVGTACLVIAILLFALLDKTAIHPVDRGITYALLLGGLALDVAAILMLLCSNRMIVFLEARPKHMAAVRLQPRRWSERTSQLNFICYCLGKPKEQEGRRRQCCKRKTIPPSVMRFLIRVADKVSVRETLDDFFFIQRKPVSCSHIDNNNKMNHLCCWHKEEKPAQPHVDVLTYVFDRLKKEAQKFKGSTDYDLMKKLCGYRGEGTLLDDEDLVQNINMELTNEARREAGLDKEEEMDESKYLVEKMVKEKLDGILRNSIEREFDESLLLWHIATDLCCHREREGPRMHDTNGLMSISETLSEYMLYLLVRQPEMLSATAGIGLLRYRDTCAEARRFFKSAEAWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLRQLNDDTMWRVVAGVWREMLTYAAGKCHGSTHVRQLSRGGELITLVWFLMAHMGMGDMYRINEGDAKAKLIVHDQ >KN539027.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539027.1:132808:141942:1 gene:KN539027.1_FG007 transcript:KN539027.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLWVHGPLPSLTTRDKQSGSPLLGTLKMKSVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSINFCKCITKLLGEVILSLVLHNCRNKTWNLDHIPELYYCSLEDYFCILFQLVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTVLEEEKLKRQMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKRTTDETQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYEMRFFSPYEYALQPPPWYRAEHIALDKPEVPLGISKMKDYDGPQCFIIPGNHDWFDGLHTFMRYVCHKSWLGGWFLPQKKSYFALRLPQGWWVFGLDLALHGDIDVYQFKFFAELCRNKILLIHYISEVLQIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSANQIDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGATYECKAAYPSFDDSSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGSFSNTIWSALLYIFEHSYVSSVGSLTLLLASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGNLEIFTLAVDKVPKDWKLDPKWETEERRPHQLSHHRKHPSKWRSSSSPDPVTSIQLT >KN538779.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538779.1:101352:109943:1 gene:KN538779.1_FG020 transcript:KN538779.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDRRRQNISPFWYLYAVFLHVCIQRLAASSNDTLWTGGPGNYTNPKAPAVLSKVRDLVNRGQYAKATAVAYGLSGDQTQVYQPLGDIDLAFDEHVEDTNYKRNLDLRTATVNVSYTIGEVVHSREHFSSNPHQVIVTKISADKPGNVSFTVSLTTPLNHQIRVTNANEIIMEGYCPGERPTEYGNASDHPVGIKFSAILYLQMSGSNGTVEILNDKMLKLVGADSAVLLLAAATSFEGPFVNPSESKLDPTASALTTLTVARNMSYSQLKAYHVDDYQNLFQRVSLQLSRDSNDALGGNGLVNLPENSLQETSVSDYAVQMVECSRFQGFNNSGKPTVDRILSFRDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWNDETSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKVNYEASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGPWLATHLWEHYSYTMDKQFLEKTAYPLLEGSASFLLDWLIEGNGDYLETNPSTSPEHYFIAPDDFRKVRLRHGSENQKGNTKAPTNKSCKRWHRIFRILKFTTDMYLTCLVFILDILCHLRKHLTFAKPLQIVSIKEFCASELEKISNEVIHLQSDADHPDQPGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVEKEGGLYCNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPQGCVKGLKARGGVTINIRWEEGILHEALLWSSSSQNSRIKLHYGDQVGTISVSPCQVYRFSKDLKCLKTWAL >KN538779.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538779.1:13902:18869:1 gene:KN538779.1_FG021 transcript:KN538779.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMCSLGACLAVMLVVLAAAMWPDLIKKAKEGGLNAIETYVFWNGHEPRRREYNFEGNYDVVRFFKEIQNTGMYAILRIGPYICGEWNYGGLPAWLRDIPGIKFRLHNKPFENEMEAFTTLIVKKMKDANMFAGQGGPIILAQIENEYGYTMLQPENIQSAHEYIHWCADMANKQNVGVPWIMCQQDNDVPPNVVNTCNGFYCHEWFSNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQMRGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKILLHGDYIDTNYGDNVTVTKYTLKATSACFINNRFDDRDVNVTLDGTTHFLPAWSVSILPDCKIVAFNSAKIKTQTTVMVNKTSMVEQQTEHFKWSWMPENLRPFMTDEKGNFRKNELLEQIVTTTDQSDYLWYRTSLKHKGDGSYVLYVNTTGHELYAFVNGKLVGQQYSPNGNFTFQLKSPNYGGSFELLPAGIVGGPVKLIDSSGSAIDLSNNSWSYKAGLAGEYRQIYLDKPGYKWRSHNSTIPINRPFTWYKTTFQAPAGEDSVVVDLHGLNKGVAWVNGNNLGRYWPSYVAADMPGCHHCDYRGVFKAEVEAQKCLTGCGEPSQQLYHVPRSFLNKGREPNTLILFEEAGGDPSEVAVRTVAEGSVCASAEVGDTVTLSCGARGRTMSSVDVASFGVARGRCGSYDGGCESKVAYDAFAAACVGKESCTVQVTDAFANAGCVSGVLTVQATCGSKSLK >KN539027.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539027.1:114272:114763:1 gene:KN539027.1_FG008 transcript:KN539027.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGSLLGRPPLTTHFRRLLHDAPADQKLANKMKKKLQDEQQQDWDAIVRMIVRSKLQTYNVVPDGEDPPWARRAFHVLVMIPTSFICGCNLGERIYHQLGLRTNRRP >KN539027.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539027.1:53434:61252:-1 gene:KN539027.1_FG009 transcript:KN539027.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSSRKAADEDEAKSKKLRSSRGKQRRRGRSSSTSSRSESPPRKRSKKLKVSDKKSTKNKGRRRHRSLSPSPSPSSSSSMSYSTRSSSGGGGASERSVSPPRRSRSRDVRKKKKEKERGRDSKRVRRSIRSTSYSTSGESNSSSRSRSRSKNSKSRNRKSGGNKDHASRDKIVQDYDNGHAHRTENVKSVEVADRDEKAMADTSKGSSIEISHSIIDHEKNECVEKMESPPTKDADETQDILPAGSGSPDAQDLELILRQKALENFRKFRGAAVMAGKPQTNGTGREVVTDSPKSSDTKIAKANSVDKSFQRQRSGLSVNCSVGSPTLEDFGNHTTPRKQESSAGKSVGVESPGTFEAGSTSGRTEQKGSSLEPTRSNSQKDVRSSSSIIHRLGSPPRSSASVIRRLGSSAGVNYVNGNPRVRSVVSIPTKEGLDSGTSITTPSACDNSPPVENISEVRHPPIETNKIEGTKGDERNSGEASAPNVSTLSTGEVKDQPGTEVKDGSQFEKKTFSRMHEGETVQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLVKQNAPVNSALASIWSSETAFSKFLSRSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASAGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTAFCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >KN539027.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539027.1:29872:31462:-1 gene:KN539027.1_FG010 transcript:KN539027.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSKLPLMAASLLLLVAGGLSTMAKVTMATRAGAGAATMEARHEKWMAEHGRTYKDAAEKARRFRVFKANVELIDRSNAAGDKRYRLATNRFTDLTDAEFAAMYTGYNPANTMYAAANATTRLSSEQPAEVDWRQQGAVTGVKNQRSCGCCWAFSTKLLSIVGLLAVQETSGHGPVA >KN539027.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539027.1:81603:87223:1 gene:KN539027.1_FG011 transcript:KN539027.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMKQVQSSGGRQLLSVVYLVAAVVIILPATSSRWRQWLWREDRGVAHLHFYMHDVLTGPDATAVDVVNGTGRAFDVAGGLRFGQVVVMDDVLTEGPSRSSPRVGRTQGFYVFSDMNVPALLFCMNVVLTAGPCRVCRPMAVAGVGVLGDGGDWRWWQSASRTMNRISGCGGTLLLWSQWVVEVAGLLPDLPRHGGGGGGGPNGGG >KN539027.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539027.1:105499:105957:-1 gene:KN539027.1_FG012 transcript:KN539027.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIAGVTAVQVVKGTGPLHPSMPPGNRHFGDTTVMDDLLTEGPSLESSPVGRAQGSYVLAGLVDPVVVVTATFKLTHGPYNGSTLVIAGRDEVLAEVRELAVVGGTGKLRRASGHVLWRTVEVLEAGAHYVLELDVYASLPATAASALATN >KN539027.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539027.1:124044:128145:1 gene:KN539027.1_FG013 transcript:KN539027.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVSTGAGKDYPGKLTLFVFFTCVVAATGGVTSMDPFLKKFFPEVYRKKQMADKNNQYCKYDNQLLQTFTSSLYLAALVSSFFAATVTRVLGRKWSMFAGGLTFLIGAALNGAAENVAMLIVGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTAKIKAGWGWRVSLALAAVPAAIITLGSLFLPDTPNSLIDRGHPEAAERMLRRIRGSDVDVSEEYADLVAASEESKLVQHPWRNILRRKYRAQLTMAICIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSIFTVDRLGRRKLFLQGGAQMVVCQVVVGTLIAVKFGTSGIGDIPKGYAAVVVLFICMYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKSHWFWRRFIGDQDVHVGANHVVSNNNKLQP >KN539027.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539027.1:128752:131402:-1 gene:KN539027.1_FG014 transcript:KN539027.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDQTVKSNTGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSKESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSNMKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYLCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVDYLNSVEPHHSKSNLDVHCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGMLSHEYWDNKYVSGHQSVDPVAPYYSVTGDGSHHSNNDGGINGALDQEREDNLWNRDSTPYHKSLVIDASDLKLSDSKDISEELKHNRTGINDNQYFDVDPDELSPRTFSIKRIEDWINQIDIDDGTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIVRITAGALPRGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYSSLEAVNLDGNPAQKNVGDEHLKKYLVGLLPNLGFYNKHPIRASGSKEVSDRHTRKISSSHRSDRSGRSDRKSSRLVASTSSYKAQSSRHARSGHASSSFLKNPRGRSMAVAASGPRLMEYGSAGDV >KN539027.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539027.1:69809:71211:1 gene:KN539027.1_FG015 transcript:KN539027.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAVSPGTAGSASPLPSAAAAAIGGGRGRRMEEYGSGGCSVKSKTWNERKLCTKRGTCNVPCRAEGFDNGSCYPNRPRPSFIGRFFHVCYCSMNYCKKTISSHHPNN >KN539027.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539027.1:33588:44151:1 gene:KN539027.1_FG016 transcript:KN539027.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPGFLSVRVLRGVNLVSRDAGGSDPYVVLHLDNQKLKTGVVRKTTNPVWNEELTLAVRNPETPIQLEVFDKDTFSKDDQMGDAEFDIEALMQIVRMDLQDIRSGTVVRTVRPGRQCCLADESHIVWENGQIVQDMLLKLRNVETGVVHLQLKWVNIPGTKQQLNPIMDGLVGLLKIRVVRGINLAYRDTRGSDPYVVLRLGKQKVKTSVKKKSVNPIWHEELTLSIMNPIAPIKLGVFDKDTFSRDDPMGDAEIDVEPFMEVLNMDPENIRNGSIIKTIRPSNQNCLADESHLCWRNGKFVQDIILRLRNVESGGGGGGKKCGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFSAESKSILESLRRRARLMTDGFNSCRNVVCNFTEAIDAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDTFMDQYDGYSRM >KN539027.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539027.1:88899:89588:1 gene:KN539027.1_FG017 transcript:KN539027.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRSPAALFLLLALACAAPPLLRAADTHLHFFMHDVVSGSSPTAVQVIKGPTSANGGVSTGFGDTSVVDDALTETSSATSPEVGRAQGFYMMSSLSTPTLMVCVNLYFTAGENNGSTIAVIGHDDTMATVRELSVVGGTGKFRMATGYVVWKTASMSASTGVFELDVYVTTPNATTIDASAPVSPLDGGGSSGSTSTAKSGAAGRQVGWVSACVVGLVVALVGRGW >KN539027.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539027.1:155832:164892:1 gene:KN539027.1_FG018 transcript:KN539027.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEDLQRQYASLEENLKRVEAEKLDALRSYGDEKEARIAVEASRNEHLEDLRRIKLEEKRLNDQSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKKLHNTILVISLKLFFVFCVYSVVAETLTLQELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAICDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLTIIDVLSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGAIGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQDDLGVGIGGADLGVVLGAAGLLEALEAVEEAGGEVEQRGALVVGGGGDELVEVEVHEGGLEAELSEEHDSDGSHLFVSDCAN >KN539027.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539027.1:46053:50588:1 gene:KN539027.1_FG019 transcript:KN539027.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDERAVAAPLLQQQQDGGGGDGERRRRRRWWGWWDGEEAAGQLAFAAPMVATSMAYYAIPLVSVMYAGRLGELELAGATLGNSWGTVTGIALMTGLSGSLETLCGQGYGAKMYHMMGVYLQASIITSAFFSVLVSLLWFYSEPVLIFLRQDPEVARTATLFLRYSIPAQFAYGFIQCTLRFLQTQSVVTPLVVFALLPLVLHVGITHAFVHYLGFGYAGAGMSTSVSLWLSFLMLAAYVCLSERFKHTWEGFSTEAFRHVLPGLKLAIPSAVMVCFEYWAFEVLVLLAGLMPNSHMSTSIIAMCENTEAISYMITYGFAAAISTRVSNELGAGNVAKAKKALAVTLVLSLLLGVAFLLLLGLGHDLWAGLFSKSDAVISEFASMTPLLIGSVVLDSTQGVLSGVSRGCGWQHLAAWTNLVAFYIVGLPLSILFGFKLGLQTKDQMGKIRADNERQRRRFCLLRLERSELTSLAAAYASLVESNIEVKSFRAY >KN541840.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541840.1:22179:22475:-1 gene:KN541840.1_FG001 transcript:KN541840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQKYIEFGEKYCGFPRYEDWKREMIVSAIRRRDDDDMETFRDRVDDDSDHVRLCLKSWHCSSPARRQASLAVSAADGHPPPPRLAQTAKAIAADAL >KN541840.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541840.1:86:1160:1 gene:KN541840.1_FG002 transcript:KN541840.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALEELTSGRASIGIRRMGELDPKAFANACTQKLPKKQFDSALLYSKWEAEISDSSWHPFRVININGKNKEILCEDDGKLRELKEEHGEEVYRLVATALREMEEYNPSERCPVPELWNYKEKRKATLEEAIQFVVKQWRTHKRKR >KN541840.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541840.1:14900:15145:1 gene:KN541840.1_FG003 transcript:KN541840.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEEMFVRNGVATLEEVEEDDIVTGAGTVTVDEGGGGYEAFEGNSQAFDDVEEGGYVEDDWYDEDEEELLDYDTSGGFY >KN541840.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541840.1:9074:9702:1 gene:KN541840.1_FG004 transcript:KN541840.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHRMLPLLVVAVALLPAAAVATNYTVGDEKGWNPDVDYTSWVKKHRPFYKGDWLLFEYQNGRSDVVQVDEVGYDNCDKANAISSYSKGHSYAFQLKEAKDYYFICSYGYCYNGMKLAVTAKKGSASSSSGSGDSSSSSSSSKSFTASSKSKSSAAASSLAKSPYAAFLAIAVLFLRML >KN541840.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541840.1:2835:4712:-1 gene:KN541840.1_FG005 transcript:KN541840.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDDTLTNQIKNGFGEEGKDMILTVMSAMGEEQICAVKEIGAKN >KN541840.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541840.1:21193:21414:1 gene:KN541840.1_FG006 transcript:KN541840.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSMQRMAEEHLGWDGVTTKPRRVGTSRWDARRLSMEQVQYACVDAYLSFRLAVHAVAAPEPDATSTSSSE >AMDW01024494.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024494.1:3:329:1 gene:AMDW01024494.1_FG001 transcript:AMDW01024494.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVTFFTTPANAAFVRDGLSTCGGTGEDDDDDLAVVELAFPAADAAPGGAESAEGLTFMASFVAFADSTSLLRPRFEASVAAMDPPASFVVADAFLHWTNDSAAVLGVPK >AMDW01037281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037281.1:360:623:-1 gene:AMDW01037281.1_FG001 transcript:AMDW01037281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TQTAIDIFAKHAYDNMNRFTTQQRDAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >AMDW01033404.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033404.1:183:365:-1 gene:AMDW01033404.1_FG001 transcript:AMDW01033404.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILKAMGFSKTSSAALKILINIGYFPVHVNLDLYRYDVRIRYTEEVLSAAEELLVDCLDSDK >AMDW01024541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024541.1:143:316:1 gene:AMDW01024541.1_FG001 transcript:AMDW01024541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREI >KN538777.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538777.1:59999:64575:1 gene:KN538777.1_FG001 transcript:KN538777.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MRGGTVQINWHEQQPVLTLDFHPVSRRLATGGSDHDIKIWVIASDDSDKKLPTATYHSSLSSHSSAVNVLRFSPSGENLASGADGGGIIIWKLHSTDDSEAWKVQKTLLFHHKDVLDLQWSQDGAFLVSASVDNSCIVWDAIKGSVQQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCRIYANKPQGKSKNTDRMNFVCQHTLVKAEHQNHDESKPPVRAHLFHDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEVINTAYVMSRRDLSRPAIQLPGASKAIVAVRFCPVLFKLRGSQSDCFFKLPYRVIFAVATLNSLYVYDTESVAPILIHAGLHYAAITDIAWSSDAKYLAVSSRDCFCTIIEFENEELGLPYNLSGTKELAEGNTNCENMKPLKVDSMEIDAGSSKAKIKASSAAVEVTPSPPVLAQNNILMTKDVAEGNATSENDRPSAVDNMEVDVGESKAKMEVTPVAVQVTAPPVSTKNSASSKPTKKRIIPIAIN >KN538777.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538777.1:149295:153818:-1 gene:KN538777.1_FG002 transcript:KN538777.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDRHSRSTCECDWKQSAQHPVWLKEFQAFEDKASALSFDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQTNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYNVRKHGESMRYACKHLKETSGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLPELFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARSLDSLVTAAERIYDEAQQAQPGVIKQE >KN538777.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538777.1:33793:36189:-1 gene:KN538777.1_FG003 transcript:KN538777.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLSAPDGAKVSRFNLMKLQGRQEAAAGTESHHAHHAFDELLHRPTTSSIVDLNRALSDAARHSPAVAISLFRRMVMVARPKVPPNLITYSVVIDCCSRVGHLDLAFAALGRVIRSGWTAEAITFSPLLKALCDKKRTSEAMDIALRRMPVLGCTPNVFSYTILLKGLCDENRSQQALHLLHTMMVADDTRGGGCPPDVVSYNTVINGLLREGRQLDTAYHLFDQMLDQGLSPDVVTYNSIISALSKARAMDKAAVVLARMVKNGAMPNRIMHNSLLHGYCSSGKPNDAIGVFKRMCRDGVEPDVFTYNTLMGYLCKNGRSMEARKIFDSMVKRGHKPNSATYGTLLHGYATEGSLVEMHHLLDMMVRNGIQPDHYIFNILIGTYTKHGKVDDAMLLFSKMRRQGLNPDTVTYGIVMDALCMVGKVDDAMAQFGRLISEGLTPDAVVFRTLIHGLCARDKWDKAEELAVEMIGRGICPNNIFFNTLLNHLCKEGMVARAKNIFDLMVRVDVQRDVITYNTLIDGYCLHGKVDEAAKLLEGLVLDGVKPDEVTYNTMINGYCKNGRIEDAFSLFRQMASKGVNPGIVTYSTILHGLFQARRTAAAKELYLWMIKSGIKFDIGTYNIILLGLCQNNCTDDALRIFQNLYLIDFHLENRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYLLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRLLNALVGKLLQKGEVRKAGVSLSKIDENNFSLEASTAESLVLLVSSGKYDQHINAIPEKYRPVVKTRAV >KN538777.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538777.1:9078:10080:-1 gene:KN538777.1_FG004 transcript:KN538777.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGMVGIYREAASILRAHRPLFARIAAAFVLPLSLLFLLHIAISHALFSHIDSDDSALDSAAPGTPAQRRLLHRLADDWLALLLFKAAYLLALLLFSLLSTAAAVFSVASVYSAKHDALSFPRVLSVVPRVWRRLAATFLAAFLLLFAYHLLFVAVFVALLVAADSGSGLAALLAFLLALAYIAGLVYLSVVWHLASVVSVLEDYKGFEAMRKSKALIQGKLWTASAIFFVLNVVFITVVYLVCKSYHHESIDKSNLSDHLEVYLGEYVPLKASDVQMEQFNL >KN538777.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538777.1:121705:124135:1 gene:KN538777.1_FG005 transcript:KN538777.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSASRRAGAAALPILRRIGGALRRPFSSEPDPASSPGYHVAGGPSFMRGAVFWEPGRPLTLEDFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVEKIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLKNTISRKCKFEEANSAYEDLDRGKIVGRAVVEIMS >KN538777.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538777.1:156586:159298:1 gene:KN538777.1_FG006 transcript:KN538777.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHGALALTTSFLLAAVAVFLPGHAAARQFSTVAISNSADATVVCALVRPKDVPDDVPGAKLRCTSMPTGESMALGRNTTCILDGDDMVRCWGVEVPEEYRRASFVSIEADGDTVCGVLTTNFSVVCWGNERFHGRHLIFNDTMPGACATVGNCSSSCGFVPGSAALCGNGGGEGGEQLVVCQPCKLPLNASRLVVGNGTSKNAAAPTDSGKNRKAVKVAVTAAGVGVAALVVAGLVLYLAVESF >KN538777.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538777.1:40:3556:1 gene:KN538777.1_FG007 transcript:KN538777.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLAKLATLMGDEYKKLKGVRKEIVFLNSELSTMNALLEELADRDELDPLNTDWRNHIREMVYDIEDCIDDFMHRAVSDHKAAKPSFLHKTAHRLSTLRARHQIANQIQEIKARVLEANKRRIRYMPNDNCVPTSVLSIDPRLSALYTETSSLVGIDDPKANLIEWLMGNEQERNVVSVVGLGGLGKTTLVKEVYRDIGGKFDCKAFVSVSQRPDMTALLISIISQIERQKSSHSCSMKDLIDSLRESLQHKRYFIVIDDLWDPSTWEVFSCAFPQNNQRSRVVVTTRNKTVAVACCCHGKYIFNMKPLNLQDSRRLFLNRIFGSEDDCPSQFEEISYEIQKRCGGLPLAILTVASHLATNRTMLKEEWEYIRNSLGSQFATNPTLEGMRKILNLSYKHLPHHLRACLLYLGMYPEDYIFTKTDLVRQWVAEGFVSNLQGLSAMDVGESFFNELINRSMLQPEGTEYNGKVVSCRVHDMMLNLILTRCREDNFVCVAYDSHATTGLDKCHKVRRLALNFCGAKDGKIPRSIGSLTQVRSLVVFRKTAYIPPLSEFRFLRVLVLEFLVDGTSFEKGQEWSQMTAAKVDLTGISQLFQLRYLRIGSRMQHLQSTYYIKMPSQIRGLQHLETMIISWGSVDRIPSNIFHLPCLSCLILPSYTRLPDGIGNAKSLRTLKYFDILNNSMENTEGLGELTNLTTLGLSCSTFWEEHEETAAAPALKKLLPLLQSSIQKLGNLKYIHLNHCGWHGVSDDIVNSLSPNLNLEVLNVSSCTLSRIPPWLADLHRLRFLSIHLDGLCEDDLRLLGELPSLVNLELMIDGDSKIVIAQDTGFPVLMYLSLYFGIGSSYLNFEAGSMPGLCYLDLEYNVRKRDHVTPDGIEHLSKLQQISLCLSLWTVKDEVSKRAAIVSNFRNIARLHPNHPTFVIRDVFGKAMDENRR >KN538777.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538777.1:124943:127735:-1 gene:KN538777.1_FG008 transcript:KN538777.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAATATLSPPVLSAERPTARGGLFFRPSPATSRSLRLQSARRCGISPATRKPRSLPRAAKVVVAVKADPLKVMIAGAPASGKGTQCELIKSKYGLVHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKERLLQPDAQEKGWLLDGYPRSYSQAMALETLNIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNVESLLSIYEDVIVEVKGDALVDDVFAEIDKQLTSSLDKKTEMVASA >KN538777.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538777.1:100131:101933:-1 gene:KN538777.1_FG009 transcript:KN538777.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRREEMMEKGKSELRIAMEELSLPCPGDDEDEQQQRQKRSSTMDLLCVSKHIIHVLDEIGPTLLVLRQDIQQNVQRLQDVLARDPSKYSSLTAIVTEEVEEGTSKKANSCTRAILWLASAVLRILPIRSINFSKHLLEGLLNTCDQSSLREIVEKAYITTLKPWHGWISSAAYRVAQKLIPEKEIFIALLMGNCQEFEVFAKDVKVLLSIVQPLIEEANAVLVKHNLDKLKST >KN538777.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538777.1:114105:120784:1 gene:KN538777.1_FG010 transcript:KN538777.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYPQQEVKNLLQRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDVAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKKRSTQLSRTTTASCGSKKANISSSQPVVLAMHSAPYKCYESSNLMVLLHGPTVGAWDGSIDIRASSGDLSRLSSNADSLGPTASQDIRKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNVSKIHPDSSIASALMDLYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTSSTGNSAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGDLNWLSSADTCEEDSFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >KN538777.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538777.1:173209:180842:-1 gene:KN538777.1_FG011 transcript:KN538777.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIGPGSTSSRRRGDLPDSVTGAKAVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPIALDLTGSGIDNADTNSIATLADYSKPLIDYLNKLPENEKVILVGHSCGGASVSYALEQCPKKISKAIFLTATMVKDGQRPFDVFSEELASADVFLQESQLLIYGNGKDKPPTGLMFDKQQIKGLYFNTSPSKDTVLAAVSMRPIPLAPIMEKLSLTPENYGTVPRYFIQTLDDRMLSPDVQEKLVRKIIKEKNDDPGISEYLDMEKELQEVITLTEELLATANQSGNTQNDVGLSPPNYSSGLQSEALDDPSQSHEKFAVGTKVQAVWSEDGEWYNATIEELTENGYYVSFEGWGNKEEVDPANVRSLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKLRIDPNDPEDVKATKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTEFQRREKHLHLKDGSSDALDEE >KN538777.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538777.1:31227:33364:1 gene:KN538777.1_FG012 transcript:KN538777.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELPRGLPFAVDTWTPASAVKRHRFLTHAHRDHLAGITATNVAAVYASRLTILIARHIFPQVGEVTFFIHKWIIISNYHSNSKLDRADAFVEVELGAPVLVPDPDGDFTVTAFDANHCPGAVMFLFEGASFGRVLHTGDCRLTSDLPLLRLLADYVFLDCTFAACSLHFPSKDDSIRQVINCIWKHPNAPVVYLVSDMLGQEEILMEVSKVFGSKIYVDRDKNSECYHTLSLVAPEILTDDSSSRFQVIGFPRLSERATEMLALARAKHQPEPLIIRASSQWYAYYEPPEGSTKRKPALTEPMRDEFGVWHVCFSMHSSREELEQALRFIQPKWVISTTPPCMAIELSYVKKHCFASRLRNDDPLWKLLRLSDGNSAVSGPPLAVPTVEMIKKCEEEEVTCSVKDSFSSDGIRMRDQEPTLEDFEINVEPPVTLFGIARFGLTEEPELWKDEHESVEIDELKVQVENSATECEQWKDGISDLGSEVIDSVEIVPKEQDSAIESEQLKDCEPKDGTKAIDVTEVEVNEHTSRTESVLWKMDRSDECVTTKRGEFEAEEQKFTGRYQFWKICKPKEMEGAKLTVQGKNTVEALDQVLAIDRLAYLHDNNQEVTKEGIAPSETDQENSEVPDKGSSDSSNAIGSSKGLNANLRKLYRSMNVPVPRPLPSLVEIMAASKRPRVSPAVQL >KN538777.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538777.1:18119:20215:1 gene:KN538777.1_FG013 transcript:KN538777.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKNNTVKSENWSVGDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLDDVVITGNNGTIDGQGRIWWDLWWNRTLNHTRGHLIELVDSTNIMISNITLRNSPFWTVHPVYCRNVVIRNLTVLAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIIIQRVSGTTPTCSGVGFGSEMSGGISNVIVRDLHVWNSAQAVRIKTDVGRGGYITNITIENIRMERVKVPIRFSRGADDHSDDKYDRSALPKISDVRIRDVVGVDLQRAPMLEAVHGAVYEGICFRNVSLTVIKRQDRWHCESVYGEAHDVLPAPCEEFRRNGSSSWCGHS >KN538777.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538777.1:45021:49434:-1 gene:KN538777.1_FG014 transcript:KN538777.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGSALGRGGASSSKRTKQGGRGGAKRTKQQGGRQRATEPGDAHQAFDRLLKRGDRASIFDLNRALSDVARASPAVAISLFNRMPRAGATSAAPNIATYGIVIGCCRRLGRLDLAFATVGRVITTGLRMSPILFSPLLKGLCDRRRTSDAMDIVLRRMPELGCKPDLFSYTILLKGLCDDNTSQQALDLLHIMADRKGRCPPDVVAYTTVINGLIREGQLDKAYSLFDAMLDRGPSPDVVTYSSIISALSKTQAMDKATQVFTRMVKNGVMPDCIMYTSLVHGYCSSGKPKEAIGIFKKMCRHGVEPDVVTYTALMDYLCKNGKSTEARKIFDSLVKRGHKPDSTTYGTLLHGYATEGALVEMHDLLDLMMKKGMQLGHHIFNMIMGAYAKHNKVDEALLLFSNMRQQGLNPDIVNYGTVLDILCTAGRVDDALSQFNSLKSEGLAPNIVVFTTLIHGLCTCDKWDKVEELAFEMIDRGICLDTIFFNAIMGNLCKKGRVIEAKNLFDLMVRIGIEPNSNTYNTLIDGYCLDGKMDEAMKLLGVMVFNEVKPSEVTYNTIINGYSQNGRIEDGLTLLREMDGKGMNPGIVTYEMLLQGLFQAGRTVAAKELYLRMIKWDTIENRNDDAEDLFAALLTNGLVTNVVTYWLMIENVVKQGLLEELDDLFLHMEKSGCTKLLHKGQFDCHAMHCICSSKLADDSEVMAVAFLSPYSFRLETEYDAKLNMIQLLLTRYMYPDCGFTSKKNINILRWKCFWLDLMAKLNPQQPPTQQCRPGHRVMSHHQDSRMREVSDLLSKFIPIVTIYLTNYFQQVLIQTVEMVCRNSLSAVNCFGITEL >KN538777.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538777.1:65063:66067:-1 gene:KN538777.1_FG015 transcript:KN538777.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPGSPGFGFPFPFYPPNPNPYAPLNPNAPKPPKKRRETERKAEVHNLSGHVHVHKATESGPGGAKATVLSIDEDLKFQEIAGESSSTAGAGSHHTPWSWHRRQQEGKAENKAELINVTEHIHVDEKIVSGPQGQKIEILSEDEDIRFEEAGRKEKGDERSKTRITKT >KN538777.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538777.1:108605:112237:1 gene:KN538777.1_FG016 transcript:KN538777.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKVFLLLDATQTSLGGAKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQAPVPVPEPTIEPNNNSLSRRLDEGQKEVHVQPVSESSIIRKASSVMEVLKDVLDSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQAIELNEELHKVLVRHDALLSVQPTTTVASTLKEEEEEDAESLYRRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSNTDKKLGALNIRSPDPEARPDVLIPPPPAKHAERERFFREKSMDANLLGHLRGLSLHSRDGSSSCSGSTDYGD >KN538777.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538777.1:141167:145806:1 gene:KN538777.1_FG017 transcript:KN538777.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKSKASTTADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNVPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVVAEKAIVSPDGQKDAQAAELSGSDKDKVARKVASIKIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALELRSSQELEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTAVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEVAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >KN538777.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538777.1:104297:104644:-1 gene:KN538777.1_FG018 transcript:KN538777.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLAGTLTDFSMRSVVGMMGSIRATKLRICDSGHGGYLVRDLLHRGATMTALAYSDNVWRWMRMQMAPPMYSLNMKHGSPHVLLLTEWNKASRLTTMESRLRMNAWRLWEPWRR >KN538777.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538777.1:38095:39129:1 gene:KN538777.1_FG019 transcript:KN538777.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMLLRAFRLVASSRCATAAASGGAADGLRGTRPPSPESQWLGLGRRPLSHRATTTTSRRAKFVVEEEDIASKEALWSLYERWCKAFGEERDHDEMLRRFDHFRKFVLDVHSANKKSIREGWSGRYEVNIFADGKIRELQGYCSVDDLYELPYAKGAVVYARARPFLLAQREETDDDKFKERN >KN538777.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538777.1:20883:26138:-1 gene:KN538777.1_FG020 transcript:KN538777.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRTPSVAIPVDSDASPRKNMPETVTSPLVNGEKSIFRDQVRGYSALGSPLRREVGNRHNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGRFFYDKGKDVKILLQLTRREIDNTFVTMGPWGCFSSFSSKSFNFVLPFLYVLVNCVGLRAYQFLGPVRRKMPKLRMC >KN538742.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538742.1:51066:52571:1 gene:KN538742.1_FG039 transcript:KN538742.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANNTTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEGSTVDEQLEDIHDNNSSSQQPPAPPDMNNQRSDFQPMTAMMSMSKSCSLTDLLNNLDCAALSQFLLDGSSDAIAELPAPPSPLIYPNQTLNYNINNNMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSSSDFVHVAKKPQLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNMLSHPFPLNQQLLLNNHLQMQ >KN538777.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538777.1:54361:54573:-1 gene:KN538777.1_FG021 transcript:KN538777.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQDYDLATVHVSAGAEYPQVCKALFRRQRPGAYPAELAAREEALNKLCSVALDIIALLTSSPSPSNNS >KN538777.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538777.1:133284:137499:1 gene:KN538777.1_FG022 transcript:KN538777.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLFVLSHLEQWGFFAEGPNGHLELLYQGLMWAEKAKLMVKWAMMRNVLSSVSPQALRQYLMVGKRHRTKKAEQGSMLTFRKRLKWTEVSTGAPIDTTFPTKTQGQTKPHRKPLANDKTLPSTCPLERQDDCKFQEGDVNSLELRLGISSDNGQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKVKLCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >KN538777.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538777.1:27542:30251:1 gene:KN538777.1_FG023 transcript:KN538777.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRTRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLLKAFSTDDHTAICLEILDKGELQVSGKEREAQLSSQFHEIATIVMDKTINPETRRPYTITMIERLMHDVHFAVDPNLTSKEQVHISACLHIQIRHSINLLSCGLVLIGFAKDTNVMNLSFVYQLQQFCSTFFLQLINLAVTALKVIKKLTEHFPIKRAPLRVRFTAPKSKFASLTEKLEEWNANVISKDESGSQPSVVCEIEPSILRSCEERLKDVQGRVEVLSVSAHAEGGSSVEQYENTEESQSVPAVETDPVARIGEAMQKQSISSEPENPVQGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNDLPPLSQEECLVEMELADSKRDLKDYDF >KN538742.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538742.1:143633:147182:-1 gene:KN538742.1_FG040 transcript:KN538742.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRGRGRRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWNSTAECFDALKKRGYRIATTYLGNDSKFQIVHCEISMDLCLGKGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRILLKEKYTQPRYSFKEICFGNWSFWLWHIIFDRAAGTIFLMFAHGNLSCCPPDYFFLTKAFYGEEQGIRLLDHQGASR >KN538742.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538742.1:20586:21035:1 gene:KN538742.1_FG041 transcript:KN538742.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSVAVLEVGNAFEDPPISFFPNQPIEEGESSVKHLLPLFAMKLVFMQPPGNHTGDIWCLWLKAEAESQLRPNLFGERAIDKQEVPGFWPRRTKRAGRPPAFVQVVGGQAAALSRQVHEHFTARRDLSPQTNSTHAAFVFPMKNME >KN538742.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538742.1:4487:4928:-1 gene:KN538742.1_FG043 transcript:KN538742.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELDGESTCEKKRLIPSFEWGTVSATKKMKTEDLEEILLQAVDSIKNSVVTIRQRQDVIRAQFEAKGYVHVLAEADDDSDTDQEMHPV >KN538742.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538742.1:111087:118518:1 gene:KN538742.1_FG044 transcript:KN538742.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVIVKNKWGQMSSSSNGNGHYPVNGAKVLHKRENNQEKMLLDKNAAFRACQKDRHYIEKLETELRNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPERVRVIDDELMRSDSQCWLLMEEVRCQEEKLKKAALQIEKLENVNLDSQCEIESLKLDLTTLEQRLFDADSFGQHVSADKAIADNKLREYELQLQEAQKTIDHLLLENKELKRLFPGGAATALTSDEQVDKIIEKIDGQDYERGGAILENMAKRSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQELEAQVSKEQRKLSGALRKLQESHELAQKQSMEIKKLKDSLGRFNSALNHGTVCRSCSCGFCAMLLELSNCSIEGPVDVRPVDVRSSNEKPQNQALLEWRPDEDADAAAGPMLVFSRTCGLALSIERWAAKPGNRLRHVELERIVKELRKRRRHRQALEVSEWMNAKGHVKFLPKDHAVHLDLIGEIHGSSAAETYFNNLPDKDKTEKPYGALLNCYTRELLVEKSLAHFQKMKELGFVFSTLPYNNIMGLYTNLGQHEKVPSVIAEMKSNGIVPDNFSYRICINSYGTRADFFGMENTLEEMECEPKIVVDWNTYAVVASNYIKGNIREKAFSALKKAEAKINIKDSDSYNHLISLYGHLGDKSEVNRLWALQMSNCNRHINKDYSTMLAVLVKLNEIEEAEVLLKEWESSGNAFDFQVPNVLLTGYRQKDLLDKAEALLDDFLKKGKMPPSTSWAIVAAGYAEKGDAAKAYELTKNALCVYVPNTGWIPRPGMIEMILKYLEDEGDVKEVEIFVDLLKAAVPMNSDMTDALSRARMREEKKVKDAM >KN538742.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538742.1:151352:151525:1 gene:KN538742.1_FG045 transcript:KN538742.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRTVSSLAEVNGDLQEMASMQSHQVQFRLHEQTSLKENKNEDNDPTRKTWIQIG >KN538742.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538742.1:1094:1318:1 gene:KN538742.1_FG046 transcript:KN538742.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTSAGTAAARAVQRRLGGGDGGKEWWWWWPFIVKPSDTCFTTFQSSEQWQVVVVVGAGTTVSTAAAAAGWR >KN538742.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538742.1:119331:122010:1 gene:KN538742.1_FG047 transcript:KN538742.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPLLAAGALLVLFSGLHPAASAAAAAGEAATVDLNNTILNNAVKAFNSLRIRLGGSLQDQVTYKVGSNYGDCRSFQRDDGGLFGFTDGCLEMNRWDELNVFFQRTNTTVTFGLNALRGRRKAGGGKDTLYSGDWDARNALDLMRYTAGKGYRVESWELGNELSGSGVAARVAAAQYGRDVAVLRKAVERVYASGAGAGEVPKVLAPGGFYDGAWFSEMLRVSGRGAVDGVTHHIYNLGSGKDRDLARKMQDPGYLDQVEKTFRDMAATVRGAGPWSSPWVGESGGAYNSGGKGGSFQQVFSNLNLGSGKNDDTSEIGMPCFEKVY >KN538742.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538742.1:67363:82325:1 gene:KN538742.1_FG048 transcript:KN538742.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKEGNVQKKETLSSDCLFPELMMKEDKRKCFQILKDRELAIQLQATEADEEEQRKSHTHDQIMSGSLKRKTDSQMQTQDDERLDGDDSGLKSSIGAQMQKHSEQKKASARFPLSWRPVRRLLDHLTAAHGFAHSPATAARFLDVLAKSRNVDLLHSTLLSFPPALRSLAALRAAIRGLAPAREVGKVSSLLALFPDADRPRTLTFITYVVCSVCKLPVVAEKVIKQAEHRYGVSRTGRCCELLVVGYCRAGMFSDACRVWNGMERRGLDPGAAAYEEIVVTLFKNNRVADAMKRGVEVDGEVMGDLVYGLLVRRRVREGYRAFHGVKEKDIALYHGLMKGLIRIKRAREATEVFREMVARGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMSGGVDVPRFDYNKFLYYFSNEEGVSMFEEVGRRLKDVGHVDLGDVFLTYGERMATRDRRRRAMNGLLTEELGLFFTTRKLETVSIFYSSDVGYSFIDFGLWKGFKSAAEGAIHTLLGKLGTIVLQEAQLLGGIRGELQHLKDELESMTAFLQDLSGRDECGKQVKIWKKHVREIAYDIEDCIDEFKHQLGDSSSAGGSGPVAFFRKATHILQTTRVRHQIAKQIQELKRRTMNISARNSRYSANHLISGTAGNSMAAYDSQANLLNVDTRITALFPERRQLVGIEPRQGNLVHWLLEAHVQQLRVVSIFGFGGLGKTTLAMTTYQSLSGRNGPFQCQAFVTVSQSFDVKVLMRDILLQITQPVNQPSSPSTGAGKGPMEGLLKGMEAWNVVQLASILRQQLENKRYLIVLDDIWSMTAWEGIRFSLPDSNNGSRIVVTTRIRAVAHTCCFHEYDRAYEIKPLTDCESRDLFFKRIFGSSICPEHLEDISAKILGKCGGTPLSIVSIAGLLASKPLHSKDLWEKIYSSLGSEIETNPSLDRLKKILELSYNDLPYHLKTCFLYLSIYPEDHNISRKTILRRWIAERFVTGKRGLSVFEVAESYFDEFINRSIIQPVTTSFTGKVKTFRVHDVMLEIIVSKSIEDNFITLVGEQNTLFPQEKIRRLTVHSRGVKYIATREILCHVRSLSIFADGETLQFGWMKLMRILDLEGYEFLRNRDIKDLCRLFQLEYLNLRRTHITELPTQIGNLKKLDTLDIRDTAIKHLPPGITNLPHLANLLGGRRSYNHTGRWPISEFWGLHIPNELRKMDSLTTLAQVEITASTSRYISELSKLSLLRKLGVLMFVDDDNTWASLISALEKLSGSLRSLLLWRPDGAMNFDIVNSLSSPPIFMKSMNLRGQLTQLPWWFPLLSNITELTLRATELSAEEDLKVLGSLPSLLYLRLHHNAYIGTEFSASAGEFPSLRLLVIHLDMSEDWEARFEEGALPKLARLELSLFEEASIQEITGIEFLPSLKEVSIRACHSNIVNAEEIAASLMADAEKNINKPIVTFEEKQWVPMRSRTDPPLDHMGNLLSSSFDED >KN538742.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538742.1:96397:99323:-1 gene:KN538742.1_FG049 transcript:KN538742.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVELSGVMHRCRVCGKGFSCGRSLGGHMRSHISFGEAAAELSSNGGVVGYGLRENPKKTRRLSEFDGDGDGEEVEVEEGGDGGELRACRECGKLFSSRRSLFGHMRRHASGGGGRDHDDDDGDDDVDVEDEFGGAEEEEEVVAPAPAPVVHMCYGVGYHVALVEN >KN538742.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538742.1:158285:160198:-1 gene:KN538742.1_FG050 transcript:KN538742.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRVCVTGASGYIATCLVKKLLERGCVVHGTLRNLGDEKKAAPLRELPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPFQHEPSSKYKNTAEAAVDAMRIILKQCERSKTVKRVIHTASVTAASPLREDGDGGYKDFINESCWTPLGQSHPYSSDMSAINQVYASSKTQSEKALLRYNESESRAFDVVTLACALVGGDADTTQLYHLLSVPAIVAPLIGQESYHGGLKYLQALLGSVPLAHIDDVCDAHVFCMEQPSIAGRFLCAAGYPNMKDFVDHFAAKYPEITIKLGEVVGEGVRVGADTNKLTDLGFRYKYGVEETLEGSVECAKRMGLL >KN538742.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538742.1:12935:15638:-1 gene:KN538742.1_FG053 transcript:KN538742.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYEATKVVFARLQALEPNLAPNIIGMLLTKDNNEMDMIRLACGPDNLLQSIIAKVHTDLTNKPSPPMASWGFPSDIGEEASFSIDKVGCDGGEEFSSKEYDWKLPIGGNHHRSFLSSTVDTPGWKPCLYSQSMYGMVQDVRIPYQEKRMFGFVTFAYQKTVKLILAKGNPHYICDARVLVKAYKEKDKVPNKFRHVNHCLIPLCIFAHILPFECYIALGPRILYRDMASHEASFRMKQDEQQHATELQRRCLMRLPLLNLQDWGHHLSSPMGSHVLLGQVDNKYNINENDNPIHLEDVTFRDNKLKNEFAMREIASTAISTAAKPTVISTEEGKREYGPKAATPNDACGFLESGMEYNLPHSPFSSPTEASNVAATAHTSNISSSSSPHKVASSLFPPTCTLELPPTTHASFKRQGKALTDHI >KN538742.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538742.1:7413:7805:-1 gene:KN538742.1_FG054 transcript:KN538742.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEEVPAAKKMKMTTEVEEEMLLAYRAREKEDGKKVVRRLGREEVERLLSLKLAVPTLSEEVVKPMPDDDEDDVWQKGVLLRANRLLRESAIRMRKNQELIRSLFEAKGYVDVEDEVSDDDMEMQPV >KN538742.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538742.1:28811:35147:1 gene:KN538742.1_FG055 transcript:KN538742.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHRVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDKKMDLLKIGDDIEVFAKVDPGLSSYSGRPREAANSIQPLLDKADHAVPTWLMKKTPLELGATAGLRLIGDEKANQILQAVRDVVYTKSKFQYNPNWINVLAGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEVPDGQDPYITKEYLKGRDYSIYVHSYLHYGAQASRVEILKRKNGPFSNCILRGFKGKFTYNGEQYEATAAPQGADYHKCRGEVVKALNLDSPCETKNCSFKSVWNGGGGVGQDELYVTSSFYYIASGIGFIDSEAPSAKSTPAAYKVAAEKFCRLSVEEVKATYPRARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVDLGLSSYAGRPQAVANSILHLLDKANIIVPIRLMNKTPLKLGATVGLGLIGDEKVNQILEAVRDVVHTKSKYQCNPNWINVLEGSLEGSYMWVALNYLLDKLGGDYSKTVGVVDVGGGSVQMAYAISSSTIANAPKVPDGNDPYVVKEYLKGKDYNIYAQVN >KN538742.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538742.1:62793:63990:1 gene:KN538742.1_FG056 transcript:KN538742.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVKSELGGKLLPPGFRFHPTDAEVILNYLLEKFINPSFTCLPIREVDLNKCEPWDLPTTRMGKNEWYFSRKDMKYPTGMRTNRATKEGYWKATGKDREIFKQPTSYGGSSNNKNNKQLVGMKKTLVFYIGRAPKGTKTNWVMHEFRLHANLHSHHPNLRRNPKDEWVVCKVFHKKQGDEAINNQQQQPAVDQYDSAGTPNSSSSVVQDVFFELDDIIDPSIYDFSNSSANILSAPPNNNAAVYSSVSASTTTSFQQQPTCYSAPLQQHVSSWNNTPGIGSSYNLQQQQAAMVKDLENVIAVPDYGTVLPSSNKGSSIRSAMAGVWQQNPPGVPQYKIENYGDHYISRE >KN538742.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538742.1:87540:91977:-1 gene:KN538742.1_FG057 transcript:KN538742.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDECPPLAVELSPEKPYSPPPTGPSAASPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERMDHILLETTGLADPAPLVSILWLDDQLESSIKLDSIITVIDAKNFRLQIDEHKKSSSFPEAFHQIAFADVVILNKIDLVEDNLEDLERQIHEVNALVTVVQSVRCQVDLNKIFDQQAYGAKNSSQLQELLEYSKSVPPNLRHDNSISTLCICEQDPISLSKVESWLEDLLWERKSDMDIYRCKGILHVHNSDQVHTLQVATWISISFKIHLVVASTDIEGFVHPVPCDSADSLLAMKFCTTDAIIRRAMFNFGRGRCIQLQDDELLVEDFS >KN538844.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538844.1:81300:88105:-1 gene:KN538844.1_FG017 transcript:KN538844.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQGGAWRGRFQTRPPGFRGEGRRPPAPFHQGRQDPEEGGHKKQDGEGSKGGQSSTMAARGVTQQYRPVQKSPDPVIPKQMEQAEHGFAEQLALGKSRSVVSVTPELMQKEEQMKQLQILKDREMAIQLQVEEDNSSGMKRKLIMDVEGSDKDAGQLGEGVEDKLLKLQEQLLMRVLDVVVLVRYTQ >KN538742.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538742.1:83349:86448:-1 gene:KN538742.1_FG058 transcript:KN538742.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGQLQRAFGTLREFENTYGNFEDIDLELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYGLLVDTHLVNRDAKAALAVIAEMVDAGFTPSKETLKKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLFNIEYSAEFASTPSPRYWANLF >KN538742.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538742.1:139559:140305:-1 gene:KN538742.1_FG059 transcript:KN538742.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMLPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEE >KN538742.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538742.1:21679:24300:1 gene:KN538742.1_FG060 transcript:KN538742.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDDIELFAKVDPGLSSYAGRPQDAANSILPLLDKANTVVPARLMNKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKYQYNPNWINVLEGSQEGSYMWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAQILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYECSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVSPKKRLREIYK >KN538742.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538742.1:8632:10917:-1 gene:KN538742.1_FG061 transcript:KN538742.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSSQRLPVANLAVYSIQSSHIEDDVAIIKRIQNSTKELKQGPVGKNLSSAEKRKFLVNTLLDLEDSKEGVYSTLDAWVAFEQEFPVASLKQALVALEKEEQWHRIVQDNRADEACRIWEFKIAHDLQSVPWRFCRLMLGIYYRNNRLDTLVKLFKNLEACGRKPPSKDIVRKVEDAYEMLGLVEEKKELLEKYKELFDKPSSNDRKKGRQFKKAEKNTKTG >KN538844.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538844.1:48753:49211:1 gene:KN538844.1_FG019 transcript:KN538844.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLKSNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFKDFLLPTVVGCLPMILQNVSIVSLAGAAVASTTGSEKSRIYSYLFPVLGIMSSILISWRIKQYSSALVIPEELKKSSTNGKANVDDKALSENTNSGETRKRR >KN538742.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538742.1:38956:43144:1 gene:KN538742.1_FG063 transcript:KN538742.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAHRVFMGIAVAMLLLMMASPPALADTAVLGRKGGTIAMTDDAMGGQTAAGPGKYAVILDAGSTGTRVHVFRFNKKMDLLNIGNDIELFAKVDPGLSSYAGRPQEAANSILPLLDIANHAVPTWLMKKTPLELGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNANWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAVSSCIAGNAPKVPHGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGPFSKCMLRGFKGKFIYNGEQYEATATPQGADYHNCREQVVKALNLDAPCETKNCSFNGVWNGGGGAGQDDLYVASSFYYIASGIGFIDSEAPSAKSTPAAYKVAAEKVCRLSVEEAKATYPRARDHAYLCMDLIYQYTLLVDGFGLKATKEITLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQEPGRSS >KN538844.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538844.1:102219:104906:1 gene:KN538844.1_FG020 transcript:KN538844.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MWITMGGSSNGIVIPNRAAIRALVFSAVRRKLALRCCHALRAVTVDASSEAPRLQAFEYRGSVPDDTFLTIHGGAALTTVAYCKIDICGEEVTSSPKLGKLSAFLHLFAGAKHLHLESARLGSGLDDAAAFATLPTFSALSHLELRGYLPDDDDAAIYAALTRILERAPNLETLSLVFHPEPLDGGDDAMLHITYYKEEELYDKHLLSYNRHSVLAAPTSGGGATAPACLRRRVREINLVHYQGGAAARTLAMYLLRSAAAIGELGCELAMGPLWIQDELARELEGWVINKAAIVNIG >KN538844.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538844.1:46483:46686:-1 gene:KN538844.1_FG022 transcript:KN538844.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISIEEITEMTAAIDAKEQVKQNKAYKCQMDHKVKKNKGKNFSQNKKGAIGIVREHILRIICNLL >KN538742.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538742.1:123055:134279:-1 gene:KN538742.1_FG064 transcript:KN538742.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHYDAAPFSTTQSQSGHLFSVFKLMDYEPVLKCLKKLPGVAVQDIPYKTRNVIKNLPKFFAESCASDKEVDGLLMKLPQHLRDALLPFQLEGVKFGLRWHGRCLIADEMGLGKTLQHFSLLSKILQCTNVEIISNYLFQLLQSPCVSILHLISLMIYLTVCFLLGDNRPFDIYHQINMLWPHLLGSNKFDFANKYCLLHTVQGCNGRTYQDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLNASDIKTAISCIKGVNTSDEIPTIASPDNSNDSEEVNMEEGFKTSKIGLSAPYKHCAHFLVFPFHLPTADGCKKSPRSLTTQEIGIAKIPGFSEWFLNHFIMKEPADNGSLDSQSNCQKTIIFAHHLKVLDGVQVFVTEQRIKYVRIDGSTFPRERKDAVDSFRLNPEVKVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQNTLDESHWLHLNQSLFRVSSLMNGKKDAIREIEVLPWSVTIDKIFLLSKVDQVYHLEESSKSEGKAQLGFLPLENHNADCGHGPGENVESDDMSIENFPGLNDMNFESDFFSIRTIPLHFEDDSLHTVMQNSPSPTAHEERAFDSSSISQASVICPSMSSAKSVKVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVESPLCSDNAMKTSNRLLKRDPAFCNTFKAFVKEWLALRPIEQKRLLGKPLQLPLSLELCYLKDTNNHSTKGLLKGGSKRRVTPWCDISNPLPENAEWRKVVLHNGTSREREYNQGWTVHDEPLCKLCQGPCNGRLAKSPEYFEDLFCGLPCFEVFRSRTSGRFARQALFEIEHGICSKCKLDCHELVKNIRPLCKKKREEYIRNVAPNIASRLKLLDKLVHEPNEGNAWHADHIVPVYKGGGECTLENLRTLCVACHSEVTCAQQKERKELTKKAKELLKNTVNNQQKDEVSSPALFQTFFENIFPLK >KN538844.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538844.1:153319:160372:1 gene:KN538844.1_FG024 transcript:KN538844.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDQDDGGKGAPASIEEQQYMDFPPSSLHSYVPEQPEISLDAESMKMLTSLPLHGEPLCTNERNDWLKVDEYLTKIVSENYLNSYSAISGVPMSEINQAHPELALLARRQHVYDLLVEGKYDEASDYYNANVAILEKCRSKRVRTASSDLKVLISNRTAAVNNDVDTGMAIKDYIYLYYPIFRPDIRKRNFGRKPHELWEFAWRKSTVSGFRCFACHKVFRHKKLTMIESHLKGDRTDDITACPAVTENVLSPSPASSSPWILEQQAGSPAFLVAVVATVDIFISI >KN538742.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538742.1:11907:12667:1 gene:KN538742.1_FG065 transcript:KN538742.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQELEEGAEKVKLLGIWSSPYVVKVMWALSIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDSGYRILPEDPYERAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLPPLDKLRPRYQAIREAFLSK >KN538844.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538844.1:108054:108899:1 gene:KN538844.1_FG025 transcript:KN538844.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAPRLRSFKYTGLPRRFSLVSPAAERADLHFLHDDGPHHYRDTTSVLRARFWRFLHNFRGVKSLKLKVTYLKEIAVAGNGNGILLPPLHGVERLDLAALHDPASETSTVAIANLLRCCPNLRDLVLRLSTFRNDERSWLGVALIKFFAENAICLEEMRIDGGNERMRDHINRRVERWIVESGMRCFRVLPLERR >KN538844.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538844.1:49835:50503:1 gene:KN538844.1_FG026 transcript:KN538844.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTVLCCYILLLGLFAPGIISDSPPLQDVCPMAPQGERKLFMNGFFCKSPSTIMASDFKTLLLNHAGDLDNMVRSSANIVTATEFPGLNTLGISMARTDIAASGAVLPHSHPRASEMMFVHSGNVVAGFFDTKGKLFQKTLAEGDVFIFPRGLVHFIINYGFGLATTFSVLNSQNPGVVGITHAMFAPDSEVAEGLMARMLSFRDMGMDDNSTIDSPWFY >KN538844.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538844.1:33840:37815:-1 gene:KN538844.1_FG027 transcript:KN538844.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTEAVSAKDVSRKEPISGVIALKASMKYFDADFFNDSKLREMEDGAKEFNVPAFRDNRKLVALENGGLHNPSALVFKSSWSDESKISENKSFQYPHTSSVHHPSKDEDIAFMSIIELGELIRTKQVTSRELTAVFLRRLKRYGPIIESVITITDDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPEYKTTWGSRTFENQILDVEASVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGSETAGSITYPAARCGVTALRPTFGTVARTGVMSISESLVFPCKSLTLSQSYPSQSFKQLVSWSKWQDKLGPFCRSAIDCAVVLDAIRGKDAGDPSSREVAIEDPFNVDIRQLTVGYLDSAEMEVVHVLSAKGVKLVPFKLNYTVQSVQSILNITMDVDMLAHFDNWQRERQDDNYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREVRESFTVDAFIGNVTDWELVCLGNLVGMPVAVVPTGLKSIENPPEGGTRRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHHKQRPPIDDLGPGDDIQRICLSCESFGESNRKLRKASHQMYTQLDSPARMGQKSPKFCWLVQPKTSGLVVVGQGPLGHIG >KN538844.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538844.1:53260:60515:-1 gene:KN538844.1_FG030 transcript:KN538844.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWWRRASYFLEDNWRRVWVLLLWLAICAGLFTYKFIQYRHRAVFHVIAVGITVGAGLHVISHLTCDFPRLLHATDAEYEPMKRFFGDTRPPNYWWFVKGTEGWTGLTWMYLAVPMFLYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFKYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGAMTNPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMRQLDGDLENGDGNDNSVSSSSAFKTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVVASGDLADGDKHDQSFEVECSIGSSDGLGAAVIKVEQEPAGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >KN542971.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542971.1:1880:10414:-1 gene:KN542971.1_FG001 transcript:KN542971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/Swiss-Prot;Acc:Q5XF07] MKSDWPAFCQFVSRVDPDVICVQEVRMPAAGSKGAPKNPGQLKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHEPDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEGVRMGILEQRVKMTSGIQLSQEKPLNTLRDQACSTSREEHTSASASKWGWSHFNQFNIGKGWEYANFSYKNIMHDCGQPGFTLSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFLVSEKLKDQIVSCDIHGRGIELEVRMEYNRYLNPMKISYMNPGFYGSDHCPVSLELSEEVEAPKPKSSN >AMDW01040357.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040357.1:16:1299:1 gene:AMDW01040357.1_FG001 transcript:AMDW01040357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKGLEDAVVKSASRKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTPSDVERALWSSAVASKSLKAPPGDDLENKSKTHGKRKR >KN541030.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541030.1:12524:15088:1 gene:KN541030.1_FG001 transcript:KN541030.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKTLEAGLRAAKRSHYISILDALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSAHFDSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLSDSALRDVKIPPGPRLLILDHIQRFGTVVQISETLS >KN541030.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541030.1:35238:36036:-1 gene:KN541030.1_FG002 transcript:KN541030.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >KN541030.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541030.1:31030:33804:1 gene:KN541030.1_FG003 transcript:KN541030.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMILVDDAGAFLPAINHSPWDGVTLADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGIDMEKIRLMGILQRIAIAYIVTALCEIWLKGDDDVDSGFDLLKRNRYQLFIGLIVMITYMGFLYGTYVPDWEYRISVPGSTEKSFFVKCSVRGDTGPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSINSPQNGPLRPDAPSWCQAPFDPEGLLRLQQYNISFANFAKFSLFFLDSRISSVMAIVTCLIGLQYGHVIVHFQKHKERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYALATAGAAGLLFAGIYALVDI >KN541030.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541030.1:15881:20563:-1 gene:KN541030.1_FG004 transcript:KN541030.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNFISPEHIALGLFNLNDPTTNNVLKSLGVDSSQLAKQALTRVQGELAKDGREPVGLSSFKVREKFTPGGGKSAIVKYSNKKKGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARVTSLIREVRKADPKLPFLVFNLQRAPKFHAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFDKDKALARRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKYTLESINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNQNDQEDAVDVEVVGEDKTSPASMLSTSTDKPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSVGHSLLESATVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGRRSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVVFRPLKKTQMLAILNIMLQEVKGRILALGIGLEVSDSMKDLISQHGYDKSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >KN546160.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546160.1:37:1045:-1 gene:KN546160.1_FG001 transcript:KN546160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYINTSHPNFVGGNKVVELAKQEILPPKAPTSVTIPKDGTAISSEIQLTSDRSQKSRAIFARDATRGATSDQGVQPDADT >KN541487.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541487.1:18437:21576:1 gene:KN541487.1_FG001 transcript:KN541487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLPVWISIALLIIAASTVASASSLDPSKSNGSDTDLAALLALKAHFSDPDNILAGNWTAGTPFCQWVGVSCSRHQQRVTALELPGIPLQGELGPRLGNISFLSVLNLTDTGLTGSVPDDIGRLHRLKLIDLGHNALSGGIPATIGNLMRLQLLHLPSNQLSGPIPIELQALRRLRSIDLIGNYLTGSIPDSLFNNTPLLAYLSIGNNSLSGPIPGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLGQLSELHLSMNQLTGPIPASIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTKLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFGELTSLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVARLGLPSCQTTSSKRNGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQMISSGMVDMISNRLLSYQELVRATDNFSYDNMLGAGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFYTECHVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVALHCDLKPSNMLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTRKRPTDAMFVGDLSIRQWVHWAFPIDLVHVVDGQLLQDTSCSTSSIDGFLKPVFELGLLCSADSPEQRMEMKDVVMMLKKIRKDYVKSTAKTGSNAQQ >KN539205.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539205.1:54084:55633:1 gene:KN539205.1_FG001 transcript:KN539205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLHDTIASLCKSILPFGGFRSDCRLTAYQAVARCHADALKWQQDSFHRILHLSALHREGIELLYAKCISAAEYNSTKSPLVQRLAAFGVVVDCPDADVGDGGAASAAAAAAASSSSVEEWSEIDLRDPPPAAAASDKPKHKAFVPPWKSRGKKELDASRPPLAPVDQNNSKNASSVLMAESSPSEAMPTAKTDKGKRRHLTAMFHNGGNGSENKEPPAASMEGTDQEKDASKSKKKSSWGECRLEASPAVAKDAKRAKKKLHTATGDDDSASDLANDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTRLPVDKSDLKTFFPKY >KN539205.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539205.1:34727:35797:1 gene:KN539205.1_FG002 transcript:KN539205.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSEAADRSLHQKAAAMVASSMETYRSKPMSFWLLLVLSAGAMLTAFPASSLLSRLYYNNGGQSKWILSWSAVAGWPLPALLLLPCYLAGKAAPTPLSPKLCAWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGCAIVKNRLRLSSLNAVVVITAGVVIIALDSGSDRYPGITGRQYALGLVWDVLGSALHGLIFALSELVFVRVLGRRSFHVVLEQQAMVSLCAFAFTTAGLAVSGGGFPAMRREAAAFRHGEASYAMVMVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPVTSIAAVIWFHDPMSGFKILSLLITVWGFGSYMVGHSSTKKASTN >KN539205.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539205.1:10802:11877:-1 gene:KN539205.1_FG003 transcript:KN539205.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCCTFLEILLAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAVYVLVALDSDQYQREYHTLA >KN539205.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539205.1:95247:97803:1 gene:KN539205.1_FG004 transcript:KN539205.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAASFSDHFNSPSPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >KN539205.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539205.1:2363:3001:-1 gene:KN539205.1_FG005 transcript:KN539205.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLKGPAGSGQPQAELSNSIDLRAPPPPPPAKVEVDVDADFVNQLADAGPGALSELVNAYANGSIQEKLDKVENSGQVEGRAAESDVNVSSPRIKEANEDAEEVDKVWDMSKKRKNTEFDIAKSIELLASSFLKIERARMDLYRETERMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKECSSKTGGSSSVTAEVDNHAKKGENG >KN539205.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539205.1:98978:99394:-1 gene:KN539205.1_FG006 transcript:KN539205.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRELGAAIGLGRGPGPYGGVVLCLCACDVEARPPVIRRSWNETFPRELVATHSQYDCPGNLVYVGDGRFCICWVAGVEHDRPETNGMVARTAVVTMAVRLRRSAGGELQLTERVKLRYHLMSPQGRRAYFVQPHIP >KN539205.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539205.1:92885:94241:1 gene:KN539205.1_FG007 transcript:KN539205.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKLPLIFALLSSATLISVAPPVRAARLPSSVLVRGAGEFLEHIGKEIANQLSGSRDYPNEGDQSNSTRRGLSSSSTSGGGGGGGGRGVQEKHVEPHVFLGIGTPAMNVTLVFDTTSDLLWTQCQPCLSCVAQAGDITSPVTVLDEATYAQVRRALVAQLAPLKEANANASAGVGLDLCFELPAGGATAATPPNVTMTLHFDGGAADLVLPPASYLAKDSAGGLLCLTMTPSSSNGVPVLGSWALLDTLVLYDLAKNVVSFQPLDCAAFLAATG >KN539205.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539205.1:70131:82118:1 gene:KN539205.1_FG008 transcript:KN539205.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCGVTPYDDSHIGHARAYVAFDVLYRFPLASFFSGFGPVGELRALVTVMYLRYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLTDMANLHCLPPSVEPRVSDHIDQIINMIKQIIENDCAYAIGRDVYFSVENFPEYGDLSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPCWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVTELYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLQDCEGSCQQHQSKAGDPLPVNTTNCIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSAMEEKIRMVLSVLGLLPSSYYEALQQLREKALRRASMTEEQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEQGVVARIFANCKHSSFQVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGFMPGLVLSRILLTVQNSKWSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDLISSSVSPKSSESSLGELMNSLKVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSESES >KN539205.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539205.1:30136:32319:1 gene:KN539205.1_FG009 transcript:KN539205.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNCLPLAENKYRLRWTLNASAGTVEIGLEATVGSEYYMAFGWADPKANSPAMIHSDVAVAGFTEEGMPFAEDYYITDYSECTLGTDESPVSGVCPDKVYDEGKNDSMLVYGHRRDGVSFVRYQRKLDTGDTKYDVLVSATEEMAVVWAIGKLRPPDTLRPHYLPQNHGGPRDATYGFARVNLSETVDSCNGPLDADNKEDQERIIADAKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGSRDAHGVPATPTELLWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSHDSISIAARGEKKSGYLAVGFGSGMLNSYAYVGWVGNDGVGRVKSYWIDGKSAAGIHPTSENLTYVRCRSENGIITFEFTRPLKPSCSGRVECKNIIDPTTPLKVVWAMGASWSGNSLTDSNMHSITSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKNLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVTALLLAGLQPLNAYFRPKRPANGEASSWNRVLWEYLHVITGRSAIIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVITLYLEYKEVKRRSGDTSSRGHWVLGNTEEDDSVDLLHPDSTDRNSESSPSGVMEVQLEPLAR >KN539205.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539205.1:15889:19639:1 gene:KN539205.1_FG010 transcript:KN539205.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGQAYDLSKDHKPDLDAEKERILNAGGFIVAGRVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPK >KN539205.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539205.1:40405:49686:-1 gene:KN539205.1_FG011 transcript:KN539205.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFPYSWTRTKHLTLCPPSSHGGDAADHQPLPWILLDVRAYIADRRNSTTATIVLSNGRKIQITFCIAPPPLVSYVCARSPTTDPAVFFAKEPAVGFVNDNLVFLRVHSDQIYDLIYHASSHPSIKLIHNPYSPYDPYHYLRRIDNVAILSDRRHAAGADDDDNNNGHFYLSSLDRDRRFDIGHFKLCLYDEDDSMDCKWSNNILLLDQLRNAPDKDIVLHLTEKVLILDDEQPLVAFVDPWRGMVICNVLDNSSTPGGSSYMPMPSEIFNIHNTYTSSISRDIAIVNGRLTVVRLCLYLDSDDDSDDDDDDDCCAWDLTTWSKPVTCLDDGWREDLKIKSSDVSIDDNTRNACLLPKLDDGCPTTETLQLAHPTLSLMDAHIVYIMGKVDVSDEKALVLTVDMANKRLQEAGCFPSLKLVDNPAKYLRELDNVALLPRRRRRSASADDDSGFYICALDRDHPSGLGHFQSCLYDSMDGTWRLESLLLDELRNPPDKDTVLHFTEKVVVLPSSLDDGRVSVAFADLWRGIIICDDGVLAGGKPAGSYMPLPLEIIDLDRAYYSLACRDMAVVNGRLTVARMRIGFENSDTNRCSWDLSTWSKKAVTACLDEEWREDFVVDSDDILVDEATCNNVELLPKLNDLPAMAKLRVAHPTLSLMDAHVVYIMGKVNLSDEKALVLTVDIANKRLREDCRCSVKGKPKIPLKFHVQYPHKRQCEIINRMDVTSLYEPLQPDAGSDIEIKDENEDSDNPMAWNCHRIGVKLNGHKLIRAVEDHVRPLPWILLDVRAYIADRRNATTAAADLGNGHRIEITIFTAPPPQVSYICAWCPTGDPARVFANEPLVGCVNADLVFLRLYSEVVYDLVYRADGRPSLTLIRDPVDVDAASLQMDYLRSLHNIALLRRAAGGGGGGFYACSLDLDLHRAAPSTAAYKLCLYESTIHGDGKWSLESLLLPQLRQMIIYNTNRVITLDDYGQGVVAFIDLKRGIHICNVLAHGYPGSYLPLPPELTSSRMSFFDSSLCRDIAIVNGLLTIVGLRTCFDSDTDCWSWDLSTWSKPVAHLDDGEDWQKGFMVDSSDITVDATCCNVELLPKLEGRPAMAKLQVALPTLSLTDASVVYVMGKVDASDKKAVVLMVDMANKRLQMVSLYDAERIINDFDYSYTQSTISQYFTTAAGVKGNLKRPLKFHMQYPHKRLGETISRSDNPIDLHEPLQLDTGSGMGTKDETEDSVIPMDLE >KN539205.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539205.1:56420:66461:-1 gene:KN539205.1_FG012 transcript:KN539205.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYCVRVQIDSASLRHILRPFAAQGAAAPEQLAASHPSSQYGQPARAAPSTSLLAQVAGNHPHATHVSDRKALFGMLNAGSAANVIDLTRASPLRGAEPLPKHPRHGLAASSSVEQPSCLGALFQNTSANVQGSFPGECSVNNGISQGAIQFQDSSACTVQKLPSQSTPRHHPALLGDQIRVSCLNVGGEFFVGEAGIFGVRCFCHRLRMSVAKFCEHSGGLAEKAGEIVIMDNGMTIVQWLKYCMGVGASISDTKWDWPEWAYMRYSSEEYWTKSLLTTNNNMEKTGLFSGHGKSTGHINNPVYSSDIHNEVGRFTSVEKLVNKPDETFYRKSVGLHEAFSKNPAMQQSSKINLANHTIHDMNMNSISRPSERTYSTANMGITYSRNHLAHDYANFLEKNLNNLSRSPGPSSTRVLSNDSRACMPDVPHKIIQDGSESLGADAYPKQTTKANKTIENIEPSFGTGNRKRSLDVSNGTSHSELNEITDDAAKNSFISLFLSHLERNSTSESIDDVLNSNEHYLLKAPDVAYSSDRLKTASTQVETRGNDNQLKLAPAIIHMKRISDSRSLPVPVASKGYVHQDVLHANSQEHSINGDCLPHLLPSQPNAGISKICAEVSSPVNCRCCNHVADKSHLAHSETGAPCFYDRTENQCIDAAAKLKKILPNLVLELAISADLISVMMALQFQPIGLDEVRTRSTFIPRSSLCSRELMLQSCCHACPIDGYYRSSITATKIYSSHIQILALILILLVLIDDSYCLVSMGHTANSLTKNTLLDAPNNTECSPYRDGKCCCSLAPKCLAGYGFTKHCVARIDQTDHTVQKSKDDGMQAAARCCTLGESEKLICQCSSEIIARKSDSKASFRNEVSTEVLNRPCVPTLQQLKNVTEASAVGGHWPYETVKEKASACRDSGIFKELKSGFSSGFSSDVVTKFSASPELNISGLEHKNLVFDEGSRIEKCSSSSYLPISTGCEEAQNSFSRFHLEPSLVKHKNNQISEGSTRKEHENEGQCSEMPKKTRTLRCCANKSESDDCTRKIDLSSREGDSHPQHKAGPFSRRVSKTKRKHPPTHLNKHVKRLHSNCKVLNVDDERSDDEGIYVGESNSSDRKKQEDNMTTLDRTKCQQQGSRLLVRKLPKYVSLNCIVNETNSEDTCSGSASIDSSLIATGITNDNRKSPKIVPLNLILKKAKRCHAIKPLSKTENIHFSEEKSSDGSADKSSSGDKSFSPQDELWSPKKNRYSSNVSRPHVKTDCQSPCCVLEEDEPLSLADMGTSQLSASRSRGWRGVKNLQMNQHAVLAAINTLRFKPVKQNLKGCNSRYIQRPSLDASCCVCGISNLKPSNQLIECSKCFIKVHQACYGVLKVPRGQWFCKPCKINTQDTVCVLCGYGGGAMTRALKAQNILKSLLRGIATAKRSDKYVYSSGNVNSECTSKLHGEYVRHDSFNGHRSRSFNAISSFGIKEASIGSARGDIISKLWTSNRNSSLLGPRTRQWVHVVCGLWTPGTKCPNTITMSAFDISGASPAKRNTECSMCNRTGGSFMGCRDFNCSVLFHPWCAHQRGLLQSEPEGEHNENVGFYGRCLDHAMLDPNHVNPKKECLRSNDWTCARTEGFRGRKGDSFGANRSRKPEEKFGECSVSQEQINAWIRINGSKSCMRGQKEYVHYKQLKGWKHLVVYKSGIHGLGLYTSEFIPRGSMVVQYVGEIVGQCVADKREIEYQSGKRQQYKSACYFFKIGKEHIIDATRKGGIARFINHSCQPNCVAKIISVRNEKKVVFFAERHINPGEEITYDYHFNLEDEGQRIPCFCRSRGCRRYLN >KN539205.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539205.1:122741:128402:1 gene:KN539205.1_FG013 transcript:KN539205.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARMPPIGAGVYGGAGNMTLGLTGMAAPQLPAGFTDQSGQAPSASAGDVLRLGGGSNGASQFDHLMASSSGSSMFRSQGSSSSSFYLANGAAHHAPAQDFGPEDGQSQAGQGSLLHGKPAAFHDLMQLPVQHQQSSNGNLLNLGFFSGSNGGVDQFNGGAGNGGQGSIMSATALLQKAAQMGATTSSYNAGGAGGASSLLRGASSHGITAELVKKLEVINQEEKVDLNPCKMDVSGNLELLMVQLKHYIAAMLATSKLSYYAATTVHAIAHSTCTGRAQLIT >KN539205.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539205.1:84522:85257:-1 gene:KN539205.1_FG014 transcript:KN539205.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVCGTERVAEVSASASAVAFVAFAAAAAERFLAVLALADILEFY >KN539205.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539205.1:89064:91386:-1 gene:KN539205.1_FG015 transcript:KN539205.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSGGGKSGEHGGGGKPALDRSGSKVLDGDETIFTDMAQELKEEGNKLFQRREHERALLNYEKAIKLLPRGHPDVLAVEPNNLTAIDVGDRVKKAMDEKGIVLDDKEAMPTPEEVVAAAPKQKPRKKKGRKAAAKAAAAAVEEEEEAKAVEPVKEVEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDTNSGPLERNANSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAESNFQEMAALAFFHWGNVHMSRARKRLLLPGDSPQESVLEQVKEAYEWAKEEYNKAGRRYEEAVKAKPNFFEGFLALAHQHFEQAKLSWYYAIGSSVDLDTWPSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENVVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKFGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >KN539205.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539205.1:36740:37479:1 gene:KN539205.1_FG016 transcript:KN539205.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLLRLLRSEINYISERRPPYPVSSHPNAPIPHLTLSVCVSSLIRRETNALQPPKAFKSFGVEDRPGEQWVRLRATRGAQDEEVKVDATMFDGAAVPPPDAPLFRRVESLERGPRLHLSLIVEVSRADRVLGFICSAWHDELVVRHVLTLRDADGSSTSSGGRDFVYGSYCDFALWFMCKLEARERESVRKFLQEREVDDELAEFLHDYMANKEKMELLRWLKTVESFVEK >KN539205.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539205.1:19948:20853:-1 gene:KN539205.1_FG017 transcript:KN539205.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLLLVAVLAGFAVSLAGATDHIVGANHGWNPNIDYSLWSGNQTFYIGDLISFRYQKGTHNVFEVNQTGYDNCTMAGVAGNWTSGKDFIPLNDSRRYYFICGNGFCQAGMKNSRTLAHCVCFARIFIRDLINVAPKSNLYSSTNSERILVHDRASGFRKNFPGEESSDRMEHWKIHAFHTTQFD >KN539205.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539205.1:51104:52459:-1 gene:KN539205.1_FG018 transcript:KN539205.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWTKTKHLTERPPTHGHPPDAHPWIILDVRAYIADRQNATAATTSLTSGRKLQITICSAPPPLVSYVCAWSPTADPALVFAKEPVVACVNADLVFLRVHSDQVYHLELWYGLPGQRGHFKLCLYDSIDGEWSQETLRLDQLRNPQDKDTVFHYTEKVITLHDEQVVAFVDLWRGMVICNVNDGTKHEGSSYVPLPQDIINLDMINNGLIYRDIAVVNGRLTVVRLRSWLDSGCLSWDLTTWSKKAVTACLDEEWREDFVVDSDDILVDEATCNNVELLPKLNGLPAMDKLRIANGLPAMDKLRIARPMLSLMDSHVVYIMGKVNLSGEKAVVLTVDMANKRLREVSLRDAERIVYDDNGCSYKQSTISQYFTTSAGD >KN539205.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539205.1:105566:107373:1 gene:KN539205.1_FG019 transcript:KN539205.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPITFLHRAASVYADRTSVVYGATSFTWRQTHHRCLRLAAALQALAVSKNDVVSVIAPNTPALYEMHFAVPMAGAVLNAINTRLDAANVAAIVRHAEPKVLFVDYQFIRVATDALKAVMGDGDGGGEQWDALPPSERARLKARQGVSALTLADADVKDLKTMESVPRDGATMGEVVLRGSNVMKGYFKNPRATADAFRHGGFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVSEQEVVSFCRNRMAHYMVPRKVVFVDELPKNSTGKVQKLALRDMARRLRLRAPDKARPVAAPARHAPLTQTARL >KN539205.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539205.1:21656:24245:-1 gene:KN539205.1_FG020 transcript:KN539205.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHLVSEIGLGDSLPVKVNKLTSVKTQLPYAYYSLPFCKPETIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKLPVGEKEAKELKEKIEDEYRVNMILDNLPLVVSVLRQDKNIAYQGGYHVGVKGQYTGSKEEKYFIHNHLSFVVKYHKDDDSELSRIVGFEVKPYSIKHQLDDKWDGVNTRLSTCDPHANKLVTSSDSPQEVEAGKEIIFTYDVRFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKRITMRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPALEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTGTIGFCACFWFTRLIYSSVKID >KN538688.1_FGP171 pep scaffold:O_longistaminata_v1.0:KN538688.1:206812:210619:1 gene:KN538688.1_FG171 transcript:KN538688.1_FGT171 gene_biotype:protein_coding transcript_biotype:protein_coding MWECIVSDHLTPVLAYRCLVPEDNMETPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEVVAKEHKVTIMDHEKGKVTEQVVDDPMQIPRSMMEGWHPQQIDQLPDSFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHATTEDAFQDGKSRLNLLLSKVHNSNVFYILFHFHSRPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVRKGKIINRPLAGTVRRGKTEKEDEMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGELDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKDTERRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >KN538688.1_FGP172 pep scaffold:O_longistaminata_v1.0:KN538688.1:279811:284494:-1 gene:KN538688.1_FG172 transcript:KN538688.1_FGT172 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKSEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKQVTKNGLRSDHVWLSLYFSLKLPERACRLLNEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERISASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLDMRRDSDASSNPVERVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGVNLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNNTLYSEVDNTATQQTAVDPSYQKAQQDEASDQPEHA >KN538688.1_FGP176 pep scaffold:O_longistaminata_v1.0:KN538688.1:247821:250273:1 gene:KN538688.1_FG176 transcript:KN538688.1_FGT176 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKFAPRKSVKIGRPGYQVTKQYDPDMKQHSFLFEIGYPEIEENSKPRHRFMASYEQKVESWDKKYQYLLFAAEPYEIIGFKIPSAEIDKSADKFFNYWDPDKKQYILQLYFKARQPEANKPPAAPGTLPNGSGGPPRPPPPQVPPPPPQAPPPPPPNAPMGMPPRIPPPPVGGTQPPPPPPPPANGPPRSIPPPPMTGGAMANFTPGAPPPRPPMQGFPGPQQ >KN538688.1_FGP178 pep scaffold:O_longistaminata_v1.0:KN538688.1:140930:146053:-1 gene:KN538688.1_FG178 transcript:KN538688.1_FGT178 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSSTTLMDLITSDPSAPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGAQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEERAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEAANLQSYSDNVESLDSDLNENSQPE >KN538688.1_FGP179 pep scaffold:O_longistaminata_v1.0:KN538688.1:251064:254252:-1 gene:KN538688.1_FG179 transcript:KN538688.1_FGT179 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDKVGCSPEPLPLDRLLAELAANAERLGRRWEAALRKRGREGARAAGVGLEEGRKADSAAMQLHTPLFYATCALGGLLSTGLTHLAVTPLDLVKCNMQVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPEHAEKWKTFIYLAASASAEMIADVALCPMEAVKVRVQTQPGFARCLTDGFPKIVQSEGFIKDYFLSGVAKFLNLDICKNLVWLGTKNIVESFLRKYDFSSFEETWFYPGSDTMVKFACFETIVELVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAKGATMADAVRTLGVWGLLTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGFIPSPAATDLRQVDHEKRS >KN538688.1_FGP180 pep scaffold:O_longistaminata_v1.0:KN538688.1:223295:226383:1 gene:KN538688.1_FG180 transcript:KN538688.1_FGT180 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 longevity assurance homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G19260) UniProtKB/Swiss-Prot;Acc:Q9LJK3] MAIRGPEASSFFPLTLVFSVGFFCARFFLDRLVYKNNCQLHLRIYALHIVPMYVSFQPLAAYCFSSKASKLMNDEVRQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFEGWPNQYMTSSLMLFYMCQCGFYIYSIFALVAWETRRKDFAVMMSHHVVTSVLIGYAYLTGYQSIISLRKLEKFPTTLYYIFNTMLLTLLVFHIYWWKLICLMIMKQLNNKGQVGEDVRSDSEDEE >KN538688.1_FGP181 pep scaffold:O_longistaminata_v1.0:KN538688.1:244676:246255:1 gene:KN538688.1_FG181 transcript:KN538688.1_FGT181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT3G59530) UniProtKB/Swiss-Prot;Acc:Q9M1B4] MEEKKQQQLRPQRGRDGVLQYPHLFFAALALALLLTDPFHLGPLAGVDYRPVRHELAPYREVMARWPRDNGSRLRHGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEDAGWETFAVMSPDWSEKVCANGVESTTKKQHEMERRCGRPLGLRFHGETGELYVADAYYGLMSVGPNGGVATSLAREAGGSPVNFANDLDIHRNGSVFFTDTSTRYNRKDHLNVLLEGEGTGRLLRYDPETKAAHVVLSGLVFPNGVQISDDQQFLLFSETTNCRIMRYWLEGPRAGQVEVFADLPGFPDNVRLSSRGGGGGGGKFWVAIDCCRTAAQEVFAKRPWLRTLYFKLPLTMRTLGKMVSMRMHTLVALLDGEGDVVEVLEDRGGEVMRLVSEVREVGRKLWIGTVAHNHIATIPYPLEEQSSSSSSNVLGD >KN538688.1_FGP186 pep scaffold:O_longistaminata_v1.0:KN538688.1:195402:196847:1 gene:KN538688.1_FG186 transcript:KN538688.1_FGT186 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGGRRGTVKQLNVGGKLFSLEASSLPISLSLAPSPNPIFVDRDPALLSAILSSIRAPSAAPAFPAGVLLDEAHFYGLHDQLLAALSPPPLLGFSASRASTLSPPSEPFPTALAPRHDGSLCLAHGAGLLTHYSPALEHLTTFRTHLHRITSLRQLPPGLAVAGSSLSPGLHVYDLLEGRHVASVQWSDPTDLRVQKAKVIAIAARPAADAADKNSPILATFECPHRENCILVFDPVTLKPIQEIGRQTGSAAKSSAPGRVVHLQELGLVFAASVSSGAFGYSGYMRLWDIRSGDVVWETSEPGGAGRSSRFGDPFADVDVDVRQQILYKVCSKSGDIGAADLRCLGKDPWVYMSSGPRGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQSNGTANLAEQTRAKENINNEGINENCFRSCYVDTEEDAERGMIHIMEGGGDRLFVTREEMQGVEVWETSQLAGAISLSLSSLLV >KN538688.1_FGP187 pep scaffold:O_longistaminata_v1.0:KN538688.1:212181:214850:-1 gene:KN538688.1_FG187 transcript:KN538688.1_FGT187 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSASCLWGGSESRGNQNGSAAVTSPRSGQVISRAGSNVRVFSLKELKLATRNFHMMNCVGRGGFGAVYKGNLKDGTQIAIKKLSAESKQGANEFLTEINVISNVRHPNLVKLIGCCVEGTNRLLVYEYAENNSLAHALLGPRSRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGESSSKSTWGQDMNVLVEWTWKLREQGRLLEIVDPELEEYPEEEMLRFIKVALVCTQATSQQRPSMKQVVDMLSNPTEISLENLVAPGVLKEPRHHSSSSGLTPDTTSNRSTKANPADSYSTQTRDMNSYQLSTIEVSPR >KN538688.1_FGP188 pep scaffold:O_longistaminata_v1.0:KN538688.1:239863:242942:-1 gene:KN538688.1_FG188 transcript:KN538688.1_FGT188 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSIEKTDSYRKGYGSGKKWDGGPGGGGPGGGPYGGGGGGGGGPRGPRTLSDIRSNDQIKDVSFNGPARIRETNASLLRFAFQRLLVHLCLRGCPVLIKGYYCCDVRCKTAKCCLWELLH >KN538688.1_FGP189 pep scaffold:O_longistaminata_v1.0:KN538688.1:229099:237493:-1 gene:KN538688.1_FG189 transcript:KN538688.1_FGT189 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQPHPQAPPPPPQQQQQPVPPSFRNALPVPVDGQIPAPLPFFNPPPAFQDQPAQPPLVDAMGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVENINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQGENLAPAEDSCPLLSTWSHGHKDNIRENIPCSSKNASISEALCSRAAAAAPAWATSPSHGIAFDSSWALLDDLEILVKRLRSKQLRRTSSIDTINGSGGAGNNNFDSFSEAGWSREISGQADSASTAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALSSFRRACELQPTDVRPHFRAGNCLYALGRHSEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAIGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPPKGKDDSQGEEAFVVVEPSKFKRVGRKTTLRQDLANALDIRAFERTTKLGRCDAELLRKEMNETDVPISYSGAGNPEKSIRKAALEVILHRLLSFLKPDTFQGSVKAINERILSVLDASGSGRVDLGMFFAIIAPICSGPVDKRKRVVFDALLWRPASEGSRGQIRRSDALSYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLDEYRFKEYGNESEALIDKCMCFNLHSKKLEADT >KN538688.1_FGP190 pep scaffold:O_longistaminata_v1.0:KN538688.1:269215:269870:-1 gene:KN538688.1_FG190 transcript:KN538688.1_FGT190 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNNAALEEVAREVANANGRGRRVREAIDAHKAEAPCGPGGAADIATGVGCGEASLRHDEEVRGNDKLVGGLSHPSLSFQTKRCEATTNWLVGMAAGNNAALEEVAREVANANGRGRRVREAIDAHKAEAPCGPGGAADIATGVGCGEASLRHDEEVRGNDKLVGGYGGGQ >KN538688.1_FGP191 pep scaffold:O_longistaminata_v1.0:KN538688.1:187130:194318:1 gene:KN538688.1_FG191 transcript:KN538688.1_FGT191 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADKPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQEEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFDYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATDDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGTSQDNMLQNAHGSNSEDLTSSFNKRSTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKRERFKTSPIALSALAEYTGMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSASKSNPTTLKTQLSSASSMSGKDPPPAKKHSNHGINFFDRFRKERSVDAKARNDAGQQLATTLRDSRPLIFKYNEVCSLSYSS >KN538688.1_FGP194 pep scaffold:O_longistaminata_v1.0:KN538688.1:200386:201773:1 gene:KN538688.1_FG194 transcript:KN538688.1_FGT194 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRCSSLLRGFVSLFFLLFIHIGHAGCCFSTGSSTQTLEEDDTGHGPDGRGGGGGGGGGSKRRKISPLAFSPSVSSSTVADESILRHGFAGKQADVDGIATYSSGRAAHASLPDDVEREFAFLQVRRAMLVCRVVAGRVGRGAPDDKVAYDSMVPLPPTSSFAAATRGDDDVELLVFNPRAVLPCFVIIYSC >KN538688.1_FGP195 pep scaffold:O_longistaminata_v1.0:KN538688.1:257550:259526:-1 gene:KN538688.1_FG195 transcript:KN538688.1_FGT195 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTMEDVAGDFEFSGCGSTTTTSSASSLDDGTGMCYAWGELSPVADWANFCCSDDDGGGHDLHGLIESMLCDDTLVGVDDGGQAGLHHADDMSPYMKFGHFTANQAILEAVSGDRRVHIVDYDIAEGIQWASLMQAMTSRADGVPAPHLRITAHIDFVKRKLPWIQPNYLTHVGMNLINGYKL >KN542458.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542458.1:2705:15132:-1 gene:KN542458.1_FG001 transcript:KN542458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWPALQPLLCLAWIAATLPIIVAALPIPAAAGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGRVRSRTMGHKYHVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGTLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEDIPVWFLFVFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFLALPKLRLSGAISPALSSLVYLEKLSLRSNSLSGTIPASLSRISSLRAVYLQYNSLSGPIPQSFLANLTNLQTFDVSGNLLSGPVPVSFPPSLKYLDLSSNAFSGTIPANVSASATSLQFLNLSFNRLRGTVPASLGTLQDLHYLWLDGNLLEGTIPSALSNCSALLHLSLQGNALRGILPPAVAAIPSLQILSVSRNRLTGAIPAVAFGGVGNSSLRIVQVGGNAFSQVDVPVSLGKDLQVVDLRANKLAGPFPSWLAGAGGLTVLDLSGNAFTGEVPPAVGQLTALQELRLGGNAFTGTVPAEIGRCGALQKNLSGNLPAELFGLPQLQYVSLAGNSFSGDVPEGFSSLWSLRHLNLSVNSFTGSMPATYGYLPSLQVLSASHNRISGELPVELANCSNLTVLDLRSNQLTGPIPGDFARLGELEELDLSHNQLSRKIPPEISNCSSLVTLKLDDNHLGGEIPASLSNLSKLQTLDLSSNNLTGSIPASLAQIPGMLSLNVSHNELSGEIPAMLGSRFGTPSVFASNPNLCGPPLENECSAYRQHRRRQRLQRLALLIGVVAATVLLLVLFCCCCVYSLLRWRRRFIEKRDGVKKRRRSPGRGSGSSGTSTDSVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLAILRLPSTSSDGAVVIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTTVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >KN541802.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541802.1:8821:9363:-1 gene:KN541802.1_FG001 transcript:KN541802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAEVRQAVAGKTTITEDDIVGLSYLKMVIKETLRLHPPAPLLNPRKCRETSQVMGYDIPKGTSVFVNMWAICRDSRYWEDPEEYKPERFENNSVDYKGNNFEFLPFGSGRRICPGINLGVANLELPLASLLYHFDWKLPNGMAPKDLDMHETSGMVAAKLITLNLCPITHIAPTSA >AMDW01006179.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006179.1:67:150:1 gene:AMDW01006179.1_FG001 transcript:AMDW01006179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAKDELQHRGYSVLGGYMSPVNDAYKKK >KN539381.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539381.1:105547:108443:-1 gene:KN539381.1_FG001 transcript:KN539381.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSVALRDLKAARIGAVKQQVAAAPAARAQRARAEYCKSKTGHVGFVGDDKGNAKPVTGARFNEPGHSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIRIEYMFPDAGEVQALVRAKGLFSFYEDGHQECCRAREGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIDDQGGAAAAAHKAGGANGNGSAGAPDIFESSGVAMEASYLELAERLQGAGGGVKVGKFRADGEQKAFAQQELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >KN539381.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539381.1:8183:9407:1 gene:KN539381.1_FG002 transcript:KN539381.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELRAVEEMRAELAQVRLDIQKLGAARQELMGQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVEKKGYAESYEQGQEMQKKLISVASEVEKLRAEAEKRSRAAVSGGNQVYVGGYGNPKAAYAANPYNAGYNINQSHPQANTADSGSQFGPGSTHAPWGAYDMQRATGRR >KN539381.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539381.1:81012:85894:-1 gene:KN539381.1_FG003 transcript:KN539381.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKPHSKCMSICTLLISQSCIFFQEITVGRSIVLAVQTLGVVFGDVGTSPLYAFDVMFNKYPITSKEDVLGALSLVIYTLILIPLLKYTLIALWGNDDGEVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAVLIVLFTLQRFGSSKVALAVGPALFIWFCCLAGIGIYNMKTYGSAVLQAFNPMYIYYYFERNPTQAWMSLGGCLLCATAYLCVSCSSMPAIGLPRASCISYGKSNRKSADQAFWPVVFIAILAAIIASRTMTTAIFSTIKQATALGCFPRLKIIHTSRSFMGQIYIPMMNWFLLVSCLAFVTMFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQINIIVVLCFLTLSLGLELIFFSSVLGSVADGSWVLLVFAAVLYLIMYIWNYGTKLKYETEVKQKLSMDLLMELGCNLGTVRVPGIGLLYNELARGVPGIFGQFLATMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDIRKEDYISFQQLLIESLEKFMRREAQERSLESDQYDGTDSEEEVASASSRALVGPNGSIDSLGVPPAEAAGTTEHPTIGSSMSFDGSLDEAIDGRGSLDDELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRRNCRRGIAALSIPPSRMMQVAMQYMV >KN539381.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539381.1:26781:32477:1 gene:KN539381.1_FG004 transcript:KN539381.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERTPLVVPEAAAAAAAASSPLRRPSPRVLREVPPPPLDPILPYLRSINKAMDELRTGPKFEAAALDRLKICVTECIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKAYKQLEEKRMFLEHALLYDAYALFLFSKGKVLEAGKVYEVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQLWSVGHKADKLAAVRTKNCFDIVSYMIFLCGGTFVFPKKPKPSKIQKKEPILLDPWSESTMNNLLENINVDLKKFAGYHQSNKVYHGKVPLTSPQNVLRNKVIELGGRKYQIKGSPGTGAFAKVYKASVDGNTEDLVALKIQKPPFPWEFYMYRQLDTRVSDIERPSFGYTHEVHVYADVSVLVCDYLPYGTLLDVINSHLVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGEITDETFKGETRSERNQGLCLVDWGRGIDLNLFPSGAEFYGDCRTSGFSCVEMQEQRAWTFQADTYGLCVIAHMMLHGTQMSIQKTPRPDGSYMYQPTSPFKRYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >KN539381.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539381.1:10420:15709:-1 gene:KN539381.1_FG005 transcript:KN539381.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MDVLRKQASKFKEQVAKQQQAVIKQFSTTGYEHSDAVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVSIGIRHVEVGTKFSEDCYRYGGESSASDEALAKAASLYGGALRNVEKEYEEFNRILSSQTIDPLRAMAAGAPLEDARGLAQRYSRMRHEAEILSAEIARRKQRVREAPLAEHTTKLQQSESKMIEHKASMAVLGKEASAALAAVESQQQRITLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRASAPPTIHSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECKGVAGWFPAAYVERRENIPPNKVFPQA >KN539381.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539381.1:97440:98295:1 gene:KN539381.1_FG006 transcript:KN539381.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVTARVDALGLAHKLTVIRADTVEAEFPEFDVCVANIPYGISSPLIAKLLFGPYRFRAATLLLQKEFARRLVAAPGDSEYNRLAANVRMVADARLLMDVSKRDFVPMPKVDSSLVEIRPRATEPNVDLAEWLAFTRSCFGQKNKTLGAIFKQKRKVLELFRRSHGAKERRDGNAGGNLVALGGGDDDGDMSDGDSNGSYDGAASFSEEEVAVFKAKIAGALESSELAGKRPSKLSNDELLRLLKLLNEQGVRFQ >KN540702.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540702.1:7290:10239:1 gene:KN540702.1_FG001 transcript:KN540702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLSLMFPSLPFTPAMVAVEEAAGGELWVHQEVGTVASSEQQRPLLILELKGVEDMNHKEEVVLVIVMVAVGLLLVACLVLNFNWKYCCNCKDGKNKHSKAIGTHGDGHISISSTDGSVVRALTNLALKEAKDLIEGLPKKVKEGVSKDEAEDAKKQLEEVGAKVSIA >KN540702.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540702.1:33278:33553:-1 gene:KN540702.1_FG002 transcript:KN540702.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSNSSRNSNSVNMDSEWSKKENKLFEEALAYYGEGAPDLFHKVSRAMGGTKTADEVRRHYEILEDDLKLIEARRVPFPKYNTQGAWN >KN540702.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540702.1:21842:24415:-1 gene:KN540702.1_FG003 transcript:KN540702.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSIVLLLLKYSGALLFLCKSIWYDAALPGVTVPVPVASDSYRRVHGDVPSEPPEWRAATDESGKGFVDIFYDKAVGEGIAKITINRPNRRNAFRPLTVKELMRAFEDARDDSSIGVIILTGKGTQSFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVFFWPVVITSCT >KN540702.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540702.1:15753:18091:-1 gene:KN540702.1_FG004 transcript:KN540702.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQIRVDESSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAQKGEEKAAEA >KN539220.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539220.1:42889:43092:1 gene:KN539220.1_FG001 transcript:KN539220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAVGRAQRRRVWSWELGNCDCGTGSSTTVGAAAGSGDGKSGVGKLVMPDLTLESSMTAVRRCQP >KN539220.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539220.1:73370:74761:-1 gene:KN539220.1_FG002 transcript:KN539220.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGKAPAGDGGVDDRLGDLPDEVLQHILGFLPAQEAVQTCVLARRWRHLWKSVATLCITSWDWKKEVSMETKFMHFVHRLLLHRRRAPMDKFDLNLSGGDTRLVSIWFRTAMMCQARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLNFSSCPVLEHLEIFNFKMYWQRCPTFSKLKTLFISVCISAILDCEALSCILRHSPVLENLTLQFHRMEFRQKGKVEMIGSYSHMEKSSAISEQLKIVAIQCDEIDDQIIKVLKFLSTFSIRKLTS >KN539220.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539220.1:18629:19292:-1 gene:KN539220.1_FG003 transcript:KN539220.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLNSPPDEGDTEVLADLNEQLSPAVQEEDQNHGVQDDEHVGIGVQGGANHAVHPFDLNPDASEQQQEMHPDDLLDDLCLIELIILNIFLQSSASRKEFNLG >KN539220.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539220.1:44910:47369:-1 gene:KN539220.1_FG004 transcript:KN539220.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHTHLGLFLLLLFSLHNPSCSAAVNDTLAAGQVLAVGEKLISRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLKFSQNGSSLAIIINRATESTVWSRQIANRTAQAKTSMNTSAILLDSGNLVIESIPDVYLWQSFDEPTDLALPGAKFGWNKVTGLNRTGISKKNLIDPGLGPYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLEMNAQTKGFLTPNYTNNNEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNSDLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPSNRRSTDMFHTITRVALPANPEKIEDATTQSKCAESCLSNCSCNAYAYKDSTCFVWHSELLNVKLHDSIESLSEDTLYLRLAAKDMPATTKNKQKPVVVAVTAASIAGFGLLMLMLFFLIWRNKFKCCGVTLHHNQGSSGIIAFRYTDLSHATKTFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFHSNGAVLDWSTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILVEASFAPKIADFGMAAFVRRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTCNHYHFDYFPVQAISKLHEGSVQNLLDPELHGDFNLEEAERVCKVACWCIQEDEIDRPTMGEVVRFLEGLQEVDMPPMPRLLAAITERSHI >KN539220.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539220.1:53389:59844:-1 gene:KN539220.1_FG005 transcript:KN539220.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKGRWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDENESDDERGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLCLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLPSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDIKVLIKRRNQSPKHESVYGVFRRLNRKNMLNFPFRVVLNNKLYRVQELSCTGSHTGCFFHRGPDARRLFDGMCPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGFLPARDAVRTCVLARRWRHLWKFATGLRITDREMREPLKLDDLPLVSRCLAKLKLSGVHLMHNFPDFSCCPVLEHLDVFFCDLSDAKKISSQSMKCLNIRHCTFSQIFRTRISAPNLISLQLENYWNRTPVFEVMPLMVDAFVRVHDRSGDWYSCTSGNADFEECLCEDCDFCHSDTNCVIMQALSQAKNLVLSAHEQEFIFKRELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNIRFSFE >KN539220.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539220.1:13722:15591:-1 gene:KN539220.1_FG006 transcript:KN539220.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPAEDAVKTCVLSWRWRHLWKLTPILCITNTEKWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLVSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMRIFRSDLRWCPLFSKLKSLLLNEWCVANNFWALACILEHSPVLLKLTLQISKETKPMIGAVENYSALVKPAAISKHLKVVNVHCKEVDEGVCETVKFLSTLDVVVLIRRMDRSTNRLNE >KN539220.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539220.1:79785:88031:-1 gene:KN539220.1_FG007 transcript:KN539220.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGKAPRPSASGGGGGGVDRIGDLPDGVLQHILGFLPAPEAVRTCVLGRRWRHLWKSVARLHITNWDKEVTMQEFGYFVRRLLLHRGRGAPIDECRFSLGGLSDFAADARRVDRWFRHAAVVCQARVLQLRLVPSGVQLALDNLAIVSRHLEKLQLCGVKLMHSFLDFSSCPVLEHLDISFCNLVDAKKISSRSSKHLNIFRCIFSRTFHTHICAPNLLSLRMFFSMNRNPVFEGMPLLTEAFVGVTGEFGDWNTPPRFEDSNNCTLPQALSHAKTMVLIVQSSSRPLQAQDFNSKRYWQQCPIFSRLKTLSICERISQDIDFEALSCILQHSPVLEKLTLEFHDMGTKNKVEMKGSYSQTKKSSAISEHLKFQFRVGFKNWAVHGSTTHYYSECWCLSCCYCDNGALFCLQGPKKGFRSAGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGDVGNKLQDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLTLHDMPLVSRHLVKLQLRFVGLMHSFLDFSSCPVLEHLEIVSSELSDAKRISSLSLKHLNLTHCTFSEYVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCHSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMVRCPTFINLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQMERSSAISEHLKSVVVKCGVIDERVTKVLKFLSTFNIRFSFE >KN539220.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539220.1:119018:122338:-1 gene:KN539220.1_FG008 transcript:KN539220.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARCDRCDACSREHAHGGQLRGEQDDEDGEEGGELHQTARGGCACAGPYGHALLGVGDELSLRIRAAIVRGYHGVKPGWTRVSFAYYLSGDELRYVLAAIDFVAAHGHRFLPLYAFDWATGDWSFRRAALKRQLMARELLHCHLGSSSATSSDSDGGEFQTARKTTAAGKVGGDVSADKFEGYLESARRIARSLPDTWQATVTVPEGIDPDIVLFRV >KN539220.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539220.1:47846:50481:-1 gene:KN539220.1_FG009 transcript:KN539220.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEECGIDDLPDELLQQILSLLSADEAVKTCVLSRRWRHLWKSTDILRVAFSTERWKSSDEFKKFVNHLVLLRGISPLRELDLRFNARRYEDAVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTENCGFDSVCEIVSRSIQCLHIFHCQFDEYCDGCHCSYEVSDDSESVLLGGLTEAENLKLIAGPNIESKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDIKVIIKQRKQSPKRRYKWGHVQSCVVVIDNNLKLPCAC >KN539220.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539220.1:23460:29806:-1 gene:KN539220.1_FG010 transcript:KN539220.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFSSVYFNIFIPPLYRYLGLLLVISLHTPSCSAANDTIAAGQVLTVGEKLISRNGKFALGFFKPALPEGTANTYGNVTSPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHATKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYIEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSAGCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLHDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSEKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHIKLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGTILDWSTRHQIAIGVARGLFYLHESCHKCIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTSFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSKHYHFDYFPMQAMSKLHGGSVQDLLDPKLNGDFNLEEAERICKVACWCIQENEFDRPTMGEVVHILEGLQEVEMPPTPRLFADITENVSYVVSIVPFARHLFDGMPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEAVKTSASYPAAGATPPLEIHAHPAYCQNGGQMGLGELRGFQQHTHIYAPNLITLHLDILWGRVPFLKSIPSLLTGFVRAQQDCDDYCSNTYSGNCENCNGCLCMIDETGNDSAKCMLLGGLLEAKNLELIAEPEMSLLLNEWCVANNFWALACILKKSPVLENFTLQISKDTKSMIETEENYNVLVKPVVTSKHLKVVKVHCTEVDEGVYKIVKFLTTLNIEVIMKRMDRSTKPLGALKPSQTVLRLFIDSETLTMMEGPSQTVLRTFIDLMTTTMVMVKDLHKLFFGLSLIDGDDNFDGELVI >KN539220.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539220.1:7940:10141:-1 gene:KN539220.1_FG011 transcript:KN539220.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAPRKRKAPAAARGRKKKERLAPDRISALPDEVLHLVLSLLPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQVSLELSYCPGRAPFLESMPSLLQAIVRFDDACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVCANLLSPTFTNFGEAYYSAPKGFNFVCTGFSYN >KN539220.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539220.1:64635:68601:-1 gene:KN539220.1_FG012 transcript:KN539220.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYARVRSGDGARVGLGATTSATTSLSPSYMSIDGDDNGGAGGAKVWVLVLLFSLIVLMFLPSVVRRGGPWGGGGGEHQRGGGGLSLKSSRDVVNLYLMVFAILCGLLGRAGRLAAAPPSRLERTSLAPYTKGNSLRTLSDSGLALGLGYTTSLPSSSAPAGEAAGGEFHPPAQTSGCFLPCGRDARRLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEDLRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSCAKKISSQSLKRLDITTCDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPRVNDDLEECPSDDCDNCPSDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFHPGPDYNVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIEIPTLLEI >KN539220.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539220.1:92588:109683:-1 gene:KN539220.1_FG013 transcript:KN539220.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQARLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFRKIFKDKNEERKDGGHSKQQDDKEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNGISEGSPGSESFFKRLFRDRDRSLEDSELFGSKLLKEARHQLPEKNSVPTGNGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDSGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSVVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIDSKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYVYQQRLLESFAFGQDSERTSLMICLYLVISPFGMSLTDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEELLAPSKNQFAYIIVKISGTAQAIGACNATCIAFLPFDEVENCIVVGSDLVEVILGGLLLLGQDLGEDGAIHAANLDARRLFDGMPPGEAPPPSGGGGGDRIGDLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNCWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCHARVLRLDIRVRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSTCPVLQHLEIAGCDLSDSNARKISSLSLKHLYIFRCIFSRTFHTHIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVGAKSGDWNARPRFDESNNCMLPEALSQAKKLVLEVEEQDFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVVRFDEIDDLVIKVLKFLSAFSIRFSFE >KN539220.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539220.1:38331:40815:-1 gene:KN539220.1_FG014 transcript:KN539220.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHAYLGLLLFSLHTPSCSATNDTLVAGQLLAVGEKLISRNGKFALGFFKPTLPEDAGRRGQYITDHELKLTQLKFSQDGSSLAIVINCATESTVWSVQIANRTAQAKTSMNTSAIFLDSGNLVIESLPDVYFWQSFDDATDLVLPGAKFGWNKVTGLHRTGISKKNLIDPGLGSYSVQLNERGIILWRRDPCMEYLTWSSVQLINMLIPLHNSQLKMNSQTRGFLTPYYVNNDEKYFMYNHSSDELASSFVSIDMSGQLKLSIWSQANQYWQEVYAHPTDPCASFATCGPFSFCIANCGPFGVCDGSRKPFCDCMEGFSPKSPQDWELMDRTAGCFRNTPLDCSSNRSSTDMFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWRGELLNLRLQDSIESLSEYTLYLRLAAKDMPASTKNKRKPVPAAVTLIASITGFGLLMLLLLFLIWQNKLKCCGVPLHHTQGNSGIVAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLNDSTTIAVKRLDGLHQGEKQFRAEVRSLGLIQHINLVKLIGFCYEGDKRLLVYEHMINGSLDAHLFHSNGAVLDWSTRHQIAIGVARELSYLHESCHECIIHYDIKPENILVEASFAPKIADFGMAAFVRRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTCNHYHFDYFPVQAISKLHEGSVQNLLDPELHGDFNFEEDERVCKVACWCIQENEIDSPTMAEVVRFLEGLQEIDMPPMPRLLAAITERSHI >AMDW01027105.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027105.1:135:344:1 gene:AMDW01027105.1_FG001 transcript:AMDW01027105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRALFLLAMVCVAAHAKDYPKEEGAKAEGPAAASGGGGGSTHDVLKLGGKGDGKTDSTKAVNEAWTA >AMDW01024421.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024421.1:72:134:1 gene:AMDW01024421.1_FG001 transcript:AMDW01024421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEGKVSTGDDLLDALVEVFPQ >AMDW01039688.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039688.1:165:1048:1 gene:AMDW01039688.1_FG001 transcript:AMDW01039688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WFYDVIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRITGCLHTGKCNLNARDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHE >KN541754.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541754.1:9243:9713:1 gene:KN541754.1_FG001 transcript:KN541754.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFLGRPSSAGPTDQGRSLNLLGAMFEESWRDLVDEGLIDGGTMDSFNIPSYAATLEVFREAIDADGSFAVNRLEHVMGSRFAVDDDPHDRRAVGRRVANNQRSIFGPLVEAHIGRALADELFARMERRAEELSDELVDEMGVRFHILCSLSLV >KN544391.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544391.1:1143:3202:1 gene:KN544391.1_FG001 transcript:KN544391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVHTENRMRGFLAAALLVFSLLLNHGISNLDTAAPAWEDKDFFKSCPPSRCSEHGPEVRFPFQLESNNNTTPSSCGSPCMKLSCSGQDTILEHKYSYLGRPYKVTTIDYKHATMTITPLAVPGSDSCPLLKSVPLDNLQFDYGHGLNMSSCDIYNSGAAALISCSAELTPAPAAANAIVGPISCLGNTTHFSYLVDYHLSTFLIPIHCEVVSEGPIPVPPFYYREYKFREIAQTILNFANTTIDWNFYGNDATNNCLNCEQQGRRCAFSLQRNQTFCMRPGSHIKVIA >KN540507.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540507.1:34202:35794:-1 gene:KN540507.1_FG001 transcript:KN540507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSSAPLTKPAFDSELVRVIDNAIKDAEEESDDDQDRHCNCFAEIEAEEIPNNSPFKIFKFFKINYGEGSNATTLTRTYHGEKIELQVSMDSLDSDDESEYEENQVPSKSSIPLTVIISKGDGQILEFSCTAHPEEIVIDTLSLMQPPEDDNNEMIADEGPDFDDLDESLQKALNKYLELRGITPMAAKFLQEYMIYKENEEYLLWLRKLKDFVSL >KN540507.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540507.1:20812:23015:-1 gene:KN540507.1_FG002 transcript:KN540507.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMKLAAAAAARPQPRSMPFSSAPSTRPSSDGELLRIIDAEIKYAQESDDHERVEEIPDNFPFKISDEKGFNSITLTRTYQGENIEVLVSMPSLVTGDEPDRENEADEDRNEDDQEEETQKAPKSSIPLTVTISKGEEGPSLEFICTAYPDEILIDALSVMPSESGEDEMITYEGPDFNDLDENLQRAFHKYLEMRGITPMATNFLHEYMINKDSREYLIWLRRLKDFVRN >KN540507.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540507.1:36591:38343:-1 gene:KN540507.1_FG003 transcript:KN540507.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVKAKKKKGSGAGNLPGAIDAEIREAQDYLAIDEQEPVPENFPFEILDEEGMSVVILKRDYKDEKIEVTVSMPNLEGGPEFDDEDDEGEVKNASKDDEDEDEDESAGDSSVSLKVTVSKGSGPKLEFTCTAFREEITIDDMLIVENAAAEGDEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLPTTNYMHDYMVTKQTKEYVGWMTKLKDFVRQ >KN540507.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540507.1:49070:50106:1 gene:KN540507.1_FG004 transcript:KN540507.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMVLHHLTFHRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >KN540507.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540507.1:19396:20283:1 gene:KN540507.1_FG005 transcript:KN540507.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRSMAAREKMMKRKRLSPVPAAMTKELALYHDNIVHIACLVAATSPEPIADLLSLRATCKAMHAAVKERDVGKRVPLERLDNMKWVENERYLAIVNHLVAAGNPDACFIVGVTLVFAQQDMAQGLFLLDKAATAGHKTAAYVLGLLLYKSDEARATGKKYISQVEGDGDEAATTDAGNKRTNRECRRCRKIAEDAVQEVMWKVVRRRGQLLVLPEDNHQCTTTGCGLELGWEGYEGFCSDGCRIKHEYSKFFTEVMSYLP >KN540507.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540507.1:41418:48179:-1 gene:KN540507.1_FG006 transcript:KN540507.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTANVAIHDWSTEQATHEQIRLINTPSLKKKHKKPTPTTTGESIKNRRRSIMAIPTILGSLKLTPSPPSATPVRSSASSSLHFHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGVSFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKDFTDVPLRDDQREYLCKIADGGDLDSARAGGDRSSGGGGRGWAAWIGEGLGVDVEEGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >KN540507.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540507.1:13052:16016:-1 gene:KN540507.1_FG007 transcript:KN540507.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKFLQRVPGLDEDSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSPAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVCKSSITGTIRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >KN540507.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540507.1:24670:27132:-1 gene:KN540507.1_FG008 transcript:KN540507.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVASARNATQAGKQFASSSAGQLARIEGLVTVSCLLVGVLVLCNSRRRHDGRTLHKLIVWGAFLFNYPVISYTIGLMQSSSIQNELFVVWACFLLLLLGTADTMTAFSFNDSSQQTRSMMNQALHVVYLLFLILYYKGQLRGTFLVSLFLLWSLSVVRLGLRVKAYLSTNRSRGLTKENQVVLDYIEHEPPSSTGVRSCKGNYDPETMKEYIYLVDGKEVEKVEFGQQVKQVAYGVPETVDVEKDWQCKGKLLKLSDGGGDRGVARRRDLCLSFALFKMLRLRFAVDHVGRFALPFQEGKSWDFVVKGLLADDQDLDRAYRVVEAELGFLFDFFYARYPSIKNSLAPDLAVYVTILTTSLFTLFSPDLLRYRPLRPGPGDGGDASNIIIHGFNLDLLVTRLVIVWYIFLESYQFFTFIFSDWHKVKMMCRYVRNESWHRALMEVPLKVLCHFSTITRYWKGTIGQYFLLDNIHPHWIKTFLSWFSIEAEALDSWLMTRSIRLTPEVSHAVLRELKNCDGNVTDGRMWLYQKGIIDMDLDRDVLLGNPYASYILKWHIATSICDYGLSMENKATTTDDEFARNHEVAMKLSGYCAYLLAFQPELVQDNTYRSTSTVQGTLQNARDFLGSCKSREEKYKKLIELGRSKIVMDHEMAQKSKDIIYSYDSDEEKVRKMIELDNSTSNDTVNVLKNLSQGASVAVYLVDRIEDTRERWKVLAAFWANLMLYISPSDRAVAHATRMATGGELITILWALLTHAHVVDPLQSRGGSSGLHTQLEEEERRRPLIEEQEMELVTRRKLREEQERSAQMQGQPPIQP >KN540507.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540507.1:29973:32320:-1 gene:KN540507.1_FG009 transcript:KN540507.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVASARNATQAGKQFASSSAGQLARIEGLVTVSCLLVGVLVLCNSRRRHDGRTLHKLIVWGAFLFNYPVISYTIGLMQSSSIQNELFVVWACFLLLLLGSADTMMAFNFDDSSQQTRSMMNQAIHVVYLLFLILYYKGQLRGTFLVSLFLLWSLSVVRLGLRVKAYLSTNRSRGLIRENQVVYHYMESEPLNRAGEISYDPETMENYIYLIDGKEVEKVRYGHQVIQVDYGVPETVEVNRVWQCKGKLLRLSDRRRDLCLSFALFRMLMLRFAPDRIGPFLFPLQEDKSWDFVVKGLLADDQDLDRAYRVVEAELGFLFDFFYARYPSIKDTLAPDLVVALMEVPLKVLCRFNVTRYWKGTIGQYFLHDNIRPHWIKIFLLSWFSIDAQALDAWLMTRSVRLTPQVSHAVLRELKKCDGKITDGRMRLYRMGIIDLDLDRDNLLGNTYASYILKWHIATSICDYGLSTENASNDELTRNHEVAMKLSGYCAYLLAFQPELVPDSTYRSTSISREDKYKKLIELRRLKMSMERELWQKRRDIRNSCESDENKHRKLDELEEWSRSSTVRVANFLSAGAHIAVYLVDRIEEAGERWSVLAAFWANLMLYIAPSNRAAAHATRMATGGEFITIIWTLLTHTHIVEPLRYVERGGGGNSGLHIMLEEEEEERRHHS >AMDW01019491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019491.1:51:257:1 gene:AMDW01019491.1_FG001 transcript:AMDW01019491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRRQRINERLRILQELIPNGTKVDISTMLEEAIQYVKFLHLQIK >KN542061.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542061.1:7921:10210:-1 gene:KN542061.1_FG001 transcript:KN542061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIGEEDSSSVGAHRGSGGEGCGVEAQRQGDGMVELDLLVTRKDGAAEVVVQRWVVNSEEVTSVDGLWTLVDDGAESILRGGSRCSLTVASCSSVNIMETRRIEKTVTTHQPQKMDVVEVKVLTNHVRDVAARCSGDNSRNSRDVTVAADLIHCIAQPPKYYGSAKNRMVKAWPWVVQQCLEDEDMPGSMTMARRGDGARAEILASDSGIGGAGERETMTSMVIRNGRRTKYREMVLVTKGGGEDYHGSNGSHLAAMTTLIILSRRQPVLPGSW >KN544877.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544877.1:2415:2645:1 gene:KN544877.1_FG001 transcript:KN544877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQIRDLNLSETHTLLLINLYLSHVQGPSYVPHGVESAYIHINRDIEEYDDAYSDDGFCAVPASSDAIAALPEAT >AMDW01018711.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018711.1:164:221:1 gene:AMDW01018711.1_FG001 transcript:AMDW01018711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEEDPAPVPAAANSTS >AMDW01028660.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028660.1:75:287:1 gene:AMDW01028660.1_FG001 transcript:AMDW01028660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDATGLAMEMD >AMDW01040576.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040576.1:266:1466:1 gene:AMDW01040576.1_FG001 transcript:AMDW01040576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALPGEHNFVATLIEGRDQKKRPTQFGTNQVLQPFDSAKFNFTKVSPEEVIFTFEEAQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVAREAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLGDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATMCRFLVEASLSESEFQELKSCILEFLSSAAPEE >KN539136.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539136.1:96523:98347:-1 gene:KN539136.1_FG001 transcript:KN539136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRNDHHDDMDGSSEIIRTIAASQLSFKYEELCKATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTREWADQFFNEVRLVSQVQHKNLVKLLGCSIEGPESLLVYEYLCNTSLDHYLFDRFRSFMVDVADAFKKTALDWERRFEIILGTAEGLSYLHNASEIRIIHRDIKASNVLLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKSLNSVASSAEGHSLMSLIWKHYNEGTLMELLDPNLQEQCTEEGALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRNNELPRPTQPPFINIKGSNAKSDSSGSSSLKSSSDKSPFSLNQLSLKVLSSDHGVK >AMDW01058394.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058394.1:3:1266:1 gene:AMDW01058394.1_FG001 transcript:AMDW01058394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YEQEAVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHAMRALNVALSVMNQPHASSSSSAAAAGGGHHFPVMTMIKAESTPANSPAADVSDNHVVGKARRSSPAKRRRINCEDKSSWVYHTVVPHEDGYQWRKYGEKKIQGTHFTRSYFRCTYRDDRGCQATKQIQQEDNNDPPMFQVTYSNEHTCTTTRLINNTNNNPAALHSLTANPNGHPDDDSDDTILTKMIKQEQQAAWLPSPPPDLTTISNNFDETPGLHVSQEVPPCSSNSSAISHYADEFDHHQMGQQLETTVMEEALGLGADLDDPYFYDPNLLLIYENLMNCY >KN539136.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539136.1:55796:56464:1 gene:KN539136.1_FG002 transcript:KN539136.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHENIKACTDRLIRPFANQKESSRPERRLLPLFYAIVAPPSRRSPPANTPSQIPVGRSIDDVPIYTWNWIAKCMQHSAYNKIDIMGNGDDTTKEMIFLNEAGQQRLPEVRVNIIHDDNDGGDLPPSSLSFRWKTKKYRLWRVPEQVRAINKEEAYAPKFVSVGPYHRRHAGAGDRNRLRGEKLKKRYLHELLRDVEPDDHKHGGILQRCKSSLQEIVDDVRW >KN539136.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539136.1:19802:20573:-1 gene:KN539136.1_FG003 transcript:KN539136.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKEEAGRGVAWPEAAEAERALGGVADSAESGAAHEDATGSWWEAVAARVGRAGENLVQGGQATVAPLASQPSWRRCLGGWLEDERIFLLSHPHLQTFHESGQESVIDLISEVGSRRERLRSPCRASGDRSHIAVADLVLVLLQGVRLEP >KN539136.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539136.1:137196:142592:-1 gene:KN539136.1_FG004 transcript:KN539136.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSSPESNTSGGGGGGYAADERKRKRMLSNRESARRSRARKQQRLEELIAEAARLQAENARVEAQIGAYAGELSKWLVTSLSDRCYLFTHTIEGYIVSNFPKSSDEKLLESIEMDIWHHIHSLMPMRDAARFACISQAFLRSWRCHPNLALDRITLCSDPLERSFGWKIDSILRNHSGIGLKILRLDLDDDPNNFLYVDSWLQAAVTPGIEELTLMLYEKYNFPCSLLSDGVRSSIRYLRLRYCAFHPTVELGPLRSLTNLQLQSLRITGDELECFLSNSLALEQLKLMGCTEIIFLKIPCVLQQLKSLTVMACHRLQALESEAPNLSRIYLHRGKIKFSPGEALKMKDFTLSHSNVICYARAEFPSIMPNLETLLLHSSQEVVNTPMLPSKFFYLKHLSITMISGGAFSPSYDYFSLVSQGRMQHESIFGGSSAHLRQLPEQGRHDCLKKVYIVGFSSEKGLVELTYCIVKNAVSLEHLVLNTLRVWGWCHRGDYGKNCMRFSKTA >KN539136.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539136.1:6160:16125:1 gene:KN539136.1_FG005 transcript:KN539136.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQAFSWSASWSSWVTIGDGKKNEDYEHNNLVKVEAFPRSKEMLRSERQENFPVLIQVTAPPVLEGTARAGVDVVAVLDVSGSMEGERLEHVKEAMEIFIGKLGPDDRLSVVSFATSVRRLTELTYMSEQGRAAAKEIVDGLVADGSTNMGAALREGAMILRDRKGATRDESNGRVGCMMFLSDGTNDEIYKEDISGEFPAHTFGLGSDHNPNVMRHIADETSATYSFVNRNIADIKGAFDLFISGLTSVVATARKCFVVYLTVAEGGGGGKKKLLTVGGSYRRSSDVMSSQLMLRDVEVSVVRPRWWCSADGLAIHGEVEAELARIKLEDGVAAIADHPTGAGLQERATTKNSPSNADSFQRLPVGSTTPTTPWTPWWKTMTATRWSRYALMSGGFLLAAAFLYFAVAGVVLMLGPSLPAMNHRNGNGNVTMDITRQAGWAKMEHELEAVITTAKSDGETGSLFRRAAASSEWSIDGEIDRYLYMAIVHATVLRSQRRGSTGVISEKVVRREVERYLRRMPKAMEVVEIPSFEGTTVEQTKNGMNRYLYSTIVQTNVEEDETTPTPPRKPDDTNPTKPKPEPKPEPEPEPRRRLTSRRVFATSCSSSDSDHAPSTSSTALAGAGDDLSAGVTQEREGVLPFVQLSSGIVLRTEEQSLLGDDAPAKASAASSFASPDELNGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAAAVLHPASLLPVAVLGFFTKLVVFAAGPLVGELISSLPRIPAYRSLAAIQVTIHHPKKQRQISASRRVSPTSSCRVVPDRGAFGVGGDDHLRVRRPQSGGGGDGIAAPAAVVRRAGDVAEAVDTVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGVRPSVIGAFGGSSAAVGILATFATARLVKELGILKAGAAGLIAQTALLGAAVVVYLTGAVSRRAGALFAFLGLIVREGSISDSPLLQEFNLAVKN >KN539136.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539136.1:131418:134459:-1 gene:KN539136.1_FG006 transcript:KN539136.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLEQQIVAPQNGSIVQRVGDDDSQHGASTLGSPIPFLPEDIWHYIHSLMPMCDAARSACVSHALLRSWRCLPNLTLDQMSLCWIPYGQTFSCKVDNILRNHSGIGLKTLTLNLNDDHATFPYVNSWLQVAFTAGIEELTLRLHEKYNFPCSLLSDGVRKSIRYLQLKFCAFHPTAEVGPLRSLTTLYLNSVRITGDELECLLSNSLALERLQLIDIKDIVILKIPCLQQLNSLGVMLCQGLEVIECKAPNLSRVNVDKAKIKFSPGEALQMKNLTLWRANCACHARVELPSIMPNLERLLLRSLNEVVNTPMLPSKFLYLKHLTISMISGSAFSPSYDYFSLVSFFDASPSLETLFLDVSQGRMEHESVFGGGSSTHLRQLPELHHHDHLKSVEIMGFNSAKGLVELTCCIVKKAVSLERLVLNTLRHNSCCSKEYIPPYSPFNKVVLDEAFRAVAAIRSYIQDEVPPGVNLTVVEPCARCRSFCGLS >KN539136.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539136.1:108579:109821:-1 gene:KN539136.1_FG007 transcript:KN539136.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKDHTCKIATTTLVIVPLLLSLHQLSLFFLLLLPYACVVSVAEMTKMSSMKADGSVPKRRRQDVQKVVVSLKDHKVEQGPPADSWSWRKYGQKPIKGSPHPRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNYATTTTNSVQEQAHNPDTSDALSNGMSLAEVVTVASSKLPSEEESCDFFDELEELPVSASPLPSLSFMVQECSFSDARTLL >KN539136.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539136.1:46466:47019:-1 gene:KN539136.1_FG008 transcript:KN539136.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAHFLFDDDDQTPPKQNGPRNDDDQHNNDDGSGCLCVSCYGGGIAKPKAKSSSSTAKDVVAGGRPAAGSNCT >KN539136.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539136.1:22196:28436:1 gene:KN539136.1_FG009 transcript:KN539136.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMFGEFCDIIQWRIIVKRVHGHYVMETVRCSLRSANIWKRANVLTFFKDHIGVDLYMEPNFEDYSCQEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVVRFYSSRTLCDGNCQVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKELGTGSSTDGLQCPQV >KN539136.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539136.1:64541:64977:-1 gene:KN539136.1_FG010 transcript:KN539136.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAHFLFDDDDQTPPRQNGRRNVDQHNSDDGDVDSVRVSCYGGVAKPKAKPSSTAKDVAVAGRPAAGSNRT >KN539136.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539136.1:104308:107885:1 gene:KN539136.1_FG011 transcript:KN539136.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARTYGSSGASPGNLGDRYDNYANYSSYLNDEPMPDAASEKEQGNEYFKQKKFAQAIECYSRSIGLSPSAVAFANRAMAYLKLRRQAKQRSSAKFAGFVLNNHDVHSAWSLDLRTIGSTELRKVWDQIFLAETASADQVEALRQLRAKYIQEGLQDNMFTSN >KN539136.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539136.1:122750:124468:1 gene:KN539136.1_FG012 transcript:KN539136.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQIAGHPSYPSLLSAYIECRKVGAPPEVTTLLEEIGREGRGGGAAAGGEIGLDPELDEFMAHFESKVSEGNKLLFFER >KN539136.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539136.1:127755:128279:1 gene:KN539136.1_FG013 transcript:KN539136.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTVMSRKRLFDTQKPLTHTDLGLCLPIDLSQEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >KN539136.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539136.1:61347:62530:1 gene:KN539136.1_FG014 transcript:KN539136.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEMVTMLLHDGCFIIKHLYNFVLGYNEEELYATRWAPAQLRIDLGMLENQIPFFVLEEIFYHLTPQTFQRKTMMRQRKRHKLIVMAMWYMLKDWFQLPTRESDELYEVIAQEEVHHLLHLLHLAHAHLVNVDDDKGAVLGLRRRDGSATNQQQQQGHDDDDDDAKAKANIVSAAQLRGLGVKIRKAPTKRGGILDVRLRNGLLSPVLEVPALTVDQGTVQLLQNLVAYEQQGTPPPSNDDEHPRDYFTTYAFLMYNLVSSTDDIAVLQEQGVLLNNFGSHETIIEYFKNLCRGNQRSGTEEKTHIGKVLQGLRDCSQNKAYRYWAEAKKYMDSPVKILALIVSTLLAISTVLQTTVAFYPK >KN539136.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539136.1:29594:30712:1 gene:KN539136.1_FG015 transcript:KN539136.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEEFIMMLVLDGCFIIEHLVNVAIGRDEPSLHATPFAPVQLSVDLILAENQIPFFVLVDLVGAASGEVELERSYLGGMVVELRERSRHPLFMMWADVKRNYFTVPWAVVAEFVAFVTFVSTIVQMYSSFKQKGG >KN539136.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539136.1:70472:70890:-1 gene:KN539136.1_FG016 transcript:KN539136.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAHFLFDDDDKTPKHKQNGPMNDGSDGLCVRWCYGVGKPSSTAKDDDYAAGRRAAGSNRPT >KN539136.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539136.1:38006:43661:1 gene:KN539136.1_FG017 transcript:KN539136.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFPSLARQESGDAGRRLRKKAPSSSFRLWRVPKHVRDINKEAYGPKFVCIGPYHRRRRRRGGGDRDADDDDAEEERRLRVEKLKERYLDELLTDVVGPPHVDDHRAKRDEILLLCTCRLGEMLDSVRRFYAEEEYLRGMKDEEMVRMLLHDGCFIIKHMYNFAIGYDDPELYATRWSPAQVRIDLGLLENQIPFFVLEEIFYHLTPRKLQRKITRDVGGDRDDGGMMMMRMRLRKRHKLLVMAMWYMLEGWFALPEDEGDKLYKLIAKEEVHHLLHLLHLAHLVKVDTAPKSPPCEWQLCWQWPWHALQLLLCILPLFLVSLPLHMCRCCGGGKEPDPKANIASASQLRGLGVKIRMVERDHGGILNVRLRKSLEIRQIPPELEVPALSVEEATAVLLQNLVAYEQQGTPARQGQDQQTQGDLKGKDYFTTYAFLMYNLVSSTEDIAELQEKGVLLNNFGSHETIINYFKNLCRWNQRSKEEDTPIGKVLDQLRECSQYQLYRDWAEAKKYMDTPVKILALVVSTLLAISTILQTTTAFYPK >KN541079.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541079.1:12635:13330:1 gene:KN541079.1_FG001 transcript:KN541079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSQAKALFKATLLGLAILSMVTWVPHMYSCIKMFLVSVPSAASTLATPRCLFIFSNIIVIFLASELKLSEGESFGESPILTNHGSDDSIRYRVEAFTPATKSNDVIVHHVVEEQVSTVIVHDDSLQQLDQCEQVVASSTMSMDKESRGDNNNNNLAIGANVGNNGESEEVEEQGGAISQGKVIEEEMIEEEDVGLPTDELNRRVEDFIARFNMERQLEARMLVCCY >KN540065.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540065.1:6120:8289:1 gene:KN540065.1_FG001 transcript:KN540065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGATIITTFSGPVSDLVGRRPMLIASSLLYFAGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFMSYCMIFAMTLSPSPNWRIMLGVLFVPSLLYLFVTVFYLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGTGGDTEIEDYVVGPSEGDAGENEQARDTVTLMYEQMKDPVVALLGSVHERLPESGGGATGSMRGSTLFPNLGSMLSVNDRPGGSSWDEENILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSGDSTSILISGLTTLLMLPSIGVAMRLMDASGRRALLLWTLPVLVASLAVLVVANVVPMAATAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFSFYAAVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKAGTLPDEEFH >KN540065.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540065.1:35696:36054:1 gene:KN540065.1_FG002 transcript:KN540065.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRPPGARRDCCFGYSGTAFLHYLKSWVAEFRLVELKFARIMPLQALLTAVSPLFRSELSDARIAWSQNTVLVTAVDDLF >KN540065.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540065.1:21604:22834:1 gene:KN540065.1_FG003 transcript:KN540065.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFSEHHCHLFQVEYALKYPFYATVEVLEHKGNIERFNVNGFQRLKSGYCCGSGADKEILALAVNKFQYAQSVYQQELRYLESWVAEFGLEELKFARVIPLQSLLCAVVPLFPCELSDARIAWSQNAILTAVVDDLFDGGGSMEEMLNLVVLFDKWDDHGEIGFCSSNVEIMFKAVYNTTKRIGAKAALVQKRCVMDHIAEQFN >KN540404.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540404.1:5843:6976:1 gene:KN540404.1_FG001 transcript:KN540404.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCDTIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIAPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGVNATE >KN545016.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545016.1:1374:2455:-1 gene:KN545016.1_FG001 transcript:KN545016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLILLTLGFLEAIAEKPAAQQPTELQGCPAPDMCGNITIPHPFGIKPGCYLAGFEVICDRTFNPPRAFLAGDPPLFGDKWPPDVNSSKPFTVTANFYYSGTDPGMPSKIFNYTRGPLELLDISVNQSKLRVYAAINSDCSTNETHHILFEQSIKLQPSGPFTLSANDNSLVGVGQNVIAMFADSYAGEEYSTICLSFLSSVSKARNGPCENATGLGCCQQTLPPGVNTTLVRFQHRNNSKWETYPCSCAMLVEKSWYNFSTEDLYGHLGLPKKYNRGVPLVLDFAIRNGSCPQENGSHACVSSNSTCINAGNDQGYKCNCMEGYDGNPYIVNGCQANS >KN540404.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540404.1:36691:39381:-1 gene:KN540404.1_FG002 transcript:KN540404.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGQLGRFMDGIKSKLRGGGGRKNGRKKEAAAAAAMMTYDKMDKTESMREILTGVPFAVHCRHGHEGDHSIQMVAEEYGHLWLSILLPLTLLRADIPNPQAKGTTKSYMT >KN540404.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540404.1:20408:22221:-1 gene:KN540404.1_FG003 transcript:KN540404.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHESAISKPILPKLFLDKNPNLVDEHAPLFPRQQAWDPYKILGVDHDASEEEIRSARNFLLKQYAGHEETEEAIEGAYEKIIMKSYSHRKKSKINLKSKIQKQVEESPSWFKAMLGFFEVPSAEIISRRLALFAFIAGWSIVTSAETGPTFQLALSLVSCIYFLNEKMKNLSRASMTGLDVYSFVLPGLEFLWVAGLWDLCLSQ >KN540404.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540404.1:11742:12936:1 gene:KN540404.1_FG004 transcript:KN540404.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNWESLHVGAAADAGRVPEFAGLLWPDGNPEFWCSSSDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQAGDGSWHTIPPEPDTVAFMAGEMFTVVTNGRVPACVHRVRTPSHRERLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGKFSDPLKAFCGVDVYASCTMIH >KN540404.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540404.1:47861:52905:1 gene:KN540404.1_FG005 transcript:KN540404.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQMQPPGVPHVMPPGAVRPPAMYAPQPGVYLQQPGAALPPGMPRYPGPYPMMRPGFPPRPMPPVGVMPLQRPPIIPGIRGAPPVVPPTARPPTPAVTPPDKPPTAVYVGKIAPTVDNEFLLSLLRLCGPVKSWKRTQNPSSGKPVSFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEEKKKAQEKTKEMEDGGGSGTTAVTGNELSKPVSDETETGTGDAGDKGNEENPKKFGIVTEEDSKADKDVAEKINTMIEEWLKTRPPPPPPPVEQPADISSKHKNGESSVDVTKNDSEDKSGTGSDKRAVNEAERIETGSPDKRKERERDKEKRDKDKELERYDRERERERVRRDREKDIKYKEVERLYKERLKEWEFREREKEYQRQHEKEREKDRERERKREIMKQEDDSDEEDNRKRRRRSSGTLEERKRRRLREKEEDLADKLREEEEIAEARRRAVELQQQADEAAAAAAAVVAESATVMEVDGDDGKERDAQDKPTVVDDGNNDSFANGVDSGVNNGKDNNGDDKNMTPGEISDTKHNTSAPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNDDKRARPLVPIDYSTEELQAVQANSSTGQPNIVAAAEFAKRILVTKDDKSDVEKDRSRRSSDRMNQRDRDRNDQDVGRTGDERREKTHDREKDKQDKPKSENKNILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWIAKKIIEFLGEEESTLVDYIVSCTKDHVQASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVETGLSGRAKA >KN540956.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540956.1:9807:21557:-1 gene:KN540956.1_FG001 transcript:KN540956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFESYEVRGDMPTVPPEVIDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNVKVVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAARVAELKEEIRQSKAAKRKQREEREKRKKENVLRSGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSDANRRMQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPAELPVCGDPREVMSSLCSPSENPVSDIKLISELVTSSATLHWLFCRLPENNYYTLLNACCKNEIFQGYDQNAINKNPAYPDDHDQNAISNNPAYHHAGYDQNAIYNYPSYAAHHGYQAAHGQNSLPSNPVPYAAHQCTKNSMPVNGGAATDAVGVIQTSDGATTIVVAQAADPPSVLLMVHFTPNPLEELR >KN540956.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540956.1:37062:37439:-1 gene:KN540956.1_FG002 transcript:KN540956.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SVKVKLCYAPASRTDRGWRKASDDLHKDKACQFKVTVQPYAAGAGRFDYVVARDIPTASYFVRAYAVDASGTEVAYGQSSPDAAFDVAGITGIHASLKVAAGVFSTFSIAALAFFFVVEKRKKDK >KN540956.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540956.1:33142:35857:1 gene:KN540956.1_FG003 transcript:KN540956.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METEIDHKTSRPTSSQSSRPGSAHSSRPGSPGSQTSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRRIDRPETNEEKDLKEQINLLRVDLKETEANISDEDKKGLSEKLSQMERELERLTVELDNKVRFGQRPGSGSGKVTAHLSNSPDESQITESTEQPRSRSSIDQNPKPAEERWGFQGNRDRGSFGGNRNTDRSLTGQRW >KN540956.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540956.1:28256:29386:1 gene:KN540956.1_FG004 transcript:KN540956.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDQVDDEELLQLVELEVRELLSSYEYDGDEVPIVAGSALKALENLMANPAIKRGDDEWVDGIFSLIDSVDNYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRETRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPASITPHTKFDAVVYVLKKDEGGRHSPFFPGYRPQFYMRTTDVTGNVTMIMNDKDEEAKMCMPGDRVKMVVELIQPVACEQGMRFAIREGGKTVGAGVINTILK >KN544267.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544267.1:18:3603:1 gene:KN544267.1_FG001 transcript:KN544267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGKVERITSEFDPDLAEVKRFIKARVAQGAIAALIAAIIALLARMRDLNAELSKRLYASRRKRPPSETLHRLQMELPFWVRKELPEEERVCETCNAVKTEFDTEPRRELRYEPARLYIQEELLVKYACRCCGLGVTTAPATPKLIEGSNVGASVLAHLAVSKVIDATPIERVGKQWSRHGYDIAPSTMHDWFGRAASEVMFLSPIARSDMLSSLVVSFDDTPMLAKVAGHANGAQRGRLWMYLGDISRVAYCTFTPNWKGSHPRAVLEGFSGHLQSDGYGGIAALFKGSNAPNKVGCNDHCR >AMDW01022798.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022798.1:85:259:1 gene:AMDW01022798.1_FG001 transcript:AMDW01022798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNPRVFFDILIGKAKAGRVVMELFADTVPKTAENFRCLCTGEKGLGASGKPLHYK >AMDW01017818.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017818.1:50:220:1 gene:AMDW01017818.1_FG001 transcript:AMDW01017818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SWYGDERPRWLGPVPYEYPAHLTGEYPGDYGFDIAGLGRDPVAFANYFKYVTVEIF >AMDW01040732.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040732.1:126:2057:-1 gene:AMDW01040732.1_FG001 transcript:AMDW01040732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DESSLGDSEIDQNSDSDIDYIEDEGDSFSDSGGCSPVSKRTWHYTKRHGNPYSGSSFSKISHFAESSVTKEAKQRLSERWATVTCDQISQEQVELPRSTCTLGEMLSLQDVKNDNFINELPSVSTSRCCDRENELPTQAKYATACRKDEKNGERPMGLPRSTSVPLIPSTLNNMVANVKTSNHQGHERTKHVVASNKEKSFFRGRVSGFFFPRSKRTTRQISANHTSDLSSGNTEDCGGDSQQDANHDLDGNEKSTICEDIHDICAVQSTSSSEGTTALTDVPASLHCRSGNLNKLGLNEVLSSTRDQPSPTSVLDAPSEDSSCNELESSASTTSKDAKAVSRSSAIEAVACSLSWDDTTSESALPGTRGQSSFLPDVDDDESECHVLVQNIMSSAGLDDAQSSMLFTGWHLPDCPLDPILFNKVLELREQSSYQRLLFDCVNVALVEIGENTLLSTFPWSKAHSRTWMDASSPALGIEVWSILKDWIYGARMFVVSRRDNAGIMIERVVKQEVEGTGWVKTMRTQLVDITEQIEGGVWEELVGEAVLDFVPACQR >KN544579.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544579.1:800:2943:1 gene:KN544579.1_FG001 transcript:KN544579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANHPHPFQLLGDAGEGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGFEN >AMDW01134038.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01134038.1:7:312:-1 gene:AMDW01134038.1_FG001 transcript:AMDW01134038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSELARKPRVLKKVQAEIRAAVDVNGRVQPDDITKLSYLRNVVKETLRLHPPTPLLLPRETMRHIQISGYDVPAKTRIYVNAWAIGRDPASWPDEPEEFNPE >KN543523.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543523.1:2750:4850:1 gene:KN543523.1_FG001 transcript:KN543523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNHSDAAFPVAATTPLLGRRPLDAGEFRRQGRQVVDFIADYYDGIDDYPVRPAVAPGFLAGKLPATAPSTPEPDALAAALRDVRELILPGITHWQSPRHFAHFSATASNVGALGEALAAGLNVNPFTWAASPAATELEVVVTDWLGKALHLPERLLRV >KN539997.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539997.1:36:13359:-1 gene:KN539997.1_FG001 transcript:KN539997.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDSYTISGTNKVVRVGDCVLMRPVDSDNQPYVARVEKMELDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMSMTIEQAKKLDHFVCSDCVKENGAKRPSHAYAGSTKYEPKMIMDKISSGEEVGGAGGAYSYNALKRLDQIWSSICEAQSDSKVPEVVTRVQGPLVDYDLGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMELVEVGILSEEEMKQIISSDFNPSRCAFESKGEIWVENILNLGISPAKLVEIMKERFVSSGGAIFEGKNLSSISVHDDFAVLNLSDGGSLPCRLVIDAMGNFSPIVRQGFPAGSGPTDRTTYMFTYVDPHFGGPKLEELFETFWDLMPAYEVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDARSLQLLNPYMPNLSASWLFQRAMSARPHIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGPGVILNWSGHFVMLGYYTFLSTFIDPVLRPWVESLPLRNKYQWKRYLEAWKYGAGLDYRQGE >KN539997.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539997.1:32508:33397:-1 gene:KN539997.1_FG002 transcript:KN539997.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MITKAKGSLLSCLPVQESVLLRLRTLDLAYVLSEHQPEDGRSDSAKKKRARDDELCRGHILATLSDRLLPDYAHHATAAAAWRALARTYDMDGKLPNLPLDRFFAYRFVDGEPVLEQLAHLEAMGVAGKLDDRTMYGLVHQKLPPALKPQGNAQG >KN539997.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539997.1:26718:31431:1 gene:KN539997.1_FG003 transcript:KN539997.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSGELLAVKQVLIGSSNATREKAQGHIRELEDEVKLLKNLSHPNIVWWCLIYLVCFEQRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAGANILVDNKGCIKLADFGASKQVEKLATTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEHFVTPFREPELRSTASDLLLHPFVTGGLEDLHQVNHATHKETSELPAYDMPTGDLGLNHSGNPSNLNSYKSSDMRPIWDGHSSDDMCQFADNDVVMVGSSFNPMSEPFDDWESPEQRSSQSREFGGLAKHVENNMSENDFTFPCEGGCEEDDELTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSQGVGETCQVDNITDLQLPLQGRSPPIQMEGVAAVGPTSDILKSASPKSCTRRFSRSGVENGRILREIASPQLNKFEDKVHDIQDNPSISFSERQKKWKEELDQELEIGRGGHLDLKPGCHDLPTGEQFTGCFAYNMQLYVNDALAILGALQVWQDGLCAEGIVVLLFFFPFSSLFL >KN539997.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539997.1:21634:22623:1 gene:KN539997.1_FG004 transcript:KN539997.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRETRESEERRRALCVPRLLAALRRVLHSKRHTPKAHADAAAALANLTNEPENRIPIVRAGSVTALVEVLSLGTASPEACEHAAGALFGLALDEENRAAIGVLGAVQPLLDLFTARDHAPRARRDAGMALYHLSLSAVNQSKLARAPAAAKNLLSIASDSTTAEPMPIRRLALMVICNLAKCAEGRAALMDTGAVATVSAILSDDTHRSELEELCVVALFGMSRGNPRFRGLARAAGADRPLILISERAPAGAHKEMARKALRVVLGLGDDSERDLPDFMNSARNVNGIAGSSVPVHRRRAASWAAAPPAQTPPNAHQWRSVCID >AMDW01039390.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039390.1:321:735:1 gene:AMDW01039390.1_FG001 transcript:AMDW01039390.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPAKDNQFKLLARAGRQVQELYLTTSLDQEGIKKAVQSVLGRVP >AMDW01038275.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038275.1:71:696:-1 gene:AMDW01038275.1_FG001 transcript:AMDW01038275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVTVIYSKRGGNTTVSSHSEWLLTVPAMPDVINVKLVPITSLIRGVPGTGFLSHAINLYLRYKPPVADLRYFLDFQHHCVWAPVLGELPLGPCSHRQGSSPALHFSLLGSKLYVSSTEVVVPKLPVTGMRLHLEGKKNN >KN538726.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538726.1:218659:226326:-1 gene:KN538726.1_FG079 transcript:KN538726.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVASKCDSRSRSGAAPEIMRSPRRTIGENGYRDTSRHHQLKCSDGNLGELRVESIPNFHCKSLPTRSRNTNAEDSIVGKRGSMYQSSSEISMIRKLHEGRRKKIDSALDGDAFLSFEIVDSSSQPSTSGAYLFSHQNRRSEAKSSVESRRIHRASRDFLDLSFRELPDENCRLDRPRMDCTLLKNDAGDGFLEISLEEEVTKGPCRNAAPQLIGGESSKGTEANCLQNKISVCPSESNCSKRERNSTNSLPESMSAKVSISDGTRPSESVRHGIENSTKVRSSPFKKILDPIMKSKSIRSPSLMEDSNHVTMPVNRKNCVSRKSLLSDFSRSEQSQSINCQLNGERQHTTNALSPAHLQAVLKLDTKNGIPVFEFCVEGPEESISARSWKTGNDLNWIYTFHSGGKRASAAGRASKDGRWCSPPIVGQMQVSSYLCSEVGKDGVLTNSVITEFVLYDIAHARRSFSVEEKTQCTETTQSTLSSAVEKSASGDCPQRINLMDQQNSARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFNRSKSKELKSGLSPGTVKVITPSGLHGLPNDDETSPSPLLDRWRYGGGCDCGGWDMACPIAVLGNAYDNSWANSVTKEGENPMELFVLGGKEELPALSIKGNEKGQLLVHFHARLSALQAFSTCISLLHCSEASTVVSIEKGKHKLYSSSLKMLLEEEVSQLIEAVTAEEKRKTKKKNGRGGYACFWKEEVLIEWLVRKNWTVYQWRIVILVPNLGRTGENGRDWELGDCGFGCSNAHCICCSIILRKIMDLGLTNSLQYKMEFPNKMGKEMSAALPHLLPHFIIHLPVASASASAAAGQFGGLQPHLLQIDAGEEIIPKITALSKSNGRVICVLSVLGAVQEATLLLSSGVTSYHKGPLEIIRLFGSILTPNDHGCLRVTLASGDSSVIGGVITGPLKAATPVQAVVASFYSNVYWPNRTPKIIAPYPNSQSTIRNGSTLSSEHVNPGYVSYTAVDQHESSEVDVKPSLGMLNFASLDRHESSWWELENITIRLARKWDKPDQYISNGMYAAQATAKLIGNRWAAPVTGAVDFEQPID >KN538726.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538726.1:193662:194254:1 gene:KN538726.1_FG080 transcript:KN538726.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAGVIATLLALNLLFFTFSDACGCQCGSCPSPGGGGGGGGGGRGGGGGSGGGSGGGGSGGGSGGGSGGGGSGGGSGGGSGSGGGGGSGGGGGGGSGGRCPIDTLKLGVCANVLNGLINVQLGTPPRQPCCSLIQGLADLEAAVCLCTALRANILGINLNLPINLSLLVNYCGRSVPSGFQCSN >KN538726.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538726.1:121650:137932:1 gene:KN538726.1_FG083 transcript:KN538726.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding YCMDKTYFTTCFCEALGPMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSGLKLKGQQFSIAQIEGLCSNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLVSEIEKEITMADIVTELGYGCTVDSTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSAISNMNDSPQLTTWNTDVLVDSINELASSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYTHACKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHVNTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWKINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLTAKLRVCKDDFLQILQYQSWHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARFSSSQNELSVNISVSSEQHVTGLAPIEPIERGEWARPRLVVVYGFSFFALAADFHDMTSITHPHHHSPSHRLLINHLHYLPLINCPVCHLLCMLLFFHILKVPAQAKAKECIEVLPECYYPWFAQFMVMKRASIEPNFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKYATPHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSLSETALASLSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLIPKDYALESDNNTIKSSVHSIARTIAGNLAYANCKEPLCVALTDHLQSQIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALRPKHEHLSVAQQATMFMYGRAIANMLTLHVLVSLELVVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKILLVAVRIIQRDSEEKKASFSPQPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSFCDMIPSSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKPEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTKSSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNTHTHCFYFIILHLFSEATQEIIQDQIMRVILERLVVRRPHPWGLQMTLIELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >KN538726.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538726.1:236007:240142:-1 gene:KN538726.1_FG086 transcript:KN538726.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRLARRQENIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFGGLAGRRHSRKKSRDFEEIDEYGEDNIEECNTRKQTVKRLMEDELGKVKQVKKIPNEEVQRILADLGHDVCLEKSSMQSTKQNRAKSHSTSTAMASPSGLLDPSGSKSMKQAEEDDLELSLADFVGELYGYHDEWPHNDCKNKSELCPELKSHIHTKLSEFKSVPCQRAYEESPDRGQKEHFYEKYICNSKSYQSNKLVDAPEMLSPEKELFLKTLQKPSLHTLEKENTQNNQNRQVVTKLEPRKILEKGENTKNSKQHEVAIKTHSKEGRNIFFWRKDKSIRKGTSEGTNSSKMINKIVILKPNPRGIDTTVATSSTCLDQQSCTIQSPKYSATESSKFSIKEVRRRFKIVTGETRRGRPSVYEDDLQRDSQWINDSVFKVRKDSKQSDKDDLRPSTSGKQKQTNDGLGAINRDIITSKDTSIFYEEAKKHLTNILEYKSQTTKHPTVHTSKSLIGMLSLPQRNASSPRSSPRLKGCIDLSPEETNISAIQQDERIEYAKERNLSDEDSGSVACGTSEVLSMKQKTAQDGDITHIEEIDKPACSETICSARTTLKEQCTCNSSLEMIEGDEPGREHAGMLLSYPENVVEGLEHQEPKTPRSSASLELISQISSEGNHEKQEQPSPVSVLDPFFHEDVDSPDHETMIKRELHQEIMRPHIPDAISDQWVFWEDEDARLSYIKAMLELSELCTYQNLEVWYLEDELISPCLVEELHQGNQTDDLKLPFDCICEAITIIQETYFRNPPCLSFLMHKIQPPPMGENLIQEINRHIERHLQNQFPRTLNQLVNIDLEDGTWMNLQSESEEIIVDTWEFILDELLEEVANDLLI >KN538726.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538726.1:184219:184653:1 gene:KN538726.1_FG087 transcript:KN538726.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKNKVQVSAVFVLALNMVITMQMGAVVQACEPYCPTPTPPVTPPPSPPSGGGGGNKCPIDALKLGVCANVLNLLKLKVGVPESEECCPLLGGLADLDAAVCLCTAIKANILGININVPVDLVLLLNYCHKTCPSDFSCPLI >KN538726.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538726.1:214021:214470:1 gene:KN538726.1_FG089 transcript:KN538726.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYDGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGKISLRSASPQIKSLDQI >KN538726.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538726.1:231250:233591:-1 gene:KN538726.1_FG090 transcript:KN538726.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRPIPSIHRQPPKPTTTHVARCFRDPPKAPDTNSNSNSNPLLSLLSAVPDWADALTAPALALLLVFRTEASYARFDEGRKAWMRVIAAAADLAGMAMRHHNAPATRALLNYILAFPLALKCHIICHSDIKRDLQGLLSEDDLNVVLRSKHRPRCIIEFISQSLYMLDFDENKRNIMESKLSCFLEGISVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEELCFHLKLFNIVALVATSCRHQLAMVLKTLVHLKTVTAAPSRTCSCFHFPIPDLYCTTTNPVAPTQVGVLIEEPFPMLALDELCKQLHDSTQDVMSVQNLVHNRLAAKTKDQDSGSRRCSDNGWPSSKSEQAKID >KN538726.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538726.1:201899:205063:1 gene:KN538726.1_FG094 transcript:KN538726.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDPIVALVHFELDQVTGKRPSIGELAANIASSSFTLTSPGLRPPTHAASTPPPPPWLVDADHHHDNTFLHWVINDHHHPLLDLDDVDLDYAKPAPNSFFADRGLHHHHPGPASSSFPNPKPTADDNTSTVEQLVQAAKLTEAGDVLAARHILAINYRLPASAAPPLLRSALYFKDALRRALNSDVDDDDSSTPTLTPPIHDLLLKLTAYKAFSDLSPLLHFAHFTCVQAVLDELAPSASCSCIHLLDFDIGVGEQWASLMHDLAHRHPGVALKVTALNVTASSSSSHHPLQLQLIHDTLSTFAADLSVPFRFAAFNLDATDLTPLLAVAAATDAIAVHLPVGSVHATAVPSVLHLALRSTLSLLESLDAMGTDSNVAAKIERFWVQPKIQECVRAAVGVGGDKTAASAWGATLASAGFVPVQVSSMAEAQAESLLKKLPF >KN538726.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538726.1:173837:177433:1 gene:KN538726.1_FG095 transcript:KN538726.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARVVEEEEDPDVEMMKKRDDEEEEVVGEAWDYKGRGAIRGSTGGWRSAAMILCVELNERLTTLGVAVNLVTYLTGTMHLGSAASANAVTNFLGTSFMLCLLGGFLADTYLGRYLTIAIFTAVQAAHGVAAGGDGAGVRAGQGRAAVGIRHLRGRHTHRPPLLPRRHHHLTTTYRFKKLLGSPLTQIAAVAAAAWTNRNLPLPSDPSMLNHDDSAQTTAKPKPNQNLPHSKQFRFLDHAAIVETTTTAEAKKRKRWAACCTVTEVEEVKQLVRMLPTWATTILFWTVYAQMTTFSVSQAALMDRRLSTTFVIPAGSLTVFFVAAILLTVPLYDRLLAPLVRRLTANPHTALVTVVHKVTAESRRPWLADNLDEGRLDNFYWLLSGISVVNLAVFVAAAARGYVYREKRLADAGILDNQR >KN538726.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538726.1:215139:216558:1 gene:KN538726.1_FG096 transcript:KN538726.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYDGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEEADLQD >KN538726.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538726.1:181276:181701:1 gene:KN538726.1_FG098 transcript:KN538726.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding description:LTP1 [Source:UniProtKB/TrEMBL;Acc:S5CGT5] MAGKKVQVCAVFVLALNMVITMQMGAVVQACEPYCPTPTPPVTPPPSPPSGGGGGNKCPIDALKLGVCANVLNLLKLKIGVPESEQCCPLLGGLVDLDAAVCLCTAIKANILGINLNIPVDLSLLLNYCHKTCPSDFTCPL >KN546186.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546186.1:5:290:-1 gene:KN546186.1_FG001 transcript:KN546186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGGDDGLFLAAPSRDAPCSPVMFNFMDFNVDMGDVDMDDVLMWADQDTHGAAAAGDTAPPVVVDEYANFVQFQAGDLDCSNCHLVREMMHAN >AMDW01138697.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01138697.1:32:259:-1 gene:AMDW01138697.1_FG001 transcript:AMDW01138697.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELDDEVVVLRAIAEEGVGVLLLRDVALPQQLHPKALRVEPMQQQQQQKINRSRQNVRLCVFEFHFSVRDCIREGDE >AMDW01038088.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038088.1:147:595:1 gene:AMDW01038088.1_FG001 transcript:AMDW01038088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHNRLVAAVNNASFLAQLEKIRDESMHQQTELSPDNMTDIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTTFIARCILEDPKSK >AMDW01039328.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039328.1:70:920:-1 gene:AMDW01039328.1_FG001 transcript:AMDW01039328.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQ >KN545947.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545947.1:383:1186:-1 gene:KN545947.1_FG001 transcript:KN545947.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLSKKIENILKDEVFLKLNRTQHNGNGSSWTPRQSSSLVEPNLVGKEVIHACRELVDLVLAHKEKNVYKLAIFGTGGVGKTTLAQKIFNDKKLEGRFDKHAWVCVSKEYSKDSLLRQVLRNMGIQYEQDESVPELQRKIKSHIADKSFFLVLDDVWNSEAWTDLLSTPLHAAATGVILITTRDDTIARVIGVDHTHRVDLMSADVGWELLWRSMNINQEKQVQNLKDIGIEIVRKCGGLPLAIRVIATVLASQEQTVNEWRRILGKDA >KN540441.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540441.1:7866:10956:-1 gene:KN540441.1_FG001 transcript:KN540441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAASSSLPAAAFSPPASLSSTSSSPHALRSAVAGAARADSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGADKAVPRNMLEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPARDFVGALTTAYRRNGADRQGEEGIAEQRRAREDFNPGSFENLETVRNSGVKCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDIRYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLGMVIIISCKCLQSFETLRIIVDIDVYWNFFIIETFKVDTSNTKTLLEKRGDLIRDKGILVVGESGLFTPDDVAYVQNAGVSAILVGESLVKQENPGQAIAGLYGKGLLH >KN540441.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540441.1:38401:40899:1 gene:KN540441.1_FG002 transcript:KN540441.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKINAINHHYLIDISPELGGATAIDGPKEMKELGIVDGAVEMKELGVAAAVHGAVEMKELGGTASIDGAADKKELGGAVAGAGGAAETKWLRKLTSSSVNTAVLRDLIARTPMLWYLGERSGTILRPRSRRAGVDALHAVRAVAIGPFHRRDHWLPFPDDAKLPFLRYLQDQCGLDVEHYVAALADESDRLRDEFADDDVGDDVAAEILGDEEKFLQMVLLDSCFILVVSMMLSKVCTDGDKASCVSRAASISREYFILHMAVSQHAEDIKLDMLVLENQLLKIKKESERLFPSSMELCRSAVWFRSAAASCGDLDMWFWGRTASPVAVMTIPCLDVHEYSATVLHNMIAFEKHFHWAHGACVTAHVARMEGLVRCPQDAAFLRRRGVLSSMRKTDAELVAFFRELGEETVGARLPDEYAEMVDAVACHRSRKNIDISLQASCFIEVEDAD >KN540441.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540441.1:31835:34175:1 gene:KN540441.1_FG003 transcript:KN540441.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKFNVKIEIVLKKEAPPMQWPLPSLDHRLLDKKQKYQQVLQKLELHQAAAAPSDVSSVTGGIIRDLSMMVIPIEHHMVHRGHGIFDFQQMNWFLIM >KN540240.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540240.1:5205:6281:-1 gene:KN540240.1_FG001 transcript:KN540240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHKIAAVALVLWLGVESIARLPAVGALPPAPSSNCQRTCGDVYIPYPFGIGDDQSSPDHCAWAAGFYVSCKGNATFVANVELLGISLQLGQAQVMNTISSYCYTEPNGSVSIKGVPSTQSPGNLQVKDKPKDSSGNSMESTDWLLDLTGWPYRFSNTQNKFTVIGCQTLAYISDKVDGGRYMSGCVSVCCCQTAIPTDLQYYKVWFDPRMNTTAEIYSKTRCSYAVLMDSSSFNFSTSYLTSKAFNNSYDRHRRGRVPLVLDWAILNESSCEEAKKNIDSYACVSSNSICLDSRNGPGYFCNCSKGYQGNPYLQGRYGCQDNDNSHFF >KN540240.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540240.1:49754:52578:1 gene:KN540240.1_FG002 transcript:KN540240.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLNSILELTSSGLLLLAVGCKALSSVYLNDCKGIAGSTEWLEHLGTNGALEELVVKNCKGIGQYHFLMFGPGWMKLQKFEFENEQSFWSIFRRDHDPSYKAHTYGYDLLCEGLMDLRLTCKNLKSISLWLKPEHYNVGDDIVFRTGFTDESLKALALNCPFLQHVQLIFTGCEHWHPPEIGFTQEGLVMLVQSCPIHVLVLNGALFFDNEGMEDLSSAPFLETLTLMDCKDVTDHGMLCIVHFPCLINLTLRYCQRVTDVGMTKLAHAQKLQSLVVGGCPMISEKEGTMLFGCTGLFIPADPDADAASVLTSATLVRSSNDGSKIIDNFTIKVRLPEI >KN540240.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540240.1:45619:47058:1 gene:KN540240.1_FG003 transcript:KN540240.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLRVEIDYSGSTPGSGNHIDNQGLFVLTSCCTLLNDITLSFCSKINDAGIACLTYCKKLMSLKLNSIPEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVRNCKGVSQYDFLKFGPGWMKLKTFEYENEENFFSIHPHYGSSVKANTHRYELCCENLKDLKLVRLVTDPKGPEIGLCFLLRKCMALEKLCLEYVTGVIDNDMIVLSQACKNLKSISLWLQPEHYVVDGHIEFRTGFTDESLKALALNCPLLQDVELTFTGCAHWDPPEIGFTQEGLVSFVQSCPVRVLALNGALFFNNKGMKALSSAQFLETLSLIDCDEVTDHGMRFIVHFPSLTNLTLRFCHNVTDVGLSELAHAQKLQSLEVGGCDYISQKGVLGAAKSVCYEVNCKSLGHYKRMC >KN540240.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540240.1:41380:42828:1 gene:KN540240.1_FG004 transcript:KN540240.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSEELIIEILKRITRTSDLNSFSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEISNSCSTPGNGSHIDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASITYCKKLMSLKLNSIPDVTSSGLLLVTFGCKALSSLYLNDCKGIAGRTEWLEYLGSDGSLEELVVNNCQGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLVDLKLARLRTEPEGPEIGLRFLLRKCKVLEKLCLEYVGGLIDKDMIVLSQSCRNLKSISLWMMPLRFHEHEVFRMGFTDESLEMLAHNCPLLQDIELTFAGVEDLEYPEIGFTQEGLVKLMHSCPIRSLTLNGTLFFNDKGMKGLSSAPFLETLRLVDCKKITDYGMCFLVHYPCLADLKLQYCSGLTDVGIAELVHAQKLQSLVVEGCSNISEHAVQGSARSVQYFPNSARSGATHLKRLVD >KN540240.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540240.1:53880:57002:-1 gene:KN540240.1_FG005 transcript:KN540240.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHILAVLLWLSTAVSAGDLPPNCERSCGGVEVPYPFGLDPACALPGFNLTCNTTGDGKLAPYFYNVELLNISLIEGHVRVRMFIGNYCYNSSSGGMNGTSWTLNLRSTPYRLSDSNNKFTAIGCRTLAYLRADGVLTTGCVATCQEDYLTRLTDGVCSGIGCCQTAIPKGLQHYQVTFDKGFNTTEIHNMSRCSYAALVEASSFNFSQNYSTSSAFYDHYRGRAPLLLDWAIGNETCDVARMKSNYTCISRNSECLNSHNGPGYICNCSKGFYGNPYLKQEDPNSCQDIDECKEPNKYPCYGKCRNKVGDYDCTCPFGTRGNAYKGPCDRGLAIGICASLLVALTSLLGIEWIKYKQRIKRQGLMRKRGEYFNLHGGQLLTDMMNIESNISFKLYDRDEIELATKGFDKTSIIGERGQGTVFKGYNLDQVSNPVAIKKCKGFDENSRTEFTQELLILSRVNHENIVKLIGCCLQFEVPVLVYEFVPNKTLHYLIHSQNDPSIRTLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSMNILLSNNFVAKISDFGCSKIRAADGHDDVVKGTIGYLDPEYLLKFELTDKSDVYSFGVILLELLTRRTPLSKQKISLASVFQEAMKEGLFLELIDSEILHEDNVGLISDLARLACQCLAMTSDSRPTMSRIAEELRRIEKQVQQHRGVLTSISSLSLSASSVADTSSEHFTSETNGYDSLRRVAAMSVEFAR >KN540148.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540148.1:60740:61919:-1 gene:KN540148.1_FG001 transcript:KN540148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FTVPGLYYIGRGDTSPGYAINFYNIVPIAAFILAVLFRKEPLNMRSIVGIIKVVGALVCVGGTIIISLYKGKVLHLWPTNIIGYHPSKAATAFGHHHIRGTILLVISCLSLAVWYTVQAQMLKVFPYKYWSTVATALLGVSRWPL >KN540148.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540148.1:47361:49864:1 gene:KN540148.1_FG002 transcript:KN540148.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGWVSRGQYLPRESLDTRRRVHARRRSMFAAARRGGSSASSNIPVYSLLIDKLLTVLDLFYLLRVHTGARRGMAQNLVEFAESVGQPIHQLDQLCGQCLRSFCGLSCPNHLVHPHPQGNHAAGPDIITIERLNGWLVIDQEQLPVEFGQDIHVMVGEDGRHMLPIKRLPAEHGDGHDGLVEPDWNLCARAGCNEMFNGNAMCCCMRCFHLL >KN540148.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540148.1:18769:19337:-1 gene:KN540148.1_FG003 transcript:KN540148.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNKWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFVIPSSEPPLLFLAVSSWVIQHMQDEDAGRPYRKFLY >KN540148.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540148.1:173:283:-1 gene:KN540148.1_FG004 transcript:KN540148.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDV >KN540148.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540148.1:15053:16016:1 gene:KN540148.1_FG005 transcript:KN540148.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLYNAKARNLFPRKACGGGGDGGRGGEFWTSGGGGLAIGGGGDGVGLGLGLDGGGGGGGLTGGGGEGVTGGGGGGNTGGGGGNCGLGLGFGEGTGVGLGAGLGAGTGAITGGGGGGGNTGGGTGGSTGGRGRGAGAGVGGITGGGGGGFPGGGCGGFSGGGGGGFPGGGCGGITGGDGGGVVGVDGGGVAGGDWGGFAEGGGCGGRSGGAGGDWGGFAEGGGCGGRLGGAGGVGDGLDMVARRASATYVQLPA >KN540148.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540148.1:58290:59528:1 gene:KN540148.1_FG006 transcript:KN540148.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGCHQSPYCGGGRGWLSPRCRTSGGFREYDTGGGGASLTDDVLAAIFTRIPNAADVVRCAATCRRWASVVAKEADALSRALPPLPGLALGLFHQDRQDTAGAATMNTRKRKRRSTGLECSAPPCFVPMASGARLLGFNLPSTTALRSGGQHGHGVLDLSHSRPIASRNGRLVLELQSEGHVDRSLRLCVCNPMMGDVAVLPTLLGNDRPKIYACTLLTGADLDLDRPRHASSDFFRVLIIYNRNRFTAFRSYSSDTCSWSMETKKTSGPKLTNWDLGKLGQGIVLHGVAYWPLKRTALAVRFDTPAPAQVLMPPDGVPNPLQQLRLLSVTPDGKLCLLDAGNGAGYASFVRTVFEASTGEWVRECSVTSTRLKVKSAADINLRWFCENSGILLFTLGRGSTAAVILEPLQ >KN540148.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540148.1:4429:6989:-1 gene:KN540148.1_FG007 transcript:KN540148.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAGSHKPLSVLARSHPRPSLRSSRVTDGGDSDTSASRTVRPEFYDPHRPPSQQQSHALSPSPSSPPPLLLQPHSFVSSGGASEAASAVPGIAMMGGAFGYDELAAAADGFSESNLLGQGGFGQVYKGTVRGQEVAIKKLRSGSGQGEREFQAEVEIISRVHHKNLVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTFEPKVADFGLAKYQATEQTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMVSHGDQPDTLVSWARPLLVRAVEEENFEELVDPRLENNYDAYDMGRLIACAAAAVRHTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTSGGTTDQMKRLRKMAFGSATGTGTVSEYTSSEFSEPTSEYGLNPSTEYTTSAAGGDTGEVTVDVQMTAGASGEAAGTERLSRRTTARRGGRVATWNEMLA >KN540148.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540148.1:38246:42518:1 gene:KN540148.1_FG008 transcript:KN540148.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYACNLSVIRECSFAQSGIMRKGFSMYGLIGDKPYPSMRVDLCHQTNDEDEEDTRSHHEALLDRTKNKPGIRAVDVRRRCCPGVQLGSRQKFVILVLLLSFVVTIAFAILIWIGRGENPIDSSLLKRVYLDVFSVVVLVLGGALACYGAILFSKMSKVRSETGSSEKRKVASLATVSLICFSSSAILALVTNVPVLVYWYSADEYIINNAIILFMYYFIEREMAQPLVNFTSDTLAGSSIPSGFVLWVMKDIPHRQTVERPTQSRVVTLFRDTPSPTQDPQWRTAVTSSNKALKSSPI >KN539528.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539528.1:25019:26636:-1 gene:KN539528.1_FG001 transcript:KN539528.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MALALVSPMAALSLHSGRISAAAIGGGLRSRRASPMGAATTPFLWSSFVSSSSTSSSSASPAALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRNRSRKSLARTHGFRRRMRTTAGRKVLKRRRAKGRRVLCTKTNSPTGKKRMF >KN539528.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539528.1:41914:57054:-1 gene:KN539528.1_FG002 transcript:KN539528.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKYGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLNNEEKKTLLERYTLKETQVYIHAHMLGEIVLLRRSHVNDPMAVMIRVLQMTRVIHDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEEIHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARTAIAIVEKGLQEHDAASSSREKEEIEGLRKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLFELEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLNAEKVTIQTMGPINPAAGLERYVESLMKRADVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAELAGDAVAAALDGGEEGSRAARLHSFFASVISGIFGQGEEEEEGEMATRSQNVAAAPQPPQNRGNVAALGKQKAVVAGRPDAKNRRALGEIGNVMNVRLPEGKPLQQAPAGRTANFGAQLLKNAQANAAANKQNAVAPAAVARPAQRQARKAPVKPAPPPPEHVIEISSDSDQSMRQQSEGSASSVRKCSRKKVINTLTSVLTARSKVACGITDKPRELIEDIDKLDGDNELAVVDYIEDIYKFYKVAENECRPCDYIDTQVEINSKMRAILADWIIEVHHKFELMPETLYLSMYVIDRYLSMQQVQRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKGILNKLQWNLTVPTAYVFIMRYLKAGASADNKSDKEMEHMAFFFAELALMQYGLVASLPSKVAASAVYAARLTLKKSPLWTDTLKHHTGFTESQLLDSAKLLVTSHSTAPESKLRVVYKKYSSEQLGGVALRSPAVELCK >KN539528.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539528.1:9275:10820:1 gene:KN539528.1_FG003 transcript:KN539528.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSISASLLLILPLLPLLYFLYLHQDPKKQPRAHGLKSYPVVGTLPHFIKHKNHILEWSAGVLKRSPTHTMSFKALGLTGGVFTANPANVEHMLKTNFGNYVKGEAIITMLEDFLGRGIFNSDGENKRTLRNFVVDTVQFEVIERLLPLLERAGRDGRTLDVQSVLERFAFDNICRVVFDEDPACLAEDSVASPHIAEFMGACNDAQNAILARFNSPIKSLWRVKRLFNIESERRLREALATIHAYTDRIIRERRERGEARGDDFLSRFAAGDKHSDESLHDVITNLVLAGRETTASALTWFFWLVSGRPDVEDNIVREIRAVRRASSSNGVTGGAAFSPHELRDMHYLHAAITESMRLYPPVSLDTYVCKEEDFLPDGTFVGKGWQVTYCAYAMARVEDIWGTDCEEFRPERWLDEAGVFQPESSFKYPVFHGGPRMCLGKEIAYIQMKSIVSCVFDRFTLRYTGGEGHPGLVTSLALRMEGGLPMQVLLTNRGQAVSC >KN539528.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539528.1:26891:28252:1 gene:KN539528.1_FG004 transcript:KN539528.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGALITSSAHAAAALLLVAFLFLTLRNLPISLSPPTAALTPTASHLEQDQASCDTTSTLDCADPQLFHLMMRRAIDAFPDVHFNRFGRPVPGDPPSSSCDMAWRARSTASANYKDYRRFSVARDPVTCAYSVTSIGEYHSGPLARKPRRGGTNATAPPPPPALSRSQFAAGKYLSYLGGGDRCKPMPHYLRSLLCSIAEARYLNRTLVLDLSVCLAAAYAGGMPEEGKRLAFYIDIEHLLSVVGIVEHKRFWEDWDKWGAQGQLGVRIIEDSRVAPTKFSKSRDPLIVRKFGDVEPGNYWYNVCEGEAEHVLRPPQGAIRTAPSLMDIVDGIISRMQVDFDSVHVGGNDENLRRRIEESVNGGGRQVYVAGEGINMVLLDALKAKYSSVHYVDAFEELWARDSKWFLEMKRLNGGVPVEFDGYMRELVDREVFLKGKKKVEVLV >KN539528.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539528.1:30577:36130:1 gene:KN539528.1_FG005 transcript:KN539528.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASAAGAMVLPSCALCCRSALPGSAPLSYPNQFDKRTRLSRAYAPLPAEAREIEVGAVLPPAASNPGFVFFRATSKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPERLIASLGPFVTGNTFDSDELVDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKVLKTIVDSFKLLDRLERRPDAIVADTYLAWGVPAGAARGIPVCSLWTMAATFFWALYNIHLWPPVDDREGEQELSRKSLEQYVPGCSSVRLSDVKIFRSWERSMKLTTEAFVNVRKAQCVLFTSFYELEPCAMDRITQAVPFPVYPVGPSISGMPLDGGAGKIDDEEHRAWLDAQPERSVLYVSFGSVVSMRPSQLEEVAVALRDSAVRFFWVARDSASAGDLRRIAGGNGLVVPWCDQLGVLCHRSVGGFLSHCGWNSLLEAVFAGVPLLALPVVWDQVVDARVVADEWRIGVNLSEQRREEDDGGVVVVGRDAIRAAAARLMDPDDGESREMRRRAALLREACRCAVQDGGSSRRSLNGLVKDLADGRLNCQ >KN539528.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539528.1:4393:5928:1 gene:KN539528.1_FG006 transcript:KN539528.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPISASLLLILILLAFLPLLYFLYMHQDPKKKPRIHGLKSYPVVGTLPHIIKNKHRFLKWSTEIMKRSPTNTMSYKALGLTGGVITANPANVEHILKTNFDNYPKGKLTVSMLEDFLGHGIFNSDGEKWLWQRKAASYEFNKRSLRNFVVDTVRFEIVKRLLPLLEQAGLDGQTLDLQDVLERFAFDNICRVAFGEDPACLTKERMAAPQSAEFMRAFNDAQNAILARFNSPAKSLWRVKKLFNMEPERRMREALATIHGFAERIVRERRERGEAGLAHGDDFLSRFAASSEHSDESLRDVVTNFVLAGRDTTSSALTWFFWIVSGRPDVEDRVVREIRAVRASSGSTDATFSFDELREMHYLHAAITESMRLYPPVAIDTHSCKEDDFLPDGTFVGKGWLVMYSAYAMGRMEDIWGADCEEYRPERWLDEAGAFRPESTFKYPVFNAGPRICIGKEMAYIQMKSIVACVLEKFSLRYASDANERPRSVLSLTLRMKWGLPMKVTIRK >KN539528.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539528.1:59482:61944:1 gene:KN539528.1_FG007 transcript:KN539528.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQQEADDM >KN539528.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539528.1:79155:80784:-1 gene:KN539528.1_FG008 transcript:KN539528.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSIQESENTRQQSRPTGYSFGIMGQLGVADFRPIVDDVMASWALAFRPDSPRTQGPNNPAHEGSPQHRLRSFTLTAHTVHDKLKRHRSPSLATGAHAATVPPTLGRRQETRK >KN539528.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539528.1:96848:100008:-1 gene:KN539528.1_FG009 transcript:KN539528.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGELITPAEPQVHTTSRTHRPRRPEVPIQGGLGIELNHAGAATAARRAFPSGVVFEVCLAAMDPWLADAFARFLQDPASQAAILQSLSQPASIPPLPPFPYPPPPFPAFCTQPPTAPAPPPSAPPAGIAASVAEDSTCKQGPPRPATEARPAGMRSKPSSTTRPGRRHRVTATPAPAPISAPGPADESGGKIGKKYYNHEEDIRLVSIDGSDV >KN539528.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539528.1:29576:29903:-1 gene:KN539528.1_FG010 transcript:KN539528.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTFTRKTEDQANAS >KN539528.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539528.1:17818:19344:1 gene:KN539528.1_FG011 transcript:KN539528.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTSASLLLILLLTLVYFLYLHQDPKKKPRTHGLKSYPVVGTLPHFINNKDRFLEWSTGVMKRSPTHTMSFKELGLTGGVITANPANVEHILKANFGNYPKGELAVSMLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRSEDPACLTEESMAAPQSAEFMRAFNDAQNAILDRFNSPAKSLWRIKKLFNMEPERRMRDALATIHGYAERIVRERRERREAGLERRDDFLSRFAASGEHSDESLRDVVTNFILAGRDTTSSALTWFFWLLSGRPDVEDKIVREIRAVRQSSAGSEGTRGATFSFDGLRDMQYLHAAITESMRLYPPVPFDTHSCKEEEFLPDGTFAGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPESTFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRCAGDAKGHPGLVVVLTLRMEGGLPMKVTIRK >KN539528.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539528.1:680:2218:1 gene:KN539528.1_FG012 transcript:KN539528.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSTSTPLFILLLPFLPLLYFLYLYQDTKKQPAGSNGLKSYPVVGTLPHFAKNRHRFLEWSTDVMKRSPTHTMTFKALGLTGGVITANVANVEHILKTNFSNYPKGELSVSLLEDLLGHGIFNSDGEQWLWQRKAASYEFNQRSLRSFVVDTVRFEVVERLLPLLEWARRDGRTLDVQDVLERFAFDNICHVVFDEDPACLAEDSMVSSRSAEFMRACSDAQNAIIARFMSPVKSLWRVKRLFNLDPERRMRDALTTIHGYADRIVRERRARGEAGLARSDDFLSRFAAGGEHSDESLRDVVTNFLIAGRDSTSSALTWFFWLVSSRPDVEDKIVHEIRAVRSASSSGGTSSATFSFDELRDMHYLHAAITESMRLYPPVHLDTHSCKEDDFLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPIFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGGDGHPGFVLWSTLRMEGGLPMQVTTRE >KN539528.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539528.1:39026:41204:1 gene:KN539528.1_FG013 transcript:KN539528.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFERLLDRLRLEEEEETAAPVAAFVADFYVPWVVGVGNRRGVPVCSLFPMAAVFFSAYYHFDSLPSWLAKPAHQPDAGATTGVLTFERDNPDQRLEHYISSLASSSIMLSDLKPLIHSERTVEYILACISSIRKAQCLLFTTIYELEASVIDSLESLVTCPVYPIGPCIPYMTLENEHTKSNGEAPGRIDYFAWLDCQPENSVLYVSLGSFVSVSSSQLDEIALGLATSEVRFLWILREQSTRVRELVGNTNKGMILPWCDQLKVLCHPSVGGFLTHCGMNSTLEAIFAGVPMLTLPLFFDQPIDGRLIVEEWKIGVNLRDSTDKDRLIRREEIARAVKRLMESEEAEMKAIRRHALEWKEISHRAVDKGGSSHCNLASLMEMICPSR >KN539528.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539528.1:63475:73349:-1 gene:KN539528.1_FG014 transcript:KN539528.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLISTLPAFTLMLVAPAATHKHCKLVRELGLVALLLVRELLHHSASAACAWGGEGGGSSNKERERERGDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEESGVQWSRVRAPPVSTPAHDLHASGCLGDLLPGDHFEIQWRKNKDFPYGWWYGVVGHQETCNANEHLCRCHEDDMVVLEFKHYAAGSRWRQTTRKIRRNGRNNYRLLMMMYRFTELVPCCCWTESFKSICRVRLCFRLNVILFNLSRCLYRVSQGPHLVWRGVAESAGCVESVPLFAGIFLHGSEGHVPNFWQVRIYADESAHLTWIGALVLDRVVPNSAVTTASGTETQLRDCWNGELLHGDNAPVSRAVVIAAALFSVAFGFRGRFLDLGLSYEASLPAPTRQLIRLRSPSLPMQNVYGKLRIWKLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTTVSVLLQILYLAYLKGPSLNPLTSGPYGLIFASYIPFFFDIPITTKFRIFGLRLSDKSFIYLAGLQLLFSSGWRSIIPGLSGILAGLLYRLNIFGIRRLKGVHPTTEDPMESSIATLVSMGFDRGSAIQALALTNYDVNLASNILLEAQSM >KN539528.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539528.1:22857:24405:1 gene:KN539528.1_FG015 transcript:KN539528.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSLTSSVPLLLLLLFPLLCFLCLRHGSTKKQPRADGLEAYPIVGILPHFVRNQHRLLEWSASVVARCPTHTMSFNFKGFGLIAGAITGNPANVEHIVKTNFQNYSKGEYVVSVMEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRSEVVDRLLPLLTRAERDGRTLDVQDVLERFAFDNVCCVAFDEDPACLTEEGMGMNARTEFLRAFNDAQNILMARFMSPVKWAWRAKRLLDLEPERRMREALATIHGYADRIPDVEDRIAREIRAARASSGSTDAAAFSFDELREMHYLHAAITEAMRLYPPVAMDSHCCQNDDVLPDGTFVGKGWQVTYSAYAMARLEELWGADCEEFRPERWLDEDGVFRPESPFKYPVFHGGPRMCLGKEMAYIQMKSIAACVFESFSFRFVGGEGRPGLVFSVTLRMEGGLPMRVKKRRDSVC >KN538978.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538978.1:109900:113664:1 gene:KN538978.1_FG001 transcript:KN538978.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQLELSSLEGHRSSENPESRDEKTEEEVDDCPIEEVRLTVPITDDPALPALTFRTWLLGLISCAMLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKVFRVKGTPWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYRREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISVVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWADAYGAKRFPIISSHVFAANGSRYDVNQVLDTATFEFSQAGYDAAGKINLSIFFAFTYGLSFATLAATLSHVALFHGGSIWRQTKAAVSGQGGDVHTRLMKRNYAAVPQWWFQVMLVAVLGLSVFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVSNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYARMNYFFLAGALAPVPVWALSRAFPGRPWIGLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNHVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGINGVNWWGLQVDDHCALARCPTAPGVSAPGCPVQ >KN538978.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538978.1:71402:71863:1 gene:KN538978.1_FG002 transcript:KN538978.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKAGEKAEKAPAAGKKPKAEKRLPASKGEKGGEGKKERGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KN538978.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538978.1:14176:16077:-1 gene:KN538978.1_FG003 transcript:KN538978.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLDHTFNNNYSSKNQIEGRSLSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQLALNIPTEESSLTCGDQLLNNDLSYICNDSPLLLTRNHMHRSCSTPCLSPNGKDVQHCDDSRVIEIVGCTSPSARMKQLVDDIVQGIEKGIEPVAISSGMGGAYYFRDMWGEHVAIVKPTDEEPFGPNNPKGFVGKSLGLPGLKKSVRVGETGSREVAAYLLDHKNFANVPPTMLVKITHSVFHMNEGVDRKTKSSDNKTQAFSKLASLQEFIPHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNILVRKLDNDASRFETQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYITNLDPIKDAEMLRMELHTIHEASLRVLVLSTTFLKEAAACGFCLSEIGEMMSRQFTRKEEEPSDLEVLCMEARNWVEEREWMLPQANFEGEDDNESTQFDLDSEDDSTTFEASFSNNIRPMKGNSRDPPSKLAEVNEYEDEDDNNVFNKDDVGTCTSPITTWTPSTSNLSISSNELSFSGRRKSHSGVAKNKVTSKINSNSYSGNHSAKEKLPHNSSFAKLSDLSANKWSPFLEKFQDLLQSMFQDRKQTAGRNPWLTQRLGTSCQF >KN538978.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538978.1:171530:172993:1 gene:KN538978.1_FG004 transcript:KN538978.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRVVEARGVGRLNGRGPSPTASPIDEAAPSDWEVRIFNLSGFRNIMDSVWQYVLHIRYTGRLLCPSKVLLAATVY >KN538978.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538978.1:10369:12434:1 gene:KN538978.1_FG005 transcript:KN538978.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIGDRNKHDLLQSAMNHAASKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREEDDDKVSDHDVEGGEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRRDMYREAFADFDPSTVAKMDENDVAEISGNKELKLAECRVAKEFGSFSGYIWGHVNHRPTVGRYKHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQASGIVIDHLVECFRFPECLHLADRSWGITNVAA >KN538978.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538978.1:176269:178809:1 gene:KN538978.1_FG006 transcript:KN538978.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAETGLSGSLPATIGNLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNTLSGGIPPQLGQLKKLQTVLLWQNQLVGTIPPEIGNCKELVLIDLSLNELTGPIPRSFGGLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNQLTGAIGVDFPRLRNLTLFYAWQNRLTGGIPASLAQCEGLQSLDLSYNNLTGAIPRELFALQNLTKLLLLSNDLAGFIPPEIGNCTNLYRLRLNGNRLSGTIPAEIGNLKNLNFLDLGGNRLTGPLPAAMSGCDNLEFMDLHSNALTGTLPGELPRSLQFVDISDNRLTGVLGAGIGSLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPSLEISLNLSCNRLSGEIPSQFAGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISYNAFSGELPDTAFFQKLPINDIAGNHLLLAMTVLAVVSALLLLSATYVLARSRRSDSSGAIHSAGEAWEVTLYQKLDFSVDEVFRSLTSANVIGTGSSGVVYRVGLPSGDSVAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGAMNVLLGPRNEPYLADFGLARVLSGAVDSGSAKVDSSKPRIAGSYGYIAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQW >KN538978.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538978.1:155478:158345:1 gene:KN538978.1_FG007 transcript:KN538978.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSFDLKYERVAAVKPSCRRASVMPTEVWVLEGGAGGGRWSRRYSVQLHGPDRQMVWPHFAHGDHAMTASTWQYSRSHELLYVHRLSGGKGLQCREARINGKSPGKAVGKYDRRTYRDLRTFAFVETTEPLGVYSGHGCRHIGKEISAG >KN538978.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538978.1:122706:127864:1 gene:KN538978.1_FG008 transcript:KN538978.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPAWDQEFSFSVGDVRDVLKLYVYDEDMIGIDDFLGQVKVPLEHVLAADNYSLGARWFQLLPKGKTDKAIDCGEICVAMSLETASATRSWSDDLVSELTDIQKDYSLSSQGTGTSIALSYQGSEACQEESVNGNLGRAGFTEEDNCSQDTDKNQTTAEDKSNGIPAAASTGIEVSKTDKSNKPSFVDRVCQMFVRKSDDVVTTPMVTTDKSEDVQEATTGYEASATGSQTYSASADTPFDELLKYFESKHQEVEMPVDLQGILVDKSYITSPSDLNNFLFSPDSNFRQTVVELQGCSDVKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGNGYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSEQLTSHLVISWRINFLQSTMMKGMIENGAKQGLQQNYAQFSDLLSQKIKPIDVDAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIAVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGSTMVTEYLSKMEKEVGKKVSRFLPVSKEMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAIPQSISSWSPSLVITLHKGRGMDAKHGAKSVDNGRLKFCLQSFASFSVANRTIMALWKARSLSSEYKMQIAEEQSQNNDTLQSEDSGIFVGVEDAKNLQMNEVFSSSISANWESDKPDEYQRQIHYKFSMKLSPIGGEVTGTQQKSPMPNKTGWIIEEVMELQGILFGDFFTIHIRYQIEDLAPKQRACSVQVFLGIEWSKTTRHRKRIEKSVLSGSSARLKEMFILASKQLPHAR >KN538978.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538978.1:64833:66400:1 gene:KN538978.1_FG009 transcript:KN538978.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAVASLLLVALALEYAAAKADAVTVAKKSPVAKADMPTTGKESTAAKVDAATVAKESTVGKTGKKAAAKESTTSGKTNTEADAVTVAKKSPVAKADMPATGKESTAAKVDAATVAKESTVGKTGKKAAAKESTTSGKTNTEADAATVAKKSLAGKADTPATGKEYAAAKADTATVAKKSPADKANKLATGKESVVAKADAATVAKESIAGKTGKKAAAKESTASDKTSMEAAAKKSTASKTGTEAAAKETTVSGKTDTETTAKEYAAPGKTDATAAVKESTASKGDAPAMAEKSAPGKAEASAAAKESPTNMADAAAAGPTSGGYQYVNFVIKKPVKAKEKSSDRADGLPIDPTPDGQMMH >KN538978.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538978.1:31694:37826:1 gene:KN538978.1_FG010 transcript:KN538978.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATATDYSPLPRHPDSKPPAPAPPPAQDFFLKTHDFLPQNGGGHQWSLPFAARAVAVASSRPQQQQQQPPPPERKGGGGFMDAGSRSSGGAGFDDDDGHAARREVSSSLKELTVRVEGKGGSCSGSAGTDQMPNTPRSKHSATEQRRRSKINDRFQLLRDLLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKVVPWTNIYFRSSWKNAQNKGQVPADHSPDPPELLKNGSPYMFPFTGNSDNNNAVETAAASGAQDQAETDPMSRVSYRSVDTPSPNNVADKVTSQPHAQLVRPSPAENHTVNCDKLNNSDLAIDEGTISLSSQYSQELLNKLNHALENSGIDLSQASISVQINLGKRAMKRSTPAATSTSKVGFMSRIDTKSHYIMFVVIEIGAGNGCYTWSSLIRHLIVKQWAVSLGWVMALKNIDKPQSDTNQITADGLLLKTPDIQPFFAPNCVRGAPRFYVY >KN538978.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538978.1:95428:95886:1 gene:KN538978.1_FG011 transcript:KN538978.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTRDSNGALISGVAARASELANEAAGAGVGKANFVEQKELFKSIASFLAGGKAPASSVRASSSHHGRLTASTWTDIARLNFLRRFLGGGFLPHLQGKVLMGEEAPLIGQVFVVKEDDMAMDNKKKVEKQRTLNRERQIVSDLKHGSSHV >KN538978.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538978.1:114514:117527:-1 gene:KN538978.1_FG012 transcript:KN538978.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARSREHGGGGGGGSGSAGKIFVGGLPRDTTEADFVKHFGQYGEIVDSVIMRDKHTSQPRGFGFITYSNPAVVDRVMDDIHEFNGKQVEIKRTIPKDSMQSKDFKTKKIFVGGLPQALTEDDFKHFFQKYGPVVDHQIMRDHQTKRSRGFGFIVFSSDQVVDDLLANGNMIDLAGAKVEIKKAEPKKSSNPPPSSHGSASRSAYGRDSRGHSSGNDYGGLANAYSNYNSGGFGPYRNHGVYGGGSLSGYGGIGEYGVQYGRYYPGLGGSGGMPSFGYASRVGPYGGGFEGPYAGGNLSGYRRGGDESFGGLSSSSFGGAMYGGAAYDPALGGYASGSTPERSRGNLAGGSGRYNPYGR >KN538978.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538978.1:143241:143870:1 gene:KN538978.1_FG013 transcript:KN538978.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLKDNRVAPVKWSPETPGRGCRCSYRLTEMRGRLCVAVTVEETEKPTTRVEVWWMESTRDQRWTRRYNIMLETPKQHVMWPLFAHGENVLTVAQVFKEYNLHKHKVSDKRSSQCSMVKIWKKKPGVEIMNYGVADHTGISTFAYMETSEPLEIYK >KN538978.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538978.1:148715:149497:1 gene:KN538978.1_FG014 transcript:KN538978.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCGHASNIRAFSYIETTEPLNVYQCNGGSKIGSVVNNYEEMATGKTTSLGQMLTSMFSDLPSPHPQRPVARRRKWRTNRFK >KN538978.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538978.1:73904:88462:1 gene:KN538978.1_FG015 transcript:KN538978.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVKAQVQEQVVSYSESLACAILAAGRRPPPWLLPALDAVPARGNNTKMSSIDLAHMRNENSLHHAQSQTYQRVKPKTHEFGGCKPGGLHIVNCAGEIDQSQICVSESVVQEFNIAHSLNEGLPSTSPVEVPHSVMSSLLQEDTSQPVESNLQGIPHSVSSPLPEQITMGVSETDSLTGMTCMASQLPENVSLLSLKSIALEGPDSVFTPLSQKETADAGDTVSLMEPIAKTESVAGLISMASPQFDNDRLQTNLLEGPDSVPTSLSQTDARHTAETDSVEVLDQEEDTDTPREYSFPDKRVDENLKILEHQSSECHVRSPPCDGSSLRPDYLANAICEAPKMLSTPQENMLGDEQQGSECHVLSPPCDGSSLQPDYLASTVCEAPKMLSTLQEKCFEAQSEAYDVYVRNGMNGSVIPERFSTESAEKLLRSHDGTECKIFSFDIAMEIDSDSCETVSDKQALATQPSAQHLLRSSRCGEITSKKSDAQSNNSHQGRSVADVIQVQGNSSFDCQSDHALYSLCSTMSTSSMDCQPDILDKMENRADMSGKPQHPVHHLDCLGSSECISLDLERRIDTSNWKSSVSYKVHTSVDSSSQRTMSSLSDIINFNSLRMKSLSSSSSSLSGNVATVPQDSLPNYSDILSDGDGEYTRKTNNFSVYPGADVKYVAVDDQILNHTDYVSSGCEVLNPENHPSTTPPSTFPSYASSDQQSQQACASNCSNKELGEKCIHDDPGQPVSDGNIPLQNGDNCADFDETVEVHQNCGIPIPANSPTIKERVLEAYRDSTKWVNLSSNLSSKCKINSKITSPLRSKYESLTARFEKLLGPASLVEVQPKWHYPSYDTKRMGVFGNQEDCEIPLTPSFGKYSLEKPSGVCYTSNCTGSIPDLACFQIDEDSSTSEASRKYMDAGRLDLPTTTASSRESDHQAHLIIDQAMQNPKENRAPSIRKEVKVTQSLHDIESKGRILGNQNERHKSEANLDKGWKPSNIVTSMTSFIPLVKQKQRPTTVCVKRDVRVKALEVAEAVKRREQKKQNEREMRKAAAELERERVKQEREQKHKQMEQKKKTDARKRQWEDDGRKEKEKKKKCIEEQRKQQKQLGERMRAGNSREDASQKDPDDTEIRKNTVRVVINQLLSDEKTESFPILVTSGSNNVKAVVADGNSGSSGHQIHGGLSDDADKSYEMSPYEDSDEEDGGDLEHKEKVRRRQKHIPPWTRKEILDEILLSNRTLDPREIFERKCSFSLSDGFFITIYLVICTLPCLYVWTKLNQEKQLLGISFCLCSSLGSGACDIIQKLLKSELNFPFLLLTSSRFGSAKLLNLFVLQPPPPPPSNCPTPHRKWSELFSRFAVVQAILTIMSVEAVKQTVPAHQAKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTSQKSSTSESFASAKVSDGANGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >KN538978.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538978.1:42410:42742:1 gene:KN538978.1_FG016 transcript:KN538978.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVAAVEEDSFTDLLQPDSAAVTLGLDFSDYPSITKSLADPDLHFEWPPPAFDMASYWPGGAGGRAAPPAQVGVGFPTTPDDDFISFRLISKLRVGYMVKMMTLILAF >KN538978.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538978.1:98749:99588:-1 gene:KN538978.1_FG017 transcript:KN538978.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEAFPVNLSICGVLTSCMFTRSAAARRQPRTVLAGLDGDTIHRRSLYMDGTVYLLNADKETLLAFDVDDEAITSIALPGERVAGGKPRSHLKSYLMEMSGRVCVATVDNGDRKFITVWLLTTERRWERRCAFRNDWCWPATVAGVWDCGGALLIVIQADDESSIFLYDDATGEVSRLNPPPDTSPEKSDYRICWGYKPTLVTPASIVGEFDQDKQRCRDMAADVLAAVTPMNEMHKRKGQEAALHTVCFMEFLVGVMRKLPGNLRHVIAGLDQFY >KN538978.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538978.1:50260:52044:-1 gene:KN538978.1_FG018 transcript:KN538978.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDAAFHRNEAISAAELIKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAVATACKEAMNGHLFNGRPCVVAFASPNSVRRMGEAQVKNQQSMAAQTSSMQPKGGRGGGGAGSPQVGGNYGGGRGGGPGGGGAGGGGGNWGRGGGGMGRGPAGNMRNRMGGPAGGRGIMGNGGMVAPPPPMLPPGGMMGQAFDPTGYGAMGRMGAWFGGFPGAPGAGPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERAERPPDREWSGASERRREREKDIPPAQEWPDRRHRDERDMGRERDRDYDRERERDRDRERERDRDRERDRERDRERERDRHRDDRDRYGDYHRHRDRDSERNEDWDRGRSSGVRSRSREVDHSKRRRMMPE >AMDW01036138.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036138.1:68:370:1 gene:AMDW01036138.1_FG001 transcript:AMDW01036138.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIAAKTNPATTRMVYVDIYTILQELVENGDKYGFTETTRGCCGTGTIEVTGLCDARFVDICDNVSNHVFFDSYHPTQRAYKIIVDYIFDNYLQFLLA >KN548174.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548174.1:130:582:1 gene:KN548174.1_FG001 transcript:KN548174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEDVQFEVALAAGDTTTVFDSLVSLDEWSESHSSDACVTLVVAIQLHDPSRRYEALGAPKRPSPKGSITDEWRRYHGEGGWDRLLDPLDQNLRREVLCYGDFVQAAYT >AMDW01032785.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032785.1:79:426:-1 gene:AMDW01032785.1_FG001 transcript:AMDW01032785.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTAYLLKIRLHGHQSRENFTFRTEEVIDSDRTNFKDFIDHIREKYPWGVNEFVTVNYFDPVNRNYPQVCSDQSMLEMFDKNMTSKEISMLIQIHKNNEQAVVLPLADWPTPKK >KN544423.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544423.1:2720:3130:1 gene:KN544423.1_FG001 transcript:KN544423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQGGGVVGGRASNKIRDIVRLQQLLKRWKKLATMAPGGRSGVPKGSFAVYVGEEMRRFVIPTEYLGHWAFERLLRDAEEEFGFRHQGALRIPCDVAAFEATLRLVAAGNGNAKAKDDAAAMCSCSSDTEILCR >KN539552.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539552.1:56587:63213:1 gene:KN539552.1_FG001 transcript:KN539552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRIPSSRGDFLTAGLAGLLTRGPGDSEGEGKDNGINTDRNGIANIWDEFDNGINTDRNGIANIWDEFGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVPQLGQLKNLQYLELYSNNISGTIPNELGNLTNLVSLDLYLNNFTGFIPETLGQLYKLRFLDLSNNNLSGEVPSTGSFSLFTPISFANNKDLCGPGTTKPCPGAPPFSPPPPFNPPTPTVSQGDSKTGAIAGGVAAAAALLFAVPAIGFAWWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNDPPLEWQTRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSGFVEHEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVTDR >KN539552.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539552.1:7652:15218:1 gene:KN539552.1_FG002 transcript:KN539552.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFFLLFGELINGFGKNQHSLRRMTDEVSKAQIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVALFDQILFSAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAVLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVVEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVKSELLYWDAMKLGNDNTLQFSREVGVSCVQVVVLKWLGLMFKVVIRCLARFL >KN539552.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539552.1:33061:36704:-1 gene:KN539552.1_FG003 transcript:KN539552.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSTYFGALVGRVANRIAKARFVLDGKVYHLYPNDGKNTLHGILEKFPEVQEIHGAWFISTNSGHRGFSNVTWTVKEHVGGGDAPYITLYYHSFDGEQGFPGALDVYVTYQLSGPYVLSVHMNATAAGKATPVNLAQHSYWNLGGAGSGDILGNTVQLFASRPGEVGEDEWESGRSLELWANQPGVQFYTGNFLTADVKGKGGKAYGQYGALCLETQGFPDAVNHPNFPSVIVRPGQVYKHEMVYKFSF >KN539552.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539552.1:24041:28338:-1 gene:KN539552.1_FG004 transcript:KN539552.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLRPMQADRVPGSVSLRLEDTKDEKGSDRSKRSHASTRAAQVSVRNQAGPVVPPRTNSSEIAAGIRLYLHLYQETIENELHASLSSRPNDTNYFGPVTGRVGQRIARGRFVLDGKVYHTYINDGRNAIHGGHRGFSKVIWTVKEYVGGGDSPYITLYYRSFDGEQGFPGDLDAYVTYQLSSPYVLALRMNATALNKATPVNFLQHTYWNLGGQGRGDVRGHTLQLSASRYTPLDEELLPSSGVVAPVAGTPYDFRHPTPIGARIRQVMGGRIAGYDINYVIDGDGMRKVAAARDGASGRALELWANQPAMQLYTGNWLNNTKGKGGKVYQQYGGFCLETQGYVDAVNHPEFPSMTVRPGQVYKHDMAFKFSF >KN539552.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539552.1:81736:87008:1 gene:KN539552.1_FG005 transcript:KN539552.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPKAEPMKQRVNRCLLRLSDRDTEAMAAAELDGIARGLEADELPAFLAAVKIVRESMNRMIEAWKEIPDMDEEVCSSDVPPSPHSQTRSSSTDSASDGRYPADSLGSNSVQSVRRRNLSPTNKSPPREALHNVSNRRTSSSSIGNKKNSPPSRHNSGQAKNFECKVNVTDAPDATPIKNVTEEKFLKDGNVRARLEARRVLFQKNGEERYNKVPGLKSGSRVVPYNGDDDSEEIAESEDVHEEFQSGHKEEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRMPSSEPDMNCCILSPKFWRRHDGSRYSSKYSISDIANYSEESRTSYKWERQKFGVQGVVTNPLAEPNASFAGNTVVAQEARRQNSAQYKSRRWCHGDHPRAARTACSGRQWMTSSHFCKSALRWQTAVMRLKHY >KN539552.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539552.1:17085:18791:1 gene:KN539552.1_FG006 transcript:KN539552.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEANLATSGKSVESLCAPTLYKESCEKTLTTATSGTENPKEVFSTVAKSALESIKSAVEKSKAIGEAKTSDSMTESAREDCKALLEDSVDDLRGMVEMAGGDVKVLFSRSDDLEHWLTGVMTFMDTCADGFADEKLKADMHSVLRNASELSSNALAITNTLGAIFKKLDLDMFKGENPIHRSLIAEQETVGGFPSWMKAPDRKLLASGDRNRPQPNAVVAQDGSGQFKTIQEAVNSMPKGHQGRFVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFSVEAAGFICKNMGFHNTAGAERHQAVALRINGDLGAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEYSRLVIMESTIADFIKPEGYMPWNGDFALNTLYYAEFNNRGPGAGTSKRVNWKGFRVIGQKEAEQFTAGPFVDGGTWLKFTGTPHFLGFKV >KN539552.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539552.1:39616:45285:-1 gene:KN539552.1_FG007 transcript:KN539552.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDNQGKREVVKKASTSTSSQALSMVMEGEGNKAAGSGALISGLRGSEAVMLIVGVVETIRSVLCHGILVCCRRRIAGFCGGSVKIVHCNVVDFLVYRSPHFELLTLLGLGKPFISLKAAKVAPGWLLRTSQPISISRLLPMLDVNYQRCCLVLWSYSVIQLSFALTPLSYCQAVMDNSFVDIPNQPPMNNPFVLMEPSAQSYMVNLGKSTPHMDCLGSAMANYGHGNQNIQCIDSITTRDDGCRLVLGLGPTPNFYSAECQPTEVDKLKEAPSLSGQGLTITDPGTLRLGLQMDVSQTIQPLQAPDGTVHSFTVVDEASASPSVRSIGGYMPSLLFAPRSGSSAVNETHEAETQDSLDLTHSDNDNTQHVQHHLQLSPEPSAMTDTSFGVSSDVVTATTTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEHDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCSKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCSVAGCTKSARGRTDCCVKHGGGKRCKVDNCSKSAQGSTDFCKAHGGGKRCTWGTGCEKFARGRSGLCAAHGTLAAKQQERDAANNGVGMIPPGLFSGIVTVAATSSMTNEYSSSGISTASDCDGTVRSQAMMIPPQVLVPRSMMPSSSSEPTVHGGREGGCAVPEGRVHGGGLLSLLGGSFRNADMDKL >AMDW01017021.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017021.1:79:261:1 gene:AMDW01017021.1_FG001 transcript:AMDW01017021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLIVVSMTVTMYLADEMPLDKQDVDTSSGGGGCAVFVDLFKSLRNLPPAMFKVLAVTAVTW >AMDW01040206.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040206.1:9:1299:-1 gene:AMDW01040206.1_FG001 transcript:AMDW01040206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKIKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKAILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKLLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLLAKHTPMVYECSSNCQCSHNCRNRITQKGIKLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETKMDIDVEEDKYTFRASCPGDKALSWNLGAELLEEKSTAVTTKNFKKVLPLALRENPSKLANSNHASVALSIVE >AMDW01024939.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024939.1:109:285:1 gene:AMDW01024939.1_FG001 transcript:AMDW01024939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASVELMKAPPLSKKRIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAVRFFTGL >KN541180.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541180.1:6:839:-1 gene:KN541180.1_FG001 transcript:KN541180.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSKKQKLAKEDEEDARIRDTESPSSVYLVVGHGVTRPSYSLFKVNPHLPANADDGGDTPLPLPPYLAHLTGKHCMSFVSVRSRRHAPWIVGVGGSSARNYGPDETIVFDTVMRKEISGPKLLSTKLCPILLPFGDKIYALARRPAVTGDVNFVPWFEVLDLSVARVDDDASDRLVGCEWRPLPRPPFFPWDLTPTDYIFPPVVTVKSHVAVASYILLSITGQTGTHMFDVETEEWRKLDDNDLPFHGRAVPLQGTGTLYLGLSNTTNAITAYRIK >KN541180.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541180.1:28231:28769:1 gene:KN541180.1_FG002 transcript:KN541180.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADLCVPKLKLEILEHILQETRSKKNKSSA >KN541180.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541180.1:9979:23559:1 gene:KN541180.1_FG003 transcript:KN541180.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MGMCDGVAIVNAILGASVPALFFKEDFALEDGATFKAACPLGDAALQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQANVKRKASVPLNGTEHEVQCMIGKQCYAVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARTIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIVEWIMGNLEGSLSSDASNSVQKHSGSVSDFSQENDHGVTSRVSNTLTRSNSKFPFFQGKTNDMSSTNSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNVTEEFVAATEKIGGRLGYNIRGIVQQQSKQFVDYQHTVRMAKIKAVLDQETWVAIDVPEEFQAIVLSLSSTYSVANGMEMPSTDDSSKLHENRVTSQEPVNSAENNTDNGNAVSTSPSTENNVGHARSTQQTIVHGGVGYHMMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDTDLQPSQFAKAVTKEVSYLHRILSQTLLEADVQLIFRQVVQIFHSHITEAFSKLELSTPQAKNRLCRDVQHILVCIRKLPAENFSAEAIPNYGRLDDFLAEKFGTKVDE >AMDW01039787.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039787.1:81:1037:1 gene:AMDW01039787.1_FG001 transcript:AMDW01039787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRVDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMTQDLSWDNAAKLYEEVLLAAKYQW >KN539288.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539288.1:64590:74750:1 gene:KN539288.1_FG001 transcript:KN539288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQQQIAKGGYSITLEVDPEIDAPWFTRGTVERWWSFAWLVSGRFVRFVSTPEVLERVTTIESEILQIEDAITGQGGDNLGLRSVEDYNEKLVECIGGSKTNYDLDGDKSLILYKPGMQPPPPVQNDNATQEENSKVQLLRVLETRKIVLRKEQAMAFARAVAAGFNIDNLGFLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSISNGDANGEDAAKADQRTAQHSGAPSEYLHGPYQSAYPPWAIHPPYPMQGMPYYPGVNPYYPPPYPPMDDPRYHHSERRVSRKHSSDSKDSETLDDESGQSGSEIESSHGHKLHKKGKRSGKKKPSVVVIRNINVTSKKHGSSESESQTSSDVASEDSDDSHTEYSKRKNKRSSSKKKENRKIILEPGDEYSRDEVAHRQDGDQGNWNVFQSFLLRTEEKTKDNDADLFATERGPPPARRKESRTTDDPLLLVERDSTDFNERKTIGFNSAHGRIRSRKMLSGDELVISAEGRSFVDGDIKEIEAGGGGYRRGASEDFIVYGQEKPMDSGSYLDPLVEGQYKSPTLMEKNMHSVADESFMIPVRSNSQDNLGPESCTAIDIDVELPGTIKKTTDAKAGDQLFYEPDELMPEREYEDVTYGYDPAMDYDSQMQIQPAIMVEDANADDVSLGVEGEVKKLEKDKKLRLQECLNKKKDASTRRLLSSKTRLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKQERQKRIAARSSTSNSISTPQQVKVKPSPKTSPSTYKSSKFSDAEPGSFSPLRKLPARTTAESDHQKTGKASKLSDSSTNAVSKSTSSLAVMKKEKNGRNELSSERLKKLAEPKSNALTDRPSNSKSASVDHSRRKSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSISVKNKTIAKEIRDGDPGGKSPPTLEVTDGKKADVEVSRISNSDDNVVVEKTVVILENEVVSTPPLILPPGRTSENETSSNDRTQKPSMELEYTAIRAPPSPAVLPEAENPTIHRHDDQGNYEVMTEHLKDETEELTLSAVEKPYQAPFARVTSLENDSATIHAYPLALPVESETPVHAESIRARVLDPVSTVSVEETPEANEKPRNKESKGFRKLLKFGRKSHTSGFTTPLKTISLSVKSNSIDGSMLKTLISRDDSGSSSKASRSFSLLSPFRRQKVIVL >KN539288.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539288.1:36578:41030:-1 gene:KN539288.1_FG002 transcript:KN539288.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIQALVVSLETNIEEMKQKFGETDNVRDEWCKKATDAESQINELKSMMQSLQEKLNSTEAENHVLRQQAMRTRPDNMPLLNMHRKSVIPLTYTPSSSKCFILVVKCACHNLANGSLPGDEQTPHGTSMEYGRTSYIERQQESVEALINCVVENVGFSEGKPVAAVTIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQESNADLAYWLSNSSSLLIILQKSLKPVGSSVTTPLKRTQTQTSFLGRMTPRSAKAGLLTDQGNNWQAIVNHLNDLLKTLQENCVPSIFARKIFTQIFSFINAQLFNSLLVRRECCSFSNGEYVKQGLQELEAWCTQAKPEVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEVLNEMKTLMNGKDASDGTLKSLMNEKDASDGTFLLNEEISMPLSLEEIGDSMDAKEFQNVVPPQQLLDNPAFQFLKS >KN539288.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539288.1:4985:10091:-1 gene:KN539288.1_FG003 transcript:KN539288.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRRDRRSRSASLPGPARRLGAVRASAKEIAFDQESRSSLQAGVEKLANAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFEHARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTVEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLMLLQYAHNYETDLNEVHLK >KN539288.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539288.1:101865:105261:-1 gene:KN539288.1_FG004 transcript:KN539288.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLCFLLLLLQLLLFPRVAAIVLAATPVLLLAAFLLALVLVYSEPNNNNNEPDHLIPIRIRSRNPHASSCTASGSSDDDDDAQDSDSESVSEPADDEKAAVWTAEDEKSIQNIGSLELERNAAVEKLMSSRSMHRYYAADRDLIDLDLDGDGHQLPPGSAPSMHRNPFFFHDDQQAAASTAKLFSRHESFRPYFVADKTQQPVVLESSGGGGSSSSSSSSSSASGDRAGQHMKQEAVADFSSSPKAMVVTVDAELPNPKSMVTVDVELISDSSDDDDDDIMSLPGQKITKVASSMSDDDDGESSFEVESITRQLRPQKRRLQHQQQLLIVGNNGAITIGKQEFVLKPVQATLGPNSTGGRGGSPLPDVIQQFYSSLNEKDSKRLENLIAPDCIIDDNAYYKLLDIKSTQTYFRRLMDAMGKNVKFAIDEVSQGVEPTFAVMWHLEWNGKTIPFTKGCSFYICSRKEAALVIRKIHIFQESPVKPCKFSLEILNIATNLFDTFPNIAEGSQTRKGTVWGLPMDQNFSDDVMSLPEVYLVHSPA >KN540729.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540729.1:5225:9547:1 gene:KN540729.1_FG001 transcript:KN540729.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMKLGSKPDLFQTEGGNIRFVATELATDIVISIGDVKFCLHKFPLLSKSSCLQRLVASSNVEGNDELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRAWKDSIIVLQSTKSLLPWSENLKVINHCIDSIASKALIDPSEVEWSYTYNRKKLPSENGHDSHWNGVRKQLIVPKDWWVEDLCDLEMDLYKRVIMMIKAKGRTSPIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAVLETIIFLLPTEKGSVSCGFLLKLLKAACLLEAGESCHDILIKRIGTQLDGASVSDLLIPANTSENTLYNVNLIIAIVEEFVSRQSDTGKMKFQDDDEIVEVENLTPVSSTSNLAVANLIDGYLAEIAKDTNLPLSKFVAIAEMVPPASRKNHDGLYRAIDMYLKEHPSLSKSEKKAICRLMDCKKLSQDACLHAVQNERLPLRVVVQVLFFEQIRASAASGRTDAAAELTSAVHSLLPRENGNSYGSSRSAATTTTEEDGTGVPTSSDINSFRSLRLANNSGGSERSSGSSDINNSNNKSCDDKSSSKSKGSLMPKKILSKLWSGKTNASENSSSDTSESPGSLNPEEVKSTTSRNTRRLVT >KN539288.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539288.1:68:3556:-1 gene:KN539288.1_FG005 transcript:KN539288.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRHYYLPARPARPISFEDSPDWADDDVDSIHLATASASASLPTTAYPSPSPTPSSSAAACRGGERKVAGATLVWKELSVSLTRSRSGSGSADRRVVKSSTGYALPGTLTVIMGPARSGKSTLLRAIAGRLRPAERMYGQVLLNATNTRLPYGSYLPGLFSSKTSIVEDAIAAMSLADYADNLIGGHCFINSLPAGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQDTKSETHARLQVTLVHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESLVAKLTEKEGPHLKSKGRASNTTRIGVLTWRSLVIMSRNWKYFWSRFALYMLLALSVGTIFNNAGHSLSSVMVRVSAIFVYVSFVILLSVSGVPAHIDEIK >KN539288.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539288.1:108760:115186:1 gene:KN539288.1_FG006 transcript:KN539288.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLVSSPPHACCLQLHRLLRPAALLPSPPRLRLRPLRADSSPSPDAFAGWSDGDEQDEQDKSPGPFRGLLGPGLAGLFFLAGLTFAAISFRSNAANGPKAQIDTSSTNSQEAPYSTDNTCKEDGLKDAQVSLPSDSKGETSLDDEAHSSTDPLPVQVNATAEGSTEHETQHPLQNKNQHLGETLTSDTMLGSGDASLIQEISDTAASSDAKDKDTEQNPELHRKNGISPSRMPDYTEYGHADQLLSFGSNDVSTEANKPGNGVETLASNQNEGADELENQNNLYESTTPDKSFASSGIPAPTLLSAALRVRTGQIMVPAAVDPAQASALAALQVLKMLKTIGCTICIWEYPFKVIEPDAQAGDLCTRREYARWLVVASNCLSRNTSSKVYPAMYIENVTELAFDDITPEDFDFPFIQGFTRLFQPDKPVTKGQVALALSTGDSADVVMEELARIEAEKIAEDAVNAHGELVAQVEKDLNATFERELTKEREKIETLEKLAEEARVELDKLRAERVEENNALIRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQKEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHARALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEIKVRSCHSLERVIQHVRSFISILKQGAEEATQRFTDLGAAAALKAKKLSSEAQDNVYVFGSTIGDKSKRVVEDCKEGLEKFVHRFKTD >KN539288.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539288.1:98944:100866:1 gene:KN539288.1_FG007 transcript:KN539288.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPATAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQTLALYPCMLQAGAPPTQHTFAQLLSACASGRLHRQGIQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTAIITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFELEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEEAFLAFSQMRLSGVLPNSFTVSILLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRALEMFVRMFHEDVDIDGFSLASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMGDARSVFQSISEPKVVSWNALMSALVSNEYYNEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSL >KN540729.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540729.1:32905:33851:1 gene:KN540729.1_FG002 transcript:KN540729.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPEPNWRSLLSAFVIRSIGIF >KN539288.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539288.1:82419:83662:-1 gene:KN539288.1_FG008 transcript:KN539288.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGGKEKNSKQVEEVAPAAEKEEAAAAADAGSKEEEQAPPPAPVILGVELHCTGCARRMRRCILRSKGVQGVEVDMGGNQLTVTGIVDPQALCARLRHKTLRSATVISPPPPPTSTEDQDQHQPSPPPPLVHSQVSDVTTVELLVNMHCEACAQQLHKKILKMRGVQTADTNLSTGKLTVTAEADKKDEENKPAAEDGSSKLQNEAEGNANNKEAAAAAEEEKAAAIANNKQQQEEDGSVVVEGFPPEEMMKRMHLYWPPYGHGYTSYYHHHHHHHQGGQAHPCANLHSWVPPPPPPPVYYSSYVMLDRPPPPPPQLFSDENPNACVIS >KN539288.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539288.1:35071:36144:1 gene:KN539288.1_FG009 transcript:KN539288.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGSDRLRDLQAFDDTKAGVKGLVDAGVTTIPAIFHHGPLLLESHDAEEDADVIPVIDLQADVERGHLVGQLRAAAQCVGFFQVVNHGIPGELLEEMLAAVRRFNEQPAEGKKAWYSRDSGRRVKFNSNFDLFQSPAANWRDTLLLELTPRPGPAAEEIPPESKGLQVLMRQRWVDVPPVAGALVVNIGDLLQLVSNDLFRSVEHRVLATTAAAEPRLSVACFFRPDYACTRVYAPVTTTPLYRSTTMPEFLSHYRAKGLDGRSALHHFRIPPPSSPPH >KN540729.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540729.1:29072:30446:-1 gene:KN540729.1_FG003 transcript:KN540729.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLVAGWGGKDGEKAFHREAKNFVYRMERAAVISAGKSWSEGHGVSTEEWTTNVQIKIRNVPEDANHPQKMKSVVSAFCDAQSYGFDLGKKEHTIRGFAHNVESIPRSKYVKLKYETVDGVRIKSFLLDLEACLYVEPEADNITEGHINTKLEKECSI >KN539288.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539288.1:12697:17847:-1 gene:KN539288.1_FG010 transcript:KN539288.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKREFFFCSGGLGFTNVALVLFTTWFYSYEWCGGFSVNEHVPKTNGDIPSVGEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKHFHFVATKSTRNREWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVICLSFWAEVHYNSIYPEGELPVMENKRKRWWHF >KN540729.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540729.1:24661:28467:1 gene:KN540729.1_FG004 transcript:KN540729.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFTGTADGQPPIHVDLVLVDEKGNTMYAEIPGTEADKLKPILSESRVYIFSKFLVSAGKSAYKPCPGKYMIRFTPWTNIDEVNKVPDHFPTHVYSLVQFSDLSLRVGMQEYFTDVIGMIVGVSKVAYVRMASKSSDTPKRVIALRDLANCEVKLVLWGERALDFDADEIHAIGQANAVVGIFVGTLMKAYNNDAPKIQWITAGAESFGSSQRSANLQHKTVAELKQIDPWDTQETTLSCTVTVARLSPSQPWWFSSCSRCHRYRLCVIATDGTDSAEFVLFGHIAQQVIGRPVMNLIKFQGRSDGVPKEIAAVVSQKFTFVVSITKRSLMQRNISFQVNGIETFFGRQGSIPQPKDQAAAAGSCSTSLVLATPPMAKDITVTPAKSTSAVAVTADTDPIDQTLSDGKKRRSAVRHPLPSQKKLTFEASAPDEKDAESVAYPTKPSDTGDEPDGAACTIPGKATRSGDKTVPGQSDLQLYHVPAVRFSINIDYVLWAVMLELGTDSSLRTFLQHTCPHSLPDSIVPYPSIITLRLLLR >KN539288.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539288.1:56894:62700:1 gene:KN539288.1_FG011 transcript:KN539288.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPPPIPIDIKSREQQMRLQLLQQQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPVIPFHLLKLHWFMYRLSLLTLCPTIFKDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTKSLPTSCLLKVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIEIFTRNLQRFYALVTTMEKFVSGKLVKMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >KN539288.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539288.1:76678:77526:1 gene:KN539288.1_FG012 transcript:KN539288.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGSGSGSNPKDYQDPPPAPLVDTGELGKWSLYRAAIAEFTATLLLVCISVSTVIGEKRQSGEGGAGVLGIAWAFGGLIFVLVYCTAGISGGHMNPAVTFAMVLARRVSLPRAALYTMAQCVGAVCGAGLARAMHGGGQYARHGGGANELAAGYSAGAGVAAEMVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGLAVLVVHLATIPITGTGINPARSLGPALVLGLGTTTKAWSHLWIFWVGPFAGAAAAMIYHHYILRGAAAKAFASSYRPPHF >KN539288.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539288.1:90138:95413:-1 gene:KN539288.1_FG013 transcript:KN539288.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MAMAAAVHRFLGVFPAPSTPTPPPPPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVPIDDPRAEVSRHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDAVAYADWLRKDHRFRDLLVQTSPSLCGHAFPRLKLRYKPSLVQLEGGCSHLPLVDPSMRATPLTPSEWRERLEARKCLDVSSSEAAGDSSGRRLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGKQISTFSLCGMEIVCIWRSNKTFFRVFFIPEHYVPRKKGFRNLYTLKGGVSNYLKEEGSAGWVGNLFVFDGRLSLPPATYKPGAGDDDDDDEEEEEEEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCGRCVEELRGCCGTRLHGDHFIPSVNPPFQLLKIAKEALCMDVPRLTDTIGNKGMKLNSMEILRVRISTIISSSDGL >KN539288.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539288.1:95716:98183:1 gene:KN539288.1_FG014 transcript:KN539288.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEEEEERFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFVVRNGFSKIQCLRLCPEASNFTRIITKQAIASASESDAEHQHRAYMHLSYALLLDDPQDCIIRCIGASTTDNFPEETIQNTLVPTDWVAMMRPSYWSSAGHFDPAVPECLFYRLRSDLCLVHQINIQPFRAFFQYGDPIYSAKYVRFQMGYPKTPLPSQLLVSHDNEGQLAADDNYIWTYTSPQFPMLQESVLQSFKLPRAVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >KN539288.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539288.1:42135:53291:-1 gene:KN539288.1_FG015 transcript:KN539288.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRFVVGSHVWVEDPDEAWMDGLVEEINENDLVVNCTSGKKVTINVGSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINNRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPSEDCKKYKLGEAKTFHYLNQSNCIELDGLDDSKEYTDTRRAMSIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSVDALTGRSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSSLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVAATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEILGLSAKKIQTKVRSHVARKKYVMLQHSATQLQASHCRCYLVLSNYKRMMKAIITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRVN >KN539288.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539288.1:19811:24464:1 gene:KN539288.1_FG016 transcript:KN539288.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYVTAGSTTPPPHLHQLLAAAPPPSSQDDSHPHPHPQSRRTVPARRLRQRLEQEVSELKKQLRNEEAVHDILNRALQHSNTTKSSSSSSSPSVLHNIPAFIPQKAKELLAELVLVEEEIARLENQIRTMKKAAAATTTTSSIIHQNNAITLTHDDTVNANVNNGGSDHANIKSMFFISQAINGVDTSRHHHPLMTSISNKPPTPSPKLNSLDDTNSSSSNKKKKMVQQPNKLSERIVKCLICIFIRLLRSSRVADLQLQQQDNNNNTSSRSSSSSPSLTRQNQGGGGGSFRIDTSLVMNKQQQQQDCRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHHQKLAFWLNIYNTCIMHGILHNGLPSNPEKLLALKNKATLNVSGQKFNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRSRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVERFDGNPDSDWKRGGGGMRGGGGGGGGRLRNPCLTMHQPWASLLVHGIKRVEGRSWPSPLTGRLWIHAASKVPEADTIKAMEEFYREIYALDGITNITFPHHYPVSRLLGVQFSYSYYYSIGCVEVVGCVTSQELASWEHVPQSVSILNPTLLYYLHLLNSNHLLHYLQKLVVPFDMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVNFPLPDPTNPLSLNPGSLQLHSSRSAALDKSPSVTAAIAGARAAATQYSRNNTATTSTPTEETRQRFSRENHADNTSGPSIVHNRSPVLQNQNLPSLALTNPPYLKNQTMPSFVQNNLPNLQNHNLSYLPHQNLPADVSNRRVSLLQNQSPSSLFHSGQSYLQNQNAEPRRSPRLQNEPPSRVSAHYFNPFID >KN539288.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539288.1:63088:63405:-1 gene:KN539288.1_FG017 transcript:KN539288.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVRRQRQQKQQVMVVVMVCWALLATAARGNCRDECLAGCQGWAIICHLSCNSACLGEVGISAMSTATPQSITDQDQQHPSQQQQQQAQQSVSVLKGLDPDKI >KN539288.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539288.1:30131:30649:-1 gene:KN539288.1_FG018 transcript:KN539288.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGQDEEEEPSPQPVQQQQAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSAEEAALAYDQAAFAMRGSAAVLNFPMEQVRRSMDMDMSLLQEGASPVVALKRRHSMRAAAAGRRRKSAAPAPADQEGGGGVMELEDLGPDYLEELLAASQSQPIDITCCTSPSHHSI >AMDW01033064.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033064.1:345:440:-1 gene:AMDW01033064.1_FG001 transcript:AMDW01033064.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESEKGQWHIPVVSEEGSLEKDRTWLCVTRRN >KN544422.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544422.1:2671:3239:-1 gene:KN544422.1_FG001 transcript:KN544422.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEGVPESATVQAVLDWQRRTMEMMYKDVAAALAARGSTQNPREFLSFFCLGNREPYVPGEHVPPERPELDSDYMRAQQARRFKINIR >KN542659.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542659.1:643:4575:-1 gene:KN542659.1_FG001 transcript:KN542659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGCYRDGFNLTCNHSYNPPRLFLGDGTIQVRVNINRMVFNSTEKRIVQNKTLDVGGPFVVSRFGNKIGLVGCNARVDLRGGENNSLISSCTAVCPSEDDRFTLSGLGDSACSSGLGCCQATFPLDYSSYNIQIQNLQRQSVSKFDNLVYVVDKELKFSYTPESQNFPEALPVVLEWFIVSNFSCTPTSSAPITGPECRSANSGCSGVNGGYKCYCPKGYQGNPYVSGGCHDIDECAHPENYTCHGECQNWPGGYTCIPAVSGRDLGLRIGLGVGGGTILLLLAFGAPFISSKMKLRKMKRMKETFFRQNHGLLLERLVSQRADIGQRMIMTLQELEKATDNFDKSREIGGGGHGVVYKGILDLQVVAIKKSRIVVKREINDFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGSLDHHLYVDGPISLSWDDRIRIALEVARALTYLHSATTIPIFHRDIKACNILLDENLISKVSDFGASRYIPVEQTEVTTAVQGTIGYLDPMYYYTGHLTDKSDVFSFGVLLIELLTRKRPMYMTDHGESLVLYFASLHRQGQLVQIIDPQVMTEGDGDQIQEVASLAATCTKLDGQDRPTMRDVEMTLENLLVKKKLASHSVKSSRYNASEITWHYMLAAGQESKEMSKQYNIGGGDVV >KN542659.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542659.1:8998:12397:-1 gene:KN542659.1_FG002 transcript:KN542659.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERFASMRVVFSLSVLAVLLLQLLLGVADAAAAIANCSTHCGNIGISYPFGVEPGCYHEGFNLTCDRSHKPPKLFLGDGSVEVLEISIPSGTVRINSSSIVPISSAVGTGNVNKTGKYHTWGGLRKGGPFFISPYKNKFLVLSCSNVQVLLLGGDNSTVNACATYCPPAPKKGQPFQFPMRNECSGIGCCSAAIPKGYTSYSIQIQPANEISEFDAESSVYIAEEGSYNATRLIFETVSALPALLDWAISNSTCGMKPSAAPACRSSNSYCQNYTSYVYNGYQCRCNAGYQGNPYVPNGCQDIDECAHWELHSCYGTCINIPGTFHCRCPDGTYGNPLMEGGCIKIKKSSQGLSIGLVISGGTVLLLLALCAPLATRKIKLRKKKKTKERFFKQNHGLLFQQLISQKVDIGERMIITLSDLEKATNNFDKSREVGGGGHGVVYKGILDLHVVAIKKSKIVVQREIDQFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLYDHLHVEGPISLPWDDRLRIALEVARAVAYLHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVHTSSNGDALVLHFVSLHTENNLVDILDPQVMEEGDGEVQEVAALAATCIKLKGDDRPTMREVEMALENMRVKKKHATLGTTSNRYDGDQIVCDYLSTRGITDESTRQYTMEEEILSSGTYPR >KN542138.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542138.1:10294:13429:-1 gene:KN542138.1_FG001 transcript:KN542138.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MVHLWDFFLQMGAFPVFVVDGQPSPLKSQARAARFFRGSGMDLAALPSTEAEASADAPVQPRNAKFTRYVEDCVELLEYLGMPVLRAKGEGEALCAQLNNQGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNMADIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDNVLAKLYEIGKGVYPFIEGVSTPNIDDLPSPSTKSLPRARSPHCSHCGHPGNKKNHIKDGCNFCLVDSLENCVEKPAGFICECPSCDKARNLKVQRRNENWQIKVCKRIAAETNFPNEEIINLYLSDDNLDNENGVPLLTWNKPDMEILVDFLSFKQNWEPAYIRQRMLPMLSTIYLRDMASSQSKSFLLHDQYKFHSIQRIKIRYGHPYYLVKWKRVTRSMISNDSPSKQTELEGKNDKVEVLDGDDEVVFSEEEEPTMISQTTELLDEPDVPQVLDDDKDCFLLTDEDIELVNAAFPDEAQRFQEEQRLKEAKSRARKSKLNVAGFETPKGPRPTGVQLSIKEFYRSKKGLSGDSGKDGSRKSSDVDLSKNLPKSVRRRLLFD >KN543954.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543954.1:2113:3105:1 gene:KN543954.1_FG001 transcript:KN543954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPREQATSGNGTTPRQLPPHGPENAEALRVIDDAVVKGHFRQAKLRRIVGVIVSVVCLRIGVVSLTSAGICDAPGGVRVAGELQKLEKVGTWEAAILRFCRFKQLACSDGVFADSMDVRLQWLILCGWG >KN542138.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542138.1:16258:18378:1 gene:KN542138.1_FG002 transcript:KN542138.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSNKLTKDQIPGWEEYYFNYKMLKGRVNEYTEQTKEGTQYRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKRRARLQDIPLLQEITELREDYRSVGLDLVTLLKFVELNANAVRKILKRFDERLGYKFTDYYVRSRSNHPYSQLQQVFRHVLLTTIIDLVISELLKKDPIIDLITAMADKLTNSTNFLRFLGQHALIAQADSTAGAEDEQHVGEDKYHLMSLVLNLANTFLYMVNTYIVVPTADGYATSLGAAATACGAVIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYAMAFDLGSLTILLLGRVLCG >KN542510.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542510.1:72:3857:1 gene:KN542510.1_FG001 transcript:KN542510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPELWLDFPLLPDDDDADDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGRFWKIYFVLLHPKLSKDDADLLSTPQQEKEKKEMRVMEDEEFLRLCPPTHPRRNDDDDDGDALPATCLLDLLTYIADCRNTTTATCRLSCGMEVQVTICAAAPPLVSHVCVYCPGYDHTVFTCVPKVIATQGDVLVLCIALGPRGTIYRPSRSNYYLYQVQAGRPSLKLLPHPGLCFDPNDPYAPLFDDHSVGIIHYHPHIDHPALYVIAALTNGSVPGCYDLHLLHSNAESWISKRDLPRPHSMSRGDHSFAKVITVGGEAGTIGWVDLWKGILFCDVLKDNPVLRYVPLPPPLMATRKLRGCPRNTRDVSVIKGLIRYVELQIHIKPGSFTRGNYISNGWTVATWSRISTNPFEDWHQNCKLDASQVSFENNPVHYEKLPELLDDQGIPQLTLARLHTGHPVLSMHDHDIVYLMTKVNYLDDKAWVLAIDMRNSTLQGIAEFNAERVIALRYAFTQSGISEYLNMLPGIKGNRKRSRMLLEPSCKEKCKIRMVHNMVDDFVNARALEEPPSLPHGTACIVSLSMLGAGVHLNRRWSSKEVDLAIRSRWGLLILEARKKLSRNLQSESKPDSNEDMVAASSSNIDGNVPSPIEVVGTLKNEDDSARATSFSNVNYGAPQPVILEMQSDDTLNDSGGLHADDITSSVPVQLVPVLKDATEFSQARMEERIQDFTAQDTVANEEPGQLSEIKLEDSSEEQQKQPSTTNLSEQSRVAIQKNSNDDDDDDEDEWLEEETGGAGSTAIPIADDEDVSFSDLEEDDATA >KN542183.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542183.1:105:5308:-1 gene:KN542183.1_FG001 transcript:KN542183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSTLLVHFDKGSAAMAGEIKADLEGSDVAAKVDAMKRAVMLLLNGETLPTLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAAGRGLPEMILICQNLRNNLHHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLDHRHHFIRRHALSAISSIYRLPHGDQLVPDAPELVERALASEQDASARRNAFLMLCACAQERAVAYLLSNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIIALLSSPSTAVVYECAGALVSLSSAPTAVRAAANTYCELLSSQSDNNVKLIVLDRLYELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAGELEKSGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDLPFYTVSEEGEATDSAKPAQPVVNSVTVSSRRPVVLADGTYATQSAATESISTPSVAPGSLSSTLNLRSLILSGDFFLAAVISCTLTKLVLRLEEVQLSMVEVNKACTGALLVMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQNEKEFLDHIIMSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQFDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KN542510.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542510.1:10988:11446:-1 gene:KN542510.1_FG002 transcript:KN542510.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCTALLLLASLLLFFLCISATDEAARTASGQPIQEQEQEQHHGKVEEETMAASFAAVEEQCGGEGEGEEECLMRRTLVAHTDYIYTQGNHN >KN542183.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542183.1:12533:14065:-1 gene:KN542183.1_FG002 transcript:KN542183.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISASSLPAHLTIETLARLGSERCGATRRERTARRRDEVLDAEVDDGGEVGREKMLLEELLRCQIQEWYPAFRRHTVPTVILPLPAAFLRYLAGRPAYPDTGGEHPEGDDDAEPLPFFLPAITSGRSAFPPAHAHHPDPVSLLDRDNSDLFFDSADDDDRDADSPLRPAFPELEAAVDSAIAELGGAALPKLNWSAPKDAAFMSADGTIRCTCFAEVAMLLRSSDCVAHDLACARPSCKDFAPPAGVRRNAATGADEGARPNAYKNTKDTTEEQTDQHKALETSSTGAPPNGGESSNDAGSMSDSKENADKGGNRSAADSEADDAPQEDVGEESNWTWVDDGFQYYLALRKWYPGLRPESEFRCFVRQRRLIAMSQRDPSAYYPSLPGWSAEVQPKIEAFFEQVIEPQFASENYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEQEEREEVEVRVVMQHGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADDELNKQMASLGADS >AMDW01024618.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024618.1:173:314:1 gene:AMDW01024618.1_FG001 transcript:AMDW01024618.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNV >KN541113.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541113.1:853:1089:1 gene:KN541113.1_FG001 transcript:KN541113.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRPDLVAGIPSKCGVNIPYAISPSTDCSRVH >KN541113.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541113.1:32375:32884:1 gene:KN541113.1_FG002 transcript:KN541113.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL74 [Source:Projected from Arabidopsis thaliana (AT5G01880) UniProtKB/Swiss-Prot;Acc:Q9LZV8] MRRLGDVVEAPALVLTPASMQQAGGRGSSGLDVSMVIILATLLCVVICALGLTSLIRCALHCARGLSPSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGSCKAGVPDAECAICLGDFADGDKVRVLPRCHHGFHVGCIDTWFAAHTSCPTCRDSILSVHGVVAGGQT >AMDW01023271.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023271.1:79:222:-1 gene:AMDW01023271.1_FG001 transcript:AMDW01023271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VMAADMSHRLGWIDESIKKRAIDILEKAKLPITPPEAMTVEKFKNIMA >KN541113.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541113.1:9829:10293:1 gene:KN541113.1_FG003 transcript:KN541113.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVALVAAALLLAGPHATMAAISCGQVNSAVSPCLSYARGGSGPSTACCSGVRSLNSAASTTADRRTACNCLKNVASSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >AMDW01040417.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040417.1:378:833:1 gene:AMDW01040417.1_FG001 transcript:AMDW01040417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVDFDPDSLRTQLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERVRALREA >AMDW01028502.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028502.1:40:340:-1 gene:AMDW01028502.1_FG001 transcript:AMDW01028502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EETLSGGSACKWYLNEDIPEINQFFDSLGDSAPKIQWISAGAESFGSSQRPARLEHKSVADLKKIDPWEAA >KN538744.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538744.1:241200:242591:-1 gene:KN538744.1_FG029 transcript:KN538744.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNGAPLTVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQEEWYFFSHNHKKYPTGTRTNRATAAGFWKATGRDKAIFLGGGGGTRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDVPEEGWVVCRVFKKKSIHQRGFDQPDMAAAADEDELRYQLLHGAGMSSSPVDQKHVLLQEQLVAHGAHGGGFVVPAFDASMHLPQLASPDAAPCAGVAFASMNPLDANLDQLFHGKVAGAHQQHQQQMAMDAASSLQRLPFHHYLGLEAADLLKFSM >KN538744.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538744.1:210481:212022:1 gene:KN538744.1_FG030 transcript:KN538744.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDEQFIRFVGMLCPDFKMPSRDDVEERCDALFDQEMSSLKDAIARTPGLVTLSKGKARTAMVKTMFLAAHFIDKEWNLCRRVIRVFKDDKWSIEPCYDQILDLKDFSHVWDNYDEMVRTISSYACLHKLVLLDDEDYYYMFRNKLYKDNHLKHISATQQKLFRATDMYQKFNMAADDLIYCPRAYGKHCLLAFPELCLTKKKRRDISSRLRLNHPWTYDDCWYAIYYALQFLHDESSSSTVGIAGLVGDDTFQETDTTELFRTTLGVIYNAIETVAGSSSPTSNLSLIELVKLKRKIDSECINASRRKDDNDDEDTNEEQDHDIDYDVLQYYLEKTKEHMDKVFKDSYLSQSIPLILDPRFKLVNVERFLKKASLPPDCISEVQAAVVQLFQDYSNQGNAREHTNHNNENVMGIDPFQQLYDNTFQTINQSRMEQDHMSSQEIITELDAYLQEKTVPIKQENFDILKWWKENCHRYPTVARMARDFLAIPMSVKPTPQMMIEITNHLRRYA >KN538744.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538744.1:262647:270520:1 gene:KN538744.1_FG031 transcript:KN538744.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLVRNIPLSCRAEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVRGYSDHEGRRSSHYGRSRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPQRKDTHRAKSPRRQPKEHEEDKKRRSYSPANKDGDQRDADNGYEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRCKFVWRTGHYALPPPSMLCCSTASSVTATACPILVCSAKRIGNGCKHRQIRFTEKFFLSDIVVHKAYHLELKNLGLQEALQGRTFEKELLSISSVPIFFGFGNYKKLIRKDKQHILHGLNEVIPRQACNMIDEAGAISSHL >KN538744.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538744.1:299758:305059:1 gene:KN538744.1_FG032 transcript:KN538744.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGERRRGEGLGLGCGGDGETEKWGILDETRRGRDEIGQAHLLDTMASQQQQKQPRKAKQEEGEGHSAEEAAGLEEIGRYRAQAQQNSMDAIRAAEESKKPASSLEIRFQITCEDMNMHEGIDGRSHMHGQKHAYDCSSVSTHGDLTRLEGNNYSLAGTMFPNAQTLSSAT >KN538744.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538744.1:279953:280792:-1 gene:KN538744.1_FG034 transcript:KN538744.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding METIQALELGVEQKKSEPEVDEMPGSMEDQKPAEISQDKVAETDIKPAVQTELETSPVANPNPAETNQYTDGVTYGDLETTDPGTTYRCKRCRTLVATEGYVVTHKVGRGEKCFATRKKYHVDEKEPECTCLFVEPLKWMQPVVEGYISGKIACRKCNSRLGQFHWAGMQCSCGAWVNPAFQLVKSKIDQCEM >KN538744.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538744.1:216908:222281:1 gene:KN538744.1_FG036 transcript:KN538744.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALLRASPAEEELELEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEHPLNKPLSVEMTANEFEVQTAPLGDGILPGIMRQIVIEVCHDIGIPLREVSPSWSKRRPLFVTSKASLFQINERRDNILLCRAWVNVLSPNYIVQQRGKMERGEREADAGKDASSEGGIIGSKIASDQRPEEGEKRCSMCITSRLKSTLSTDREMEEENNCQPARRAGRRKRSEVWDHFEQKAECNYCKALLCADPATDGTSRLKKHYEETCPARHPNKSGRGRQNGHGSPAASSERQVNHVLQNNASTISESTSDGQQMMPSREDVEERCDALFDQEMSSLKDAIARTPGLVSLSIGEARTAMVDTVFLAAHFIDDEWNLCRRVIRVFKEYGSDQPSYDHILDVKDYSSVWLHDCDEVVGTISSYGILPKLAGAILSEYYWNILKNKLDKDNHLNHLSATQRKLLRATDMHRELYRVAQELQYDYLDHHYGEHCLLAFAELCWTKKKRRDISSRLRLNHPWTYDDCWYAIYYALQFLHDECSSSTAEIAGLVGDDIFQETYTTELLRTTLGVIYNAIETVAGSSSPTSNLSLIELVKLKRKIDSECINASRRKDDEDTDEEQAHDFEDYFVQSRLKQTKQYMDKFFEDSYLSQSISLILDPRFKFVKAKRLLKKASLPPDRISEVQATIVQLFQDYSNQGSAREHTNHNNENVMDIDPFQQIHNSAFVGQSSMEHDHRSSQESITELDAYLREKTRLNVFVFDVPFTLLRILYG >KN538744.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538744.1:307252:310245:-1 gene:KN538744.1_FG037 transcript:KN538744.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRGISVVAVVLAVVVILAGAAEGKVNGKAKGKYRALFNFGDSLADAGNLLANGVDFRLATAQLPYGQTFPGHPTGRCSDGRLVVDHLADEFGLPLLPPSKLKNSSFAHGANFAITGATALDTPYFEATGLGAVVWNSGALLTQIQWFRDLKPFFCNSTKEECDEFYANSLFVVGEFGGNDYNAPLFAGKGLEEAYKFMPDVIQAISDGIEQLIAEGARELIVPGVMPTGCFPVYLNMLDEPADGYGPHSGCVRRYNTFSWVHNAHLKRMLEKLRPKHPNVRIIYGDYYTPVIQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEAGATACDDPSTHWSWDGIHLTEAAYGHIARVGYKGGIGLSKA >KN538744.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538744.1:224955:226929:1 gene:KN538744.1_FG038 transcript:KN538744.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALLRASPAEEELELEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEHPLNKPLSVEMTANEFEVQTAPLGDGILPGIMRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPILWENIESKTWADVSWEVKQFQGAGSITTQIKREISKRAIQEEYDRKDLLWVMNPVISLG >AMDW01040865.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040865.1:178:1857:1 gene:AMDW01040865.1_FG001 transcript:AMDW01040865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RTARPRPLIAVEELMKQQQQLKVCPSNGQNHLIQEDVPLEGVPSLKPSEDLEVHFESNNRSLHTMDREPDLDMHMSFDRDKFTNNDIMHPPVSTVSHALDENTRGDSGFPLEESNTMGSVQFGNSTYETSLVHSPAEQCEEPASCIDSVDYMEIDTEAGVEVERWSRPALEGCQTGDNGVGSSQELNGHCNGEPSCPEQGVLTNGGNTSSPSTQCYENKFATSTNSNYSIGNGDTLSSSNSLHAGKQNAGFTYNGFNPKPYKEPSGSNTDLNNTCNAKPSEDNHNKCAQNLPAKDCQGGMPFLHRGFLLRPCSRGKPGKCDDGLSISNGTSSSFVSSNSKSSNISSSRNGEGGTPFLSPSFRTNHCRESAAMDTSASSVHDLRTSYNISLEPKSIGAAVPSDLIKESWGEDGTTFGTVYQQRATSVDNLSSRHDENGHVILGANNSSYGGENGCNNGILDMNSSSSQRDAASSTMMASEKGIGPKTTDQVNYISDALEHDGLRRRLTSNFPDQNGIDAQ >KN541282.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541282.1:761:7427:-1 gene:KN541282.1_FG001 transcript:KN541282.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPDDDPPAAASEAPSTSSADEDDRVFLVLHRWWREAQEGGGIEAAGVPYAAAPSGPTSYGIGMKVLSMFISDQAFTLRRADDLLQPDASASNAASSRTYALVAADLFSKARAWHIDSGKNAGKKSLSIEEGSVNIYPIMLRVSVTRDTNALTVKISKKDNSAENFKRANKILTADSELVHIWDFSGRTTFILMNEWNRMPQDTRSSDQEMPLEIHLYDLSEPTANGTNGKKDELALTMSRSMSNGSIMGMDLDSSGSSKQVGTGLIGLDNLGNTCFMNSAVQCLAHTSKLGELAYSFGDLLRKLWALDRTPVAPRQFKGKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEVKDSDGRPDEEVADEYWGNHIARNDSIIVDICQGQYKSTLVCPDCRKVSVTFDPFMYLSLPLPSTTMRTMTITVFNTDGTTGPSPYTVSVPKSGDTKTLIDALSIACSLKGDERLLVAEVYNSALIRYLEEPSEVISLIRDGDRLVAYKLPKDSEDAPIVVFRNQRMESTLTSFGRKSWKSFGTPLVSSLPDTINGSTIFDLYQKVMTPFRVPKDDSSDADHIIGKSSPVEETTDVDMNSDATESTSINNNDCDDETMTEDGMQFYLINERFPDQRMKIETDQPIRLTASQKRLHVVVCWQDNGLEQYNFSSLDNLPEIYKAVLFSRRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHCQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPVHDLDLSKYIGSRGQQISNHYRLYAISNHYGSMGGGHYTAYVYHEGRLVGETVLVRCCKDPKRLIREFK >KN541282.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541282.1:27798:28884:1 gene:KN541282.1_FG002 transcript:KN541282.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDEQQAAAQPAAEVTEAAAKEVVSVEMPAPDGWTKKGVHRDHYTYLYFILESGNVVGLVLVLLLELSTVKEALLEQSDLRNAKRDVTEQGTGVSLVGSRLFL >KN541282.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541282.1:20342:24340:1 gene:KN541282.1_FG003 transcript:KN541282.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWPPPARKFRVRLVVRRAEGLTAATASEAAVAVEDSNKGPKGELILGTASLNLAEYTSASEEVEIILPLSVPNGSSESSPSLHLTLSLVELGPPHQSPDASQRSAVTAPLSPSSGDSVPSSKDEVSSVIKAGLRNLKILTDLVSTRRSKKTNRDDDGSEDKCYVHSDGAEYPSDTDSLDEDLDDRERDDGLGGSTVRKSFSYGSLQSVNYAGGLLYAHARIDGEHEDWIYYSHRKSEAGYSVEQEASSTAEEPVVSVSRRSLLPWKKKRKLNLRLLKVLKNKGEPLLKKGNDEEGGDDIDYDRRLLTTSDGNALEGSDSSINSMVSIFGDDNFVVGNWESKEVLSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFEANQDLMPIRSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVSPNRSFIGFFQPESTEDGSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEAGAYYIIDTLGERLYEGCSQAYILKFDDNTTIHKVPAEKKEANPDSSGRLKDSSDSSSTDLDSGTDTEECELVSKGKESCKEYIKSFLAAIPIRELQADIKKGIIASTPLHHRLQIEFHYTESCPEEIPLPAPLPAIEAPFEFSWPEPPPAMEVTLAPAVAAI >AMDW01037529.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037529.1:79:714:-1 gene:AMDW01037529.1_FG001 transcript:AMDW01037529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SELTEENKQLSDARGQTESWASALISELEQFRAAKLQGAAASEMSLLDDFAEIERLEMASGGQGLRSPKNAHSEAISSEKNGKDTVIENGVSNGQPEWVQDMCKLVMQKHETSGENIDTILEEITRALDQSANNQKGDDLNGSYDWSIVKEMVSSLTEKITSVIGISEESNVASSEKLLLDRSGFCARLEHLVHVSHDLLHGKTDLEKFVHE >AMDW01014565.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014565.1:2:226:1 gene:AMDW01014565.1_FG001 transcript:AMDW01014565.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGPTPRGLRRLSDIDDQGSFRFYRSIIYFYRSGGGDPARVIRGALAAALVHYYPVAGRIRELPGGKLVVDCTGEG >AMDW01018382.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018382.1:55:225:1 gene:AMDW01018382.1_FG001 transcript:AMDW01018382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARDDEEPQDGYYSIVYESHAPGDDEWMLRHLWREAHAMAQAGGEAGPRFSGG >KN545777.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545777.1:198:1281:1 gene:KN545777.1_FG001 transcript:KN545777.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTLVVPPPQTCETEEVPLTVFDLVAPTYHVTVLFAFSLPNPTTRALLDALSATLPHFPLLTARLERRGARRRPYFVTGRGGAGALVVEAEVASDLADHLPLAPSPELARLHPPVNTDAPTPHVLLVQINRFACGGLVVASSAHHQAADGQGQGAAAAGAFRVVDPSEVANLLLHYPSEFVAELKRRAQGKYTTFETVSAHVWKKITAVRGLDAGVRTSVNVSVNGRARLGTATVPNGFFGNLIITASSGATARELTTGTLADAAALVRAGIRAVDRRYFQSFVDFGALHCDEDEPVEP >KN539176.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539176.1:84553:86355:-1 gene:KN539176.1_FG001 transcript:KN539176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEWEEDGEEAARPGEEVPVDFDFISLLCKPKDYYKILEVGYDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRREYDKKGILYVQDHNVVDYLNRHKGLILTCNGLGIRHSVW >KN539176.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539176.1:55747:56676:1 gene:KN539176.1_FG002 transcript:KN539176.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAEAKALRVGKVAGAALECAVCLSEFEDDEMLRFLPKCSHAFHPDCIGQWLASHVTCPVCRRNLDPNKDTTEEVIIPAAAAAADPNSTSSEIVVIRQEDGAHPAAVVIDVVTEEDDEERRKEELELQAIGTQLRAMRSRSGLRPKTSAAKLPRSHSTGHSLAVRLDGDLERYTLRLPEHVHREMVSAGEQSVRRGRRLGEGVGMGARCSPRFSRSGRWSSFLSNSLSGKLSFLSPSSRRTPDSTQVEVSSSSSSSATKVRGKRVAAVDVADDGSAHGTAQYPGCTVASSAAAAAVDVEKAATRQVRT >KN539176.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539176.1:10062:13900:-1 gene:KN539176.1_FG003 transcript:KN539176.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGANVDMASGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >KN539176.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539176.1:129165:132976:1 gene:KN539176.1_FG004 transcript:KN539176.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKISLKAASVCTRWTKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPIIDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >KN539176.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539176.1:2341:5069:1 gene:KN539176.1_FG005 transcript:KN539176.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQKALTVALFSLLLLLAILPPSVTLSKSPLLHQVLPPADPDWNLDLLLLLHHLLLPSLLLDDAYILLLYWFLDRGFLIWSLTPGVNKVYAPIAVLGLSKKFRLFLEQKWIVAAFSAAIDAGGWKNTNHAALCMQKEREEEMGECGGGGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRWIISPFSPAAIARLACCQSQIHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >KN539176.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539176.1:133851:136911:-1 gene:KN539176.1_FG006 transcript:KN539176.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDAMVRENMEDLGMDADEALADAVDALTLQGADLSGIIKRVPGEAAAAELSPVMRVLDEVKASSASDNDSGGRSEEDAERLASLLDELRELCSGDGLENAAVAARNGGVEALVALCASAGVKQERLLASGLKALSSLLRDVGSTEKFRQSEGPQVVMGILKGGSESSDILEGGFRVVASASAGNEVVKECFMDLKVDELILQVMGEKSNSNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAETGIAAVLVNALREKVAPSSLPSACAALKAIAVNDEICRSISENGGIDVLLRCIDEASEQKNKVIAKSCCSLLSKLAGSDANKTTIIERGGFDKFLKLTSRFSEDPPIIQEVMSMVTILTLRSPENAARAMGAGYGTLAIQAMQKFPSSAQTQKQACLMIRNLVVRNPENRTILLNDGVEKLIRKTKMMHGSCKDAATSALRDLGVDNYNA >KN539176.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539176.1:118776:124584:1 gene:KN539176.1_FG007 transcript:KN539176.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTIAPQPPHRLAASPFILRFFFGLREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSHFASSSMNEIIDKYNTHSNNLGKAEQPSLDLNLEHSKYAHLNEQLAEASLRLRQMRGEELEGLSIDELQQLEKNLEAGLHRVMLTKDQQFMEQISELQRKSSQLAEENMQLRNQVSQISPAEKQVVDTENFVTEEGQSSESVMTALHSGSSQSQDNDDGSDVSLKLGLPCGAWK >KN539176.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539176.1:100274:102077:-1 gene:KN539176.1_FG008 transcript:KN539176.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKTILKAGTGPKPVKGQKLNQPERTKDAGQEPFSFNIGQGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGASGFPAWGIRPNSVLVFEIEVLSAQ >KN539176.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539176.1:47289:48936:-1 gene:KN539176.1_FG009 transcript:KN539176.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLSCAAAMYAAPPSSLGCGVDMDEFEENGGVVLQEEAGAAVHGGGGGGAGGMMSVWVNAATCARSIAASGAVEEFWDERKYPVVKNKEEAESQRRNHIAVERNRRRQMNEYLAVLRSLMPPSYAQRCLGLTVLHLNVTTTADHLALYSFSLKMEDECRLSSVDEIAAAVNQMVTKIAGECIS >KN539176.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539176.1:14299:15065:1 gene:KN539176.1_FG010 transcript:KN539176.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASKRIPLFRLVEQLLAASPAQGAASALRPVAVAGGSRAYNTGAQLRRHEMDESDDDSGRGYDTRRPTRDATMPAFFSDVFRDPFSAPQSLGRLLSLMDDLATPAGRAGAATLRRGWNAKESEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEGAAPARYSGRIELAPEVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVE >KN539176.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539176.1:68297:70374:1 gene:KN539176.1_FG011 transcript:KN539176.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSNDDLALIAKSFPLFKELSLVCCDGFSTLGLAAIAERCRHLRVLDLIEDYIDEEEDELVDWISKFPESNTSLESLVFDCVSVPFNFEALEALVARSPAMRRLRMNHHVTVEQLRRLMARAPQLTHLGTGAFRSEPGPGGALSVTELATSFAASRSLICLSGFRDVNPEYLPAIHPVCANLTSLNFSFANLTAEELTPIIRNCVRLRTFWVLDTVGDEGLRAVAETCSDLRELRVFPFDATEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCSDLVTFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQSVFEGCTRLQKLEVRDSPFSDKGLLSGLSYFYSMRFLWMNSCRLTMRGCRDVAQQMPDLVVEVMKDHLDDEGEMETVDKLYLYRSLAGARNDAPSFVNIL >KN539176.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539176.1:90046:98905:1 gene:KN539176.1_FG012 transcript:KN539176.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEKFRSGHLPRAAAPPLRTDDGSVASGSDMEESSDTEEVEVCSGRYSVDSSPRREDILRRTAMPQYRYATVPGMPSYYSSDYSDLSSSRDTALPRTKQQQVRRPQAQGSRYVEEEEYSDSAGSSEFSSQVETRSNGVASRGGYASEYSHNGPARREANNAVPKTWMAAAENYSRAAPLNSRTYQQEKYSAHVPAQDNVKSSQMDGLSDVPSAPPIHAYDQEISQVSQNVDANVCDGSTVKKEEYNDDSVEPNLPEKSERSTLNPGHSSKPSSSIPLRVPTFHASLQNVLLQSEEELMAKRTSELVSDGVASKPKKTIGKMKVQVRKVRMSVDVPSGCSFSSLPMVKLNSVRYRLSNVQSTLSSGWESVRRIQTLPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRNSSSYETPQETYSCQLRLKSTPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMAEESTDKLRWWSIYREPEHELVGRIQLYIHYTTAADENNTKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGSWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHDLLLPVLMKTQGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLNESLPSGLVEDFRPPTGLAACALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRVDLVTFTTAYQKMKSLCCNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPTGPSSPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADIEKAVIESLEKQYGDVLAPLKDCIAPKKFGLKYMQKLTKRNSVVPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCMPNGGNTAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLVEAINHVHKVTEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKELEPPRSIMEVRSILCKDAPRQKNSSFYY >KN539176.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539176.1:105267:105587:1 gene:KN539176.1_FG013 transcript:KN539176.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPALKEGVLAFSAQTADTALAELKNFRRKLLLPHITGASAAHAHQAWLKLRRRPVIAVDFPAMWNVNKLQPAHPLVLQDKAVHGTQQQQLNRSVRLNPSTGY >KN539176.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539176.1:107680:108325:-1 gene:KN539176.1_FG014 transcript:KN539176.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQSPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKR >KN539176.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539176.1:58760:60415:1 gene:KN539176.1_FG015 transcript:KN539176.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRHLALRLRHLRRLLAAAPLSSLAAAHSANRSSPPLNPTSVPPPPRQRHLPILPARRLCSTTHVVLPTNLQDERFAALSDRIYDAVIETAAGSSEGTEAALDALGAELTTPLVADVLHRLRYDEKLAFRFFVWASHQDGYEHEAATYNDVIDILSGTRYKARQFGVLCDVLDHMKRRRTRSVPVDDLLGILRAYTEKHLTHLRKLAKKRRDPKRAMKVLEEMIQMKHTPENFTYNAAIDSFCSAGLVSEARELFEFMRTEGSTISSPTAKTYSIMIAALAKAGQMEECFELISEMRKCGCMPDVSTYKDLIEGMLLVDKLDAAYCVLDEMAKAGFPPDIVTYNCFLKVLCGLQKADDALELCERMIEAHCEPSVHTYNMLMVMFFEMREPDRAINIWIEMDKRGCHRAVDTYETMIDGLFDSGRTEDATALLDEVINRGMKLSYKKFDAIMLRLSAVGNLGAIHRLSEHMRRFYNVAMSRRFAITQKKKSIGLRRK >KN539176.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539176.1:18021:24191:1 gene:KN539176.1_FG016 transcript:KN539176.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLAQMLREDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALELEDTIKNYERRDANGWKFVSSFRESRPGTLGSLLDTMEFIWRCVLRKQLQKGFAIVLGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLFMVVYTLLVASNFFGRLINFFGSWKRFKFQREEENMDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDVESGKVPLVENTLEMKSGATSSRADGRVGQSKYANNRETIATKYSAIREQSRQAVKPAKKEISSTSVSLLEEGSSEQRSNTGAPVGSSAGISQTWATMKIGFQNFKANMGSKKFIPLRQDPGFAPHSNVSSPESLDEIFQKLKRRPADMPVDYLDDDDDNTGDMDPTFPGSTR >KN539176.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539176.1:76927:78777:-1 gene:KN539176.1_FG017 transcript:KN539176.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKELLPTPKAAASTFYDHSSDPWFKERYGGESAQSDAAAAAAKPSGPAKPVPPRSALAPRRKEDFGDGGAFPEIHVAQYPLGMGRRDDKGGSKILALTVDAKGSVAFDAVVKQGENASKIVYSKHSDLVPKIATADSEATEDDEEYQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAQDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGAPPAPTGVPAGGGRGAVDDREEDMDLEQPREQRRESREEREARIERDRIREERRRERERERRLEARDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQPTLSTLYRPKKDGDSDVYGDADEQLEKVMKTDRFKPDKGFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGSGGAMRASGGSSMRDDYEGGGSGRSRINFERGR >KN539176.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539176.1:39690:40333:-1 gene:KN539176.1_FG018 transcript:KN539176.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGASCLAMAALLLAACASSAAATSYTVGDASGWTIGVDYTSWAGSKSFKVGDSLVFKYASGAHTVVEVSAAGYLACAAANALGSDSSGSTTVALKTPGKHYFICTIAGHCAGGMKMEVDVSGSSSSSGGVGSSPSSPTPTTPNPSTPTPTTPYPSTPTPTTPYPTRRLARRALAAPAPRR >AMDW01037380.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037380.1:170:592:-1 gene:AMDW01037380.1_FG001 transcript:AMDW01037380.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECIYNNPPVLVTPSKPTPKLALCLSNLDDQRLLHFPIQYIYVFTGTLDMDTLKVALSRVLVDYYPLAGRLRASNEHDGKLIIDCNSEGALFAEGFLPGLTARDFILGHAKPHKSWKKLLYEDEEQSFVCTPPLVVQ >AMDW01040719.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040719.1:64:1824:1 gene:AMDW01040719.1_FG001 transcript:AMDW01040719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRLEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFHKMSEESAQAAAEDEKFLPAV >KN539168.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539168.1:70057:77275:1 gene:KN539168.1_FG001 transcript:KN539168.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGHDARQYSWWWVSHISPKNSKWLQENLNDMDSKVKAMIKLLNEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHKSISEAFPNQMPPMSDESPSSSGQEVEPHTPDLPTFTRLPFGLDDLQKDGVGVSPQQFTSKRNGTHPEEASALPNRKGFDVKVRKGLSFGSPEVKGSDAVSNEMVDLQQEIARLLAESNSMKQQILSESERANKAENEIQVLKDTVLKLNSDKDTSLLQYDQSTERLSTLESELSKAQDDLKKLTDEMATEVQKLSSAEARNSEIQSELEALDQKVKMQQEELEQKQKELKSFNLTFQEEQDKRMQAESALLSEGKELAQCQQEVQRLTMEIQMANEKLNELKQTKVNLENAVSELKKEVESLTEQNRSSELLIQELRDEINSLTDSRNGLQNEIQSLRSTISQLNTEKDAALFQQQQSVERVSDLESQLLKLQPELEEIEQKVQILMQDLEHKRQEADNAHAQLQDECNRHTQTEADLHSFKNRHSQLEEEVIKLTENLDRSTKGLEELENAKLDLENTSRELKSIILGLNSEKDAVLLQQQQSLAKVSDLELQLSKTQLELESSEQKMQLLELEITQKSESMDSLTLSLKDETEKRVQAETSLMSMENMYSQSQEEVNRLHLEIEKLHCKLNELENLSSELNNTILLLNAEKDATDLKNQQSLVRISDLESELSKLQAQLEKIEGKVQMLEQELKHKKEEVDSLQISIQDEAHKRSEGEAALLAMTNLNSESQEEVNRLTLETEKLKVKLSEVENSNTDLENIVAKHTEDIHVLREKNVSTELMIKELHHELDALKELKVKLESEMGLHIGEKEALQRDFACQKEEKQNLEGIHHSLAEEMSTLKSRSAANQKLIEDLQIMNLKLKEVCAKNEVEKALLSEKVQEVEKLSEEFSLMENSLSDANAEMDSLREKIKVLETSEGSLKDVISSHVSEKAILTSDLETLGKSYADISEKNSNLDILISDMKAEIENLRTKLTDSEETCQAHLANNSALSDEKNNVFSQLESVTVVMKALESKHADLEDKSSSLSREMNLAYDQVRELQDQLRVKDEEYEAFVKSHQTQVNDFEEQISSLQKKSYYMNELLEQEQENHMSASINVVILENCLADLKDKNVDLFNECQKFAEANHAAEMLISQMKDEARYHQDERKFLLIHTEKLREGISQHMKVLNICKDLGPANIAEDEIILQTVSDEASNIMKLKEQSEDANRLMYTELTVLATVMLQVGLELRDLNLQKRALEKELETRAAEFITLQNNNVQMLEWNEQLKQELQQGCEREEVLKAEILVLQEKLSCSRESYQTSQNEIVSLTEKNETLCKEYQSLIEKYNALEDENGTLLSECMRLEHLSLFLRGHNNEVATALGSLTDEMALLSVGKDELDCEVQELSRRGMMLESENNNLKEYFIYLIEILSAQLALSEFDLNINKSICQELASELESCMAQLSQKDDELLEAEDKVHLLQGKNRELCGVVGSLQVAIEGAKIVKEELDKKITTLTEEGNTKDGEISLLRQANERLQAEADILKDKEDSMTSSHELLSKEVEQREGEFVVLMGDAITSSVNAAVYEEKALELMTENTELKANLSTHVALIASLSDHVNELEENTLSLSKPYSTESKKEDAEVPFMQERNHGPESHPLPEGTPELQRLIARMGALQVAIRNAKDLHDQESTKSAATLAAAHRDIQELKARGGSQMEAREIYSDNEKLNNVEGSKGKQVQMMKDIELDQISTCPPYGTGAALYPLKNGANAGMDDEMLQLWEAAERSCKNQTSKSSSAEHDIEAVEEVKSEYPSSELARGRDLGINKLEVSTSSVEPHEQWSNNVLEKLSSDAQRLQSIQVSIEELKRKMGSPSNGKSPMNSEYNTVSTQLLDTEGCVLEQINYNNKLTKRVENYPALSDSMNAEQEGYPSRRKISGQVQKGSENVGRLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKEKRGGAQKKKKRAPFCGCVQSITET >KN539168.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539168.1:40211:40783:1 gene:KN539168.1_FG002 transcript:KN539168.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTKKKKKKKGMACVGGVGGGEVNYQRETFQFSFESGKNRRLLIVQCGQNRRNGNAIGRTREIRGGRFVLLSSWIIGRTASVASAAGASVTGAT >KN539168.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539168.1:81482:84400:1 gene:KN539168.1_FG003 transcript:KN539168.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31850) UniProtKB/Swiss-Prot;Acc:Q9SZ52] MAEVFDVMQRQIVKANVGTFAAIFRGLGVEGGLRSAPVALPVMKEAGIVLNAYTYNGLVYFLVKSGFDREALEVYKVMMVDGVVPSVRTYSVLMVAFGKRRDVETVLWLLREMEAHGVKPNVYSYTICIRVLGQAKRFDEAYRILAKMENEGCKPDVITHTVLIQVLCDAGRISDAKDVFWKMKKSDQKPDRVTYITLLDKFGDNGESQSVMEIWNAMKADGYNDNVVAYTAVIDALCQVGRVFEALEMFDEMKQKGIVPEQYSYNSLISGFLKADRFGDALELFKYMDIHGPKPNGYTHVLFINYYGKSGESIKAMQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDTITYTMMIKCCSKASKFDEAVKIFYDMIENNCVPDVLAVNSLIDTLYKAGRGDEAWRIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEAMHLLEEMYHSNYPPNLITYNTILDCLCKNGAVNDALDMLYSMTTKGCIPDLSSYNTVIYGLVKEERYNEAFSIFCQMKKVLSPDYATLCTILPSFVKIGLMKEALHITKEYFLQPGSKTDRSSCHSLMEGILKKAGTEKSIEFAEIIASSGITLDDFFLCPLIKHLCKQKKALEAHELVKKFKSFGVSLKTGSYNSLICGLVDENLIDIAEGLFAEMKELGCGPDEFTYNLLLDAMGKSMRIEEMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAIDLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFNEMLEYGCKANCTIYNILLNGHRIAGNTEKVCHLFQDMVDQGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLLEMGLEPDLITYNLLIDGLGKSKRLEEAVSLFNEMQKKGIVPNLYTYNSLILHLGKAGKAAEAGKMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQL >KN539168.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539168.1:116770:118568:-1 gene:KN539168.1_FG004 transcript:KN539168.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVAFLIVSSDGTAGSPQLTGVLLWLWVLSLAKLVHRLVAAKLAKNSFAVGLNAYLISDYMKQLYGQDQGDHDVQAPPLLVMGEEKLQIEARPQGYRIGRTSPPPLCVDAGHVSHERVFRVIETELSFLADFLYSKLTVFYASGWWFPVLNSMLVFATWVSCLAAGGAIVHDMACRGTTLASNYDNLRKYLQNHDTVFHIIVALDVLVTVSFIIAIVFTEGWEIATYVRSDWIKVSAICEYARRPSWRKSPWTRRNVGRVLPLKPMQRWDDRFGQTSILQLRPCYCGCVSRQVDRIAKSSATVPAAVKTAVVDTLRTNQGNLGNGVLSLQRNGVADKLAWACHHAGDERSVSEQILVWHVATRLLEIKGSEGAHGRHDDGDGDGGGDSDTVVVATRLSRYCAYLVALKPELLPDHPAWTEELYEGVVEEVSRVLARFAGAVVRYERAATCLGGSTNATLRKAAKLGRQLAEELGGDEELPWRVLADFWAELVVYLAPSENVAAHSKALRRGGEFITVLWALLGHAGIVSRPDTDV >KN539168.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539168.1:34883:39931:-1 gene:KN539168.1_FG005 transcript:KN539168.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAKKSKLSWSKSLVLKWFNIRGKSHDFHGDDAAAAFGRRGGGGEDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRIFVATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGDDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >KN539168.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539168.1:91441:92550:-1 gene:KN539168.1_FG006 transcript:KN539168.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLVCLEEAGEQYEVVPIDFSTAEHKSPEHTSHNPFGQVPALQDGDLILFESRAISKYVLRKNNSELLKEHNLSDAATVDVWLEAESHHFDEPMSVVIYQCLILPVYFGGQTDVKVVEENLEKLKKTFQVYEERLCKFRYLAGDFLSLADLSHFPTAYYLLATPHAAMLDEFPLVKAWIDGMLARPSVKKVIEMMKATA >KN539168.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539168.1:20904:26734:1 gene:KN539168.1_FG007 transcript:KN539168.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDYTCVIARGANPRTTHIFDNRVVESSGDGEYFPPELWPPSAAGKGDGDGGDFLRYCHGCSKDLGLGKDIFMYRQMLRVGRICSRSSTRAVSSFLVGPRHLIGHESPHFLGSKCCWTNRTGAIGFLNTKLNIGSYGPCLSTLTETILVQAHDPSLLALEIENAIDQQRFDDAWRAYEKHIHMDGVPRKSVLSKLITGFAETCDAHWLNQSYNVVNHAFEEKSKLLEKEPLIFLSLALARSSLPNLSINVVRKLIKMEAYPPVAAWSAIVAHMCQTATGAFLAADMVMEIGYLFQNNRVDPRKKSNRPLLLMKPNSLTFNIILTASLLFGTTKKAEQLLELMPRIGLKPDVSSLIVMARIYEQNGHRDEIQKLRRHVNEACGLSESELRQFYDCLLSCHLKFGDLDSAVDMILDMLKKGKNTKRSLEAAKAVLEAVENNKIYLPYEKTKPVNSGSSNKSVCTDSQMLNYISFFKDKNFARLELDARELLKLLSDKLQEQVGLVKSEYGILYPTETMYAKLVKAFLEADKISALASFLVKASKEDSPVSVESSFVVQVINACISLGWLEQAHDLLDEMRFSGIRVGSTIYSSLLKAYCKEGHHEDDIAALLKDAQQAGIQLDPSCYEDLIQSRAHHNNTTGALNLFKELKSLNILKAGQNEFEMLVQGCNNNGAALTTKLVEEVRSGHPVNHAIHDWNNVIHFFCKKRLMHDAHKALGKMKALGHTPNAQTFHSLVTGYAAVGGKYVEVTDLWGEMKVLATSSSMNFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGKMFIDKYKYKSLWLKYHRTLYKGKAPKVQTEAQLKRREAALHFKRWIGLT >KN539168.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539168.1:48994:53225:-1 gene:KN539168.1_FG008 transcript:KN539168.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARRSMVALGRRALCSGSDLEAAAREVVCSGAGSLDEVGGALDRLGVAVSPAMVARVIDACSERMGSGRRLLRFLSWCRSKDAGGIGDEALDSAIAALARMGDLTAMRIAVADAEKDGRRMSPETFTVVVEALVKLGKEDEAVRLFRGLERQRLLPRRDAGDGGEGVWSSSLAMVQALCMKGHAREAQGVVWHHKSELSVEPMVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSCTPLGLPSFNDYLHCLCHRNLKFNPSALVTEAMDVLAEMRSYGVTPDASSLNILLSCLGRARRVKESYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRIIRGKRLVDDMLESGVLPTAKFFHGLIGVLCGTEKVDHALDMFRLMKRCQLVDTHTYDLLIEKLCRNGRFENGKELWDDAKKNGFMLGCSEDLLDPLKTEEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKEHFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLIDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNNTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVMEKILTAYRKASKQWCATTNKLAQACASIGKCDLTLTLTAVNGARPTCVWAVLGPAG >KN539168.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539168.1:132644:135908:1 gene:KN539168.1_FG009 transcript:KN539168.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPTCRMSSSWCENFGFLVYFLIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSHAEKVEKELKENPAPSASDIEAARVVVKEKGDAVAQLKAAKASKQEITAAVAELNKAKENVSRLEERSKLKPGIPYRDDGTVAYENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAERYVQYLCKWLLEHCREDMEFMVKNYDKTAIERLDLVSSTPFQRISYTKAVELLKNVTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKEIKAFYMRLNDDQKTVAAMDVLVPKVGELVGGSQREERLDLLKTRIQDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >KN539168.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539168.1:54285:56953:-1 gene:KN539168.1_FG010 transcript:KN539168.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLRGSGGAAVIDGGLATELEANGADLKDALWSARCLFTCPDLIRKVHLDYLEAGASVLITGSYQATIQGFLSKGFSQEESESFLRRSVELACEARAIYLEKCSNGSDEAKDVTKYRKRPILIAASVGSYGAYLADGSEYSGDYGNEGTLEFLKIFHLRRLQVLAEAGPDVIVFETIPNKIETQAYVELLEECKLRIPAWFGFTSKDGVNVVSGDSLIECASIADSCKEVAAVGINCTPPRFIHELVLSIRKVTSKPILIYPNSGESYDPIRKEWVECSGISNEDFVSYVKKWHEAGASLIGGCCRTSPDTIRGISKALHGV >KN538794.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538794.1:103594:105843:1 gene:KN538794.1_FG029 transcript:KN538794.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MGSSSSTSLVSLLLPCLFSLLLLRLSTHLNPDPTAAAPRFKRTPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGALCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSASDAAESLLKAISPAIEYKVPWAAILGNHDQESTMTRVELMVFMSLMDYSVSQVNPPGSLVHGFGNYHVSIHGPFGSESVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRATSQQNLHAPAFAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLDTLTSMGDVKAVFLGHDHLNDFCGDLNGIWFCYGGGFGYHAYGRPHWPRRARVIHTELKKGQKSWVEVESIHTWKLLDDEKLTKIDEQVLWRCSSI >KN538794.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538794.1:78999:88044:-1 gene:KN538794.1_FG030 transcript:KN538794.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MGKLSMEKNSAAASRADAVLSSAAIHPYIRSLMVLGLGFRQGRLNAGQALKQHDDESDWWMCPAIPVKEIAAPPAPSVAMPVPVPMPLQASDKKKKKKKSKKKSLKEIERDNAIAVGECKFGDEGTLVFDHGDAGISALKDPKIGLGLNLNTEEVLKAWCNRGSVFAGCDALESPRSSSDLHAKLADIDLFLDNNTSGVIREGSMLKLRHKQKQCTPLLSNKTRYQSRKGKYVSQASLFQQASEKERWVYKERAFDNIVISPGPGSPACPSDIGICLRILCECGDIPILGVCLGHQALGFVHGAKIIHAPEAIHGRLSELEHNGCYLFNHIPSGINSGFKVVRYHSLVIEPDSLSEDLISIAWTASPKMLSFLESDKHDITSSTLWGSLDNLFVTNQSECSTTGGKMPSINDASELDGYRVLMGVRHSTRPHYGVQFHPESVATHYGRQIFQNFKKITTDFGLQTPLLQERKISSPDLKNFVANDLLHSARLKLWDSVGPCALPKRSSGDKCLRLQWKKIDNFLNRIGGSENIFSVLFGHHSAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLASQRANCGGNLTIRDAHGCTVRNFLKDGFLDFLDKEMKSIQYNEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNSAKSSTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSGNGDGDYQNSIHSLWLANTEKKLLRMDAMAPRLSINGNSSINGNSFTISSCVNKQRFVIEKSKDEYIRDVQSCLDYIRDGESYELCLTTQMKRRTDYMDALKLYLKLRKQNPAPYAAWLNFSSENLSICCSSPESEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKMSDLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSVGFFSYNKTFDLNIVIRTVVLHNGEASIGAGGAIVALSDPEAEYNEMLLKAKAPTKVVEECSQQIYNPDRSDSMQTTVS >KN538794.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538794.1:54829:55273:1 gene:KN538794.1_FG031 transcript:KN538794.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKNNGAMVLCFATLFAMSVVFMSCDATGRRNAGVLDDAGVLCFTWLNCTNASCQKECKAGKWDAKKSSCGASDVCCCRAAKLLVLDEQAVR >KN538794.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538794.1:17935:31927:1 gene:KN538794.1_FG033 transcript:KN538794.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGRCEKSYFDVLGICCPSEVPLVEKLLQPLEGVQKVTVIVPSRTVIVVHDVDAISQSQIVKALNQARLEASVRAYGNGIAGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSALMSMAPQKAILAETGEVVAARDVKVNTVIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVSKQPDSQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSSTQRLIDTCAKYYTPAVVVMAGSVAAIPAIAKAHNLKHWFQLALVLLVSACPCALVLSTPIATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFQPVGERVSLQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSENVSEFQIYPGEGIYGEIDGAGIYIGNKRILSRASCETVPDMKDMKGVTIGYVACNNELIGVFTLSDACRTGSAEAIKELRSLGIKSVMLTGDSSAAATYAQNQLGNILAEVHAELLPEDKVRIVGELKEKDGPTLMVGDGMNDAPALAKADVGVSMGVSGSAVAMETSHVTLMSNDIRRIPKAVRLARRTHRTIIVNIIFSVITKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKDGRKAKKCAASHHGSPKKCCSSSHHGSHAKKNHGISHHCSDGPCKSMVSCKESSVAKNACHDHHHEHNHHEEPAHKHSSNQHGCHDHSHGHSNCKEPSNQLITNKHACHDGHNHCADTSNLHDTKKHDCHGHEHSTCKEELNALPPTNDHACHGHEHNHCEEPVALHSTGEHACHEHEHEHIHCDEPIGSHCADKHACHDHEQVHEHHCCDEQQTPHTADLHPCHDHDHDNLEVEEVKDCHAEPPHHHNHCCHEPHDQVKNDTHPVQEHSISIEESSDHHEHHHNEEHKAEDCGHHPKPKDCAPPPTDCISRNCCSNTSKVGIGAYLYTPQHYTPCYLVSSNSCSSRPPPEPARVYTDDEIAARVVIRDIILAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHASPIFNGRDIRSEKVVWGTISMIDAERRLLANALQDPDNQHFVLLSESCVPLHNFDYVYSYLMETNISFVDCFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAVLILSDFLYYAKFKRYCKLFTDLVIALLQPGNEWHNCYSDEHYLPTLFNLCLLPSDFRPLLYLQMVDPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISSIDESIHVTSNAKHQVMRRPCLWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >KN538794.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538794.1:115167:128010:1 gene:KN538794.1_FG036 transcript:KN538794.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEEEHRALGAEEEDEEEEDELEEEEEEMEEDEDAQHHEGVGGEVAVPMDAEAAAQLDPHGGMLAAAGAVQPMASNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGSGASSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKGDEATSELTASDGSPNWGSVEGRPPSAAECHHCGINAKATPMMRRGPDGPRTLCNACGLMWANKVKMPSSRCHANLGMLRDLSKAPPTPIQVVASVNDGNGSAAAPTTEQEIPAPATANGHESSTYGYDHERGGGRGGYDDDRYHGRYQNRAADWADSGFGASNDGPGITQREGLMTYKQFIQVLEDDISPAEAEKRYQEYRTEYITTQKRAYFDLNKNDDRLKDKYHPTNLSSVIDSGPGITAAAASGSDGNSDDDGDSDKRRKHGRGSSKETDPLSGAPVAHPVSSESRRVQVDIEQALALVRKLDTEKGIVGNILSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNEAKGSRHVRADNKASNTTNINAADWEKKVDTFWQERLRGQDPMVILAAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRANRREYDRAERDGGRYGRGDRSPSRDGADDQMFDAFRGRGPNAPFVPELPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHANAGVLGPMMGGPAPIITMPPSFRQDPRRLRSYNDLDAPDEEVTVLDYRSL >KN538794.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538794.1:74582:77598:-1 gene:KN538794.1_FG037 transcript:KN538794.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQKSWRKACGAIKDSTTVGLARAHSKDLDVAVVKATNHVERPPKERHLSKIIAAASGARPLADVSYCVHALARRLSKTHNWVVALKTLVVIHRALREGDAAFREELLSYRRGRGGHCLQMSSFKDDSTPLAWDCSAWVRTYALFLEERLECFRVLRYDIEAERLRPAEGAPKGQSRTRSLGKDELLEQLPALQQLLYRLVGCQVLKESFKIYCAVNDGIINLVEMFFDMTKIDAIKAFDIYRRTGHLPPSSFLGTMEEYIREAPRTAPVANKTLEYRQLEFIPNKEEEPPQPLPEVLEEPVKEEILPEPPEEPHHPAAEVDDEPEPTTTADLLGLNEVNPAAAQLEESNALALAILPPGSNTSAGAGSGFGGMLGSSGWELALVTEPTNSSSNQLLTVSKLAGGFDKLLLDSLYDDAPRRQQGAQMDHPRDPFAMSTSVAPPTNVVLSMEAAA >KN538794.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538794.1:55541:56977:-1 gene:KN538794.1_FG038 transcript:KN538794.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAAAAALRTGAAILAALSDVSACQIHARALKLGVLPSSLHLCSALVKSYAASGSLAAARKLFDEIPRPDVPLWNTLLSTCARSGCPQHALVTASSMARAGSSRPNNVSVTILLSACARLRSLVHGREIHGYAVRNLAALDLPLMNASVSMYGRCGQLVNARTVFDSIGSMKSVVSWTCMINAFCESGQPAEALQVFEQMRLAGVKVDEVTLLAVISACTMLDCRSELGEWVEEYAHENGFLENTRVANALIHMHGKMGRVRRSCEIFDSITVRTVVSWTAIIQALAVHGHGVAALVRFSQMLRQGFQPDELVFLSVINACGHSGLVNEARQLFKSMVEEYHITPWMEHYGSMVDLLCKSGMLEEAFEFVLAMPVRPDPVIWRVLTGACRDLGNAILARKVVDHVIEMEPEYGGNYVLASNLYAANEDWRRVVDVRMEMGVWKETSRYSTALSYVEVNVEENAESLHPPTNDAYR >KN538794.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538794.1:94821:95174:-1 gene:KN538794.1_FG039 transcript:KN538794.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >KN538794.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538794.1:110250:111286:-1 gene:KN538794.1_FG040 transcript:KN538794.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSEREMERARNTQEDEICNAKSEREMKHVMMLVRETGLLVRQWQDDDDPDYHGTNFVGVGEGEDERNEYTDKGNNVDEEETKATMSRRRRRRQRTWRGRGRCRRAELPAGGADDDGHVVQLHASLHDHVHRLK >KN538794.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538794.1:93348:94036:1 gene:KN538794.1_FG043 transcript:KN538794.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSLTTNVPVDAVVAADIIKDCSKALARIIGKPESYVMVSINGSVPMSFAASEEPAAYGELMSIGGIGPGVNGKLSAALAEILETKLSVSRSRFYVKFDDVKGFNLGFNGSTF >KN538794.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538794.1:57797:62936:-1 gene:KN538794.1_FG044 transcript:KN538794.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLELACLLLIIVPQVVLGTHDVYIVTMEGEPVVSYRGGVEGFPATAIDLDGDMDITSEAVTSYSLHLRRYHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSPLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTVVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSAHKTDPFGPVPHYKGKCEMDPVTRRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHENKSFSLISAADALLGSSATKYSASDCQRPELLNKRKIQGKILLCGYSFNYISGTASIKKVSETARSLGAAGFIVAVENSYPGTKFDPVPVSMPGILITDVSRTKDLIDYYNSSTIRDWAGRATTFQATAAIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSTSEIMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYITFLCSIPDVEHSEVSNITGSTCSSSSKGQQRPYDLNIPSITISQLRGTQTVKRTVTSVVAEAETYTIMTRMSPEIALEVSPPALTVLPGASREITATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >KN538794.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538794.1:96216:98540:-1 gene:KN538794.1_FG045 transcript:KN538794.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >KN538794.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538794.1:66471:73774:1 gene:KN538794.1_FG046 transcript:KN538794.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKSLELKPIFTATDDFQLKKQFAFIIARHGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVKILALGLAIPLVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >AMDW01019156.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019156.1:145:258:1 gene:AMDW01019156.1_FG001 transcript:AMDW01019156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGRLQELALGQARALVGVNADIQKLKDKL >KN541475.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541475.1:9279:11402:-1 gene:KN541475.1_FG001 transcript:KN541475.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVALQQSDVGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVRNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >KN541475.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541475.1:24298:25177:-1 gene:KN541475.1_FG002 transcript:KN541475.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAQAVAVKGSVAICQIAEQNPDVLFLQVLQGSSGPALQLQLY >AMDW01034305.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034305.1:201:491:-1 gene:AMDW01034305.1_FG001 transcript:AMDW01034305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLIPLPEDVPVQFLMNDEHNAWDVDTVKACFEEDIASVILQIPISHHGGDDFASWPLDKRGVYSVRSAYNLARSQIFLLAQSADGRGLPSGWQDNQK >AMDW01018468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018468.1:56:184:1 gene:AMDW01018468.1_FG001 transcript:AMDW01018468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRRVTMSNWEKRELDAAQVEYACIDAYVSYKLGERVLAN >AMDW01037719.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037719.1:174:446:1 gene:AMDW01037719.1_FG001 transcript:AMDW01037719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IISSSSRRADGKKLDNGRDGHPNEAKENTGNPLQPGEGYRVRKLAEELHHDELEDDRAGENTQENVVLQYAPQHVDLLHLPGAYLIEHLK >AMDW01028055.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028055.1:169:369:1 gene:AMDW01028055.1_FG001 transcript:AMDW01028055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSGSYLEHRMAGVYTVTIQGLNTGTLDLTTNGWGHVVGLDGERRRVHSEQGMGAVAWKPGKDNQPLT >AMDW01012184.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012184.1:1:204:1 gene:AMDW01012184.1_FG001 transcript:AMDW01012184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPETARYTALIARNAKQAAADMSKVLNAEIEEQPEVVESQVVAGETWGLFSRQFMQRHGMHLLATTST >AMDW01028807.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028807.1:42:230:1 gene:AMDW01028807.1_FG001 transcript:AMDW01028807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CREYFKRSLFFMGEFGGNDYVFILAAGKTLEELVPYVPKVVQAISAGIEVVHSYNLNDFTNP >AMDW01039599.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039599.1:106:980:1 gene:AMDW01039599.1_FG001 transcript:AMDW01039599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IENRYGLFVHAVQDINSDDFELITTSKFQDTTEEANQRKQCLTSDQQLRVLHALDRGKVDAAVPIQGDRDRPNMDFLSISLVAELLGYINNKSQLSPNIDAAIDHQLVFGWLGDNIGQKRVCGIPLLLIVVSFSKHEETNIIAVLCFFRFSIDVSISGDYPLSATIMSEYANKRIRGALIVAVFTMQVTKNSTTRHSIINDD >AMDW01038481.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038481.1:104:613:1 gene:AMDW01038481.1_FG001 transcript:AMDW01038481.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELDLRVALPLGVLNGALNAGVAAGIIHSLARGSTGSISSLSEQFYLGGNRSLMCRLGGPSSLLGFKKRGLGTDLQSSTPENSENVASTSPELSARGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLAKLTEPDLRKFPLAEFLQTFRSSAGFGVVVPTRLFRIE >AMDW01064654.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064654.1:239:436:1 gene:AMDW01064654.1_FG001 transcript:AMDW01064654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWRVAQETLRMVPPVFGSFRRALEDVELDGGYVIPKGWQVFWAPCVTHMDPAIYHDPGKFDPS >KN539453.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539453.1:49274:50764:-1 gene:KN539453.1_FG001 transcript:KN539453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAANMAPRVDPLQAVQTPVDDMMRHAYVDPHDPTKIFLQQPAPESQLRRRNYHGPADNAY >KN539453.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539453.1:78712:91938:1 gene:KN539453.1_FG002 transcript:KN539453.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARALVPSPFIVVVFLLLAAAARDASALTRHGFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEVNPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVVFVQSSDANYDQKLRDYYVDAGVQENGGGFDKEHYQLHPWALGKMLDHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSEFLQSYLEVLYLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRISERQRVQNFTVNACPRLQPVRRLKVHLQRMEENLVSGTRFRTQEDVKLLQEMGVDAYRMSISWSRLIPDGRGAVNPKGLEYYNNLIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGVLSCDNGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGQIGLTLLGWWYEPGTQDPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTDEESKRVLGSYDFVGFNHYVAIFVRADLSKLDQSLRDYMGDAAVKYDLPFLKSNNEFPLGLRSDFMTSTPWALKKMLNHLQEKYKNPIVMIHENGAAGQPDPSGGNTYDDDFRSQYLQDYIEATLQSISKKSC >KN539453.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539453.1:19653:25385:1 gene:KN539453.1_FG003 transcript:KN539453.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRINYGEKEITNGTGLRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEHREYLHCSRTPYLDNLQDWNAALSKRRGVFLIQPSTAEELLFYMLLVFKKAPYQLLMEKVGDRHPRGNRCTFWWAGVWGVKVEIYEGVTYTKEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGVGGRRFQGPS >KN539453.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539453.1:52769:55007:-1 gene:KN539453.1_FG004 transcript:KN539453.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRNVIYSDNGTVTVVYRVILRGTDGEAYREATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >KN539453.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539453.1:63317:65464:-1 gene:KN539453.1_FG005 transcript:KN539453.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKFWRLAALVPEVQCPDQPISPRQKLIYTSIVLSIFVTASQVLLYGIQHQPRTIEPDPLHWLHLILASSRSTLLSHGIVAILAPEVLVKIWVYLKIITLDTSAPETGVLMNRAQRLLGILVAILGAVNCYVRSQHFTVNTVLIMLQILCSDIIVIYLDDVLRKGYGLLSGISLFTTTNICVNILWKAFSPMSVMYPEQSPEFEGAVIAWVHLLMTRTDKLSAMSKAFYRQNLPNIINFLATCLFVPLAIFLQGFYIVLPVRTRRNFQAYCHIKLSHFLYGPVVLHRLLLPLPYVASKVLYKKYSGNTLVNLLGKWDGSNNFGQSIPVGGIVYYLTTPPILADLHRDPFHAFIYVAFVLISCVFISMGLMMQEERRQLAQPDSMHANEIRRHVMRAACVGGFCAGVVIIFADLIGVFCSGTGIMLAVTASYPYVDGRTSEVGSFGF >AMDW01038175.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038175.1:39:642:-1 gene:AMDW01038175.1_FG001 transcript:AMDW01038175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PFCLDCFRLPNSYGETTPKTQEVVRTKWWPVLKGMRNIKIYTKKELKQITRNYSSAIGEGAFGKVYMGTLKGGQQVAIKKSKTVNQERKNEFTQEVILQSEMKHKNILRLFGCCLEVDVPMLVYEFATEGSLYDVLFKCNDRIAVDKLLGIAIGSAEGLSYMHTARETPIRHGDLKSGNILIDNNFIPKISDFGTSRLLAE >KN539453.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539453.1:6786:16726:-1 gene:KN539453.1_FG006 transcript:KN539453.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTGPTSRVIKVAVDTEHDDSIGVTDESGWPCIELDRRMRRMNGRNPEKNIKMEHENDSSLAWVFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKYNIHVFVSQPSSIDRTVYKRVSGYNQIENTSHKDDPFGDPKATGDPYCTVFVGRLSRYTDDETLRKLLFLVVYVREQEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKRLGIPPPPEGRIIATIIYGFKISPFNKNLLHSPLSWFPHHQDEKAVISTGKSHLPREDPKTGLIAATTEDIVAQPKTTTARSAPIGGKVAMTGEKKPRGQEHISAERPAATVSGGAQPKTMVIVVRGEEAESLENYLHTRRMMITAKEEEVQWSQASALISLITTGITGSVMTAAAILATVNVGIKTTVEATPGTGDQRAETTATRGVESMVVS >KN539453.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539453.1:93321:96550:-1 gene:KN539453.1_FG007 transcript:KN539453.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAEPMDLEASPDSSPAAAAAVCSICLDAVACGDGVAARSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRNIEKGHWLYGNESQPCSHSDTGDWLNGETFDYPFSFEFGWCPFNTPLTSVFGESESEPNPFLEYIGSLHGFHHPMYAPSSSTASTESIPFHQRPTGTEGHATTDLRNTQVFNETEPRNHEREQQYLGNVQMPGTLNHSTAPFGIGMPRYDGGNQQRLRPHMHDNSLFHRPTARRASNLAHLRSLTAVSETRGHGHGMTSHAVQQTIPSSMASNPQPPATRRVRPRALSITSFIAASSSAEIRAPHDFPLTETASTTNGNIRNGVGAPRHANQSYSWSSETFWPQTGEPHWWSPMAPVHNRSYDNFSGRSATELLSIYGAQNGLPTPRFL >KN539453.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539453.1:57334:60904:-1 gene:KN539453.1_FG008 transcript:KN539453.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARARCSRQPNTHRLLAVVVLAAILLLPVASAAAAWATGKDEGELVSGEESREGGSVVEDEFAGGFGSLDSMLQWAIGNSDPDKLKEEAEGVQKLSADELLKRRMEIKLSKLYFLHYYAVNAVVRVIFMEELMEKLKMPSDADLMKIAIADLNNSSISLEDRQRALQELLILVEPIDNANDLDKLGGLVAVIQDLNNANEEIRTTSAWVLGKASQNNALVQNQILGYGALARLVKMGYATSAEEATKALYAISALVRDNVNGQEAFISENGSAMLQHILASNSVDVRLQKKAVFLVTDLADFQLNSGNSGLPFLSDRVFLKSLVDMLSRFDLDLQEKVLLAIKSLLKLSSTEATDFESCDLSSVLYRLGVQLEELPSEEQKEYAGEVDDLRREVQMLFQDKLKEGTKTAL >KN539453.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539453.1:28750:32602:1 gene:KN539453.1_FG009 transcript:KN539453.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPRWRRREVFVGLALGQRQRANIAVSAELRPSCACLWWNTDLQKATPDVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVVICVAGIILVVFSDVHASDRAKGPNPLKGDLFVIAGAMLYAVSNVTEEYFVKKSSRIEVMAMLGVFGAVISARKYASISHFLNTATEAYLNDKNFGQPNGMLILPFIGFAVAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAAGLVIYSYKGSKQAAEETAQVAGATDEEAATRVAGAGDDEPASTNKEVSSLVATTSSVMTDKLVHLGKVWCEL >AMDW01040209.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040209.1:312:1268:-1 gene:AMDW01040209.1_FG001 transcript:AMDW01040209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KYTPESPADATRNLSQQCLNALAKVVPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKIAVLNRKRPSVLALSRQKLAQLPGTSIEGVEKGGYIVSDNSTGNKPDFIVMSTGSELEIVAKAADELRKEGKTVRVVSFVCWELFDEQSAEYKESVLPEAVTARVSLEAGSTLGWQKYVGSKGKAIGIDKFGASAPAGKIYQEYGITAENVIATAKSL >KN539453.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539453.1:142:5099:-1 gene:KN539453.1_FG010 transcript:KN539453.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEDELLDASDSELLDDEEYYYYCSDGECSGGGSGSGSDEDEEFGGGGSDEGCEADEVVSTREQRYVVLTEDDIRERQEEMISRVSAIFSVPRESACVLLRHYKWSISKLSDDWFADEENVRRSVGLPSNVVHVPDRPELTCGICFEGYAANAMSCASCSHFYCHECWEGYISAAVNDGPGCLVLQCPEPSCDAIVLEDMINSLTKDEDKVKYARFVLWSYIGVNNKCAEEAHRPVSCDTVSKWILKNSAESENMNWLCLGAWSDHGDGTGGFYACNRYQSAKMGGMYDEAEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKVENEDLTKLSDVVGIPETQLKFVPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESGLERLHKCAEKDMREFLPTADSTQPSLSLQDFSEFRVKLSSLTSVTRNYFENLVQALEAGLQDVRGTDQSASVSTSSSKKPPTNTKGKSGRSKVARTSQERSGDRWPCDRCTFINPSSTNSCNMCGRNKPRRR >KN539453.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539453.1:67483:72721:1 gene:KN539453.1_FG011 transcript:KN539453.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFTHQGYAPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYDGMLSPRFIEDYAVYAEVCFKNFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQQAIQGGQIGITLMVRWHEPYTDKTADAAAAIRMNEFHIGWFLHPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYYVIFVQSIDANDQKLRDYYVDAGVQGEDDKENIQCHSWSLGKVLNHLKLEYGNPPVMIHENGYSDSPEIFGKINYNDDFRSEFLQGYLEALYLSVRNGSNTRGYFVWSMFDMFEFLYGYRLRFGLCGVDFTAAARTRYLKNSARWYSGFLRGGELRPEKSYATL >KN539453.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539453.1:100380:100937:-1 gene:KN539453.1_FG012 transcript:KN539453.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKASTIRARLLIVASLRRRMAMIVAMSHRIHALMAEKEKAKVDYYINNKSHDGNKALALRKVVVHDEMVIADDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDTCYSDDQDDCGDDGDDDEPSVIDIIRSNREDEGLEFNIDDEIDQAADMFIRRIRSRMNRSV >KN539453.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539453.1:36659:45698:-1 gene:KN539453.1_FG013 transcript:KN539453.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKKNAKPEGSSGSQRGAPPAPDAGLPNPFDFSQFSNLLNDPSIKEMAEQIASDPVFTQMAEQLQKSAHVTGEQGGPALDPQQYMETMTQVMQNPQFMSMAERLGNTLMQDPGMSSMLESLTSPSHKELLEERMSRIKEDPSLKGILDEIESGGPSAMVKYWNDPEVLQKIGQAMSINFPGDAATSTTLSGPEETEEDGGDDDESIVHHTASVGDAEGLKKALEDGADMDEEDAEGRRALHFACGYGELKCAEILLEAGAAVNALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTPQNLDGKTPIEVAKLNNQDEVLKIDSNLSIDNTCTAPVYMCLAVADAVFERIDKVMVGLRAKLETAYKASGGKKVNIISHSMGGLLVSCFMSMNRDIFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWVMHQLLVECPSIYEMLPNPHFKWKEAPIVQVWRKNPEKDGIAELVLYEATDCLSLFQEALRNNELKYNGKTIALPFNMSVFKWATETRRILENAELPDTVSFYNIYGTSYDTPYDVCCVILLLLLLKYYAGPSYFQPVYSYVDGDGTVPIESTMADGFAAKERVGIEADHRGLLCDENVFELLKKWLGVKEESTRRRRLSKSKVTDFAPS >KN547185.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547185.1:608:796:1 gene:KN547185.1_FG001 transcript:KN547185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQWLRAAVLGANDGLVSVASLMIGIGAVNENNKAMLVSGLAGLVAGACSMAIGEFVSVYA >AMDW01078644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078644.1:44:259:-1 gene:AMDW01078644.1_FG001 transcript:AMDW01078644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMINLLDCGAVLGCK >KN540756.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540756.1:2109:6546:1 gene:KN540756.1_FG001 transcript:KN540756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MGVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHNTVHRVVMCDIDQEVVDFCRTYLTVNWDAFASDKLCLIINDASVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHNTVHRVVMCDIDQEVVDFCRTYLTVNWDAFASDKLCLIINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYQHIVKPKLNDRGVFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDYPFSMNAQQINERIKERIDGELVYLSGESLISSTILNKSVYQSLLNETHVYTEDDARFIYGHGRARCA >KN540756.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540756.1:33620:34003:-1 gene:KN540756.1_FG002 transcript:KN540756.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELWRGRQSGDKGGGGRSGDVHSPTPTPLSSAGEEGGCKDLEEMMMEVEHGETHMEVDLAAGQGSNAQDNAIDNSDMTWIWNSRRCCCIFCPARYGGGGETLAGKVTEEVELGAGDNGVDLYHRGRA >KN540756.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540756.1:24470:26652:-1 gene:KN540756.1_FG003 transcript:KN540756.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQQEPRMGASILRLFFHDCFVNELFNGGPVDSIAQQYAANGAAFSRDFVTAVVKMGNISPLTGSSGESMMMIEKMTD >KN541609.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541609.1:20855:22608:-1 gene:KN541609.1_FG001 transcript:KN541609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPASPQSLPPVAATRSRGRPYEGWTLKELIGEVRKIVNVAHDIVVNFCNAIRAPEAFKLVAAAENVKPHLLKIDHDDNLLFLQFKAALEREQQVHNVNHEIAISLDKYTIAHCPGWRLQS >KN541609.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541609.1:24796:25761:-1 gene:KN541609.1_FG002 transcript:KN541609.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPWSPRAALPSAVFSCSSLRRLFLGSVQCNWDLIPDHACFSELREIQICNAVMKSQDLSLVLADLLLPHSRYNSKVTISRATKMRISGYLDTGINTLVINETTVKVNTNISFKTLIPSVKVLGLSVHFGVRKEALMSISFLRCFPEVETLHITPYVPLIAGGDQFFFLCRAKRTRLQKPNNSVSGERLILLSV >KN544349.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544349.1:2711:2986:1 gene:KN544349.1_FG001 transcript:KN544349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTTDKEFGVELDSILSGRPWRQRQLTVEDNCDGCRDLDDSEQAESGFPNSSASFPFLFGDDRQNKLPFLRLPYLSLSLRRRRGFVGRP >AMDW01132047.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132047.1:412:630:-1 gene:AMDW01132047.1_FG001 transcript:AMDW01132047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEEDVEASSDSGGSAIRRCAACKFLRRRCPRDCVLAPHFPASDPHRYACVQRVFGAGNTARMLQVITDH >AMDW01037050.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037050.1:2:244:1 gene:AMDW01037050.1_FG001 transcript:AMDW01037050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLEEEEVVAEAAAGGRGGAGAAAGGGNRRAPVRHELSLDFYSKTCPAVDQIVGNVTAPRFRDNPAAGPAVLRLFYHDCFVE >KN540920.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540920.1:761:3084:-1 gene:KN540920.1_FG001 transcript:KN540920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDVVLAERSCLQKYQFSHGDVVLFKCPSDHRELFVKRLIALPGEWMQLPGSPDIIKIPEGHCWVEGDNAARSWDSRSFGPIPLGLIKGRVTHVIWPPSKIGRVDTKMPENRI >KN540920.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540920.1:31916:32719:-1 gene:KN540920.1_FG002 transcript:KN540920.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLNKPKRDPISLFVPNGDGDDGGSIFVMDRVPKPEIGSRAQTSDQFEAFIYRKNFVDCQLLPPPPCLRDYKHCESRHKINAYAVVDGGSQICISVEDVGTYCLDTASHTWSQVGEWTLPFDGKLEYVPELKLWFGFSASAQHFAAADLSSMDCQSQPQLVGAWKELEPPMEWRETYDSQLVNLGSGRFCIARFFQTKREGCYDEDDVYLWQDVTALTGVEVKPYVHDGSYSYSGSGSRNGEVKLEMHTHKSLCHMTERFGIDDAL >KN540920.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540920.1:26701:28567:1 gene:KN540920.1_FG003 transcript:KN540920.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGEKEKEDEVVEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >KN540920.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540920.1:4990:8401:1 gene:KN540920.1_FG004 transcript:KN540920.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGENVGDDSRSVDRSHETELHVLNDKQDKAVEIKNILHDGVGHSDYGQRQPGLDNERRNGTVDKSRVDVHIDDKLDSGRDRNWTGKTQEPEGSVDYLRSCKWQDSKEATDLEWKNAQERQDGGGFHGRVGYRRDSRGRSESIRGSSTYGSRYDTSDSIEIRPNSLDFGREGSISGRYDVGVGAHRDVTSGTNGDKITNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPVAGEHSFTPSMGAGPNIHLNQFGSGIGSPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNGEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYVKNFADKDGKVWQGGRNPPPEAPHLVVTTPEIEGLRPKSPPHK >KN540367.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540367.1:6707:7712:-1 gene:KN540367.1_FG001 transcript:KN540367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLAVFLLGTGTYHRAKRPAIDGAVAARRGWTARFFFFFSRNRKDAAEQLLEPQEEEAVVVDGHGDDGKGVFLVKLLPIWLTSIVFAVVVSQVSTLFTKQSSTMDRRVGGLVLPAAGLQCLVSFTYIAVLPVYDRMVI >KN540367.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540367.1:32479:33341:-1 gene:KN540367.1_FG002 transcript:KN540367.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADVARALLFAALVMAVTAAADGEAAAIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCKNGDGKYESKAVSDLDGDGAFSVPLAADDLHGATDCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGGNEANTFVAVAGKRMYSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGAGKGGGGAGKRWWRRRGEQWRSCAVSQLSAGLPLNQSSIPSYRPKLWFVDCMHG >KN540367.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540367.1:18726:28379:-1 gene:KN540367.1_FG003 transcript:KN540367.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQVSKTCHGQRVIEHSNSNTSSSGTYGGLSLAVAQGWDNENPKLDEQHMQCSTLSGDTQEENGSDGVNPTSFTDAGLLHLIEGCKGLEKLTLNWFLHISEKGLVGIANRCRNLQSLALLGGYVQNHGLITLAEGCNLSELKLCGVQELTDEGLVEFVKIRSKSLVSLDISFCNGCITDRSLHAIGTYCHNLEVLSVESKHVNENKGIISVAKGCQYLKSLKMVWLGVSDEALEAIGSSCSALENLSLDNLNKCSDRSLFSIANGCKQLKSLIIKSSVKFTDRSIERVSQNCKMLQHMDINMCHIMETAALEHIGQRCINLLGLTLNSLWIDNNAFLGFGQCCFLLKSVCLANCCKISDEAISHIAQGCKNLRELSIISCPQIGDEALLSVGENCKELRELTLHGLGRLNDTGLATVDQCRFLEKLDICGCNQITDYGLTTIIRECHDVVHLNISDTKKIGDTTLAKVGEGFRKLKHLMMLRCDAISDVGLADIARGCLQLEACGVFRCSQVTPAGVAALAGGSSRLQRIIVEKCKVPEEATGKCRMINDPILISYY >KN540367.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540367.1:14875:16812:-1 gene:KN540367.1_FG004 transcript:KN540367.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETAFKGLKVAIKCKNGSSEEYESKAVGELDGAGAFDVPESTGSCWARVPLIN >KN538734.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538734.1:73693:76844:1 gene:KN538734.1_FG027 transcript:KN538734.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MVYFDSWDEFVSKSVELFRNHPDTTRYVVKYRHCEGKLVLKVTDNHEVYIAWERKFLAVPMNPVGKYCDSEGVQVCVRELQLCLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQSKKGRGRRQ >KN538734.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538734.1:138562:152207:-1 gene:KN538734.1_FG028 transcript:KN538734.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSAAIGNPGISDDSAAEPGRRMLEAAEEAVNRSQPPNDKLKRNKIRTYKEIRENPKYHTCVNGKWYFGKLRTIRYYNLKTNHFLVPGDFLSEFLSAMGHLEDDFRQVLISGTYFDPPDNLQASLYDSIALPVRSFSFSSITNLEAASLSSFTTSSSDDRRTYCTGHSRDSFSLEKVHLYLIDPEASTLLKEIAELMMLAGRESNLSHAYGEIRNSTLMQCLCLFGFQIDLNRAPSESGFNMLLDLDGQKMKIWIQALRVIIGTVLPEDGQACTQIFGSDSKVEEDCFARATTRFIQQLFAFGSLIANVKDKQYEKVPLLIQMLEEFLKLKPSIEALRYGDAKDAISQEADMLLEKLREEAVRLLLKFSEAQINHESYDNETIVLNGSVLSFPQYTMDIIKLLVGYSDMLNIILPVEVGGVGTVTTSPWKSYVLTLLTRLQLNIEEKSKSYKDKCLRNVFLMNNAMYVLEKARSPDLKILLGDNWVTKQLVQVEQHATAYLRASWTEPLFQLGDQGISYIERRLILTKRIKNFNSIFGEISKVQTTWKVPNPQLRQHLRLVILQQVIPAYRVFLGRFVGDPEVDDEVDSIENGEQPEVVEVAAFEVVGDPSEAATPGGCGDFIITRFKNVYICNQMPHLLVAAAIRAHQSQSPHEHGSRSPSWAPVAANVFVAITTYPGGKDLDAGVLDVPAELGDGPAGATKLVSVVDDVVEIIMRLPDDAEVSDEIWDEVIDGMKVRVQDKIPGIRAFAVRALSRFASDGEDSDIVDLFLETFEKEQNVVSDIILVTLPILNVGLRYYFISLGIAPYVKEVRKAIILSLPPSNATLETVIESTLDRTSLLHRGLSDRSASVNSECLKMLKDEWLMKYCSGDVITLLRFLDVETYEEVGETVMGVLMKDGSVRVQDGQTIRQYFTANTEDEVEKVSNIQLMDAEVALYWKIMCKHLQAEAQIKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTISDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRKVASSFLHELLTRPLEHEVDEDGNKMAIGDGVSLGGDKEWAKAVAELAKRVHASVGEFEMVVATVVEELARPCRERTADFMHWMHCLAVTGLLLENASSLRSLQGKAIEPLELLQSLLLPATKQNHVDVQRVALRCLCLFGFLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDQTIGIESSDATNEKSQFTTVDISNMNDDDLNIGVLDILFSGFLKDDWEFNLEGDNHDNVPTILGEGFAKILLLSENYARISADLHPVILARLVSLYFMEETKELERCVSSAFVPVMRAMWPGLYGNVGGGAHAVSKRRKYAAQAARFMVQMVQTPLFSTETTEQASSSPESQSTKPDMSNNFDISEEGLAIRIAVEVANCPDKKTAAAKAYCLALCKVAVLLRFRQSEQKAIKCMRGLINALAASASSDKDLMKELSQMASRLRSLDEHPEEELPQDEAEEIFKKLGLDAGFRLETNSVVPPTPAPRSVRPPPSRRRARRSPSSSDDSDIDGQEDNLHATSVSRVAATPVVMTAARSQRASKTAAMSKMSAKPTVAASSDDESDDQSGVTSGDDSSDEDSS >KN538734.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538734.1:57799:58257:-1 gene:KN538734.1_FG029 transcript:KN538734.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQDALAGLAIFAVLLLVLLLATLIACRNDDGPEPRPRPHGESEAWRAVYAAMMAEMEARRARAPAPAPTTATTLPYFPYAHGEASSETLVCAICLEQLRHGELCSEVPACRHLFHRDCLGAWIKTSNSCPMCRVEVTHGSNLVKVADDMV >KN538734.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538734.1:87730:92518:1 gene:KN538734.1_FG030 transcript:KN538734.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTATLMSWGLIDFGRSFGAHAAEAREAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDADPDYSNRLLDRAIQVFEFADKYRGPYSSSLHAAVCPCYCDYSGYKDELLWGAAWLHKASRRREYRDYIKRNEVVLGASEAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHANVRVPCGTSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGARYPLRIHHRGSSLPSVAAHPARIGCKAGATYYASAAPNPNLLVGAVVGGPSNTSDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPNLAQSDLLHD >KN538734.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538734.1:124796:128771:-1 gene:KN538734.1_FG031 transcript:KN538734.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSEAWPAAAPGEFEPVPRICRVILAIYEDDLSNPTKFAPPGRGYAGVDLAGVVKRATYEHVGNTCPPYIVYVDHRHKEVVLAIRGLNLTRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETKTLQELLQQNGPDYKLIFAGHSLGSGIAALMTVLVVNNRKMFGNIPRSQIRCYALAPARCMSLNLAVKYADVINSVVLQNGRNHVAGVCALKLIGDLYYALDSLPCLLFIMCLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCSTTSDHAIAWIERESEKALELMKENEKPTTPPAQQKMERLQSFEEEHKNALERAKTLDVPHAVDLSEVEIQEDASPTPPSDTHSEATSEAKSAGRTSWDELMHKLFTRDEGGKLVVKEDIKARNIVIE >KN538734.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538734.1:49918:50584:-1 gene:KN538734.1_FG032 transcript:KN538734.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPFAFFLMCEALIAIVVVIGACNSCRHERERDAERQQAPAGAGGEDAGRPQAQAQVAAVEIDDHPHASRVVIVKFRDSVGWAWMKDLGLCKTVG >KN538734.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538734.1:165858:170092:-1 gene:KN538734.1_FG033 transcript:KN538734.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSRQRKASSEANANVFMPGGPNDISFPASNRAHDWGYGGVGEEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPSEQKQKDSSAIIVLDSDDEDGDTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQVLLTLPTLPNERKRRKTEPTTLVDVDGGTNLGKRKRKNHQNQAAVDSNLDLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRSAISFSRCACAS >KN538734.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538734.1:198687:218921:-1 gene:KN538734.1_FG034 transcript:KN538734.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding METVVSQHGIDMDALRSSRIPLAGGPQAGDSSGAMPKDKEIIGSQPPMVGTDASQSSAHAGLWNFPSGSADMARHSASISGRVPAGPNRSDVAGADIHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKAAGDLHSEDNSKSDAMSTGQNIRKGKQPGKAGTQGQLSRTVEHDPSHTLQVGNAQVPPLPSGAPFFRAHQEGPSASSARTIDKTKSSNPFTMAQISNFAEGLASGNIPAELQKSILGGANLLNASFGWNQNAQGPVMKNTQGSIPNLMRPGVNVEGKVNLGSQGTFNSMSASQMDYPTVPPYVSSSFGGGPQYLDKGKDLTSGNTGSELNSSKAGAQLGIMHMHFDTQMRYNFQGSPMQERHGIVRAPQRAGSSQMSQTSPSIPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGVGPPASEGGSAGQRGSESRMADGSGKENGNSQENPAIFGRQSDISRLQSTSTGSVADVDSASKDPEIVKKKIKIAEHEKSFEAENIQQTVPVQGTDSEMHSQETISPMPSGQLHYFQGDTRKTTPEIYKADAENLNRNLGWVGGQGPSPLGGNRHPSMEVGLLAKDEVSKEPFAVLRPHHMPVDGSNHNLSGKDQTPETAGNEIDNGSHMGEMIFERSADEGDEDLSEQDDLPLSPPKYTMTDKWILDHQKRRYEENKRKALELQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLQDFFKPNTTDLDRIKSIKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDSFKVKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGSKSMDGRVSYASDSTANDIEDESYQPQHYLESNEKYYQLAHSVKEVVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEVENELPEKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKIEGYLPRHYLPSILRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGQERGALIDKFNNPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETNLKTFLCCFMESSSRSLCLVEQYNAVNPQKSLFQLTAVFGSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDIFESIDKQRREEEMATWLTVVQNSSTSGLDPSVMPSRLVTDDDLKSFCHAMKIYESSNIKSVKVVRRKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGISRDADVPKVVKLEVPPESSKEPEQAKKEPASSVGDSPPAKRRRGRPRRSDASLSPVTAPPNTGKQEAGTIIDGSSSAPTTTIHSVAPDVTIDSTALSATGNPDVGTEIKGTTPDGTIKPVICTDNKGTTSIAVLEGSNAKEVGIPAHSVHEPVSSSAPHPPTPVTSRGRKTQAGETPRRRGRKPKSLAASAGDVILSPVVAVGSGEAYASSVVSSYPQGNVSSSHANATAGLQKDTIISKPAALLPEGVKGTPTPSGGDKDEMVKTPLAGDIYAGTVTTSGNANSQLPMIAHNENAGLVQGGTDQNLSVATPTIPVVSEGSTKISEVVVADKPAEKQGARRRRKKTSGTGSEDTGVSTRQRAASRRLYGTGTADIAGTDMSTGEKIGIVKEIDGSCQDTSKGLPNIISPSYEKSGYDSQPSTPIAVPINEATLPSGFSEAHATHSEIHPARESISSVGHEKLAGAHLEAPPSVSFQAPVQHETGKDYVGVHSEVTTTHPETITTHSSVNSVIDHKLANVQFESHASLHTSGKDITTMPSEVDSGAPSKAPGRRRKGSAREPRTRSNSATAASERRARLAGSKQPDDIKMAEMSGNPSTAVCSSTQQQEDNTLKAAHATGSVGEEQNNAENRVREVSMPAGILEAKLELTKQTDQAGRNSEQEQEMVSAAKSASANDEEHKVHEVHQIIADHNALPSSAQYTLQDKIDSSADVGLVPCDKIASVVIAVDDQDPSNASDKDAPASTEDDGNGLQSECVHVDLVLAKQDNTKVEDTQESNQPAEQGESLEMTGSKFRSETRLEKTEETVDKSGGDNLPTIEKNDDSPIERSSPSADKIAQVADGGEAGTETTTVEAVSAMNSDGLQDVRNALSTHGLSTNDITVASEEHRDPESHLSGEVSMSGGSSELKLESLNQSESACQSGEVTLEDTHATLDIQIPAFIESEEKKSPGGDVHGSEEQHMHEVLHNTVDGSISPSNGEQDKLQVHIDTNTDVDIPSSDKDHSTDIVLAGCQAPCDASGKDKLSTCDASGKDMAAPTDDDLNCLQSEDTVIPVADAKDETMLVKAIQNDDMSMGSSHGLPATIQSTDSDRLAEEGENAEITGSKFSCGMEQEKMEEPLDKSVADNQTSSQINDGSNNMDSQKVDSSLQAADGGDLLVSRGTSVETTTAINTDASDESISGSTQSVKEASTVEIGASNNDIAPACELRKDFESHVSGCVSKPVGLSELRLEELSQTKSVSQSIVASAEETSTRSLAILSPVYSCTSVPFQDTRDRIDGDTDCGVLSCQRNAAFDSENEVSAEINLTGSQAPCDAPNKAAPAPTEDDHNGQESEDTVIGAEQGTVEVEAMQIDGISKSYSSDSHATLQSSDSNQIVNSDSKFESSKKHDKTDETSNESRGDNPTHSCTNDDSHDKNLIGCSPSEDLNEDNSAQLADGDDLVGSKDTTAAHASGLKELTSGNYVASSSSLVVQDVTSISKMESVQAGSEEIHHGYSDETIHSARIKPVVGTEITENASVASAPVITIQPDIETEAGVTASLTVLEGSIAEEVDTQVESGHDLVTSTAPLSPAPLPGENHACTDVSCPVAVEVSETKLESANHTASQLGAACTETPNALLNTLIPALPESEETKLSGSDTDEDAVQGEIGGSADMDSQIIAGSSEAEINNSTVATIADSQIPFDPSDKDTLAEDGNGLQREGTTVDVTGSKEDDMEAEEKQMDDSHLPAALQSTESSQPTEHAAPTQDDGNGLQCEGTTVDVSGSKEDIMEVEEKLIDDISGSPSSHLPVALKSTESNQPAEHAVPAEDDGDGLQSEGTAVDVVDSKKDDMEVEEKQIDISRGSSSFLPGALESAELNQPAEHTASTEDHGNCLQSEGTAVDVPCSKEDNMEAEEQFDDISRGPSSFSPDTLESAELNQAAEHAAPTEDDGNGPQSEGTSVDVAGSKEDNIEVEEKIDDISRGSSSHLPDVLQSTPNQRAEQECLDNSDDVNTSVVSSHAPLSGPKFTCVKELEKADETLETSDAQVADEVCLQTNDDAHNMASGSCSTLEDKNEDSSAQIADCEDLLLRKGTAVDDLDGCVEGHSGLSTHSNDEVGNLVEIVKGMNDTTAGSEVHVDPESHVSDEVSMPVAPSELKVELKNQSEPACQFGAVIVEESNVSLGIQTPALAESEEMTSGGFMHGKEVHASEQMNIGAAAEPASTKDDHDMHEVDKEIVHCTISSPIGDQENLQGNIDGKMDVGLAACQTESDFVSGNDHSKETDLAGSQAPCDASDKEDTAADLIGPKQATLEIEKMQIDGIPEGPSSVPAVLQFTDSNQPAEQERLENSDSKFASTKDQGRVDGTSNLSGGDNAKCSLTNDDSQTVNLVGYSPSEDSNDDDSVQAADSDGVLGNKEGTDDVISAACTDDVSMLKTESIDRHGSDEVDHSTAPSAAIKQESGTEVTCDAYVPVSESCISKEIGTSPECDDDQVATAAPHPPTPLSDATDVSADVQIPAGISEAKLEQPNETTSPSGAATEENNTVVSTQIPTLAESEDRTPAGTAIQGTEVDSAEPASVSDDENRVTAADDSVLPSAGPEDTAVDKIDSSVDASEK >KN538734.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538734.1:114844:122135:-1 gene:KN538734.1_FG035 transcript:KN538734.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGVGSGDRCGGGGDQCDDDGIDAAALGVAHRVVGRQQTFLGRPFSLAYPSPSSSRAREQQRREVVDLRLRVGAAQRQPISTNSSTSACTFVQATGVPITTISRTTTVAVMASSALICDTEQWKGLQAYYDFVFRHACGGEQAHVGAIQKTHLRDLMDDADRCKAMTAEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFSGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLISHKTFQGNRPSLSLLLPSLSAYEIGQLLSIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRMEGKPVQGFNSSTASLLTRYLAVEPSTPYNTTTMPKV >KN538734.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538734.1:61525:63996:-1 gene:KN538734.1_FG036 transcript:KN538734.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAVAVLVVAMVISGGGGVAAAVTSKKPVIYIFGDSMSDVGNNNYLILSLAKSDYPWYGVDYETGFPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEEIKNAMIAKIGKKAAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYDLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVQFNAAARNLLERLNAKLPGASMSLADCYSVVMELIEHPQKYGFKTSHTSCCNVDTTVGGLCLPNSRPCSDHKAFVFWDAYHTSDAANRRQKNRRPGRTDKRNTSRPAAMALSLGEVAMLVVDVAFVLCLILAIMCCCDDDRRRGPRSSSQRDAQVGGRVVMLRVVAAPPAPQRAAAAAKAALPYFPYAQAQGGTTSSETQTVVCAVCLEELRHGELCSEVPACRHIFHRGCVGSWMRKSDSCPLCRVKIVPARDGSKQLPVADMV >KN538734.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538734.1:10042:13254:1 gene:KN538734.1_FG037 transcript:KN538734.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLRTLLASGVSEKGWSSAFSYINEIIISCTSLDLLQYPGLYNFDRNHKRGDLRSEWINVSSLLFLQRNRHVVAVLSTIQVRHKDNRRRDRATVDTGGSERAGAALLQLALFRTANGNILFLDIDRVERISGIGCNW >KN538734.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538734.1:134272:137898:1 gene:KN538734.1_FG038 transcript:KN538734.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDMIKNNRNSRGRGRGRSQGGGRGRGDGQRFSYGSGRGRGAGTFRGRGSFNKTKDIVWRQDLFEDSMVAAGLSVTESSTKLYISNLHYGVTKEDIQGSAEVIFTRRSEAVAALKRYNNVRLDGKPMKIEVIGADLGMAAPSAPRVSVVPGARGRGQREVVMMPGGSGFGRGAAGSSNFIPGWKRNNFAQRGGQGRGRGRGRNNFGRGRGRGRGYVRKGPVEKSTEQLDKELDSYHSGAMNVD >KN538734.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538734.1:66717:67700:1 gene:KN538734.1_FG040 transcript:KN538734.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDEARVKEIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASANHVFSIDEDF >KN542695.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542695.1:159:13138:1 gene:KN542695.1_FG001 transcript:KN542695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQQNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGMDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQREGVNDFDHSPVETVLFSDGNLDKYKDRHFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHVSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDRQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWHWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKHNKLNMCLIDFGSAIDDFTLKHLYDSGPTRYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGFPNIWALRLARQLLIWHPEDRLSVDEVLAHPYFQEPP >AMDW01007878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007878.1:18:198:-1 gene:AMDW01007878.1_FG001 transcript:AMDW01007878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPADKLWTALRDSTQLFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTE >KN542611.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542611.1:2257:10733:-1 gene:KN542611.1_FG001 transcript:KN542611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTLVDSNLPEETKESSGHMKGKQQHSFVGTTKDDHVDHSELESSNFPNPGNKDDQMYMLPISRRQNGAILISINAIWFGQEMTRVCVVYKIYDEGAPSEFTNMTKLDIGNNMVPEVEEVDEGAQHLDNRALVGTEEIAQCEPRELDGLEEGPSFAVEAQHLDGLCVVFKGKQPDSFVGTTKNGHVDHSKLESSNFPDLGFVYPLPQRLCYLYSPIDLDPVPSSMDVLPDSTNTADQDALVDEVYMCDQYVANTDSETAENTTDSVWADNSGYSSCYGLAKAFCNTVSYRGGQRSTKGPPPNVRIKPAFGYPIVRHAAQIYTIAAYNLFVDEVSKSFSYIITYEEETGLYKATHVNTEEIESWCRGTYLVTHNADNGTYNCECGLQTILAFPAATPSVIF >KN542611.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542611.1:11807:12421:-1 gene:KN542611.1_FG002 transcript:KN542611.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATKDVPVEVEVIETKSNNLGNKRRNNGNRTRQDNGGNKTKKFSDCNVQGHVETNYTGH >KN544418.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544418.1:920:2515:-1 gene:KN544418.1_FG001 transcript:KN544418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSTFHFLPARIPMDGPSGWFMKVPPPLHTVDPPGSPPPASILLEPYGYFSDRTNHTTARGLTRDGKNIVVTFWTATPPRASFFTLHSPDDTKCSAFADAPDAVCSDHHLLLLRIPICLEATQIYAINNHYFYTHEQFDLHLYSSETGEWSTKKMVSVDADDDDDSTSFRFSYASKAIVVGGELGTVGWVDLWHGILVCDILLDNPRLRYIPLPPPLVPRQLKGDPMFLRNIIVLGGHIKFFEMYNHTTGSASSQGWVAATKKMNISSIASGNSSSSSSWEDDCAIKFSEIPVESLAFAQMLQLQPNLQQGTGTTRLTLKRLHAGYPALSLHDSDVACGLRFHLPSNWDLQASQQLFFFLQKPSMF >AMDW01039006.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039006.1:343:870:-1 gene:AMDW01039006.1_FG001 transcript:AMDW01039006.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLKATRLGELLGDAWLQEHEQFKDYYLTVFMRDSWGVVSPLLNREGLILFSKGRATAKDLVKQRLKTFNASFDEMFRKQSAWVIPEKDLREKTCGLVVQAIVPAYRSYMQNYGPLVEQDVSASKYVKYTVDGLEKMLSALFIPRPRRAGSFQIRHTNDKITSAMTGFYRSASTVK >KN541689.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541689.1:9458:13576:1 gene:KN541689.1_FG001 transcript:KN541689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSQIVVLTLLMLVGGEVFVSFLGLMLRLKHKHNPEFSGDRVSSVPIELDTIEPTRTVMSSEELQIEAAVPDVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVVGFLLVLWYICRVSSAKAPLKKKGINIALFSFSVTVSSFANGGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRILIWFLGKKIINALFMSVNARHSGENSMDCSLIAPVVLVLFIIFMLVLDTSSSYGSWHPTNTVSFRYLPPSTTFALSNGDEKTANKKKKRKLGLVVRNLAFSQLPCNAVFVIVALITERSRLRNDPLNFSALNMIFEVISAYGNVGLTTGYSCSRLQKLHPGSICQDKPFSLSGWWSDEGKLLLVFVMLYGRLKAFTKGTGEYWRLW >KN542235.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542235.1:6617:6985:1 gene:KN542235.1_FG001 transcript:KN542235.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDGRLCVGSLEDEGLQLWSRGSGKGSDHGWVLELHVRMKEVLDAVPLLPRNILLRHANIWLSDIDAGRTGKVFIASFGFGRFSYHLDTGKLECLAMEDGMEYGHPIFPFFSAPVNCGVSE >AMDW01036022.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036022.1:344:433:1 gene:AMDW01036022.1_FG001 transcript:AMDW01036022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGGPDWNGLLKWSLAHGDGTAKPRAL >KN541451.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541451.1:93:275:1 gene:KN541451.1_FG001 transcript:KN541451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLLGVTTRPSQLRRKVPEEKLSPAGFDVLNGLLQYVAGDRLTAAAALDMPWFSKKLS >KN541451.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541451.1:4775:21781:-1 gene:KN541451.1_FG002 transcript:KN541451.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIFEYFVVCGMGPEIRTLDGVKGYHGVDDMYMPAFLDQLPPSSHALYPPPPPQLPTCVLPAGVRIYSSGLDANDFSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDILEAYQIPANSFADKCICFVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHLVSNVPLPTPGKNRVLFAIENCLLSVEAPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPLRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGNACLNPYLDLFTSKHCHNILLMNKTRLIMSKVVVVDLEYNRITTSEEIPPIPETEHNFLRGEILKLLQPNVMGIDYMKINLGSMGDHSLRTGTKSWGQEHDFQLRLIFLRFFALIMSGYRNFIDNASPSGFNTQAFLKKRSRATNQPVESMSMIMQFIETQGFLDYLERCNNAEENTNNLLDKLQDATGRGQNPLAIFPSHVADPEIITIADSETGGSEPGKRFCYKRFPTNARTEEQEEKRKSILAVASGASKQVPNSPSIPTIGGGPKIESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRNDMLTIRDALEVSAEMYKKDPNNVQDYVQRHLLSLPVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLSDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKGQQPPSYGMASPRALDVSDESQQPAEASGLGRNWVQSMFSRDRSLRASSFNRTNEVKVGATAGKTDLPAAQKKIQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTLLVQHGMPQPCKSVVHSKQKLGLPRNEAKISMLPSLNLCKFINAVCVLMTIRAISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVVAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEGGIRCVKNLTLHSASVLSISASDHWLGIGAADNSMSLFHRPQERFGGFSNTGSKVAGWQLYRTPQKTAAMVRCVASDLDRKRICSGGRNGLLRLWDATTSI >AMDW01039332.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039332.1:300:923:1 gene:AMDW01039332.1_FG001 transcript:AMDW01039332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNKTIVHSKSNVQEKEE >KN538792.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538792.1:212637:213698:1 gene:KN538792.1_FG021 transcript:KN538792.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 [Source:UniProtKB/TrEMBL;Acc:A0A0H3V7S9] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >KN538792.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538792.1:179857:189373:-1 gene:KN538792.1_FG023 transcript:KN538792.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAENISICHDSSNSGVNSSFSSSCKIVTQQSCETCGSIRRAESKDPSEGYQESLATAISTTPGKEKGYTVGSAAAKRNRCCWLPKSIKNLIRNSKRCQYKKLFLKHCSVKCKVAPDVTKHDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLRRFETFQLSDCIGDLKVSHYSWLSNIEFSNCFCSAIIGKQTGSSTSAEEQKQKNILHCWISWLFSDIVIPVVRTYFYVTERESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKVYIVVADVSKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKVICNRSKNSLYRFDSNASIGNGNSIYDLSIQLSSGGGIFVDQIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >KN538792.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538792.1:220916:229830:1 gene:KN538792.1_FG025 transcript:KN538792.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEDTAAAMAMEVEIEAEAVLCMGTSSPATSNSSSPSPNSGISSRRLGLKNSIQTNFGDDYVFQIASWTYALCILFHISDGTIRAWDTRSFKQISLLRGSQELFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQLACLEESHMDDVTQVKFAPHQHSKLISAAVDGLICVFDTDGDMNEDNHLLSVMNVETSVAKVGFFGNTYQKIWCLSHIETLSTWDWNDGSRELNIDDARSLATDRWNLDHVDYFVDCHYSLPDDKLWVIGGTTAGTIGYFPVRAGLEGAIGSAEAILEGGHTDVVRTVYPAANTHTGQNRGIFGWTGGEDGRLCCWRSGEIAEMNKSWISSSLVSRVQKKAKCRQQPY >KN538792.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538792.1:192010:193822:-1 gene:KN538792.1_FG027 transcript:KN538792.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDSQLVREMMKSIVADQSYGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRVSGVPLNVVLRNPIFASTVARKRQPQTTKAKCHTVKIVLKYRRKCLPHPIM >AMDW01036984.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036984.1:40:462:1 gene:AMDW01036984.1_FG001 transcript:AMDW01036984.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLAAAGLPEFEVTLYETRELPMAMNFRSPVVPTTCINGIGVPTAEKLVYWDGNFGEAPETVYGDGDGLVNSASILALDTVIGDDPMQQYYKSIKIAGMYHAGVISDGVALERLISEILRESFVQDSKKVDYRRVAQL >KN540911.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540911.1:6902:8053:1 gene:KN540911.1_FG001 transcript:KN540911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQMESVPRTVSTVVPNTAEATHVFDIVGYSQMKGRGREEHVTSGTFVVGGLHWAILLFPDTHIILLDDEEDNVTAFLELQSQGGGKVRACCDVRLVDQTTGLASSAAPAQPDAKTFKVFNADESNRVSCLKMKRTEFEAPPYLVDDRITLECVVTVKKEPRVSRARPVPRIKVPPSNMMQQLGDLLESKEGADVVFDVAGETFPAHKLVLAMRSPVFKAELCGPMRESGTEPISIVDMQPVVFKALLQFIYTDWLPSIRDLEGDDNSEMIRHLLVATDRYAVDRLKLLCQSILCKNLRVGNVATTLALADQHHCGMLKDACIEFMSCPNMLDDVVASQGFVDLENTAPSLVAEAKEKMGRFKKMSRMTKSNAPEDEPNSN >KN540911.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540911.1:25233:26484:1 gene:KN540911.1_FG002 transcript:KN540911.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFAHIAGVFREDHGANRAGNSSEVLSDSPKNAPRRVLEWLSMLDAKYRVIKTPTNVPSENTTTDVEEYAYSFLGRSETGIYYTKRQSYHLWVWTLDESQDMSKWVLKYDVNLAPLPKMLETLSCYHENINKVWRILYESDDVHNESEWNSDDDNIIGDLIESEEKGWRFPKFFDFLGFHPYKEVVYLMHSSGVCHLSSSKVQYLGQNLVNE >KN540911.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540911.1:17820:20440:1 gene:KN540911.1_FG003 transcript:KN540911.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPDDVFIKVLRCLPARSLAASRCVSKEWCTIIDTNRLLLPHALPHSVHGIFSNYLDHDMTHFFSRPSYSTGCGIDGCFKFLPIDAIGCNKVLDHCNGLILYHGEISEQYKLFVCNPATHRWVQLPPFTEYDSLCISAEYLVFDPAESLHYEVFLIPDLPEIPTKKKCHKGPLVGKDATAEWPPSVRTLWVFSSRTGRWEDKAFLHEGHATNMAGTSSEVLLDSPDMMSWGPRFIRAEYWNGALYVHFRGSFVMRLSISGAKYRVINTPRFDGPGGYTSDVLGRSETGIYYAKRQNFQLRVWALDESHELTKWVVKYDVNLCPLTKLIEGLFSWHHAKINKMWILDESDDDDDDEEEDQDSAEWNSDDDNINIIGDLSKNKEEEMSMWTFGSVDLLGFHPYKEVIYLMDLDEVVAYHLRSTKVQYLGCNRLNEYNRGMEKSFFYTPCFVDLIPEGAHQKSS >KN540911.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540911.1:10595:11608:1 gene:KN540911.1_FG004 transcript:KN540911.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHEPIRSGAFSVAGLDWVACLYADGYGVAGIDDVSAYLRLLGDAPTPRVWVSCEVKLVDQRTGVASTPQPFLRYALAFGDKCKALHCMMIPRGQIEVEPYLVDDRLTMEFHVVVRRDPRVSRTARFPRILVPPPDIKRQFANLLHSKEGADVTFDVAGEQFSAHKLVLAMRSPVFKAELCGLLREPGTQPITIVDMQPAVFRALLHFIYTDQFPTTRGFERRDNCEMIRHLLVAADRYAVDRLKLLCQGILCKNLNVHNVATTLALADQHQCDNLKDACIEFMSCSKKMKGVAASKGYEDLQRMAPSVLADAVAQMSKFNKMSRGSAPQDESKSC >KN540911.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540911.1:28787:30233:-1 gene:KN540911.1_FG005 transcript:KN540911.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKKTTASRHTTESEEGTHSFEIVGYSLQKGIGVDEFIESATFAVGGYDCSKFAPPYATFMNRSHLEMEASGYIKDDRLTIECFLTVIVKESMASNTVKAHELINVPPSDLSENFGELLEKGEGSDVTFVVGGEKIAAHKIILAARSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFITNQNKMDDVVATEGYADLKRTCPSVLVDVFEKAKIWHFVA >KN539835.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539835.1:71685:73709:1 gene:KN539835.1_FG001 transcript:KN539835.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHIEQVEQTELLSTVQQHATGEANEGEEINATVDEIERVDRHAHEDEANLAQEEDEEADDYYEYEIQPVPASWNREDLGCIRWLGLYQQQQEDHSGIKGSHRYQVYLKLTCPCFQRGMYQMSINENTQPQGPSFLEMLGHGDWLFSQPPIMQPQTIGMYNPEQMMGYAGST >KN539835.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539835.1:16088:21858:1 gene:KN539835.1_FG002 transcript:KN539835.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPYFLSTPVRLQVHRDETTGNILNLVMVEADEGTMLKVNLPVEFKGEDVCPGLKKGGLRPGPALGCRHGLLIVSTHGIGKFVVWAHWLDACGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVYLLQFAERPEIARRQWRRKARQFPVPSVNWMAVAITPNRSQEQQQQQQGTKLFRGLRSPTIGIVRYSSQPLYSCATSIPSHLPLHSILHHVLTKQSSLPIHKSLRLPRHSAYVSHRLPGVILAVPWCL >KN539835.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539835.1:59720:62370:1 gene:KN539835.1_FG003 transcript:KN539835.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTFLSVSSSPSSPRSSSSSNFCVTLSLQGELAHVSLKHLKQNDLVYVSGLLNSYHKVDPSGEKHTFYKIYVTDLNYVLDQNQRPRNDENSSDKSSMLSTTDEILTEKKYIDRLRLWQVFFASPYEWWDNRQSKPYSYYPDFKHKDTGEKLWLRADDPPWVRRQLELQDQQLAENGHRDGSRTLKNHTWKSQDFDCSPSQDFGYSDDEELLHSSGA >KN539835.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539835.1:47608:55994:-1 gene:KN539835.1_FG004 transcript:KN539835.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVIELSLPDATLKGQLDAFNFAAFPNLTKLNLNNNSLVGAIPLEISMLYSLTSIDLGSNYLTNPDYSRMPPMRTLEFLSMSRNKLTGSFPRFISNCTNMAYLDLSHNAFYGTIPDKLADMTPNLKYLNLSWNRFSGIIPRSLMYLKKLQELQLASNTLTRGIPEMLIVISGLQVLRLGNNLLTGSIPMSIGYMVVKAPIRRRLSVHKAILGISQNDLTGSIPSSIGDMKLLHAIHLSKNSLTGMIPPENSNMAALQVLFLEENQLEGKIPLEIGNLTALQKLDLRNNQLEGELPATISSLQNLSYVALGSNRLTGALPPDLGSKQPLVIIGLANNTFSGELPRDICRTFALGLLAVNNNNLSGTLPSCIHNCSNLCAIRLAQNHFSRYISQLAQLSKLQLLDLANNKFTGSIPRGFSNFTSMTKPKTELFMIIVENSTKYPYFDRIDVTWKGQDNTFQRTVTLMTSIDLSCNFLSGDITTGFSNLQGLLLLNLSRNHLSGGIPTDIGNLKFLESLDLSWNQLSGPIPSSFSNLTFLSSLNLSNNHLLGKIPTGHQLQTFEDPLIYGHNIDLCGFPLSIACSDDSRPASKLNNKQKDIHWTPYWVVGGFIFGLWLCIGVLFFSDWCRTTICYHLDRMQSMVMRKIAASRAKPET >KN539835.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539835.1:79110:79463:1 gene:KN539835.1_FG005 transcript:KN539835.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRSRADGQLVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDE >KN539835.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539835.1:40216:41021:1 gene:KN539835.1_FG006 transcript:KN539835.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTEVIEGRVYTATGHVVKHRRGPRALTTDRLDHQHGQTKLPQPPKVSTSGSGSRRPREERSIKEGRRPGRPEGELRADGRGMKDIGVIHVSGEDLRASRDNPYNCDNHLQLHHQRRERIARASNRAIERKEERGEAPASLFCLC >KN539835.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539835.1:64406:67814:-1 gene:KN539835.1_FG007 transcript:KN539835.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMAVSAFTANPSSSYGNTLSGLCSRRPEIWHRRRIGSRIRAQAQSQMQYRKLGDSDLVISEVTLGTMTFGEQNTEKEAHDILSYSFDQGVNILDTAEMYPVPPRKETQGRTDLYIGSWMKSKPRDKIILATKVSGYSERSTFLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPLFGEYCYNPTKWRPSVPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVQGLPKIVSIQNSYSLIVRCHFEVDLVEVCHPNNSNVGLLAYSPLAGGVLTGKYIDTNPDISKKSRLNLFPGYMERYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASTIIGATTMDQLKENIDAFTSAPRPLAPEVLDDIESLFKRYRDPTLS >KN546507.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546507.1:94:990:-1 gene:KN546507.1_FG001 transcript:KN546507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQ >AMDW01030307.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030307.1:145:282:-1 gene:AMDW01030307.1_FG001 transcript:AMDW01030307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIE >AMDW01061583.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061583.1:77:280:-1 gene:AMDW01061583.1_FG001 transcript:AMDW01061583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPAAGFPFHASASQWTQPQRRSKRSSAVHVYPTNSMNSQGLQARHRSDVAYSRARNLPAQPCQ >AMDW01017807.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017807.1:3:242:1 gene:AMDW01017807.1_FG001 transcript:AMDW01017807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVATFGKLDVMFNNAG >AMDW01026158.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026158.1:38:296:-1 gene:AMDW01026158.1_FG001 transcript:AMDW01026158.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVPELLLVVVTSEGVLTSIELACNLLDSIEFDVLVDTAHGVAFNTTGGGERCSGSPAPLYMGGGCGGGGGCASFSFGVPMDST >AMDW01112260.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01112260.1:11:2434:-1 gene:AMDW01112260.1_FG001 transcript:AMDW01112260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHDGSPTDYPPFSARCPQLKKVKVPMLKMTDGIYCSKVQELFASFRGEKSFLIQSVDFGGILKVPPLQPIDREFTLWLLENFDTTTNSGEIEPTIAENCDVTMEIRKLLLIKDDSGPITLDKLEEILRRDHLGGMTKPEQMSFKVAAVLFSSAFWVGSWNVNIDIVVDLMKHLVHPEKIANTNWSNYVVKSIKRAAQQVQNQLHFGCSEITFSCCLHCLVMFYIDNVDNGTLYFKYHKTPRICRCYASFIRNAIARDVRCAENGFCRVFGHREVRPSSEVCYTRGWSKFESVSVQCDKINSHYNEAILKQFEVEAAPCTYLPLEDVQTSNNSNTDVVLSQIAVADDQMNYLPNTSTQETTTQINIGTQGICATFGEAGDAIMSAGDVLTAFEDSLGDSRTFEGPKKLFLDHEDGTSLIGNPILQFNDQGPSGNIILSDPQIGSPLDVEDYNISYIDTNIYENPEVNEPFYPKEATVNSQFCQSPFEVGQLHEQPVRVT >AMDW01112260.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01112260.1:8810:12066:-1 gene:AMDW01112260.1_FG002 transcript:AMDW01112260.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LMFLDNIYLGSLNRPRGVLPAVHGLTCEYMRRMVSASQSKYLSGVATHIIRDQQQTFSEGALSNKRRTCLLQTGNTNRHGFKDRLINQNNTKRMPSSSNTITPLSDDKIQCNPLLDLISSLPSNELTTKQVVALKWIKAQMQKDVAELVKKIITEPQLDLCLANQPLNAKTTPKRAGDEYLSDNDTSSEEQHPPSNSGPSIVFKTPIDTQEHIHIATPRRPDDEDPSMTNDDSNLGTGQKGHADDMEEDPTYAANPIANDFTNSPLKPRLLVEVRLARSPWSYGVQHPVRDETDIEPFYNWLKNTVPSDILLARLRTETGKTFLTALFIIDFFRILTLFDLHILSSGLLTLLAARDFDGVNIFSLDTKDIESVLTKFKMTLLYELLCIKGNTAQVPDNFVKCEDD >AMDW01112260.1_FGP003 pep scaffold:O_longistaminata_v1.0:AMDW01112260.1:3912:7458:-1 gene:AMDW01112260.1_FG003 transcript:AMDW01112260.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPGGDERSTRPAKRLAWDGTSLNTPAASSSAQRSTNPQNRKWFVHNTPSQIFISGTMLTHQFLPSGPLYCNVCHGIIRLFQRMDKFMNPGVADHRWRHFFPPQFALVAPVMYFTGTWSCYIWDIERHRLHVIDPFLQDGNVTLVRDKHETLAKAIHSGLLQCVSHYFDGWDLHPQSWKRVYHVKASSKALRSDSGWYSLYYAREFNGSALERKIQVDEIGDMKKDLFYQLITMEGNEGDVPNISSSDMSTESNILSSSTSCVSTEVKSVSSAAVANSSIQVHIQGPSELATTTPLHLQILIRPKYRKTRTFSKLCRTFHILELKVPAVVEEVADSDDPNLQYLEANLLGQEGSD >AMDW01119939.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01119939.1:239:3055:1 gene:AMDW01119939.1_FG001 transcript:AMDW01119939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKVLNWKFIHFSLFLLTSNQDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGASVSGPNTFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLEVKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQTPECATVDTPILDALHTMHDGKFLHLPVLDKDGNVVTVVDVLHITHAAIATVGNSAGSGSEATSAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTELITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLKLHLDYAGVGRRKRGGGGTSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >KN538687.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538687.1:686940:689462:1 gene:KN538687.1_FG053 transcript:KN538687.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLVAFMLEYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGPPACASSTAAVAGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIMTITGGLILVTMLIGNIKRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHLQCSQVMRNGATSWCTLGPGNFSGDELLSWCMRRPFMERLPASSSTLVTAESTEAFGLEAGDVKYVTQHFRYTFTSDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >KN538687.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538687.1:652451:655561:-1 gene:KN538687.1_FG054 transcript:KN538687.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEVELEHAFHQGKYFAGIAKKLKGAASA >KN538687.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538687.1:601014:605209:1 gene:KN538687.1_FG055 transcript:KN538687.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSSKKLRVVLIPFFATSHIGPFTDFAVRLAAARPNAVEATLAESLVRELRPDAIVTDAHFFWNAGLADELGVPCVQFYAIGAFSTIAMAHLVGAVKEGAKEVVFFVGKNTNYCANITEITRYYKLQRVMTEEEVHCNIYGRIHCNNGIEKYQV >KN538687.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538687.1:737294:740573:-1 gene:KN538687.1_FG056 transcript:KN538687.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Assimilatory sulfite reductase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04590) UniProtKB/Swiss-Prot;Acc:Q9LZ66] PLKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISTVIKNMGSSLGACGDLNRNVLAPAAPYVRKDILFAQETAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNTYGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDSAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFQAEVEKYYGKKFEDSRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVAGQAKKTLREIIEKYNLEVSITPNQNLILCGIDQAWKDPITAALAQSGLLEPKDVDPLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDHESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQSTLAETFMNKVKLQDIEKVLEPLFSYWNSTRQEGESFGSFTRRTGFDKLKEVVNKWAESASAA >KN538687.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538687.1:596393:597109:1 gene:KN538687.1_FG057 transcript:KN538687.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERSKKLRILLIPFFATSHIGPFTDLAVRLAAARPDIVEPTIAVTPANVSVVRSALERHGSVASSMVSIATYPFPDVAGLSPGIENLSTAGDEGWRIDNAAVNEALTRPAQEAVIRDQSPDVLITDSHFSWIVYIAEGLGMACFGFCVIGFFSILAMRLLAVAAADANGSDSESLTAAGFPGPKLQIPRSEVPDFLTRQRNFDKFDMRKLQVATVARQVPWHRRQLVLVPGQAIP >KN538687.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538687.1:723110:731151:-1 gene:KN538687.1_FG058 transcript:KN538687.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGRNGFEDDDVNPFAGGSVPPANNSRLPPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLAACLFWNIIATTTAWVKGEGVIIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQIMTIDCSLNMQQVYMYFRGSGKAAEMKPAAAGDEGGAAFAVAGGGADGGGCEEGAASDADGGSAGEAAGIGRGTVPYAGLYCDATGAESGASVVSVLDESGSIIVLGKTVFLKPEMVWRIVAH >KN538687.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538687.1:701241:704586:-1 gene:KN538687.1_FG059 transcript:KN538687.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKRGTLARRMEGRRRLGVAGAGRGPLFGGGGGGGGRRGTGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRTTTSAQAFSEFVKRGSEEPPIMAFNQQSHHVLLHAGKKTPSGTVVDIEAEVKDAE >KN538687.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538687.1:646252:648621:1 gene:KN538687.1_FG060 transcript:KN538687.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTAPADGGDESAPNAERLHHHQDAGSPAPATRSSPGSPAAAKKPRIEAPSPMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLRCGRPVQLQHQQGSHKVNGNCEGKQLDLRSRGLCLVPVASTYTVASETATEFWHPTFGGTFR >KN538687.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538687.1:609827:610271:1 gene:KN538687.1_FG061 transcript:KN538687.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGIEVRQAVGDGAAPRWRMSLLENTFSSFLQSIGGGAGADGAAARAVFGEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRGARTTVDWAETDSEYYLRADIPVLSSISAFCLCIL >KN538687.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538687.1:671225:672065:1 gene:KN538687.1_FG062 transcript:KN538687.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPVAGGEKATPPSTPPPNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDDSAPDAAQHANAAATRDEPEEAKKKREEDFDAFTSTKAQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGGGEAVGDELRTVPEDEPVYHEAPEGQKVICHEVG >KN538687.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538687.1:693204:698286:1 gene:KN538687.1_FG063 transcript:KN538687.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 1-acyl-sn-glycerol-3-phosphate acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G75020) UniProtKB/Swiss-Prot;Acc:Q8L4Y2] MATQSRKRQEQSRLFLGSSYLYRAGKDDEQQWLFLQPLPDMMEDAGRRPRPSQTHAYAGTPPAGRSAVACAVSLDGQKQEGCVRLTAAFMMMVYLSPVTTFLVRLFSVHYSRKSTCFLFGMWLAMWPFLFEKINKTKFVFSGETVPPEERVLLFANHRTEVDWMYLWDLALRKGRLQCIKYILKKSLMKLPIFNWAFHIIEFIPVERKWEVDEPLIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAKEHGLPILNNVLLPKTKGFHCCLHELRDSMDAVCDIAIAYKHRPPTFMDNVYGIDPSEVHIHVKIIQVSDIPTSEDEVADWLIERFKLKDKLLSDFSALGHFPNEGTEDDLSTLKCIANFVAVISTTTVLTYLTLFSSVWFKIFVAFSSAFLTFATLYSIHLPQLICSPEAGTHAKKS >KN538687.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538687.1:706857:708398:1 gene:KN538687.1_FG064 transcript:KN538687.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGAALFGEEELRDVSGVRVGDSFVEVTCGCTSARYGDAVGRLRIFASGELQVCCDCTPGCDQDKLSPAAFEKHSGRETAGRWQNTVWVMVKGDKVALSKTCLLRCRVRVSCEEKEERASRKASRGCARAATCKGCVRCVCFGCETCRFAGCACQTCVDFYRINYMINSS >KN538687.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538687.1:711564:714567:-1 gene:KN538687.1_FG065 transcript:KN538687.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPTPSPPRASSDTDAAFDPVVEWLLDLDQAPMADEVAKGLGIAEEPASFDAGKVSGGSVSESRGVEEKGNALPEQSGASFDGELDVEMEPKTGSGGLVPQLVQDQHPASDIGDLAVREDGSEIMVDVERSTVPVDADMNNVSLDLVKEEAEGGGDKEDKSDEEESESSEEESSEASSSSDEEEDKRESSEASSSSDEEEQMAKKTGGGGDTDSLFEEAELMLASDDDDEEAPKGPIKSKHEVEVLPPVPKIDVQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRIPLGIVDELFGPVKNPYYLVRYNSAEEVPADISAGTAVSFVAEFADHILNMKELYAKGYDGSGENDEDQTDPEFSDDEKEAEYKRSLRPAKRQTDRQHEGKKTSGDKKRAQPRGSGFRKDMPPRSHDGPAPGRQSQPHFHRPDKAPVVDAIRPLGSQDGPMSAPTKLPPGPVHPVMPAANQLTNQMGGRFMNPSQQFLPQQPNVVWPGGLTPTPHPNMGIEGAAFAANIMQNLLIGANQYQQQFQNHNFGGFLNQMPVPPPQFMSQGGMPSNPMAFGGPTMAQMNPPFGPPQLPMDQGNFGQAPHMAGHTVQQGNPAGFPNGQGFGQSASPQGDGEQPPMQFSSGQFNQGNSSFHGRRQQQRGGRHSSGRGGGRHHR >KN538687.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538687.1:657983:669414:1 gene:KN538687.1_FG066 transcript:KN538687.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTVWKSPSDPSPSSVVVAMDTSGDPEVFVWNGPNKFTGVPDTVTYKNFSFTFVNSAREVTYSFQVPNASIMSRLVLNSSGAGVGGGLLQQWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVCHSTSKSKKKTHIIIAVVVSICALAIILALTGMYIWRTKKTKARRQGPSNWSGGLHSRELHSEGNSHGDDLDLPLFDLETIASATNGFSADNKLGEGGFGPVYKGTLEDGQEIAVKTLSKTSVQGLDEFRNEVMLIAKLQHRNLVQLIGYNVCGQEKMLIYEFMENKSLDCFLFGTNRNLPMFSDKSKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTGNILLDKEMTPKISDFGMARMFGSDDTEINTVRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIISGKRNRGVYSYSSHLNLLAHAWSSWSEGNSLDLVDKTLNGSFNPEEVLKCLKVGLLCVQENPDDRPLMSQVLLMLASSDAASLPDPRKPGFVARRAATEDTSSSRPDCSFVDSMTITMIEGSAGYIALLCWICQKLVASSVEDRWAYPNLTQKVDGKNCSNSNVEQHTNPPFEDCCRSEDFSFCTENKSGKIKKSQSLGDMLEMEKLYDFDETNNKGEDCTIDGSHDEKNCTGECTRKKKENHTSCCGDFTDPHQHECQSSLGNSEHLIEKQCDCEDIVSTYCLVNSEEQSFLPEPQPLLSRSQSMNLDAHIPTVIEDSIDSAQLGLRSRSFGNLSSLDGAPYTEEARVSPSHCKDASEDFVQSNAQSQCHCRDEHINNSSTDEISQCCVESGNDCHHSDFTSTAAVTPVRNSNSFDDPPSLSHDAGNTEEIFQQIDKREVATSVKNCEPEPCYQNCCTSSRKEFNVRRIENWISQIPDSNDIAPYEQGECSSSAHLMNSKQVDTIRKLNAKSPLGMETAYNYIAMLTPSSSIAQLSNLGLVAIPILSAFSDLRLLNLAGNSIIRITSGALPKGLRMLNLSRNNISTVEGLKELTLLRVLDLSYNRITKIGHGLASCPFLKELYIGGNKISEVEGLHRLKLKVLDLHGNCLSSSKCLDQLANCGTLQSITLEGNPAQRNVGDEQLKRHVLRLLPHLVYYNKQAVLSRRCSKPQGGGGRHGRAVDLGGGGGGGGRSKRLELRLPRRSACASVSLKSSGCHHHVRAGAAAAAAAHGSVRPSRQSRNAAPPMAAAIRGADRSSEGERRLPGTEISGQIFRIRSADDL >KN538687.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538687.1:613563:614864:1 gene:KN538687.1_FG067 transcript:KN538687.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERELRGGGVGAAAHGSICFSGGPVLVDGRRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPSQRLTSWLLRALVARACRLCAAAPVGSELGARLAIFAKSKGVQLEFNVVESATTTSPKKTTTTLCQELASVLSDPPSLGLRDGEAVVVNCQSWLRHVAPDTRDLFLDTVRALNPCLVTVTDEDADLGSPSLASRLAGCFDFHWILLDALDISAPKDSPRRLEQEAAVGRKIESVIGEEDGAERSEPGARLAERMSRKGFAGVVFDEEAAAEVRRLLSEHATGWGVKREDDMLVLTWKGHAAVFTGAWTPN >KN538687.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538687.1:676946:677264:-1 gene:KN538687.1_FG068 transcript:KN538687.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MATARKEKGHGVFLGRERKNDEAAGAAEKEVREIEFFPTSTSHADESSEFAAAPFSSSAGGGCGAVPLDLSLKL >KN538687.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538687.1:629096:631140:-1 gene:KN538687.1_FG069 transcript:KN538687.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAAASPAAAAAATAMCAEHREKLEHIERVTRNAGQEQRRVLEEILAQNAQAEYLRRLGVPGDAPGADEAFRRLAPLVTYEDILPDVLRIANGDTSPILSGKPVSEFLTSSGTSGGERKLMPTIEEEMERRSGLYSLLMPVMSRQVPGLDKGKAMYLYFVKSEWRTPGGLPARPVLTSFYRSRYFLERPHDPYTVYTSPDEAVLCEDAYQSMYAQLICGLVHRADVLRLINEC >KN540542.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540542.1:20646:21200:1 gene:KN540542.1_FG001 transcript:KN540542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAEVRQAVVGKTRITEDDIVGLSYLKMVIKEALRLHSPAPLLNPRKCRETTQVMGYDIPKGTSVFVNMWAICRDPKYWEDPEEFKPERFENNSVDFKGNNFEFLPFGSGRRICPGINLGLANLELALASLLYHFDWKLPNGMLPKDLDMQETPGIVAAKLTTLNMCPVTHIAPSSAEDAS >KN543241.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543241.1:4005:5640:-1 gene:KN543241.1_FG001 transcript:KN543241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGELAGSRLTPPGSLQIWRKEGRKKGKMKRGGGKGKEEGGVATAVHHRRRRHCHRRRVRFSEAATLCIPHLVCALKGGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDNM >KN540542.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540542.1:48287:48524:-1 gene:KN540542.1_FG002 transcript:KN540542.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRDQRFSYKNTFSQQGSAEC >KN540542.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540542.1:25261:28142:-1 gene:KN540542.1_FG003 transcript:KN540542.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLILALCLSALFVVVLSKLVSSAVKPRLNLPPGPWTLPLIGSLHHLAMTKSPQTHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEEVLKHQDLRFADRHLTATIEEDMISGASETSPTVLIWTLAELMRNPRIMAKAQAEVRQAVAGKTTITEDDIVGLSYLKMVIKETLRLHPPAPLLNPRKCRETSQVMGYDIPKGTSVFVNMWAICRDSRYWEDPEEYKPERFENNSVDYKGNNFEFLPFGSGRRICPGINLGVANLELPLASLLYHFDWKLPNGMAPKDLDMHETSGMVAAKLITLNLCPITHIAPTSA >KN543726.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543726.1:4142:5584:1 gene:KN543726.1_FG001 transcript:KN543726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGCGRDELRCGAWTVEDLLVEDATTGDELWRAVWMEEDLLNREDVTTADELQRGAWTVEEDLLLINYICHPRHNSQVQLGKLLILWIVHVGLYGCWLSPSKPPKY >KN539040.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539040.1:55783:61007:1 gene:KN539040.1_FG001 transcript:KN539040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEGDVLVGADADGRGQLTELLFRVDAIGDSVLEVKAFWFSIISEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLSNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNATNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSAHCVERKPENITGSSELNFLNNIKEDMLNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSALSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGDSSSQPLCSLSPQNVPVLESRPLECDDLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRAPPINSECIKPSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGISPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGMMCAGSTGEKALSVHLRVNGEARMYEMNPGRVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDPHGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPRISAPRSPEPQRRGSPRRFDRGFGRQLGVDLPRGTKEDNKNPFRYD >KN539040.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539040.1:18441:20031:1 gene:KN539040.1_FG002 transcript:KN539040.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTMVAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGGDEVKEASKGDAGEGQ >KN539040.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539040.1:69799:71399:1 gene:KN539040.1_FG003 transcript:KN539040.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVECTRQTVRALREAVARSGNEVEIGAHMARLAGDVIARTEFDTSYETGKRIFLLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELERLLKESIDRSREIADEGRTPSASPCGRGLLGMLLAEMEKKKEAGGNGGVGFRFAISDEYRHAPVNVLTLRPRHGVPVRLLPLPPRP >KN539040.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539040.1:160306:161271:1 gene:KN539040.1_FG004 transcript:KN539040.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGVLVGGGGGSWYLCALWTVNRRHGGQIIPEQEPQSKMEFSDLDGLGGGGGGASGGGCRHPARVRVCVGGGDGVCIAPGTSVAVVEVATVSALPSALRCPSSPFAVPELAVALFPSVAPVLAMAPAGVGMYAVIGGVALSLAISGLKARHKSIGSLLKAPLLLVGWSTFWPSLLIPSSRSHTWFVIRVELGPPVQFRLSELLEFLRFNDESHGDALLSPVTLTPKIYGSATNLDLVPFPWRQPKGINGLSSGVHFN >AMDW01040624.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040624.1:327:868:-1 gene:AMDW01040624.1_FG001 transcript:AMDW01040624.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALSLGLLLAFLAIQSCIAIELTDHIDLWPMPTSVSHGTQRLYVSKDITMSMEGSTYPDGKGILKDAFQRVVDLMKLNHVVDGANPSSFVLTGVNVVVHSPEDELKFGVDESYNLSVPTAGYPLRVQIETIVFK >KN539040.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539040.1:12693:15236:1 gene:KN539040.1_FG005 transcript:KN539040.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01150) UniProtKB/Swiss-Prot;Acc:O04616] MAGMVAAAAPPASLYRRVSVPRAPMRRRRLTPRVTATPSLRREDFPKIAQLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLFYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >KN539040.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539040.1:135087:141193:1 gene:KN539040.1_FG006 transcript:KN539040.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGKSDVEIAWRGDGEEDDEPGVQFFTPGNDYLHDFSDTDSLSVSTPNGVARSLTPSPLESPTWMVGHNDASPTSKRNERLSLDSLGCDTRLNGGIADRSGGDMTRYPADFDANVWLPPSPEDEGDDVEARLFGFDDEDDEAGDSGKLLSLGSFNTNKIVGVDTITDIAHKEGLRNAVLGHFRALVAQLLKGECIDLENDNGSKSWLEIVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMASEHVNAKLLILGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCSGAQIASSIDNIASARLGQCELFKVHKVSEFSSGKQTNRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVVQLAVFAAYHLSLETSFFADEGATLPKVPSRPMVVVNDIRSDPSNYFAGSAGVGIPHGLKPVQGKHSEATRVNGMFKENSISSGSLSLNEEGEGVISEHRESKIPVEHMNCHDHDSFHATESCKGHKIFPCSLDHDIRTSDMVMQYQYLNDSTQLPINDDRQGMVSGKKFQEVDHYGPKPHDDYLMGDADGPNELSGEYFPATDNHQSILVSLSSTCIPKSMLCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKESSESHVRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRVIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSRQDWMRRMAVEIYSKMETLHSEVYDFLHHNEKSVTSEDEPVKAGVQRQIIEMKDLLKMERNGYEILLLPVITDSNHSVQVSIDVLELNRLRRGLLLDAYIWDRRLCYIDSLLKKDSHVSNPDIFLDVRLKEWKADLLVGDTKIGKSTNLSQSSGSPRKSLLSREGCLNDTEYRMGETNSQIDLVTHPVDDAEDLDKVFRRFNGETEQPVTTATMVKEPVERLPSLASIFSDKIDLAWTGSSEIQDDLLQGFTKIDEYGSFNFPDNPSYGNSVTPVRIHSFDSKFAIRQRERNGLAPTSLHLSSFRSAEYFGDCTSILKDPMPNIRRACSQRSPGAVEKLNVVLTRTLTYISSASHMIDDGARLLLPQIGYEDDAVIAVYDDEPTSIVSYAMTSQEYVQQVTRKLNSSLSFLHLPNAVDSSHGLDGTLLSQEDHLDSKGTHFKFSFDDESPLSEDKAKFSVTCYFAKHFAALQKKCCPKDIDFIRSLSRCKRWNAQGGKSNVYFAKTLDERFIIKQVTRTELESFVEFAPQYFRYLMESLTSGSPTCLAKIVGVYQVNIKGLKGGREVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSSESKVLLDSNLLEALHTKPIFLGSKAKRRLERAVWNDTSFLATADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >KN539040.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539040.1:8114:11551:1 gene:KN539040.1_FG007 transcript:KN539040.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASSLPTAVLAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPIPQRCAPKLKVRQSFFSKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKDGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSRGDSGGQWQARYVACGGRHTLAIATWTEADE >KN539040.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539040.1:37425:41767:-1 gene:KN539040.1_FG008 transcript:KN539040.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVKTLKGSSFEIDVEPTSKVSDVKKLIEVTQGDNVYPADQQMLIHQGNVLKNDTTLEENKVVENNFIVIMLSKKGSSSAASSTAKEPTKQPSVDRAIPTAPATQPPAPPAPVSEPVTAPVPTATTAAAPAAAVTAASTEADNYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYTGVPEQAEAPAAVQALPVPAAVQALPASGQPVDPSQAPQSAQLSIPSSGPNANPLDLFPQVLPNASANAGGGNLDVLRNNSQFRGLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLDQFAAGMPQTVAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDEHLAANYLLDHMNEFDDDAPEPPQ >KN539040.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539040.1:45116:46077:-1 gene:KN539040.1_FG009 transcript:KN539040.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHDDNQRLADEIVGLRQAMPRLKEDLHAASQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEAGKLQSIRQEMTAKVQGLLKELEHQNSENQKIPVMIAERDALRQELVRMRGTLEYEKKARPDLTAQVQAMEKDLVSMAQESEKLRAEIKKRNAPGFSGHGAYGPPMATPGMGLQGVYDDGYPSIGSRYGTGSWAPHDPHGYPQL >AMDW01040284.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040284.1:747:1163:1 gene:AMDW01040284.1_FG001 transcript:AMDW01040284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHLAGLDAVKLVRMIVQAAQKVRHNKKICQQLVHHVQIVGDLLKKLQTSEMMQQPEIRDGLNELKEILREAYMLVTSCESSSYIYHFFMGGQLADQFRVLQSRIDSCLQVFPLISHIDTSDRLDQILEIIQPRRPQ >AMDW01029930.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029930.1:21:200:-1 gene:AMDW01029930.1_FG001 transcript:AMDW01029930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDWLEVLGCGVTEQEILKNNGRTDNVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQ >KN540568.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540568.1:27:901:-1 gene:KN540568.1_FG001 transcript:KN540568.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLMGYNVAVTSGAQIFMAEDLGVSDAQIEVLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNGFFLAGPLVMSLAGGYAALMAGRFVAGIGVGYALVIAPVAAAEISPASSRGLLSSLPEIFINGGVMLSYVSNFAFSGLP >KN540568.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540568.1:37447:40964:1 gene:KN540568.1_FG002 transcript:KN540568.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDARRRKEEGEEEGFSSDSGDTTDAESSDERAVAGRRAVRVLATRETGVVSIRFLQFNTPIRCDLLPSLNEIPLVGLVSRYMGFERLPGTFGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVACTLRQLRGKDDVLNAGVAGCCTGLALSFPGAPQTMIQSCLTFGTFSYIIEMLNKQEPALARPSITGTKDLKAGQRVLPPFTLSLPQEAMEGFSSFQNFLSKFQGK >KN540568.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540568.1:16689:33227:-1 gene:KN540568.1_FG003 transcript:KN540568.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVQLFSQFLALVDCLFGFEGGVADSWGPNLEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVLLCKHFRNSGDEDTSKSGLPERGARSPQAMVGAVMPIGGVREGGQAEPAVPSRLPEAGALVPVHRLKSLRIRRSTPPSSSSWWRRHCNRTPLVINGEPGVPQIQKRLLSVLAAPKIIGTDNAASLKLREGSLVGRRYESSAAAVDSSDTPPVEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLIKDGAGLDIRIQTDKENGTITITDTGIGMTRQELVDSLGTIASSGTAKFLKALKESQEAGVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSDKQYVWEGEAESSSYTIREETDPEKLLPRGTRLTLYLKREDKGFAHPEKIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEPVEAKEGDDDTKTEVKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVRGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVENMKPEQKDIYYIAADSLSSAKHAPFLEKLNEKEYEVLFLVDPMDEVSVTNLNSYKDKRFVDISKEDLDLGDKNEEKEKEIKQEFSQTCDWIKKRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDMSSLDFMRSRKVFEINPEHEIIKGLNAACRANPDDPEALKAVDILFETSMISSGFTPDNPAELSGKIYEMMSTAMASKWASHAQPAEMNLQRDSPVSSEPIEAEEKEVGNGIPKLQVIRGSSRLDRMSLREVARERVDLVAEKMKVMPEEHLEDMKNELRSILEGTGGSHHIEEFLYLQKFVQSRGDLTQTMLSMAYHVQLEILVAIKTGIQAFLHPSVTIPQNRLVEVFLYKRCRNIACQSALPAEECRCNVCSNRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIRDGQIGMGQSIKSGTGHAEMLFRCQACQKTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDSKGRKLFRKCADLIERLRNSPTDAINPRMILQVLQELELDSPKSTENEEIGRLITPQEACNRIAEVVHEAVKKMELVAEEKMRLYKKARIAVEACDRELDEKARELQELKAERLRKKQQVDELESIVRLKQAEAEMFQLKANEARQEADRLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKYQETQRPMQASGSGAGDSSQTPMLSKIHDLLKNVRSIPPKTEGHQ >KN540568.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540568.1:6508:8167:-1 gene:KN540568.1_FG004 transcript:KN540568.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPMVLGFFIFVVIGSCKLRPFTFLFPSV >KN540568.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540568.1:43853:48411:1 gene:KN540568.1_FG005 transcript:KN540568.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWWNALPSDPLWNSSFVGKFHYRAPGSRIIGYITGRRVRLGARCFSSSSFVRWFPACSLHVFKFLGCFGAGFLQVVKFVLVGNVVVPFFAHTDYRLVAADCLSKVVVSLCIVSGIVVANLSGKLRSRGAERFDCFITHFSLSTLTSTLAVGVPLTAALYGQGAEKE >KN544681.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544681.1:1593:1991:1 gene:KN544681.1_FG001 transcript:KN544681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPSAPTAATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAGVRAAGS >AMDW01023041.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023041.1:8:316:-1 gene:AMDW01023041.1_FG001 transcript:AMDW01023041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGESLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSLIAQ >KN540922.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540922.1:3:4487:1 gene:KN540922.1_FG001 transcript:KN540922.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGATFAVALLAAALLLALSLYASTLPRAPTPYYSSNLVGLTLVRRAKEKGAVCLDGSAPGYHLQRGSGTGSQNWLLHLEGGGWCRNLRSCASRQKSVLGSSQYMERQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQVLLIIAEWHKILFPGPAYLGGSNERTSTKGTQACQTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNMDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISEHKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFARPFKA >KN540922.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540922.1:21514:23411:-1 gene:KN540922.1_FG002 transcript:KN540922.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPGMFQLGLDGDGHGGGGGEDGGELHHAMPSKREGYPRGMYGDHHLAGGYTSYSSATTVFVVVLVMVCAWKFEKCTEKEEEDELKKEVHALVQC >KN540922.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540922.1:13872:16363:-1 gene:KN540922.1_FG003 transcript:KN540922.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQTSIGIAKVSAAIAPELDVAIVRATSHEDAPAEDRHVRELRQLLDRFLACRPSGGARQSRVVLATLYPVVKESTQLCSDVAVVLAVLLDRFFDLDYPDCVKVFEAHVSTAKQTDDLLAFYGWCDDVGLARPADFADVKRIDDKLLETLEQFVRERGRAGHSSPPPWQQQQQPARSDDELDMNGIKALPAPEPHAAEPSRSAPEKVAPVAKKTPEKMAPPHPGDLVDLREPVGFSQGFRKMPLENVFSLSEGIVDS >KN540922.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540922.1:6373:8700:-1 gene:KN540922.1_FG004 transcript:KN540922.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQLGDLDALAALLAADPSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQAGANILMFDSVHARTCLHHAAYYGHVDCLQAILAAAQTTPVADSCFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPTSAEPMVWPSPLKFISELEPEAKALLEAALMEANREREKKILNGTKYSLPSPSPGDDSADDDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSPACPFCRGSISRLVVAQTRSACDSDKPSSPQLTRKRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSSNLDKPEHDL >KN539239.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539239.1:49463:55582:1 gene:KN539239.1_FG001 transcript:KN539239.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDHGIYSRERLLIPITKPEILQGSTCHIEMDYNARREVAVFYPQGRPSGKAESSTNAAAAERRSRRILESVKRSLHTDDGTAAYYLSVTDGDPRAAMMEYSEDLRCTSLEEICCTIFQLGIETECSGRQMHRTKELLTLEYHRMLGLGGLKDKLTGKNGNKIKGSAVLTSRKLLDPRDFTATLVDNVHEVFGNSITCQLVSATVADQNNEGRGIVGSEANLEQGLTNLPSLSQGESKLTVRFNWEMDKHGVPGAIIIKNHHSTKFFLKTITLHDVPGCDTIVFVANSWIYPVGKYHYNRIFFANNSYLPSQMPEALRPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGRTGRSPTKKDPNSESRLSLLEQIYVPSDERFAHLKMSDFAGYSIKAIVQGILPAIRTYVDLTPGEFDSFEDILKLYRGGLKLPSIPALEELRKSFPVQLIKDLLPVGGSYLLKFPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDRNRLYILDHHDHFMPFLIDINSLNGIFTYATRTLLFLRGDDTLKPLAIELSLPHIEGNLTTAKSKVYTPASSGTESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVYKLLQPHYRDTMTINALARQTLINGGGIFEQTVFPGKHALAMSSAVYKNWNFTEQGLPDDLIKRGIAIKDPSSPSKVKLLIKDYPYATDGLAIWQAIEQWVTEYCAIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQSLPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRPMPEPGSKEYTELDENPEKFFIRTITSQFQTILGVSLIEILSKHSADEIYLGQRDTPEWTSDPKALEAFKRFSRQLVEIESKVLNMNKDPLLKNRVGPANFPYTLLFPNTSDNKGAAEGITARGIPNSISI >KN539239.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539239.1:111138:129836:1 gene:KN539239.1_FG002 transcript:KN539239.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MECVRAIESLATGDGRHHLSRTLGPVLLISMGYIDLGKWVATIDAGSRFGYDLVILVLLFNLSAILCQYLSMCIGMVTGKNLAEICREEYSPSICVILGIQAGLSLLTAELTMLSGISVGFNLVFEYDDPIAGLYFASVVVNLLPYTMSYLGKRMAGTLNACVAGFALLCFVLGLLVSQPKIPVDMNAMFPKLSGESAYSLMALLGGNVIAHNFYVHSSVVQKSFVQGQRQSTTLSLGALFHDHLFSILFIFTGVFLVNYVLMGSAAVESNNTLVTFQDSVDLMNQMFMNPMAPIVFLVILIFSSHVISLTSIIGSHAILKNFFGINLPHSAHHLLLKAVAMVPTMYYAKVAGSEGIYQLLIICPVIQAMFLPSSVIPVFRVSSSRVIMSRYKISLYVEILAILAFLLMLFTNIIFAAEILFGDSTWTNNLKGNTGSPVVLPHAIVVLISCASITFTLFLAVTPLKSASNEPETQELSEHSQREDPDTYLSTLREKIQILFIIERSFLCISSESYQTSALEHNDFPDITVESGHGTQQLTAFVPTIPEVSSSIKHKEPKSVVIDWTEPVPKVCTSTVVEHNTAENIKMKSTTSKHVQEEAGASMDYDTEASYNAEVSKSSGNKAPPISDDPTSLTLSKGRDSDAGYRGSNLSRLPGLGRAARRQLAAILDEFWGHLFDYHGKLTQEANAGRFNFLLGPYPKAVRTDNQAIEASRSPLMRDAIRGSATIQKSCDSRAKEVSSPGFNFGLQMGRIGSSNWSESMRLSNADIPRSTSTLFEQNTQFYSNYNVPSYPDNQFYQPATIHGYHLATSLKSMNASHSTQSSISLDPRRLPRSSESAGSNYANSARYARNQDVIGSQGAASQNTTMSCLDTMTMERAFYNPASVNEIEGVGSSAYSKKYHSSPDISALIAASRNYLPNEVNLGGAAGSSSYFSNLACERSQYVNLGSSSTAQFALSKHSQPNFHRDTSSMQSSVNPSTESIWAQQPFEQLLGVSRAELNKGEGNTDQRSSGVTKDDFSNTEYEVKLLQSLRFCIMKLLKLEGPGWLFKQNGGCDENLIDQVAVAERVSQHTTENQLSADLQLQSSDEDLQPLRRNDNRDANCMSLLPKCGDDCVWQAPLIVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILDPAFSKPRKPMKGCVCLQNVAKPISGTFTTAGKCIVADSLSEHILDEFMSFHVLRFIDCNWMDPDQPGKAQDQQRVQWTVHCTVHDLSRFRQAGLSSLRALLLSAASATGLSKSISKKLSDAVAELASFLLPSNAWPDLLTFLYKSIASPSSPPALQESALNILARLASHLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVGSMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFASEEWKRRHAALVTIAQIAEGCARVMIKNLEQAHAASAILNFSENCRPDILTPYLDGIVGKLLSLLQTGNQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKSILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVSVTSAGQEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKSQSQGRDESYLKQLSDYIVPALVEAIHKEPDTQICASMLESLNESIQLSGTLLEEGQVRSIVDGIKEVITASALRRRERTDRAKAEDFDSEEEDLLREENEQEDEIFDQIGDCLGTLVKTFKTYFLPFFDELSVYLTPMLSTVAKELSEACTSENPDIRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDGIDASQVVPAWLSCLPIKNDLIEAKIVHEQLCTMLEKSDRELLGHNNQYLPKIVSIFAELEFITN >KN539239.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539239.1:27058:29636:-1 gene:KN539239.1_FG003 transcript:KN539239.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWVAHNNAIFDVCWIKDGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPELIVTGSRDGSFALWDLRIDPKTPNGHREACLMSSLVVKQAHSPTQRNRTRSRAKAASTSITSVLYLKDDISIATSGAADNIVKIWDTRNIKLSLSNRSSQAAVQPLEGVNHGISCLSQDSYGAYIAASCMDNSALHMDKGPIKAYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQLDQPERGPIILEGHEGEATSVDWCASEIGKIATSSDDSKVRVWNTERRVFPNTSSPTVIRKRITAPNTGSRSASHELATTSRDVRVAACTSADGELPTGSRSPLQPRVLEFGTPESAKKRAFRLFQEDSLDIGKSPEAQMNSPSSVLSPPPSLKRRTIRDYFASSSS >KN539239.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539239.1:67756:68043:-1 gene:KN539239.1_FG004 transcript:KN539239.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRRGAAALVVVICAVLLLSSAVERAAAQVPCSQCDQACKESCKGYGRDSSCSLPCGDPSNKAGCESCLDAYYPKCLNYCGQSCRVTCTSG >KN539239.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539239.1:86354:89388:-1 gene:KN539239.1_FG005 transcript:KN539239.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDSTMMIHETVKDDEILHLKQSWELAESRLDHHHTKTKLARSWQLAKSLLLRTNPQPVLPHARAAKKRMLFATIRGFYLQAMARLPADELRARYHRSMLKAGHCYGPLDPVSNIIVNTISWYDQAAFSQSKPCTLQMISTKCLMRIVARSFYGLLSFLCTRYPDCSPDQAMEWLQMASADLRISDPAIGYTSNKITRTDNISMSFCCNLPLQVGIGCLCFRAEASRRPVTPCAGVHEAYAAAAAAATQRRLRSKSFSHHLTTWLSLGLYICMRCSTVHKYRLHFICGVNEFVSGPEYGPVRSNSPWRIYKYNHSHINFLAICDDPQSANDPATLFFAECSNYSVHEESWCIPVVSPHRDTELVRCIYCESKGTRIVHPGEKSFHGRDTEFEKVMRGERLFPGLRRGSYSNIRLAERIDADWVDNLEEDCIYITACAADNDRRVNPLNYPPMYRERVLPCCEAASDRS >KN539239.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539239.1:18665:21142:-1 gene:KN539239.1_FG006 transcript:KN539239.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMVSSFWGPVTSTTELCEENYAHSSYIAEFYNTVSNVPCVLLALVGLVNALRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFLVRFQVVFKLHYVGLCLLCIPRMYKYYIQTKDMAAKRLAKLWVLTLSLATLCWLFDRMFCKKLSHWYVNPQGHAWWHILMGFNSYYANTFLMFCRAQQRGWEPKITHLFGFLPYVKIQKPQKRE >KN539239.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539239.1:81045:85983:1 gene:KN539239.1_FG007 transcript:KN539239.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIIDTITGSSKQSRLKGTVVLMRKSVLDLNDFGATVIDGLGEFLGKGVTCQLISSTAVDPNNGNRGKVGAEASLEQWLTSSLPSLTTGESRFGVTFDWDVDKLGVPGAIIVKNHHSNEFFLKTITLDNAYLPSQMPAALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDLQCLTDGGPDPDSESRLSLVEQIYVPRDERFGHLKMADFLGYSIKAIAEGIVPAIRTYVDTTPGEFDSFQDILDLYEGGLKLPDVPALEELRKRFPLQLVKDLLPAAGDYILKLPMPQIIKQDKEAWRTDEEFAREVLAGVNPMMITRLTEFPPKSSLDPSKFGDHTSTITAAHIESNLEGLAVQQALDSNRLYILDHHDRFMPFLIDVNGLEGNFIYATRTLFFLRGDGTLAPLAIELSEPLIQGDVTAAKSTVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKYALWMSSMVYKNWNFTEQGLPADLIKRGVAVEDATSPYKVRLLIKDYPYAADGLEIWHAIEQWVGEYLAIYYTDDGVLRGDAELQAWWAEVREVGHGDLKGAAWWPRMDAVSELRDACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYGELGRDPERAFIRTITSQLQTIIGVSLIEVLSKHSSDEVYLGQRDTPAWTSDTRALEAFRRFSDRLVEIEGKVVGMNGDAGLKNRNGPAEFPYTLLYPNTSDVTGAAAGITAKGIPNSISI >KN539239.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539239.1:33009:41969:1 gene:KN539239.1_FG008 transcript:KN539239.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKEDEDNKESSKTDESELRLAQLQHQLPSNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADDQPDVVDKSDTKEADEHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKWGDEGKGKLVDILAQRFDIVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNEKTMCVVGNGAVVHLPGFFKEIDGLESNGISCEGRILVSDRAHLLFDFHQTVDGLREVELGNSLIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFEGFEYSTKTLKEEVEKYEKFAERLGPYITDTVHFMNQSILQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEVKLGIAYCTEDGKEIESFPADLDLLEKIKVKYEVLPGWEDDISSVRNYSDLPETARLYVERIEELVGIPVHYIGVGPGRDALIYK >KN539239.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539239.1:14398:16353:1 gene:KN539239.1_FG009 transcript:KN539239.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATYGSLAVLRLLLSLPSVDVNRRCGSDGTTALHCAASGGSPSCVEAVKLLLAAGADADATDASGYRPADVISVPPKMFDAKIALQDLLGCPKTGHGVLRVVTRAANSMSSPVSSPTAEDARSPSAAVMMTTKFADLPRDIDSQLINDLCYSRIGSSTGNHTSRTKSLNPSNLDDLFSAEMVSSPRYSNADQGGMFSPSHKAAFLNQFQQQQQALLSPINTVFSPKSVDNQQLPSHSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALAQREKQQQTMRSLSSRDLGPSAARASGVVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPSVSNGEGSSLNAPLDGHDQAAVIGALLEQMQLDQHIGSLAT >KN539239.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539239.1:100712:106547:1 gene:KN539239.1_FG010 transcript:KN539239.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQGFFDRLTGRNKEAWKEGRIRGTAVLVKKDVLDLGDFHASLLDGVHNILGHKEGVAFRLTLGKLGKPAHLEELVVTMKSTAAGESVFRVAFEWDESQGIPGAVVVTNSNRSEFFLKTLTLDGVPGKGTVVFVANSWIYPADNYQYERVFFANDTYLPSKMPAPLIPYRQEELNILRGDGKIGPYKEHDRIYRYDYYNDLGQPDKGSKLVRPVLGGSQELPYPRRGRTGRAPTKTDPNTESRLPLLDLNIYVPRDERFGHLKMSDFLGYSLKAIVEGVLPIIRTYVDTTPKEFDSFQDIMELYEGGLKVANASALAEIKKRVPFELIKSLLPVAGDQVLKLPLPHVIKEDKFAWRTDEEFAREMLAGVNPVMIKRLTNFPAKSTLDPNVYGDHTSKITEAHIKHNMEGLTVQNALKGNRLFILDHHDHFMPFLDKINKLDGNFIYASRTILLLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPANTGVESQIWQLAKAYASVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINADGIFEKTVFPGKYALEMSSVVYKNWKFTEQALPVDLVKRGVAVPDPTSPYNVRLLIKDYPYAVDGLVIWWAIERWVGEYLAIYYPNDGVLRGDEELQAWWKEVREVGHGDLKDQDWWPRMDTVQELTRACTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRPMPEPGTEEYAKLERGGDEADLVFIHTITSQFQTILGISLIEILSKHSSDEVYLGQRDTPEWTSDAKALDAFKRFGSRLVDIENRIKDMNGNPALKNRNGPVKMPYMLLYPNTSDVTKEKGQGLTAMGIPNSISI >KN539239.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539239.1:43207:45708:-1 gene:KN539239.1_FG011 transcript:KN539239.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYMTYMARWPDYFHAAVSPGDRVMGYIMGKVEGQGESWHGHVTAVSVATEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >KN541470.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541470.1:1561:5983:-1 gene:KN541470.1_FG001 transcript:KN541470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKRARAADTAGSPWKSPRLEPPAASASASPAAAVEDDSEWFQAMLLEASGTGVERCEHFMSDQDHVDYIVSGLRFSEDVPVCGDYTCDVAAANDIMVCLECELRLCANHACIHAMHEEEHSIALYYERLNRVYCFKCEEAYDIGVKDDDGGMTDNIEVPREESPAKSALRMMMGESLRQRSHVSGLADGHAHAIKGILNLGNTCYLNSLVQCLLVLGKLRARMLGPDTPPGTLGTILYDLFDQTYGVNNTGGLLDTTWLLAYVRKSDPRFVGAFMQDNHELLCCLRKNLDEEDRGMNPPNMQDGAVTPTVIDSIFGGQLSSHISCKCCSFSSVSHVAFHDLSVPLPPIQSKSIASPPRTKGYKSQQKIHAELFPKVEKHNTEKIHMIAEDSDSQSPSELEDVVLVKTSEPLKVDSTKVEQIFHSKDAVCRPLQTQKDKFQGETVDFLPQNMLPDVKVEEMDLTKTDAHVPEDIGPPPLVSSLRKENAWIESGSDVGKNVSAVLDDVFSEPEVSSEAKTDTFSVEVRKSRSSDIFCDNAQGINSLASIDKYLEVHFEAEMVEWTCESCSKVAEKPGINLGKYNNPMMSSTNEDITVDGDQSELSEKITCRSEQSNGRPECHEGVQEAVPSCIPAEKQANLLSGQDQNTSILSEERGKQVKLHHGAHQVEENQNEQKDWNKGGIKKHFISKLPPVLVIHLMRSLLGPHKVIGHVRFKEILDMGLFMDPSSEDKDNLSYRLVGVVEHRGLGNDAGHFLAYVRASPRQQTSGSSWFRASDDSIREVSLEEVLKCEAYLLFYERMES >KN541470.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541470.1:20568:24271:-1 gene:KN541470.1_FG002 transcript:KN541470.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGENGFSIGTRKKDEKGVTTVDNEAGVRASMVSDYESALMVAFLNDVGTPRCRHDMYNKDEVDLVQRRIMFCDITRMCSDCGNINGLMIFVCLGCEKPFCTVHSSLHAESTKHLVGLVYHNPYVACCFLCSETFVLIGEGDKRMPVDKAAGGYASESVIGHAHAIKGIPNLGNTCYLNSLMQCLLVLGKLRARMLGPDAPSGTLGTALHDLFEQTYSVNNATGLLETSLLLDCVCNLDPQFVGGFMHDNHELLCCLRKNLDEEERMRTPPNMQDSSAGAVPPTVFSSIFGGQLFVTRSCKCCSFRSVSHAVFYDLSVPVPPKKPPAKSVESTPWIEGHRSQPKICINQFEAIHKRNTEKTHRIVEDAYSDPASELKDMVMVKTSEPLEVDYTEVEQISQSKDYVQDPQNVLADVKTAGMDATTTDTRIPEYIGPHSPVSQLREENAQIESCNDVGKDDNAILEVSSEHKIDTFSAEVTTEDKGKTCSSDVVCGKTQDIDSLPSIDECLELHFKAEMIEWTCENCSKGARKSDVTEGIQDAVPRCVPAERQDNLLSSQDQNATTLDEGRGKQKLHHSAHQVEECQNEQKDRNKGATQTRISKLPLVLTIHLMRSLLGPDKVMGHVSFKEILDMGLFVDPSSEDKDNSIYRLAGVIEHHGRGKDSGHFVAYVRPSPRQQTNGSSSWFCASDTDITEVSLEEVLKCEAYLLFYERMEG >KN541470.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541470.1:14112:17782:-1 gene:KN541470.1_FG003 transcript:KN541470.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKARAGKSPRLKRRSSGSDPWEEAAALTSDSSSIRRCRHVWCDQATIDLGIALIKASIDGPACDSFKCGTTEERGILVCLDCGSSLCALHARWHARMNRHWVALMHKRPNVAYCFACEDAYFISRVRFGGTADEDFFPISTHKKDEKGNKVDNEAGGHASAATGAGSGGGTMAVLTSNVSPQRCRHVYKKGDVARVIKRIMLSDIATACSDSMCDTTGKSLILVCLGCEKPFCSGHADVHAKSTKHWNYLIYQSPYIVCCFVCKGIVFLGGQDKEEMPVDNATAGDASGSVIEHAHAIRGIPNLGNTCYLNSLVQCLLALGRLRARMLRPEDTTGRLGTVLRYLFQDTDSVNNSGGLLNPSGLLRRVRMFVPESQVTSMHDSHEALCRLRTNLDEEERLMKYGALSVEAPTVIDSIFGGQLSVTKTCKCCSLSSVSHGIVFHDLSMPIPPKKPLAKRVEPLWITKGRRSQRKRNTEKTHTIAEDGDSQNVMVKTSEPLGVDSTKVEQISQSKDAVQGPLQIQKDKVQGKAVDFLPQNVLWDVKVEGMDATRADSHIPEYLAPAPTFSPLREDDARVALGIDVEKNGSAVRPEVSTEAKVTTSSAKVTAKDKGKTRSSNIICNKAQDINSLASIEECLELHFEAETVELTCENCSKFAQKLNKSVIQTRLSLLPLVLVIHLKRSLLQSDKVKGHVSFKEILDVGLFMDPSSVDKDNSIYRLAGVIEHHGHGKDSGHFVAYVRQSRPQQTNGSSSWFWASDTDIREVSLEEVLKCEAYLLFYERMEG >KN541470.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541470.1:7901:11865:-1 gene:KN541470.1_FG004 transcript:KN541470.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKRPRVGDTAESPRKSPRLVHRIPTTTDQAESGVGLKSLLGLIKNNPSKELDDPNAASRGVIDVEKKATRPGDTAATALDVNDWWEKVVALQWMASVGGDCRARRCRHVLYGEDDINLAIALIKTCDDTPMCNADNCDNTEGREISACLDCESRFCTTHGKWHASVNKHWVALVYKKPHVAYCFACEECYFIRTEHFGVVMDNEEDDYFISLREVDEKGMRIDNVAGDHASGSVTGHACPIKGIPNLGNTCYLNSLLQCLLVLGKLRTGILGLDAPLGLLGSSLRSLFDDADSVNNAGGLLDPEKLLACVRMLNPEFKGNGMHDSQEALCILRTSLDKEERAMKLSNMQAGAPSAVAPTVIDSIFGGQLSVTSSCKHCSVRSLSHDVFHDLSVPLPQESPAKSDELSPWTKGRRSPRKIHINLLSAIDKHKSDNEKTHKISERGDSQSPASELEDVFLVKRSKPLKVDSTKVKQISHSKGAGGPLQTRKDKVQGKVVDVLPRIPEDPASPSCVSPLSEEKNDSAVQPEVSTEAKMTASSAKVTTKDKGKTQISDVVYDKAHDINSLASIEKCLKLYLETEIEWTCENCSKVVKKPDIMSSTKEDTTAGDQSEQSEKSAYQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLKDLTKVIGHVSFKEILDVGQFMDPSSEDKDNSRYRLVGFVEHLGPSMYAGHYVAYVRPSPPQQTNGSSSWFRASDTDITEVSLEEVLKREAYLLFYERIEG >KN541470.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541470.1:26095:26769:1 gene:KN541470.1_FG005 transcript:KN541470.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKDLLRRLTIISIPFVFIAIPSIVIIVGMLSPHAAEPRDQSSPAAPGRNHSVSMLSTMTGGQMILSCRTAFSGNWEYFHYFILDPYKPQRAFFQPPPPPPNSAGGAAAVPYAILCKWGYMGNFLQDVVVFNSSAAYAPRCRVEEGGCHYLFEDGKMFLVTGRRATRAAPARRREKRLVGDVVLRECAHVLGVFPTVCRYKPHEHNYVGKIIGRWRWWFNY >AMDW01009310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009310.1:5:214:1 gene:AMDW01009310.1_FG001 transcript:AMDW01009310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGKPLFRKLNDGRVLRWDVQSDDALCTLQEALDGVDRRVGFNVELKFDDDVVYREEELTGVLQAILKAC >KN539499.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539499.1:5532:22005:1 gene:KN539499.1_FG001 transcript:KN539499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVEGARLLGLIRDHGRPPFPPPPGMLHGEPYPPPDRFGYGGGRGYPPPPNYDNPYAHGGSFSDYEHAGRFPPAHERLALGSSLVPGGPQEGYFNHDHRYNRFQRSESPVAPPLPPPARYPEARSHYDSHGWHPEVDVPPPPPPEPPVPSSSDYHAKPPLQAVKSSLFPIHSGSPAATVRPPSSHTLHQAHLMPNANRYNGPIHNEVPGLAYQPHLEQHLGGGRQTQAQHSVNNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEDNEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWASAKEALCSYILFFGILFSESFYLVGSHLKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVNKMAADWEEAPPLYLRLDIHSLFNDDNLREHSIQEVDMETEDTDGASNTATSTEAENTQKAVSESLDNGHDQDISEAGKKWDSSEEDDLDGYKELGQSKWSKDFDEDTEKSDHAEGSTHALSGLAQTYSTHRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSKQAKGVINTDTKKRFSEQLRDEVHGEPLFRPCALSMCTPFGHKQKRVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIISKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLFVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQEDPDERHDPDSDMVLDDHKPMGHSARSLIHNIGVKREIIETETKDQVFLSWSVSSADGDDTTAATATKVTQRRRQQHASSHLGRKDAAPIDFPKFSMRKDHLTLPPHGKRLTTEHKGPEPMAEDLGSSKQAPDVFDIAIDVVSALDYLHQHRPLPIIHCDLKPSNIFLDQMVAHVGDFGLARVLHQDHSDMLEKSSGWATMRGMDMLLQYGLGNEVSILGDVYSYGILLLEMFTGKRPTGTEFKEALSLHNYVKTALPDNVIDIADQHLLSENNDGEERNSDVKRTRDTRIACIISILQIGVSCSKESPADRMHIGDALKELQRTKDKFSVSLH >KN539499.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539499.1:35275:37246:-1 gene:KN539499.1_FG002 transcript:KN539499.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear ribonuclease Z [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/Swiss-Prot;Acc:Q8LGU7] MGHSKLFDRGISTSDADATSCTGIKLVAGAEEPFLMLMLFQYTPIIDNVETCVIFPTLSAAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYRQRPPTIFIPACLRDPVERLFELHRSMDQSELRHNLVPLEIGQEHELRRDLKVKAFKTYHAIPSQGYVIYSVKQKLKPEYLGLPGSEIKRLKLSGVEITNTLTVPEIAFTGDTMADFILDPDNADVLKAKILVVESTFVDDSVTIEHAREYGHTHLFEILNQCDKLENKAILLIHFSARYTAEVSYRFSPL >KN539499.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539499.1:32979:34429:1 gene:KN539499.1_FG003 transcript:KN539499.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAPLWITFAKSMVIRLTQELLVASDKTIDGRGAQVHIARGGAGITVQFARNVIITSLHVHDVKHSDGGAVRDSPTHIGPRTRADGDGISLFAATDVWVDHVSMSMCEDGLIDVVQGSTGVTISNSHFTNHNDVMLFGASDSYPQDKVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGGMSPTILSQGNRYIAPPNIAAKLITRHYAPEWEWKNWAWRSDGDLFMNGAYFQASNGAINRKVKGSDMVKPKPGSYVRRLTRFAGALSCRPGEPC >KN539499.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539499.1:25947:28036:-1 gene:KN539499.1_FG004 transcript:KN539499.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQLAFSRMPPGFQFQPTDEQLVVDYLQRRTAAQPCVTPDITDIDIYNVDPWQLPAMAMYGSDHDRYFFTMAAREAQARRTTPSGFWKPTGTKKTIFVVAGGHEVPTAVKRRFVFYLGHHQPSGNNNNNKTSWIMHEYRLMNPPRAAVPSSSSVNRLPYLTEEMVLCRISNKDLPKPPFIHNGLLQFSSVGLNGDGYNYLILDHLEPPAMEYPNVGIGNIVDDAAAGTDDPGDLDEEIDDSMQRNHGG >AMDW01040659.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040659.1:46:1753:-1 gene:AMDW01040659.1_FG001 transcript:AMDW01040659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LELLAKDVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMSAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLAVFAAAKSLGMMSTGYAWIATDWLSAVLDSSDHISTDRMELTQGVIMLRQHVSDSGIQHSLVSRWNNLTRNGGHSSFSSYSMRTYDSVWLVARAVEDFLSEGNAVSFSADPNLQDIKGSNLQLDSLRSLNNGERLLEKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNISGLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIEKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMT >KN539538.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539538.1:79195:82965:1 gene:KN539538.1_FG001 transcript:KN539538.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRYDSNPFEEDDVNPFSEQARGKAGGQPSYGGGAFYMPNPRNVPSVSSNSRLSPLPPEPAAFGATVDIPLDSSKDLKNREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLACCLFWNVIAVTSAWVKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLVYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISKNALVGIFYFVGFGLFCVESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >KN539538.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539538.1:2062:2514:1 gene:KN539538.1_FG002 transcript:KN539538.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VWDVLSNQEAVDIVSASPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASLDNISIEEGSVADPNEPQEQEPTLTRNFTVRTVAGSAQEKTLAGVDARIAGVANDQNWSGLDGVTRVNSLVQLPRFSEERAIG >KN539538.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539538.1:55903:60474:1 gene:KN539538.1_FG003 transcript:KN539538.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQHKQTKLEAKSRPFDTITVSDKVSSKVSKRSANRVGFLPHGIVEPYSDMELKPLWLTRSAQSKDSSQKDRCLLAIPAGIDQKRSVDAIMKKFLPENFTVMLFHYDGNVDGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFHPRRYLNVVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRWVEGMAPVFSRSAWRCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGPSVKRSHGKNSDPLHQKTAEAQQQMRVKAGLDMRTKVRRYSRSELRDFQKRWERATREDRAWVDPFARPRRKRKRTDRQ >KN539538.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539538.1:43647:53737:1 gene:KN539538.1_FG004 transcript:KN539538.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIASAAVFLLLLSAALPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCNEGWQGVECVVSNITSITLNAANLGGQLGNTLGNFTSLITFFLSGNQLSGSIPSTLSTLTLLTGLSLNNNHLAGEIPDAFSTLTGLANFFLPKSSTFLNRDFSSNNLTGPLPPSMGNLTALTSLKDGNPFNTSIAPSALPPAAPTPLPSVSPPAGHVPTKEPSNSSIAPAGNAPSRKNNVSAMKFVGYILVGVVSAVVLVLMVMFCLSKYKERKSRDDVYTKNQLGRSPQKLGEPKIKEVSDIKEPPVKLKNNAGKASNVISHTREEQKLNVSTAAASDAVYDSREERKPGSSMSAAPRVVTMEQKEHVIDMEKKDNFVDEQLHPPQSAVLRTEKVTVHPSVRTRKGRVPSAGKLDLTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKLLEVLKIDAVNSRIPADAFLELVVNISELTHPNILGFVGYCAEFDQRLLVYEHCSKMTLHDELHYVDDSNKGLSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSIVLLNSTLVVHISECGLAALSSRSVSQLSGRMRTLFHYEAPEVHESGLLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPSIRGQCSEKALSRFADIISSCIQHEPEFRPSMSEVVQDLTRMECEAKFSEIQARYSACDAWFEELRKRRVAELRNDLKKSENFIGSLQSMIKSLSNSKHDDGNSECHTSHTESCSNNENTADNNSSSKALSKDRSSAASFTEEASNSQKSEKVQHCDTDSIQVNNTSAETLVKPLVEKKVCAEDGLLWGSRKKRAVRDRRTFLMADNSCRAGENTSTSHIQTDGSSEGYAKKGLKTPNLESGVSVVEKAKPNLAEILKTISTQSDCYMLQRRLDIQRKRARYKKMIRRHIDFRILHSKIKSGTTSSTKELLRDILLFVNNVLAFYPKATLEHMAAIELRNIAFKTVQESSSMPSKSCGVTGAATAPLVKKNTRPVQPGSHGPHDAKRSKISSKGTGSTVKQGEAKGSRGGSSVTANVKTIQRSPPAKKRGVGRPPKNGQKRAAAQQDSPNKGRKRTRR >AMDW01038289.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038289.1:294:792:-1 gene:AMDW01038289.1_FG001 transcript:AMDW01038289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGAEHKQKATPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQEPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >KN539538.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539538.1:85223:88197:-1 gene:KN539538.1_FG005 transcript:KN539538.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLGISPEESMSALATRPGSYFFLASCTGIDTGRHEVHCTAADGDGLPANPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVRPREIELSDGSRVPYGLLVWSTGVGPSEFVRSLPLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEGTGRAVLPALAQVAEREGRYLARVMSRIAAQDGGGRAGRAVGSAELGEPFVYKHIGSMASVGRYKALVDLRENKDARGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >KN539538.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539538.1:22657:26690:-1 gene:KN539538.1_FG006 transcript:KN539538.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAMVIAGALPVSPGRPLVAAGARCCDGGIRGRVSCSSHRRSDDPSCAAEEGGVVELLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKEKGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQEDDYTPAMIGLLKGGNFFQQAPGLSFLLPQQYLEYPRLAGRGVVEFSVEKGDGLTFFPTAGGEPKSVATIQVVIDGYSAPLTAGNFAKLVLDGAYDGIKLKCASQAIIADNENGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPEAPAES >KN539538.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539538.1:65644:76100:1 gene:KN539538.1_FG007 transcript:KN539538.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brefeldin A-inhibited guanine nucleotide-exchange protein 5 [Source:Projected from Arabidopsis thaliana (AT3G43300) UniProtKB/Swiss-Prot;Acc:F4IXW2] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDTIKGASAAAAGQEEGGDAAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFREIAVVFYKNPVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSSKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTTSDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQIAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPIMP >KN542598.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542598.1:1475:2137:1 gene:KN542598.1_FG001 transcript:KN542598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAAGLLIYSYKSSKEADETAQVAGANDEQGKAGDEEAGGMQNPA >KN540737.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540737.1:4362:5632:-1 gene:KN540737.1_FG001 transcript:KN540737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFAATPGFVDSAAGFPCYNGGNLGAMINHPFPGTHQPLGDFQNGVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSLSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVVSGKSSGKQIKDNAGSPKEDYIHVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDVRYFSPGLYRF >KN542598.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542598.1:2431:10260:-1 gene:KN542598.1_FG002 transcript:KN542598.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLDGALTYAKSAIAEEVTLQLGVQRDQGFIRDELEMMQSFLLAADKEHGHHEVLKTWVKQVRDVAYDVEDCLQDYAVRLEKPSWWRLPCTMLRERHRIANEMKELRAKVEDVSQRNMRYNLLGGSAAASKSSPITAAELQSTTIDDIEARRAAKQQEKVDLVQLITKNGHGLGVIAVWGTSGAAGTASIVRVAYQKVKGEFKCHAWVRLMHPFNAKEFIGNLVRQFKANSCEGTGKALDRTPSGVSVLNEMEAPDYNLLHDFSGYVSNNKYLIVLNGVSTIEEWDWIKTYLPNNDNGSRILVCTQQAEVASCCTEDGYKVSEMLQDGSFIKPLYVFYNEVKDSLPEQQNKAESSSNTTASVPSRTLQSVLEETEFIKLQKEPKSEIIELISKGGKVISVWGIGDGVEESTALVRSVYDSLSNRFQRHAWFSMKCQFSHEKFIKTLVAQLHKGYPEDTEGTTDHKLQDDAQDSKTKMGTTESTRRLLANIFSKHNCLIVLDGISSSTEWDFIVKNLPSDSNPANRIVVTTREFSVAIHCSGEKQYTYKLETSNDKDAFSLLKMRVNSRLISSDSTEVKSENKSSMDTADNTTTVHFDKMVQEDDHEGLPDNIVSPLKNFNTVAMKELTRSKTVLVVEEAQLIGRGKEKEEIIKLLSNRSHYRQVISVWGMGGIGKTTLVRSIYQSSELEKLKFERRAWVTVLRPFQPTELLRSLAQRLVEDSPGKKGESTLGGVTRNDLSIMAPKDLSEKLIQDLTGKKYLIVLDDLSSPVEWDLIIRNLPRNNNGSRIIVTTRPKGIARHCSNKEKNMHNIEGLTDEDALELFFNKVCKESDDSELKPDMIYILKEKPEMMEEAKIIIKKCGRLPLAIAAVGGFLSTRPLNITEWRKFSDHISAELDNNPSLEMINKILISSYEGLSYHLKSCFLYLSIFPEDYNIRHGRLLRRWIAEGYSRATRNKNAEKEAEEQFMILLNKSMIQQSRTITSNSGKTGFCQLHDLMREISVSKSEEENLVLVLDDHSTSRSKDKVRHLVVSQTWSRKKKNDIQNIVDVSHIRSLTVFGEWKSFFISKKMRMLRVLDLEDARGLQDHDLVPVGKLRHLKYLSLRGSEYIENLPHSFGNLLSLETLDIRGTWVTILPATFIKLQKLQFLHAGAAPADEIDMRNFNLIPVIKSALHKICLRKKDTMDVFESHILRITNAWLRNLDLCGVATPSGIGKLRSLHTLGVVNIATGNSILKDLDKLSQLRKLGVTGINKNNCKDLCSAIVNHGRLQALLLRAEGQLGLEGCLDGLSPPPEALESLKLYGNLVILPEWVNQLENLRKLSLRSTNLEVNATIHVLGKLPMLTILRLQDKTCKEKELSFRPGSFTSLTMLELVSWEHLSSVIFEERATPKLEVLRVDHCWHIDDVGISGIDTLACLQEVSLEGYYYSEFKEYLQEQLGMNKNKPNLKIL >KN540737.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540737.1:41409:43468:1 gene:KN540737.1_FG002 transcript:KN540737.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 11 [Source:Projected from Arabidopsis thaliana (AT1G68850) UniProtKB/Swiss-Prot;Acc:Q96519] MATGVLCSREFALCLACVLLAVPLLVAQDPSSLSLEHYSKTCPNYEHVVRTEMECAVRADSRNAALMLRLHFHDCFVQGCDGSVLLDDTATLIGEKKAEQNVNSLKGFELVDKIKQKLEAECPGTVSCADLLAIAARDAVELVGGPYWDVPVGRLDSKKASLDLANRDIPTAQQGLVTLIAKFWEKGLDATDMVALVGSHTIGFARCANFRDRIYGDYEMTTKYSPISQPYLSKLKDICPLDGGDDNISAMDSHTAAAFDNAYFGTLVNGEGLLNSDQEMWSSVLGYSTADTVSKYWADAAAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >KN540737.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540737.1:34115:36851:-1 gene:KN540737.1_FG003 transcript:KN540737.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFGTFLMYLHWLPHTGAKATTTTSKATSFMNYNFGGNVFDQEVHSANPLARRHQRVPVAPLPAVAIPATSVLAEAVVATATVLGDKDEEITMLSEQQHSGYGVVGADQAASMTAGVSAYTDSISNSISFSSMEVGIVPDSTVIDMPNSSILTPAGAINLFSGPSLQMSLHFSSMDREARVLRYREKKKARKFEKTIRYATRKAYAEARPRIKGRFAKRSDVEIEVDQMFSTAALSDGSYGTVPWF >AMDW01037438.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037438.1:12:707:-1 gene:AMDW01037438.1_FG001 transcript:AMDW01037438.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RPYILGYDLEETVKPNVEALLSFGIRKEMLPLVIAQYPSILGLPLKTKLAAQQYFFNLKLQIDPDGFARAIEKLPQLVSLHQNIILKPVEFLRGRGISNEDVARMVVRCPQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVATKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEVLYRRTVML >KN540737.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540737.1:9058:18123:-1 gene:KN540737.1_FG004 transcript:KN540737.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase CLF [Source:Projected from Arabidopsis thaliana (AT2G23380) UniProtKB/Swiss-Prot;Acc:P93831] METGQLPMVARRCIRHQQSTSRLLSVMWPALRPMSLVRPTPFTAFSVSTCPVAMACCAASTTAWNPNEPSLLDLALVLSPQPMFCEEGSSESGYVLCVIDSLKKKITSDRFVYIQKRVEENSIKLSPITLHSHNLSKNRQTSTSNSTDLVSNLLTKRKEDAVCAVNSRESSPDESEGANCQDECSSTVIVGGNLSARNSVRPIRLPEVATLPPYTTWIFLDRNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVEDEEEKKEFKDSEDCIIRMTIQECGMSDAVLETLARDIERAPDDIKARYEILQGEKPEGSSKKVSELNVKMEDVYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQAPLCSSDEGTPCGIHCYKLVSKPDAIMEIDSHLLVDVEEPTSDNAKDQIGSNKKKLGSSGQKTKSQQSESSSTARFSSESSESEVQLLSNKSPQHSPGLSKNKLGAKGGIKKSTNRRIAERILMSVKKGQQEMSPDSNSIVNGCLWPRDMKLRSDTRSGIKDSVASSQCNSPSTRSFRKKGTLQMENNSSFVDAQSDSMEDTNNEHSATDGCDSSRKEECVDESICRQEAHGRSWKVIEQGLLLKGLEIFGKNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYMKGNELRTRSRFVRRRGRVRRLKYTWKTAGYHFIRKRITERKDQPCRQYTPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEGPGAKDDAQPSTGRAKKLAH >AMDW01036794.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036794.1:408:637:1 gene:AMDW01036794.1_FG001 transcript:AMDW01036794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRKRSAPEQDEKRLRLGSIYDYRKLTVLGEGRDGVVFKAEHLRTGDMVAIKW >KN540864.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540864.1:25615:29077:1 gene:KN540864.1_FG001 transcript:KN540864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWEELAWRVPETLMLVSSEMEAVVRLIDVAHGKLQVLGTLFRRIHLGMPAAIAMDLFGDPATVGVSPTEILEEARREISQSDARHGKTMHVFARYVVAHLRVQQDDPGTHYQDAIRFTDKGAGEATDLMRACAVSRWWCRLGARVPLLRFLCIDRAFDRQETLDRFVAFINNVLTRRAAGQSDAGVEELTISLKSGMSSVDVAEVDAWIRYGMQHVSNTFTLELNIPLRSGNNSNHRYLDDDDNNNGMILAELPSSPRLKSVMLSLSNARLRLPTAAAFDSLVDLSLENVRLEDNSIHLLNRLLSPACCPRLQRLRFNKLTVGRQVAELHLESDELLELSLNCISRCRTLSLQIKTPRLRVFHMRYTSLIGKLTISAPRLEEFILPYTGRVSVINVEDMPCVRILEIDLWLLGGPQYGGYINKDRIRLLQCCRFLQFLTIRLKDNGNAEVELMKDVPELPHVTSLSLRVIEMNEMYDIASVLCVIGRCKFLKHLELDIKMAHCEGPTEVSNQNQKDYHIISLEHLQEIKITCSYMRNHEVGLIKFLHTSAPALKKMRIAFISGFMCSQSLDIFFMRSRSLEILGKKCEEFLRSIALGKKGKWVFCNHSFRMQNYPVLEWTQ >KN541494.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541494.1:17401:21291:1 gene:KN541494.1_FG001 transcript:KN541494.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWQYYTSMYIGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPEKPNVVPPRDSYCQELQGNQNYGDTSKQCDYEITYADRSSSMGILARDNMQLITADGERENLDFVFGCGYDQQGNLLSSPANSDGILGLSNAAISLPTQLASQGIISNVFGHCIAADPSNGGYMFLGDDYVPRWGMTWMPIRNGPENLYSTEVQKVNYGDQQLNVRRKAGKLTQVIFDSGSSYTYLPHDDYTNLIASLKSLSPSLLQDESDRTLPFCMKPNFPVRSMDDVKHLFKPLSLVFKKRLFILPRTFVIPPEDYLIISDKNNICLGVLDGTEIGHDSAIVIGDVSLRGKLVVYNNDEKQIGWVQSDCAKPQKQSGFPFLFKRVLQNQLL >KN538958.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538958.1:38903:39325:-1 gene:KN538958.1_FG001 transcript:KN538958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPFHFPAATCEPIQELLPVVAGNRPAGSGSTDDAYQMAVEEERRRRRMISNRESARRSRMRKQRQLSELRGQVVHLRDANRRLLDELNQAMRGCSDVHCENARLRKERAELQTKLEHLMQAQKNNTSPSSSQPCENI >KN540864.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540864.1:17279:18740:-1 gene:KN540864.1_FG002 transcript:KN540864.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDWKKIEEHVGTKTTIQIRSHAQKYFLKVQKMGLAAGLPPQYPRRRLVMQQQQQSSPANLEWTSTSGTAAWGNHHGLIGPAAAFDSFPGESSFMGAESFSNMSMDWTGTTSEMATASIVQDEMIELPLSPDDLQFAQVYRFIGDIFDPDSPCPVETHLQKLKSMDDIIVKTILLVLRNLEDNLLSPQFEPIRRLLSTYDPNRGLSGHL >KN540864.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540864.1:31515:33407:1 gene:KN540864.1_FG003 transcript:KN540864.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWEELAWRLPVTLMRVSSGMEDVKLIEVALGKFQKRAGMMGRILDGTPAAIAEQEFDDPAPVGECPKVSLEKAHREISHSAASHAMARGVFFLCAVHLRTQDEPPFLHWDARHQVAIGHFERAMRSITDAMGHYAAAKDVVVVNEAFLPQEDVWRRWASAAKLLIDRAASLTTQALDEVRQAHHVVALEYSEAWSILHQWGARSAAMDEWEELARRLPVALMRVSSGMEDVKLIEVALAKFQKRAAMMGRILDGTPAAIAEQELDDPAPIGERPTVSLEKAYREISHSAARHAMARGVFFLCAVHLRTQDEPPFLHWDARHQVAIGHFERAMRSITDAMGHYAAAKDVVVVNEAFLPQEDVWRRWASAGKLLIDRAASLTTQALDEVRQAHHVVALEYSEAWSILHQWGARSAAMDEWEELARRLPVALMRVSSGMEDVKLIEVALAKFQKRAAMMGRILDGTPAAIAEQELDDPAPIGERPTVSLEKAYREISHSAARHAMARGVFFLCAVHHRTQDEPPFLHWDARHQVAIGHFERAMRSITDAMGHYAAAKDVVVVNEAFLPQEDVWRRWASAAKLLIDRAASLTTLALDEARQVHHVVALELSEASSILRQWRARLVQIVSDSM >KN540864.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540864.1:36692:37174:1 gene:KN540864.1_FG004 transcript:KN540864.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRKIQVAHRKIQGRAGLMRNIRFGMPAAIAMSLFDDPPPVGVCPTVTLEEARCEISRGAARHAMADHVFVRYVELLGIQHEPPCTSRDTHHRDAIRFTAMALEKVREAASLAEAAKDAVDIAETLLPQPELKTEWALAAQDLAERAGYEATQALEFV >KN540864.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540864.1:14827:15530:1 gene:KN540864.1_FG005 transcript:KN540864.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDMHGGGQNGGVKGLVSKLVGGGGHGGGHGGGHGYEQGYGGHGYPPHAGAAHGAYPPQHGAYPPQHGAYPGHGYVPGAYPSNAAPHGGHMGSYHTGHGGGGGGRHYGGKHKGGMFGGGKWK >KN538958.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538958.1:94072:96018:-1 gene:KN538958.1_FG002 transcript:KN538958.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVLDRSFSSEYSASSRTEGRSCSWKRVFVQTENGYVLGIELERGENAHTVKKKLQVALKVPTEESSLTFGDLVLNNDLSSIRNDSPLLLRKNQMHRSSSTPCLSPTAHDVQEQDHSEPIEILGCLSPSSRMKQLAKDVVEAIRNGVDPVPVNSGMGGAYYFKNIYGERVAIVKPTDEEPFAPNNPKGFVGKTLGLPGLKRSVPVGETGLREVAAYLLDHDNFANVPPTMLVKITHSVFNVNDTVGCKSKVFHNKLQAVSKLASLQQFIAHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGPDNFGVQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFTEEELEYIANLDPVKDAEMLRLELPFIRGACLRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVKKRELFLPEAGVEDDNDGFTQFSIDSEDGSDASELPSFSKFGLMNASHRNPLSKLDECDEEDGEEEEDDDGDEEDDDEDMFKDDAGNLKNPFSKHTPSVSKLSASFKGLGFIGKARAYHKGVPKNKVTAKTNYSGKGSEHQSGSRSANELLPPSASFVKLSDMGPDEWSAFLDKFQELLPSAFRARKHSAAGGPRPLQRLGTSCQF >KN538958.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538958.1:19235:19696:1 gene:KN538958.1_FG003 transcript:KN538958.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLIEDDGEECNSNESGWTMYLASPTHSDDVRAIVSEGSNVEDGSGFSDERRRGKENKGRANDDGDYDSLASDASTGPAEVKMQEGKEEKDHQMNGGNRHQHAKDEQDDIPTKLSTSYSKKVGKIKKGDEKTSRRGQNKRRSSSRTSFFW >KN540864.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540864.1:35643:36212:-1 gene:KN540864.1_FG006 transcript:KN540864.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAWRERFRQRVAEVDDLFIEAFELLVDNARIHLEAQMLVGDAAAAARARIQLAQGALGDASGKLASAMSLMVGAKLLALRGGSHDPLMPYHDIGHLGDEYAAEKNACAKLRGAEREAEEACARIRMCSGHLETISLLLDHENLPGVNDLIEKERLDAAVDDLLAAIGKVESGKKMANDARLDVGAN >KN538958.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538958.1:51363:60002:1 gene:KN538958.1_FG004 transcript:KN538958.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQARDTVSWNTIISWCVENGEYEEAIAVFREMLASMECLPDRVTMVSVISAITYLGALAQGLWAHAYVCRKGIEVEERLSSALINMYSKCGCIESAVHVFENLGAQMNVDTWNSMLAGFTANGCSEKALELFTRMEITGLVPNKITFNTVLNACSHGGFVEEGTTNAYTAILVIQIWNPLLLLAPDGPLPTARLLEKASSRVDKQMPVRVGSVRDANMLCSVGYYYALGIRSLEPRLGDSMDMNESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAAASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGAAEGLMDHHRNVGNDKAEEEFAGNGHDEVPSSEVAGGDCSSKGSDSKKRRRPNEVMGADQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIHGGTAGMANPDVFRRIIQAQLGAKDGSQQMPHSLNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >KN540864.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540864.1:8829:11148:-1 gene:KN540864.1_FG007 transcript:KN540864.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLKRGRSYSRSPSPRRGRSRGRSYSRSRSRSYSCSQSPRRDSRNERRSRSPRDSRSPRGSPRDSRSPRGSPRDSRSPRGSPRDNQSPRGSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDMSPAANGRSPSPRDYEDNCNHRASPRGSASP >KN540864.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540864.1:22919:23491:-1 gene:KN540864.1_FG008 transcript:KN540864.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRSRFRERVVEAAGRWERVREGLATALAHVTSPMLAGDEEEAAAARTRIQLAMGELVDASRNLASAMSLMKVAELLALHGGSVNPSTRLGEISLLGDQYLAERNAGIKLLEAGKDARKAYISVDGCRGNLDAILLLLDHPRVPCVDDFIEKELFVAGDNLQGAIGNAKLGTERAVGARQDVSGAN >KN541494.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541494.1:22139:24292:-1 gene:KN541494.1_FG002 transcript:KN541494.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELKPLRAGRARARAASLGATFSKGFNRGLGTLTAGGLALAVAELSKHLGKLEEVILIISIFIVAFFTTLTKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFILIAIGAAVSLGINVGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGAYKMMKYPWRNFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFSAEIHRVGIEGAKVLRELGDKVKTMTKLSSSDILAEVHLAAEQLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTGIMGKENKIEVKEPTIVEQTTAHHSKSFAVNSFLSRHDSSSTVDFKLLSWPARRSFHPNLPLEDEETKTYESASALSLATFASLLIEFVARLQNVVNAFQELSDKANFKEPVQEPVAVSTSDGGFLHKICKFVGIKS >KN538958.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538958.1:73335:78902:-1 gene:KN538958.1_FG005 transcript:KN538958.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRCDAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITRSHCFASYSDTGIGMTKDELKDCLGTIAQSGTSKFLKALKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEATEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKERKFVDISKEDLELGDEDEDKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEDDDECNFVSRYDGQQPHRDLNTIEIQRHTID >KN540864.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540864.1:37899:38750:1 gene:KN540864.1_FG009 transcript:KN540864.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCAYNPRKRERERSLGEEERWMKLARTVPAILMRIGTSRKAIKSTMKGHEGHEGRQERRWQSVLRPDAPRFRAPRRRPRQDRAAHRDARGYAFCQIAELAIIDLRVSVCR >KN540864.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540864.1:24644:25225:-1 gene:KN540864.1_FG010 transcript:KN540864.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRARFRERVVEAAGRWERVREGLATALAHVTSPMLAGDEEAAAAARTRIQLAMGQLEDASREIRDLASAMSLMKAADLLALHGDSVNPSTLLGGIGHLGAQYLAERIALTKLREAWEDAREAYTNVEWCRSHLDAILLMLDHPHLPSVDGLIEEERAAADGYLQDAIGRAELGNERAVDARQDVSGAN >KN538958.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538958.1:8599:13193:-1 gene:KN538958.1_FG006 transcript:KN538958.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMNPNWVAVDLARLAELVDPYEEEMRRRTAGLGAESELLGFMNGLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPSGHQFATCKEVSTYLMSLLGYVEAKPTAIQSSNAEVLELNAVNSVGHCQPNSTEEKQSAPPVTSVPFSSHHGDPQRQLDKNETQVEANGKECQKCNLTFQDQSAYVQHQLSFHQRKAKRRKENGSAGEPVTGNHEDPIDNFSDHKIHDGACHNAEEPHAVEAASEFNIGNSANLQQTDSTKDLVLSNADCTQNDNITKDLVPNPTIPQGESKCIDDPMECTDMKPSKKVSEPCDLLDDKFSSFPEGANFNGQEENSPLSVALNEPDLNSIDMEVDNDNVECKYGNAGDSTSPENGKHIEDQIIDCRMTALKDHEINTDVRIRDVNLNSCLDAMSPPVSGANYETSNAIDDNNRSSIIAQCFGANSADDNACKEENFVNNQSSVSKAESFNQNNDMMYQPNLTMDPISPAQINVDCFTSCSMTSEIKNNSNRREDNAKEQLVNPRNITSNDAGFDVEAYSNIFNGAITESSLAQLNNAINMKADYSSCYSLSDLNTLTGGPATDEIDIHSMRNSFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNGSTRPCGSLPTAGTSGSIDDFMSLQTNFGSLTSLVRSVEDGPMSRIIQDQCDLQLGFGVQKPQMYPTFEEQLRMASAGAPQFGTMNRHNHVPVPEPTLMLGYAPHIGSCPPVQLGWDMSMSKMVGGCVLQSSMCVWCNTQFQHFGTVADQQADSLGFICPACKEKISGHLSMLNNSSSQL >AMDW01028060.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028060.1:51:239:1 gene:AMDW01028060.1_FG001 transcript:AMDW01028060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLE >KN538958.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538958.1:118006:124672:1 gene:KN538958.1_FG007 transcript:KN538958.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCPVIFFSFAVDPWLRRLIRQKPRRSRVARNAGNKATTREHDFLSLYTTAAKDPSLQLHDAKPPPPSQGHHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRVGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKAAIADMLKSVRGRAAHAHALRVTAHAGDIRFLVNTLIAMYFACGDVQRARLVFEGMCDRDVISWTSLISGLVQNGSPLQGIQQFATMMHCDVRPDFVVLVTIAKAFMELDNLPGAESAHSLVVKGGFHDEQDVMITLTAMYASFGCLVAARALFDMVPPQQVNVILWNAMISGYSKNGFASEAVHLYKHMQLVARNLAPDSITLRSVIFACAQLGSTELAAWMEDCVCRSEYREDVLVNTALIDMYAKSGSISHARAVFERMHVDDRDVVVWSALITGYGVQGLVNEACTLFQDMKLAGVRPNDVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYQFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFELEQSNAGHYVQLANMYASAGMWSQVAGMRVTMRERGVTKATGFSFIEVDGEMHSFHAWDHSHPRATEIFAMLCLLSPTPAGVGGVDSEGGWEGWELQWKWFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSNIYFRSFWKNSQSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLTMLGGGTEELPHPTKRHKSGNS >KN538958.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538958.1:145373:150033:1 gene:KN538958.1_FG008 transcript:KN538958.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEMSKQWTRSEARAREVLWAENGISVGKSIGVFDRIQGRRGDMEESLDLETTDLGKEELGGVEKGGRLSAVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLSGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLTEKESQIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRTPYSRERADDSSLECEPVQLDDMVGDIDMEKMEQEMSAYATALAAAKDNPTDEFLKAVTEARLRLQAFVL >KN538958.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538958.1:153351:155441:-1 gene:KN538958.1_FG009 transcript:KN538958.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGELHWWTTDADLEAELSKYGQVKEVRFFDEKASGKSKGYCQVDFYDPGAAASCKEGMNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGVQKGGRGGGAAGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGNWGRGGGGMGGRGQAGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGASDRRREREKDLPPPPDWPERRHRDERDAGRERERERDRDRERERDRDRERERERDRDRERERYRDDRDRHGDYHRHGKRESDRNEDWDRGRSSGKRSRSREVDHSKRRRMSPE >KN538958.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538958.1:69847:72673:1 gene:KN538958.1_FG010 transcript:KN538958.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLYYNKKDGRGLGSMVTVESDIQVNAMINEYQSVKVVNMYVMKRNHDNIEDSMAEINKATCSEGLSKGKSIAEIDEHGLINEEYNNVPDYDSEEDELYAQEMIEEMKRQTEDPTEHCEGDTDVEDIFVQPKSMESSKTDAVTLQTLPVSTNRNCEIATRNEECEIPIGDEDDLCSEDDDGAEVPIVVRKRKRPRRMLAPRNWFDETKIATETYLRNQARWENQHLLQIEEEEEEEEAGQQEEEEEEEEAGQEEEEEFGWESNGSYKNASI >KN538958.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538958.1:22846:30945:-1 gene:KN538958.1_FG011 transcript:KN538958.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVEFTPERPQLVQTVLGFTPFRCTVMFSLWKAITVVSNLVTQFYACKLYMNEYDEFKWMTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKLAVSQRNLSAVHDIWKDCTRNYNPSIILQRKFVRALTTLGDLRSAYRIMQHMVVLAGRNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLGLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIEAMDRRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQLKYIHAFNALLAGCDMMSEPERAVRVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMIEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRHGFYPTILTFTSLVKVVLAREDFEGALDLLDRCITEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTLWYAFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEDPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >KN538958.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538958.1:3758:4378:1 gene:KN538958.1_FG012 transcript:KN538958.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITSVVIAAVVALAMVSLVAADSGTATFYTPPYTPSACYGFEDQGTMIAAASDVFWNGGAACGQQYVVTCTGPTNQGVPQPCTGQSVTVKIVDHCPSGCAGTIDLSQEAFAIIANPDAGKVFIDYQQV >KN538958.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538958.1:87402:87891:1 gene:KN538958.1_FG013 transcript:KN538958.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLLLLLLSLSALSASASEANEERLLRENAMPITGRKWLRGRKAMAAAGRLGHGGVVVVEGKGGGEEKNKKNTGANTAHVHGNGSERAVDVAVVGKSGGKHGFAGLVPFSSDYRTPRTHPPRNN >KN538958.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538958.1:127998:140973:-1 gene:KN538958.1_FG014 transcript:KN538958.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAISWLPIVRIYEFHHVNVKWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKEEQVLLDWNEIAEKFGYVHIGSCRISPDHRFLAYTLDISGDEFFSLEVKDIQSTNTIFSSPHKGIVYVMESGHIRGGLWPVQKRSDKVQYFLEHHNGFFYILTNAPLEGTETANGGYYLARCRAEKSEMDKWQVVALPGSDYTFQDMDIFHEQLVLFIRKSGLPLICSINLPIDVDFQEQKELDDLDPWFFPVPSDLCSIVPGSNNDFMSSTYRLVVSSPVLPDLTVDYNMRMRTFAILHQEEWSYKVQNFEWGGGDSSWHLAGTKANKINSIKDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTILDYEEFGDPNISTEFDTIHSYSPYDNLSPDICYPPVLVTASFNDTRVGVWEAAKWVSKVQATVLLGEDVVLAGIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDYFISSLRDEVRILKELPPRLKRRVELGYVRSMPPVSWSDISYYQNQILPLIRKYKILHLNKTDARLANNGLPMEIQKLRCRVNFAALRFTPEIEDLGRRVVQILRRNGPFLVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVIDSNAKRNDGLCPLTPEETAMVLKALDIDSSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDLRFFQNHSSQMAALDYIVSLESDIFIPTYDDNGIDFLSCSYEHRHLGFKKTVLLDRKLIVELVDQYKNGTMSWNHFSSAVKASHSSRMGAPSRRQMIPDKPKEEDYFYANPHECLHQPEELSVL >KN538958.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538958.1:33990:36947:1 gene:KN538958.1_FG015 transcript:KN538958.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRETEIQEHEQKINKYQAILAARLKAKYFSNKDFDGGNVFEEITVEGETIQSSRWPCTRSFADPVNFFRDKNSHERSDSPSLTADSAAKNNSPRTDSSPKNSASALATENNLTPGKRQPSKKT >KN539782.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539782.1:82359:82641:1 gene:KN539782.1_FG001 transcript:KN539782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCDFLPGKKAGVEVSDPVVACIADLAYKTVGLLGCLL >KN539782.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539782.1:32665:34876:-1 gene:KN539782.1_FG002 transcript:KN539782.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLSLADAQFQVILISMGYPLTKSVSSSEVDAGVQNGNPSSSSAFRAFELCTICSCPIAWIPSKLMVSVYDNQSELNLFVAPCTLSELSFKQWNSSGISGCSGVLVKATPQAPPDDKNLWLNLFNPKLVRKPQCTELNLPDPALDNDNNAPGELSLSSGESLIYA >KN539782.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539782.1:61186:66397:-1 gene:KN539782.1_FG003 transcript:KN539782.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTMPTRTLTLGGFQGLRQTNFLDSRSVIKRDFGSIVASQISRPRGLGSRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLAPALSV >KN539782.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539782.1:56566:58465:1 gene:KN539782.1_FG004 transcript:KN539782.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLLHSRDPCAGYDGDRPGRTQKILRMPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >KN539782.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539782.1:48688:51829:1 gene:KN539782.1_FG005 transcript:KN539782.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAIAAAVCAALLLVAGGNGGGWIVALPGQPNVSFAQYSGYVTVDAARRRELFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYHSFTTVCNYSRYVSEYYHGSLSSACDTVMTQVARETSRFVDKYDVTLDVCVSSVLMQSKSLAPQRGSRELDVCVEDETMGYLNRKDVQEAMHARLDGGVPKWTVCSSVLEYKQLDLQIPTINIVGGLVKSGVPVLVYSGDQDSVIPLTGSRTVVQRLAGRLRLGTTAAGYRVWFEGRQVGGWTQSFGGGALSFATVRGASHEAPFSQPERSLVLFAAFLAGRPLPDSFQ >KN539782.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539782.1:69096:70329:1 gene:KN539782.1_FG006 transcript:KN539782.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGILDENVLFAGYSLLLWSVISNKIFILQEEEDKYDREAFGREDAADRVYMNEIMDDGINMSINTVVPDILDDSVEEIHRFSRDPRADMGAASARLKEYDSSAKGGTCSLAQPNEFQSGGIQAMKTDDANVKPILKRKEEQGDSKPRKRVKFAADVKDQLAELPEQDEDSPMVPQSMDLVIGKDSSTPSESPGVPDYVKNPAKYTRYTLDTPECNDETNRRAFADLHDILRRMEPEPEAPPVEIPTSVTFIPRKKSVDAMTVDEGPKSNDASSSLIGLAAGASDETEQCEMDEDDPKALLPPQVQTNTKMNSRRYRSSRLDDE >AMDW01032067.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032067.1:51:326:1 gene:AMDW01032067.1_FG001 transcript:AMDW01032067.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >AMDW01032598.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032598.1:257:445:1 gene:AMDW01032598.1_FG001 transcript:AMDW01032598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQK >KN544635.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544635.1:1:1485:1 gene:KN544635.1_FG001 transcript:KN544635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVLGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPSAEPDTVYNLAPSEHKRRRLQSKEEESPWAGKKEAPPAELTEEQRQYAEAHAAKKAEKEARGEGKGEKSDMVAKSTFHGKEERDYQGRSWITPPKDAKASNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHVLLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >AMDW01027204.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027204.1:18:108:-1 gene:AMDW01027204.1_FG001 transcript:AMDW01027204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEAAEKTKPLIAALKKDGTSTVGVGGYCWG >AMDW01061073.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061073.1:103:497:-1 gene:AMDW01061073.1_FG001 transcript:AMDW01061073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGRTIVSSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRA >KN541447.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541447.1:17347:18302:-1 gene:KN541447.1_FG001 transcript:KN541447.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLGDSPLRPALAPAFVWIWGCGPHQGPAFKGISASTMSSLGTGVAFPPPIRCPGQVQLHGSYGGYAISKSIDY >AMDW01141550.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141550.1:1170:2331:-1 gene:AMDW01141550.1_FG001 transcript:AMDW01141550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLLIMEEEGFYTNLMNEGNDSLDWDSLSSMPVEDDMSNQLDENGMSSEPVTQQFPTAERTTIAQAIAVFKELEGKPFQFLHCWSLLRSQSKWHDKMKQITSQKPCATNRQKPSTDGSAKATPTNDETTNHVGEDNEPTETEEPKRPMGKKRAKEQLRRGETCTDAFDHLWEKKKEADAEKKKERDERHQKSYELDKQRLELDKKKVANETDEIQLKRMLEEERIMTMDISSKPLSQQQFYKSVQDEIIARRMNSSG >KN538712.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538712.1:343129:347520:1 gene:KN538712.1_FG091 transcript:KN538712.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSASRYAAYWAADALAGDDALDFSLTKALVGVSPDSLTGAPEAVRERVALRCLQEVVSLASDGDCEATPTAGGVLSVDASRSCEDSLLQLIREIGSSGSLEKVMLLPFSQDVQKFICIKRPTLPETSFELLREVYPEITPVVLPSPVEQNGNDQHDNVSHDLENTEKTGFNTDGAQLQQDDLANLVNESNTGNLQKDAMATPDFHQPCTSENRCFDQPQEDSINAVGVNIRSPKDSPTNVDRHIQVAAEPSLATSADLLGSNTGTISEQDMIDDTTMVQSQSQGVKNPNTLHYNNGDEPLVASIQSPKDSIHEGLTIQATVSPAFDRSNDALPASTSEISQLPEFITARDTVMNSEPRINKSHPNSPKHDTVDKANQDVDYGSVGIQKAAAFLSEDRNGATQGEKSEIKDPPENTAEHTKMFEQENSVEAQLEVGCSDKVNQDIQDDGNIMTKNMVCDGLNVQTAPEPHSCRMALHNKNLVANHLSEQNIGRNTTAIEKDCCSIPTSPQVVNDTRVKQPSNKRTMGNTVVETSHVHSSDDSLSGFAATGLLSMADKIPFCTQDQDANGPVEGLSEQDLCIKCGKDGQLLKCSSCLLAAHDTCFGSLATLDDSGQLYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVGQRAANNEDHLNGCNDASKRKDNHQSEGNNLSHRDEDPTRKRKKQKTNATSDACAQEVVTEKVPVVQNSDVAPMNKHSVLQNNRKQAQVAEHEQPEENEEASGESGNDNSLHKTTHSSQTKCSPAVNQNVDADKENGLASSQQSEDSDEIEATSSNDSTKKSSPPWRKLRHRKAIYQDKDTAMPSNSKKVLGNRDQHMASPSRKRNYACPPKR >KN538712.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538712.1:394048:394776:-1 gene:KN538712.1_FG094 transcript:KN538712.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRSASLPSRLHSTESNVEEELHGLRSCISSPSATIGTMCDGLRRLGEVYNSIEEIMFLPSNQAGISLHQQRKMVEEELDMSLLLIDLCNAMQESLSEMKMNIHELQLLLKRGDSVAVHNKIESFVRLAKKAQKMPFKKTSIEAISESCKMIRLLGEAREMAASVLESTSLLLPKQIAKNSASKWSLVSKRFQRRNVVVCEEQQLQALEHSMGDLEDGAELLFRRLIQIRVSLLNILSS >KN538712.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538712.1:396867:397589:-1 gene:KN538712.1_FG096 transcript:KN538712.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSSSLPSGPHSTVAKVEEELQGLKAHISSPSVTVAAMCDGLRKLGDVYNSIEGIMCLPSNQVGLSLPQQKKMVEEELDRSLVLIDLCNSMQENLSELKMSIQELQLVLKRGDHAAVQLKFESFVRMARKAQKPFKKTGSKATAECCNLVRIMAEAREMAASLLDSTSGLLVKKIGTPSSSKWSLVSKRFQKRNVVCDEEQLQALEREIGDLENGAEFLFRRLIQTRVSLLNILSS >KN538712.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538712.1:387473:392595:1 gene:KN538712.1_FG097 transcript:KN538712.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSVLCEVCGDVGWEELILHCNKCKNAARHQYCFDPVIYDGSLVEWLCDDCLPNGNEVGNLLDISNQKKSSQTELGFSITKETNVKKMKLTKGLWSWGHHRNRSFKARCDGSDSKTKHFASGNAFSSSEVVTGEMSKINDCEMEGRGKNEYSSHSALDHASRVEQHINIQNPMGIIKPSLNSIKRLNLSNEKDGRFSSFDHVEGSIPQVNHVERAHLMIEDDSNPTFTCVEHMDLVHKKQLLQPSSLERNSIGTSIPCSENMDVLHKMQLLKPSPLDKKYVDRSIPNSENMDVVLMRRSCTLNNSMGSCEKQVVTKVDPIEPSRQFDRACLEVSSNAHEIHEADDGSKGAQSIKNGKLKKQRRLILPYEEDKDAEPIQVDDMNRQSCGINGQVKKPVEIVASLGDINAGCGQNVCSQLALPTIAVKGQCGLSSTPFIPKYFCVQPIDEPNWTGIMKIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPTAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIVLETDVSFAKLLVFPSVVLPAEYRVFQGKHYLWGVFKRSKDMAERDALVEQNCTTCLADEDVPEQNALDVVPCKALDQEMALVVSDIHHDNQPSLTTSQEVESEASSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKSSML >KN538712.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538712.1:357521:360378:-1 gene:KN538712.1_FG098 transcript:KN538712.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESSLFEGESAPPDYAKKAMPADRLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSTQLTLLQHIPNFISFFILEFTYGQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNSFNGGLQQQQQMPAYFSQQQQMHYLSGHQGRPHHPNNPHNSLNGGQSMSGQTLSDSMDFM >KN538712.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538712.1:334188:335654:-1 gene:KN538712.1_FG101 transcript:KN538712.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEYEVSHEIQSFALSACNSAKRFRFADAIRKRTPVAFGSRSKTNLKDVVDGLETKIADMREFVILLGSQTRLPRQPYSTYLYIDNCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDNLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVLGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRYKVGRRNHQALREI >KN538712.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538712.1:349713:351731:-1 gene:KN538712.1_FG102 transcript:KN538712.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSNELHQHDAPDVDVSINCDDRIFGQESVNLAAIQEELLEEDSLSDLLLAGAEAVEAGDSILASVAFSRLDDFLSGIPENGAASSFDRLAYHFDQGLRSRMSSASTGCYQPEPLPSGNMLVHQIIQDVKFAHFTTNQAILDAIIGDMDVHVVDLNIGEGIQWSSLMSDLARCGGKSFRLTAITTYADCNPSTHDTVVRLLSEFADSLELPFQYNSICVHDEDELHAFFEDCKGSVIVSCDTTSMYYKPLSTLQSLLLVCVKKLQPKLVVTIEEDLVRIGRGASPSSASFVEFFFEALHHFTTVFESMASCFIGSNYEPCLRLVEMELLGPRIQDFVVKYGSVRVEANASEVLEGFMACELSACNIAQARMLVGLFNRVFGVVFKKISLLMVYCIFLGKNDLREPNKVIWSSLAAGCGSHGIVVLAFYAADKLLEFKPKGIETYIHPAVERAHFHKEDIMWQEYEN >KN538712.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538712.1:404462:407173:1 gene:KN538712.1_FG103 transcript:KN538712.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSYEVERLRTLFTARSVVAWTGEGGRVELSTFADDVRSAFCIHRSDIQVTKFHPEDFFLTFTNHSDREAVLQQPRLVTRSGLEYFFRPWDERRNAEAVDVRFRVRLCIEGIPMHGRTEEAAAKLIGPRSSVHYLDEYSRRRNYNRTFDAWVWTSDPSSIPKASMFTITRHDAESAPADTPFPDLEPEQPVPRETKKGLTYPVIIHVDSVQDLAARHYRGYQWHYGEPDNAARARMVTRPLEPCRPLPEPERRSDDEEDDHREQRSRRRHRSRSLWGRLGGRSSSRSREPDRGERGRYNEENRGRQRSRDAAVYRSSSRNLSRSAEPGETRLRRQMVAPDQVAVQPSSEDGPPTAKVHSPCKLHAHSRNKSPDADSAPTLSASPRVSSSPDLTPLMQDAGVVLSLLEKHLDPMIHEAEIAGSMTDV >KN538712.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538712.1:367027:367314:-1 gene:KN538712.1_FG104 transcript:KN538712.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRQQVAAAAAVPVPPAADAGMAAPGKPVAATAAVPVPPSVDAGMAAPRQPVAATAAVPRQRCATGDEEDHQGRRSARVIHAKMLGDQLKEIK >KN538712.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538712.1:370774:373962:1 gene:KN538712.1_FG105 transcript:KN538712.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPKPAAEDVADEQQQPPDEDPDVAEADPTGRYLRYREIIGSGSSKTVYKAFDAVDGIEVAWGKVEINERIMGSSKELQRLRTEIQLLKSLQHKHILKLYASWVDTNRRTVNIVTELFTSGNLREYRTKHKKVDMKAMRRWAKQILTGLEYLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTRSIQGTIEFMAPELFGENYNELVDIYSFGMCMLEMVTCECPYSECKGFIQIYRKITEGVKPAALSKVKDAEVRGFIESCLASVSDRLPASELLKSPFLQSDDANHRSSNSVQEPVKFPENNFTKDEPIFVSLAPNNGTVNGKEQSFILVLQKSDFLLEGNMSTTNPVMLFLRFPGPDGKFKNVQFPFDMEKDTSLSVSTEMVEQLELPEWNNPVLAELIDAFLLHILPSWKPCVKVGKMLPSSS >KN538712.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538712.1:337929:340405:-1 gene:KN538712.1_FG108 transcript:KN538712.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRGRWLAGGVRGGWISRRSLGFPSEAAGFKLVHLFGSTKLQQPCKEEDHKRLERVLLRMHSVVEEADGCHITNQGMLLQLKGLTEGFYLGYYMLDNIKFQPPEEESIKDEIIGPQRMGKKTLVQHACQDERVVVIGRTEDVPKFGTTQPIRVKRLSEEDDWYYFKALAFGIMDPDEHPKLASLAMQLCHARGPGWNAENLIIRKRGGQVAQKHLSSSGVHPDYLFERNIPVDMSRLAVVDGQVQCCLVYDLRVAGPAEGELPKLTSRDMLLGGDIPVEDKFYALVWRSNISPYCNYIVTFEKRKPQHTIGKRNTI >KN538712.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538712.1:399410:399704:-1 gene:KN538712.1_FG109 transcript:KN538712.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLLGYCIAVYSQYKSLKGKFNVENPEQMMVDVMKLKYDWSCDV >KN543417.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543417.1:2349:3614:1 gene:KN543417.1_FG001 transcript:KN543417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSNPALISTLCCLTALLSLAFVCFLLPYVCPLDGDDDAGLTKEEEEERKHGAPSDRAGADCGCCYRMCSCRCERLRLQQRSQHTEEDPCLRPPVN >KN541261.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541261.1:2055:6225:1 gene:KN541261.1_FG001 transcript:KN541261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCWVWGFVVALLAVAAAAAAADVCHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGTIINHPKGGDVYAGVPKDYTGHQVTTENFFAVLLGNKTAVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLQKKHASNSYLKMVIYVEACESGSIFEGLMPENLNIYVTTASNAVENSWGTYCPGEEPSPPPEYITCLGDMYSVAWMEDSETHNLKKETIEDQYELVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNELIWPVPKAAVNQRDADLLFMWKRDNGVEFARVVSFMLIWLTSPYEQLNGVSEDKLRALREIEETIAHRKHLDSSIDFIGKLVFGFENGPLALEAARSSGQPLVDNWDCLKKMVRIFESQCGSLTQYGMKYMRAFANICNNGVSEAKMMEASINACGRYNSARWSPMTEGGHSA >KN541261.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541261.1:17269:18035:-1 gene:KN541261.1_FG002 transcript:KN541261.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLPAPAPAGLPAPADDVGWVRRRLQGGERRSQEAGPGAAVGAVAGAAAEPRAEGRRAAADSGRARVDAQGAGGGVRRRRQPRRVAEFRCCLQIQLGRIDHGWIHGVDEFPIFSQMNLAGEQLISKELSR >KN541261.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541261.1:6744:8938:-1 gene:KN541261.1_FG003 transcript:KN541261.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRKCSTSTSGDGDFLKNRDAVLAALQGGLANGYKVSSSGNVQGVSQCLGDLAAGDCTTCLAQAVGQLKGTCGTSLAADVYLAQCYVRYWANGFYFRPSQGQSEMIRRKRHESNHLIEGSHATDKPKISLLARETTAYYSQDDVGRTVAIIVGILAGLAILVVFISFLRKSC >AMDW01039027.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039027.1:1:868:1 gene:AMDW01039027.1_FG001 transcript:AMDW01039027.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQHPASGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFVTAMQLVSLAQAGDEITQDSLKRDDLGSLNPPTMDGLDALLVKSKHHAKRVDPDID >AMDW01040759.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040759.1:169:1881:1 gene:AMDW01040759.1_FG001 transcript:AMDW01040759.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTGSHEISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISSIGMRAISKFSLTGRLPYLSADAILEMLDFANKFCCNGLKDACERKLASFICSRQDAIDFMECALELGCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMAGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYAEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDHRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLR >AMDW01020838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020838.1:6:296:-1 gene:AMDW01020838.1_FG001 transcript:AMDW01020838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FNSSDKVDGYRGPRVDFNRDGVYRVSHTRSHLVVLGCNTLAYVGSQHRPGVVDSDYDHASYTGCLCYCNDSSSAVSGDCDGVGCCQVDIPPDITDNT >KN543173.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543173.1:7759:8043:1 gene:KN543173.1_FG001 transcript:KN543173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRQGDLSLMLRSKMQVAEHPRHIGGGDCCTCGDTVRRVKGDVIRLGGKLGCCCGARACHGVLQQRLGTGSDKETYELRKQSQAVHEGARPID >KN543173.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543173.1:8402:9088:-1 gene:KN543173.1_FG002 transcript:KN543173.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QVDPLLLKNVENLAKKQLLRDLTKRLAKEKRASSVAPTFRGITSSWLFASCAIMFIMDGYQKRFKKDCKNAAAAKNP >KN541399.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541399.1:1:1582:1 gene:KN541399.1_FG001 transcript:KN541399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GNLHDPTYEDVCTGATYHNEVVRVHYDVSACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLAKGGRFGFRQSAEKGCNDPIRCYG >KN541399.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541399.1:17119:22072:1 gene:KN541399.1_FG002 transcript:KN541399.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLNHVTPELPKPMVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEDPALELPPAMDALMRVFKRVSGITDGAAEGTQAATAPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISIDERERPFYVIEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNATVTQDRSTDAWTDISHPSIVSAQINQPPPVVDEYILPMKRDPLFLEREPLIDHNIHRSGVSLYGRDPALSTLRTSGIHGGGPGGPLLSQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEMKGTSSQVQAAYQLIQDSLAAHRDSVRSSYAGLDPVYRPSYSQYGSSTYPSSSLPSYSSMDGGGYSSSGLGGYGSSYRY >KN541399.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541399.1:12995:14788:1 gene:KN541399.1_FG003 transcript:KN541399.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEVAEAVPRVVAILSSLLQRVAERNDAAAAVGEDAAAAVSAFQGLTKPAISIGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMAYLEQPPAVDLPRLHCCPSDQDDAGCHHKQQQQQQQQQHQLAV >KN541399.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541399.1:3159:5008:-1 gene:KN541399.1_FG004 transcript:KN541399.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQTFIMIKPDGVQRGLIGEVIGRFEKKGFYLKAMKLINVEKSFAEKHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKQVVSTGRKLVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGIAEWRSNQHPWIYEV >KN541399.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541399.1:24096:27151:-1 gene:KN541399.1_FG005 transcript:KN541399.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACCVMEPEAMPAPDPNDARHRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPVPAPATMPPAAPVPSTVVPPVAAPSSLPPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRNDILPCELFSVYANIFLFQFDIYSQTGCFLDYEDPLPVIQERQLRLSMCFCRRAELFLFGWASGTVEHAGQLNRQTTLYASEE >KN541088.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541088.1:33006:33386:1 gene:KN541088.1_FG001 transcript:KN541088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRDGDALRLFDAMPGGGVGVGLVEVERSRFAVRRRAQGVRRNAREAVQFRSGADCGRRRSRGGGLVDQETEGPCFVSACRQCRALFTRASPPPSTWSVVSFNSMLVPSICAIVLVFYQLISQPT >KN541088.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541088.1:39:383:1 gene:KN541088.1_FG002 transcript:KN541088.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VRIFSAANVRQELQESLRDYVQASVGISDRGKLLIPKLLQSYAKGNVEDSLLADWICHHLTPDQVAVIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDSSGSQKPEPKRTS >KN541088.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541088.1:20285:23429:-1 gene:KN541088.1_FG003 transcript:KN541088.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLHSPCKKHSNKFPIPVLALALVLLINLASLTSSCTEQDRSSLLRFLRELSQDGGLAASWQDGTDCCKWDGITCSQDSTVTDVSLASRSLQGRISPSLGNLPGLLRLNLSHNLLSGALPKELLSSSSLITIDVSFNRLDGDLDELPFSTPARPLQVLNISSNLLAGQFPSSTWVVMKNLVALNVSNNSFSGHIPANLCTNSPYLSVLELSYNQFSGSIPPGLGSCSSLRVLKAGHNNLSGTLPDEIPNANSLECLSFPSNDFQGTLKWANVVKLSKLATLDLGENNFSGNIPESIGQLNRLEELHLSNNKMFGSIPSTLSNCTSLKIIDLNNNNFSGDLINVNFSNLPSLQTLDLRQNNFSGEIPETIYSCSNLTALRLSLNNFHGQLSKGIGNLKSLSFLSLAVNRLTNITNALQILSSSSNLTTLLIGHNFMNERMPDGSIDGFENLQVLSLSECSLSGKIPRWLSKLSRLEVLELDNNQLTGPIPDWISSLNFLFYLDISNNNLIGEIPMALLQMPMLRSDRAAAQLDRTAFQLPIYISASLLQYRKAIAFPKVLNLGNNKFTGLIPAEINQLKALLSLNLSFNKLYGDVPQSIGNLTDLLVLDLSSNNLTGTIPAALNNLNFLSKFNISYNDLEGPIPTGGQLDTFTNSSFYGNPKLCGPMLVRHCSSADGHLVSKKQQNKKQGKEAEDKLTFTGIMEATNNFNREHIIGCGGYGLVYRAELPGGSKIAIKKLNGEMCLMEREFSAEVETLSMAQHDNLVPLLGYCIQGNSRLLIYDYMENGSLDDWLHNKDDGTSTILDWPRRLKIAKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTLKELVPWVQEMISEGKQIEALDSTLQGTGCEEQMLKVLETACKCVDGNPLMRPTMMEVVASLDSIDPDLKMQ >KN541088.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541088.1:14409:17600:-1 gene:KN541088.1_FG004 transcript:KN541088.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQQLHSSCKKCSNRCFIDFLRFNLAFALLLLLSLASPTSSCTEQERSSLLQFLSTLSNDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGRISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNHLKGEIDELPSSTPVRPLQVLNISSNSFTGQFPSATWEMMKNLVMLNASNNSFTGHIPSNFCSSSASLTALALCYNHLSGSIPPGFGNCLKLRVLKVGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTLDLEGNNITGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMGNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGCNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSIPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSSNHLTGAIPSALNNLHFLSTFNVSCNDLEGPIPNGAQFSTFTNSSFYKNPKLCGHILHRSCRSEQAASISTKSHNKKAIFATAFGVFFGGIAVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVSQNKGGKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSVKT >KN541088.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541088.1:2879:10135:-1 gene:KN541088.1_FG005 transcript:KN541088.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLGHALVLLLFLASPSSSCTEQERSSLVQFLTGLSKDGGLGMSWKNGTDCCAWEGITCNPNRMVTDVFLASRGLEGVISPSLGNLTGLMRLNLSHNSLSGGLPLELVSSSSIVVLDVSFNYMTGGMSDLPSSTPDRPLQVLNISSNLFTGIFPSTTWQVMKSLVAINASTNSFTGNIPTSFCVSAPSFALLELSNNQFSGGIPPGLGNCSKLTFLSTGRNNLSGTLPYELFNITSLKHLSFPNNQLEGSIEGIMKLINLVTLDLGGNKLIGSIPDSIGQLKRLEELHLDNNNMSGELPWTLSDCTNLVTLVLQSCRNLTSLLIGRNFKQETMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKLKNLAVLFLYNNQFTGQIPDWISSLNFLFYLDLSSNSLSGEIPKALMEMPMFKTDNVEPRVFELPVFTAPLLQYRRTSALPKVLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGGIPESICNITNLQVLDISSNDLTGPIPAALNKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSEKTSYVSKKRHNKTAILALAFGVFFGGITILFLLARLILFLRGKNFVTENRRCRNDGTEETLSNIKSEQTLVMLSQGKGEQTKLTFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMVAIKKLNSDMCLMEREFSAEVDALSTAQHDNLVPLWGYCIQGNSMLLIYSYMENGSLDDWLHNRNDDASSFLNWPMRLKIAQGASQGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHIADFGLSRLILPNRTHVTTELVGTFGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPILSSSKQLVEWVQEMISEGKYIEVLDPTLRGTGYEKQMVKVMEKTMQPLQFSNKNYSNRFPITSFGLALALLLFLASPTSSCTEKESNSLIQFLAWLSKDGGLGMSWKNGTDCCVWEGITCNPNRTVNEVFLATRGLEGIISPSLGNLIGLMRLNLSHNSLSGGLPLELVSSSSIMILDVSFNYLTGDLSDLPSSTHDRPLQVLNISSNLFTGNFPSTTWEVMKSLVALNASNNSFTGKIPTSFCASAPSFALLDISYNQFSGGIPPGLSNCSTLTLLSSGKNNLTGAIPYEIFDITSLKHLSFPNNQLEGSIDGITKLINLVTLDLGGNKFIGSIPHSIGQLKRLEEFHLDNNNMSGELPSTLSDCTNLVTIDLKKNNFSGELTKVNFSTLPNLKTLDVVWNKFNGTIPESIYSCSNLTALRLSFNNFRGQLSEKIGNLKSLSFLSLVKNSLANITSTLQMLQSSKNLTTLIIAINFMHETIPLDDSIDGFENLQVLSLYGCSLSGKIPHWLSKLTNLEMLFLHDNQLTGQIPIWISSLNFLFYLDIMNNSLSGEIPTALMEMPMLKTDNVAPKVFELPIFTAQSLQYRINSAFPKVLNLGINNFTGAIPKEIGQLKALLLLNLSSNKLSGQIPESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGPVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKKAILAVTFGVFFGGIAILVLLAHLLTLLRSRSFLSKNRRYSNDGTEAPSSNLNSEQPLVMGNSRFLIYSYMENGNLDDWLHNRDNDASSFLDWPMRLKIAQGASQGLAYIHDVCKPNIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYVPPEYGQGWMATLRGDMYSFGVVLLELLTGRRPIPVLSASKELIEWVQEMRSKGKQIEVLDPTLRGTGHEEQMLKVLEVACQCVNHNPGMRPTIREVVSCLDIIGTELQTTELN >KN541088.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541088.1:27403:30667:-1 gene:KN541088.1_FG006 transcript:KN541088.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHYKKHSNKFPIPVLALALVLLINLASLTSSCTEQDRSSLLKFLRELSQDGGLSASWQNGTDCCKWDGIACSQDGTVTDVSLASRSFQGNISPSLGNLTGLLRLNLSHNMLSGALPQELVSSSSIIVVDVSFNRLNGGLNELPSSTPIRPLQVLNISSNLFTGQFPSSIWDVMKNLVALNVSSNKFTGQIPTRLCDSASSLSVLELCYNQFSGSIPSGFGNCSMLKVLKAGHNNLSGALPDELFNAISLEYLSLPNNILHGEIDGTHIAKLRNLVTLDLGGNQFIGKIPDSINQLKRLEELHLENNMMFGELPGTLGSCTNLTIIDLKHNNFSGDLGKILKNCRNMTTLLIGYNFRGEVMPQDESIDGFENLQFLDINSCLLSGKIPLWLSRLTNLEMLILNGNRLTGPIPHWIDSLTHLFHMDVSDNRLTEEIPITLMNMPMLRSRTNLTHLDPRAFELPVYNGPSLQYRVLTGFPTVLNLSHNSFTGVISPIIGQLKMLVVLDFSFNNLSGKIPQSICNLTNLQVLHLSNNRLTDAIPPGLSNLHFLSAFNVSNNDLEGPIPTGGQFDTFPNSSFGGNPKLCDSRFIHHCSSAEATSVSRKQHNKKTVLTISVEVDALSMAQHANLVPFWGYCIQGNLRLLIYSLMENGSLDDWLHNRDDDARSFLDWPTRLKIAQGASQGLHYIHDVCKPHIVHRDIKSSNILLDKEFKSYIADFGLSRLVLPNITHVTTELVGTLGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGRRPVPILSTSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLETACKCVDCNPLKRPTIMEVVTCLDSIGTEIKI >AMDW01011404.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011404.1:51:176:-1 gene:AMDW01011404.1_FG001 transcript:AMDW01011404.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYAAAVKRGVLVSLKRHSKEALLVQEQTETPESSVQPCN >KN541189.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541189.1:25320:26629:1 gene:KN541189.1_FG001 transcript:KN541189.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSGPSTPTTQHKRKEPLPNSLASGQFPVGFHVPSKRSVRRRLLADPSGVVSTNDINMRLDTVISCHVALPANCHVPSGLSTRGRRRLCQPLEYPHPNPMTAAQSRRKRKIAMLGKSDSARLTNQGQHRYVRPRVGPSQSCTSDPSNTQPATLADGVHKQIIMKI >KN541189.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541189.1:13:1152:-1 gene:KN541189.1_FG002 transcript:KN541189.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVAQREYEPLLLVLLLLLQTLIASSLPCLPDQAAALLQLKRSFSATTASATAFRGGLDTAVFSLTSLRYLNLGGNDFNGSQLPATGFEMLTELTHLNISPPSFTGQIPAGIGRLTNLVSLDLSSRIYVVNQGDDGATVMSNLLPSWGFSRVNFENLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCEISGPICQSLFSLRSLSVVDLQGNDLSGAIPEFFADLSSLNVLQLSRNKLDGFFPPRIFHNRKLTVIDIGYNYEIYGNLPNFPPNSSLIKLHVSGTKFSGYIPSSISNLTGLKELGLSANDFPTELPSFLGMLKSLSLFE >KN541189.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541189.1:10640:11348:1 gene:KN541189.1_FG003 transcript:KN541189.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTVNPRPEKMNKRIMPSLSCQKLLVYEGADALGDVEPGVSHCLESGLPHRLTLPSKELLLNDPNWLPCSILPVKLFYERFNQAREVREANSGGISPESLFQERSNDSIWVRVLSCDGMCPVKALCDRLSPRSETSFPNDFGIGPPIELFERSIALKIVKILVNIDSSLIENVQTILNTISPARYQKLVIYFFMQQVKEKTS >KN541189.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541189.1:9518:9979:-1 gene:KN541189.1_FG004 transcript:KN541189.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSALHSFVYVIIRSSGAIYKQCALCANLCSGPVAHSLEHLVALVVWWWKKRAMTKQTDGTGHPSSDPLIADCNICLKSATSGTLDTISPSSPPTSSSPPYNLMPHSGEVQVVAVITDWDVRDRLRWGRRTARGGSLAAAGGGAAAGPPGPA >KN541189.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541189.1:5420:8439:-1 gene:KN541189.1_FG005 transcript:KN541189.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRQARRRYYETSLLVLMLLLLQARLAQSLPPCSPDQATALLQLKRSFTVNTVSATAFRSWRAGTDCCRWTGVRCDGGGGGRVTSLDLGGRGLQSGGLDAAVFSLTSLRYLNLGGNDFNASQLPATGFERLTELTHLNISPPSFAGQIPAGIGRLTNLVSLDLSTRFYVINQEDDRADIMAPSFPNWGFWKVDFVRLVANLGNLRELYLGFVYMSNGGEGWCNALVNSTPKIQVLSLPFCKISGPICQSLFSLPYLSVVDLQENDLYGPIPEFFADLSSLGVLQLSRNKLEGLFPARIFQNRKLTTVDISYNYEIYGSFPNFSPNSSLINLHLSGTKFSGQIPTSISNLTGNLRNLRRLSLFKCSFSGNIPLQIFNLTQLRSLELPINNFVGTVELTSFWRLPYLSDLDLSNNKLSVVDGLVNDSVVRSPKVAELSLASCNISKFPNALKHQDELHASRNNISGEIPSTFCTVKSLQILDLSYNILSSIPSCLMENSSTIKVLNLKANQLDGELPHNIKEDCAFEALDFSYNRFEGQLPTSLVACKNLVVLDVGNNQIGGSFPCWMHLLPKLQVLVLKSNKFYGRLGPTLTKDDDCELQHLRILDLASNNFSGILPDEWFRKLKAMMSVSSNEILVMKDGDMYGTYNHITYLFTTTVTYKGLDLTFTKILKTFVLIDVSNNRFHGSIPETIATLSVLSGLNMSHNALTGPIPNQLASLHQLESLDLSSNKLSGEIPQKLASLDFLSTLNLSNNMLEGRIPESPHFLTLPNSSFTRNAGLCGPPLSKECSNKSTSDAMAHLSEEKSVDVMLFLFVGLGFGVGFAVAVVVSWKPCIGK >KN541189.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541189.1:12678:21520:-1 gene:KN541189.1_FG006 transcript:KN541189.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMISTKQRLPTPILVLLICCYSIVTAANNDTTVPCLPDQASSLLQLKASFIGKNLLPSWRTGSDCCHWEGVTCGMASGRVISLDLSELNLISNRLDPALFNLTSLRNLNLASIYFWRPELPVSGFERLTDMIHLNFSDNDFYGQIPIGLACLMKLVTLDFSSNDGLYFDEPSFQTVMANMSNLRELHLDEVQIFGSTWSVVLADNIPQLEILSLFACRISGPIHSSFSRLRSLKVINLGYNSGHPSKVPEFFAELSSLSILEIAGNSFEGQFPTKIFHLKSLRTLDLSHNPNLSINLPEFPDGNNLETLGLAATNLSYHIPSSFANLKSLKRLGMSTARTSKELPSLLGKLPSLTELELQGSESGLEKAVLSWVGNLKQLTALELVSYDFSESAPSWIGNLTNLKFLWMRDCNFSGSIIPYQIGNLANLETLELWSGFFGQQIPPWIGNFTKLASLAMDRCGFSGSIPSTIGNLTLLENLSITGNPQLNGKFPQSLFALPRLEYITLEANQLSGSLEDIPSPLTSPLMFIYLGHNELSGPIPKSFFQLTNLHRLDLQSNKLTNTVELSSVWKLKNLDLLRLSNNLISLIDDEGEAVSPSLPNIRYLYLASCKLTKIPGTLRYLDAISDLDLSSNQITGAIPSWIWENRTDQLNTLILSHNMFTNVEQSPSLVNMTYLTYLDLSFNRLQGSIPIPVTTSSLEKAVLSWVGNLKQLTALELLWYDFSESAPSWIGNLTNLKFLWIWDCNFSGSIIPYQIGNLAKLETLDFRGCEFFGQQIPPWIGNFTKLANLEMDSCGFSGSIPSTIGNLTQLGSLRITSNPQLNGKIPQSLFALPRLENVYLQENQLSGSLEEIPSPLTSSLLCIDLANNQLSGPIPNSLFHLTNLNYLILESNKFTGTVEL >AMDW01032008.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032008.1:20:421:1 gene:AMDW01032008.1_FG001 transcript:AMDW01032008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARAASAYLTSVALAVSAFVSDGSLNGGENALFVRPEFFYNNPLLSFVQAVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIV >AMDW01007241.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007241.1:48:149:-1 gene:AMDW01007241.1_FG001 transcript:AMDW01007241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTRILHSGKPDAFVDEIPTFVADPLPAGTDQ >KN544059.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544059.1:1553:3571:1 gene:KN544059.1_FG001 transcript:KN544059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMELIELLRVGEHPRRLTPGSLSLPTEGLPMAQRGTPCGGSTLACSRFPPRDCPWRKGAPMAADLLLCIQSLEKAPHMDGGPLLGPGHGGRTVHRTCGQLLEGLRIQAVNIQRAGFSSPTPIQAQSWPIALQCQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRYSIRSFLDVFVLK >KN540346.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540346.1:23159:33440:-1 gene:KN540346.1_FG001 transcript:KN540346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSQCRPNWEGGQYDGDDATRFEALRLAMELGVNYVDIELKVADKFISFIYGSKPEKCKLIVSAHNYESTPSCEELADLVARIQAVGADIVKIATTANDIVDVSRMFQVMVHCQFILIKPLCVEEILKKKKTLSSWKSCALFLAYSFLLYFIKFGVIILGVMGEKGLMSRVLSPKFGGYLTFGSLDATKVSAPGQPTVEELLDIYNIRRIGPDTKVLGVIANPVKQSKSPVLHNTCLQSVGYNAVYLPLLADNIARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAISTIIRRPDGKLVGYNNDYIGAISAIEDGIGGPGSKNAAVTPLAGRLLVVVGAGGAGKAIAYGAKEKGARIVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKQALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFLRQALGQFERFTNGIEGFDS >KN540346.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540346.1:43084:51733:-1 gene:KN540346.1_FG002 transcript:KN540346.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRTPRCLGWSLGVLKLFPTPNWEGGQYDGDDATRFEALHLAMELGVDYVDIELKVADKFISFISGSKPEKCKLIVSSHNYESTPSCEELADLVARIQAVGSDIVKIATTASDIADVSRMFQVMVHCQVPMIGLVMGEKGLMSRVLSPKFGGYLTFGTLDATKISAPGQPTVKELLDIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSIGYNAVYLPLLADDLARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISPLAGRLVVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKKALSFYDVVFDAVYAPKVTRLLREAEECGIKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAKYT >AMDW01039711.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039711.1:169:1054:-1 gene:AMDW01039711.1_FG001 transcript:AMDW01039711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTIIGDMDTVAIAKKLRKIGKIDIVSVGPAKEEKKPEKKEEKKEEKKEEKKEEKKEEKKDKK >AMDW01040591.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040591.1:546:1527:-1 gene:AMDW01040591.1_FG001 transcript:AMDW01040591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WRKREMLKWPQRVSAAIGVARGIQFLHDVTAPGIVHNDLSIENILLDKTLTSKMSNFNLPLISTSKNGKIFSESPFATSEDNDLGSVPSTEQGDKDDIYQFGLILLEVITGKPTESPKDLDSLKTQISEAIAEDPDLFKDMADPTIRGTFAVESLSTVAEIALNCIASDTSSRPSIEDVLWNLQYSMQVQDGWASSESLSMSTRSQG >AMDW01039983.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039983.1:45:1135:1 gene:AMDW01039983.1_FG001 transcript:AMDW01039983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMSIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTGFLKNRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREA >KN539405.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539405.1:37566:39655:1 gene:KN539405.1_FG001 transcript:KN539405.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTARTCMNGLNALSGVGLLTVPYALSEGGWVSLALLAAVAAACWYTGILLCRCMDADDAIRTYPDIGERAFGRTGRLLVSALTYAELYLVATGFLILEGDNLDKLFPGASVTLGAVSLAGKRLFVVLAALVVAPTTWLRSLGVLAYVSATGVFASVAIVLSVLWAAAVDGVRFSGRGTTTPLRIAGLPTALGLYIFCYAGHPVFPTLYSSMKRKSQFPKMLVICFLLCTLNYGAMAVLGYLMFGDGVLSQVTLNLPSARLSSKVAIYTTLLNPVTKYALDLRGAVDEQRGGRGDRRDTGAGLAGGCHGDLLFPDENYPRVGVNV >AMDW01010831.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010831.1:3:179:1 gene:AMDW01010831.1_FG001 transcript:AMDW01010831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HFSGHGVQKLDVNGDEVDGYNEALCPVDFERSGKILDDEINETIVRPLVAGAKLHAIVD >KN539405.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539405.1:73250:74671:-1 gene:KN539405.1_FG002 transcript:KN539405.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSSAAAAAAAAASSSAAMDWYLDAVRGVATTEKALGFFKEKASITHAKSFWFYKYIPLVYHTIFTMILLPTRRSKGMERARAYMRQVVVRCTDAVARWPGVKVQRYEYDRTVDEPDEAMGLGVWTWVMRGGSTIYRARCTDREGQGVRVKCYVRAPN >KN539405.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539405.1:10338:13652:-1 gene:KN539405.1_FG003 transcript:KN539405.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQKGKKPRTDGAEAEPVDAALLQSIEKLQEIQDEIEKVNEEACDKVLELEQKYNEVRRPVYVRRNEIIKQIPDFWLTAFLSHPMLGELLTEDDQKTFSPNPYFEDTKLTKTYSFSDDEAVKVKATSIRWKKGMDIANDRAYTKKGDKRILIDESFFTWFNSEKNRSFAHGAMDEVADVIKEDLWPNPLKYFNNEFEEELELLDDDDEVSDDDDDDEEDDEDQGEGEEDGEEN >KN539405.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539405.1:45335:49099:1 gene:KN539405.1_FG004 transcript:KN539405.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSEPHKSYSCGHTTPRMITYQARIEEAHAAVPARGKQEPAERDHEAQCSPEADGDGATFVRTCFNGLNALSGEYKKHSIFGRRSCSSGVGLLSIPYALSEGGWLSLVLLLAVAMGRLAVSAFLYAELYLVAIGFLILEGDNLDKLFPGTSLAVGGLVVSGKQLFVVVVAVVILPTTWLRSLAVLAYVSASGVLASVVVVFCVLWAAVFDGVGFHGKGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMQEKDKFSRVLVICFVACTVNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSNTVVIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGLTRCGRGETLLIAAIIVLGSLVAATGTYSSLKKIFYEF >KN539405.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539405.1:56278:57922:1 gene:KN539405.1_FG005 transcript:KN539405.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase accessory protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MESVFGESPSPSPLGSTPQQPARPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMAAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKRGKHFYLDVLKHDDF >KN539405.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539405.1:6300:8601:-1 gene:KN539405.1_FG006 transcript:KN539405.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERCAGRPLFGGAISSTFPVRFQDVSNIRQVPDHQEVFVDPARDESLIFELLDLKGEVEDGGSALWFLRDIANEQDAGDNLVVEHSGTIELGGLRFGDAPAVAGTAVGQLAISKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLINPLSESASAVAAGPAVPAEQAGCLAMSEIFKLAVMNFNVHDWNLFNGSS >KN539405.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539405.1:92320:92939:1 gene:KN539405.1_FG007 transcript:KN539405.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPSKAGQEHTSQ >KN539405.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539405.1:97495:98009:1 gene:KN539405.1_FG008 transcript:KN539405.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKNVLDLIIWELPSQLKNGAFCREMKLSMEHYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >KN539405.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539405.1:50112:50273:-1 gene:KN539405.1_FG009 transcript:KN539405.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNLSGFLIGCVGAAVTLLAYEQTLVSSTQCIAAGFVVLLFALFVKEGFISL >KN538854.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538854.1:51762:57769:-1 gene:KN538854.1_FG017 transcript:KN538854.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAGLHHIELGIVHGLEGFKRALPSVARSSAAIPRSDASVADLAKEAGIKMAAFMGCESGEIEKDKATVLAKTLEYMNLLIAQISELEAKNRALQTQIHQRANGSSSSRSSMIRTVNEVHHHHHHQWLAVAGSGAGGSPERVQVHVIGGGDHDGGASASSSSSAPEVTVRVAVRAPERGGADVSELVLRVLALLKAMGGFTNCLKITRPFQSNRLDFDQASSWLLGCPSHESMKLLTPPVYH >KN539405.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539405.1:16913:21455:-1 gene:KN539405.1_FG010 transcript:KN539405.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEVLTPSKWIHPLPELEIDVTVVSAIAKDEVVTPRLRLELDLDGVIAAIVVVLMINQFNLGCHERRDFVRAAPRSPSPWDPPPHHRFFFSSDVTAEGDSKPRPPLDGKQLWREVSTSEPATGASRLPKATWDAVVALLRRFGKDPAMSDQALALYIPASAFPTYARRFRHFLPARLSLESAEHLLSLPADDAHALLLPAFAEFCVTHLADELRKHESVMAAADLTAPHAWYPFARAMRRRVVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCSLRTGQEIKEVPFSNHVACTIEMLSTEEPYEVAVVDEIQMMADPVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGDRMVPVAASQVKQIAGRAGRRGSIYPDGLTTTFLLDDLDYLIQCLQQPFEEAKKVGLFPCFEQVECFASQFPDLTFNELLDKFRENCRVDSTYFMCHQESIKKVANMLERIQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATNYSQSRRVSIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVQKAEEMSINIADLLAKSLAKASWKPTSRQQAKPRRENEEDNDVEQASDDNAKNDSEDGYERSISRIKPFMRKRLDRPSQDPSSLNFVA >KN539405.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539405.1:3762:5251:1 gene:KN539405.1_FG011 transcript:KN539405.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSAATVPLQGGGLSEFSGLRSSSSLPLRRNATSDDFMNAVSFRTHAVGTSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYSPDEPIISNASCTTNCLAPFVKILDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDVPLVSVDFRCSDVSCTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >KN539405.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539405.1:63881:65501:1 gene:KN539405.1_FG012 transcript:KN539405.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAIAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRGKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGLLPGKPASSKVHERTVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >KN539405.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539405.1:94617:94922:1 gene:KN539405.1_FG013 transcript:KN539405.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMQAYDEACKEQHWGDVDAADVVGFPAGAAFTGLLLDGSLNQIPRPAGRDAEADGEFQETEEEKNYWNSILNLVNSSSAPMSTAVVVPASHAYSPAPDF >KN539405.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539405.1:24193:31352:1 gene:KN539405.1_FG014 transcript:KN539405.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MAIECAASSSFTRDGEETDPRSMMETYGEMSSKPVLLASRRKLVALSSFCFCLHSSRYFSALALGDPSVKIDNVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEVRVESRIPEGNGSGVVWDDSGHIVTNYHVVGNALSKKPKLGEVVARVNILAADGIQKNFEGKLVGADRSKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVEFAPDPIAYQLNVRTGALILQVPGGSAAAKAGLVPTSRGFAGNIVLGDIKGKSDLSRVLDDYGVGDKVSLTIQRGAETLEMVPQYTSEFLLIIRHNPLKIMVQSVHPDDLIDKRMRGLNLALAVLVLVVVLLASCSDEVLAVRTPAVFAASRRSASPPIERPRELVEGGDAVAATATFDASVKAAAATATATGSSPSKVFDPDRMSKRRVRRGSDPIHNKC >KN538854.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538854.1:23427:26292:1 gene:KN538854.1_FG019 transcript:KN538854.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVLSHSHFPEVTRSKADNPLYGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKSFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPVQKKAAPPKEQKPKEAKKEAPKPKAVEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGESLLDAKCFK >KN538854.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538854.1:80812:84956:1 gene:KN538854.1_FG020 transcript:KN538854.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEHNMVTKGTERLVAGAQLLGHSTRQPFYMIQPSEEVVQKEKFYHHCLLITSDVSNLDLRKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTVARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDAAPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHLDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPAPTTTIISEKTAEDSPRAEREQSISGSSTSMIGDTLFKGESKFTQIMHAATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGECVSYTRINSYPFVDWHYVHNNSLEGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQSISESSIGETQTKDLDKPSAVHQRGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYESTECQALVLVPTRDLAHETKNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGIQVAVGTPYHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQFLPTKTQFGFFSASMSHEALDMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHQAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLSAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVAYLL >KN538854.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538854.1:101722:105316:1 gene:KN538854.1_FG021 transcript:KN538854.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNWELQGCCDRDQKIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHPNEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFILALIVVLFVAKNWFLRWLCIGVMNSLFSVYGASSRLSFFAHQYTLDWLYCLEDSNLPYTILI >KN538854.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538854.1:12361:13755:-1 gene:KN538854.1_FG022 transcript:KN538854.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLPATLPLMEGDQDQGLYPAFHRAKDPPILFPFMIDSAVEHQGQSYGDQGLRRQQVLGESNQQFNDHMMMGGSDVFLTPSPFRPTIQSIGSDMIQRSSYDPYDIESNNKQHANGSTSKWMSTPPVKMRIIRKGAATDPEGGAVRKPRRRAQAHQDESQQQLQQALGVVRVCSDCNTTKTPLWRSGPCGPKSVAAAPVNNKPAAKKEKRAADVDRSLPFKKRCKMVDHVAAAVAATKPTAAGEAVAAAPKKDQDHVIVVGGENAAATAMPAQNPISKAAAAAASPAFFHGLPRDEITDAAMLLMTLSCGLVHS >KN538854.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538854.1:95503:100275:-1 gene:KN538854.1_FG025 transcript:KN538854.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVAMRATSAARRATWPRTSTWATVAAQGIGVGGGGGGGRKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGGGYYDSTAYGYGRGGYGYGGNAGFGSGYGGGYGGSLYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGGAPGAYGGAGGYGSYGGAGAGGAGGRGSSRYHPYGK >KN538854.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538854.1:29176:32604:1 gene:KN538854.1_FG026 transcript:KN538854.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTYGGNKNAFKALIAAEYSGVKVELAKNFQMGVTNKTPEYLKMNPVGKVPILETPDGPVFESNAIARYVLSSSHFPEVTRSKADNPLYGSSLIEYAHIEQWIDFSATEVDANTGKWLFPRLGFAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPQVQKKAAPPKEQKPKEAKKEAPKEAPKPKAAEKPEDEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >KN541362.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541362.1:24893:27485:1 gene:KN541362.1_FG001 transcript:KN541362.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHGMALNEIEIVLGQYVSIFILVAMPYNLLTQHCCGILEALSFSSQYGLQISTPVQPISNCLFQYNELHVLRGWLVYFLVLVLLFSAAFMFLTRLTSHGKPMTEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLAFPSQVACPDDVSGGIHEPLPTKESKHRKVDQKVKRVVVQRSYSSSSRRQG >KN538854.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538854.1:14:337:1 gene:KN538854.1_FG027 transcript:KN538854.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDRMMAVASDDDVFYAVGLLCSAVVVGDVERLEKENEAVLAFCHNEDIGCKQYLPHYTSQDGWQRHFGAKWSRVADLKAKYDPHRILSPGQRIFSSPISMAVASM >KN538854.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538854.1:110200:111867:1 gene:KN538854.1_FG028 transcript:KN538854.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGGRRSTLMLRIWTLLTSSRYYEPKANKLVVQRRSQVGDSTGYSLESRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNASDAPARKSFTHLDLDNDGLLSADELKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >KN538854.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538854.1:33891:39807:-1 gene:KN538854.1_FG030 transcript:KN538854.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSARYWNHSVVNYDERLSDGFYDVCGAPMHPHFQAKFPSLTTLRAVPVGGDAAYVAVLVNRERDPGLKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADEMNREWGVKSRALCLQRNSIVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNNQLSNDAIEESVAELCIALEQISAGCENTSDMGGSSSEQKSALALASSQLEDIFHTENPLKQSTISDEGEIPHLMKVNDAPMYLVPTEVDPQFAQNLQDLLLEGTALLPTYEKPEICKHTASEDDKTAGWLVIAKTGQNLPNGHAAEDSPLQHGNTKTLAVVNCFHEDAQHAVGNTEAIGRNLDLHDHTAHAIVNEDQRFSDDSLVKMPGSSNGNLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPKEIDPLVATIISSCWENDPSKRPSFSQLLSPLKQLQRLVVPENY >KN541362.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541362.1:870:1070:-1 gene:KN541362.1_FG002 transcript:KN541362.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHMHGGLAAVGAMLRLPPQLPRCHMAGQNSVMAIQLFMELRRRFNDLGGSLHSCSLKIEGLT >KN541362.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541362.1:16728:17221:1 gene:KN541362.1_FG003 transcript:KN541362.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFSWALPRRGASPVGDGDDPDSSSSSGKQASVSGLSPKANAVVSRCARAQDVQ >KN541362.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541362.1:11408:13883:1 gene:KN541362.1_FG004 transcript:KN541362.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRALPPVEDDAGRDWLGDLPEVVLHHIMSFLDVRQAVRTCVLLRRWRNLWRTVTCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNRLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTPEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCLDSNFYALIVFLQNSPRLEKLTLKLEKSNMRISRRIIGELTKRSFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >KN540775.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540775.1:12982:15518:1 gene:KN540775.1_FG001 transcript:KN540775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIVASYEPKKNNEIRMFESSDEMATDLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHVASLFPNHPALELKDDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMVQPTDEQAMFVRAYLDWLNSGDNLD >KN541362.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541362.1:5498:7647:1 gene:KN541362.1_FG005 transcript:KN541362.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYSMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSCYLRRIEFSHVYLRKGFFEQIETGCPSLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYHGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYELPAFIQC >KN541362.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541362.1:17637:22280:1 gene:KN541362.1_FG006 transcript:KN541362.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFSWGLPRRGASPVGDGDDPDSSSSSGKQASVSGLSPKANAVVSRCSRVLGTSTDELQYDFDMQASDSIKQPRNYARNFLEYCCLRALTHASQVAGYLSDKSFRRLNFDMMLAWEVPSSSSELTVKVEADNTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSAQRSQRGERIVEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGVDKEETILKAVLSIMRLQAIEELAIPVSNRFQSLLMFNLCDKLPGGDVILETLAGSISSRRSTQVNQPGTSSGRHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVNESMNNYKKVELAQATVDGVKVDGLDTNLAVMKVYSQQN >KN540775.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540775.1:31094:32705:-1 gene:KN540775.1_FG002 transcript:KN540775.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRCSLLALLLAVTCSVAVAYDPLDPKGNITIKWDVISWTPDGYVAMVTMSNYQMYRQILAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKRTPAIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPTNFTLAGPGPGYTGGTECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDYNTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPIAPPRSVAAAASAILVVLLLVA >KN540775.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540775.1:27269:30783:1 gene:KN540775.1_FG003 transcript:KN540775.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLGAAAIALLAGVSSLLLLVPLAVRTLLPTNVAFFLFSPGNKEAYDPLDPNGNITIKWDITQWTPDGYVAVVTIYNFQKYRHIQAPGWSLGWAWAKKEIIWSMAGGQATEQGDCSAFKANIPHCCKRDPRVVDLVPGAPYNMQFGNCCKGGVLTSWVQDPLNAVASFQITVGHSGTSNKTVKAPKNFTLKAPGPGYSCGLAQEVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCACGCQNKKPGSCVEGNSPYLASVVNGPGKGSLTPLVQCTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVVQHPNFENVSTVFSFNYKSLNPYGVISEYLLQLLNDTAMMWGVKYYNDLLMVAGPDGNVQSELLFRKDRSTFTFDKGWAFPRRIYFNGESCVMPSPDLYPWLPPSSTPRFRTVFLLMSFLVVSLGSSKSFFKFGLYILMVCILGLKCED >KN540085.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540085.1:12610:13131:-1 gene:KN540085.1_FG001 transcript:KN540085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSVATDEGEETLVLVAEQALEDISDSSKATSHSNANGEVQGEGAHPRIDADDKLGDEATFLAKGRHVGEQEEIDRECAVWTTKKKRLAKGGKHWKLVSPRPKRCSVAMFGWWRHRNPP >KN540085.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540085.1:54065:54715:1 gene:KN540085.1_FG002 transcript:KN540085.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRGKLVAFFFSSESQQWRALSPPEHYALSTRRVMGVRLGQRNHAHGCFYWMITLTHRWLVLDTRRMEFLVVDISPVLSGRAMMFSNQIITLESMDGWTIVVVADVFRSDKRCVLYFYKFMYFSDRWQLQSKINLPEEWGYRFRGIIGASEGYLFIKLDHPKQNLGDPVQQNAMYFMFDIKTMQLGRFSEICSGTVSEAYLYTGFPPSQSLPSV >KN540085.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540085.1:26556:30634:-1 gene:KN540085.1_FG003 transcript:KN540085.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPPPPAASRQEQPAGDCPPPPRPTTAFDDTLYATNKKVLTMHIDSYKEATKLAANGMNIKSPKLDAGGHSWHILVYPNGRLPGTTDSMSLFLQLADAPDDGGYFLDQLTDQMSWGLRFDDHRSELLMRPREITLKGEVRPWCPPSQGASMLVPFGTNISGLKSASCRCAIAGDGEARIRAVRA >KN540085.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540085.1:19918:22787:-1 gene:KN540085.1_FG004 transcript:KN540085.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEAGGASAEASGSGSAPAGAAMVPGGEEAAARKRYDALMQVVTLSVNLPNGASVFQRAVPTPAPASSDYAEQLMLEAISSVSASSELHHCAGIVADRFGSKALRDLEHKHPWMLNLACQVHGLSRLVRDMARELPLFHSASANCAKMAAYFNAAPTVRALLHKHQVQEHGHAMLLRVAAPPFDRAAAFAMLEDILTSARPLQLAVHEESYKLVCIDDPAAREVGSMVQKVAFWTEVEAAHSLVKLITDMVKEMEAERPLVGQCLPLWEDLRGKVRGWCRKFNVDEGIAMNVVEVRFRKSYHPAWSAAFILDPLYLIKDVSGRYLPPFKYLTPEQDKDVDRLITRLVSPEEAHLALMELMKWRSEGLDPLYAQAVQVRQPDPSTGKMRIANKQSSRLVWETCLSDLKSLGKVAVRLIFLHATAKGFRCAPPMSRWLTAPGSSAAGIARVQRLVYVAANSKLERRDFSNDDDKDVELLTEGDDDMLTEATASVDPSSV >AMDW01025021.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025021.1:9:290:-1 gene:AMDW01025021.1_FG001 transcript:AMDW01025021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PFPAPATRRQALHADPAALEMVLHSSGEGQHAAVCHLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERALQLFAELRRQGVVPTIVTYNVVLD >KN543380.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543380.1:222:3050:1 gene:KN543380.1_FG001 transcript:KN543380.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSIYTGRHEHKKAGGSIWLPDDVFFIILSYLPAKPVARFRSVSRSWRDMLSSAPFVQLHLRRANRPGQLKVFFRTGSPMGGYLLPHFFYTWRQHGGPAKKLMPHGIVGAFARLKTKPLHGLVLMCFTYDYGIFVLNPCTNAILALPGTKNLLKNNRRLVPNLSGKYQSPGYSYRSYGLGYCSATGEYKVVRLFSLPTDPEAVAMCCEVFVLDSPAYWRPTAQQPPAPADDTWEQLYRVDTAAWPEPDSIPSSIAPLTMLGGSGDGEKKIIFKTDKSIVFGVDLDGTGTSATPPEILLNPEDAIATRNRWPPRLELFEESLVPVGRTFDVFSSPTARAWSEVLKWLPARTVSDLSLVCREWRAMVTTNRFIRSHAVHSNLVATHPRIKVLVDTPVRHSAVPGLFADLDDLIISRYRPRICKYTPFICSPPCHGLSLGTLTLRQRRPTNYLFNPCTGYQFEPRGPRYEFDGGFDYYGYDDRMFDHFMALGYDAATGTHVVVLLDYWNLKTRAYELRCRTQLVGGDQEWEPAESPPRAADMEVPAAYANGKIYWVVDPCSDPATDAIDMWMVKDDGAWSVEYRLEIGELSPEYSLETTSPMAIDPVDGRILLNTGTSLGYYDPKTRALETIYSVDIRHDNEGLSS >KN543380.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543380.1:7800:8439:-1 gene:KN543380.1_FG002 transcript:KN543380.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding PSPAAHAADSFQERAKDILVVVVGILFGVGCGALTAASMYLVWSVLAGAAAAAPSPYDELYGDEDEEASDSESPKKVGYVIIPGVEAHDGVTWGNVIWLRHHGDQMKIDADSV >KN543380.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543380.1:4694:6443:1 gene:KN543380.1_FG003 transcript:KN543380.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPTAASFWLPDDILFNILSFLPAKVAARSRSVSRSWRAMLSSAPFVQLHHRRRHDGEPTAQASSMSSSTRPRGKVTAGRPGQNGGGTAKKLMRDDFAGGFASLVTKPLHGLVLFSNGGGYYVFNPCTRALLALCDSEFPRKRYILNRVVGRAGSPDYEDVSYGLGYSSDTGEYKVVRLFSRPRIGEAAATNCEVVFLLDAPARWRPTAQQLPPKCAVKSRSPDDDLRKPAKSLHTPFICSPPCRGLNLGATARRSSCSTPAWVTKCDYPHSTTTTRAACSRAYHCAGLRRSHVAVHLIHSVRNFETRRYDLWCQMKLVGETPPRPVDLDVPAAANANGKMPTELCDGDGRMTLLELLGALCVACSDPSPANAIDMWTAKEDGAWSVEYRVELEDFSPAEYSSEMATPMAFDPVDGRILLNTGTSLGYYDPKTAALETIYRVSIPGYGAVYPIICQESLVRVDRGRF >KN542863.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542863.1:1058:1525:-1 gene:KN542863.1_FG001 transcript:KN542863.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGWQEGKVGEDSGKGGADGTSARNLFDGMSSQYEVSEEEILVVMIEEKVTKEEALHLIYELRDAKRRIDEKLDRLLEMFGVKLDGETNGVGEFNTSTKELTSTTEAATPSSTQLISANGTTSTTDIDTSDGFMDTPAKCSTLVLGQLRRKPI >KN544737.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544737.1:1249:2837:-1 gene:KN544737.1_FG001 transcript:KN544737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QPPLENYACRSPNSLCVNATNSAGYICKCMEHYDGNPYIPDGCQDIDECELRIQFPELQYKYPCSSDGICKNRLEGYDCPCKPGMKGDGKAGTCSERFPLEKQKMREFFIKNGGPILEKAQSIKIFKKEELKRITKNYSHRLGSGAFGEVFKGFLDVQHPVAVKKSNKVNQMQQDQFANEVIIQSQVIHKNIVRLIARDKTEHTNNVIGDKNYMDPVYYRTGLLTNKSDVYSFGLVLYEIITDKKAIYGDDNTFVRNNLDIYLTGIRASKMLFGKIAEAKDIDHLHRLVVISKECLDNDVNQRPEMTDIAEHLQGIIRARKAS >AMDW01037191.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037191.1:126:527:-1 gene:AMDW01037191.1_FG001 transcript:AMDW01037191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GADSTHDSGDALQDAKALARSTGAVIAVSGAVDYVTDGERVVGASNGVAMMQKITATGCAATALIAAFLAVVEEPSDAMAAAACALAVFGLAGEVGMESGAKGPASLRMHLIDALYCLDEQTVTSRVKISLHS >KN539909.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539909.1:48115:49152:1 gene:KN539909.1_FG001 transcript:KN539909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRQEAYYRYPTTSTIVASAPMPTGHHVLRIDGYSLTKAKFAAGEECDESCSFVVGGHAWRIRYYPNGAVVSGSGGGFVSLMLSLDHQPAAAVVKARFAFSLLDMDGEPVPSRTYASDGVVSFSANSSSTFGAERFIGHGELEASGHLAGDRFAVRCDVTVVKDIAVMKRDGPPTMSTLCPVEQDMFRCLLDTGDDADVAFRAAGGETIAAHRRVLERRAPELAKLCRRRGDASCFMGLVRRHIDIDDMDAAAFKALLHFVYTDTLPETMAPREVPAMARQLIAAARKYGLDWERLRLLCEDKAWGWRVDDTSMDTTAAAAPATGDDPKRSQRRLPWFIRRCF >KN539909.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539909.1:6057:7551:1 gene:KN539909.1_FG002 transcript:KN539909.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHRNESQRELEMGTKPHFVVIPWLATSHMIPIVDIACLLAAHGAAVTVITTPANAQLVQSRADRAGDQGASRITVTTIPFPAAEAGLPEGCERVDHVPSPDMVPSFFDAAMQFGDAVARHCRRLTGPRRPSCLIAGISHTWAHVLARELGAPCFIFHGFCAFSLLFCEYLHAHRPHEAVSSPDELFDVPALPPFECRLTRRQLPLQFLPSCPVEYRMREFREFELAADGIVVNSFEELERDSAARLAAATGKKVFAVGPVSLCCSPALDDPRAASDDDAKRCMAWLDAKKARSVLYVSFGSAGRMAPAQLMQLGVALVSCPWPVLWVIKGAGSLPGDVKEWLRENTDADGVADSQCLAFVNEKLIVDVLGIGVSIGVTKPTGGMLTADGGGEETAEVGTEQVKRALNSLMDGGVEGEERAKKVHELKAKAHAALEKEGSSYMNLEKLISSEV >KN539909.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539909.1:33350:36822:1 gene:KN539909.1_FG003 transcript:KN539909.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASVELWVVITTLLLVVRFLIDSFGGPSYSRKYMMAASQIIALLNYSMVHYTLGLMQLSAARVNEYFQVWAVLMVTLQYSVKISRPYSRSKQIPLLDLMSSFWTANLLRVQTTFFLRIPLWLIWALNAARIISYFFSSDRAETSNQENTRLVSDYMRYEHTHSEAGADAITMRGYSYLVHGEDLLLRELQQQRRAGPGRYRIQLDPHHQELVTVEKLWDVDNGQSGLLGMAADPDNRLKDTCLSFALYKLLRRRFYNLPVHEAKPFALEKTKRLVFDNILQGSNNYERTFRITEMELSFLQDLFYSRYAAIFAQGFPFLRMLFSLLLISAIGYVAYPVRHIPQRMDQEDKNRITHGVFITRFIIGLIITKELWEIYIYALAPWSKVQMICLYVKHRCLRKLLVEKAMRLLFCLITRGKWNREICQYNLLLAASHGTKLKTGRLSIMSIKLSAEAQKAIFDSFKKLEKDNNSLQSYLSNAFGPNTAHVGSVDKLEADTHRILVWHIATCLCEIYLAKKKSIFWLRSRPFISKSRAPKDAWEHYITAISLSNYCAYLLTVALVPDTGLAAYRVLTEVQRETYRATAIDRRVKTLEDIYDQLVKIVKFSVKDPSVDVPREDASSGGTAARIMKEEQMIQAEASEDPEGQTNTSNNIRVNDEERGTTSNQPNAQQEYNMYHCITVKKEEFT >KN539909.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539909.1:23831:27670:-1 gene:KN539909.1_FG004 transcript:KN539909.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGGLISSFFIMLNKKTYILLRIESLVVIATILFLVMSILDIFRRRFHNSLIKSIFNLLDAVSDSIVLYILGAMQTAPIKNQLFPVWAIVLVNFRNSIDFISGYGVPDRGGRRFTEWRNVMKLFGVAFLYRTRGSKFILPLWSLWGMQILKSFYRFILRNLAARSIWLGQSSSLVSEYMRADGDLCNFKLEDCDPETMNGYRYLVYGETKRIVKLQKPRYVVCIDSRQRKRRGKRFTQRKYTTNTDRLTTLDKIWNCDGNLLQPDNNNAKRLKDLSLAFALSRLLRCRLQGVELHMETLRINKKLIKRRIIQEDADRAFRIMELQLSFVNDYFNTRYPMVFWCGLPSLFFSFILSAVTFAVAFWLSMDIRKVTKPPEGDTAHSVHGFNVDIIITWFFMLFMMFKEIWEMVTYLLSDWTRLLLTCLYERWSCGCMRTNCTEKLILSFFTSKITERWHGVIDQYVFLQSYDGSPTFWNLLHKLTMGALPEKDEGAEVGNAINIPDCVKPEILKKLVSQDLTHNYLCKTIISLPDSDRERVERYGWACFGLPTCSHVILVWHIATSLCEMHLAKVHGVSLRKPGFLCGLLLCLTDCCSSKSYLLDEKKLPGDLQKTYTVANSISRYSAYLLVSKPDLIPDSFLVPKMVFQETVRCARDDVLKNCDSLQGKYDKLIEEAEKAIQEDQDTNTVKNGEDVMQQGAMLGKLLIDNESEESRWEILAGIWADLFVHMAPTWNAEAHQKYLESGGEFITHIWALLWNCGIEKSLLWPEDDASINSAPAAPHDNNSGNNNNRPTEAKHQPTTSDMRRSDTENGSDPGQPRNEEIQGMPTTGTTGGGHRTDLAGGYSPENLLGQELKKLFMETTKSSPTSDPLEPKEIFKYVCSENLDFKLGVMEDSNNVLGLLLDRLKNQELVGALFHGEVTKHVSCRGCEHTSVTTESLVLSLAIPPGKVVSIEDCLKLHVNGNTENWHCTKCSTPGNAKTVCDNQTEESDSETDQKEPSNHSSEKQTSTQNQDNGDVLVLKEDQHQNEQSHKKQNVEKGVVRSATIKYNITKVPPILTIQLKRFEYVCANRSDKLDRHVSFAETIDIAQFVDPGCVECIYCLVAVIVHDGATLNEGHNFAYVRSSRTGNKELGSDSPYSWFRASDENITEVSLKEVLECEAYILFYEMVEDSKANKASLEIDLPTN >KN539909.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539909.1:57840:67120:-1 gene:KN539909.1_FG005 transcript:KN539909.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGWAEIWGTPPQIPTAGRRPSRCLPVAGSKSASARGGTAVFLLRTSLKQIISTRNYLETVFHGCSSVDLNGERSEVSVFGRFDVTYLSTARQPLLYGWMCSYKLEVRGSTRKYAGADALREKSVADIWIRWLFVRGSERGAQLNCGLRGGVLRASLWKKRGDDVIFDRRVELHGRMKVICPSSRCSSPETTKKPNFSNEKSPVCRCKRLLNVRALCPKSAGHAHASWLLKQIWTTIFFMRKTKGIEDEETCGLDLDFLWNMSMSSFGSKVLDRGIGIERLESFNEMGQNEPFVLSFLTGQMKWAVQSASHLLGWASSLATILRPSLLGFIPFSIYKGLSQIYFILPRSGFTVAVLPVRGYQPHSSAMSGPRNGHPLARNPSHPETSDLRGRFGITTSPVSVERAECDLLDPNLSRFTMPPKDFVAKETQLHWAEEAQLAVNTADEGLMMSRWNKLSIYRSSMETGKPQLFSIGPFHLNDVWSQRHYHKDRSLVALFRFYHKSVEPINSALQDCVNDLKFCYEDLEDFDDETFIQMMVKDACFILALALKAEAKISGNGGFDLGPLDPIFGDDPMCWKSIRSDLLIVSNQIPLIVMVKLVSVLEAVPQENARQRVYRLLIFLLEEKDCKAAEANNPPFHLPDFYRKLLAQFAAEPKNQPLHLLDFYHKLLTFPVGTNADIAKMWWRLKAAGEVRLSGKSDPYCQFLETQVEKCYERKFSCVRAAAKVRAWFVLLRNTHCANPWVILAVVYGVVSFVSTVVQAIYGVLAYYYK >KN539909.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539909.1:14428:16115:-1 gene:KN539909.1_FG006 transcript:KN539909.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAMYLYAAVLAVLLCSSVNFIQSPTDVLGPVALLEPTPSSARDFGAVVSDAPFAVMRPESPDDIALLLGALSSTAPSPRATVAAVGAGHSLHGQAQARDGIVVETRALPRDVHVVSARAHGGDDDATVRAYADVGAGALWVEVLEECLKLGLAPPSWTDYLYLTVGGTLSNGGISGQTFKHGPQISNVLQLEVVTGKGEVVTCSPTEIPELFFAVLGGLGQFGIITRARIPLQLAPPKVRWVRAFYDSFETFTGDQELLVSMPEQVDYVEGFMVLNEQSLHSSSVAFPAQLNFSPDFGSKGKKKVYYCIEFAVHDFQQDGSRADHVVELVSAKLSYLRPHVYSVEVSYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGITQFKGLLMDTVSADDFEGPILVYPLLTDKYVHFHVTLR >KN539909.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539909.1:40667:42371:1 gene:KN539909.1_FG007 transcript:KN539909.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRGRSWHRQQAIRANNAIKENQKLREELRRTETIVSIYTKHRWWMEEQASRSYQMIRFTEYRPSLIHRVVSDRDAIFLGTGSYGSVLQCKIGEKTVAVKIPNNRDSRQPLPSMREFNQEANRRALKMQVAILKKIRHQNLVTLIGACPERQILIYEFLPNGSLKDHLTESGQRRRFTWKRRVRAASSICSALIFLHNTEPNPIVHGNLKTSNILFSKDNVCKLSNFAMSHLLQYTSKPVSFWGGLKGFARMLIGSDTHKIQLDVSALGIILLQLVTAQKPKDLRKNVLSKLGDIIRFQGKSMEQQHEVLKSIVDPDLKKCQLDDAAEMLFLGLKCSDPNEKHRPDLAADVWPLIEEMKSNASHRQG >AMDW01037755.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037755.1:183:398:1 gene:AMDW01037755.1_FG001 transcript:AMDW01037755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TCNVVARELAQFYTTRQRWIGNPDYCGGATNLLPKVNGLLVNPVIDSTGGDDCN >KN540444.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540444.1:10190:15237:1 gene:KN540444.1_FG001 transcript:KN540444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETENVRYVYQPIEGLYLVVITNKQTFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNGMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKSNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAIEEKLNVAVKRDGGVNNFDVQGTLALQVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSAIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFFPISVGFSASNTFSDVKVTGIRPLKEGSNPPKYSQRIRLVADNYQVV >KN541385.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541385.1:8121:11293:1 gene:KN541385.1_FG001 transcript:KN541385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLLGTWPVVLAVLERRGRLPPHTYLDYSITNFLAALLVAFTFGQIGADTPETPNFLTQLTQMQDNWPSILFAMSGGVFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSSAMVNHSNTNGDNENEELTKNLLEKDEPKDREEPEPEITEAAQAVEKIEAGTADFLVDLEGKRSIKVLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLKEGVPHMVVYTAFFYFSLACFAIGIALNIWFLYHPMVGVPRSSLTAYLKDWKGRDLALVAGMVCGLGNAFQFMAGQAAGYAAADAVQALPLVSTVWGIVLFGEYRRSSRRTYTLLGSMLFMFVVAMVILMASSAHRRPL >KN540444.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540444.1:47392:47892:-1 gene:KN540444.1_FG002 transcript:KN540444.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSGRLTPPEGFGLVRVKVEPGTKGNSVGGSAMTTTGEGNSRGLRAAAAAPGGRTSIRTPLSAVARSGRASSSRVGSRYNTPTSGIVGPSHPQPTTPSATIGDTDSDSNDSSGSSPLPMWTAANAHIAPLVLLDPSPPPSPASRRAFAHVTIFNSLPILHVLSA >KN538714.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538714.1:73599:74277:1 gene:KN538714.1_FG001 transcript:KN538714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPADKLWTALRDSTQLFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTEGVPMVTFAKEKVEVADDEKKVVSYSVVDGELVSFYKNFRVTLQVTPKGGAAATGEDGAAADGAVVSWTMDFDKASEEVPDPDVIKETAAKTFHDLDDYLLKN >KN538714.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538714.1:116374:120047:1 gene:KN538714.1_FG002 transcript:KN538714.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agmatine deiminase [Source:Projected from Arabidopsis thaliana (AT5G08170) UniProtKB/Swiss-Prot;Acc:Q8GWW7] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPAREVFARTAIAISKFEPVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTIVETERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVTKVIWIPHGLYGDEDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPANGNVDQANGNENGFEAVSVKQVYMQEVETMT >KN538714.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538714.1:39979:45727:1 gene:KN538714.1_FG003 transcript:KN538714.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQVLFFEITISQCAEGKVANNCQHMVANHQKPIQLSHETTQCAHPVVCMQSKKCYNRPEIVLASSKVFEPNKQTCFFELEIKRVVKRRAVSDIDNDDGVHLGRKRSVRDRLGNNMVGSESYDGQQRNKRRQIETNGLQHGDNDCQVSRDDLRLKLMKKGLSSNGSAEQNGVDLREKLSRKPKNIRRYDARGHVPESRSRYDGRDKIPELRSRYGMRERLPEPRTSALPSRIPSARSMDDLLKLGSSREAYSSWSGNLRHRSPEKLKSARRDMSPSRTYDHIRSMPPIRSAGTSRTSGLITRDAPDALRTQPYAAKSTISIDTTQPANGVASSATVMPTAPVMVCILYFLFSLTLLYAILQPEVPFTVTGLLNSLGLEKYVFLFHAEEVDMAALSQMGDSDLKEIGVPMTCVVSQSRVLERRFSRQWHLIQNGVDEQHAQELGAFVGNDNLLV >KN538714.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538714.1:76282:81210:1 gene:KN538714.1_FG004 transcript:KN538714.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) UniProtKB/Swiss-Prot;Acc:O82504] MKDCLGCTLDGMGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGRCSTLVLKLGKSALVSDILKSLPFSGNLGELTMNSMDGVGASSNVVPLVHCPRDYSVPYEVLFRLNSLMHMGKIVAKHVNADLFKALQELPVDVSRRIFEKMHKLESTCYEPLQFIQQEAYSMKRSHNVLLSNEGEGEGERKLMKCYRVNITPSKIFCLGPEEEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSSNAISARIEQGFFSKPFKTGLYYRILSILKKGFSIGPKNFEFLAFSASQLRGNSVWMFASNASLNAGGIRRWMGHFENIRSVSKCAARMGQLFSSSRQTFEVLRWDVEVIPDIEITTDGSKYIFSDGIGKISLRFAKRVAHHVGLDPTNLPSAFQIRYGGYKGVIAIDPMSSIDLSLRPSMKKFESESRMLNITSWSKSQPCYVNREIISLLSTLGIRDEIFVAMQQDEMRETEEMLTNKEVALSVLGKLGGSETKTAVKMLLQGYEPSSEPYLSMILKAHQENRLTDIRTRCKIHVPKGRVLIGCLDETGVLEYGQVYIRITKNSKEQKDSNQSYFYNDDGKTATVVGKVAITKNPCLHPGDIRVLEAIYDPDLVGMVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDSLGAISTAHLIHADRSPLKARSPDCLQLATLHSMAVDFAKTGAPAEMPRTLRPREYPDFMERWEKPMYISNGVLGKLYRSAMGHMEKSGDSGALSSSSAQPSPTYDPDLEVAGSDEFLQAAEEYYELYEEKLTTLMNYYRAEHEDEILTGNIRNKMLYLKRDNKRYFEMKDRIVAAVDALHREARGWLLSSKKEEGASWMASAWYRVTYHPDRRRGKRFWSFPWIACDNLLAIKASSQLRRRLQGDDDSAAKCTEQVAAFSSASR >KN538714.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538714.1:84536:86185:-1 gene:KN538714.1_FG005 transcript:KN538714.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MHREVTAVSVEYEEVTCKQMCTKEIYKATENLSPLNVIGQGIAGKVYKGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDVKPTNILLGVDMEPKLSDFGLSRVIEIGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDRMASMLIREGNVLEFADPRLNGEYSTEAFDLSLKLALSCTGHKKQRPSMEQVVSHLEKALKISMRDDDKHNSISIIQSHA >KN538714.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538714.1:120527:128810:-1 gene:KN538714.1_FG006 transcript:KN538714.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGATASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEFYAIGCICETIGIYLWQDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSKVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEAKTLRNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKCCYILSFKDYRWYIIYGMQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLVLELMWDNLSKEIAQIPNLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVPDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKSYSARDENFDELKVKMESGHGDW >KN538714.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538714.1:37390:38693:-1 gene:KN538714.1_FG007 transcript:KN538714.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDEDAVTTNFQRWIRRALWRRPATVDLYYLPRRSFSWPPAVPLTPVAAVSRLKTLRIFGLRPTVVFGADEFPALEDLHIERCSYAHGTIASPTLKRLALVSPINGCFVREQRLTAPGLTSLRLVLPYSREEGVRVITDAPLTSLVDASITIVDTDPGDPRNRRVNQFKVDFLVAISNLLGRLTSVRNLDLTGLNATALLDNKSQEFPMFPYLTTLLLNECDIGYKYHVLRSILQNAPNLEQLRLHNCKQFEVSGNQAPAR >KN538714.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538714.1:158945:173732:-1 gene:KN538714.1_FG008 transcript:KN538714.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAPERKRPRDGDVGPPTAAAVASGEAQYVYLPIADALKAPGARVCLFAAVSEIGAAVRSRGTEMPTSCYLSMAIICADFTLTLRIVDHSRASAISVTFFADNTALLPCVRSSGDVISLHNVVITMHYGEFFVTYNKRFSSFALFEGKVSTGCIPYQHSMKYHGSKHDNEFLTQLRMWLVYNPPGLKDLELQLRSIKSDSTFDLVCKVLDVHEASNGVWILYVWDGTDTPVTEFPILDNESVSPPPLHLEGAPLPREVLCALPCVGSVLRVFSNRFFKEMLHLQKGIYWARFCNMTCKQEFGMWKGILLPSSRVRLLSNEDGSVADRLKLFDSRIATQIQRQPMASLPNASDIADVEYERAGYTTLMESLTHGEVTHKFKTLARVVAAYPRGACHLCSLLAGNCCLRLTLEDPTARIHAYIHKDDGAKFFGGFLTAEAVIRKMNKLLGIPEDTEEGAPSNRNPPWIWCCLKSYRLDKNDPWGSRRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILERIVWDKEAEVSQARFMLLFFLLSEEQTMCDSVSMKERRPLYMLKGPLENAPPVRDFVGALKASFDRTGLPALIAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSFDYLDAIRNAGVQCPLLCKEFIVDAWQLYYARLKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFQVDISNTKNLLEGERGQTIAQKGIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPTTSIDFLAKYQFDFNTCFREGIYYLSRTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQSVDDHKSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRKHFRDLVYVCTFLEDGVSEKRVVYTDTNDDKILLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINKMFPHMADTRHLMSVNDAVQYRMRQKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFAQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAMYDNVVLIWGFQSKVRPKDIKDCICKVFGRASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGIALDGTVPGSVQQSGGAKSDSKNQGDDDFSYQDILDALQDGKTSVGKRMRNA >KN538714.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538714.1:133813:136995:-1 gene:KN538714.1_FG009 transcript:KN538714.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKIDDGRPYHSNVFHELVSNGGPKVDGEIERETKQHILPDTMVQQTNPSEYSFMKAGQQNVDKAIQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLADQKAIAEKVSLNLDSSKGDTNGVLIKETADEPAKSVNDLKSQIVVLPEACVTDGDTVEQYHPSKLHDLEGNNTVDGLTVVNVEKSTPKQLVSNDNAEYCQQMCADVSESSENHGPNLNGEPVDQVPSNDSHETVDSIASAITNINGLSVESTADGHSGVVTEDGVSGVALNKTEINQINHYNPFIAYGSLEDTWEPKYSLPTIVDDVSTVPCPVEKTDSFSDIVNGALRGFNFLETGESIAEDSTLDSVVENSSMTDVQASEEKDEARSDDILRDERKNPVDQRSPVENSDSLSDPVDRALSSTETDGARNEDSRLDSTEASSSRSYVQPSEDRSDQVDNFVDGIRTDAAHGTSSGTSLLTGKTEPIDVKSENHPKCEIDSVQDEHDFNPREANDGTNICEDNTDSKSSTLGQTEPVTEQNEPDSAKMTMQTESVAQRNEPDSAKVTMQTESVAQPNEADSAKVTARNVIRNPFESSFSGPSIISGPLTPSGHIPYSGNISLRSDSSTTSTRSFAFPV >KN538714.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538714.1:82315:83878:1 gene:KN538714.1_FG010 transcript:KN538714.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHHPFYYPLLLGFPLACLYAWLSRRLLLAGVLDEPSRVALSRRQCFYLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWVGRAPINSDAVVIVGLLCICLVLGFVYINRVKHEKSATQKSNQSFFLIMIYLRNPPQPAIGEEADLGVIIFLAIYLFLPHGLCALSMNQNDYAELEGIQLR >KN538714.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538714.1:47210:47446:-1 gene:KN538714.1_FG011 transcript:KN538714.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGKFDEVYFKNLPRGLGLLASDAALWEYPVTRVFVQRYADNRTAFFEDFAAAMQKLGGVGVKTGRQGVVRRHCDVL >KN538714.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538714.1:16587:23392:-1 gene:KN538714.1_FG012 transcript:KN538714.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSAEKEKGKKAPVSRSSRAGSQEKPAPNKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFMRNHPEVREILGPDKDLEVEDIVNTLLSKNLVIRCDRVWKTVRPGKKKLSSWPAHLEIHREQVFTENDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRAAIFGILWVLFGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYATVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMEKHTGTNASETSNHTSGTGSSPVPPTNEGNTAKADPDMDRQTNSDKVQDGDDMRTRFNEV >KN538714.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538714.1:99294:101619:-1 gene:KN538714.1_FG013 transcript:KN538714.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLLTLWVSLLPMMLFIQRQMSAGGGAEKRRRPRKQRVGFDDVQGVDEAKEELVEISRLIIEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEASPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDLEGRRNILAVHLRDVPLEEDPEIICDLVASLTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQENADSERQGLTKLFPWLPKPGNRPTNPDDIGGVMGYHTLS >KN538714.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538714.1:174575:182088:-1 gene:KN538714.1_FG014 transcript:KN538714.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin KP1 [Source:Projected from Arabidopsis thaliana (AT3G44730) UniProtKB/Swiss-Prot;Acc:Q8W1Y3] MAAAAEGGRHDQGMALRKAEESAARRCEAARWLRQMEPAAAAESLPERPSEEEFCVALRNGLVLCNVLNRVNPGAVPKVVENPVVAVQSSDVAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKVRSCGTARRCEAARWLRQMEPAAAAESLPERPSEEEFCVALRNGLVLCNVLNRVNPGAVPKVVENPVVAVQSSDVAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSSKRLPSYSSRGGGSADLNQQMLEFVHLLSEVSLEESRVGESQHSLFQQFVLRVVRAFLQEWGEAEGLPLDDMVLETILEQACKEFTILLASHRNQVRSLLRKMMKDENGAHSKQELIEAISKSMKENSECFLTSLRLPCGRRKQLNDGGGLEHQQEELEKLKVSFNEMKLQVESTRSQWEEDLRRLESYFEAHNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFLKTQTDQRSTVDHIGENAEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDITTEETWGVNYRSLNDLFAISQNRADTTTYDVKVQMIEIYNEQLFLTMNTLEIRNSSHVNGLNIPDANLVPVKCAQDVLDLMRVGHRNRAVGSTALNERSSRSHSVLTVHVQGKEIASGSTLRGCLHLVDLAGSERVDKSEAAGERLNEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHMNPEADAFGETMSTLKFAERVATVELGSAHANKEVGQVKDLKEEISKLKLALDDKEREASKLRDIANRVASEKRNVRTRSPLTVTLSSKPEAGQDSSNDTCTSEIRSSSSGKQRRFRSPLSVRELDEKSPVINRELYLSAKFKTPSPPVRSSLSAERVGIAKSVERSENIDCTPVSRIEVPPKVQHSSSRKTPSSVLTAQSLRKFRDSEENRSAKPSVRESMTKTRLDSATKPPQKEEQTANKNTGTRVRSEAKIPRNISDIENEFANSEPTFHSNRKAWKLPPQSTRQSQSIDLRY >KN538714.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538714.1:131446:132098:1 gene:KN538714.1_FG015 transcript:KN538714.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRTSAANLLAVALLIISLLLLPLLHLPVAHARHVAVLKATDSSSAINIRSGHVEPTPASGAVQRRPASSGANNPGGGRRRAAASSRSTVEMRASAWAKHHRDEVARMHEMLKRDYASKARRRSPINNGEPSLEEEDQP >KN538714.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538714.1:67429:70009:1 gene:KN538714.1_FG016 transcript:KN538714.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHNSHQTAINSPDDNAKACNLFNGTWVRDFGGPIYTNTTCPTIPEARNCAKYGKQMDYVNWRWKPHGCAMEKFEPHLFLTIVRGKTLAFAGDSIARNQMESLLCLLSQKFYQIAVIKDLDTTLQAFPVVEEHDIHLDKLDPRLAANLHQINILVISTSRWFFRRNYLYEGEKLIGCIYCSEDNITSFSVPMAIQRVFRTALKNLKESQECRLQLTVVRTATSAHFENGLWNTGGSCNRTEPLREEAMIDQTEWAIRNAQVEEADRTKKNNSKGGVKIEIIDITKAMSMRPDAHPGIHWNNQWMRGYSDCSHWCLPGPIDMWNELLFAVLNKYKNSLEDQ >AMDW01068382.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068382.1:44:2081:1 gene:AMDW01068382.1_FG001 transcript:AMDW01068382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVAGEQAGQIFEKPFQMGTALRLDYQLDIEAELQLVDKIKSELGINSDSKLEKITMRKLGLERTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKQAQVIYHVTSSHQNPLPLSLIEESLYKYFHKNPRTSKDGKTIQNEKILTFNRLVYFQAYMILRYKVPLEMMRAANVLLGGIYTKNYYELNRGYNILMTVAKLYAPYVFFKGCFDDTNLRKLWKATAMDQNDDTSIFNFDPKCINWSSYLVNTHIPAAIKYANNQKAKARSA >KN541789.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541789.1:21888:23213:-1 gene:KN541789.1_FG001 transcript:KN541789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGWIVRVSSASGLATALVQAENDALAPAYQPLRLRVFIVPYLPPAESPLILSTIEQASIDNSIPGFIVINPNLLETKEKARLDIIQEISRVKNVRDKLFCLFISFRGHWRMASNILEYIDNSLFHSLREKYEEEVRSEMQRLQNDLEKKYHGSQHSVQRIAHEILGITTLSKWEYMKQLPLPAAEDGRTMKKKLKCHAKKLRKLHTKHTLMGVVPLVWAKLEPVPTITSSGRTIKSNTRFTCLEWTA >AMDW01023628.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023628.1:6:206:-1 gene:AMDW01023628.1_FG001 transcript:AMDW01023628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLDFVIAEAKKRGLYLILSLVNNWDGFGGKKQYVQWARDQGHNLGSDDDFFRSDVTKQFYKNHVKA >KN545508.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545508.1:155:829:1 gene:KN545508.1_FG001 transcript:KN545508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGVASATGDTNYYGTKVTINLWQPTIATSGDFSLAQLWITAGSYDNKDLNTIEAGWQLIARTI >KN542614.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542614.1:11886:13463:-1 gene:KN542614.1_FG001 transcript:KN542614.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IREATLADSSLKMGEIVSPAIVTETVNKIISGMIDNYEQKLSADELMERLEMAQIKLELALETSSKWQITSEPLLRWQKKLKRATEECDDTLHKCRQHVQEEEEKEQQVRNSSFPRRIACATKSLISSIFHSNIDEPSRSTVQRFEWFAKGADDFLKSLEFGGTPRRYLFFDPLIGHLLAGETLEYKLVQGNKQHLFWIRPNDIADRGVEAKLIFVYNDGSAPEDNFFLGMMLQISESTNIIGTIIKCLQWFTPHFKSTTETVRKELAQLPTQDFSWVSHYRSYHWDNIHGIATKWFRPNPICCKHQDQSMCDSGSMDKAELLDVSLQPIIEVYLERQITQFRCNSQRAAIQGKNNKQRAAVRGKRCYPRRPSHLKLGVLFLPHSSSNDLLPAAESSAVEVINGEEQPWYHKNITLEQLDKVMLPKAIGSINQNSEATAHQLLWKSKHEAAFFHLGKTRMNMPSTLSTAREATVSKRQELDLESRADVISEFLKLWVERAPVKMQRSIVDWIQKEKEVQLAPTPF >AMDW01033156.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033156.1:6:287:-1 gene:AMDW01033156.1_FG001 transcript:AMDW01033156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVKGVAEQWSFVPHVVNELAELDGRRKEGSLSKEQLSQLAIKCKRIYQAEFAHMHDNDNKHQSKPRSDDAQYDSDGTIEMTEEEIDLACRQLPG >KN542972.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542972.1:880:7229:1 gene:KN542972.1_FG001 transcript:KN542972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEAIAIEAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWVLTPDQ >KN542972.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542972.1:10681:11409:1 gene:KN542972.1_FG002 transcript:KN542972.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVGSRSAECVWWLDQRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQVCKLFRAKQEE >AMDW01009915.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009915.1:10:192:-1 gene:AMDW01009915.1_FG001 transcript:AMDW01009915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRPEPSLLAGVPEAVVSAINTLEELLRKGSPSLAAYGRHATRVRRLELQEAADAAMDE >KN546089.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546089.1:134:606:1 gene:KN546089.1_FG001 transcript:KN546089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSNSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGRMFGVDHCVCMFVNTFI >AMDW01039119.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039119.1:441:851:-1 gene:AMDW01039119.1_FG001 transcript:AMDW01039119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >KN540237.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540237.1:127:4122:1 gene:KN540237.1_FG001 transcript:KN540237.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMLLGPLIALVNRQVSNYLLQQYQELDGMEEQLTILERKLPAILDVIIDSEEQGTHRPGVSAWLKALKAVAYKANDIFDEFKYEALRREAKRRGNHGNLSSIIVLANNPLVFRYRMSKKLRKIVSSIEDLVADMNAFGFRYRPQMPTSKQWRQTDSIIIDSENIVSREEEKQHIVNLLLTDASNRNLMVLPIIGMGGLGKTTFAQIIYNDPEIQKHFQLRKWVCVLDDFDVTSIANKISMSIEKECENALEKLQQEVRGKRYLLILDDVWNCDADKWAKLKYCLQQYGGVGSAILMTTRDQGVAQLMGTTKAHQLVRMEKEDLLAIFEKRAFRFDEQKPDELVQIGWEIMDRCHGSPLAAKALGSMLSTRKAVEEWRAVLTKSSICDDENGILPILKLSYDDLPSYMKQCFAFCAIFPKNYVIDVEMLILLWMANDFIPSEEAIRPETKGKQIFNELASRSFFQDVKEVPLHKDESGHSYRTICSIHDLMHDVAVSVIGKECFTIAEGHNYIEFLPNTVRHLFLCSDRPETLSDVSLKQRCQGMQTLLCSMNTSNSSLHYLSKCHSLRALRLYYHNLGGLQIRVKHLKHLRFLDLSGNSHIKSLREEICILYNLQTLNLSGCKSLGHLPKDIKNMTGLRHLYTDGCMSLKSMLPNLGHLTSLQTLTYFVVGNNSGCSSIGELRHLKLQGQLQLCHLQNVTEADVSMSNNGERKDLTQLSFGWKDDRNEVIDLHEKVLDAFTPNSRLKILSVDSYRSSNFPTWVTNPTMMQDLIKLQLVSCTMCESLPQLWQLPSLEILHLEGLQSLQYLCSGVDNSTSSTFPKLRELILVDLKSLNGWWEVKGGPGQKLVFPLLEILSIDSCSNLENFPDAVIFGESSQFLDNKGNSPFPALKHLKLHNLKSLKAWGTQERYQPIFPQLENANIMECPELATLPEAPKLRVLVFPEDKSLMWLSIARYMATLSDVRLTIAASSSQVQCAIQQVSGTEFSHKTSNATMELRGCYFFCMDWECFVNLQDLVINCCNELVYWPLKQHQCLVSLKRLTVYSCNNLTKSGDVLEAPLEKNQLLPCLEYIEIKDCPKLVEVLILPSSLREIYIERCGKLEFIWGQKDIENKSWYAENQDDLRSESYSNLVSSADAPLATNTHLPCMESLTVISCQSLVVLLNFPLYLKEIHIWSCPELRSIRGKQDIKVESKYVECNNGMAISESSSDLSASITVEDQGTWRSKYLLPCLEYLRIAYCVSLVDVLALPSSMRTIIISECPKLEVLSGKLDELGQLDIRFCEKLKLVESYEGSFSSLETVSIVGCENMASLPNKHSNTPCTKGSA >KN540237.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540237.1:40323:44074:1 gene:KN540237.1_FG002 transcript:KN540237.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSIVVKPLLSMVKDKVSSYLLQEYRVMEGLEEQHKILKRKLPAILDVISDAEKQASEQREGAKAWLEELKTVAYEANDIFDEFKYEALRREAKKNGHYTALGFDVVKLFSTHNRVMFRYRMGKRLCKIVHDIEVLVTEMNAFRFRFHPQPLVSMQWRQTDSEIFDPTNIISKSRSQEKLKIVNILLGQASNPDLLVLPIVGIGGLGKTTLAQLVYNDSEIQKHFQLLVWVCVSDPFDVYSIAENIVKLADRSKEVKEDGKHQIDYHVSQVTKDKPLQKLQKLVSGQRYLLVLDDVWSRDADKWEKLKASLQHGSIGSAVLATTRDEQVAQLMQTTDAYNLTALENSIIKEIIDTRAFSLRKDEKPNELVEMIDKFVNRCVGSPLAATALGSLLRTKETVQEWQAILMRSSICNEETGILHILKLSYDDLPSYMKQCFAFCAMFPKDYVIDVDNLVHIWMANGFIPEEKNVPLETIGNYIFYELASRSFFQDMKQVPFQKDGSKHGNCSRRLCKIHDLMHDVALSVMGNECVSTTENPSQRDFFPSTVRHLLLSSNEPDTMLNDYMKKSCQSVQTLLCDVLVDRQLQHLKKYSSMRALKMGQEMRSFQLKPKILHHLRYLDLSNSDIEALPEELSILYNLQTLHLSWCWGLRRLPKQMRYMSALRHLYTHGCRNLKHMPPDLGKLTSLQTLTSFVVGSGSNCSNVGELQKLDIGGHLELHQLQNVRESDAINIKLDSKSKIKELSLVWSENEPCNETSDSNHNKVMEALRPHDNLLVLKVASYKGTTLPSWVSMLEGLIGLDLSTSYTRCENIPQLWQLQYLQVLRLAGFDRLQYLCSIGENSTTCSILPKLKELALENLKSFKGWWDKTERQEQPVFPNLENIRIMDCPELESLPEAPKLSVLHITKSSQQLLFCIPRYITSLSTLRLRQEGVETTPPAEHNFIEWVDDNENWKSESPLAFMNLDNLNMFFLSGAHALWACFAQLTYLNITRCDVLIHWPEKEFQGLVSLKTLEIWYCNKLKGYAQAPEQSTSGGGQLLTRLESLTIIECKSLVEVFNTPPSLKDLEILSCPELKSIFGKQRCRSELIEGPCNDNIVSAVVSEPSSPAGDHFSPPESLESPHSGELPSLVKLTLCYCKSLASSSLPNSPQAYSSLQGLMIRKCPAIKVLPTCLQQRLGSLELKYLDARYEGKKFKDM >KN540237.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540237.1:37995:38327:-1 gene:KN540237.1_FG003 transcript:KN540237.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASADWERRLRTIAAKYIYPTVEGLIVPAARSVAESGHGGWVVGWWLPCRVVKIKLMSWEALASRWMMMMITHFCGWLFGCDRGGQPRGLAAMAPDRKTQPRRDDDVV >KN540237.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540237.1:26051:30269:1 gene:KN540237.1_FG004 transcript:KN540237.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVTSMVIGPLVRMVKEKASSYLLEQYKVMEGMEVQHKILKRKLPAILDVFSDAEKQASEHREGAKAWLEELKAVAYEANGVFDEFKYEALRREAKKNGHYTKLGIDAMGRKLCRIVQNIEVLVAEMNAFGFKFEPQSIESKEWRLTDSDIFDPMNIASRSRDEDKKLLVSILLSQASNVKLTVLPIVGMGGLGKTTLAKLIYNEPEIQNHFQLMIWVCVSDNFDVASVARSIVDAVPKKGDKVTINENQTSALDELQKLVSGQRYLLVLDDVWNRDDEKWRKLKKCLEHGSTGSAVLATTREGGVAELMHTTDAYNLTALNNSVIKEIIDTAAFRPKKEIKPAELVQMDDKSLLSKKKEMIDQFVERCAGLPLTAKALGSVLYTKTSLEEWEAVLRSSSICTKETGILPILKLSYDNLPSHMKQCFAFCAIFPKDYEIDKDKLVQLWIANELIPEIKDIRLETTGEAGTGSGCSNVGELQHLDISGQLELRQMENVNEEDARLMKLENKKLSTLSLVWNENDKEDRSYTNASDCHEKVIEALKPHDELRVLNVKSCKSSSFPSWMGMLKRLVEIDLDNCTMCQNIPQFWQLQDLQVLRLDGFHGLQYLCSIGQNSEIPSTFPKLKELKLTNLKSFNRWWEINERQEKLDFPQLEKLVIKGCGELTSLPTSDSNMSEPALPALKELELCDLNQFERWQAAEGTQDKPPTFPNLENISIVKCPELTSLPEAPKLSVLDIGNGSEQMLLCIPRYMTSLSTLRLQHEGEETTPLTERCLIEWVDGKDNQNREYPLPIMKLVGFNVFFRSGAQALWACFAQLKDLVIISCDGLIHWPEREFQSLVALRTLVIQRCSKLKGFSPQALEQSTSGREILLPRLESLSIHECESLVEIFNAPPSLKEMDIYDNPKLESIFGQQRHGPAGPCSDNIVSAVALEPSSPAGDRFSPPESLESHSGELPSLVQLNLHHCKSLASLPDSPQAYSSLQQLIINECPALKVLPTCLRQQLPSLKWKYLDAHHEGLFLV >AMDW01034800.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034800.1:218:473:1 gene:AMDW01034800.1_FG001 transcript:AMDW01034800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FAELKAFHGIEDEKPSSRTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPATT >KN543774.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543774.1:125:2191:1 gene:KN543774.1_FG001 transcript:KN543774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RAVVEELAALGAAVHTCSRNEAELGERLKEWEARGFRVTISVCDLSARDQRERLIRDVADRFGGKLDILVNNVGTNIRKPTTEYSADEYSFLMATNLESAYHLCQLGHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGILANKEFEGSVKSRTPLRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTVNGLYPS >KN540108.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540108.1:47234:61236:1 gene:KN540108.1_FG001 transcript:KN540108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDQGFLTAIIKVESYFTTSLLGQRTYVKGNNVKISIERDRYSMLALVDDVGENFNWGSNQYISFWKLGDVSTQSKVEITTNSQLLDWLDKGNQHGVVNIHAIVNDFGGPLQVEPSPTKRRCHPSVRYSIPCTPPLFTDLLVDATPLTLPESYNHLENSIQPVPSTQNESTTHPESTSHPDDEATSPIKKSAKKVVKKCAKRRSQADDDDEEVRDDEKEENEEEEPQLCPNCDPLEPPAASASTTSFSASHYDDMFDSYFNRSAESAEPSPSSSAPSPPPPVFDKPVFDDADAADPFDAIPLFGDGGGGGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSTSTTPMKPTPQAEPKATGFEDDVIPGFGERTSHHDSPWEEPRTRPENESISSSKTSVSMPGDAFVTLGATSNLGNSNFGLFTDHLDNLGKSESKNMDPCSTANGMFDSSNNFVGVPKPMSSSSFASEKESVFGDSKSLDGIYSMSHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFTSSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEEQRKLERDREEELEREREMMRRREHEERKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEAKERVAEEARERAAKAAAEARERAAAEARERVAKAAAEARERAATEAREKAAAEARAKAERAAVDKVAAEARRRAERAAVERAAAEARQRAANEARKRAEAEARARESQQKTAQPDLDSFFGMPSRSSSVPRSQTATTNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKP >KN540108.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540108.1:2924:6755:1 gene:KN540108.1_FG002 transcript:KN540108.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLAPLPSSSSLADASSPPPSPPPPHLPSSSSSAAAPGPSSSSAAAGGASTSYASSLPPSRHRRLPPPPPHPRPIHGPQRVLRAFRLGSALSRSNPSCFTPSPSSPRHPRSLGLEQYVELVNSVAHPQPPPPSPSTADAPSRVEVIAVDDAEERKDEEEAREEEEEVKGSVVVRRVPLYKELYEASSRRRDAKLRALEFEVRLAEKGRLGLEQLADVLPRFGPRKEDEPFVPFTDEDEDSVDHALGGRNRRERLVVHESSNIVITRETLQCLNETEWLNDEVINLYLELLKERELREPNKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYSLLECDKIFVPIHKEVHWCLAVINIRDKKFQFLDSLGSMDMKALRTLARYLVDEVKDKSGQHIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMGLTFGQKHMHYFRKRTAKEILNLRAE >AMDW01040617.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040617.1:131:1092:-1 gene:AMDW01040617.1_FG001 transcript:AMDW01040617.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IQGASALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLE >KN540108.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540108.1:23266:24612:1 gene:KN540108.1_FG003 transcript:KN540108.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQLNILRKEMYRGYYTLDTFRFRAHDVKKEKDHEVSYSFVLSKFNHAKRVCFCSDSDERVKEIEKVVVSLETIIGDANEFIKLSSTYPRLSRQPYNMYLLLDKCMFGRQMEMECVINFLLQEEITYGADHLGVLPIIGPGKVGKSTLVEHACIDERVRNRFSQIVFFSKDGLTDGNIVTLKDCGTTKHQTHDALGGSERRLVVVELDGEINQGLWERFYSASKSCFAYGSKIIITSRSDKIASFGTTQPLKLQFLTHEAFWYLFRVRAFGSSDPAENPKLASLAMDMASEVSGCFTSVNMFNGPLRSNTTTRFWSFVLATIRGFKQKNLSIYSSTNPLDPWAVVAPLYIPRANKNLDPVTILNNYQRNCNETHSYSGLITASSPSAASQITVQDIMFGTAMPPGQFEVLAWRSHIPPYYSCVFDCEIKRPPTRMVSRKKKTKKIGI >KN540108.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540108.1:19876:20722:-1 gene:KN540108.1_FG004 transcript:KN540108.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVLGVNQSGHLSGPCGVRVGHVTHDTSWMMASHLGQSRNLIVASDASGKGGEDRNHGLKSITRNG >KN540108.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540108.1:62767:64238:-1 gene:KN540108.1_FG005 transcript:KN540108.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTNTVVQTISVTAAATGVHDFRFDGYSLTKAVVGEDDFYESEAFSVGGHNWAIRYYPNRDSSRVSLYPVLLSGPADDDGRVHANVLDKSGKLASPESKDTADSVSHAFRSGAGAEAWKELSLRKEAVERALQIKAGKQILLEPTVQNATWERYDNPA >KN540108.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540108.1:9005:9587:-1 gene:KN540108.1_FG006 transcript:KN540108.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALAAEELPERVAAAVFVAAFMPDCASPRPSVIDKLPWLDWMDSVRDEEHAPPSVKLGAELMRRKFYQLSPEEDFTLAQSLVRVGSSYVDDMRRRPPFSEARYGAARKVYVVCGQDQAIVEAYQRWMIADCPVAEVREIAGADHMAMFSAPAALAGHLADVANTYA >KN540108.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540108.1:27424:45773:-1 gene:KN540108.1_FG007 transcript:KN540108.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phosphoinositide phosphatase SAC9 [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/Swiss-Prot;Acc:Q7XZU0] MALLEQGVGVSSTMRCRGFEAPDPKLLRLFQSPAIKVSWPTKNAAPMCISASVPLSNCMLCTIRGALIDNVQTICVLKLLVFQASGFFPFPFPIILLYCEVNGDYDIINRSSLCPWHGVGSRCRRKLPGLIHCRCGLMDLAAGLRLGAIRCFVVIVQNTNRVQMGHTPSMSFIRDVQLQDSCMVLHNVILMYIVFLLPPFCAFINSTFQQPPLCAYAWRANYCLPERIKATGGRPRDTSVVVVVLDTSEVYIVASLSTRKDTQVIYVDPTTGYLHYLGKHGEDLFDSEAAALNYITNGSKILCKSTTYSKAVLGYAVLGSYALLLVATQLSATVPTLPGGGCIYTVAESQWIKIQLQNPQAQGNGESKNIKELAELDIDGKYYFCETRDITRPFPSRMTLREPDEEFVWNRWLAKPFMDIGLLGHCVVLLQGFAECRSFGGTGQQGGIVALLARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPRKDGQGQSIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPFNGSLQYYQRLGRRYGNKSLEVNATSQKKPGVVPIVCVNLLRYGDGKPETVLVDSFKSSLEYLRSTKKLGKTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYNVKQQLKECKGSVIFNDDINGGFCMESIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYPEYNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVGTFEAIWMHVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSVDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTELEGELNFLNRVVALSFHPSARARTPITLGEIEVLGVSLPWVDMLTDSRRGPDFVELLHEKLSSIPGNVGSKEFANSSNSFLPQNGIVGSERASSTKSSSSVLQGSSGNFVDFLTGYFDMPNQSDTTENTSFVNVEQTNSFDDDFDVNPFATASETPSAKVNSQVEEFDSAHIYLKFFESFSGNIKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADYLALLGHTVHEDRVNASIGLENINGHAIDFWNICKNDESCTGDVCEVRALSSSHASATSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEVIKHALYVDYVRVLRSLRKKGRTEQAVLKAVNQVCGLEFSRISDFTKSVQYGQKQLKQLLDGEESLAEFPYASFLQTVETADDSEPLLSLLAPFGIGEQKSYWKAPLDNTSVEFSIVLGGLSDVSGAAIIVGSCGYSTSDCPIVEIWAGNKINREDRTFIGKWDVHDMMLSSPHLSGPEKTSSMSEEPRHIKFHFPDPIRCRIVSIKMTLNHIDSHSTKFSEEFDLLSLSEGTFSESKPTTPQNSFIHAKRIVIFGNTLRKETNPDTSMGIMRMKTYLDRSQPLGRFRIPVEAERLRDNDLVLEQYLLPNTPGIAGFRLDFFNVVRPRVTHSPSSSELDMKEFSLIPMEDRVINPAILYLQVTIVKESGKLVVEEYRLPEVKVITPLYYDFQDLQQDVRCVLFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAV >KN548855.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548855.1:99:502:1 gene:KN548855.1_FG001 transcript:KN548855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYAIAKVLSEKEASKLAEENGISLAAVCPVATVGASPAPVANESLANVLSLLSGNEEINTLKMIDKYSGGLKLVHVDDLCRAEIFLAEKASPSPSG >KN542967.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542967.1:135:5431:-1 gene:KN542967.1_FG001 transcript:KN542967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGLNDTVSGVVSRKVLPACGGLCYFCPGLRARSRQPVKRYKKIIADIFPATQDEEPNERRIGKLCEYVARNHHRVPKITAYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKKQMPLLASSALSIICTLLDQTRRDDMRIIGCETLFDFTISQVDGTYQFNLEELVPKLCELAQIVKAEEKDNMLRASALQALSAMIWFMGEFSHISSAFDNVIQVVLESYNLQKMQNDNIDSEAPGNRWVEQVLKAEGNATISRIPSWKSIVGQNIDLMISILVKHLEHKSVLKQPEMQLSVLEVIATLAEQSRAEASAATIGAISDLIRHMKKTLHVALGSRDLEAIKWNDKLRNAVDECILQLSKKEHTPYYVGIITQVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIITSIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVSAHRIFSVVLVPSSVSPFSKSTSPNQLVKHDIKRTLSRAVSVFSSSAALFDKLKRDKESFREKPQDGSVNRLSHAADNDTSTVKDMPSSRSRRHSFKVPNFSMKRVASLSLKSPMSPKECQNTSAESCSETESTLLRLSSRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLISYSLEGT >KN542967.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542967.1:7673:10934:-1 gene:KN542967.1_FG002 transcript:KN542967.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AGIMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDDGWVEYLNNKWDRNIVVEETANVSELKLQVESEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDAKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYSENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDMDFPYVKENPVKPTDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITHANGVIIHPAGLECSLHASIDALGSCYGDKQGKKYRAWVDRLVVSQCGSKGWLVRFNLWELEGDVWSCCLTSLALNAKPETPEGFVVTHIHKTWLKGYSSADEQSSKL >AMDW01027536.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027536.1:52:330:1 gene:AMDW01027536.1_FG001 transcript:AMDW01027536.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESKKELFFISGVVEGTVKLAKLESRNKGLSSAGIREANAECRSSSEDNRLLDDWGGGIINDNGGPWGRSKGEIKLWVDIAVVEVAIEEGCSNK >AMDW01037980.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037980.1:82:759:1 gene:AMDW01037980.1_FG001 transcript:AMDW01037980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTPVLEKCIRRIARSNLDNVSLDKELPPEVAVQIKEIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVVSELLDLRLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGAAVSQLTADGQSAMSICRRLTRLKDYNTKMEQGQESNKDRLCIDILDREMIRKPMAVEDSVTSPLLADDLHMKLLYLENRGE >KN540165.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540165.1:53003:56193:1 gene:KN540165.1_FG001 transcript:KN540165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQPEQEGETMNRTAGGSLQFRITEDAFAAMAKQGVSAHELTRQRTLEVDFRRIPCEYKKSRRLAVRVEEASRNPTHLAIRFLYQGGQTDIAAVEIAQASATPSSSSYYSSWRFWVFYRAKQDGAVLLLLEISVTCRCSSVRHDGISWRVHRRVIKPTAS >KN540165.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540165.1:4915:6014:1 gene:KN540165.1_FG002 transcript:KN540165.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDAWEYNAMGDFFSPACAAMDGFQERHQSTTTMDRTSILGDTIDYMKELLERIRQLQEEIEEQQQQETPGVLSVFRELNPNEMLARNTPKEDGINGVCEVQFDVERKEGGDTRVEIYCAAKPGLLLSTVSTLETLGLDIQQCVVSCFNDFGMHASCSEVLPPPLSLLDY >KN540165.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540165.1:31132:36896:-1 gene:KN540165.1_FG003 transcript:KN540165.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMKKKSVGLGRLSLMISMLVQVLGAVGGGGVHPSKMRLVPAVYVLGDSTLDVGNNNHLPGKDVPRANKPYYGIDFPGSKPTGRFSNGFNAADYVAKNLGFDKSPPAYLVLKARNYLVPAALVMGVNYASAGAGILDSTNTGRSIPLSKQVVYLNSTRAEMVAKAGSGAVSDLLAKSFFLFGVGSNDMFAFAAAQQKLNRSATPSEVKAFYTSLISNYSAAITELYGMGARKFGIINVGPVGCVPSVRVANATGGCNDGMNQLAAGFDAALRGHMSGLAARLPGLAYSIADSTEGEFAGGHPVGAVNIPYMYKTGSGLTKNTHFLEKVSTIFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWKENELPTNK >KN540165.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540165.1:22510:28140:1 gene:KN540165.1_FG004 transcript:KN540165.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGQVSPVPATATAPAPAPRKINRKHMRLGVYNDVLKRLRDAGAPEALAPDFGEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYESQMPSKSSCWPPELPGKQSLINSQVNHVQIPKDNTDEWEINFDVLNIQEKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMRKIRHKNIVRFLGACTKSPTLYIVTEDIANVSLFFSNDDSLSNLMVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKLLSR >KN540165.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540165.1:8652:21345:-1 gene:KN540165.1_FG005 transcript:KN540165.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATR [Source:Projected from Arabidopsis thaliana (AT5G40820) UniProtKB/Swiss-Prot;Acc:Q9FKS4] MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTGAADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDAMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTDGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSITCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVALCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDVRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFHPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGRKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALLEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILTGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCREHPLVHLGKIVEILEELVVKNSMLLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNTEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGIARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKTKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMVYEGRLFPSRSQLGKVARQLQNSPAEVMVIMATEYHGEMRAQIITSARPSSLACGL >KN540165.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540165.1:41900:43444:-1 gene:KN540165.1_FG006 transcript:KN540165.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMMSSASTVRPWLMMMMISAIVTLLFQVQQLAGAQLQRQVAAVFVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPASKPTGRFSNGYNVADFIAMKLGFKKSPPAYLSLLQGPAAAANLTLAIKALTGGVSFASGGAGVLDSTYAGKCIPLSTQLRSMEATRAAMVSKVGTRAVAAHLARSFFLLGVVNNDMFVFATAQQQQNRSATPPEVAAFYTTLITKFSAALTELYEMGARKFGIINVGLVGCVPLLAAADPAASGYTSVDSACCGSGRLGADEDCQVGSTLCADRDKWAFWDRVHPSQRATMLSAAAYYDGPAQFTKPINFKQLARTTA >KN538793.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538793.1:183512:185095:-1 gene:KN538793.1_FG033 transcript:KN538793.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKHTDKAKAKLSVLKSKVICCKLYISESQNAKVVDAISRIGQKDPDVVLLSKFEDDHYNRVRYTLASYIINENSTGEVKFSPIRRVLLEMIETAFSTINLEMHTGTHPRIGVIDEVSFHPLNQATMEDAAQLAKTVASDIGNCLQVPVFLYGAAHPTGKPVTAVRRELGYFQPNYMGIQWTGQVLPDILQVKPDEGPDHVSRERGAVMIGAAPLPLTYNVPVLSKDIPTVRRITRRVTGRGGGLPTVQALALSHGDDCTEIACFMDPDHVSADQIQRQVEQIAAEQGLEVDKGYFTDFTKDRMLEKYFKIVLAID >KN538793.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538793.1:246426:246662:1 gene:KN538793.1_FG034 transcript:KN538793.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MALACCLTTCARGAVEALYVLGDSQADVGNNNYLPASLLKANYPHNGVDYPGGKPTGRFSNGYNFVDLLGSVYLKAIH >KN538793.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538793.1:193454:194008:-1 gene:KN538793.1_FG036 transcript:KN538793.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAASSSSSPLARLVSRRGLAGAADHHGPPKVNIWQEPMNPANWKEEQVSIPLDIPRVFRGAHVALDLDVILFY >KN538793.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538793.1:217209:219518:-1 gene:KN538793.1_FG037 transcript:KN538793.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMHCRLLQWRFANAKAEAVSKNKLSIFEVEFMGAWARISELQGKVARRRVQLEKEKLKIKLNSVLSSQMRGLESWGQLESKHAVALDSTVVCTQAAICKLPLTNGAKISLPSMGIILQQALDLTMTTKTIVRSFTPMAHDTTLLITELVAVAREEHALLQECLELLGRVSALQVEEESLRCHMLQS >KN538793.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538793.1:249805:253809:1 gene:KN538793.1_FG039 transcript:KN538793.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRVGLASSYCLPILAVCLARLPLAQCISFDEQIEGDYHRVHEALGKQLGIPGAKAHLAKSLFVVAIGGNDIINDLLLSPVSELLRSRDEIVSNLENTLKRQLQTLYDLGMRRLFFVGIAPLGCCPLIRELNPTKECDAQANYMATRLNDAAVVLLRDMSETHPDFTYSFFDTYTAVLQSIRDPEAHGYKEVKAACCGLGDNNAMFLCSPASVFCDNRTSYMFWDVVHPTQAAVEKLMKIAFDGSAPLVSPKNIKQLTES >KN538793.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538793.1:211218:213234:-1 gene:KN538793.1_FG040 transcript:KN538793.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06790) UniProtKB/Swiss-Prot;Acc:Q84JZ6] MAAGAAAATRRSLSALLLSSRALQRRFAPLAAAASSAYLAPWAPPSRGAKTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEDMVAAYVKTLAAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGL >KN538793.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538793.1:242905:244544:1 gene:KN538793.1_FG043 transcript:KN538793.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSSSSWCALKQSCVALLMALACCLTTCARGAVEALYVLGDSQADVGNNNYLPASLLKANYPHNGVDYPGGKPTGSLQGSFRNTHSIRPVQRSFLVPEDNTMTMIPQTPKTEKIKHPKVFPVDLMRLWERSQLAHRPYADLNAEVAPEGSSKWTAQEQLSQAVQPGEPEGSPIVQSQSTTIEVKSDLLGP >KN538793.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538793.1:180650:182212:1 gene:KN538793.1_FG044 transcript:KN538793.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGREGGSGRTQELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFLYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVVSYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLLSSFNHAGLVHEGLQMFNSMVDIEGIKPTYQHYACVVDMLARSGEIGEAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKHIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRTLMGERGVYKDDAFSWIEMGQRTHRFGVDDRSHPISREIYRNLDRLISIIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGRKIILRDNQRFHHFNRGSCSCGDYW >AMDW01038230.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038230.1:4:207:-1 gene:AMDW01038230.1_FG001 transcript:AMDW01038230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSAAVYRRVLKAVQKHVGGGHSKKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHK >AMDW01026294.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026294.1:21:164:-1 gene:AMDW01026294.1_FG001 transcript:AMDW01026294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTWGVIQGRKERLVSRVLALDFLQSVGVSDPAGELEAVELPSSLE >KN540490.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540490.1:14664:14998:1 gene:KN540490.1_FG001 transcript:KN540490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KN540490.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540490.1:32066:36611:-1 gene:KN540490.1_FG002 transcript:KN540490.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKESVASAGSSAHNSEASEHGGAVEGMSPQAAVPPPSLPPEYLYSGGNKYHGAFPDSLGFSTVTASSPAMGIQAQNHIMVRTEPLPPQPHQVASYAPSHQPPQAASYAPHQQPQVASYAPHQQQQQQPQVASYIPQMPQSFREPQQVQYINAQQLGVHGVPQSVNFVPVQMSPYMPSIPVTNSMPNSAAQVGTMKPASPASEPVLENVHNTRPMQATGDQSYRVLQPLSQLPPLPPVHLQTSDAHRYGVQTVMTSSASTPLVTTSGTIPMVISSATMPALRYDDCTMCQKALPHAHSDNMIQEQGTPHGVNNPDATPVFYSLHQENVTKQHIPGATAGTPANYIVEPRSEVTAGMMQTEPNFAANNHVLQPTSFPDASGLVPNTRVTSRLAFAGNPPQPRTEDPVMYQHQQQNSYSMQPSQIPVNGVISNPQGIDASAFKNSNNQNIGGGSIYKSQAGGYHLGITNAFSAPAEDNLVRHTEQSSSAFDSQHLHSEIGHQLNVLQNVPVSNNLGVPAKPHISNERFLARPASAGVQVPVEHSPLRPAEMLNHVVSAPPNGNSQIPLQATAGIDSVEATRDPAYTDSLFSNQDPWNAVGNASVAPPRLNKLAKEPAVSGDPYVEGHGLAINSSNAATLLEEGNLPLIQDRTFKDIYPEPSQMSKGYGEETIKRQLQAVAEGVAASVLQSPFPEKPTVFSGDHTDKQGAVIDPKLEDAVNNQSDKTSQGVKVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPQHPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPRWRSLMEQCWSSEPAERPSFTEVGKRLRAMATPSTKAQPQK >KN540490.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540490.1:22943:29329:-1 gene:KN540490.1_FG003 transcript:KN540490.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIVGDGAIETWRAADEVTRAKYRLLVQNTGEQRAREIEGKLGETIPQGNQISTPEVHKMMDALKSSCANLHSVVEDPLPAAKAAADEVLAARMDKAVDLNAGEVSNQPTACDIAGPSARADNLDAPRKGTAASLMDWNPTARTFQWEDSPDPDGSRSPIHRPQLPSPRRTTFSPLQPADSKAKRRKARKWCALEEETLRKGVEQYGNGNWKDILTNNPDVFIGRKAMDLKDKWRNMMSENLYPAFAVCIIPTVVINHQCLLVHFATTRMLNLHSPKGSRVRVDIYDEASGVSGGLLHPYSPKVYMPLALNINPKKYLQVALFFACQNMSAEASLQSSEQKECKLFKEHIDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTVETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSEKYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCNFWLVGGLGARGLLFHGLAGKLTAKAVISSDENLIPPEFTCWKEP >KN540490.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540490.1:18332:21022:1 gene:KN540490.1_FG004 transcript:KN540490.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLDDINDDSVDLSKAPVAEVFQKLKCDRKGLTGAEGESRLRLYGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGRPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQEASILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATGVRTFFGKAAHLVDSTNNVGHFQQIIELCNMAADAEKKVHALIDSYADRGLRSLGVSYQQVPEKSKDSGGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSTTLLGDKNSQVNGLPIDELIERADGFAGVFPEHKYEIVKRLQEMSHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIAIIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFLTGVVLGTYMALVTVLFFYLAHDTNFFTDVFGVTSIRESERELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQMVATAIAVYARWDFCRIQGIGWRWGGAVWQFSVVTYLPLDVLKFIIRYALTGGKAGDSALKKASSPPPTSQP >AMDW01035717.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035717.1:86:553:-1 gene:AMDW01035717.1_FG001 transcript:AMDW01035717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYANVGRVDEALALFDRMKKNGYVPNVNTYNLIFGMLGKKSRFTAMLEMLEEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLNGMKSCGVELSRDTYNTLISAYGRCGSRTYAFKMYDEMISSGFTPCLTTYNALLNVLSRQGDWSTAQSI >AMDW01019885.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019885.1:25:237:-1 gene:AMDW01019885.1_FG001 transcript:AMDW01019885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGCSPPVLVTFADADAAEYEASTGCLREPNEVATLHNSLLLDAVEELREKHPDVAIVHTDLFRHVSEM >KN542526.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542526.1:103:1448:-1 gene:KN542526.1_FG001 transcript:KN542526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIDALQRLGVSYHFKEEIDNSIESLVSVKFANDDFHAISLQFRLLRQQRHYMSCDLTITQVATDAFKEFIDKEGNLNGTLCSDTRALLALYEAAHLGTPNEQILRKAQVETTNQLKRIVDCIEKPLSDK >AMDW01040257.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040257.1:153:1193:1 gene:AMDW01040257.1_FG001 transcript:AMDW01040257.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IWKHYNEGTLMELLDPNLQVQCTEEGALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRNNELPRPTQPPFINIKGSNAKSDSSGSSSLKSSSDKSPFSLNQLSVSGVQAREVKIILLMIASCEETASKINGLNNRDKE >AMDW01040038.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040038.1:347:1116:-1 gene:AMDW01040038.1_FG001 transcript:AMDW01040038.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKWYLRAAEGGNVRAMYNISLCYSYGEGFSQDQVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLSLVNAQRALSDADKWRPRALYTRR >KN545175.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545175.1:4:2292:-1 gene:KN545175.1_FG001 transcript:KN545175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFMSIKHLPIPHVAPPERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFL >AMDW01040271.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040271.1:272:681:1 gene:AMDW01040271.1_FG001 transcript:AMDW01040271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LARVPPRLRRLGGLTNDDIGWFCVSYASKLLVAAPDVAKAVLVIADEFGGRAELGWTEAQVKTAAAKIPTVLMLSVERLRKNWEFLTKEVGMDAERVANFPVMLS >AMDW01023103.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023103.1:4:297:-1 gene:AMDW01023103.1_FG001 transcript:AMDW01023103.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CLDKATIVSDEDLEDGDRWRLCTVSQVEEVKILLRLIPIWLTSAVYFIANTQAQTTFVQQGTKTDGRIARGAFSVPAASLSSFQMAFVAVFVTLYNRA >AMDW01040076.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040076.1:541:1104:-1 gene:AMDW01040076.1_FG001 transcript:AMDW01040076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGNFSVPLWEKKFCTDACAIPWGKLCETKKLMSLYKNVVDWDDSAALETFNDAKARFCAVYHGQPCDIPLPDPNMYIDMVNQDEHVDPELVADLEKSRRSYPKRDNTAPDGWDSFIFTDKPVPATGWGDGETSNTPGQQYPTNWNNHVKQPTEANRMQSSVNWDNYVSQPPQATVQQSSGNWDMYVK >KN538810.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538810.1:209386:218780:1 gene:KN538810.1_FG025 transcript:KN538810.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKGFLVMATPVNQDSITPVNTWEEDELELEEEKGLLCKRKRAKFSDSTVRQQLGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNGGAKHANDMIC >KN538810.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538810.1:186137:188592:1 gene:KN538810.1_FG027 transcript:KN538810.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHLAKQARPRDLVSPAKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQFEGNVFRFPGGGTQFPQGADKYIDQLASVVPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIADLLCWEKVKEIGEMAIWRKRLNTESCPSRQDESSVQMCDSTNADDVWYKKMKPCVTPIPDVNDPSEVAGGAIKPFPSRLNAVPPRIANGLIPGVSSQAYQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTISKMSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRVLRPEGAVIMRDDVDILTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAAAAA >KN538810.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538810.1:170101:170322:1 gene:KN538810.1_FG028 transcript:KN538810.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGFPFYSQISSDSGCSAAGFNLFTQPSLSGGIHASRASVAASLEGIDLNSAEAMADEEFPNLGQYESIL >KN538810.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538810.1:220454:223357:1 gene:KN538810.1_FG029 transcript:KN538810.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMEEAAALSLGVSASNCKEPVHGANGRVEQSNGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >KN541675.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541675.1:85:3999:-1 gene:KN541675.1_FG001 transcript:KN541675.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLSGAVQWWEEWQLRILVLGRLGVQFFLAIFANARKKHIRPLFRFSIWLAYLGGDAVAIYALATLFNRQKKLQDKTGSHDLEVLWAPILLMHLGGQIFSISAYNIEDNELWRRHIVTSVSQSYWKFSDSRGHWTIERIECENIVIQSSIEKPFDESIILWHLATDFCFHHKDASPDSDECAKSCRQISNNMMHLLFDNPKMLLPSSRRVLSTAAYKELEDILQGDDVSLLDEKELTHEIIGKAEFAECGFIRDAWILAKELKQLGDEKKMWEVIKSVWMEMLCFSAGRCRGYLHAKSLGTGGEYLTVVSL >KN538810.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538810.1:189244:194538:-1 gene:KN538810.1_FG031 transcript:KN538810.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRGCWFGVQFGVLISGSTYDLFWDGHCFKSKHTLFYFPATLVAFNQVPHFKKSICYYDRTDGGSLSADKWNGYVNKDDRALLKVINTLVNLPFFIMKVVFPKMLGETLAYMSMTRPRKEIYYEPAEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNRNSRMWHRCLTSTGQPDFH >KN541675.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541675.1:17615:24976:-1 gene:KN541675.1_FG002 transcript:KN541675.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKIIPMENPDIPIDAHPTEPWILTGNIFASVDILNCDTQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQNHLLSILKSSGNIVKFAYANEIHSSAAKLFARKQWLVVGYHDGFIRVYTYESPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQVSCVDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKVVIGHQAGLVITEIRHEQPGPSNRRSIEQYAKAICNLDANGTETVI >KN541675.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541675.1:7428:13650:-1 gene:KN541675.1_FG003 transcript:KN541675.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAALRVATQKLASAMGDRAMLQWSFDRDLEGMKTTLESVAAVLEDAERRSIRDAAARLWLKRLKAAAYDVSDLLDEFHARAERASRKMTVLMPYRTIIPKITMANKMKVLREELNEITNQHKNFRFMPDNTSNQQHVTDKRATSSKVEEALILGRNQDKQKVMALLSDNITQGTTILPIYGIGGIGKTTLAKMVLNDTQFKDYSRVWVYVSQMFDLNKIGNSIISEVLNCESQITEPDRINRCLDELLAGRKVLIILDDMWENDQFELDKLMLMLNAGKGSKVTVIITTRDEEIAKKVCTIEPYKLGLLTDDMCWTVIKQKCNFEARIDKELLEDIGRDISMKCGGHKLVKVDLIHQWIALGFVERSSLFSTRQLGENYVSQLVGMSFLQHSRSPSIRALHFLDCGNIKLHGAAFSSAKWLRVLDLSACSIKNFPSSIGQLKHLRYLNAPGIQDRNILSIVKLSKLYFLNLSGSARITALPKSIGEIEGLVHLDLSGCLGIRELPESFYCYHIEGVSEAMSNLTELQYLNLSHCSSYKGRLHLKGLREILGNLTKLRYLNLSKCIDTIFGSAPTDQSCRFIECVGTLCNLEHLDLSKNNSLNSVPESLGRLRMLHTINLSGCCNLMQLPKSIGEIDSLKFLTVTDCRALDKSTLPCFSNNLILLPHFVVQASDSEFSSNIGLLQDANPTELKISSLENVKSAEETLGIKLSEKRRISKLIFQWSASAKRFMEDIDVLRDLLPPSTLQHFDLQGYKSISFPGWLMNISHYVPNIVKIKLEDLPMCNILPALGQLQNLQELFLGTMSSITKIDGDFCGCVRAFPQLVKFTLYYMKSLEEWTTTYSYGEDFVNEMMFPKLQRLEIRNCPKLKLKPCPPKTVDWKIESSDNVISSWGAGCTDTYSSSSPVTNLEVDSCKVPLCQWRLLQHLPVLPFLSINRCNDLTSLPDINRDPSTIELLSPQDISEMAAPKYLCELTSRKLKVNVSQEIIRRPISLQSLRLSNCASITSLPQWLGDITSLEKLEIFNCGGIGSLPQNIEKFNNLKYLHIFGCPRLKVWCQYKEHKMWLRHVEKVQRVDTGKHLLTMIGRSYGNSSSRSRKYREADDIILNITLS >AMDW01058590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058590.1:109:261:1 gene:AMDW01058590.1_FG001 transcript:AMDW01058590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPTHRVKRRRLDLSPPPHLNDLADELLFLILDRAAAHDPRALKSFSL >AMDW01040760.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040760.1:766:1986:-1 gene:AMDW01040760.1_FG001 transcript:AMDW01040760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRIVITRLFEEIGALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVTALQSLCSWNGSVGSLINDRWSLVQVLEAETRKKDSDIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLDLFKVASTSVHDFAELIFSLISSPDHRCPNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMEHPNSSFARFCRTKYLAAVSSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALRVAVAARRRCSGRGSVRMFYARRGSRYAAEYMESAAGDGGRGDGVAFTVRPGVKMGETMVACRVFLCHDQQAH >KN548445.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548445.1:242:346:1 gene:KN548445.1_FG001 transcript:KN548445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GFVISDWQGLDRITTPAHADYMLSIKLGIMAGIDM >AMDW01014021.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014021.1:136:211:1 gene:AMDW01014021.1_FG001 transcript:AMDW01014021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDDGEAEAVKVMACHALPQ >KN543483.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543483.1:3445:3570:-1 gene:KN543483.1_FG001 transcript:KN543483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGRPELANVATCNPCKKRGGGGWKGGLNKFLLSFSFKV >KN541577.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541577.1:47:2956:-1 gene:KN541577.1_FG001 transcript:KN541577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFPSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILVADALFFAGAAVMASATGPAQLVVGRVFVGLGVGTASMTSPLYISEASPARIRGALGREEEAEAILRKIYSAEEVEREKEELKESVEAEARERSSSEKTSLVALLMTTATSFLSLTEAIGAAWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLENRKLRL >KN541577.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541577.1:7866:8124:-1 gene:KN541577.1_FG002 transcript:KN541577.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEEEEVVTASAETEEHVQRILLAIEAFTRQVSEMLEVARVLFKNLAADFEDRLCS >KN541577.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541577.1:6086:7199:1 gene:KN541577.1_FG003 transcript:KN541577.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIETCRKVENALRRVDRKASQIKLLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVLAVGKQVSLARRVMERNIKGHPLHDVPCV >AMDW01033857.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033857.1:14:439:1 gene:AMDW01033857.1_FG001 transcript:AMDW01033857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLTHTAGNHPSGDNPTDSLNRKRVEKEERGELSTIYKDAAQNLTIGAVLIVTVTFAATFTMPGGYVSSSSDDGGGERRGGTPTLAGTYAFDAFVVANTLAFMLSAMATFSLMYAGYTPLDFAFRERCVKLSMGLLHSSV >KN539924.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539924.1:64369:67827:-1 gene:KN539924.1_FG001 transcript:KN539924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRRIRLRAEDVQGKNVLTNFWIRRKMVEIMVNQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYKEDVGTKLERPAEDEVVVGQEVTAE >KN539924.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539924.1:12220:17625:1 gene:KN539924.1_FG002 transcript:KN539924.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMTLGGGESMESTPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGMCKFGATCKFHHPREKAALANRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTMVAVRNSMYSPGQSATSPGQHTYPGAVTNWTLSRSASFIASPRWAGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETGGMGDHGMYQSYQGGSVPVGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPTGDVSSMHYQLSPSPGHPGILLDGGSGRSHRVPQSDSQQIPSGDGNVEREAS >KN539753.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539753.1:49335:51564:1 gene:KN539753.1_FG001 transcript:KN539753.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLQRGHLDASVFAGGAAGSPSKDSNVIRTACLRFGRERPELIRHLSRWDIQVALRYGCPSIDRKVVNSGKRLRAHVGLDEGEVCSQCNLRGGCERAYVKARKEEIGRTVDVMRILLTYGLDTVTGNVENRACLNKTVKESIKSLLNEIVGFDSMGPGSSTEKPTHRMPKGQSSIPMKQGDWNCPKCNFLNFAKNIKCLRCNGEFEERYQLLHENQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRQLNPGEWECVSCNYLNFKRNAFCLKCGWKRPKSLNNQDSIESQRDLDDNKHSAISFIQDGIQSRRQILQNKAPLSDEDSDFWSSEEEGAYHSDNSIPPIKKDYKFLESFPIVGGRTAMSQDPLEREKWKDEMSRRNKGLLTKVSEESNRPSCPVRIPRSIEQIESGDDDDDISSWFSGGTSNINMKKA >KN539924.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539924.1:51731:51943:1 gene:KN539924.1_FG003 transcript:KN539924.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQGTTSAGAAAAAHRIVVVFLLLLQLAFFHAFAYDPLNGNKAACNPNCPAPGGPYSHGCQNIYHCQH >KN539924.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539924.1:37633:37878:-1 gene:KN539924.1_FG004 transcript:KN539924.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDMIEDPGDTCIRVLKRMQADLVILVASRKAEKAPNRKEESHTEQKVVMGSLPVTPSSLPSLLEIVYLRKETAVAHLA >KN539971.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539971.1:2589:2864:-1 gene:KN539971.1_FG001 transcript:KN539971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSTRQQVMAYIALFLGALSMSSLAIWA >KN539924.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539924.1:20095:22752:1 gene:KN539924.1_FG005 transcript:KN539924.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MVLWGLNVLWIDPATGVGTRCLVISKGKKSVWFNIMVDIVCATMVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRVMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRLPYLAITALTLGAYFVHPLMQESSYQLPW >KN539924.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539924.1:58519:61250:-1 gene:KN539924.1_FG006 transcript:KN539924.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDKSTDVLHVLLNYWYWVASVGFLAPSLPFSLGSGDYQLEQKGASMGLRSKQPKALAFRCYAASHRSLTLAVWSLAALVVVVNFHLLIIHKEDESTSTHEINRSIVSELEEVEEEKFRVSPPRSRRNPRAVRRKGEQKPPSVVDEFLDESSAVHDMFFPERNTAIDPINGGNDSMYFYYPGRVWLDTDGNPIQAHGGGVLYDEKTETYFWYGENKDGKTYKAHSKGADRISVRPYVIPNHDNLFQVDIVGVSCYSSKDLWTWRNEGVVLRGEKKNVTHDLHKSNVLERPKVIYNGRTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFSYLYSKQPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTDNMRRLLIAQHREAPALFKYEGTYYMITSGCTGWAPNTALAHAATAIMGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPIPGLSGSFIFMADRWSPSELRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWRDS >KN539753.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539753.1:24070:26052:1 gene:KN539753.1_FG002 transcript:KN539753.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWQARDFLFCGVCGTLLKFDSVRSASCPLCGFKRKAKDIEGKETRYTVTAEDIRRELKLDPYVILETTLKEEDVIIERATVNKECEKCKNPELQYYTKQLRSADEGQTVFYKCAKCRHEFNENQ >KN539971.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539971.1:47440:49068:-1 gene:KN539971.1_FG002 transcript:KN539971.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSISDVEIEELGNSMKDELRNYLSLNIVHASGSEFCLIPRIHEYIRMINRDSYEPIILSIGPYHNGSPEFSSMEREKWNCLDYIIRLNCKKGLKDYITIINGLEERARICYSGDIKMNKRMFLQTLLLDGCFVLVSLGGYNEFLMPESHRYMDYCSRGKTLEGDSSSWHETVTGTDGIVHRKHSRKESSVPEIELCSEISGYQNGQCQHQDITQPIRQWFDIFIPHDLLLLENQIPFFVIQGIYEEVVSQLPNKTETTSACTRSIIQYIEQLVPYYPKAIRESNRPKHFDHLLHLCHMYFRPCSNQDEHHGHSAHYIHNFLQMGQDYLNLVYKQEAAYFGSSWDGHFPYQWRRATQYHEAGIEFRRRVYCECNPHSLLDIKLRDGVLEIPFLFVDEYSSFLFRNFIALEQTCSKVGNDVTAYILFMAKLMSMPDDVALLSRNGIVAHHLRTDREVSQLFTNLTKGVVFDMYGNYYFKPLCLALEAYYQNRLHRWIAWLRHNHFRNPWLAVAGLAGAIVLFCTVAQTVLGVLSYVHPR >KN539971.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539971.1:31996:34053:1 gene:KN539971.1_FG003 transcript:KN539971.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDGLICVVQEISQGSESLDLIHAICALLYMLTEQYDNTDDVDIDVLVDSMRADLKRRATLDEYRKNGINCGLICKIVYRIRLTDRNAYEPSVLSIGPYHHSESPLLAMETEKWICLDYILKLNRDVSLREYLIVISGLEKQVRGCYSEDIDMDSKEFLQMLLLDSSFILVYLGGMHGLCRAEGTQEASVSGPRTQEDGDTVEWYNSSAVYDLLLLENQIPFFIVKTIYQLFSRDTVATTVLLTSDISEFIEGILCHFPKMITEVNRPGDFYHLLHLCHMYLKPGHKLEDEQHHAHAQKPHCFDYISDFGRKVFCFGREQNMFHQLDELYSPRQANRWRRAVEYHEAGIEFKKRVFDEENPHSLLDIRFRKGVMEIPCLPIDDKSSLLFRNLVALEQTCAEVGEDITAYIVFMSEIISTAADVALLAQKGIIVHQMESDEEVSTLFTKLFDYVAFDFSSEHYLKSLYCAMETHYQSRLNRWNAWLWHNHFSNPWLGFAAITSTFIVLCSIMQTALAFLSYIG >KN539753.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539753.1:55388:59810:1 gene:KN539753.1_FG003 transcript:KN539753.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSAGVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDRPPTVRFQTRINMSCVNQETGMAMKIKE >KN539753.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539753.1:79807:85071:1 gene:KN539753.1_FG004 transcript:KN539753.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVTKKATTPFDGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPADKVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESVTDKIFSNTTTITEYLIAEDLPDVDISVVGVTTFSGPEGPFDVDVFDSTIDYIKLMKTIFDFESIKKLLASPKFTFCYDALHGVAGTYATRIFVEELGAAESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSSNAEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVASCHWSRIQESEQKLDVSFDRGIDVSIHLLSDIMSICKNNVILIHQRSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVTVEDIVRQHWGTYGRHYYTRYDYENVDAGVAKELMANLVSMQSSLSDVN >KN539753.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539753.1:9581:14616:-1 gene:KN539753.1_FG005 transcript:KN539753.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVPFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDISALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEFTTQKEVQLAPIRRPGSVSLNQSPKTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGSLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMRAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGVTYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLVCSPTQLR >KN539753.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539753.1:69325:76271:-1 gene:KN539753.1_FG006 transcript:KN539753.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEALQLHHLPDRESSRVAASLENLLSETGLQKGHHVPAQEYRHEAYRDQVCRESEQSSAASMLTSYPDGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLQACTRVAVLRLPGAALAGRVPEGTLGNLTALHTLSLRLNALAGALPGDLASAAALRNVFLNGNRLSGEFPRAFLALQGLVRLALGGNDLSGSLPPALGNLTRLKVLLLENNRFSGEIPDLKQPLQQFNVSFNQLNGSIPATLRTMPRSAFLGTGLCGGPLGPCPGEVSPSPAPGEQPVSPTPANNGDKGGNGGESGKKSKKLSGGAIAGIAIGSAVGAALLLFLLICLCCRSGRTKTRSMEMPPPPSSAPAVVAAGRKPPEMTSAAAVAPMATVGNPHAPLVQSTSGKKLIFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLTEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWETRSSIALAAAHGVEYIHSTSSSASHGNIKSSNVLLNKSYQARLSDNGLSALVGPSSAPSRASGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRYQNVEEQMVQLLQLAIDCVAQVPDARPSMPHVVLRIEEIKKSSERLEGRDPQQQASNLEAGDDQTSKPESAEGLNPFAP >KN539753.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539753.1:423:1835:-1 gene:KN539753.1_FG007 transcript:KN539753.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNGNTATRP >KN539753.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539753.1:28183:37508:-1 gene:KN539753.1_FG008 transcript:KN539753.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQPGADDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSET >KN539753.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539753.1:43826:48083:1 gene:KN539753.1_FG009 transcript:KN539753.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCMSLGLAVETISCQELQRLCNTSSDQFSEEKLKDASKLYAPGRVFHIVERENCRCGRLPPQVRTAVPTEGRFEHVVLSCNATSDHGIIWIEKEAQKALDLMEQEELTLPPSQQKMLRVKETESLADRQKLSAMEHAVFIREGNPQEDDTLSSSSPFSSPRTSTTSSSRSESSSTRSEWDELVEIFLSDHEEDGDGSLSKFAAEEAEEEEEEEQEEKKAMVVIDKERVRILQERRCDSKGRGAVYDPVLGICCHFCRQKKLCGEEGCKRCGEGDLNQPCIGKTDCSSCHSSFGILCRACLKVRYGEDMDEVRKNKNWMCPHCIEEKGTKKFWICNSSICLKKRKLSPTGIAIYDAREQGYESVAHLLMDKLKCRAF >AMDW01036975.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036975.1:120:467:-1 gene:AMDW01036975.1_FG001 transcript:AMDW01036975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLS >KN538942.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538942.1:88431:91572:1 gene:KN538942.1_FG001 transcript:KN538942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVQNKPDWFLKISPEGKVPVFNGGDGKWIPDSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSCFTTFLKSKDPNDGSEKALLTELQALEEHLKAHGPFINGQNISAADLSLAPKLYHLQVALEHFKGWKIPENLTNVHAYTEALFSRESFIKTKAAKEHLIAGWAPKVNA >KN538942.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538942.1:59004:59645:1 gene:KN538942.1_FG002 transcript:KN538942.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGPVGTVLSTGKSRWKRSWCGGGGGGSEVMGFGPVGTVLSTWKRSWCGGGGGGSEVMGFGPVGTVLSTGKSCWKLSWCGGGGGGSEVIGFGPVGTVLNIGKSRWKCSWCGGGGGSEVMGFGLVGTLLSTGKTRGPLITDGGGDVRIGGSSPGGPCRARRAVAVVDSIRSTSDAIAAAAVTFLSTAMAIDQLILLWFLVFASDMRCSPYDVG >KN538942.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538942.1:19650:19997:-1 gene:KN538942.1_FG003 transcript:KN538942.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCEASLYDALLAANWEPPSQLRHVCVLGNSFRNYAIQAEENRSGPAARAKHVLAAERFAWEERVNEKGGVDDDDDDVFARAFNETSWHFFEVDDATDLAAAVTAAAASTEGRR >KN538942.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538942.1:127546:129619:-1 gene:KN538942.1_FG004 transcript:KN538942.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPLGFGDGLDATLLSSLWAFQDDLQPQESMEELKQSLMATTLELDAAKEELKKKEQSIAKLADLVRHVAKERDDARDQLQLR >KN538942.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538942.1:3128:4205:-1 gene:KN538942.1_FG005 transcript:KN538942.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQQQQSGGGGGSTGAAAVGSTTRWCPTPEQLMMLEEMYRGGLRTPNAAQIQQITAHLSTYGRIEGKNVFYWFQNHKARERQKKRLTTLDVTTTTAAAAADADASHLAVLSLSPTAAEFRVTPS >KN538942.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538942.1:157567:159119:-1 gene:KN538942.1_FG006 transcript:KN538942.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEMMMRMMCGSGGNEMMKTKMKKKNREGEEEDEVSGGGGRMRKGPWTEQEDVQLVCLPGRTDNEIKNFWRTHMRKIAHHAKKKTSSPSPAPTTSSSGSLSSSLTSTTTTMATAAALQESSSCGGDDEAVDQLVAAATTPASQLQTMDHYTMDQLWNDIAAAEADTSCYDAAAMASPPSPVWEFCTDYSLWRIDDEEYYKKMLDASQ >KN538942.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538942.1:122139:123589:-1 gene:KN538942.1_FG007 transcript:KN538942.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLVDLPPQLMHRTNPPVESDLSRVIRYVRADNAGRYGEQEWAPVRVNTNNLTHLRLTLAERLGQNCDAGQITLCVRAGRYAHLSPLLIDLPMGNNPIHIVVLNHGTPGD >KN538942.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538942.1:99432:110464:1 gene:KN538942.1_FG008 transcript:KN538942.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLLTYLILALLAASTAAARRHPSPASSAAAGKPVYLWPLPKNFTSGSLTLLVDPDLALDGQGPGGAAAAVAEAFERYRSLVFAPWARAARNASGGYDVGKLTVVVASADEKLELGVDESYTIYVAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIEDEPRFAFRGLLLGIHVMAEIDVPGHAESWGKGYPKLWPSPKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNATPHVKQWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWEDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAVLFLNSSHMYRVQDLQLANWQSSFELPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLELPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKYSSDSPSLSPSTSSPTSLGLSPASSNFSHTLVPSSRSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVAGDFFHLRELGNTLPVKVPTLILYNIYFVYSTLLLKVQC >KN538942.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538942.1:50166:53592:-1 gene:KN538942.1_FG009 transcript:KN538942.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAADPGAAYKLLLSCPAGLPRSRVSVKFDQSFDRIPHPDAALEESISVIWNQRLKQNPSSYSGTKFRYGGHAVHYKDEPNKEYCVSLHLGLTDYSTFVGTNLNPLWEKFLVPSEDDSVHCQHMSNPLGNGAIVQTSDEKIIVLQRSYNVGEFPGYFVFPGGHSEPQEIGILAHQTDEKDLAVLNERVSQEMFDGIIREVVEETGVPSNSLTEPVFIGISRREMNVRPTAFFFTKCNIDSGGVHELYSRAQDGFESTKMYAVSEEELLGMTDRMPGCHRGGFALYEMMKTAAKKS >KN538942.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538942.1:22870:26676:1 gene:KN538942.1_FG010 transcript:KN538942.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSSGGGRVPRLDGEASPDATEDEEEEEEEEEESPATPSQESDAGDFSGGEENGGDVGMEEEEEEDDEVEEEEEDEEDSGMGSDELEITELGEAGSEMCQVGDQSVAIPLELYDLAGLGDVLSLDAWNTLLSEEERQRLAALLPDMDQETFARTLAELLRGDNFHFGSPLAALFDRLKGGLCDPRIALYRRGTRFAERRKHYYRLQSYHNSMVRGLWDVKDCWKGCQGYNINEKLRALDAMKAQQQQQQQQQQQKAHLGLGGRAGSETDSESREYGDPSLMRLKPDKTVLKKSGKPEKERSKGLLRLGAPKGLGEEYIGGAGRDAAMALSELSRQDNAYGYDSGVMRRGKPRRSQQGLHSEELGDDRDLRMIRSHRPMPKPGKKELAASYDGNLYGNNYHENQNGSSYYYGRDANANQGVTVAAAYDRPYFDTARNTKYSDRDWMYGGQGVSSKALKGDEMDWPAGSHAGSMNDWQRGQSAGDYRSRKTQAGHGLKVKSYKSIEKQISDANFGSDHRGKIPGKIKGKSTSQYDRIGQKYSRSNAVYTQSEETESDSSEKFEGGGDMDLKRQPEHHSGSHRPAYSAKKLNKPPKASKVNYPTATEDFEPYQSKRTHRVNVTESDYLRDVHVTETEQISEMMRPPAARGERKRKVMASVDTHDHGNTELPDSNENADESLRSPENGERLASGSGCVDSNGDVEKKKMPLASCSSGSKKQKRRVEATSPAEHGEDAPSAPKLVENSSSSKKKGKKKPAAPEAVTDAVVVDEPAPVLPEVNVVVVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVRKAMATPTEDTLSAKQPDGEESRKCFNNEEHSKTPQDPSATEQAQQGHEAVDASGPEKAQQGHETADASAAEQTTPSNLPAFTVQEIVTRIRSNPGDPSILETQEPLQDLVRGVLKILSSRTAPLGAKGWKALVSYDKSNKSWLWVGPLPSCSSDGDPNEETSPDAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPDPANLDLKERFKDLRAQKSLNTISPSSEEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAAARLPARTGTRADVCTLLKDSQYLNHEESNKEAAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKESDPADPGNDDLEDDGTPTASDAKKQKTDSTASGEDKDSEDPAIQDPSAGDLEGDPDPDH >KN538942.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538942.1:66828:70124:-1 gene:KN538942.1_FG011 transcript:KN538942.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEESHKGKDDSTKVQATALSTCALLQEISFLAELLPEANALCPLYALQGFSNKRNLVPAKTPSAKERPEQVEFTHCSPGIVARLMGLDTVPRPKKALDRCQSDIQCNMQRVLSGGDQVYDASSEDQPCSSSADDLPELKDVFEVTEMENKETCIGLQSGNEEPCPRSDKDDLEFVRQKFLDAKRLSTDESHRNSKEFAEALEILYSKKDAFLEILQENSGALPGFSGHIFGHNGLQYSKLFEQDNCSRMGVERDEFFNVPKELENPIPSSRLNETYGVPLDSLPPKGSKSKGSSRCSQIVVLKPNLQRKSFTPVLSSETSHFGEMSTHNCSRPQHHCMHRGQVTHSAPLNNDQVLQPKRDTPGLRGAIETPKIGSRRKSSERECQLEIGSGRAKDTPSSFEDNLPIYPPNRSVGSSVCRKAKKHLSERWQMAYQSDEEISMPKDTITLGEMLEMTDRDATKVITHKISSETNYNHDNVQKAPACPVGISSKDGWKTGIYCKDNSRSGTARNFSRSKSLPTSATNNAKLPCRKQSAPTCNLPILKDLLNAPTDESGSEHVRNRSSFRKTKQRSGRAIIHAGKENMLPVKEIHVTSEKARHSICISDLSRASNTHSEHPDGVMSNEDHQTSGSTALDDDLQSSKERMGWTELKLTPPLPVTKEDTSIHNQDNIVLKDQEGRNQQVEIDIAEAESQAIDSSHIISLENHKCSNSTASLQQICGHDTAYSGIFKGVSDGIQELRMQLKMLKMGDQDDTCGDDIYMLSSDECSDTDNLTYQLMEEQLPVFKDEYDRDFSYTNDILGSASDFLVYPEDWQVSPDVFVWLEDKYSKLLLWSKSDRRLLFDLINSILADMTAPGNSLCSNIMVKCWSEMDPGKLAENVWQTVLNRRSYEPFSLDCVEALPLDHHSEVEAIGAEIVKMLHDDILEESVAEFISQ >KN538942.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538942.1:93158:95905:1 gene:KN538942.1_FG012 transcript:KN538942.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLTPRQARKAYGGDGGTYYEWSPADLPMLELANIGGAKLSLNAGGLALPSFSDSGKVAYVLQGKGTCGIVLPEASKEKVIAVKEGDSLALPFGVVTWWHNLPESPIELVILFLGDTSKAHKAGQFTNMQLTGATGIFTGFSTEFVGRAWDLAESDAVKLVSSQPASGIVKIKSGQKLPEPSAADREGMALNCLEAPLDVDIKNGGRVGVTYFIRGSGRVQVVGADGKRVLDTHVEGGNLFIVPRFCVVSKIADASGLQWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNATPEMEKLFRSKRLDSEIFFAPN >KN538942.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538942.1:168422:171231:1 gene:KN538942.1_FG013 transcript:KN538942.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLREVVPNPGGDAEGEVEAEEGVVSSSRSKRVRPKRPKKVMTPMEAVERRKLKREEQFRREVELRREERRKVKKEKRRIRRLMEAEAAGEVGEAAAEEEEEEEHEAETEGEEEAAAEEQVPAKVVEKRKPKSEPKVKNQKQLQVADHAVGSDDPVLSEESEKNAKKVYVGGIPYYSSEDDIRSFFEACGSITSVDCMAFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFFLKIQPYKSVREKEDFAPKMIEGYNRVYVGNLAWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYVHVDFSEGTSLAVAMKLDQKVIKGRPVRIRCAVPKKDNQKLNGNANPDSSNNKIRICYECGTPACPNKVAAVNSDMEKSYGGSSTIPSATSDGNKASDDTNPVPAKKKKRRTCYECGIAGHLSSECPNKTT >KN538942.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538942.1:112931:117338:-1 gene:KN538942.1_FG014 transcript:KN538942.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASSSAAASAAGVGTLPVAAAGKDKEDRRRLVGRCGFAVVGIMSTLLIYGLLQVPLFDLPFTFQSLTPNFEPTNGILINSLVLRLEKIMRVPYGAEKEFFRYSLFLVFCNRITTSTVSALVLTASKKSLDPVAPLQKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTVGCSLFILYPASMDASPFNRGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSGLILQNQMIPAVDFMFRHPDCFYDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQLAIVFGALYTKSFLRSKPQKPAAANPSNSANNS >KN538942.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538942.1:119655:120589:-1 gene:KN538942.1_FG015 transcript:KN538942.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKISLKLLVDSKSRKVLFAEAGKEFVDFVFSLLTLPVGAVVKLISAGTMQGSIGRLYQSVEHINASYLLPNKGRADLLQPKVTPMSAISSITLINKFSVGKDVELAEKYVSIGMDEGLGILKAALRSDMVLSDVFLAKKK >KN538942.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538942.1:62072:62904:-1 gene:KN538942.1_FG016 transcript:KN538942.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIWRRNTSPALLLLLLLAHDDPATSDDEGPVLFHSLTGTLPPSHLSGGSSGRTSPLSVAGLAGGDEEVSFPAKPRGEVPPSGPSKPPPATGLADNDEEVSFPAKPRGEVPPSGPSKPPPALGLADDDEGVSFPAKPRGNVPPSGPSKPPPAARSADDDEEVSFPAKPRGNVPPSVPSKPPPAAGLADDDKGVSFPTKPRGNMPPSGPSKPPPAAGLAADDEGISFPAKPRGKVPPSGPSKPPPSSYHLF >KN538942.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538942.1:28417:33712:-1 gene:KN538942.1_FG017 transcript:KN538942.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIGLTSPSPKSTEKSGRDLRSGGDANGGANTNSNSIPRGDKEKGVNVQVILRCRPMSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPASKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPIVPEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELSEKLGKTQKDLEDTKNVLRDLEEKYNEAKSTIKEKEYVIFNLLKSERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVMQQENHLKEMEDDMQSFVSSKDEAAQGLRESIQKLKLLHGSGITALDSLAGEIDMNSQTTFERLNSQVQSHTSSLEQCFGGIASEADNLLNELQCSLSKQEERLTQFAKKQREGHLRAVEASRSISKITAGFFSSLDVHAYKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSHARKKKLVQTAVGSLRESAVNRTSHLQNEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTEANQSLRSKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEISELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPIRRQIDLPSMESIEQLRTPDYDELLKSFRESRASLKQANGDMKHFLEVQEATPPSITDPRAPLIARN >KN538942.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538942.1:79410:80301:-1 gene:KN538942.1_FG018 transcript:KN538942.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWRPFELSTTGLGFDTATGEHKVVRLFKRRNGEHVCEFGSVYVPPRLSSRMCHLANLDGSLCAVFDNRGAGGVYGLFTCSEPSPAPSPSWSVRCSIYLNRLREVSDELMEERVIVPLCTAGGKILLATGRHKVFAYDAGRNAVERVFRMQEFVDVPNDCLEARLLLSVGLHDECIADVHHNGDGGGERMLLVNTGRRGNTVVKREVPVEYHDDSDRRFNVLFEGFKEDSCPLPPHMNM >KN538942.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538942.1:166054:168204:-1 gene:KN538942.1_FG019 transcript:KN538942.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAATATAAEAAARAYPWREKLAKYKGELTKGVWGYWELGAWKPLGLSARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEESGKS >KN538942.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538942.1:42394:49293:-1 gene:KN538942.1_FG020 transcript:KN538942.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTTSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHVERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGVVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEVMEAVNVPLLFKSSARYPDGIALEAVFVHPSVVDMLDAAVGDTIENGQWIDALSVLPSYITGPDATKILSLSPSLQKAIKSSKAVVFGESCVFSNAFIKGIFDRLEKEMDSFGIKHSAGQGKPLNMSSEHRIGSDGKDLGDNDTSSIGASSDKGPKKKRGKVSGSAKGAAVEKDDDNEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEDNTNIFPDDLIEQKVLTVAPELEELGGSDDLNGPLKLLSSHLRPMLMDAWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLTLHKDNKLKNGMDVEDSEENVQLSTADRTSLAKDLPGSLSVKAQALVETLEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKIPAPTYKVLADYHSTTVKVLALQAAATEDGEDCATDRMLERKEDLEERLMPELKSLVLGTSKE >KN538706.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538706.1:83984:89283:1 gene:KN538706.1_FG019 transcript:KN538706.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAAVHGVGRQDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYGECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAATRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRLSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSMTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDAPSATRTLDLASTLEVGSGGTTRASSDTSSACNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKNPPGSPEAHTLARWIGRSYRFHTGADLLRTDSQSTDSSLKAMWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQVVVWKVLSDDDTPHCLAFMFVNWSFV >KN538706.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538706.1:139188:142370:1 gene:KN538706.1_FG020 transcript:KN538706.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G44560) UniProtKB/Swiss-Prot;Acc:Q0WTY4] MNIFKKKVDPKEALRTSKREMSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAMYAGTSISAGMKGASKAMAAMNKQMEPTKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAASNRKAESNQARNAAPPRNNVEPESSAEVDDLERRLASLRRI >KN538706.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538706.1:35524:39724:1 gene:KN538706.1_FG021 transcript:KN538706.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERLIEETSDQDECVIRLNDIPGGAKSFELVARFCYGVKIELSSENVVYLRCASEHLQMTEEIAEDNLISQSEIFLNQVIIRNWKDSLKALETCEDLLPHAENLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSNWWYEDASALSFHMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSMGAVPLTATLSEVEQKNLLEEIDRLLPVQKGLASTRVLLGLLRTAMILKASSTCISNLEKRIGMQLDHATLEDLLLPNFSYTMETLYNVECVQRILDHFLAMDQANGAASPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLSELEKECSTMRQEIQKLGRGKSGGWASRVPKKFNLKLKSQMCSAQEGSVSEQHKSMSAKLDKLQAKVSRQKKQLAGDA >KN538706.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538706.1:105495:106257:1 gene:KN538706.1_FG022 transcript:KN538706.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRSPPTSTSTSLAGGPKPSEVYGFVGSITTVIATTVYLVWAYMPESCLRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSRERTMFDPANANATGEEEVERPIEPISDISIGQINSLMFGDPQKRAQQF >KN538706.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538706.1:113052:118800:1 gene:KN538706.1_FG023 transcript:KN538706.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-binding protein MSI1 [Source:Projected from Arabidopsis thaliana (AT5G58230) UniProtKB/Swiss-Prot;Acc:O22467] MAAFEKGRAKVAAFEKGRAKVAAFEKGRVKVAAFEKGRGKKANTSGTKMVNTLVGITEEQEDMKMKMAAFEKGRTKVDTFGMKMANMSVGITEEQEDMKTREEQETYQTWLCNIPFIYDLCIINNLECPLSTVQWLPGQDQHQKMVLGTHHPQNSEKSPNYLIIAQAQLSCDDDEDIEYPCGDVEDMEYCESDDSNSGLSGAGSSKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPVSTKPVQSVAAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRLHSPGFSSGLGTIVSMAHISMEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >KN538706.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538706.1:22062:23298:-1 gene:KN538706.1_FG024 transcript:KN538706.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAAVMLAAAAAAPAPAPAGMTCEQLESVARSCTGYLKRSLIFLNDACCDGAESVYEALTTDGAVDLGFVCRCLRGFVISESLRPYLYRVANLPRLCRFKDRGPIPYNNSTIQDDCRFSGTTRRSL >KN538706.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538706.1:143316:146571:-1 gene:KN538706.1_FG025 transcript:KN538706.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNVMQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLILYWVIYEPYLGRSNYKNRMHEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKAKGDEDVGVKISLCVMLHNFYSTHKYLALCKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRLGQGREKALQYLRESPTICDEIEKTSTWYNQQILNSPVDTALNL >KN538706.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538706.1:170115:170674:1 gene:KN538706.1_FG026 transcript:KN538706.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAASSSPRPRAYIVLVVMMMSMVMFVDFSLGGQQADGDDLAVVNAVVAQDGSGDYTTVGAAVAAAPRKSTTRYTIHVKTGVYREVLRVDQHMWNLTLIGDGVGATVITADRGFDDGFSTSETATVDINNYSTKVTF >KN538706.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538706.1:176420:177295:1 gene:KN538706.1_FG027 transcript:KN538706.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGAHDVHEGYQDTVYAERGVQFYRDCGISGTVDFIFGNAIAVFQNCSLSARLPLAGQQNVVTAQGRFNANDSSGFVFQFCTVIGDPRLGNTSTETYLGRPWKPYSRVLFMESYLDGIINPLGYLPWNGSIGINTLYYAEYNNKGVGADVRHRVKWQGYHGIISPIEAGNFTVKKFIDGSEWIMGTGVPYTPGL >KN538706.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538706.1:110397:111295:1 gene:KN538706.1_FG028 transcript:KN538706.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKDKNNFDLAIWGQSLHPSRIHSPASRHFTPPPIPKPSPPPTTRPQTLTLGEGAAAAAGGEMPKAPAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKVHLSPLPFSPPLPPLFLLIRY >KN538706.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538706.1:49409:49636:1 gene:KN538706.1_FG029 transcript:KN538706.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWLPSASIAINVFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAADAVAGKVEDGDAKPSAPPM >KN538706.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538706.1:14050:16843:-1 gene:KN538706.1_FG030 transcript:KN538706.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFNRMGSDGSFGKGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKVVLSTLLDAKKRKQEGLEDLASGHNPKKGSFEVLAWQYHFPKEARNKPI >KN538706.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538706.1:123007:123345:1 gene:KN538706.1_FG031 transcript:KN538706.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGHTSGSSSNYVRIQASKAATALHGAAAAAGAMLSQRNVESVLFRGRRMSERTNAEKVDAVAAEVVKEHERRRRSPLPNVVIKQVGTPRVSSASTLASPATASASYGSRQ >KN538706.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538706.1:153967:155784:1 gene:KN538706.1_FG032 transcript:KN538706.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTVAPTPSPMPTSSGSSYNAPPSGSSYNAPPSTSVPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >KN538706.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538706.1:81203:81511:-1 gene:KN538706.1_FG033 transcript:KN538706.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTDVFPSRFATALDVDMAEKVRSSRLSVLELLRGGQASMLGKRARFCSNFARSDSGTAAMEAKAVDWSTAKRETCGKASFAMCPTIFHSKDCLLVPIVL >AMDW01003608.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003608.1:61:201:-1 gene:AMDW01003608.1_FG001 transcript:AMDW01003608.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAQWATAQRTLHGLNQSSTSSDLFNDKTGYRELSEIAEQAAKRAEVA >AMDW01032059.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032059.1:154:348:-1 gene:AMDW01032059.1_FG001 transcript:AMDW01032059.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAKTTKVGCASVSCDANRGTFMVCEYDPPGNVPGVQAYAGCGHFNQTDHVW >KN539568.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539568.1:19863:20701:-1 gene:KN539568.1_FG001 transcript:KN539568.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLAGTKFSFGSLDLTATSAGVLRPALPDFLPPTTPPRMLFGVSNFAATAARALQAGNPVDDCSIASPPPRHPGHHGRSKRRRPRLPRELRSRWVEFLETDEERRSAASNLNRRLNELRQRGCATQDAILQTKLGERDVFTMPQNNKIAAKALLDNIQVPNDPAINTTIAQVRAMVEVATFQHAEVAPTASFVGGSSSNRPSQQQHAGSKHIEASQAGSSRPSLRTSDLRDKIEARRRSPQRQE >KN539568.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539568.1:70651:82144:1 gene:KN539568.1_FG002 transcript:KN539568.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDRVWELEHKNTQLLHEKGKLEKQLETKAAAQAISSQKEEVERSLKGENDKLQLEDLTMEENYSQSEAEVEQFQKELCALVEEKEVAAKTFDDDKEKMKMESEYLKRRLEEIHDNKDFMKTENNKLRSEALIVEQKQIMFEAEIKRLKMELGAVTEAKEVAAKAFNAQNEEITKKLEDLKRKLEEIQTNKDLVEGETNEFQPEVFATEEKNSLSEAEIKCLKQILEVAMEAKEAAAESFDAEKEEIMKQSNNLKRKIEENQASKDLVESENDKLRSKMVTVKQKHNQFEADNKSLKIELGALKEAKEATAKAFDVEKAGILKELEDPKRKVEEIQANKDLVERENDKLQLEVLTGEQKQSKSKAKAKSLKVELSTLVEAKEATAKAFDVEKAKIMKELEDLKKKVEEIQGKKDLVEGEKDKLWLEILIVEQKHSMHELEVKRLKLELELKVQNAAIKSIFRTKRSRIVQLSQAIEKWKYNSALVRRHSWDAAQETQGRGLLLSERVKELESQNTTLSNEKNDLERRVKRLEYENNKLSNEKRWAVDVYSRKISTLEYRVWELDHQNTKLSSELVRQKEDTRTVGLLFMNAADRYQHVAEVQIRTKEEELVNMRKASMQLMNAADTYQEVARKQIKAKENDLEDARKAILLIMNAADTYQQVVEKKINDKMEELRVLGVQKAEMDARIASLESRLEAALVKNQELESTYVEALIENDRLWSVVERLMMGALVEVKEPYGLIHGLIDIKGKVNL >KN539568.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539568.1:29079:30299:-1 gene:KN539568.1_FG003 transcript:KN539568.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVFEWAKTSFYLFQIESIPVHVRSIIPSLFSDADRYSWEDGPYELERKNNRLSQEKSNLESRVRWLVNENTKLSNEKSYLESRVRRLVNENTELSNEKRRAAYVSSTLEYRVRELEHQNTKLSAVLVKQREDTRKAGLLFMNAADTYQHVADKQIRTKEEELANTRKTGLLLMNTADAYQEVAKKQIKAMVEDLKDARKAVLVVMDAADTYQQVAEKKIKDKVEELRVLGVHKAEMDARAASLESELEAALAKNQELEAYYSKVLIENDRLWSGMELVEAKETSTNAFDSDEAEIMKELEDHKMKVEENHSSKDLRKGENDKIQLEVLTAEQKNSMFEAGVERLKMELDVLVEAKKAKSRSNQETLRGEVKEIQAAMDFVKRDNDKLWLEASEAGVERLKENI >AMDW01036129.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036129.1:15:491:1 gene:AMDW01036129.1_FG001 transcript:AMDW01036129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKTGSKKKPTPAVMRIRSPPPDPHRRARAAPRRCIPPSASDSESSASSPTTSPATAAAAALPGIAQARHEFFAKHKVYHYGAPPLLNETSSGRAREDARACAAEFATNPPTVLSPPPPPPGVIPPPPGVIPAPPPAWWTEHHRHPVASMPPRGPL >KN541693.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541693.1:10365:11875:1 gene:KN541693.1_FG001 transcript:KN541693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASYEEQRRRQVEANKRKLEELRLHHLSAAVRESAAKPSPVKQRKRKARAPPGDGEDAPLRRSGRVANLPEKPKYRDEFQDFEKRIRRSYGGKRRDLTNRVYATDEQRDYAINAAQELEEELGSDYPIFVKPMLQSHVTGGFWLSLPTHFSRKYLPKRDETIRLVDEEDDEFDTLYLANKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRTKFKVYIIRASSYYETDD >AMDW01040773.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040773.1:1767:2222:-1 gene:AMDW01040773.1_FG001 transcript:AMDW01040773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRSFHSSVCAGLLPSLPPPPARTYSSPEPKTPKQQQQLQRRGGKRSRPISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLRQNRSISLELPLFELFDEVEVKPHAKSAPSSPVGGSGFDFFNDNETAVATENLRRILHLDISNH >KN539289.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539289.1:39188:42249:1 gene:KN539289.1_FG001 transcript:KN539289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEALKFISYPAQVLAKSSKMIPVMLMGTILYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDILLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLKQWGSVVMVFSGLSLQIFLKWKRKKRPLVSSPCRCPSRPPACRAAARKVAAGPSGGDHDVAEGCTGSGVPAPKSGSVGGGGAVGGGGQPGRVRLLRWSGGTEARELASSHGRTAPSAQQRRDREAVRRRVDETSAARFFGRRWMLWRTATA >KN539289.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539289.1:105508:106825:-1 gene:KN539289.1_FG002 transcript:KN539289.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYIAFDFPAAYLGKLKAQYAATAGAGKICSAFDIVIAKLWQCRTRAIAADPAAAVKLCFFASARQVLGLETGYWGNAIFPVKMTFDYESVYVSDWSKLGFNDVDYGYGAPSSAGPLVNCDLISSVIVMRAPAPLAGTRLLASCVTKDHADDFAARMREDLV >KN539289.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539289.1:24912:27343:1 gene:KN539289.1_FG003 transcript:KN539289.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQLHGYTLREPLGVAGLIVPWNFPAIMFFSKVSPALAAGCTVVVKPAEQTPLSALFLAHLSKQAGVPDGVINVVTGFGPTAGAAISSHMDVDVVAFTGSTEVGRLIMEASAKSNLKPVSLELGGKSPFIVFDDADLDKAVELAIGGNFFNKGEACVAGSRVFVQEGIYDRFEQKLADTMKSWVVGDPFDPRVNQGPQVDKAQYERVLGYIEQGKAEGATVLTGGKPCGKKGYYIEPTIFTNVKEAIERANGTRYGLAAGVVTRDIDVANRMARSIRAGVVWVNCYFAMDRSCPFGGRKMSGFGKDDSMHALDKFLAVKSVVTPVHGSPWF >KN539289.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539289.1:46659:47640:1 gene:KN539289.1_FG004 transcript:KN539289.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRSDELPSAVSIVSPEHKHYLLLAMLRDLFKDLDELKPRVLVNTFDALEPDALRAVPDLEIIALSFGVALTPSLCCLVDVTL >KN539289.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539289.1:75924:83178:-1 gene:KN539289.1_FG005 transcript:KN539289.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVNAARRVVSKALSPLSGGFVEAWVASKELGPNVGAVKMELLYAQGMLDNARGTGGREARSPALRQLLLELRGLAHDASTPRTLSLCFATTILCALSSLSFLHILGDWWQPGIEDDRSSDILRSPAAQSPAPGGRSSDGVGGRERGAAGGQQGAEPAVRRVRGGVGGQQGARPQRRRRQDGAAVRAGDARQRPWHRRQGGPQPRAEAAAPGAAWPRPRRVDADDVLDELDYFRIQDELDGTCEAADEHAKGCVVHNLFLNTRHTALSVASNLVLLPCAGDDHPDADGRRSGEDKNRQIGSSCAGGGQVMNRQIGSSPSRTLHAAADEEAVASNCCMHKLSPSARGNTHHIGSQFLRCTCSCGRVLQREDTMKTPKLKFDRVDLSQRMKRIVEQLKPLCAKVSTILNLELLESNRSIGQYIAMSLNAEFSKKPGHAPVLPSGGIGKTTLAQYIYKEVHNYFDVTVWVCVTPNFNVYRLKEEIAKSIPQLKDEKNSGPHDLIVQSLGSKFLLVLDDMWNCGHEDEWKYLLASLKKGQTKGNIILVTTHFLAVVEMVKTIDSPIQLKGLDPQEFWELFKASVFGDEKSANDHANLLETGKMISKKSEGFPFGSENSWEDQNKKIEDIGLSRLNDLVSYGFFEKHVEDGSSYYVMHDLLHELALKVSSYECLTICSSNVKSIQILPSIRHLSIVVDDMDVNDRVTFENIKKDFITLSKRLDVGKLHSLMLFGQYHGSFITPFSDLLRKARALRIVLLSMPSYAIVSMLHNFSKLVHLRYLRINGGKFSELSLPNIISRFYHLRILDVRQCMGHFGLPRDMNNLVKLRHFLVQDDKLYSDIANVDWNVNRSTKDYSQEEHILENLRPHSNLRELHIQGHGGTTCPSWLGPNLSIKGLQSLCINGVCWDKFPPLGGLWLVNKHGEKFLACASGRSFQYLKRLELVAIPRLAKWAGNDACCVLSLLEEFIVRECPELIELPFSHSTCPWSRQEMNLSQFSRLQNLEIAKCPKLLPLSPLPWTSSPCHVLIKEVGSHFHLLDYHRNNQSEQGLQIEGKDGPLDSTFWKLLALSNLTELRELKMKKCPPLPLKHLKLLSALRRLSITDSGIALLPTDCESRVTYHFLVEQLEIYECSASGIEMTQLLSYFPKLMNLRIEKCQKITGLGVAGQEMMATLASPPSLSYNKSKDAQIGNDQQQPRGDNGIASVVTGLLLLPHQLQNLDIRHCSKLILQLNSFVGDTTRNLIRGVGGGLQYLRSLQSLCIKHCPNFLSSYSPSLSCFPFPSSLQDLAIIDCVRGMETLVQNLSSLTRLSIWDFGDLRSGSMCSLLTQGHLRVLAVHKTPEFFVGSKPSGLQQLYTDDIVGVFVEPTCRLLSSSLTKLSLSMNHKVERFTKEQNMALQLLSSLEDLIFVQCSKLQSLPAGLHRLTSLKRLEIAYCPNIHSLPKGCFPSSLEVLHVYESQSEELKRQCRKLKGTIAIIEDEDYLELVTNTHLFDLAQFTFCLAQH >KN539289.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539289.1:16550:17640:-1 gene:KN539289.1_FG006 transcript:KN539289.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRVAASAASLLAVLVLSLVVSDLSSAAEALLAVKAAPHDTTNVLADWNAGFGVGDGGPCNWSMHGSLFQGRGHVDGSTGMPRWASARYLGYDIDLSRAGSDDLRALRRGAEKWKSVAHRFVAQY >KN539289.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539289.1:64540:71321:1 gene:KN539289.1_FG007 transcript:KN539289.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGGDVKRLANDCTMPEIIERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGMTGKRISSPADALFIGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKFKNEPESGPQLDKFLPYIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGLKKGAPFSLCLTQKHFSQVASAYRNNEHYLSKLAGVMKVEYRIALRSSVRNDFVEGNPKWKPATLEDVDKGEVESVFEPLAAEAELNEYPLQFPCYVAIRNNKDKNDDY >KN539289.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539289.1:28815:29437:-1 gene:KN539289.1_FG008 transcript:KN539289.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiprotein-bridging factor 1c [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/Swiss-Prot;Acc:Q9LV58] MPTGRLSGNITQDWEPVVLRRTKPKAADLKSTRAVNQAMRTGAPVETARVAKGWSQAELDKRINERAQVVQEYESGKAVPVQAVLAKMERALEVKLRDEAVGAPAAPAGAK >KN539289.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539289.1:11478:13458:-1 gene:KN539289.1_FG009 transcript:KN539289.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-on-two hemoglobin-3 [Source:Projected from Arabidopsis thaliana (AT4G32690) UniProtKB/Swiss-Prot;Acc:Q67XG0] MQSLQDKASEWSGVAAGDAFAIDDGNVFEALGGTTQPFVDLSTNFYTRSRLLSLASPPIWVYEDEEEWFRQIFAGSKKEDAIRNQYEFLVQRMGGPQLFSQRRGHPALIARHRPFPVTHQAAERWLHHMQQAVDTTDSIDAATKAKMMYFFRSVLQAEFLQPYTFRPRILILLSFSRHTAYFLVAGNEMTRQGHGTSCKCKHGESKPAE >KN539289.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539289.1:99205:99429:-1 gene:KN539289.1_FG010 transcript:KN539289.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDNTTLVLRLHFLPYASQSSDLSAARFNVSTMGRYVMHSSFLSSRTGVVRDFFLASDGFGVGGQERKSLGT >KN539289.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539289.1:33406:35721:-1 gene:KN539289.1_FG011 transcript:KN539289.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDTRRRSTSSRPPQRHRQCSPSLAHRSVDAHQLSPGMDDYRMDDRHMDGLEGDGDDPTEQPIPKANDDTVLPMPGSEAEPSAPSTERAECWRHFEKIRVMVDYNIVEKLCLHLLVQEQDISTGFTVITNLHNKIRQAVSIIGGQSVMKERFRDYCRAKDKPVRMFGIDVKHRWNTTYLMLRQLKGACISEVGRIIEEWRSCLAPDIVEAIFCLKDLIEAYARTQHRLEDPEIANAAADALAEFGISLNFYVSSYLHSV >KN540673.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540673.1:44231:44377:1 gene:KN540673.1_FG001 transcript:KN540673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIEWQPERQCLDWSRVHRQSSSGGSVDFRVSLQRGDRGMSRIGMM >AMDW01034790.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034790.1:63:479:-1 gene:AMDW01034790.1_FG001 transcript:AMDW01034790.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDGSDPFDNHDLFERNSTSAWHSEMDIDGQESGISESRNLVKNIGKVVRDLRYLGFTSMTEDSYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQ >KN540121.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540121.1:10323:13820:1 gene:KN540121.1_FG001 transcript:KN540121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTPRGNEASELGANDGESSSRNSQPNNIEETNPLPEVSNDDAVLIIGQAIELKDEGTRLFQRRDYEEAAIKFGEAIKLLPKEHNDIAFLHCNAAACYMHMNPEDLDHAIEECNLALEASPKYTKALLKRARCFEALDKLDLACKDVQKVLSLEPNNVTALELSESIKELMEEKDVLLEKQIVSPEAEEPKAILAKDKIKRKVSRKFRNSIVEEEVWEMIHEEDMQEDDEAAEEEKCNGNNHVQNDARPEENDTEQMHIKHNLGSEQKYREETRLKQGQCSRGASNDGKTVLQHSSLNMEEMCVNLKHGQEKHQKHLKEIHVRGSHGQETHPFCSGVDKRQKHIDQIQTSSLGLQEKHTDKFERYANGSREKHFLDRHIGRGEDKQEKQSTLKPTIHGRDKHKNHKDVNKRAMKSVKFVCGDDIRIVVIPEHITLMQLMDIARYKYTPHLKSILLKFMDKEGDLVTITSTEELRWVEELDPLKPVRLYIKEVSPDREITRDLVMPTTSYSKLERNHNSMSECGSSRHGGEKNSYTDDWMVQFARLFKYHVGFDSDAYVDLRDLGMRLYYEAMEETITSEEAQEIFQSAEAKFQEMAALALFNWGNVHMSRAKKRLLLSDDASQESILLQVKNAYEWACAEYVKAGKKFEEAVDVKPDFYEGLIALGQQQFEQAKLSWRYADACKIGMGTEVLELFNHAEDNMEKGIEMWEGIEYLRVKGLSKSKKEKVLLDKLGLNGHLKEFSADEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLTEAIEKFKIGGASLPDISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGGSSFRLEPLFRRRPSKLHNILEHIHYT >KN540121.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540121.1:46804:48075:-1 gene:KN540121.1_FG002 transcript:KN540121.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAATPSPSRPLWRSSGGGGAIVSKLLRSPFTIVLEAVRGRAAPDDTPPPVQAPPAPERNCAGAFDGIAVVAGDGREERLDGGVFLTWEDVWVTAVDSGGKAATILNGVSGSARPGEVLAIMGPSGCGKTTLLDTLAGSLRSPAICEEEDEE >KN540121.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540121.1:35300:41539:-1 gene:KN540121.1_FG003 transcript:KN540121.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSIVLACHQWHHAIRRLDSNLKMKGQILVNGRCQQLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMTMAEKLRRADETVREMGLTGTLDTRIGGRSSKGISGGQQKRLSICLDILTRPRLLFLDEPTSGLDSAASFHVMSRIIGLAAREGMTVVAVVHQPCSEVFELFHVLCLLAAGNTIFFGPASMAAEFFASNGYPCPPMRNPSDHFLRTVNKDFEKESEDRLRCMPAVADEAIDILVNSYKSSNTSEVAKQDMRHINEMDRVTIGRNRAGFITKTLVLTRRSFVNMYRDIGYYWLRMAIYISISACLGTIFYNMGYGSDSIRARSSMLMFISTMLTLMAIGGFPSFVEDMKIFSRERLNGHYGVTTFVISNTLSSTPYLLLIAVIPGAIAYYLSGLQRQIEHFVYFTLVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNSLPKIVWKYPMYYISFHKYALQGFYKNEFSGLVLNLEGPITVSGEKVIAELFQVETGHSKWVDLAVLCGMIMTYRLLFVVIIKVLDIVKPILKGMTFRCNTKCIHGIENLCAPS >AMDW01038121.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038121.1:29:439:1 gene:AMDW01038121.1_FG001 transcript:AMDW01038121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNSTVKLTYRNTGTFFGIHVTADPFTLSYSQLTLASGDLNKFYQARSSRRTVSVGVMGNKVPLYGGGPTLTAGKGSGSTAPVPMILRTTVHSRAYVLGALVKPKFTRAIECKIVMNPAKLNKPISLDKSCIYL >KN544489.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544489.1:110:3206:1 gene:KN544489.1_FG001 transcript:KN544489.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGFFGARCGDDGEEDWSALIFLFLSHRTSTGDITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENTVLNDKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDDFVLIS >KN542866.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542866.1:6966:7497:1 gene:KN542866.1_FG001 transcript:KN542866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQITEVRGCGGFDMSMACRVGQIPNEIKLFESVAIAKPCQLNKRFVLAIVKRDILVLDLKVKRSGASEEEEPVRMLRGLKAKAHGQVILPMIFDCATILECITESGNFAQ >KN542866.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542866.1:10187:10474:-1 gene:KN542866.1_FG002 transcript:KN542866.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPESGAGPSEEGGAGHVAAASAGNE >KN542821.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542821.1:1338:2248:1 gene:KN542821.1_FG001 transcript:KN542821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAVAACLLACALLFQTCVASRKLTALVQDQPITMTYHKGALLSGRIAVNLIWYGNFSAPQRACPGQCAWPFHQPVYGPQAAPLTPPNGDVGVDGMVISLASMIVGTVTNPFGNGFFQGDADAPLEAATACAGVYGKGAYPGYAGSLLVDPASGASYNANGAHGRKYLVPALVDPDTSACSTVG >KN540322.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540322.1:42915:45803:1 gene:KN540322.1_FG001 transcript:KN540322.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGRAETALNALLSLIPDHSLDLLSQVDLPLQVCMDKESVKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEVEANDVFSVYRDQYYEGGISSVYIWEDEDESFIACFLIKKDGEGKRGHMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNRRLPDS >AMDW01028338.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028338.1:51:383:-1 gene:AMDW01028338.1_FG001 transcript:AMDW01028338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEEIRELTAGGERRSMVKTEEDAELELVIPPVFERCSKVLDAGEQKEGRDLYWEAVCGEWEEGNTERLLRRSIEKNPFVGEPWVVLAQALLNSGEGREEEAEAAAEEG >KN540322.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540322.1:26619:26849:1 gene:KN540322.1_FG002 transcript:KN540322.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDDEALLAYDDSSDDDSFDSSNDDSFNSSDDDSFDSSDDDDSGGEDTDDGEQEVGSSGSDHDTDEDAAAPVES >KN540322.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540322.1:46613:48648:-1 gene:KN540322.1_FG003 transcript:KN540322.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPSTKWAQRSDKVFLTIELPDARDVKLNLKPEGQFIFSAKGPADDTPYELDLELFDAVNVEESKAAVAARSICYLIKKVESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDFGDMDFSKLGMGGPDDDLEDDDEDDTADSANKDDEEDIKAEGSGEQEAAGEAKP >KN540322.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540322.1:55035:55232:-1 gene:KN540322.1_FG004 transcript:KN540322.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding RYDDDAGSSGSAADAAKRSLEMTKETVEQAAASAARAAGDAVGKASDKVKGAASPAKRAPSDAEL >KN541627.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541627.1:130:591:1 gene:KN541627.1_FG001 transcript:KN541627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFSTHGEGIARRAAAHGEDAVEVGGLCVGRQRRGSEDGDQVGECREGQGRSGEHCPLEGVEDLRSAKGRKWSGRSGGGCAERGPAAGRGSVACCGSREKRRGPYDVAAADMVRDGGAKMKETGKRKRRCVSSSNMSPSSLLSKQVGKRER >KN540322.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540322.1:15578:16344:-1 gene:KN540322.1_FG005 transcript:KN540322.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEERRGHGSSANKILQFNLSIHLAKRTEKLSHCNMKADELNNRTPCKMGLKSKKPPEPQSSHIRHNLSNPNKKIRRLEKDPESMYITLISGTVLPPPAPIG >KN540322.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540322.1:51940:52938:1 gene:KN540322.1_FG006 transcript:KN540322.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHSSATPGNDSGSGGRGRGSGSGRRSRGSDRSGDGSEDSSSRTRRSRLSAQSTGTRLMPAFDDAAGDGDGRILGSSSSSPSGGLDLGLEEFRRVQHEASRNPNLQRLLFHSSPVRQPTQDDEVIVMDGVLVDTTSASGASGRYGLNRQFFDGKGDPRVVRPIKRTSYEVKLLLFYAVNI >KN540322.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540322.1:11389:13652:-1 gene:KN540322.1_FG007 transcript:KN540322.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKIAVTHGAAQEVRRAPCSFPVAWASSPDMFAYRPRSVVWLLPLNWTVGMPGILAATKSTNLSDQSQLDPVWNEELKLSLPQQYGPRMLVKMTWILAAQDQGMLLHLVFWVRFGSLLVEMHGNREFEQIY >KN541627.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541627.1:13939:14337:-1 gene:KN541627.1_FG002 transcript:KN541627.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKLEHFRREFGLPEDTARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLHEYNQRRRSENLEKKRRRGEIEIKEEEEEDDEEAARLDSAEKREERRKFYKVLFNDDNR >KN540322.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540322.1:31106:32628:-1 gene:KN540322.1_FG008 transcript:KN540322.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGGGGGGGVSDRRHAGGGEEGREGVHRFLRAASGRVLRRRHQLGRHHPHRQPPRQERICFAGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTVKGHAFHTYKNDGNNTLHGGHRGFNQVFWSVRERATGHFPYITFYYRSYDGEQGFPGALDVLVTYKIDGDYSFSVTMYARPVDDGKPTPVNLAQHTYWNLRGHGNGTILDHSVQIFASAVTPVGAGLIPTGAVSPVSGTPFDFRAPAPPGARIADVPGGGYDINYVLDGEADGQGVRKAAVVSEPTSGRVLELWSDQPGLQFYTGNFLKGDEGKGGARYVKHGGLCLETQDYPDAVHNAKFPTEIYRKGQEYKHYMLYKFSLAKK >KN543609.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543609.1:4661:6537:-1 gene:KN543609.1_FG001 transcript:KN543609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPHPASTVAGDLDHDAHRPEGDLDQSGIGSMRHRVVPPTGVDGWKAQGLCIGEQVTDEEVCTDGTQSGYFTDLLVNDIDESQFAAPTSDPISNGAPTAAKSSQEARNQSGSRVDDKIANACELFKEEDKKHRKFNLMHCWNILKDKPKWMDNRKKVGCAKKPSNKKQKTVANSSAASVEPADLDVYCSDAQPSVRPDGKKAAKQKLRQGRTIEAVDYLMEKKKEADVVRELKKEEMCKKAFALQEERCKRAFALQEERNKLEREKFEFQKKEAEKAEKVEEERILGLDLSTMNYNQQKYYEWRQNEILARRCNI >KN541627.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541627.1:7732:11002:-1 gene:KN541627.1_FG003 transcript:KN541627.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNNLCNFRVKTQYYNGRCLIPAISILLLVAVIAVSDTYFPFPVVMPRTLSAWLSYSSFSTSSGGRKADGEACDIFRGEWVPDPDAPYYTNDTCSFIHEHYDCMKYGKLDLGFVQWRWRPDGCDLPRLDPAHFLSTMRGKTLAFIGDSLAKNHMNSLICLLTRVAEPTTSWPSSEHTVYHYGGGYNFTVLNFWAPFLVRSELVDADGPAHTGLWNLYLDEPAAVWAPHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVEEFEAAKRTASGGGVRMMLMDTTEAMILRADAHPSSRHLPIENWNITEGGLKSEINYKAIYNAACGAQFTITEYVLPADLGT >KN540322.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540322.1:34239:40800:1 gene:KN540322.1_FG009 transcript:KN540322.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEVGALRLRVQELERENQRLGKIASRCTCGSSKDDSAASSPVVSESLSSSKQEPQKNVKSHCKGRQVGVVSHCPKRLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKFLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAKLDVLDNKSGDFLARFSCLGREYKYLFWKGDLNISEMQKAALKFIGEHDYRNFCKMDAANVSNYRRHITDFNISAYDQRSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLESPCIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMCSSEASQALIEHLKDEYHQHMLQAAIFCEALSCLCSPEPDPFEPRHKKRNHIPLMSRQTELASKQCSIVKGQKVLGSPCRCLYSDY >KN541913.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541913.1:8633:11335:1 gene:KN541913.1_FG001 transcript:KN541913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVGSEEEADLPSQRMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIRPAAAAAKGTGGGRPDPSQTPRKIIVSGSRARSVDLDAMDEKELLKLNLQKMQNRVVELERACKEMRGQMSKMAKGGRPSSSFGAAACHQAGGRGLPRLC >KN541913.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541913.1:19759:20559:1 gene:KN541913.1_FG002 transcript:KN541913.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLHLPCYRCYHVVFMFQFLTCCLYALQAIEMNAGGGLHLVYRSRSRARLSMGNITGALADAEEATKIAPKFPQDTGALSLRITGDSKNSFIDLYVSVI >KN541913.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541913.1:12304:13538:-1 gene:KN541913.1_FG003 transcript:KN541913.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWASKAGLKCTDLMSVYYEKHFHEDLDEVRRNWGIILCPDPKRSSV >KN539131.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539131.1:49683:50006:1 gene:KN539131.1_FG001 transcript:KN539131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSITTTTTKLFLLLLLLLLLHGGHCLKSLDMAMEMEMDSEAHSRMLWESSSSNGRRYISYDALRSDVVPCSRQGVPYYNCRIMTTANPYTRGCETITRCRDVDP >KN539131.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539131.1:29655:32145:-1 gene:KN539131.1_FG002 transcript:KN539131.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAASKAADDAASAAAAVPPPSGEDLKNWDADFVKVDQATLFDLILDCVGVVIDILQIFLAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >KN539131.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539131.1:63577:66422:-1 gene:KN539131.1_FG003 transcript:KN539131.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASWGLTPLAAADPLVHDLLEREKRRRRRGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAATGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYAKLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGKYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKMCDLCSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKLEVEKFATSFDMPGFTLDSMKYKE >KN539131.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539131.1:80025:82435:1 gene:KN539131.1_FG004 transcript:KN539131.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVPDPESTDNAEFKIVLTIIRDGLKSDPSKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPVEGPYKPAHYRY >KN539131.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539131.1:21746:22213:1 gene:KN539131.1_FG005 transcript:KN539131.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEPDHDGESLSARNVAASSADTGHGCWSSLAVNAWMLASALEQAAVSPELVGLGAKMVRFDPNDDGESSSVRDAAASSTDTGHVCPELVGAAACCIAKISLDDRVSGMVVAIEGGGGFTSKYQREEALGELLLVPSGRVVDASSSGDSAAVL >KN539131.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539131.1:73127:73573:1 gene:KN539131.1_FG006 transcript:KN539131.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSHHRLAILPTAIFIVAGSSVRLDLTRLLDLNGQPHPMPPFMRTSGRDRPRQGSPGATATTPSRRARTQWGGASTCVVLKLEQGVFQICYLLGFGGTVDAVAQGRVRWGSPTTVVVFRPSRHIGARSHHQLAVHYRYLDPTVEVV >KN539131.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539131.1:17475:20300:1 gene:KN539131.1_FG007 transcript:KN539131.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVQSFVEDDGAFNSSINGRFAALDTNELMSLRVMDKHFGVDKAAMNADELVELYRGLFVGFDHDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQIVVEDGRSVAKHLGRRLYEIQKIGNTKGKVPGSSCKLIDFSKNKWSQLGCTWATRQPVAKKPKQAQAETIQGQQQLTGEESNRTKLAIGSFGHGGGGDQVLGRQPCKREEPLGWEGVHESSAHRLFDTMPSPLEMFEEDILLVMNEEKVTRDEAVHLLQKELRDAQCRMDEKLDCLLEMFGLMGDKRNKEFEEFSTSTRELIPITEAVASPPSQESPSSAPTKCSTTCSDDGVTCLDASPSYIKEEEPILAAALELGDRENKAHAHYIYNCDSTKVMPAKCSTVGFDVKGGIGQAEVMFQAMMGASKVVPTSKDPKLGLGDHPCCSWPRAHLLQPWPPPTEAKWYGLIVGKQSSLVNPLKIICVLLVPLVWDPSDGRVYLHKILTLMDDWLPPHYFHWRYILWSNELMFNVGVKDELSFLVNLIAATSKEVFYIVGEPEYLLLGLAIAEMETKDSCYLSWSHLFLARELVVELNSTRQGDSEIISFKENHVDKLNRIGMPFNILGQYEHLERDLIRLVMNENLVPWQLLTQYLEVDPWPPPSQTKCRGQAVVYKLLLVTLLSEKLRWQWIELKPWPRPILQTLSQYDGFVVTYIMALISPWPPPDSVFLCSLLIGGNISPRGQILLDKLLEMAKLNDYLKPYAWKFQGEENLDDIYSDVLKKIPDLALHSSGAQGLILAITSDCLLPTNANVV >KN539131.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539131.1:39212:40949:1 gene:KN539131.1_FG008 transcript:KN539131.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKKMTSFTAKSSGGEEFEAGAIRRTIEDDYDDDDDYGIVPPDVLSGEGLDNRDAGFPPSQRSASKITGDRAAVNPAAADPPMPVPGLHHHPNIPAANRQLPPAARLLINCGIFLVATAGSSIVFHTAGDPSAIDGPAYALVAFLFVLLGLWFVLLGPVAGQFPGATRVAVAIAKALKGYLLGGGN >KN539131.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539131.1:94192:99012:1 gene:KN539131.1_FG009 transcript:KN539131.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQDTKPFLSPTTTTAIPPRAHHAPARRISRSFWSAGEYDADTTGGSSSARPPGNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIIVDKVNGCNGSPALLVQDDGGGMDPDSLRRCMSFGFSEKQSGSSIGQYGNGFKTGTMRLGADVIVFSRCMKSSEPTQSIGLLSYTFLAETNQKDVVVPVVDYKYNLLTGEAKLHQRLGPDQFSSNLSVLLKWSPFATEEQLIQNFSDIGPHGTKIVVFNLWSNDNGDLELDFDTDEKDILISGAQKAAETTNAAKRMNESHLANQLHYSFRVYASVLYLKLPAYFRIILRGEEVKHHYIASDLRYAQCIRYRPQAFGKKEDEVDTTIGFLDGAPTINLHGFSIYHKNRLILPFHRVLSGASSKGRGVAGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTNEYWDLYSHLVGYHKLPRAASGSHASAALVPTLSGTIAIASSERIPAIRDNPTNAIPIAFAPHVVSSPVGTNAVAAVCSQSQSSMQITIGTDLVDTRKRRMETLDQMDGRSKRLSIHDLAGNNSVDSSNQILQICQHMGERELKEFSYLKIENTLLRQECAELESSEKELLLKEQQLSLELEQTEAQYKSLLNEYISVAAVRTVKR >KN539131.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539131.1:44634:47686:1 gene:KN539131.1_FG010 transcript:KN539131.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRAASAAVARPVAGSMLNWKSKCSTRSPRTTVAGAKPDDSEFESVNAPLEPQTWEGSFLCGLLKNQPQVLPVAAAKQLQELSNQRKDTLIRWEHSIGSPEDCLHRRIAEMKEHECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISSKRLQIWPPREAELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFSRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTQKQEQEESIGGSTEISSSSKPSSVVDLHDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >AMDW01032582.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032582.1:136:465:-1 gene:AMDW01032582.1_FG001 transcript:AMDW01032582.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVEYCTKHASAAAAAINADTAKTSKEEEELMMKSFDDEFILVDNHMLYSLLTAADAMRIQGLMDLACQRLADMLKGKTSEQMRQTLGITNDFTPEEEEEFRREDEEQWL >AMDW01022180.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022180.1:13:297:1 gene:AMDW01022180.1_FG001 transcript:AMDW01022180.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASLLLTTRHDS >AMDW01076877.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076877.1:137:622:1 gene:AMDW01076877.1_FG001 transcript:AMDW01076877.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DCHGPDGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQPMHVGFTSDQGGNTINLRWFRHKSNLHHVINWLDP >KN541640.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541640.1:13424:14077:1 gene:KN541640.1_FG001 transcript:KN541640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVVLMVVSAGGLSPPCAAAAKEEKPVVVLPPAAAPGEAPSADAAAFVRSCCDTALQADRDGSSFCYDHLLPYAAFFEGNQVKVAEVAATILSTNLWVYVDQLRKVQGGAGKGDPNRNACVDDFSIAAGENITREALQSLGRLAAAGNGKRSKEDLENAQKWIKGVEKPYNGGIGKASGCEIGYLFTYSDDLPAQKTLGYTFDTASSLINHIKL >KN540021.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540021.1:65200:67439:1 gene:KN540021.1_FG001 transcript:KN540021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSKVVVVVAMLVLRRRRVAAAVVVAAAPDMADAVVARVVAAAAAAVGGRLVQAVEAMVAVEMAVVVKVKAEVVMATVAVGMAAVVKAAAHPDLRRQAGAEPGVDEQDLVERPGHVAEARREAAAEAVVREDDDGDRRVAEVVRDVEAEAVVVEEDGVERPVEQLARHAALEVVEAEVEEPERGHVEHHLGEPPDEAVVAEVELVEEAEAAERGREDAAEAVGVEVEQREVGEQAELGGQRNIKDMEVNVKLDS >KN540021.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540021.1:1812:3533:1 gene:KN540021.1_FG002 transcript:KN540021.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-32 [Source:Projected from Arabidopsis thaliana (AT3G24350) UniProtKB/Swiss-Prot;Acc:Q9LK09] AKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANNASNPFVRQRPLVTRDGPESSVPPAPWASDSATTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >KN540021.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540021.1:29984:36462:1 gene:KN540021.1_FG003 transcript:KN540021.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSERASVGAGQGPSSQNGGGKEEPSSSSSAGSKRKRASLSSDRLELNGLDAAGTSSGDSTWSEDSFNGRHRRSLPRNKDGHLEHSVNSGEVAVIRQPRGVLRLRKLAQNVSIKSGTGGRKVLRSNGITKGTQVQRRKRRKSQTLKENRVGSNDPINCLKVENGTCDQDNSTNFCSENDVSVEKQPNLSGEPSKHVHLTKESSVHVQEEDNVNLEENAARMLCSLSDNMCASSLRKSAKSLNRSSKAYFVQHSEHFKDSCNKDKGMAGPARLLRNRDGKASSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDETWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARQKSNRRNPRSIFKPKYEVDEREDIDRNSTGSSESGPIISLLSRSNHARSATSSNTNKQNHTHSDISPVMFDQKQSMLCSDDRPGGSPANAGEEIPEDRTTLKDSRFRFVYSRKRSCRRKNGFLNTSEQDSDLKYRKVTGALFASVAGWGSVTENVTSRRHGILVLSQPLKSIYKIMSEACHVWLLNALVLLHRGAMVSLWPAVHLEMLLVDDTLGLKHLLLETSLRSAVSLFCLLVGCFNSYSKASTRNESKMPCTSVRVRISGLHGRSQVVFLMFSFVGVKYPKWKHLQGQLQHHHIKRELSKVNCACADIKQLTNGTDQRVCTSTEHFSKGLSLDAQDYLFFTESKYSNVDPIIFCLDERSKSIQNHLDVAAAPSLLFFHHLKLRSESNLTSKSLPEFMPITLEEDQQSLPQHTSDLVHLAASASEVCSVYVSPSNTGSLDMGAAGCINHSGSASSKLNTAKRTVSVDCNSRGIGGANITSRSFPDQIMDGNLSAVCPPQKYQKRCSSISIPGDNISDPPDDKLLNKDEKAMQPTSDLVQELNEYPIGRATPTAPRTPYHRNRFTSLSRTFGDGSKLWQEDIMVTGFAGGSKKPRTQVSYSVSPRSDEPGSKHKGHFRKIQSHSSAKRLPDNSRSEQSSPESLACVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVLQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVKNIPIPGVCFAETHDDHDAVSFVRSQDYIGHIGTDVEMALDESRVIYDMDSDDEVWVSRWRKLGKDSTSVTDDLFERIMDKFEKLAYSHNCNELTIDQMKELDNDNIPLDTIKVIHDYWQDKRKKKGMPLIRHFQSAMWKIHEQQLQEWESTVLRIQGSSNGYQVKLPPKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGSFSREQDGFYRPGRKYSEYVGDGRACESYDGGSIYSPTGYSPRFSVKTESPRAFDGLERSSTPRFLRTNSVKRTASFAFSEDHQPSPSFRHQRVKRGAPDHWNAVIHEWQSSKHLLPGASQSPRVDIEELKQRDASSAAQHAVAMAKLKREKAHLLMHKADLALHKATVALMMADAIKASSRDSSRDGRRDFRDD >KN540021.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540021.1:10241:26850:1 gene:KN540021.1_FG004 transcript:KN540021.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGAAAEEVKLNTGNVFAALETLKKKKKGGDKAKGGSSSSSGRKREQQGAQPSSQQQQQQQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTGHGDDGAKEEDEEEDDDAVHAALQEKAAVPIAPPKDTERQLSKKELKKKELAELDAVLAELGISGGSSNAAQDENNAEKKGSNQTGDGDAPAPSESKSSKKKKNKKAKEAKESQEPADGTEETASAEPDEDTTSVDVKERLKKMASMKKKKSGKESDTAAKIAAAEAAARSARLAAAKKKEKNHYNQQPVRIADHLTACGASSLRARSFVESRLLPAACAALGEYLQREVHLGRVRSVSPLGITLHTCSIGPHAEEFSCAEVPVMKIRVRPFASLRRGRVVVDAVLSEPSVLVAQRKDFSWLGLPAPSEASPKRHSGEEGIDYRTKTRRLAREKAAEQWNEERDKAAREAAEMGYIVPSAQSISPSADEMMEDDGPVDTGKSSPHLCPDEMHRKDHHIDAGIDSGSKHADLEKSFGVKARIPGISFWSRMIPNPSRRRYRRKAHSKLISDTDNSSQQRILRRSAYAAVAYFQNECSGNPDDSLPGPGESSSDGGHTNGGGEEGSPNDGPTEYSETTSMDYGELPPEKGNFASTMLIGNTDVLNGTSHNQQPSQISSHSWENNEQVSEAPVLKKRKNISEDDYRQEFDFGAFGSCAYAHKWASFWPFQLKGFPVGFNAPSASLNVQIQKLRSLFAIGPGDNSAELSQGVGQIHPGAVQQTLPITLDSVYFNGGNLMLLGYGDQEPREMKHANGHIKFKNSYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWNKGRATGEVHLCMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSVYSALTFTDVGCDKFLARNQNGFKEEDKEYDDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMLPSAASEAVMQNKESGAVAAFDHIPFTHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPEGEGDDSAMDINLSGSILLDKVLRRYVPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTRIQTSYIDDYLLHKEMYQMKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFKFQGKVVKYSQLVDEKNYGALQGTIDQSKLENDVSRLVGEISLSGIKLNQLMLAPQSTGFLSISPDSVMVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFPMESQSNGFIEKAMGGHLGSMMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQTLHSVGFNAESLRDQLKALEMYPDWLDDDTIEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSVPVTYVDSNSIEEDLEGGDGKQGIIRIPVWAKDRGLPNDISETRIMRDKPDEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYAEVLLQTMYQFHGKQSWEEREIVNERDSTSPQYGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLSSNKSISVPAGFDQRTVSRDVSHFLGSLSTSPDGQQSETERTPEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGVLTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQG >KN544146.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544146.1:83:1146:-1 gene:KN544146.1_FG001 transcript:KN544146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGE >KN540021.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540021.1:5331:7578:1 gene:KN540021.1_FG005 transcript:KN540021.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSFAAIAALHRGLPPPPPRQWRLRAEAACHGRPVGTATRIATGKALSLQGYGWRRQRGVAGYWVIRQAAPPESDTARGSGRASQGVLQYMLPAWTEFFELGKAVYWRTMNGLPASVGVAPVPPTIGSLERICYFIAAAHHSSSSTDNHTYKLQLKHHIGQRRHHRSGLDSV >KN540021.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540021.1:48388:56151:-1 gene:KN540021.1_FG006 transcript:KN540021.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGGGNGEAAARGERCVVVAVEETCCACAQLVVGPPNPMMARYVYAFVFLATNLLAWTLRDFGHPVLAELRRLRGSCQGAGYCLGAEGVLRLFFFVMFLSTVRTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPSPLIQLYGKIAHFGAGAFLVIQLVSVTRFITWLNDCCRSETNLKRCHMQVQVVSIAAYVGSILGVVLMYVWYAPRPSCKLNILFITVTLVLVQLMTGVSLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKKAEVATSADWVNIASFVIAVIVIVTATFATGIDSKCLQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVGWNANQTMEKWTIDVGWASTWVRVVNEWLAAIVYIWMVIAPIVWKGGQFFLLVNFSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAASNARPPTVQIRGQPVNQKTSCCSS >AMDW01033336.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033336.1:239:481:1 gene:AMDW01033336.1_FG001 transcript:AMDW01033336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRSGFNQGRRRTGGRTRRADREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQ >KN538874.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538874.1:188113:190037:-1 gene:KN538874.1_FG017 transcript:KN538874.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAGGRRAQQPQVTEERQKKMKHKAAQEIADGSSTSDTVHGAADGVCFPCGTSTATAHLLQPKHSQPQHESLPNLSTMAPNDSIIERSRNPELFALTPVIVSAWLWTMSDIQTLSDNSVGLVASTIKGRYVHMRNPKASTFLVMVSTGCIPSTSVTTSFKCDD >KN538874.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538874.1:164515:166147:1 gene:KN538874.1_FG019 transcript:KN538874.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRARAAAECGEIEAVLWYQGESDAESDAATAAYAGNLETLIANVREDLGMPQLPFIQVALASGNKKNIEKVRKAQLGINLPNVVTVDAFGLSLNEDHLHLTTESQVKLGEMLAQVYMSNFLPATC >KN538874.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538874.1:155813:157161:-1 gene:KN538874.1_FG020 transcript:KN538874.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLASSAAALYARVASAFLRPGLPRLAALLPAVALLAAAPLAFTSSAMLRGTSAFFLAWLGAFKVVLLAAGLGPLAADGLPVLSFLFTALLPVKLRRGGGCPGAAAKSVSLVSCAAKVAAIATILHLYESKIQLLHRYIRLAMYGIHIYCFLDLLLPCIAAAGSALGMELEPQFDRPYLSASLREFWGRRWNLSVPALLRQFPI >KN538874.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538874.1:180685:182969:-1 gene:KN538874.1_FG022 transcript:KN538874.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDSNSSTMQTQVPTNTREGPTFTQLLLGDEDFDLPPYVPEAEENNQFYQQTMNENLNMNQNNGIETAELEPQEHIMTFASSFGIGSQLLGSQAIEVVDLVGGLTSQWGTQLHGGHLARVMSYIRAFHDPEQQILSNLVTHQSIETMLNHYAQAAEMSYSMRYPENAVTRGPQNVSRFPQTNGQINTLNNRNSEFHFLLEQAKNPENNSMRLINIRGHLRAFSIDPFGSRFIQHKLERATPAELAMVYEEIVPHAHMLAIDVFANYAVQKLLGYGPTFYRRELIGKLTGRVVALSLHMYGCRVIQKAFEVSDMDQRIEMANERVLEWCDDPEILKGLMSEIVEGVLELAIDQFGNYVVQVNGHVSYVEILTS >KN539562.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539562.1:16498:18266:1 gene:KN539562.1_FG001 transcript:KN539562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLREMESTLPPGFRFCPSDEELVCFYLRNKVANHRVASGTLVDVDLHAREPWELPEVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRIVHEGTTRAVVGMRKTLVFYLGRAPNGQKTTWVMHEFRLETPNSQPKEDWVLCRVFDKKKPSTMEAEGGGSSGSDLFIPGATDGSTGPSSPTTMAPLLGSSPDPTVVDRFDHRTTAVPPLMVLMQGGGDQMISGSDGHGSSNDNSSNALFNLTMLQYSFLEHRPPGDDMAVGAHFGTCQGGNNDATMALGMGFEEHGMGEIIEMEPAWRQGGSNCVYRDELYF >KN539562.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539562.1:86267:90542:-1 gene:KN539562.1_FG002 transcript:KN539562.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKQRRKDAESNKDAEYLLKLERAYDMIMMEQLQNRKNGVAYGSIQVSKDIKYADNQPVVPWGPRYSRSTGKDLRINMAISATFIMCISTMGHADWKPMQFLCFAYFYRILDKLKATESASTPIYNEYGEVEGRGIHMAKRVLRSLGLVLGSILAVSLGYTGLANFSQFLGQYIPSVVYNFQVK >KN539562.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539562.1:51097:55061:-1 gene:KN539562.1_FG003 transcript:KN539562.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAADERRKTVCVTGGSGYIASGLIKFLLEKGYAVNTTVRNPDDEKKTSHLKDLQSLGPLKIFRADLNEEGSFDEAITGCVFVFLVAAPVVVDSENLEEDITETNVRGTLNVMGSCVRARATVKRVVLTSSVAAVLHDGRTTMQGGDDEHVVVDESSWSDLDYLATLPNHPSANWAKAYGAGKVRSEKEASRVARENGISLVTVLPVIVVGAAPATRGFNSSSLVLSLLAGHEATAEMLKATQDLAGGTTPLVHLRDVCRAQVFVAEKGEAAAAGGRYLCCGANTTVARLAGFLAGKFPQYNVKTDGHIIIA >KN539562.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539562.1:35099:47896:1 gene:KN539562.1_FG004 transcript:KN539562.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] METQIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYALLKKFSKGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMNSQQDFIPSFLELLTVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLRFCHGFYKNKVQVPVTSELIHFTVSRESNGITEQLPLIQVLIPYVMGLKEQLKDSSKDEEDVKAIARLLADMGDSYVELIAAGSDDAMQIVNALLEVTSHSEFDISSMTFNFWHHLMRNLTDRGSYASYGSEASINTERNRRLQLFRQPFEILVSLVSFRVEYPELYHTFSEEDQRDFRHSRYAVSDVLLDATDVLGGDPTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEENEILPQVMSLLPSFPHQEQLLQTVCSLIGAFSKWIEAAPSELLILPPLVDILNKGMSTSEETATAASVAFKYICEDCRRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSNVKLPQVVADAVNRYWPTLKNIFDQRAWDTRTMESLCRSCKFAVRTCGRFMGFTIGAMLEEIQTLYQQHNQACFLYLSSEVIKIFGSDPACANYLASLIQALFGHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDVFDLAKSPEGEKYRELINTVILQRGAVLTRIMVASLTGALPSSRLEEVSYVLVSLSRSFGGNMLSWARECITLVPPQALTDSERSRFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGALRPHDLSFTVAPQPL >KN539562.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539562.1:94342:97593:1 gene:KN539562.1_FG005 transcript:KN539562.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPATESLNGLKMELVDAAFPLLKGIVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVQVTDVKNAIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVADDEWLNTEFISTVQQRGAAIIKARKQSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFP >KN538762.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538762.1:202700:213995:-1 gene:KN538762.1_FG037 transcript:KN538762.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWRHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEFYEKIVVHTLSHNFRDATRLERVRMRLPVEPHNAVVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQCNAQLRTSFYSLSGRCYDVSNFILEFPQDNCFIQSLYYCSISETITLNLLVAVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFVGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >KN538762.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538762.1:269103:273307:-1 gene:KN538762.1_FG038 transcript:KN538762.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSFLAVVLVFGIAGAADGVSGDGRGNACLGYQISCGATSEKVVGGVTWVADGRFVSVGNVSDVRSPGVLPVLSSLRYFPDTSARKYCYVIIEGTRWSEVDTAGDYARGLATYYEAVVLATEKEVSVCLARNAATKSSPFISALEVSPLEDSVYNSTDFESYALSTIARHSFGHDGSAAVSYPGDRFNRFWEAHSDGMPVVESQASVSQAAFWNKPPEDDNRAPGPLSWRVFDVAVNGETFFAGLNVSTAGSMLYGDKWPLSGRTKITLTPAPGSPVGPVINAAELMMVVPLGGRTHPRDVIGMQALARGFDNPPADWAGDPCLPQGNSWTGVTCTQEPLARVVSLNLTNFKVGGSISDGIANLTAISSILEKDLQCWLVGNNLTGPIPDMNLLHHLVSLHLENNRLTGQIPPSLGSMPRLHELFVQNNSLQGAIPSSLKNRTGFMFQAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLGMGDITCIQILLLGIAIVNWKGCRLPSV >KN538762.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538762.1:218176:222667:1 gene:KN538762.1_FG040 transcript:KN538762.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAAGAAVTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLAEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKKNLLNNLLRAIPSGLSRDEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVVIDEWVVTVASVGDSRCILESADGSLYHLSVDHRFDSNQDEVQRVTACGSKVGKLNLVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTFEMALECSRGFPSDIAANRIVNEAIQPRGLRDDTTCIVVDILPPEKLAPSPPTKRQGKIVFNNMFRRKHTDVSFILDREYAEPDEVEEIFDDGSAMLSKRLAAGYALQSMFEPFSCAVCQVQLKAGQGISVHSNPLQHEKLQGWQGPFLCQSCNEKKDAIEGKRPPRDS >KN538762.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538762.1:260894:261211:-1 gene:KN538762.1_FG041 transcript:KN538762.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGFDFSARARGIGDVYHPFNETAVRDKFKEWIRQFDTDGDGRISRDELRRAMRAMGVRFTGIKCRRGMSHADADGDGYIDDSEIDDLIEYLGRRLGLAVAAY >KN538762.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538762.1:274935:276670:1 gene:KN538762.1_FG043 transcript:KN538762.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTRPHRRPPPLPSKTSGVWPVALLVVLCFAALPLFLALSRARPTLSDVSQMGVTVTVRDEDPAGTPPESSPANRDRLLGGLLSPDIGESACLSRYKSSLHSKPSPHSPSPYLVSRLRKYEALHRKCGPGTLFYKKSLMQLTSAYSMGLVECTYLVWTPCGGSHLGDRMLSMASAFLYALLTHRVFVVHVTDDMAGLFCEPFPAASWELPAGFLVHNLTQLGRGSEHSYANLLGAKKIKTDDPAGVRSESLPSYAYVHLEHDYQQPDQLFFCDDDQTVLAKVNWLILRSNLYFTPGLFLVPQFEDELRWMFPARDTVFHHIGRYLFHPSNKVWELITRYHTSYMAKFEEKIGIQITTFAGSKVSSEEYFKQIVACTSQEKILPEIDPNATSSANEAALATTASKAVLVSSAQPSEYAEKLKAMYYEHATVTGEPVSVLQPAGAGKQAPNQKALVEMFLQSYCDVSVVTGRSTVGYVGHGLAGVKPWLLLTPTNQTAAANPPCIRTTSMEPCFHAPPSYDCRAKKDGDLGAVLRHVRHCEDVGDGLKLYD >KN538762.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538762.1:288084:289611:-1 gene:KN538762.1_FG044 transcript:KN538762.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSTTMSCLLFFVLLCLGSHLVQAQVLFQGFNWESWKKPGGWYNFLHSHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIVSAQLDNLHRYQKSIFVNHDLELTFRLSVQFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCVWEKSGLRVPAGRRH >KN538762.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538762.1:292967:294507:-1 gene:KN538762.1_FG045 transcript:KN538762.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSTTMSCLLFFVLLCLGSHLVQAQVLFQGFNWESWKKPGGWYNFLHSHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSNWLNWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAAEGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCIWEKSGLRVPAVRHHY >KN538762.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538762.1:254439:254594:-1 gene:KN538762.1_FG046 transcript:KN538762.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIRARFTGWRSRQGISYADADGDGYIDDGEVDGLIEYAQKSLGLRIVAY >KN538762.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538762.1:266244:266801:-1 gene:KN538762.1_FG049 transcript:KN538762.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/Swiss-Prot;Acc:Q9ZQE6] MSHLSLLTFKYNLEKLKSKAAGRPTGRLLSGRDRQFSDLTRIYKPDDDEMKKVFNKIAGEPGRITRKDLKGLLERFGKADAAAEARRMICVADFKKDGYMDFEEFMEVHKGGVPLGDMRRAFFVFDQDGDGRISAEEVMQVLHKLGDSCSLEDCREMVKKIDRNRDGFVDMDDFMAMMTRSRKKP >KN538762.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538762.1:280509:286784:-1 gene:KN538762.1_FG050 transcript:KN538762.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQDSCKAKLDERGGNHQAPSSARWISSEQEHSIIVAALRYVVSGCTTPPPEIVTVACGEACALCGIDGCLGCDFFGAEAAGNEEAVMATDYAAAAAVDAAAVAGGSGGKRVRRRRKKNVYRGVYHERKLHFLQLNGVIFYLSMNRKCKEDCNMEMEGLNIEGIPQVPIDPNSVDVLSSSNIDPNSVDVLSSSNIDPNSIDVLSSSNESKPTISFDLPSSFSVGHTRHSSEDLSSLTINNLRINHREDNYQSQFEEKRIHSHGHTRRFSEDLSSLKINDLCANKEEENYENQLERKEIYRHNSAGNIFKAAEIAERFIQTIDKRVLVDTAAPIESVKDAVSKFGGILDWKERRKHVQVELDKMQEDAPEYKRRVEVTEVEKSKVLEELYCTRRTIERLKIDLDKSHTEAIQAQQDLELAEIRFEEMHHCIACKERAITKAKIELANERHATALEDLQSVKMELDQLQKEYTSLISQRDNTDTKAREAIVASQEIEKVVQDLTIKVITMKDLVTTSQANHVIAEGKKINAALAYQQDKVNWQNELKHIDEEVQKLNDDLSLNKDLESKLQTASMLLMKLRDEFKAHVDGTLPKVLSEAREEERPMIIVRAKLARTRKELENMRIDIDKAKDEVKSLWNAAATLRADVEMEKTNIASLKQKENLAFESALALQEELSKIAFELSMVEERTKAAKMPLELQQASKKLEHAKMNVVFARNEMEKAREEADQAQAEVNVVQLRIEATLREILAVNASREIAAASANALQDYKQEIELEPLANRRNNNVTLSLEEYNVLCKEVQDAEDSAKKQVIRATEKIKEAKDAEVRSLDRLDQLIKQIDDRRVALREAHEKANVSHDGKLAMENELRKRRAHHEKQRNTGEVSLPIAQISNVKNTSTSFDAAGSSSSNPHKYRMLLPRADTIATTIAESRPRKSFFPRSLVAMFMFRRKTHLK >KN538775.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538775.1:115887:117554:-1 gene:KN538775.1_FG029 transcript:KN538775.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVVTYLQRPRELPVPEFRAPPPSPVTGVLTSSSSGSPAYGECPDSNEDDEIGRFLRRSARVPVLRLPERAVPRKKKAAWAPPVIDVRLLALPEAGGPVAEALRSAAVAFGCFQVVGHGVDRSLVSAALRHVAAATARAETPEPEEVEVNGDDEDGEEMWWSPGDGGQEMAGNRALQSGASHFRNTADALFTQLEQTATKIMDVLQRGGAVATQSIAGADTNGSLLCIRKHRRRQDDRSGGASGPISHDDILRMLVRSSRCSRALALHLCPGASAFHIFSRRGWSRFRPMDGAVVVTVGDQLQACNGGLYKSVAGKPAYSNDDLRGNGGDTGVASAELFYCSPSAGTAAGKASEVFGADAGKIIPLNLQFMVAACLVLGYHFLLSSLHSTWLL >KN538775.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538775.1:71757:74559:1 gene:KN538775.1_FG030 transcript:KN538775.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAEFGGAYYGGAAGREKKALQQGCGDHFAVDDLLYDQLAELEWLSNYMNEGDDAFATEDLQKLQLISGIPSGGFTTASVPSAQAASAAASMAVQPGGFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSAHAFPIKKPSKPAKKKDAPAPPAQAQLSSVPVSHLVTVVASK >KN538775.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538775.1:6456:8127:-1 gene:KN538775.1_FG031 transcript:KN538775.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDGEMSLSNLVLGFFEEAEREQRWPENGDDDDEGSSGSGAAESKAFWQNQHSQLHEALAKTSQVESRIREDTEEAIRKMRAAGAVCSCARRAAPGTTAPSASPSGRARRTSLQDVCRVPPIRVILVVKRFDLSALPRVQRRNSEHSYVDVVVQTRSGKAVRVVVELSFRAEFEVARASAEYRALVTALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKYMQSKWLGTPERVAAAAAAPVAVGSPEKQTKFRASMLSFDFARAAVEVA >KN538775.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538775.1:2285:5362:1 gene:KN538775.1_FG033 transcript:KN538775.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAARRQRGPGSGFMLHGLNLEPRARLPATTSSNSSVPRMTGSSNAQPSSETKPASSSSDAKPEQHEKSLNLEPRTRLSATTSANSSVPRTTRSSNAQPLSETKTASSSADAKPEQQEKPRDHQPSQEVSTKQDDKAENDTKATATSGPLEFSFHPDEQTLRLQRACSSPVPFPSNKNMLVADAAARSSSLKVAGEGPKPKPKQRARSPPLRRDVPELFKEAKSSSKRFSTPPPRRKPSSPPAPSRSPPHAFATARAHGKPKHKKDSSANGRAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELAAQLEKQFNTEVTTLSHLHHPNVIKLIGACSSPPVFCVITEFLSGGSLRTFLHKQEHKSLPLEKIISIGLDIANGIGYIHSQGVVHRDVKPENIIFDSEFCAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMFTGSVPYEDLNPFQAAFAVFDKNERPVIPSSCPAPLRLLIEQCWASQPDKRPEFWQIVQILDKFKAVLDKDGTLDNMPCLNLQGTHDHKNWLAHWVQKLKHTHHDLSGPPPPKLL >KN538775.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538775.1:130998:133278:-1 gene:KN538775.1_FG036 transcript:KN538775.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MPENRLPPILNGKFKTGNEQPYFKNILRSYVTLPSTDSIQPTIYRLHSAFQALCNLMCAQGVSTILGLMLEVSTSDPIFSILLLFHGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDAYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >KN538775.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538775.1:54143:64024:-1 gene:KN538775.1_FG037 transcript:KN538775.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MAQLSRLDLERTTKMFFPKSGNLLQVACLRPPNPEGGGDRGAEEVSGGRVVEVNLGGGGGGPGGADAEEIDEAEMRRIEYGSVPAFALLQSRKNALADGSGMSRLPSVSEHAEWWQYMLEYGKTIGSLLGNPDSLSAFMIDDPKMILKVKEKPTSLKAAWELLEIFFVDKQLQTWLPERLVDWLADYDSLLTKTENTIYRMLSNFQKKLINLQVVEDDTDYWSGLSAALSVGWLDIVVNMLRFHGSYQLDQMDSRETENGLVEAVAVLVSTMPRMRPDLPSGKLGQCCKTRPDFIKALEKWRGQGFEGMHQLAQKCIQLKPSADNSGLTGLLTGILSENTEVVLAECTKNFGPWMVTHAMELLTADNDYADMMLHEERPNFGGISIEELHRLVYAQVLCSHALTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRVVLKTLEICRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQANDKVRLDRIAQQLFEHIGKSVTDDSFKQWEGLLELLGSDISSAGGLEFLHRYRDFKRSLQMAQEGRTGESVRQTVEFLIQLMRNPSTPQRFWLPLLHDSVRLLNCKPCPLLNVAETTLLLNKLQELSLAKLRPDFSNIHLPSHALNSVRLALASNLARAILEEP >KN538775.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538775.1:16591:17307:-1 gene:KN538775.1_FG038 transcript:KN538775.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGLPGIVPVKARLDTAPGWHFRRGASMESSWWRVEAEPESGLLVKTLSSLSLRPGQMAATLFVALPPGDVI >KN538775.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538775.1:91533:98071:1 gene:KN538775.1_FG039 transcript:KN538775.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/Swiss-Prot;Acc:Q8S8N9] MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSSSQEGQAKKGKLREKGPLKLATGDAGSRTAAQKERKSRQPPRERIKIEKIRPSPPVDSSSVDASASKPDVASSDVKGLDDDGGAEKEEKVVVDRKNDIGAEVVDTEVEVQSTERSAEDAAIVVDGAADSGNSEGAAESSAPSVPHERCEPSISNQDAEIVSAVNLEEKDSAMEVIHEKNIKEVPDTQVSGKSQDFKREGLSDSLESTENQQEHKLDSGSVKDQDQLEEARGLLKNVVKTGQSKEARLARVCAGLSSRFQEYKSENAQLEELLVQEREKCSSYEAHMKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLVKSLDSWKKKAAASEEKLAALQEDMDGLKRNRELTETRVIQALREELATVERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQAWQEEVERARQSQREAETKISSLEVKAELQKMRVEMAGMKRDAEHYSRQEHVELEKRYRELTDLLMEAERSRVARRSASAWEEDADIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMYLMHRLQDFASREGPAAMGGLANSNLP >KN538775.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538775.1:118820:125997:-1 gene:KN538775.1_FG040 transcript:KN538775.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLIDGEALCYVADKLMPGVLEGTWGGYASDQRSNVKKFLSVVAEMGLPGFGVKDLEEGSMSSIVECLLALKDNVATQLGGHISNSTAKTPIRRKLELRETDGPVLSVATPGKRYPKSQQRSPLLSGQKINEVVQFKHGTYTDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRIGIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLIREKENAENIIASLHQEMQVMNRMHEQFREQMETKARQMEEHLTLRAKEAEFCLMQSKKKVEEVEATSQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILIANPSKQGKEGYRMFKFNKVFGTHSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYESNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSMADRNGSNLLRQSTSSTGLSSLPVASQQNQQLSVVTLTPLADTGLAGSVEAEAEDNASDDGCSVGETGYSPAGASETSAERAHKAPSRITRFFLTKNGQPSTSRPKPREVPKTQGSMRPGTAQATGGSLAKPSKRR >KN538775.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538775.1:87101:89985:1 gene:KN538775.1_FG043 transcript:KN538775.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYTARLADEEVELEAHMEADEEQQQEEEEEEARSVRCECCGMAEDCTPGYVRRVRARFEGRLVCGLCAEAVRERRRREPALTVGEAVESHASLCDRFNRTVRLNPTLSLARSMRDIARTNCLSRHRSARADACAAGGASKQIGRVKEISLIILVCLVRNCYLERYHVALQPFNGHVIVMVIILALYIVLVTICFKGMTVWPGSYWNNHLNHALVLTDPLRAMPVLRDIYIEKFAMNPATYRLEIC >KN538775.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538775.1:26842:28697:1 gene:KN538775.1_FG044 transcript:KN538775.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGLILYHILGLASCIALVYFSLGEVDLRDALPSLQFSGGASRAAAAASLPFVERRGKRLFLDGRPFYINGWNSYWLMDLAVEPNTRPRVSSMFRTAVSMGLTVCRTWAFNDGSYNALQLSPGHFDERVFKALDRVVAEASEHGVRLILSLANNLDAYGGKRQYVRWAWEEGVGLTASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGLEYRDDPTILAWELMNEPRCTSDPSGDTLQRWMEEMSAYVKSIDKKHLLTVGTEGFYGPTSSQEKLNINPGEWFPNNYGADFIRNSKIQDIDFASVHVYPDNWLQHASLDEKLKFMTRWITAHVEDGDGELEKPVLVTEFGLSHQVEGFDDAHRDVLYRAVYDIVHGSARRGGSAGGALVWQLAAEGMEEYHDGFSIVPSERPSMMRLIKEQSCRLAAVRYGEEGARKVLKTVCA >KN538775.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538775.1:110099:114376:1 gene:KN538775.1_FG045 transcript:KN538775.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRAREAQENPAAAPAPPPARRRRAARRKEPEVAVEAAPEAEEGREEEIEVADLGREGGGEKKMEGPDSGARSADKQAVEDEGNTTPVPDTVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGASEVALKFEHRTSKGCNHGPPYEWQVPDFYHGHRIYSAIGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWRDASTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHLVGQKRGRLLMEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKKSGHLVEAISSSRLLALVSAQCSGLCDAGKF >KN538775.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538775.1:50019:52082:1 gene:KN538775.1_FG047 transcript:KN538775.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSEDGTYLRNGPGLWNLGDYGFRHLFDGYATLNGTYIRNGPGMWDVGEHAFHHLFDGYATLVRVSFRGGGGARATGAHRQIESEAYKAARAHGKVCYREFSEVPKPDNFLSYVGQLATLFSGSSLTDNSNTGVVMLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEETDEEGRVTAIIADCCEHNANTAILDKLRLHNLRSSGGQDVLPDARVGRFRIPLDGSQFGELETALDPEEHGRGMDMCSINPAHVGREYRYAYACGARRPCNFPNTLTKVDLVERTSKNWHEEGSVPSEPFFVPRPGATEEDDGVAISMVSAKDGSGYALVLDGKTFEEVARAKFPYGLPYGLHCCWVPRNRNSK >KN541294.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541294.1:1120:2292:-1 gene:KN541294.1_FG001 transcript:KN541294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVLEVHLVDAKGLTGNDFLGEIGKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSAAATGQHKLFLRLMDHDTFSRDDFLGEATINVTDLISLGMEHGTWEMSESKHRVVLADKTYHGEIRVSLTFTASAKAQDHAEQVGGWAHSFRQ >KN541294.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541294.1:24620:29071:1 gene:KN541294.1_FG002 transcript:KN541294.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRADMDADNATDAICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEEHFVQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIRYYKEAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEKEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYTVCVHSEVFVTTQGGNFPHFLLGHRRYIYGGHSKTIKPDKRRLAILFDSPRIGYAITVAHELSIKLPSGSGNLGRSCIIRC >KN541294.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541294.1:11202:19948:-1 gene:KN541294.1_FG003 transcript:KN541294.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTTAAAARRLCEVGDVRSVLAMLACGAKAGDAALDAWQAVGCRQAIGNDAEGQYSSEIKIITYSLLVDGYGKAGKMSNALHFFSLMKAASFQPRRELIQGSEVDYGNEAEGFGSKFRKDDKCQEAEALLDDMVRAGLQTSEPICQALLNAKARLREDSIASDKDRQKNAEDKCTQQFLQNKGESRVTDPFQILLQVCGGGGGEDVLVPLDGGHGDGGAGGADKRANKESKGRLDTRGAECFPYRTEVQCLHRWQKVLNPELIKGPWTQEVCHVADFALMEDDQIIDLVKKYGPTKWSVIAKALPGRIGKQCRESICYDTIHYMELSMKSYNLRWHNHLNPEIRKDAWTTEEEQALINAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKQDMYNTSNNMVVPKLLVHDKFKDKPKLMAMEGHLDLNKAPIINSKDQPGTAHRSNCSGFLSRSSLPTAQPLTSHEASVVDGSAVTLVAQALESDSVRGKGLEIDSVHEKGLEVNSAPDHTGNSWTIQLEAAPSKGEAELSLKNEARSLGPLCYQIPNMEDVVPVSSSLFSDHLTGNHTSEHCGDEILSPAGCTTPPPTKGKLTSQPSVDSILKSAANSFPGTPSILKRRKRDKSTPVSASEMKISGSNTDRFYTPMGMEPATATPESFKTTSFLSLGSLDGSVKSFDVSPQYRARSKRMALTKTVEKQLDFSSDRLDTCGSEILNSPCNNSQSTLSITEAPKLKEKEHGEHAVQLENLTKNFAHTTNLDVT >KN541294.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541294.1:7060:9827:-1 gene:KN541294.1_FG004 transcript:KN541294.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKFFVGGNWKCNGTGEDVKKIVTVLNAAEVPSEDVVEVVVSPPFVFLPQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALMGESSDLYSNLNAGSYWFSKARNLPRISRQWSYFKFVADKVAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLATNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVDIIKSATVKSSA >AMDW01024338.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024338.1:39:332:-1 gene:AMDW01024338.1_FG001 transcript:AMDW01024338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPATCGNLTINPPFWLDEPGRPPCGPPTFQLQCRGSEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKEL >AMDW01056915.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056915.1:7:194:-1 gene:AMDW01056915.1_FG001 transcript:AMDW01056915.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNC >AMDW01040736.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040736.1:160:2093:1 gene:AMDW01040736.1_FG001 transcript:AMDW01040736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGHLTEVSHDMIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIRCKSIEENFSITLDHSQTTVRHADKVRRLSLHFSNAHDITPLAGLRLSQVRSMAFFGQVKCMPSIADYRLLRVLILCFWADQEKTSYDLTSISELLQLRYLKITGNITVKLPEKIQGLQHLQTLEADARATAVLLDIVHTQCLLHLRLVLLDLLPHCHRYIFTSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLRFNANEFKQYDSKETGLEHLVALAEISARIGGTDDDESNKIEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDNGFCMLPESSSRLQSR >AMDW01033517.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033517.1:285:473:-1 gene:AMDW01033517.1_FG001 transcript:AMDW01033517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDLDEESGLAPMRFTDDTAYTPPARALRFLRDMINVLAIRVIVPSYPDFDFGCVMTTTTM >AMDW01039351.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039351.1:106:669:-1 gene:AMDW01039351.1_FG001 transcript:AMDW01039351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEIGFDALCDRFLHGYRNMASSSIVNGDSSGKHSAISQRTKNIEKNNTDTTNKFITHCSGNGAEDGRTSSGNVISGYEGACRTTFGVIDSKADTTGEIVDVTGCERSSGDKESLAAGVPELIVYPIEDGVPPMEILPNSSHRDGSIYRGTDCWKKEYHIADRNERRFPSYRVN >KN542435.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542435.1:1253:4868:-1 gene:KN542435.1_FG001 transcript:KN542435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDLHALAAAVALLLVSSVLVATSTAADCPSKCGDVDIPYPFGVGDECAWPGFNVTCNHSFTPPRPYYSNAEIMNISLEAGEMRVYSPVLEDYCNDSPNTTESNGTYTKFNATGTPFLLADERNEFTAIGCGTLGLLWGKDDGSYLTGCITSCASLGEAAHDDGPCTGLGCCQVPSIPPKLNVLNLEWGQPSSVPNYAWDKGAPCSYAFVAEKGWEYGSCSGSVAPACVSDHSHCNNSNNGEGYICKCSEGYDGNPYLKGNGGCIDIDECHLRTKSSIYGQLYPCYNGSRCQNTDGGYKCICRFPHRGDGTGKGCKPIIPLRIVATLATVCAMVALLGLAWFIRCEHKAWEQRGFFESNGGQLLKDMGVTTFTQEQLDTITNKKRTKIGKGTFGEVYKGLHDDQEVAVKYSTAKSSIRRGKYEFMKEMAFRKSISSNGDGTLGQKASVNEIIVQSQMRHDNVVRLIGCCMETEVPMLVFEFIPNGSLETVLHGPDLRALSLPERLDIAIGSAAALAYMHSLGLQSIIHGDVKPANILLGKDLVPKVSDFGSSKLGLATKEVCADKNYIDPVCMKTNIVTQKSDVYSFGIVLIELITRKKAKYDGRNVQSDFVNCHTDNNARREMYDQDMLHTDAHSLQPDQCIECLDTMAAIAVRCLKDDVDERPTMAEVLEELKQLRASNELMV >KN542435.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542435.1:9709:12825:-1 gene:KN542435.1_FG002 transcript:KN542435.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYDDLVAVLVLSVLVATSTAANCGRKCGDVRIPYPFGIGVDCAWPGFDLSCNHSFTPPRPYYLNIEIMDISVEAGEMRIYSPVVYNCYTSYNTTEYDTSSLQDDLRDTPFLFARRRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLEEAAHDGDHCTGLGCCQVPSIPPNLNILNISFGPGSLIGNPAWRESPCSYAFVAEQSWYNFSRQDFSLAGSKSFVNRTGDRSVPTVFDWAIRGNGSCSSATGAPACVSAHSYCVNATNGEGYLCNCSAGYAGNPYVSGDGGCTTTVCAVVSLLALVWLHDRWKRRWYFDNNGGRLLQGMGITIFSKKELDNVTRNKSFEIGSGKFGKVYGGTHNNQPVAVKCAITNIEARKGVLMNLTNAFYCSKAAAPTPDGNAVVNEIKIHLQVQHTNLVRLIGCCMETENPQLVMEFLPNGSLGEILHRPDKQRALSLPERLDIAIGSAEALAYMHSHGLKSIIHGDVKPGNILLDDNLVPKVSDFGSAELVLKIKNERRTVSADLNYIDPTYTSTGYFSDKSDVYSFGLVLLELITQKKSIYANNKSLIVEFIKYKKDQQQWRGMYDQELLSTEALETHSIARIDRFAAIAVRCLKRIVEKRPTMAEVVEELKQLRASVHADLLTAAHVETL >KN542435.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542435.1:6094:6465:-1 gene:KN542435.1_FG003 transcript:KN542435.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSYIDPAYIKTGRFTEKSDVYSFGVVLLELITRKKALYDDRKSLPLSFAKYYKDDYARRNMYDQDMLSSTDDALRPRYMECLDRMANIAIRCLKEDIDERPTMAEALEELKQLSASLNVT >AMDW01026836.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026836.1:56:254:-1 gene:AMDW01026836.1_FG001 transcript:AMDW01026836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PAKLEIMKVKERSLFEECGKVYAHFNFLVMNDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDN >KN539351.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539351.1:84843:87857:-1 gene:KN539351.1_FG001 transcript:KN539351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRELGMRREPSRAEVVGRAKDDGDFAALRRAIIRKVKDNSWLHTDLPANKAESLVRITLIYILWPNSIQEVLRSNIIAEVKQSVVINEDGSEKLKLKDLSDAIYQDIGSKIMGQISDEIWGVILSNEIDIRGTSEAVYNRMMNPEQQQDPPSKKLKRNAKEEQVSPAKASTSVAVQLEDDDPEEPPGFGFSDHQRSNIMATQQQQSSNTENHNQVKPNEGEPNAVSCPGDDEEEDPDVPPGFG >KN539351.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539351.1:54960:56074:1 gene:KN539351.1_FG002 transcript:KN539351.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQNQWLTEPEPEELTYMYHQEEHARMQEQFAGTPLVEQPVRFDQFYPASMAPNQFHPSHCSSFPAFGGSSALPSLAFGAVAMTTKKEQVQQPSPSSSNVLSFAGQVQGSSTTLDFSGRGWQQDDGVGVFQQPPERRSRPPANAQEHVIAERKRREKLQQQFVALATIVPGLKKTDKISLLGSTIDYVKQLEEKVKALEEGSRRTAEPTTAFESKCRITVDDDDGVSASSGADDGSSSSSSPTVEASIHGSTVLLKICCKERRGLLVMILSELEKQGLSIINTSVVPFTDSCLNITITAKARLALPVYYS >KN539351.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539351.1:95092:97410:-1 gene:KN539351.1_FG003 transcript:KN539351.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMSTQGKPRLLKFYSYQPPEKHQDLVRGVFQLLSARPDSVSNFVKVDAIFGPGAKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKAGLEEYKRVRFIKHAYGPLQERTNIVLLAGETVKHNQPHTEVDFRAFQPLSRESFTHVMDRNFECVSRRNQIAFFSSRVAILSSREHLPFDMNMRACSHREYLRVSRMKLNM >KN539351.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539351.1:112352:115938:1 gene:KN539351.1_FG004 transcript:KN539351.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTHAARHTDCGDGLSKESQGPASQLEAATGGFSEENVVGEGGYGTVYRGVLAGGEVVAVKNLLDHKGQAEKEFKVEVEAIGKVRHKHLVGLVGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWDIRLKIAVGTAK >KN539351.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539351.1:27354:29609:1 gene:KN539351.1_FG005 transcript:KN539351.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSGTSSSPSAPAPPPPPLTSFKHSSRLLRLVDDDADDGHALLLSKVAGEAQAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILKQLGQDEGVADAAQTFAAYASADLAVRGVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWPALLRLAELTKASTTGSGTNHQHECNNSNANTANAKANTKTTTSPAASNINAGGGDNRGYVPISESGHNDGSDDLEKLEEGLMVATSGGCGDALGVDTKAGDKQQCSSNGGAGTAEGNAGQRRGSSSSERAPLISVGDDEEAGEEHDGDGGGGGHV >KN539351.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539351.1:100355:101356:1 gene:KN539351.1_FG006 transcript:KN539351.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPEPSSWLSLKVFFLRVSRCEVNESMLDSVTVTHAPLTPDTVLEVSEYRCYKPEQDAGDADYDGFYVKPAGEEDDDGDFSWFTAGVRLGVGISVGICLGIGIGAGLLARSYHSTSRSLRSRVISSLF >KN539351.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539351.1:7249:10504:1 gene:KN539351.1_FG007 transcript:KN539351.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLRVITAPPKSLLFSFVTSRSLIRVGGWLTMQLILGSSSASRRQILAEMGYSFTLLVGRLARFYYLLREKHFRGTFWRFGHEKADAIMEKLRDNGMMKEILDSQETTLLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAVTIGSVLVTNVKSGARKEGWDKAEVYFHKIPDEVVESLIEEGDVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKSLTEKLIKESLEEP >KN539351.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539351.1:66819:70259:1 gene:KN539351.1_FG008 transcript:KN539351.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSMAAAATSTLLLLLLLATATHGAAADTVSSPASPEAAALLNLSAALGDPSGYLSTHWTHDTAFCSWPRLSCDADGSRVLSLDLSGLNLSGPIPAAALSSLSHLQSLNLSNNILNSTFPEGLIASLKNLRVLDFYNNNLTGALPAALPNLTNLVHLHLGGNFFSGSIPRSYGQWSRIKYLALSGNELTGEIPPELGNLTTLRELYLGYFNSFTGGIPPELGRLKELVRLDMANCGISGVVPPEVANLTSLDTLFLQINALSGRLPPEIGAMGALKSLDLSNNLFVGEIPASFASLKNLTLLNLFRNRLAGEIPEFVGDLPNLEVLQLWENNFTGGVPAQLGVAATRLRIVDVSTNRLTGVLPTELCAGKRLETFIALGNSLFGSIPDGLAGCPSLTRLRLGENYLNGTIPAKMFTLQNLTQIELHDNLLSGELRLDAGVVSPSIGELSLYNNRLSGPVPVGIGGLVGLQKLLVAGNRLSGELPPVDFSDNNLSGEVPATGQFAYFNATSFAGNPGLCGAFLSPCRSHGVATTSTFGSLSSASKLLLVLGLLALSIVFAGAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAMGRSGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRCTYAGCCASRHFVVLMNHHWELMACDLMWSELCALPCASAEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVHWVRMVTGSSKEGVTKIADPRLSTVPLHELTHVFYVAMLCVAEQSVERPTMREVVQILTDLPGTAAAAAAAATAMDAPSHGSGKEQDRSAEMQQQDGSRESPPQQDLLSI >KN539351.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539351.1:82348:83310:1 gene:KN539351.1_FG009 transcript:KN539351.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLLPASLPHICAVNNDGPVQDDAADAMIQATVKCQCHERCRRLSCNTHSMPLSSLAHIRNKVMGEGAKLAVGAEKRKTASK >KN543439.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543439.1:137:5152:-1 gene:KN543439.1_FG001 transcript:KN543439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLAEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPSYFSKESGRYIEESKLRVVLVSASHPFGEQPINGIPNTEAAVEVPSLKDTLNIKNEIPVAEKEVHSPLEEDPVVIPAPPYPVKEAPILREVPVHISPVRETSFLREVPAPVSPVKETPILREVPTPLKETPVILTESPPPPTDTSSITVESLHPFEQNLASLKESPLEETLPKAAVVLSEQGDVNVQSRQLCHVTEDVQNLKSKLNGLEVKLEEAEKMIIKLREESRTTTQERDKLQQEMMGKVRVTGWYQKGGDGPPGPGRPSNGPQQSWSKQQQQRT >KN540715.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540715.1:36633:38097:1 gene:KN540715.1_FG001 transcript:KN540715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLAGILEKGGQYNTLLRLLNATRVGEQLGSQLKTTYDGLTFFAPTDAAFAALRPGTLNGLSDQEQVQLVLYHVLPRYYTLATFQTASNPLRTQATGPAGVYTVNVTTTTGQSLVNVSTGVAAVPLGTTLSADFPLAVYSVDGVLLPEQMFGKAKAAPAPAPASVGKAKEHKKGGGAMPKNEVAATAPTAGAGGEDSDDSATTNAAAVAGAAGVVWAAALVGIANLVIA >AMDW01009431.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009431.1:51:209:1 gene:AMDW01009431.1_FG001 transcript:AMDW01009431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGPSNAGEYASAREQDRFLPIANVSRIMKRALPANAKISKDAKET >KN540715.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540715.1:1789:2883:1 gene:KN540715.1_FG002 transcript:KN540715.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANDCNTFSSAIVAEAVSGSHVMKIDGYSKTKALIKHEECLSSTPFSVAGYTWTIRYYPNGQCMECREYLSLYLFLDSFARDVKAIYSFKLLDKNGRPLLLNSITSPVRTFKLRGTGWGYPMFIKSKDLKASESLRDDSFSIQCDVTVMKPICSKETPPMPKPSVEVPPGDLHQHGDLLKNMDGADVTFDVGQERFSAHKCVLGARSSVFEAMFFGAMRAKPCRSNIKIEDMEPGVFRSFLHFVYTDLLPETWQDMVMAQQLLVAADRYNIERLKLVCEEKLSEHIDSNMVATNLALAEQHRCHQLKEACFKFLIDSPSNLESMVASDGYEHLKTSCPSVLNELVARLLHPEMKAAKQITMALR >KN540715.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540715.1:29080:30069:1 gene:KN540715.1_FG003 transcript:KN540715.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTVVFLVLSLTLATVSVVVVSGAGGEAPSPAPTGPLNLTEILTKAGHYNTFVRLLKDTEVTSQVSSLLSNDRNGDGLTVLAPTDAAFGRLRPGTLNRMDAQAQAELVLFHVLPRYYGFVTFETTTNPMCTL >KN540715.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540715.1:21178:22238:1 gene:KN540715.1_FG004 transcript:KN540715.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANHCNKSSSVTVAEVARGSHVIKIDGYLRTKELMENGKYVSSIPFSVGVHLSNDSFSIKCDLTVMKDICSKETTQKQFVVVSPSDLHQHLGDLLLKNMDGYFSAHKCILAARSSVFRAEFFGAMSAKARRTIKIEDMEAGVFRAFLHFIYTDSLPETAQDAVMAQHLLVAADRYNVGRLKLICEEKLSKHIDSNMVATTLALAEQHSCYGLKEACFVFLASRSNLERMMASDDYEHLKISCPSVLMELVARFLPQQEK >KN540715.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540715.1:41963:43599:-1 gene:KN540715.1_FG005 transcript:KN540715.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNIGCKENLTNHTIESASDFIASNVEKLKNDTTGHHFLDTGYNFSGAALTGMANSHTAMEMNMLHTIVSTPFENVEEFYAAANSRPAAKRADVKILESSQVTQQAGGSSAATATMYPSMVDRKRKRAQATPSRMAPHEVIQYLRATAVETDKELETLNNFFKVCDGEDRALITFSVEQIRSIKKKIGRIINKPVTAMSSRRMARFIDEIDTIKEEKARVFEEIIKILKNPRRKRENDGSSGSNRKNVGGSSGGKKKTVGGSSGSKKKNVGRPSAKKAQK >KN540715.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540715.1:9522:10603:1 gene:KN540715.1_FG006 transcript:KN540715.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASNITSSAIVTEAVSGSHVVKIDGYSRMKLMENGKYVSSVPFSVGGHSWFIKYYPNGNNTDSKDCLSVFLTLGSACAMAVKANFSFALLDKNDRHNVERLKLICEEKLSKHIDSNMVATPLALGEQHSCHGLKEACFEFLSSDANLERMKASEGYEHLKASCPSVLKELIARFISPEMKAAREITMDLQ >KN540715.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540715.1:33965:34117:1 gene:KN540715.1_FG007 transcript:KN540715.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDNQGAAGGKVPAPKTRRRTVVADLDGVILVSSTAFPYNFLVALEASS >KN543101.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543101.1:108:219:-1 gene:KN543101.1_FG001 transcript:KN543101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITSVVIAAVVALAMVSLVAADSGTATFYTPPYT >KN542094.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542094.1:2890:3713:-1 gene:KN542094.1_FG001 transcript:KN542094.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSRTSLLLLSCIFFFLPVPAPHPHEHVVLVQVQLAVQVHLNGVERSPHPQAQGRQGHVHQKGVEPALHPHEHVVLLVVEGIRAPSSAEGAVVAVLYPTLLAAPEKYTSVHKIEVYVDLPVPHHARHGMHLSSTVLVVVNGAGVGAERSTAPDLITAAAQVHETSLSSYSDRESQIQKGIEQYND >KN542094.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542094.1:16471:19562:1 gene:KN542094.1_FG002 transcript:KN542094.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGPTLRMLLLLLLYLSLASNDFNVSELPSTGFERLTNLTHLNLRSTNIAGNVPAGIGSLTNLVSLDLSSDFKIYTLTDDSYVLYLNSSRNPHQLIESNFENLVANLRNLRELNLGLLLDLSDNGERWCKALVNSCPKLQVLGLSYCLLSGPICGELSHLHSLSVIDLSFNHLSGPIPDFSNIPNLTVLQLRCNWLEGWVSPLIFQHKNLVTIDLYHNLEIHGNLPIFSTGSHLETVSVSETKFNGTIPDSIGNLKSLKELGLGASGFSGNLPPSIGNLRSLNSLEISGLGLSGSMPSWVANLSSLTTLQFTDCGLSGSIPSFIGTIPSHIFNLTQLQILLLHSNNFIGTVELTSMWILPDLFILDLSDNKLVVVDGTDNSSIVSIPKLGVLRLSRCNISKFPNFLRHQDEIFSLDLSHNQIDGAIPQWAWETWNGMERLFLGNNKFTSVGHDPFLPMSHIDGLDLSFNMFEGPIPIPQGYANMLDYSNNRFSSIPFNFTTHLKDVSFFKAARNNFSGKIPQSFCSATSLQLIDLSYNSFDGTIPSCLMDNLQYLEVLNLKENELQGEFPNNINENCSFESLIFSGNRIEGQLPRSLAFCKYLEVLDVGNNQINDSFPCWMSTLNALQVLVLKSNKFFGQVAQHFAEEKSTCEFQSARIVDLASNRFSGTLPQEWFKKLKAMMIEDSNVTLVMEFDITRLGKYDYTVALTYKGSEIIFTKILRTLVFIDLSDNAFHGSIPEAIGELVLLNVLNISHNSLTGPIPSQLGRLAQLESLDISSNELSGEIPRQLASLDFLTVLNLSYNKLEGEIPESPHFLTFSNSSFLGNDGLCGRPLSKGCINITSLKVIPSKKNSLDVLLFLFAGLGFGFGFALAIVVIWGIPIRKRSRVRQRAL >AMDW01008511.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008511.1:12:195:-1 gene:AMDW01008511.1_FG001 transcript:AMDW01008511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSHELETDVPAPELWKIYGTLRAAELLPELLPHILAKVELVTGDGGVGTIVRLTFPP >KN539171.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539171.1:62057:62826:1 gene:KN539171.1_FG001 transcript:KN539171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLWVAAACAVVLALAAPSLAGDPDMLQDVCVADLASPVKLNGFPCKANVTADDFFFAGLKNPGNTNNPAGSNVTAANVQSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTVTAGEVFVFPRGLVHFQQNRGHGPAAIIAAFNSQLQGTQAIAATLFAAAPPVPSDVLAKAFRVDVPQVDAIKAKFK >KN539171.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539171.1:93938:97443:1 gene:KN539171.1_FG002 transcript:KN539171.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMSSGRRPSFYGGGGVGRPRGMLPLLALQVLLEYGRAGASRPPVTAALLAANALLYLRPGSLDALLPSLNRVAFNPHLIIHYCDLTRFFLSAFYHLSETHFFFNMPSLLWKGIQLETSMGSVEFASMVAALLGMSQGITLLLSKGLLLFGNDEAYYDQYAVGFSGVLFGMKVVLNAWSDDYVFLHGVVIPAKYAAWAELLLIQAFIPGTSLIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKVVRWPVGFVQKLFRSGRPQSYTPSRGRVGRGSARENGRGSPHNISLGCLISYAFKKSLRSTSVLTPAPALALASTFFLPMPPRWWSPWRTEARAAVSCSSAPQQRRLAVAVVATLRQQEGRVPADDEEEDEKDTVADLMAVAVSGPFFLSLLLL >KN539171.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539171.1:41153:41608:-1 gene:KN539171.1_FG003 transcript:KN539171.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRIHDHYLKSPVTGSPYTLFVLLATVIELVVGAKPHGKLVILVGRILVDEEVDIINSGVPKEAEDALLGAIEVGVPKVAPCPPSPGYGRMLVEKVVAAVIELWLSSPSSSLSHNLSHCHNRGPALPSYESSCASSLSSRAPSAPAHQIH >KN539171.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539171.1:64792:65103:-1 gene:KN539171.1_FG004 transcript:KN539171.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGARVARAADVWSLGITVLHLYQGYCPARPLPSKRTLERLSTRASIELRGFVSACLQKCVCTRATVAELLNHPFVTERDVAESRRVLKEVIVATMDKDDY >KN539171.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539171.1:75670:75915:-1 gene:KN539171.1_FG005 transcript:KN539171.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSSSAAADGDDVGYGRDWGRMGKTSPPAAADGDDVDSGRGGWGSSGGGWGRPRLWFSARRRRKGTMTREGSSGVAGYG >KN539171.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539171.1:98199:99697:-1 gene:KN539171.1_FG006 transcript:KN539171.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHACFLLLLPLLVLQLLLAGGCLVDDLSAATHQVPRLVGPQRKLQQLPNPRPQPQPLPQPNPNPQPQPQPLPQPQPQPQPLPQPQPQPQPLPLPGPQPLPQPGPQPNPNPQPLPQPNPNPQPLPQPDPNAPPFTQAE >KN539171.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539171.1:27887:29388:1 gene:KN539171.1_FG007 transcript:KN539171.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEVDRSKATPTISTDRTSESSDVDPSSGVALHNLSHLLSETAQSSELLPVKVTKPRTQAPGLTRLKVPPKELFLDQLTSGHENLPSCRSSGPIPNFPFQQLLCYNNDFNSMDVGNRNSLWYNQNESSSSTISTVMPPVSPSTLSTSTGLNPSPDNANSRGTGIHNAQFYWDTNNPSSSSSTGSSGNNGLGFELQSTSSLLETNIFPWSDLAPEKDSQAQLEEELKWPDLLHGTFSEMPAPMQNLSQSLYEDVVKAESQFNMEGLCAAWSQNLQPQQHLPVVSDMYDKDLQRMSLSFENI >KN539171.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539171.1:132547:135382:1 gene:KN539171.1_FG008 transcript:KN539171.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEQVPEDE >KN539171.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539171.1:116767:121165:1 gene:KN539171.1_FG009 transcript:KN539171.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPLPLLLLVFPVSLFVVLLLHHRSSGPAAELLSGPGPDPRRFSLLIKVLAYDRPGPLRRCLRSLAAADYAGDRVALHVLVDHPRPNASLDASREILAEADALRWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRLVMAYYYDRENYSPYVFGASLQRPRFVAGCNSLHILLGLMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNFYMNFLKERALSVSHRDAGVNYGRSVGPDSTLLDGKNLDFNLWELQPLNKLKWYDFCFAEVLPGRVIRKFSELGSVLKSVQLENNVVLISLYSLEQRIARNLICHLEKSRMRNYIFLVDNTEFLDDLAHRGHPVIDAISLLQSIKMSSSIYSDDFVKEIVVKAYVIKNCLDLGYNLWVLNGNTISLGSKLNEPSDQSVHFFAAESVDLMFLRGSQSSKKTWNELDILRMADGMMSSKSGFSSSLEHKNFVRVLTGVLGNNGAVTLGKLDEEIMAVELGPNTSNRSLSEGHCKVLFWSHSMASDSVQSQLENRGLWLIDSDSSCSAVVCGQKQK >KN539171.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539171.1:70503:73851:-1 gene:KN539171.1_FG010 transcript:KN539171.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYLVRGAMREPADIKNAHLHVLDGAREGLSLYRADVLDRNSLRAAFALCDGVFHVASPVSNDPKLLPTAIEGTKNVINAAADMGVKRVVFTSSYGAIHMNPHRRSDQIVDESCWSDLEFCKQTQNWYCYAKMLAERTAMEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKKAYPNAVAAYVDVRDVARAHALVYEHRGARGRYLCIGSVLHRSEFVRLLRELFPQYPITSRCKDNSKPMVKPYKFSVQRLEALGMQFTPLKESLYKTVISLQDKGHLPTTISRRSAL >KN539171.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539171.1:44832:47195:1 gene:KN539171.1_FG011 transcript:KN539171.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAATARKLGSHLPRLRDIIDHDDEDDDFGEEEEEEEEEEWEDMSKRMSRLSVEGSDGGDADDEDDGYLRGEEEEEEEEEDGDEVRSDVNGGGESPARGVAMDMEEMRACRDLGLDLPCDWTVEIPCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >KN539171.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539171.1:5285:5641:-1 gene:KN539171.1_FG012 transcript:KN539171.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPNGGEGEADVIGRRGLLEKLVTEVRVINAGWWHKPAF >KN539171.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539171.1:82241:85427:-1 gene:KN539171.1_FG013 transcript:KN539171.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGSKNAHLHALEGAKRRLSLHRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAVHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVHLLVVVPAVTVGEILQPTLNASVYRVATYMRGTKKAYPNAVAAYVDVRDVARAHALVYEHRGARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNRTEMNPNHEAMIIVVVFVRTLMLCSFCYELDAFLFSVF >KN539171.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539171.1:137897:138823:1 gene:KN539171.1_FG014 transcript:KN539171.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEDESSDIEVQGHQTKEIMHPLLLPQDQQDNLEVTHTPPKMGSYAAESSNSYEIESSDIEDRPLKKAKKSELDNFESENHLECSSVHILNNTRPEKQEKGQQQTEVELLNNNEIQLDGKQPKVDQTYNYLPQDTRTVYILDLTPLEPIYENNPNARYVKKLLWIAKYLPKAMAKACHGSRWNEDINLWRQIILPNVPINNV >KN539171.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539171.1:112092:116360:-1 gene:KN539171.1_FG015 transcript:KN539171.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKAFYAVGFWIRETGQALDRLGCRLQGNYYFHEQRKNPAAVPPIRSPVSPVAIAGSVQCTTSAGSMEVSRHRTLMNIFDKTPHVHRDAFVAPSASLIGDIQVGQGASIWYGCVLRGDANNVQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVWGGNPAKFLRKLTDDEIAFIKESASNYSTLAKAHSAENAKPVEKTEFEKLFRKKSAHQDEEHDSMIGATREVTPELTPSSSAQ >KN539171.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539171.1:52630:61289:-1 gene:KN539171.1_FG016 transcript:KN539171.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIDALAFLAPVLARIIYSPFVLSTSILLVSVLLVGAVELQAKVTSKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKRDLWASSCW >AMDW01036639.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036639.1:44:154:1 gene:AMDW01036639.1_FG001 transcript:AMDW01036639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASPHSQEEKRWVLSDFDIGKPLGRGKFGHVYLAREKR >KN543851.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543851.1:1877:3708:-1 gene:KN543851.1_FG001 transcript:KN543851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTTHPVTVDLQEQKIMDMEAIMKLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPADRPTMTDVTRVLNSQSILLSDPKKPTELTDGGASIDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >KN539715.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539715.1:71838:72935:1 gene:KN539715.1_FG001 transcript:KN539715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSKKKKTVSWRTTEVSEGTHAFKIVGYSLNKGIGVGTFIRSGTFAVGGHDWAIRFYPDGVTEDSMDYVSVYLELMSENAKAMAFYSLGLVNPVTGEIRCNWSRSTPRLFDSSDSSRFGPRSPLFIPRSDLEMEESGYIMNDRLTVECEVTVTKGPQVSRTIGCSEIGVPPSELSVHFGKLLEEEEEVGRDVVFSVEGESFAAHKLVLAARSPVFKAEFYREMIERGTFSIDIKDMQLSVFRALLHFIYTDVLPADIGDLEGDDYVEMIRHLLVAADRYAMDRLKLMCQSILGKYVDVKNVATTLALADQHNCDKLKDVCIQYICCLDEVDAMVRTKGYANLKRSCPSVLADLFEKTSKFRAS >KN547628.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547628.1:1:249:1 gene:KN547628.1_FG001 transcript:KN547628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALERRDEAASTAAELSAECARLRRDAEHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRHRRGS >KN539715.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539715.1:14431:23343:1 gene:KN539715.1_FG002 transcript:KN539715.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYTYPVRDWYPVRDWFPAEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSPVFVGRDIHSDKVGWGMISMVDAERRLLAKALEDTDNQLFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFDDPGPHGVFRYSKHMLPEVREIDFRKGSQWFAIKRQHAMVVVADSLYYTKFRRFCKPGMEEGRNCYADEHYLPTLFLMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTKELLQRPCLWNGLKRPCYLFARKFYPETLNNLIGITKTFCKAEF >KN544188.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544188.1:1558:3731:1 gene:KN544188.1_FG001 transcript:KN544188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDDRHHDRGGWIRPGEEHRSSGVAWALVILCTLVAVGVIVAGATVFAVYLIYKPRMPYLVVSDAQLVRLDYDQGGTIDYLEALVTVMARNTNSRADASFAHVDLALRFHGADVARLRAAPFVFTADLEARIEKVIYACRFMTFLAIAGSLIGSVPCFLKGCVYVMDAFIEYYLHGGGKVTLMLVEAIGN >KN539715.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539715.1:49274:53405:-1 gene:KN539715.1_FG003 transcript:KN539715.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSYYLPDRHEVETEKGDHGCQQNKAKDEHRHGSDASIVPSSWPPCHYRQLCLQDLGEKVWMVQVPLIYLLSLLQLVLYLCSFGQALPPIGSALTVNGNFDLEQPLSVPGLTPITKAKTTASRCTTGSEVGGHIFEINGYRFQKGIGVGKFVRSNIFAVGGFDWAIRFYPDGVCEAYKEYISVYLELMSDNAEVRALYSLRLVNQGGDKIEMIRHLLVAADRYAMDSLKLICQHILGKSLHVDNVATTLALADQHSCNKLKKVCIEFMASSNAMDAVAATGGYANLKRTSPSLLVDVFEETSRLRKA >KN539715.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539715.1:43706:45563:-1 gene:KN539715.1_FG004 transcript:KN539715.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKKTVSRHTTESEEGRHSFEIVGYSLKKGIGVDEFVESATFAVGGYDWCIRFYPDGKGDGAKDYISVYLELLTKDCAVRAAYDLRLVPPSDILENFGELLEKGEGADVTFVVGGEKIAAHKIVLAARSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNYNGLKDVCIEFIATQNKMDDVVATEGYADLKRTCPSVLVDVFEKAIEIFGMIVFMIYVWLAVSCQAFKFHLAFESNG >KN539715.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539715.1:29478:29846:-1 gene:KN539715.1_FG005 transcript:KN539715.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDVGHKIYTPIVPHHMVSMRRLCRPLPPCAADGLLSLALNARAAARHEEPTVQVPSYLWHSRGPKQPTGLSSEPTPSSCPELRNRLCLKQENEFLIPLFAGMARCYMALHEILRAPSSR >KN539715.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539715.1:64504:65085:-1 gene:KN539715.1_FG006 transcript:KN539715.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMQNPKSMSRVCDELSQVIGLGRNIKESEIGQLPYLQAVVKETFRLHPPAPLLLPRQAEMTMKIAGYTIPKRTRIFVNVCAMGRDKDIWPEPEKFIPERFLGSKIDFKGVHFELIPFGAGRRICPGMPLANRMVHLILGSLLNQFKWNLPVEVERNGIDMSEKFGLTLAKATPLCALVTPISVKPADHQE >KN540058.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540058.1:65454:66371:-1 gene:KN540058.1_FG001 transcript:KN540058.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATECGVNKSRRFDPGMSRRTRRSTSLIACYQDQHVPSLVQQLRQDDKLKTLFQRQGMELQPPYPYEDQELQSEGDEQETPNRYHDEQEEKPHHYLDEEREKKPFQDQDGERKTPKQYLDEDQKTVQQCQYEDKKVPNQCKDEENTPGQYQDEEQKIAKQCKEEEEEEENALQKYQDEEHKSLKAQHQCQDTERKAPGQCKTAKTKLITPPCANDVPRFSLQDLIQEKQLLIGEAKATSKLGNGKKAIADRKLPPPPAASSATLAMVIKRPDGGKKSMGVIRRCVQALNQMVKAKHGSKKNKPPF >KN540058.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540058.1:10920:11475:-1 gene:KN540058.1_FG002 transcript:KN540058.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAQTPSSTSGCTQTLLSMSPCLNYLTGNETAPSASCCGGGGEVVKSQPECLCVALNADTAALGLSINRTRALGLPDACKVQTPPVSNCKSGAAAPPAGQTPTTPAGTGSKATPATPVGSGVAPLRVSPVGILAGINVAAVYAVSAV >KN540058.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540058.1:43518:44296:-1 gene:KN540058.1_FG003 transcript:KN540058.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSQTGVPRAPVVAVMVVAMAMLASRATSQNNGCSSVMMTLSPCLDYISGKSPIPEFTCCTTLAGVVQSDPRCLCMVLDGSAASFGISINHTRALELPGVCKVQAPPISQCTAVPTPPPAPDTPTLADEPAETNEDEPSPPPAGSAGSNKTSSATNSKKAASLMASVLIPTCALFYVF >KN540058.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540058.1:40321:40668:1 gene:KN540058.1_FG004 transcript:KN540058.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKKKNPSKRGRKRGGRGEGREKKVEEISSSSSSRGRGRRRMAPVKKSKKGKRKSKDSGKLKIVKYGGGAPPLPPELRGLDTEWWYTFLHKHSELGIACSFPRFGAVDDSLG >KN540058.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540058.1:18922:23777:-1 gene:KN540058.1_FG005 transcript:KN540058.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLMSAKGLHLMEQLWNNYLILLVVYSAWVTPFEFGFVPEPAGALAAADNAVNAFFAVDIVLTFFVAYTDPKTFLLQDDPRKIALRYITTWFFLDVVATIPTELARRILPPDLRSYGFFGILRLWRLHRVGILFARLEKDRKFSYFWVRCVKLVCVTLFAVHCSACFYYLLADRYPDPTNTWISAYMPNFHKASIWSRYVASMYWSITTLSTVGYGDMHAENTGEMVFTTTYMLFNLGLTAYIIGNMTNLVVHGTSRTRKFVSSHSPSLIDPFAVS >KN540058.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540058.1:46970:47305:1 gene:KN540058.1_FG006 transcript:KN540058.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNGVAALFAAVVVVAGALVAGAAAQSGCTSEMVSLAPCLDYMQGNASRPTASCCAALSSVVKSRPECLCAVLGGGASSLGVTVNTTRALELPAACGVKTPPPSECSSE >AMDW01031635.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031635.1:60:360:-1 gene:AMDW01031635.1_FG001 transcript:AMDW01031635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EMSNGVEYDAAYAATVAAVAYAIAAKEEEKQATQETPVKKKLTSEKKPVAKDEPSTTPTLKLPPNRQGILKRPRQTEGSRITRRFSGKEIVPDDEDDGLE >AMDW01039065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039065.1:244:639:-1 gene:AMDW01039065.1_FG001 transcript:AMDW01039065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIEGQAAVNRCTAAVDFMEDKLPPYPATVNDEEMYAHAKAVAEGMLGEANVKLSPQGMGAEDFGFYAQRIPAAFFVIGVGSDGGGMAETTTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGRSA >AMDW01034879.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034879.1:108:501:-1 gene:AMDW01034879.1_FG001 transcript:AMDW01034879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGPVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGE >KN540821.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540821.1:796:8911:1 gene:KN540821.1_FG001 transcript:KN540821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVASAGQDADHITAMELLSEVKSADNNAFPPYTVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGLNQTFRSSINAQIMEVHPDELGQLLRSYGEARIGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMVSTLHIFRRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSTDENVASVKEGFLSGLALHFPFISSLMGGDIPEQKQATLKHSYSKLPSSGLSLAEQEFSRLSSAFTNVVVNNLFPEETDIMKSERESKEKTQTQSQEAKIEPDGEISKDREREHLDSEQEHKFWSNSPGIGIAQLWAKVRMASDGGTQNNDINIITLPVGVKSPEVQCGADTRPEAHSCSSSTSAASGHAAFGVSFSDIGLEKVTEMYSSAMTFLKGGMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRITFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKVGKIGLQTISQTLLVKLYTCTTHKLEYCIVASATTTICSATPVHTNSKFEAELANGSSA >KN539183.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539183.1:67787:70050:1 gene:KN539183.1_FG001 transcript:KN539183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MPSVVRTIKKFFVPSDIRRKAPPYTYRLQCIPRPSADQPCRCHILPCSLPRSLLDLDLSRNALSGAVPTCFPASLPALRALNLSANALRFPLSPRLSFPASLAALDLSRNALTGAVPPRVVADPDASGLLLLDLSHNRFSGEIPVGITAIRSLQGLFLADNQLSGEIPTGIGNLTYLQALDLSRNRLSGVVPAGLAGCFQLLYLRLGGNHLSGALRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVNLSGNKITGELSGAVAKWQSLRFLSLAGNQLSGQLPDWIFSFPTLQWIDLSGNRFVGFIPDGGFNVSAVLNGGGGGQGSSSEAVLPPQLFVSVSTDMSGRQLELSYDLQAATGIDLSRNELRGEIPDGLVAMKGLEYLNLSCNYLDGQIPSGIGGMGKLRTLDFSHNELSGVVPPEIAAMTELEVLNLSYNSLSGPLPTTDGLRKFPGALAGNPGICSGEGCSAYSRMPEGKMVGSNRHGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSRKARNFVFRPARMEY >KN540821.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540821.1:20699:38895:-1 gene:KN540821.1_FG002 transcript:KN540821.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGEGREIEMAPRRATILLGPIGMEEPNLEVKMPEAELKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQRCNMDIQMAPGRIGIAETESKVAVETKLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLNKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSVDVFLQKAEFQQLQLLCSSVSPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEVHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYIAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSNYLKKYRYGFEYASLEVKDHLERVDFSDLVDTETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVVFKINNRCYWKEYPSTALTGCGRLSVYKWAVPRAKQSRFKATKGLRPAYSFINAAKRDSVDNLSGTLDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKYHTNLMGTYIRGRPHLAAAGEGQRWRRVGADGLKGRFLFSVAGVGDELYVVGGRSGDAGSSVKTKTHKGVFVYSPLAGAWRKAASMRSARSRPVLGVFEMGTINRSILHARADKHVHRHANAGGGKFRLGGTPAVYEDPHRLSLRRLRLRDERRAQQRLALIAVGGRGRWDEPLVSGEIYDPVTDKWFEIAGFPADVGLACSGAVCGQMFYVYCESDTLVAYHLDKGFCRREKVVRKVFELDLGSRRWGEASSHPDAPMDLNAAFAAGADTVYAVEMFRVFGKVLDFVTACRVSDTDDHRWRRLARNNAAADADAMSSKLKSMAVLHL >KN539183.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539183.1:34884:35788:1 gene:KN539183.1_FG002 transcript:KN539183.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPLVVVLQLAVSCLGSAAPLTSSAASTATHTVVDGITAVYNLGDSLSDTGNLARQGATGGLLRYTTRLPYGVTVGRATGRCSDGYLIIDFLARDLGLPLLNPYLNKDADFTHGVNFAVAGATALSTTALAARGITVPHTNSSLDVQLRWFKEFMNSTTSSPQEIREKLSKSLVMLGEIGGNDYNYAFLQT >KN539183.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539183.1:3893:4877:-1 gene:KN539183.1_FG003 transcript:KN539183.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPYSGGGGVPLPQMDADTYVRTIAAMPPLAPPPDSPRTPHTYGGFLPVYGDLPPLTGAVLQEPVPRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALNYNLSGPTAYTFVEHFTRYYGDGKKEEQLKEAAHRVADGTLLTYGFHRYLPSMVAASSIFLARLHVLGHEPWSRDLAELIGYKAIDLMGCVCDIYSQIACPRFALFQEYFFEDP >KN540821.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540821.1:12579:18354:1 gene:KN540821.1_FG003 transcript:KN540821.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAQLAERERVAGGLNAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHMSALALDRWESRLDDIFAGRPYDMLDAALSHTVATFPVDIQASTTNTMKSQEYSQYGYISFIIMDMIEGMRLDLTKSRYRSFDELYLYCYYVAGTVGLMTVPVMGISPDSRANTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELEMAGLSEDDIFNGRVTDRWRSFMSGQITRARAFFRQAEEGATELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSDSKPEILCPSYSDDILHYLKSGCRCRALHAHLVVHGVDDVTSQIVASYCALPAGGGVWYARQLFDRIPDPDRFVYNSLIRAYCNSHCPQEALPLLRGMIRRGILPNEFTLPFLLKACARVQAWEHVMVTHGVVVKLGFVGQVFVGNALLHSYASAGSLGDSRRFFDEMVDRNVVSWNSMINGYAQAGNTREACSLFEGMRRQGLLADEFTLVSLLFACSAEGNLEFGKLVHSHLLVRGCRIDLILANALVDMYGKCGDLLMAHTCFDMMPFKNAVSWTSMLCALAKRASIDAARDWFEQIPEKSIISWNAMISCYVQGGRFHEALDLYNRMKLLGLTPDEFTLAAVLSACGQLGDLASGKMIHDCIRDNFHNPGVALFNSLLDMYARCGQVDTAISLFSEMPSKNVISWNAIIGALAMHGRAQDALMFFRSMVSDAFPPDEITFVALLSACNHGGLLEAGQYYFQAMRHVYNVKPGVEHYACMVDLLGRGGQLAKAVDLIKDMPMRPDVVVWGALLGACRIHGHIQIGKQVIKQLLELEGMSGGLFVLISNLLYETHQWEDMQRLRKLMREWGMKKNMGVSSIETNSNIHESGAEGIGHESSDDMYVGDDRLPHHLVFPKALAVPPDQLNVEE >KN539183.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539183.1:126692:130514:1 gene:KN539183.1_FG004 transcript:KN539183.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPAKPKGSKRSEQLVEQEKSDVISPVDAIRPADDVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEATFFFPLKFEWFNLMQMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >KN539183.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539183.1:1278:1448:1 gene:KN539183.1_FG005 transcript:KN539183.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVFFPKSSESRHELSSIPSIVVVLAADNVASSGNTRAIERALVVFNWICAKSN >KN539183.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539183.1:131490:132665:-1 gene:KN539183.1_FG006 transcript:KN539183.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELMRHLIRERTADIQKIINLKNDAGLTVLHMAVVGCVHPDLVELLMTTPSIDLNAEDANGMTPLALLKQQLRSSTSDKLIRQIVSAGGVLNSTVLRTRSAIVSQIKMQGGIASSPGTTFKISDAEIFLYSGIGTVESRRPSSCSSNGKCDHAHHGDAKCGNAENHGSSEKRLSSASRAKDRLKLMLKWPRQKMSRGHKKSDDGDAMDSIKKLSEQAVETPAPLRQTFTKTTALNNKRTLAVKTSTSSSATKKKLNSKLIHGIMEAMPHLASSSPASAFPRSSTPPPPQSGKMKGVCLELDDENSMTTPVFGKLKDIVLNNDDDDDAMGEPSSSGSSVNDDASAEMPARRHGCGNGRLINICFGAQGLTVEDSASGQQTSKMFKQQCLRVS >KN539183.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539183.1:116160:118470:-1 gene:KN539183.1_FG007 transcript:KN539183.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSKLQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSPSSIGDGHSPMILDVSVDKVQEHEKNKIADEEEEGYPTMRNILTSRWADADNEEENVFVPKKKKSVSPVDSIERGSTKKVTSPEPGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEEEADDDYPAGHLLDSDSEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLDTPDENIWPGYSKLPGATVKFGKQTHYRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQRMKEQGNNGDRGLFG >KN539183.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539183.1:99722:102140:-1 gene:KN539183.1_FG008 transcript:KN539183.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRGRTRRATQAEAEVERSEREMVGDEAVEEEDDDDVTKMNPPPATADAGAQSNGFENAASPIIHVQPKELALASTTQTPLGSNRPVEMMAKGPRSGTVPFRQNAGAGLLGACPVATVAPSTPDQSTPVSHSGASISTPRTAQRLAKSGRAHILQVSKPSCWPCSAAREAGHCDRAMDSTFTLVQHQESRMVVVVLDEVGQGGCQ >KN539183.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539183.1:32076:33887:-1 gene:KN539183.1_FG009 transcript:KN539183.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALENNMGDVEMVSAEGSSEAAKDKSQLKFNVKNSRVQIKRLKGKGRKKAKNAKPPTKDKVDAMVE >KN539183.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539183.1:17915:18379:-1 gene:KN539183.1_FG010 transcript:KN539183.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIGLSSPRGRGGSGSGSLLRKRCRSEMEAVQGLLKKAEALVRKAAAAGAARRPLPRRVKDKEAMTRAQKEQLVGLLLSLLAGILPSHVADFMRRRCSWRAVPGVDGDGELEVDLGSTEDAALFELRKMLDDEAAVRRSSPRELEDGELGRR >KN539183.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539183.1:45438:46615:-1 gene:KN539183.1_FG011 transcript:KN539183.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVHPLLVAFLPSWRCSSIVGTCQARPAPGKPASSSSSSSAAKGPVVDGITAIYNFGDSISDTGNFLALMEHTVAPPYGADFSYGVNFAVTGATALDAAALARIGVTAPHTNSSLSVQLQWFRDFMSATTKSPAETATGRAQRRADVGRMMTGVVESVVLVPEVVRSVLPGGGGRGGARGVRRERVPRGSQPVRADAQRAAAAGDPGAEAVVSYPEACTIAYADYFGAYVRMLERARDCGRRGSTARRSPRRAARRGRRREVQLRDGADVRRGLDGGVREAGTAST >KN539183.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539183.1:81884:84744:-1 gene:KN539183.1_FG012 transcript:KN539183.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARPAAWPREELAALDGDGHGAEFGGSRSSKKKEEDGAWMSRVWFLMYQAKKYKIVVVGLDDGKTTTLYKLHAAQTNLPQSDLSPPWQWQKKGLELAGWQIGIGLVGFGILFSFFGVILFFDRGLLALGNIFFLTGIGLLLGWQSMWKLFTKKANIKGSVPFFLGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPVIGWILQYPFQLFGQFRRKRA >KN539183.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539183.1:103925:104536:1 gene:KN539183.1_FG013 transcript:KN539183.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGMLERLEAVAEKRMRNEVITEWHRVERVRREAMKGVVSGEVASAGGRGGEAAREVLFEEVEEEVEEERRLEEEKREREKGEEAGKEFIAHVPLPDEKEIERMVLERKKKELLSKYTSDALQVEQDEAKEMLNVRR >KN539183.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539183.1:106444:108730:-1 gene:KN539183.1_FG014 transcript:KN539183.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MAAHPFPLPFLPQTRFVHLRHSHGAAVQALRLCTLISRQLPICNAQSCEVTYLSLLKIFSMYCTSILCGADTDDLWLASGNAQSSAAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIANKADGFIEHLVSKLHITYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVVYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENIGINKDQWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >KN539183.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539183.1:40381:45007:1 gene:KN539183.1_FG015 transcript:KN539183.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVAPAPLPHVRVRDGGIGFTRSVDFAKILSVPATLRVGSSRGRVLVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIYETRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTHAADLQIGVTPFEEKHRRYFDFQRRSGQLPLQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNVDELSSGAHKLLPEGTRLAVTLRGDESYEQLDLLKGVDDITMLLHSVPYGEEKTGRVHAARRLFEYLETNGLNFPVIHHIEFPKSVNRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >KN539183.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539183.1:20144:24588:-1 gene:KN539183.1_FG016 transcript:KN539183.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVVPSPRSVSRAYDKHRSKISSKFRALNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVVFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNALLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQNCHVLSFNVDDHNLQSGLLVTHYVTEWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKAKDDKPAELLELEDTQNHRSSESSFVNMTAGAAEDVV >KN539183.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539183.1:47879:49626:-1 gene:KN539183.1_FG017 transcript:KN539183.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSALEMAGMDRYLQLQHDQVPFKVRAKRGCATHPRSIAERQTSTADMLDLAVEHIKGLQSQLQALKHEQEKCTCCSRP >KN539183.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539183.1:27612:28064:-1 gene:KN539183.1_FG018 transcript:KN539183.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLMENFRPPISVDPCHIIKCSVTKRVLLKKATMKNRRKDFKRLRLIIKHDILRIKCETDLPNNVKLLLDLFKEYQAGDEVLIAHHPGLMNEDNKISTFRKIFSELEALMVTDAVKYQRNISALASLYGNDCWKPYAYYNKHVREFSP >KN539307.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539307.1:42419:43856:1 gene:KN539307.1_FG001 transcript:KN539307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMDNAIRFMFILSVVYGAAYAKKSEAKVDSAPGLAAAANTVFDITELGAVADGKTDSTKAVQDAWDAACGLAGSQKVVIPKGEFMTGPLNFSGPCKGYVTVQIDGTMFGSNDIPKYNKGNWIEILHIDNVLVNGSGTLDGQGAAVWKDECKILPNTLVLDYVKNGTVSGLKLVNAKFFHINVYMSKGVTIKNVTIIAVANSPNTDGVHIGDSSEISVSDSTIATGDDCISVGPGSSRISIQGITCGPGQGISVGCLGRFKDEKDVTDVTVRDCVLRNTSNGVRIKSYEDVLSPITASRLTFENIRMDGVANPVIVDQKYCPEKDCPEKKGSKTVTIKNVTFRNITGTSNTPEAVSLLCSDQLPCSGMELLDVNLEYDGKDNKTMAVCTNAKGISKGSLQALACL >KN539307.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539307.1:110876:111556:1 gene:KN539307.1_FG002 transcript:KN539307.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFNGAMYAGGWMQHMLFEAAHNGDLDLVRGMAMLLVEGRGRLGEAVRAGGEAAGYGDIGRDGGAAHRRQQREAGGVPLPRRGAAAGRG >KN539307.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539307.1:19173:20428:1 gene:KN539307.1_FG003 transcript:KN539307.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKLMLKIRRSSYHDVVKVSELEDILDISDVQTYVINSSRVVYLTERPQLRSCGVSNTKLSSSQTYKCEICSRTLLDDFRFCSLGCNFTAIKRDNEKNVAQNGIASNANDVEIGTNIGSTNAGSANEISSDANNYRNEIPSSTRVIRHRRKGIPRRAPFF >KN539307.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539307.1:44940:46925:-1 gene:KN539307.1_FG004 transcript:KN539307.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAWVGWLTPWLDERPKGYLFKQSSPLAGEFRKWVDWELPCYLTVVILGIRLNTKPNLTLQTWPHQKGRKQATATGAAGVRGGPGRAICTPQQLLAFKNANLVLKKKYMYFISVRLRLHATDTLTVGWPLIDDQKPVLERGKFTLRFFQPQGMEGQITFIVRENCKVIT >KN539307.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539307.1:88136:90022:-1 gene:KN539307.1_FG005 transcript:KN539307.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-response A/B barrel domain-containing protein HS1 [Source:Projected from Arabidopsis thaliana (AT3G17210) UniProtKB/Swiss-Prot;Acc:Q9LUV2] MAAEAGSGGVVKHILLARFKEDVAPERLDQLIRGYAGLVDLVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANEFLPVLEKTLIIDYKPTIVNNS >KN539307.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539307.1:4221:8177:1 gene:KN539307.1_FG006 transcript:KN539307.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTAIYEPEQVFKQNKPQKQSTQICSIHALYDLIATFTVPQREAVHKCGFGGLLKIHRINAEAFKIQGSYLSLTSRDAEHLLDLPSQGAEIFEPPQTKNRDLFDEFKTTSKQGAHIKLSSLQEYFQTNKGIHDDNFIRRFVLFVIGVFLCPTTQRYVSSAYLNLVEDVNAINAINWTSLTLNHLMKSIKKFLTKKGVNLEGNLPLLQLWYWEKLQADNLDPTIDYTMRDKPLIQYWDKHKAKKIYKIDTIYDYGKGKIVDDITLAIECSKSSQIQKDKNVQDITRDSEESKQSESCIPTDKNVQDIPSDNDIKTSEQRVDSTTHATSHKDRPETESLTRKLIMLIEEQGKEMKEMKQNQQSMHNQIQSLTNIIAAFKEEKTIPTSKNSKPNVCKSSDKETHIIKKKKPNPPTQTPVIAKSLSGSTRRLRKSTIKSNSDFVYNLGATKKKPKNAQAKNLISNDLTSMAEFKCTAEDKETTKSIMSAPESQLVVHIDDVSISQKTMQILTNPVTESSTSYLDDHIIDAYVTCLREKDMKEGTGTRAEGTVFLEKPLITRLLQRDGKHYVSKDIIDRAMAKATTNRNLKHDMIFLPMNIKEKHWYLAVIHAKRRIIQVLDSMAKNSSTQRKELRKVEDIKYFRRKLAAILGGAQKKDKKIN >KN539307.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539307.1:112922:117851:-1 gene:KN539307.1_FG007 transcript:KN539307.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGKGQRLEDSPGERKRKERDPSKGGRRMRSNASIVGKVDIISKYEVKLKGYGIPEQGFFSLKMENDEVGGSQNKCRGILNVEEGVGSVAKVTAELNHLFKKPKWNWNVKQLSSVDFLIDFPSEDERSQVTRFKGFVFKTSAVKASVIESRMTDNAVDELYTVWVKLFGLPGFARKIEVIKAVTELVGEFLEIEENSIKGEGPIRLKVGCLDPGAGSHEKGVGSKIKGASSEEESSQKILHSSMAQAVVLWKEGCDFSQPELFEVESPDKGDETKELALVDLSQEVCFEGGLAGEMNCGIPTDSDIEKMRAEEEEESEIGSPDIAHVITKGGAQGEGAGLRFKKVAKRGLDGGGRRESSRVKDKEVPIAVKAELRKSKANMMTDAICARELAQAALFEAGKKLEQQKEMNEPGGGEFSEPEEEEEGQSEENSEGELGIILGSSKKSGRGRKVIKGKHLFQQCSAKKKRGRPKKKGNVWATRHTFQGLLESPKNT >KN539307.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539307.1:58997:83243:1 gene:KN539307.1_FG008 transcript:KN539307.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVAVGVKSYHYLQLHFLKSKRHVNLHGQATSPMVSTHEHQVAASEMEAKLLVEQLVGVTGDDHERFLLRIKNRFDRVGLELPTIEVRAEGLAVEAEAYTWRSPAAPAVFTSMGNTLLALANAMHVLPITWKTKYTILHETNAIIKPCRFCGIRKKHIAESLVWKVRSKAAASKLTCTHKALQVSGRVTYNGHGMEQFVPERTAAYISQEDLHAGEMTVRETLAFSARCLGTGDRQDLLNELTRREKEANVTPEHDIDMFMKESANGGESKIVINYIMQILGLHICADTLVGNDMARGISGGQRKRVTIGEMLIGPARALFMDDISTGLDSSTAFQIVNFLRQMVHILGETAVISLLQPSQEMYDLFDDIILLSEGHIVYQGPKEKAVDFFESLGFICPHRKAIADFLLEVTSRKDQQQYWSREDEPYQYFTVERFSEAFHTGQTIEVPLERNLSSLSALKTSKYGLTVLSFVAMTVFWHNNMRHDSVDDGGIYLGVLFFFVAETMFSNMCDLGGTIMKLPLFFKQRDVFYPAWAYTFPTWILKIPITLIQVTIWVTMTYYPIGFDRNIGRYKILVSRSPCIVVLPGSSESLGASVLKSRGLFLETKWYWVGLGALVGYTFLFNCLYTVALACFKSPGRTFSLGGPKVLNKKLEELSRNTPVKSQQKRVTNELQSSVSRRATLPFMPLSLTFNDIRYSVDMPKEKKVRAGTEDRLEILKGVSGAFRPGVLTALMGFSGAGKTTLMDVLAGRKTGGYTEGTINISGYPKKQETFSRVFGYCEQSNIHSPHLTVLESLLFSAWLRLPSEIDSMTRKMFVENVMELLELTSLQDAHVGLAEENGLSSEQRRRLTIAVELVANPSIIFMDEPTSGLDARGAAIVMRTVRNLVDTGKTIVCTIHQPSIDIFESFDELFLLNQGGEEIYVGPLGSHSSELIKYFEGIECVTRIKDGYNPATWMLEVTSTVQGQMSGIDFSEIYKKSELYQRNKALIEEISRAPANSGDLLFPNKYSQTFFKQCLTCLWKQNLLYWRNIHYTGRRFFVTTVIALLFGTVFWNLGMKRTKPQDLFNSMGSMYSAVLVLGIQNASGIQPVIAMERIVFYRERASGMYSALPYAFAQVAIELPYVFVQTLIYGVLVYTMIGFEWTIAKFFWYLFFMYFTLLYFTFFGMMTVGIAPNGVIAAVLSTAFYGFWNLFSGFLIPVYKIPIWWRWYYWICPVAWTLYGLGASQLGDVEEKLDTGKAENRKDQDAGPAPATRLLAPLARRIFLGFNAPVRLLPKRKCAVYGNPVRMSIRKCQISKADAMASFFPRIGTVGEEGVEVLLVLGGTEGSPEINVALLNMYYEMLRERRNRYIIWQTGPEGFCEMESLVRSHRRLLLTPFLHELDKAYAAADVVVSRAGSMSCTEVLVTGKPSILIPLPTMVDDHQTKNAYIMADVMGAKIPLPTMVDDHQTKNAYIMADVMGAKVITEDELDSSSLRSIIDEVFGDEKLMSDMSQKALSAARPNASTDIIRHICSLVGSSCTT >KN539307.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539307.1:23645:25497:-1 gene:KN539307.1_FG009 transcript:KN539307.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGKKFGDDDVQREMTQLPYAVMDVDGKPHVRVEAADGDVRVLSPEEIAAAVLAKMKETAEAHLGRTVSSAVVAVPVYFNDAQRRAISDAGDIAGLDVMRIVSEPIAAAVAYGLDNVRSDGKRIVVFDLGGETLDVTALVADDGFFDVLATNGDGHLGGEDFDQRVVDHFVDLIKRKHGRDITGDGRAMHRLRRECERAKRALSAQHQVRVEIEALLDGGVDLSETLTRAQFEELNDDLFARTMAPLRKTMADAGLEKGDIDEIIPVGGSTRIPMVQQLIRDYFDGKKEIVKGNNPDETVAYGAAVIGRHVAGDDDDKPTMLGPLDLPSFLSDTISIETAGGAVTPMIPRRSLLPAERTHVFTTYLGRQTAVAINVFQGEGSTAKDNTLLGRLALTGIPPARLWNWWWRWRTIQVTVKVGELGDIHVEAADKGGSGKSERLSIVSGEGHEHGRLSKEEIDRMIREVAEDLVEEERIMKERVDAMNMLETYIVKNTALISLVIILKIYNLASLNRSG >KN539307.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539307.1:1950:2304:-1 gene:KN539307.1_FG010 transcript:KN539307.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMFNVLKKIKSSRLENLGLVRNSFSQTAHNIFTLSSLVYDGRVEITVNDGGHHIVYPRNAPSVEDMASGNVTNSHFVFNFDFKDWQ >KN539307.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539307.1:105574:109035:-1 gene:KN539307.1_FG011 transcript:KN539307.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASERLSRVENRGKRGERTEKLASEAAARSHRAMAAETPAAGRSGVLKHIVLARFKEEVTPERLDHLIRGFGGLVNLVPSMKDFNWFVSLPSNSHPHPHASPRSPACRFSGCTPFVQWLCGSHSQRHPAFQLVCRIRKRRYVPSLARGVQSCYDSCNCIYRSQQTILVQTHSHCPGFTLRSIVSLIPDQGGSELPRGEPWARRGMNGSSSSTLFVRTGSSSTIFCGVSFSGALPPLYRGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHLEFAKEILPAMEKTLIIDYMPTAVNNSGA >KN539307.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539307.1:119064:119417:-1 gene:KN539307.1_FG012 transcript:KN539307.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKTPAVGRSGVLKHIVLARFKEEVTPERLKHLIRGFGSLVNLFPSMKDFNWFVSLPSNSHPRVSVFFSSFCRSPACRFSGYAPFVHLLATSAVALPAFSGSAVHILVDILQFS >KN539307.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539307.1:29393:30627:1 gene:KN539307.1_FG013 transcript:KN539307.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEFVPPWLELLLSTQFFNTCTSHHNSPRNECNLFCIDCQAPEAAFCYYCRSCHHSSHRVIQIRRSSYHDVVKVSELEDILDISNVQTYVINSARVVFLNERPQLRGCGVLAIKSSPSSLSSYNCETCSRVLLDAFRFCSLGCNLIGIKNDVETVVANDGIAHNDKDIEIDGSNGTANTNGTGKGIEICGNNGTIANTRNEDEICSDASKNKEILSSTRVVHHRRKGIPRRAPFF >AMDW01004954.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004954.1:36:167:-1 gene:AMDW01004954.1_FG001 transcript:AMDW01004954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FMWGLGVAEGEAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSL >KN540962.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540962.1:10648:12046:-1 gene:KN540962.1_FG001 transcript:KN540962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAVGLLPPLRFIAVLAVVSWTSFIYCHFSLLSGGLLLGHGGGDDGADPCRGRYIYVHDLPRRFNDDILRDCRKTRDHWPDMCGFVSNAGLGRPLVDRADGVLTGEAEWYGTHQFALDAIFHNRMKQYECLTNQSAVADAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTQWLMRRPEWRRMGGRDHFLVAGRTGWDFRRDTNINPNWGTNLLVMPGGRDMSVLVLESSLLNGSDYAVPYPTYFHPRSDADVFRWQDRYAWHLPREHAKYSVFISEHDVRAGNVSIEATLRAIPAATVERMREEVIRLIPSVIYADPRSKLETVRDAFDVAVEGIIDRIAMTRGGYARSWLRPKQSRQALDTRRRRLS >KN540962.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540962.1:30688:36858:-1 gene:KN540962.1_FG002 transcript:KN540962.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVELQQLLDILELTHQSISKFLELDSYSLMLSEMQENLSLVSYSSRISSQDLTMAYQGISGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKVPFARPIGFATPKNGVPIPNSNGAIPTYNGNNGIPLDTGLSGAGFLQPAKGASAAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASAADGSAQMMAFTAMMEGGEYGDTLNFFGVYKVGSAMCKLSITGGTGKFKGACGFAEVRPLIATGQHVTDGAETLLRITVHLA >KN540962.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540962.1:25524:30255:1 gene:KN540962.1_FG003 transcript:KN540962.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGAAGEEAEERRRSELGSLVEAIKSSEVALKCSELDTTNCLGPFLTLGTKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKLLPVASKCIAEDTVHAVGYFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSEAMAIYKSIIRCALLISHSSILFCRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGIPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDESPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATINQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSSGIIGFPVHALASAFQSCEIKDIDIDEKSIATMFKIATSLINLYRTSPDSRKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMSILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNDVKTKKRKVPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLEDAVSHLASFSDKI >AMDW01021996.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021996.1:6:308:-1 gene:AMDW01021996.1_FG001 transcript:AMDW01021996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FTRVCTGQHKLQQQHQPPPKKKKNKRKTLGVIFKQDEMVMELLRLAQGHAGGGNNEDDCDGVASGFRKEEVVAFKERIAGALRSAALTGKTASQLPNGELL >KN540663.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540663.1:14078:21378:1 gene:KN540663.1_FG001 transcript:KN540663.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGEQIGSAIGGRIKLQWDFNDDLKDMKMTLETIKAFLKDAERRSIREESVRLWLRRLKNVMYNISDMIDGFEAETTRKSKIMFPNLAIGAKIKTAKEMKRMRRELEKITKQHRDFSFASENSSKIQEVVSSDRKTSPKVEETTIIGRIQEKQKILDCLSDKILTQDFIILAIYGMGGIGKTTLAQLVFNDKKFKEFSSVWVYVSQVFDLDKIESSIISQLSKREPNMTDLVMVPPNMNIIIVLDDLWEKDGFKLDSLKLKLKVGNGAKVIILVTTRRDDREWLEHIGKEIARKCGGVALAAQSLGYILHSKRADEWESVRDNNIWNESTLEDTSSPHHMLASLKLSYLIMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKVYSSKQIGEIYVNELLGMSFLQYSESVAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNGESNYRYALLSDSTKPLHSFTKFPAKMRALRFVDCSKTGLHYDAFSSAKYLRVLDLSECFLQLLPDSIGQLRQLRTCLPGVSETDFLGAFTKLEYLNLSTEVNDTNIRRLPEAMGSFIKLKYLNLSGWRKLEELPRSWGNLPNLIHLDLSECYGIKGVPEALSSLTKLQYLNLSWCSSFCLENQSPLRGLKEVVAKLTELQNLHLSHCLDTLVDKEIKAKDVCQNFLASVCSLSNLEELDLSDNNCIKTLPESIGDLRKLHTLTLSRCSNLSQLPTALREIDNLKHLNISECYDLDMSTVPKSDNSLILLPQFAVQALDGGSGSNLVLLENVNRATELAISKLENVVTIEEAQRVRLKEKEMISQLMLNWTRDARRFVEDHDLLGELEPPRMLKWFKLQGYNSVAFPDWLINIAPHHFPVLSRVNLLNLPKCTCLPPLGQLPRLTLLSLEGMNGITKIDGKFCGGAGAFPSLKNLYISNMESLEEWQTMYSCSDCKGVSEFMFPMLKRLIIRHCPKLSLKPCPPNKVAHLEIESSDNVISSWSIGACASNSSSVSVKTMVVKSYDFK >KN543326.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543326.1:1549:3167:-1 gene:KN543326.1_FG001 transcript:KN543326.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKKEKKERKKEKRRQKKAAQLGEKYETDDYHSKHGHKKRKHEGCETVGQETRKVYNVIMEHLEKSSLSEEHEAPSYSQALRCTPESSLDSSKRLRTEVSSSPSQTRNGVNIRLKFTPTNQRRDPEATTGMSMKPRVTEQSPVKETGMDLSMANRKREFQPHVNTVSVVKQAVSQQKNMSIRNGNCLGESRKVSQQHDAKSMQRINMVQRVSTESTPIAAMQRVDLPPSEKVVMQRANPAPTKVMQGVEVAPVKAMQRANPAPTKMMQGVQSAPVKSMQRANPASTKVMQEVEATPVKMQIAGHITPSKVFNRESTQVQLGKETGAPLLGGQLNTKRPTLLNKPKVCADPPILLSKPKMLCVEPPGLLNKPKAHVEPPVVKKQQQIVPRAQEEPCSVGSVLAAASPVTEAQQSSSDRKSRKAEKKERKLADLFLNWEPSPTQMEDTDVGDQDWLFSCRATPKNNCRTFDGSARCQPTEQLFSLQPRAVHLPDLHMHQLPFVVPF >KN543326.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543326.1:6145:7464:-1 gene:KN543326.1_FG002 transcript:KN543326.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNPKAYPLADSQLAQAIQELVSQAANYKQLKKGANEATKTLNRGIAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASNLRDPINNLKVAIEKLLI >AMDW01009416.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009416.1:41:223:-1 gene:AMDW01009416.1_FG001 transcript:AMDW01009416.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWRWYADRQFNRWEKTVLWDMVEPYRPPRSFAPLIGTYVAAFYTGVVASAITEQLYK >KN542279.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542279.1:9925:15276:1 gene:KN542279.1_FG001 transcript:KN542279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVDDGLGLWWAVMALVSGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCG >KN542279.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542279.1:15865:16298:-1 gene:KN542279.1_FG002 transcript:KN542279.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAASQ >AMDW01038826.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038826.1:1:693:1 gene:AMDW01038826.1_FG001 transcript:AMDW01038826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDSDSDGEGGRRKRRRLSDDAEADGDPERLFGKLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGAVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSK >KN541268.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541268.1:3333:9494:1 gene:KN541268.1_FG001 transcript:KN541268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVAPPRAHEVRIKILCTSLCHTDITFWRMEGLHPSIFGHEAVGVVESVGEHVREVTVGDTVVPVFTAQCSECPDCLSDRSNICSKLPDVHGFMPRDGTTRFSSASTGEPIRHFLSVSSFVEYTVVDVTHVVKLDASFPPAMACLLSCGISTVSDRDFDWRVLRAGIGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGATRIIGVDLNPDKFDVGKRLGITDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSASVMAEAFQSSRMGWGKTILLGVIGSMAPIGIPSHDLLPGRSVIGSLFGGIKPKNDIPMLARKYLDKELELEEFITHEMGFEEINRAFELLTQGKSLRCIIWMDGAKEIDRERRVIAASSSCRY >AMDW01033485.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033485.1:88:306:1 gene:AMDW01033485.1_FG001 transcript:AMDW01033485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTDDRAQELIAEAVSKLWQRPMGYEDYARRKIDIVRVIGLIP >KN541268.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541268.1:23115:23977:-1 gene:KN541268.1_FG002 transcript:KN541268.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAIHGA >KN541268.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541268.1:14774:21249:1 gene:KN541268.1_FG003 transcript:KN541268.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRRALLRGTEDDEEAEEEGLEVFGIGGGGEMNFARLHMIMLRECRRLKEGLPIYAYRRNILDHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIVCTQPRKIAAISLAHRVVEESNGCYGDNFVLNSAFLDYQDFSSKIIYTTDNCLLHHCMNDMGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADANRLAEYFYGCQTFYVKGRSFPVEIKYVPDISEEASFNTVPNHLRGSCATASYVYDVVKMVSIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGRCYRLYSESDFRMMEVHQEPEIRKVHLGTAVLRILALGIRDAQNFEFVDAPNPEAINMAIKNLEQLGAVKYKCDGFELTDTGRHLVKLGIEPRLGKIMLDCFDFGLRKEGLVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNMWCWQNSINAKTMRRCQETIADLENCLRRELNIIVPSYWCWNPEESTVHDKLLKRIILTSLSGNIAMFSGHERFGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAIDPDALCTIHPMPLIQRLEKLKLQVKVISGLGYNLLRKFCGKSGQNQQKIISLLQEEFRDDRVTVEVDFRNKEVVLFAKEQDIEKVFGIVNDALESEARMLRNECLEKSLFSGKPGDCTLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKPYGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVPVCSSSNRGLPFPAVRAKVSWPLKQSRGLALVTCASGEAEFVVKDCFALGVGGRYINCEVSTRHENCIFVRGIPMHVTEPELYDAFCSTTRRKIVDVHLLRGTPIAAPSASLCAEALNREISSFMPKKNFPAQNFRVEVLTPEENDSVMRATITFDGSLHREAARALEHLQGSVLPCCLPWQTIQCQHVFHSTVSCPVRVYNVINQAVASLLESFRSQKALTSVLHVGVSYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTLSTVQLLMSRDGVADLKSVEQETGTYIMYDRQSLNIKVFGLQDQVAAAEEKLVHALLQLRDKKPLDIRLRGHNLPPNLMKEMLKKFRADLEGLKREVPAVELRLNLRQHTLYVRGSKEDKQRVEDMISELCESAMKSHDGFPLCCLKNGCKKQLLVVDLRSLLSSEKLEELFRASLRAFVASNAGKYRFCPTPDCPSIYQVAAADAESKPFVCGACFVEICNKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKFIHCSINSSIFNLVP >KN541268.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541268.1:10187:12021:1 gene:KN541268.1_FG004 transcript:KN541268.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFHLLERRSSDFGDAYASLSFHGARFRKLSREAPMAAGEGKAPTLADEEHSLPPHEVPVENSSSEKSSDNSVAEVVPEKDAETPAAQDTTSVVEDKSETPEMTVSSEKPEEEGSNAATEESNEAEEETIDEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEGAPECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >KN538891.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538891.1:96124:96555:-1 gene:KN538891.1_FG033 transcript:KN538891.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVEALGLLEPAVWTPLYPGSLYEPARFRCMTPEGFAYDPVFPFASTEEGFLICDEHGFRVETEEDVVGADDIASFCARVKVLQDEAAARLGSKAVTDVEEVACDDDDDYDVLEEAGFAACFGLIIDSVEGLLEDDGEHS >KN538891.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538891.1:149989:150951:-1 gene:KN538891.1_FG034 transcript:KN538891.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDVLLPENHRYRNCSDSEEEDDEYGEDDNYGDDSDEEEEDFVDCKIDLVDEDELYTEDSKQESHESLFSLQMYKDQQNDNEVSSPAPKSSSTSVEEQSPLIQRNNHRDRGQYVRPVLNPVQNMSQWKEVKAQAAPAKKSNKENVNSVPKVGATPENSIKKEVSVDASLSTWLVSSDNSTVDKVQSGSPCSISTVNRDERPVLGALTVDDLKQSSATSSPRRSPSHNREEAILGTVGSYWSSTKQDNAHCSSRSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKSSA >KN538891.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538891.1:59850:73806:-1 gene:KN538891.1_FG035 transcript:KN538891.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) UniProtKB/Swiss-Prot;Acc:P84634] MQYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPSREVCIFLAPTIPLVRQQAVVIASSTDFKVQCYYGNGKNSRDHQEWENDMREFEEFYNSNSVEKFPRVFGMTASPIIGKGGSNKLNYTKCINSLEELLHAKVCSVDNEELESVVASPDMEVYFYGPVNHSNLTTICIKELDSLKLQSERMLRASLCDFKDSQKKLKSLWRLHENIIFCLQELGSFGALQAARTFLSFDGDKLDRREVDLNGNTSSFTHHYLNGATSILSRNKTDGSHAGSFDLEKLEEPFFSNKFSVLINVLSRYGLQENMKCIVFVKRITVARAISNILQNLKCLEFWKCEFLVGCHSGSKNMSRNKMDAIVQRFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTKSKYVVLLERENQSHEKLLNGYIAGESIMNEEIDSRTSNDMFDCLEENIYRVDNTGASISTACSVSLLHRYCDNLPRDMFFTPSPVFFYIDGIEGIICRLILPPNAAFRQVDGQPCLSKDEAKRDACLKACIKLHKLGALTDFLLPGPGSRKNKVSVTNNSSNNKVEDDSLREELHEMLIPAVLKPSGLKLDSLSNLHFYYVKFIPIPEDRRYQMFGLFVINPLPVEAETLQMMLAHKFQEMCLKILLDRSEFTSPHVKLGNDVTLEINSTFYLLLPIKQKCYGDRFMIDWPAVERCLSSPIFKDPIDVSVHASYSSNESLRLLDGIFSKTDVVGSVVFSPHNNIFFFVDGILDEINAWSEHSGATYAEHFKERFRIELSHPEQPLLKAKQIFNLRNLLHNRLPETTESEGRELLEHFVELPPELCSLKVIGFSKDMGSSLSLLPSLMYRLENLLVAIELKDVMLSSFPEASQISASGILEALTTEKCLERISLERFEVLGDAFLKYVVGRHKFITYEGLDEGQLTRRRSDVVSNSNLYELSIRKKLQVYIRDQQFEPTQFFAPGRPCKVVCNTDVEVRLHQMDIHPDNRENCNLRCTRSHHWLHRKVIADVVESLIGAFLVEGGFKAAFAFLHWIGIDVGFNNSALYRVLDSSSINLSLMDYTDIAGLEELIGYKFKHKGLLLQAFVHPSFSQHSGGCYQRLEFLGDAVLEYVITSYLYSTYPDIKPGQITDLRSLAVGNDSLAYAAVEKSIHKHLIKDSNHLTSAISKFEMYVKLSNSEKDLLEEPACPKALGDIVESCIGAVLLDSGFNLNYVWKVMLMLLKPVLTFANMHTNPMRELRELCQCHGFELGLPKPMKADGEYHVKVEVNIKSKIIICTAANRNSKAARKFAAQETLSKLKNYGYKHRNKSLEEILVVARKRESELIGYNEDPIDVEADISVKMRSPHIHEENIPFQNTETSFTRSSKFHNQIIAGDSGKHDVNNGRNNQPKLATQSGRLPSEATEKSNKKVYHGDMVHKTARSFLFELCAANYWKPPEFKLCKEEGPSHLRKFTYKVVVEIKGASATLLECHSDGKLQKKAAQEHAAQGALWCLKQLGHLPKEEDVRV >KN538891.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538891.1:12913:14256:1 gene:KN538891.1_FG036 transcript:KN538891.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLAAAVVALLLALPVALAEIKTTPIVADSRPVILFEEFGFKPGGVSAVSVRGVSWRVAEGSKLQAADPGLMGFILISNSLFFQINNESDYAEATGGAFCPLTSKYVLPLFRLKDIAPDGNGKGSVTIDDDDQYTVLFSSCQDGVEVTMDVRTEMYNVRPGGGRGVREYLPVGLLPLPGIFAAASAVYFVFLGAWAWACARHRATAGQIHAVMGALLLFKALKLACAAEDAWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKKVLMIVIPLQVVENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVTVAMEAASLAFYIFVFYNFKPVENNPYLYVGEDEEEEASGQLEMEGTFEI >KN538891.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538891.1:4367:4975:-1 gene:KN538891.1_FG038 transcript:KN538891.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVQSAAGWGRQLQQDGGGWRKGPWTSQEDALLVEHVRQHGEGRWNSVSKLTVDFFN >KN538891.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538891.1:55130:57098:-1 gene:KN538891.1_FG042 transcript:KN538891.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATEEQPRITGSVVPGVGEVEEVDEATEGGRLGRGDDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVQDTTKPKLRYRGSSTTPEDGSHDSDATPAESATSVDTSSHHLN >KN538891.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538891.1:18209:20038:-1 gene:KN538891.1_FG043 transcript:KN538891.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGNGQALFTAECSHMFHFHCISSNVKHGNYFCPICRAKWKEIPFNRSLSSNIPHGRIGVSRARLTQQDANMALLHQVPSHHQRVHRPHTSEPADFNDDEPLQQPEVFDNLNVRSTKTAEINTYPEFSTIPQSSSKDEFAILIHLKAPSANPDQGTGKLANESSAGSSRNRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSSARRLFHLQRMSHHGRQQALQAINSLGASGGTNIADALKKAMKVIEDRSYKNSVCSIILLSDGQDTYNISSSVQGASPDYKSLVPSSIINDARHTVPLHAFGFGADHDSDSLHSIAQASGGTFSFIEDEGVMQDAFAQCIGGLLSIVIQEMRVSMECVHPGVQLSSIKSGSYPSKVARDGRNGSVDIGHLYADEERDILLSVNIPQSRHQTSALKVSCAYRDPVTGETIKIQGDEVKINRPTTSNISEHVSIEVDRERNRIQAAESIESARAAAERGALSEAVGILEDCRRTLSQSFASRSGDRLSLSLDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATARGDSTDSSTVIYSYQTPSMVEMLQHSQNHLPSPQGRQRPRPR >KN538891.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538891.1:83140:84333:1 gene:KN538891.1_FG044 transcript:KN538891.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDITQLRRVSTGGSCLLIWANDWRWYFVMWNSETVCQSVRSRYNQVLKEFRFCQNFHTDKSNLSNYQLFDYSFSHFVMVHKGT >KN538891.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538891.1:99093:99428:-1 gene:KN538891.1_FG045 transcript:KN538891.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MITKEEGFVYDPVFRFETKDGAAGGLLLHYDERGFRVEAEEDVAYDVASFCARVNALQGERALASRHSGDDVVETEEEVGSDEDGASTEEGFSHIIGLAEELLEEDDDETS >KN538891.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538891.1:75567:78617:1 gene:KN538891.1_FG049 transcript:KN538891.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTYVGGMYHLVNNMYSGESNGLVISPSNHLARRIKWLGDQLEQPLGSWGCPPGKFPLKFDARLTCYLLKGRVRASVKGTGRCVEFGAGDLVVFPKGLSCTWDVVVGIDKHYNFDSS >KN538891.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538891.1:24955:30136:-1 gene:KN538891.1_FG050 transcript:KN538891.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/Swiss-Prot;Acc:Q9ZVN9] MYGVGGGGGGFNAPSTASARRRNHEDDEEEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVARVSVVLHRDAIVVLIFVFLTQEAIRCCKLSRTLTLVGDVVSISLVPYALMKVCFLWAIISTLLKRMDDRQRCQCSFSPVARPSCGHDELQAAAPSCAFSAVDHSSPLERNTVAPELQPLHYGHQTFLLSLNQANIQNPEKGSGEFPCIKWLKSSHQKFGGKISAPKGVVYRDKALYAVSLPSPDLPDSLSSRKVHCCFTAEIFSMERVAMLIAKAHIWIVNHEPCRETALD >KN538891.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538891.1:84910:86812:1 gene:KN538891.1_FG051 transcript:KN538891.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAADLAPLLGPLAANATDYLCNRFADTTSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPQTGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKTYGPAGGINGQWSGVGRTAAAQLHGGCGAWGILFTALFARQKYVEEIYGAGRPYGLFMGGGGKLLAAHVIQILVIFGWVSCTMGPLFYGLKKLGLLRISAEDETSGMDLTRHGGFAYVYHDEDEHDKSGVGGFMLRSAQTRVEPAAAAASNSNNQV >KN538891.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538891.1:40099:54351:1 gene:KN538891.1_FG053 transcript:KN538891.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWRAALLLLALAVYVPLGAASSTTIASYLLGLWSRAHRHSLPAPAPAPAPAPAPETHRPGIRHPVPRHHRKRPHVAPPLPPSSSSERQVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLEDPEKTTVTVDLVPLGQKFDRTSALLTSNRFLQKKVPINSSIFGDYNVIYVHYPGLPSLVPSVPGSLGPISSSQYPFSANVHNRRHQKINSKSVAIIALSAVVLVLMSFGICIIWKYKGFEKSRGTGRVSNSSATRKTGMRSSFSSMTSSTASFVSTIATCPPTVKTFSISELEKATENFSFNKIIGEGGYGRVYRGIIDDEVDVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTDRDGLQQLVDPSMPAASYGFEKLAKAAAIASMCVHVEASHRPFMGEVVQALKLIYSGDNDETCTSGSFGGGGGGGGEDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRMVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDASDCCSEAESTATVVNTEGSSSPNMKESDEYFWNAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMVDDGSNKEDSVKEEQCASNYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVHSGFLSAYDSVRNKIIALVKYAVGYQDEEDGENISKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETSQTMEPVQICDNVNSPLVMKRTRLGVMVELGSKEDALPLVVSLYDKASSNQDSYLRILICANCKWT >AMDW01038343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038343.1:91:555:-1 gene:AMDW01038343.1_FG001 transcript:AMDW01038343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VANSNVRHNSLHLLLDLFPLEDPDVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAIEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSCTEVRVSTINGLIYLLDNPQSHEILKVLLPRLSDMVSDPALSVRSSAVDLLLAIRDLRSFQFNK >KN543367.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543367.1:1604:2616:1 gene:KN543367.1_FG001 transcript:KN543367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLMYDLIYTKAAMVHTWMGYAIRFASPIAGVTAFVLFWLHSKKGLATADVMITYVLLAGMVILDIKWLLRAVASTWEMARRLIVSDLNLFRLLHNNKKPSRYRMWSQTIGQYNLLHECTRYESEPSTNDWKSSMFKRCAPEDIWMEYEYQHVRGTGILFSAHDIEKLFLDPFWENMKSAFQEGEPPVEKKEEHPYPLYGPAPAMNKLNIALDFTPDLQETILILHIATDIFLLLAKSDTIEASAKSRGQVKAIKVLSDYMMFLVAVRPTMLPGLVLTSRYEAVREYLDKLWKKKNTLCSSSTTREMPCRHPAF >KN543367.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543367.1:4900:6555:-1 gene:KN543367.1_FG002 transcript:KN543367.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVGVAKYGERVWALYQGNLSTIRKAVDGDVGGQNQEQEQPEVEMDGDGSPQDILLCAHSQFKVCKGALVDSSSEFVDSSEFGNTVFSNKWEWDKRWTVFQMEPRRHWWLYHEAVCNGRWRRLNAGLEYLRRLVNAHDRRKWSGAIGQHNLLQFCTSSPRQQSKKKHTGPTIVIPPDVMKLVFDELERVILRKKEMKEIKSGVPRSGDDAEPSGETMQSGSKTRPSKQGTSATNSIGLIKAKKGLHAVAELNLEDKDRKYLERYIRDEIQESILIWHIATDVYLRTREGSKRHDTTFVRAIKLLSNYLMFLMVEHPTMVPGIDLRKYYTQTYKKLSTNYAGNANGDPDRLAKILAQDETVNPVLKQNDEKEALRGNALWLATKLALKLAKIKKFAKTKVDMETFLFYMWVELLLYVSHRCSRESHAKKLSEGGELTTIVWLMAEQAGKFYIDKKLSEEDNVDWPTES >KN540215.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540215.1:24523:25660:1 gene:KN540215.1_FG001 transcript:KN540215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADEADQQAAVSGPDNVRPQMDPRLLMAARHGKSDLFKKLPGKSEGVVILEVESLHRTPAAAAGAGDVGPATSSLPLDGVTAEGGSLLHVVAACGDSDNFRDCARLIYHRAERLLDARNDRGDTPLHCAAAAGNAEMITCLINLAAFGDGNTEAEEAEEKKVAYLRVHNNCGETALHHAVRAAAAAAGNKDDNIKKQLALDCINRLMAADPELAAIPLPNEKAASPLYLAISLGEIGIAKHLFDESDGELSCYGPNGRNVLHAAVSYDQADNKDQVTI >KN540215.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540215.1:38721:42500:1 gene:KN540215.1_FG002 transcript:KN540215.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAALQALPMVLKWLENKKLKTADVDMQQLTSQRDKDNGSTPLHLAASLAGLPSLGSWYVGPSATRLLLDANVYTAYQPDNQGQYPIHAAASAGSLEAVKALLEKCPDCATLRDARGRTFLHAAVEKTSLKVINYVLGSPGLSSILNLQDDNGDTALHSAVRTKYFRAVLCLLKHPQVRLDMPNKEGMMPADVSWSMMPLKTYYAWDPSIQIRKLLWILRAPFGESRGDLFDEKHAKIVVESKRDMEKMSENITAAAQVLALFSVLITTVTFASAFTLPGGYRSAGDYGGAAGTPVLARRGSYAFDAFILADALAFVCSFVATSFLLYAGVPAYKLEVRLNNINFAYGLMMNSGRCLVVALALGFYVVLLPPVGRTIATEIGVVMIMLAIVVFTKDSKGRVEPTFIIVPVMRNNRKPSQGVVRRFIIYFCERYWSFILIFGLPAIHTWAKAK >KN540215.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540215.1:30629:31760:-1 gene:KN540215.1_FG003 transcript:KN540215.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVVHHVISKVHTGALVVYGDQSIDDEDDLEDIVDVDLEDAETEMKAKWLVIARFYSGQRYSVKARQLGDNKFLIEFNCEVDYNRVILGVPWKHKGDALIVVPYDGIIHPSEIQIDSIPLWICIYDLPEAMMTTGCARLLGEKFGKVLQVGGAVHDFLRVKIDFPLESPLKSQVRARVKDKGIMSFPVKYENVPFFCFSCGRIGHTESVPRAAKALNFSGAQREKVASATSSSKTGSVQELSQDLLVKEVVSSAEVPKEATKLQTPPLINAVSSALAQGVQRISVESGMPDLNLQARGPCNREHVSMSSDYAISEENTGS >KN540215.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540215.1:26159:27073:-1 gene:KN540215.1_FG004 transcript:KN540215.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERVATCKICGRCEEDGFHAVIACTRAKAFRNELRVFWSLPAEELLVNTGPDCWLLMILEGALREDGARFLLLLWRAWHLRNDCIFGKRMKSVLASAIFLQSYWESLDQVKTGCCAKGKAAIHGARVDTRLQPHQTPSPGWKAPPMGWVKLNTDGAFLCQSGKAGAGIIIRDHTGEVSLSSWSSLLNCASAEEAEAVAIRNGIRLRAEWIQLPAILETDCANLVKKMKHPGPDRSPLWTTLQEVRAALALLPEFRFEKIPRVCNKVAHCLAHHAIRSHSSVVWRFQAPQCVLELLEIDCNESVG >KN540215.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540215.1:56876:57499:1 gene:KN540215.1_FG005 transcript:KN540215.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSENVTAAAQVLALFSILITAVTFASAFTLPGGYRSAGDDGGAAGTPVLARRGSYAFDAFILADALAFVCSFVATANLLYAGVHAFSLESRFRSINAAYGLMMNSGRSLVAALALGLYVVLLPLVGRTIATEIGVVMIMLAIVAFTKASEGSFNPVLIFTPISRNSMKLLPRDVRRSTIYLLERFWSFVLIFGLPAIHKWARAK >KN539690.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539690.1:83269:87122:-1 gene:KN539690.1_FG001 transcript:KN539690.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSLSASHAALLSSAAPLLASLESFLAARGLAGNLSSALASSRRRVRLLVLANRANAHLQGGNHNLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSLVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSASARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADVADGAAAASFDLTPLYRAMHIHHTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLRSKVDEFMGQSDGVTWMADDPPAGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVRLFLNDSVKRFNASAVTGIDTDLKMFEAFGESMSSLFVDSDQESAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYSKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >KN539690.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539690.1:61370:64552:-1 gene:KN539690.1_FG002 transcript:KN539690.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVPSVQAMVAATGGDHVPPRYLRPTDADEPVAADDGEAAIPVVDFRRLQLGDGDELARLHIACQDWGFFQLVNHNVPEDVVEGMKASIQGFFELPAETKKQVAQEQGQLEGYGQLFVVSEDQKLDWADSLYLKTQPLQDRNLRFWPDQPAGFRMALDRYCAAVKITADGLLAAMASNLGVEPEVIAERCVGGVQSVRVQYYPPCGQADKVVGISPHSDADLVTILLQANEVDGLQIRRAGPWLPVRPLEGALIVNVGDILQVFTNGRYKSVEHRVIVDGKKERLSMATFHSPSKNAIVAPLSEMVAHEDDAVYTSMDHDELLKLFFAMKLEGKNFLNPIKKLKNSG >KN539690.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539690.1:46412:46960:-1 gene:KN539690.1_FG003 transcript:KN539690.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANDYLTHVAAVDATSIAVADAIREAFHLSTRYDADLSTATNIADSAATMASNATRKAYSSPSMTRLSSTIATGTTQVPPSLPMLPDRTTGPSLSMPLELATLFTPARFFGGPTAALGGSTAPPQPSLFQTFAGPLPMHGWPYLG >KN539690.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539690.1:352:603:1 gene:KN539690.1_FG004 transcript:KN539690.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding FILHPNEYVTEVSGSVGPFPPQGQPYTVNSITFVTSEGRTYGPWGTRGDNDTDFDVPVEQGRIVGFHVRGDKFISAIGFYIRP >KN539690.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539690.1:11815:12728:-1 gene:KN539690.1_FG005 transcript:KN539690.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWLVSPWMAKVLVCLEEAGVEYEVVPLSLTNGDHRRPEHLARNPFGQIPVLEDGDLTLYQSHAIARYVLGKHKPELLGLGEGGSVEESAMVDMWVEVETHQYEAAVKPIVWHCLVHQHVGLDRDQGVVDESVRKLEKVLEVYEARLSGSRYLAGDRISLADLSHFSFMRYFMATEYAGVVDAYPHVKAWWEALLARPSVKKVIAGMPTDFGFGSGNLP >KN539690.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539690.1:68933:70257:-1 gene:KN539690.1_FG006 transcript:KN539690.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPVPSVQSMVAADGGAHVPPRYLRLRDEAVATDGETEIPVIDFQRLQLGHDEEMARLDRACQDWGFFQLINHSVPEDVVDGMKANARGFFELPAETKQQFAQEKGSLMKLDWADILFLNTLPVQNRNFRFWPNKLANFRSALDKYSAAVKSIVDFLLVTVANNLGVDPEVIANKCGTDGIQAADKVIGFSPHSDSDLLTLVLQVNEVDGLQIKRNGTWFPVRPLEGAFIVNVGDILQIFTNGRYKSAEHRAVVDTKKERLSIAAFHSPSVHAVIGPLMEMVAHEDEAVYKSIGHDEFMKLFFSSKLEGKSFLDRMKKL >KN539690.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539690.1:6922:9407:-1 gene:KN539690.1_FG007 transcript:KN539690.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALLLLNLRRESLKPLSLLIQAPEKQQDLVRAVFQFFVETLDRCFKNVCELDIVFNFNKAWLEEYKRVKLIKHAYGPLQERTNIVLLVD >KN539690.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539690.1:55260:55631:1 gene:KN539690.1_FG008 transcript:KN539690.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAVNSTISDTAWRHGPVNQLNSSEKSSHAAAGAGAPRPSWPQSPTWWADISVGCNDVPWASILTVPPHISLPTYLGCYPYVAAADCSGLLLLLGTHPLSPLPPPWSPTTSATRAPAR >KN542782.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542782.1:3:2052:1 gene:KN542782.1_FG001 transcript:KN542782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGVISRAAFGDGAYGLDGDEGGEKLRELFANFEALLGTATVGEFVPWLAWVDKLMGLDAKAARISAELDGLLERVIADHRERRRLSQPDGGDGDGDGDENVDHRDFVDVLLDVSEAEEVAGAGEVQLFDTVAIKAIILASPRSYNFILYIESLRIENFIRGNIQCIYTWTLDT >AMDW01035549.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035549.1:223:576:-1 gene:AMDW01035549.1_FG001 transcript:AMDW01035549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVKMAVVCLLLLSAGHLMASARPDNAEDAAALLRLKDRIELQEEEALALAEELALLDDGAGDAVGAGCSCSTTKCKTCVATCVIKCFPKGPKGFPSCFFTCVFTTSKCFSFGV >AMDW01005112.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005112.1:68:133:1 gene:AMDW01005112.1_FG001 transcript:AMDW01005112.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKLKQLEQCIGELESESEK >KN544007.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544007.1:391:4505:1 gene:KN544007.1_FG001 transcript:KN544007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGREGGGGGMNGNGTAYAGALSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLLIRVFQVRRCLSVKLGTNLSLILHLNPTSCKDEECGLNPGNKYRFRSCLELKECRINRRFDVGAYVTQENVLLGTLTVRETVTYSALLRLPSTMSKADVRRVVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASALSVIETLRQLAVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAEADFDPLLKYSTSEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVMGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVI >AMDW01035937.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035937.1:59:568:-1 gene:AMDW01035937.1_FG001 transcript:AMDW01035937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPLAHFLRKTANVPDATVISEHPVVRVGVVFCGRQSPGGHNVIWGLHEAIKAHNPNSKLIGFL >KN542375.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542375.1:1467:6227:1 gene:KN542375.1_FG001 transcript:KN542375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVHGKDGAEEAPAGASHPSSCYCSFSSSSPTSSDDRPGVRAIPCSSHHDEREIAEADCCAGAGAGVHLSGCSSSPENALAHGYISWKLDFPPVLILVASCPSDHVGKELGRTRSEEAHLPSLRFSGEQPIQGARIQVAPRDTASCAISSSEDHPSFIMTVISESAEFQFGHPRRERWQLATATVVAVVGSCGVGWRWRQWRTWHGAAASRMLVKGDGTAAGTCALAASVMCIEAQHRLSFETVHGYGSFHLKAADISWLKKTCLKKRIWNHNTGAMVENVLKVIARGRGVETMQGIFLPISGYDMHPYVQQHLSHLGGKRTKRTNDGKRLLVTKLDEWEVDECFKLILE >KN542375.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542375.1:14826:16369:-1 gene:KN542375.1_FG002 transcript:KN542375.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QIAYEYARRNANLVLVARREHRLFAVRENARALGAGQVLVIAADVVKEDDCRRLVGDTISFFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRQSHGRVVVNAAVESWLPMPRMSLYSAAKAAVIDFYESLRYEVGDEVGISVATHGWIGGEASGGKFMLEEGAEMQWKGEERELCADDTMQ >KN542375.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542375.1:10630:13611:1 gene:KN542375.1_FG003 transcript:KN542375.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRLDFYDFIGRMRRPAAADLFHSIRSFLASLSQGGEPNAEVDGGRVQTFFAEMETAIRDHPLWANATNQEIDNALEGLEKYIMTKLFDRAFASSAEDVKSDMEISEKIGLLQHFVRPHHLDIPKLLHNEAAWLLAVKELQKINSFKSPREKLSCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETRLISEVEYYLTNLISAKMFIMNVNGHSLSMEESVFQTHMESARLGNHISVASTSSSQGLGTSTTGLNEESGDTEGLKFPFMDSETESLTPAEVKHLHELYRQVVTRYTLLSKALRKLSVDEDQLLNSVDD >KN542375.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542375.1:8709:9660:1 gene:KN542375.1_FG004 transcript:KN542375.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVSRAAAAMLGDASDEAELRRWMEEEDARRQAIQEEEENYLCTRPLPSEEVRDGYSIWKARAALHHYNANHPGAEYDLVKPLMAACVIFRGNMWHHVSFLAHRRDQLAAPPVEYFFAELRDGCSDDDSIVEACTMIENPQSCSGNKCSFCPEQYQIAHPSEQELLCGKEEHVKDFIRLMNLSPMPFTCPTEPPEIGIVIEQ >KN538990.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538990.1:10045:10750:-1 gene:KN538990.1_FG001 transcript:KN538990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPEAQRPALFQSLLYEAAGRTINPVHGAVGLLWTGNWPLCQAAVETVLRGGAIGPLPELGGACGGAGGDLYGAAKRNGGWSTFSTAKRVRKAEVPEAPSCDLGLCLSPGSPPAVGERKPALRPGTPSMSSDESGTTTGGERDPVLLNLFV >KN538990.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538990.1:138887:139156:1 gene:KN538990.1_FG002 transcript:KN538990.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIERVGEAVSAIRYSNHQVTTFNMTPSSTTSKDPASTPTHAMNGHTYGTEHADFRGQHGIMERRPGKILVDKLHAKPTAASAALAMH >KN538990.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538990.1:119336:128810:-1 gene:KN538990.1_FG003 transcript:KN538990.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEGDGLRRQQRARVMVATVRLYDADANVLKGEFVHAGAVLDCCFHDDSSGFSAGADHTVRRLVFGSAKEDLLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKIGVVRPCCLLRSLTFVCRELRYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYVSSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRSVNEVEVKPKPKALAAP >KN538990.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538990.1:76828:78705:1 gene:KN538990.1_FG004 transcript:KN538990.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVAGSSRSTNTALEEKVAGVAALVDKWRPDDGQCSLFLDGSRREADRFLCAAVELHGAMLLVASDVDQERGDECLVRAQGVLEAAMRRLQLELELLLSTVRSNAVDGAISGHDVVGDAGVVGHIADAMMAAGYGMECVSTFNSHRRAEFAAAVRRLLGFAPSQHAHFHKLTWEDVDGKVQSWHTAAGFAFNLAFSRERVLCHRVFAADAALADKVFAGIASDHAADLLAVAEAAVMRARRAPERLFHVLDVHATLAEILPAIACILGNKSEAAARATAALRNAGDAARRILMSFEQAIQKTTSSKAAVTGSAVLPLTRYVMNYLVLLADYEDTLARIYQQGESTLTSGSGSASRVSPSSSADSIGRLVSVLQRKLDAMAVGYRSSALRSLFMANNTHYVSKKVRGSSKLEGIVGEDWIEEQMAETRRHVDAFVHSAWRDVLSAEKF >KN538990.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538990.1:139856:144591:-1 gene:KN538990.1_FG005 transcript:KN538990.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSAGTTVTELEVRSGGKKNKGGRGGGGGGGEDQFDGGSDVDSNWSKYYLPAHGFLSIESMCYCYLYWGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIKKGSIKEFRLCSRISAVLNFFCSFLNLRGLLAITLGAGSSSHEIMDESRLQLLRVLQTWPDASKMISLSMKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWALLLTTIVSSKRNVDSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEEVEVDTAEASGSKNQLFLNMQALKAKISGLVYNLSMEAGGRGADKKNLNDQRDLFQRISDFIKTGECLEESLRIAGKHGILRVTSWRESIQDNGLLHDIFDIKMDKTENMSTTEKGVI >AMDW01036423.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036423.1:39:549:-1 gene:AMDW01036423.1_FG001 transcript:AMDW01036423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLAGILRRASSSPSSHHHLHLVRALSASSPLPASDADLRKYAGYALLLLGCGAATYYSFPLPPDALHKKAVPFKYAPLPDDLHAVSNWSATHEVHTRVLLQPDSLPALHDALAAAHGERRKLRPLGSGLSPNGLALSRAGMVNLALMDKVLDIDAKKKTVTVQAGI >KN539343.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539343.1:58643:63225:1 gene:KN539343.1_FG001 transcript:KN539343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTGGPAFRFTQAEVAEMEARLQQLNNAIPHRSVIQALADKFTSSPARSGKVAVQPKQVWNWFQNRRYSHRSRSSRGPPTLMQTKMLPTGSDEHKSPPFRAMPSASAHSGSPSGKGSLESGQVEFEAKSARDGAWYDVAAFLSHRLFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCESTECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPETDYRLQILHAARAAGMAKEAVVDLVSHNDKSSAEQKPPKQHKMMDVNTDEVTMVSNQDQEEPTGKPAATLPAAPVKTLNDSASASDVQMGEAQAAPKVESSDEVEDKMKEG >KN539343.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539343.1:31716:38665:-1 gene:KN539343.1_FG002 transcript:KN539343.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSGSRELPETPTWAVAVVCAVLVLVSVAIEHGLHNLGHWFRRRQKKAMGDALDKIKAELMLLGFISLLLTVAQAPISKICIPKSAANILLPCKAGQDAIEEEAASGRRSLAGAAGGGDYCSKFDGKVALMSAKSMHQLHIFIFVLAVFHVTYCIITMGLGRLKMKKWKKWESETNSLEYQFAIDPSRFRFTHQTSFVKRHLGSFSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVGILVLFLDIRGLGTLIWISFVPLIIVLLVGTKLEMVIMEMAQEIQDRATVIQGAPVVEPSNKYFWFNRPDWVLFFIHLTLFHATPGLKKCFHENIWLSIVEVIVGISLQVLCSYITFPLYALVTQMGSNMKKTIFEEQTMKALMNWRKKAMEKKKLRDADAFLAQMSVDFATPASSRSASPVHLLQDHRASFFEASSTDVLLVSAYPYGPK >KN539343.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539343.1:80036:80508:-1 gene:KN539343.1_FG003 transcript:KN539343.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMRSAAAAPCSLAAMLLRRFAYTSYSSSCGPIRRHAAVALSSSTTTTTTRFAAWSPPPPSCGARSRGIAAWASAPGPAGSTDSPAMQALETKIKEQLEADTVTVVDTSGDGRHVW >KN539343.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539343.1:98665:106868:1 gene:KN539343.1_FG004 transcript:KN539343.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPTPSTGGPGFRFTQEEVAEMESLLRHLNNGIPDGSVIQSLADRFTASAARAGKVGVRPKQVWYWFQNRKYSQRSRNSTKMLPVVSGDHKSASTRSSVQKSVKNSLEGGQLEFEAKSARDGAWYDVSAFLSHRLSQCGELEVWVRFSGFGARDDEWIDVRTCVRQRSHPCVPTECAAVLPGDQILCFQEGKHQALYFDAHVLDAQKRRHDARGCRCRFLVCYDHDDSEEIVPLRKMCRRPETDYRLKILHAAGAADAAKEAVVDSVIA >KN539343.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539343.1:13822:23494:1 gene:KN539343.1_FG005 transcript:KN539343.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHARRFPTDPKEYKLCEEVGDGVSATVYKALCIPLNIEVAIKVLDLEKCSNDLDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVIMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTAEFLARSILDGLPPLGERFRTLKGKEADLLLSNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDNTNGTCHLDGVNSKFKDGLQEANEPENIYQGRANLVASARPEDEIQEVEDLDGALASSFPSRPLEALKSCFDVCGDDDPPTATDLREQPNMESTSPMQQFQQIENHKSANCNGESLERSASVPSNLVNSGSHKFLSGSLIPEHVLSPYRNVGNDPARNECHQKNTCNRNRSGPLFRQMKDPRAHLPVEPEEQSEGKVIQRRGRFQVTSDSIAQKEVISRLISSIEEISDAADASTTGSSQPSGVHFREKELQSYITNLQQSVTELAEEVQRLKLKNTQLEEQINALPKKDERLRREDTRQQ >KN539343.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539343.1:86615:87465:-1 gene:KN539343.1_FG006 transcript:KN539343.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKASSAEKGLHQRPHKVISSVQERESNQDDSISEQSSEQLDEASSHPISTIATPLPVLPLAPSASRTKSPRLVLDHLAKAYQVLETIAQEAPLELNHLYVEMGSAGDKDNKAIRKKGEDVLMKEKEPLLSL >KN539343.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539343.1:323:3753:-1 gene:KN539343.1_FG007 transcript:KN539343.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAAAGHLLGRALGSRAAHTSAGSKKIVGVFYKGGEYADKNPNFVGCVEGALGIREWLESKGHHYIVTDDKEGLNSELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKYEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACSSGHVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >KN539343.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539343.1:45365:46659:-1 gene:KN539343.1_FG008 transcript:KN539343.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMWPLLLAWAVELTVVCCCCDNEVLEEPAVLFLPPGKLVDEMAPEGVASITCGGGSIPGGLFDATGTGMNTTDWFADDGGGHGKKQDLLSWPKNRGP >KN539343.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539343.1:109091:112762:1 gene:KN539343.1_FG009 transcript:KN539343.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFEEVVGRVNGGERGEGGELGREVAALHRWTSGMADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >KN539343.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539343.1:46943:49328:1 gene:KN539343.1_FG010 transcript:KN539343.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGGASADGGGYYNSGTFSGGEGTGPAGSKSRFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLSDGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAAHHRMLIYEFVPNGTLEHHLHGRGMPVMDWPTRLRIAIGAAKGLAYLHEDSVTHHLANIGKNAFCSLLGKIGHPRIIHRDIKTANILLDYSWEAQVADFGLAKLSNDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPARPVLADAVETGDLSELVDPRLEGAYNRNEMMTMVEAAAACVRHSAPKRPRMVQVMRVLDEGSMTDLSNGIKVGQSQVFTGGSDAADIQQLRRIAFASEEFTGEFEQRTTNSNSESRPMNRIPE >AMDW01031327.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031327.1:6:443:-1 gene:AMDW01031327.1_FG001 transcript:AMDW01031327.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KASSRFNYVLSVLHVAVIAFIIVAGLTKADAANLTRDFMPYGPRGVFAASAVLFFAYIGFDAVSTMAEETRDPARDIPVGLVGAMALTTALYCALAVTLCLMVPYGEIDPDAPFSVAFADRGMGWAKYVVAFGALKGMTTVLLVSA >KN543275.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543275.1:2896:5775:1 gene:KN543275.1_FG001 transcript:KN543275.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARELAAEQIAYCDAISRLINKLAGHDKDAIQGKNTSNVQSFWLSFHA >KN543292.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543292.1:587:940:1 gene:KN543292.1_FG001 transcript:KN543292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSVKAPNPHGESIRDSANMYSVLLANELLGIESRWCEQRDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADELYESNPSMRTVRSWSRSLS >AMDW01062251.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062251.1:431:499:1 gene:AMDW01062251.1_FG001 transcript:AMDW01062251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQEL >AMDW01022750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022750.1:66:269:1 gene:AMDW01022750.1_FG001 transcript:AMDW01022750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRIATMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGG >KN545136.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545136.1:1518:1724:1 gene:KN545136.1_FG001 transcript:KN545136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EYEGRLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYLEPLLSTSTVA >AMDW01040385.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040385.1:136:1189:1 gene:AMDW01040385.1_FG001 transcript:AMDW01040385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHGFRKELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLQDAIDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYVALGNEDCAPFKLIYRDILEK >AMDW01028304.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028304.1:154:349:1 gene:AMDW01028304.1_FG001 transcript:AMDW01028304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANCVRCCCWLLVLMLMALAITAAVVFVRYKNGEGVFPFPGVPGAVDHKYADALAVALQFFQVQK >KN540455.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540455.1:41021:41834:-1 gene:KN540455.1_FG001 transcript:KN540455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRANGTLLGGIVYQYNGHTGVPVLTPLLGVGVDDVLAATVNEPGAILYDKSGTVTQKEELGLVALRGEGIVGVLTANGTTAAVHPYKTGTIPNLLGNGSGGRAVEDIAAFYYYKLPNSPRKPMIMGAGEGLSGAMPIPKEDSGMPDEKTNTDVVITVDDDDVTGGLAVIAGRSKFNGNVLRGLGVAGIAAASLAVTGLAGGAVTTAAGLGVFTALLCGVTLVNLRVLAA >KN540455.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540455.1:31135:32542:-1 gene:KN540455.1_FG002 transcript:KN540455.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSGDRVVHVVSRRIVRPPPPTRSSETETMHLTPWDIQMITVDYIQKGVLLPKPPTGGELLVEHLASSFARALGRFYPFAGRLAVDWSSSGAREAIAVSLRCTGEGAELVHAVAPDVTVADVAASLYVPRVVWSFFPLDGMVGADAVAGARPVLAAQVTELADGVFVAMSLNHGVADGTTFWHLFNTWSEISRSGGGNVDEAEISTPPPVLDRWFPDACPVPVTLPFAKLEHIVRRFECPPVEECFFHFSPESVKQLKATANAEVAGEATAIISSLQSLLAHVWRSVSRARRLPPEQETTYTVLVGCRGRVKRIPQAYAGNAVPRFAYLAGWWHPAAMVTGNSPRFDVFGNDFGWGRPVGVRSGGANKVDGRATVYEGRGGGGGGAMAMEVCLAPETLARVVADAEFMGRRDLVGLPFSLG >KN540455.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540455.1:17684:19536:-1 gene:KN540455.1_FG003 transcript:KN540455.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSILCEADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANPCGDQRMVASSCNFVIFPPFYLMLPYHLKLEYVCHQDPKQEAMVLKQEINLLQKGLRYIYGNRANEHITVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQNGLVDVGMMVADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >KN540455.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540455.1:3755:4685:-1 gene:KN540455.1_FG004 transcript:KN540455.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKLVRLLIMVEVVAMAAAPRRAAALSMDYYGMSCPFAEMVVRSVQRRGLLTSDQTLFESPETKRLVNMFAMNQAYFFYAFQQGMLKMGQLDLKEGDAGEVRTSCRVVN >KN540455.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540455.1:15166:16257:1 gene:KN540455.1_FG005 transcript:KN540455.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MGIHEHVEGIKAHWAKNFAFLDYFKKVYGRDKPLLKWTDADVDEFIASDPVYGPQLKAMRESRKFVLGGALVGGAHLGGIALKYSKAPHGVVLATGFGAICGAVVGSEVAEHWYQLYKTDKQGANLRFIYWWEDKVAGNQKS >KN540455.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540455.1:38481:39908:-1 gene:KN540455.1_FG006 transcript:KN540455.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDHRNVALAVDRVRVLSRRLVRPSSSSSSGHAPPCDIHLTPWDLRFLSIDYIQKGVLLPKPPLSGDRLADALASSFARALALFHPFAGRLVAEERADDGTVTVTLRCTGEGAEFVHAAAPGVAVSDVVSSLYTPPEVWSFYSYNLVLGADAATESRPVLSVQVTELADGVFVGMSLNHSVGDGTTFWKFMNAWSEINRRAGGAVSDGLMREISTPAPVFRRWFVESSPVPIPMPVGKLQHIVRRLERPEVKECFFTFSATSARKLKARANDEMSGTATATISSLQAVLAHLWRGVCRARRLPPEQVTFYTVMVGCRGRVNGIPAGYVGNALMFGKAEARAGEIEGRGLGWTAWQLNRAVASFDEAGMRESLERWVREPEFTYMSKLQSGGAGGVALITGSSPRFDVFGNDFGWGRPVAVRSGAGNKIDGKATVFEGPDGAGSMSLEVCIAPDALRRLVADEEFMDAVTLPSS >KN540455.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540455.1:8099:10480:-1 gene:KN540455.1_FG007 transcript:KN540455.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLCAVVVVGAVLVVAAAQGGGGEEAAASTGKAEMVPALFVFGDSLIDNGNNNNLASFAKANYYPYGIDFAAGPTGRFCNGYTIVDELAELLGLPLVPPYSQASGHVQQLLQGVNFASAAAGILDESGGNFVGRIPFNQQIDNFEATVEQIAGAIGKEAAASMVARSILFVGLGSNDYLNNYLMPNYNTRRRYTPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDAMVVPFNANVRAMLGRLDGGGLPGARLVTCLPFMPPCDGRERYVFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRCLAAL >KN540455.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540455.1:25182:27827:-1 gene:KN540455.1_FG008 transcript:KN540455.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGEAAEDDDEAARLSREGKVSVTSNPGETPTMHVPRNKRQDLDLAVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQKSLGLGNEDGSRRR >KN539858.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539858.1:74523:74849:1 gene:KN539858.1_FG001 transcript:KN539858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQVRAREGGFELSLCGWLGEWVRLAVGPAGGCSDAMGTRATRRHGALFPPLLRGADFARWAWARASLMRSLLGVVTLVLAVWGFVVTGTCAERSRIERDRDSLLG >KN539858.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539858.1:38670:58200:-1 gene:KN539858.1_FG002 transcript:KN539858.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSLEVNNPVAELNAIKFSLMTSSDMEKLSSATIIEMCDVTNAKLGLPNGAPQCATCGSRSKKLTGKLLGHFGVIKLAATVHNSYFIEEVVQLLNQICPGCLTLKQNGDTKKADGTTIQGTCKYCSKDGSKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVAGGVAHKSKNKAPHETLPQDFWDFIPDDNQPPISNVTKKILSPYQVFHMLKKLDPELINQDDRTKAYKRMVDLYSKKSDDESSASTDTYGTKWLKDIILSKRSDNAFRSIMDGDLVLVNRPPSVHQHSLIALSAKLLSTQSAVSINPLCCDPFKGDFDGDCLHGYIPQCLQSRVELEELVSLSGQLLNQQDGRSLVSLTHDSLAAAHQLTNADVFLEKAEFQQLQMLSSSISLTPMPSVFKSTNSQGPLWTDLYLFSDHYSRRKLSEEVHLALDEAEEAFQIKQILLNSVSIPNLKYYDGGDDRSNTDEQSGFTQVSLPIIRSSMTSFKSVFNDLLKMVQQYVSKDNSMMTMINSGSKGSVLKFVQQTACVGLQLPASKFPFRIPSQLSCVSWNRHKSLNCEITDGTNECVGGQDMANFFSENADVPGTLTRKLMYHLRDTYIAYDGTVRSSYGRQIVQFSYDTADGMYSDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNSLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKYRYGFEYASLEVKDHLERVEFSDMVDTETMKIKRLGLGFIVREIIDQYNALRKQLNNAIPSVSISNSETLHLKMENKSGQLGKNLGTGNECVKNQTCCVTMVVQVEINSMSQLDIIKERVIPSILATLLKGFLEFKNVKVQCQEDNELVLKVGMSEHCKSGKFWATLQNACIPIMELIDWERSRPERVYDNFCSYGIDSAWKFFVESLRSTTDAIGRNIHRQHLLVVADCLSRPAHSFINAAKRDSVDNLSGALDAIAWGKEPCTGSSGPFKILYSGKSHETKQNEHIYDFLHNPEVQALEKNVMDTYRKRTEKTSKRRSALNSEGNATINGGAISVNQKFLNAKVGIWENIIDMRTSLQNMLREYTLNEVVTKQDKSCLIEALKFHPRGYDKIGVGVREIKIGVNPGHPNSRCFIVLRNDDTTADFSYNKYGDECRCYLHAGSINDGFSLLTPLRGHQKEPLLFVGIPDAVKAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSMEEQVRKAVFLNRETFGSQFALAISRIPYSVVEEYTSTGLEELFADVGTWKKQVGDTSLSRGLETVGTKHTLAQVQENGLSDLFLHFKITGSPWKKLGQQTGTCTSDHLNKKVAVCSNLGMEINRNELGYTHLLLASRLPFDVGAYLERTSGS >KN539858.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539858.1:20620:22628:-1 gene:KN539858.1_FG003 transcript:KN539858.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDYIIIGGGTAGCPLAATLSERYRVLLLERGGSPYDDARVLNMAHFADVLADTSGASPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGPGYVRALGWDPKEVVSAYQWVEDVVAFQPELGPWQSALERGLLEAGIAPQNGFTFDHLGGTKVGGSIFDAEGRRHTAADLLRYARADGIDVLLRARVAKILFNVRAGRRPVAHGVVFHDSEGQMHRAYLSNGRGNEIILSAGAMGSPQLLMLSGVGPADHLRSFGITLVLNQSAVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITEVGSYIEGASGANWGVRRSGSGGDRPHRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLDDTAFRGGFILEKILGPLSTGHLELRNRNPDDNPSVTFNYFAHPEDLRRCVAGVSVIERVIRSKAFANFTYPYFSVETLLNMTAGFPVNLRPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVNRVVDGEYRVIGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLGNEGLGRRNL >KN539858.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539858.1:568:2420:-1 gene:KN539858.1_FG004 transcript:KN539858.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSHPAMVALSLLLLVALYLARRAVLGKKRRYPPVAGTMFHQLLNFGRLLEYHTELSRKYRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHDVLEDLLGDGIFNVDGGMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGILERGPAAKGRERVDMQDLLMRATLDSFFRVGFGVNLGVLSGSSKEGLAFARAFDDASEQVLFRFFDLLWKVKRFLNISSEATMKQSIRIINDFVYSIIDRKIEQMSREQHEFAKKEDILSRFLLEREKDPGCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYAVCKNQRVQDKIAREVRDATTGDRDVGVQDFSSFLTEDAINKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMKFLWGDDAEEFKPERWLDDSGMFVAESPFKFTAFQAGPRICLGKEFAYRQMKIVSAVLLYFFRFEMWDDSATVGYRPMLTLKMDGPFYLRALAR >KN539858.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539858.1:14231:18395:-1 gene:KN539858.1_FG005 transcript:KN539858.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone for superoxide dismutase, chloroplastic/cytosolic [Source:Projected from Arabidopsis thaliana (AT1G12520) UniProtKB/Swiss-Prot;Acc:Q9LD47] MAAAAAPTATADLSAAADKGAALPELMTEFMVDMKCEGCVTAVKNKFQILEGIKNIEVDLNNQVVRVLGSLPVKTMLDTLHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVIFGVVRLAQVNMELAIVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPSDYRSNKPLGDLGTLEAGEKGEAQFSASKEKLKVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >KN539858.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539858.1:63022:65614:-1 gene:KN539858.1_FG006 transcript:KN539858.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRARAPLLMLKEWLELESSAELSRDGFGCYPRRQLAAELRGGGGGSGRRRNGAVIERVSAAKQVASKMDCEATCHLDEELEQRQRRSPVSVMDFLSQDEEDDDGESGEVEDGNGNSEYDDVDDSIASPTFQQSLSNIRRVGQQLLQKIRQFEQLAELDASDVDDATLAKEDVVCHVADSDSMEDDTEEAFVQDLVDLLEANSPGSTRCFQKLLVDFFYDGLPPWQGERLDGPDRSKLLLEIAKAWLDGQDFSSRFDGKAEVEEIERIGRWRCFKEVGQELLAVDLEGEIFRSLVAEMVGELG >KN539858.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539858.1:11644:13962:1 gene:KN539858.1_FG007 transcript:KN539858.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) UniProtKB/Swiss-Prot;Acc:Q9FLI4] MDFCKARWKVPALCLVIVLLQASLSAGAPTPKTYIVQMAASEMPSSFDFYHEWYASTVKSVSSSQLEDEEDDASTRIIYNYETAFHGFAAQLDEEEAELMAEADGVLAVIPETVLQLHTTRSPDFLGIGPEVSNRIWSDSLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKIVGARIFYNGYEASSGPINETTELNLSIASFGAMQMGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGLRNLSPQEQYPVVYLGGNSSMPDPRSLCLEGTLQPHDVSGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGEAEGIAAKSYSKSAPKPTATLSFGGTKLGIRPSPVVAAFSSRGPNILTLEILKPDVVAPGVNILAAWSGDASPSSLSSDSRRVGFNILSGTSMSCPHVAGVAALIKASHPAWSPAQIKSALMTTAYVHDNTYRPMKDAATGKASTPFEHGAGHIHPVRALTPGLVYDIGQADYLEFLCTQHMTPMQLRTFTKNSNMTCRHTFSSASDLNYPAISVVFADQPSKALTVRRTVTNVGPPSSTYHVKVTKFKGADVVVEPNTLHFVSTNQKLSYKVTVTTKAAQKAPEFGALSWSDGVHIVRSPVVLTWLPPQ >KN539858.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539858.1:24141:30982:-1 gene:KN539858.1_FG008 transcript:KN539858.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGCADLRCLERSLCSAWWRIRGKKREVKTGCDFERSWKQSTGECFPCDVEFADVHLISPEDSGIRIHRGVREKRWVELRITWYHLFTIQVLNDKMVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSSDTAQPAESGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >KN541592.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541592.1:15157:16671:1 gene:KN541592.1_FG001 transcript:KN541592.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGKIDKEKLEMFNLPIYEPCSEEVVEAVSAEGSFEIVHLELFMTMPGSSSQSKNKAAFAARALCAPHEDVMARHFGGGVVTDFVKTAEEHIDSLADHDNFKVALVEQIFLHKFTTTTNQSKLV >AMDW01067645.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067645.1:18:309:-1 gene:AMDW01067645.1_FG001 transcript:AMDW01067645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNHGVADGFTFWHLFRTWSEISRRSIDNADLELSTPPPEFDRWFMDGIPVPIPLPFAKLEDMVRRPVYTPVEECFLHFSAESVRTLKEKANAEMA >AMDW01039500.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039500.1:266:731:-1 gene:AMDW01039500.1_FG001 transcript:AMDW01039500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVSLTPNIQVASILASIFYTVQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKEIIVFGETKSIATFINDYFSFRRDLLPLAAIMLAMFPAMFAILFSLSISKLNFQRR >KN540245.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540245.1:22356:24379:-1 gene:KN540245.1_FG001 transcript:KN540245.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLLAWMVAAAAAAVLASWAFSAVVHLVWRPRAISRRLRAQGVGGPGYRFFSGNLGEIKRFRGDGAGVVLNVSSHDFLPIVQPHFRKWIPLYGRTFLYWFGAQPNICLADVSMVRQVLSDRTGIYPKNLTNPHFVRLLGKGLVLTDGDEWKRHRKGGLVEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLTVQITGFSYLPTMKNFKTWSLDKKVRGMLMDIIKTRHANKDVVGKVGTDIELGGIKMPEGALLTIPIATIHRDKEVWGEDADEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >KN540245.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540245.1:44563:51204:1 gene:KN540245.1_FG002 transcript:KN540245.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEERIVEQMHTLRPVVETGYENLLLVRLLVEIRIPSARRSSLYQMFMPRLYCKVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGLVQYFFNFLFSPANHFTESELCGGNGDAKAGELHDLDALMVSAPLDRMKAVSLEAEELLLVPLVLMRRLGHWVSPDRAPSNFLPLGLSSTLVSQVGSSQAHGSQRSTVPAQWLWVSQQEEVQCWRKEKSKLDILQGFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQVIDLPGFSQKLK >KN540245.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540245.1:32495:34520:-1 gene:KN540245.1_FG003 transcript:KN540245.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGIGVCRILCMAENMKFAEGSYVANELDMLSLASSVYTAPLFQTEFDSVYVPEYGDVGNSQEGLFPGLFISDGFVFPPSEHENLPIESDLDGSNNNNNGQESSCAGNIYEGCNEPAKEVDGRSLSVSGDLHSANETTIPNLEPPEIHAEQEKDNATIKCDLPCEGWLKRKSNCLSHRMKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >AMDW01016385.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016385.1:42:251:1 gene:AMDW01016385.1_FG001 transcript:AMDW01016385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GMVLLTISAVVPPNMHRSMATFPQEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDISEKAQKELFYN >KN540385.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540385.1:34607:35122:-1 gene:KN540385.1_FG001 transcript:KN540385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPIIAGHAEVAVAQVEVKTLVVQPAEIAGPSEGVTVNPKGKEGPNRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >KN540385.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540385.1:3183:6025:1 gene:KN540385.1_FG002 transcript:KN540385.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKELVPGCTVSVRSKGTLGVQQRVMPSVGVQQQQQHVVAPFFISKTEVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDNFLTTECGRITGLNYKNGMDLEQVTWPDMGVHGARNLMQLQQQFWHGDLAHPLQPPSQWEKRTDTNPPVFSNSSSSLFGYDLASSALIGPQLLSLSLFQSV >KN540385.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540385.1:21960:25743:1 gene:KN540385.1_FG003 transcript:KN540385.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWGASRRGSSRRRRSTTSVSTRHYLSAAAHMWASTHNDALREKMAKVVDILYSCQKKMNTGYLSAYPESMFDAYDELAEAWSPYYTIHKIMQGLLDQYTLAGNPKGLEIVVWMTDYFSTRVKKLIQEYSIQRHWEAINEETGGFNDVMYQLYAITKNQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHIPVIVGAQKRYEVVGDQLYKEIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYADHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPTSGLPPKNPGGWGNANATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAAGLTIKQQAKPLSSTDSHFEVLIFISSKGDARPANVNVRIPSWTSVDGAIATLNGQKLNLTSAGDFLSVTKLWGDDTLSLKFPITLRTEPIKDDRPEYSSIQAVLFGPHLLAGLTHGNQTVKTSNDSNSGLTPGVWEVNATHASASVAGWVTPLATRPGCFVAAPTTAYLAGAKAQVSCRKPTAAAGGEDDDDTAFRRAASFTQAAPLRLYYPLSFSATGTDRNFLLEPLQSLQDEFYTVYFNVLTK >KN540385.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540385.1:16692:19294:1 gene:KN540385.1_FG004 transcript:KN540385.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g13770, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13770) UniProtKB/Swiss-Prot;Acc:Q9LIC3] MALPGASARFHEYEAAITACIERRALREGRQFGGCIPNEYTLATVLTSCSGPQSIYQGKQVHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQKGLDEEALDLFRQLYSEGMQCNHVTFTTLVTALSGLASLDYGKQVHALILRKELPFFVALQNSLIDMYSKCGKLLYSRRVFDNMLERSVVSWNAMLMGYGRHGLGHEVINLFKDLHKEVKPDSVTLLAVLSGCSHGGLVDEGLDIFDTVVKEQSALLHTGHYGCVIDLLGRSGRLEKALNLIENMPFESTPSIWGSLLGACRVHANVHVGELVAQKLLEMEPENAGNYVILSNIYAAAGMWKDVFKVRKLMLEKTVTKEPGQSWIILDKVIHTFHSSERFHPSKKDINAKIKEIFVDIKAAGFVPDLSCTEDWSMIFLIQVIPLETLT >KN540385.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540385.1:11632:13662:-1 gene:KN540385.1_FG005 transcript:KN540385.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MNANVLGGDVVADDFNYFSGVSTIFVANIQEVKDRVSQIELLFCSQLFPHVQAMWKAAKDALMEREAALLSQLEELSSGKRHAEEKALQLGCSLDEMKGKLADAERSVAGHEVEKKRLLGRLEEEIGNKDEVIRRLEREIAEKAADFSRERDAHQRLLQLVELKDKNLLLEQNKRRDAEEMALQLGNSLEDMKGNFERLIARHEVEKEQIPGRLEEEMGKKDEVIGRLESEIAEKAADVSRERDAHQRMLQQVELKDKDLLLEQNKRKDLIEDYTKLKTLYKDLKSQYNFLVGKIGQNEGSKSPVVNVVDRKTSGSPPSKRKLKDLVDTKKENNQAVSKTVDEKNGPASSAKVQGTHHASSVRSPFSNSRLCLPSRTTNPPPKNATSNSKTEAASSFTRPSLHWRETRARKEPGVVDPHDDFLDTPLEAVKNMIRNPKTPEEAQALAASPPKDMDFNNSDDETQDVNIATQGQKNMPVPKQQSTISIQPPNKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRTNGDGADSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >KN540385.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540385.1:27628:33301:1 gene:KN540385.1_FG006 transcript:KN540385.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRAAATMAAVVVVMAVAVAKECTNIPTQLSSHTVRARLQSSSAAEWRWREEYFHGDHLNPTDEAAWMDLMPLAAASASEFDWAMLYRSLKGAAVAGDEGGGGGFGFLEEVSLHDVRLDMEGGGDGVYGRAQQTNLEYLLLLEVDRLVWSFRTQAGLPAPGKPYGGWEGPDVELRGHFVDHAGPAGSAHGGRERQGARHGGRHGRLLRRPREERHPEKDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDIVNSSHSYATGGTSVSEFWSNPKHLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYNSFWCCYGTGIESFSKLGDSIYFEQKGDKPGLYIIQYIPSTFNWRTAGLTVTQQVKPLSSSDQYLQVSLSISAAKTNGQYATLNVRIPSWTSMNGAKATLNDKDLQLASPGTFLTISKQWDSGDHLLLQFPINLRTEAIKDDRPQVASLNAILFGPFLLAGLTTGDWDAKTGAAAAAASDWITPVPASYNSQLKEYIPLDAVEYTQKVLQMKEYLRIKDILDLIPKA >AMDW01072674.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01072674.1:277:592:-1 gene:AMDW01072674.1_FG001 transcript:AMDW01072674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFVSTVKRLRVMRRSELPHDMTWVKWIHFLKLRSALNLFSSYVLPQIGADHVK >KN541810.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541810.1:897:1910:1 gene:KN541810.1_FG001 transcript:KN541810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IACAIFSIFPAALGAFVGTKQENLAHPRTTTEDYMAQPAWIPFPSTVTYRRHEAEWIAAGFRPNASGVSDADRFWDSERPSCRLIIYRSCPEAEPRLFPLLTKLYTKPAIPSGLLVPPALDDNDIGVYNRSDQSFMPVMQWLDKQPNKSVIYVSLGTEAPITADHMHELAFGLELAGVRFLWALRRPSGINCHDDMLLPSGFETRVAARGLVCMEWVPQVRVLAHGAVGAFLTHCGWGSTVESFHFGQPLVMLPFIADQGLIARAVAATGVGVEVARNYDDGSFYRDDVAAAIQRVMVEEEGKELARKAIELRGILGDRVRQEMYLDELIGYLQCYK >KN541810.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541810.1:8379:10875:1 gene:KN541810.1_FG002 transcript:KN541810.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVQAAAAASSSSSPLHIVVFPWLAFGHMIPFLELAKRLARRGHAVTFVSTPRNAARLGATPPALSANLRVIPCAVFFIIPAAIVTFIGPKQENITHPRTTTEDYMVAPPWVPFPSTLAYRRHEAEWIAAAFQPNASGVSDIDRLLEMERSCCRLIVYRSCPEAEPRLFPLLNKLFARPAVPAGLLLPADIVHDEDAPNTTSDQSFVSALQWLDKQPNGSVIYVALGSEAPITANHVRELALGLELSGVRFLWALHPPSGINSQTGTFLPSVFESRVATRGIVCTEWVPQVRVLAHGAVGAFLTHCGWGSTVESFRFGHPLVMLPFVADQGLIAQAMAARGIGVEVARNYDDGSFYRDDVAAAVRRVMVEEEGKVLARKAKEVHSILGDRARQEQYLDEFVDYLQCYK >KN541810.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541810.1:4150:4842:-1 gene:KN541810.1_FG003 transcript:KN541810.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVGLGLTGDPAMANGNEVHVGLGGKEDYGRKSSLFGLTTVTLVGTASPLRHSRGTSLSMMDVSSGENHVLILKNGCNDALGIVSSLEVSFEDPFPYGYRVLDLLFSREDP >KN539961.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539961.1:290:2743:1 gene:KN539961.1_FG001 transcript:KN539961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NWFHLVLVDIRFWHVGNLFHYGSKINVEGAFCLPCYNRKKTSNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >KN539961.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539961.1:30226:32964:1 gene:KN539961.1_FG002 transcript:KN539961.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVCVTGAGGFIGSWIVKLLLARGYAEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDSPLDDSCWSDLDYCKNTKNWYCYAKTIAERKAWEVARGRGVDMAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYVNESHAYVHVVDAAEAHVRLCSVAQFLAIAECQLVRCRDEINPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLEDKGFIKKTSNTKELHRQSSPPQNSPASMLISKL >KN539961.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539961.1:23387:25620:-1 gene:KN539961.1_FG003 transcript:KN539961.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAELSLAAAGHYQKPEDVPFFPQDLPPPLFPTKGYPKVLHSFASSVSVSDAIWKMYFNELLPLFTADGDDGNYAETVALDFACLKALSRRIHIGKYVAEVKFKDAPQDYSPLIRAKDTNALMNLLTFKAVEEKVKKRVEKKARIFGQNVTLEDNADKQEGNAGDSECKVNPEVLSKLYDLWVMPLTKDVEVEYLLRRLD >KN539961.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539961.1:18332:20307:1 gene:KN539961.1_FG004 transcript:KN539961.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKMVRVREFMMEKDLPAVEELERLCQAGLSGDNSGGGESSKKKRRGMSLYAEQIGDPFARVRHAPDHVILVAECGDEVVGVIKACVRMVTRGISSSSSSLRKTKTNKFVKAACLLGLRVSPSHRRLGIATELVRRAEEWCAARGAAYATMATTASNAASLALFQGRFKKFTGNNYI >AMDW01013733.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013733.1:24:186:-1 gene:AMDW01013733.1_FG001 transcript:AMDW01013733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGF >AMDW01022769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022769.1:114:278:-1 gene:AMDW01022769.1_FG001 transcript:AMDW01022769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >KN541541.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541541.1:5976:7952:1 gene:KN541541.1_FG001 transcript:KN541541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTNHSAKSILLLALLLVPHLAAASSGDALISQRRRLLDYHGGHGGGDVYVDPSYTFPNARLRDAYVAMQAWKRAVLSDPHNVTGTWSGPDVLRYLDLRFNDFEGPVPAELFDRPLDAIFLNSNRLRFQIPDNVGNSPASVLVLANNDFGGCLPASVANMSGTLDEIILMNTGLKSCIPPELGMLAGLTVLDVSHNSLMGAIPGELARLENIEQLDLGHNRLTGDVPEGICHLPHLQNFTYSYNFITGEPPVCMHVKAFDDRRNCIPGRPDQRPAEQCQFQSTHHVNCDAFRKSAFPPIDLLDLQIIHCEEMAIY >KN541541.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541541.1:10989:11588:-1 gene:KN541541.1_FG002 transcript:KN541541.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTGRVVALGAAAVVVALTFLAGGADADCYDFCFKDCMARENNMVDYCSYACDKTCQPDKPTLHSSSSRLVGDMECQLSCALASCHRLLPDGKGAVEACFGQCYDGCKTTAAAMLPRPLRAGHYVLSSPPDDVDHDPDQVFASPPDDIDRRVFAAPPADLAALPGEPDHA >AMDW01003244.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003244.1:6:185:-1 gene:AMDW01003244.1_FG001 transcript:AMDW01003244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPSFAVLMMGRLLAGIGIGIGIMVAPVYISEITPATLRGSYASFPEIFISLGILLGY >KN542677.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542677.1:570:6312:-1 gene:KN542677.1_FG001 transcript:KN542677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFLMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNSDDWDCSCCLPWDPAHADCAVPQELGTDEVLRQLKYVRMVKNRLVDRNHKPANVQG >KN542383.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542383.1:8023:8314:1 gene:KN542383.1_FG001 transcript:KN542383.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVMMAAMYQTCPAESYLPHDRKDVKEWSCSDRSPSCQIGTWTSGIQDTEQPRGK >AMDW01022733.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022733.1:63:263:-1 gene:AMDW01022733.1_FG001 transcript:AMDW01022733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWK >KN542383.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542383.1:13959:15795:-1 gene:KN542383.1_FG002 transcript:KN542383.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QQGQAGDQVVSPGAKRSSCASVDNPPPEQDTARYAVDDITTHTPCALHVLPQSNITQEIARGVAHPICAGQTIHGVPLPAGHSRVEVEDVPSQYRGYVLQFPPGEGVDTLGAALHNLIVWPKRYIVITSNDGAGDPGDGVSSPSNGRGANLAPSPPRQRTSSPPRENTPNEPHRPEKSTSPPPLRKKTRTPSASQMSVPSSKERLKHSNSDLVSKPTTSLPKKTINASITSLFGPKKPEEPKIPIPPHVIEHFIKCAQPKSPKKPISDFRRILGKKDMQAQSRELYLEEKASKEADFCKSAGLKSLDNLDELEEAPIVLRFQLGQPMVLDTEIESLGTQAHWLHEWYLEEAKKGVGMFGAYYTDVDFHHPSNTCFVEFKELFQLYQRREFDICLLQLWSLHCSYQVRSMNHKIAFLDPAVVNFDKQCTSEAEIDRYLFDALVKLNGCDHILLPYLSHHHWILLVINIDDSSICIYDSLRRGIDKYQTILSALNRAYKKYRRSGRSYGRCKIDATEFQIFKHKYILRQPEVTDLYGFYVMRYMLYFVEDGYNHRNAEV >KN540138.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540138.1:8320:10929:-1 gene:KN540138.1_FG001 transcript:KN540138.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine--tRNA ligase, cytoplasmic 2 [Source:Projected from Arabidopsis thaliana (AT3G07420) UniProtKB/Swiss-Prot;Acc:Q9SW95] MAASSSSTSFSSSSSHERDAGSSYIECFKYSRRATLRSVVGRPDGGAGLAGERAVVGGWVRSSAVVRARRAAAGPASPSRKPEVEATRLTCTEIVVDSALWPLDQITATGSCVLVEGKIEQVEGTLPQYVVQMKVEKILHIGPVDSEKYPLSNAHSSPDLVRGYPHLAARTATVASTARVRSELVHAVHAFFQSNGFFHVNTPTITTTTATSAGNRGKMFRLTRLFSKSDNGNRITPEAVRAAIKEKTKQVEALKRSESNREALEAAEQDLQRANALSRQLEQGASAESSQDEFFHRPAYLTPCHTLHLETYACALSSVYTFSPVFQAESESLDSDRSLAERWTVDVELAFAELEDAISCAEDCVKSLCSTVSKDCSDELKFLSSSQAGDATSSVIEAAVSSPWQKIKYTEAVNTLLQVTDKTFESKLELGMPLSREHLSYLADDLYKKPVIIYEYPKQLKPFYARLKEDLKTVSAFDLVVPKVGIVACGAQKEERMDNLTSRIEESGLQVEQLEWYLDTRRHGTVKHSGFSIDLESLILFVTGLKDVRDAIPFHRTKGHAKC >KN540138.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540138.1:35645:35989:-1 gene:KN540138.1_FG002 transcript:KN540138.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKVARKSTPTTAGLKKPHRFKPGTVALREIRTYQKSTELLIRKLPFQQLVQEIAQDIRSYVRFQSSVVAALQEAPETYLVGLFEDTNLCVVHAKRVTIMPKDIQLARRIRGEKA >KN540138.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540138.1:28688:30089:1 gene:KN540138.1_FG003 transcript:KN540138.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLHLLLELGFRFNPSPEEVVTYYLPRLIAGHPPKDTESCIHRANVYGAEPRELAAQFAPVARSSNGDRFFLTECKRIKGKVSRVAGGGSWVSQTSKDIKNREGIKVGEAKNFRFKKDGTNTDWLMEEYHLCLRQASDLEPVLCRVYVSPRAAKDSAAHQESAALTPQEPAPPLAHAPAPAPIQEPAALPRQELAPAPPRLEAVITQQQATMKMGVPVITQQQAPPLKRPAPPVPSPPSAKKIRGPVSASPVARQSCVAASAPPPWCVPPPPRPAPPSRRVMAPLPPYPMDPFETPPSPHAPRPDPFEPPPSPDPPIQSYAIDPHIQSYAMDPFEQPPSPYAPHGVDDMDEFTRSLEAQLEEADGDEIAAATVAPPMAQNVAPDDDMDEYTRSLEAQLEEADGDDKIDDDELMRLTRKFSKFR >KN540138.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540138.1:22626:26140:1 gene:KN540138.1_FG004 transcript:KN540138.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPARAQPSSWRSAGRPQQQQQWSCATCTLDNPGNSRSCDACGNSRPVGVDGDAVSKAQTPTLPTMSTSPARASTSSACAEIIWDKKTFKIMTYNVWFHEDLELCRRMDALGDLIKNHNPDLICFQEVTPNIYLLLQKSDWWQEYRCSLSNNMAMQRKYYCMQMSKLPVESFDCSPFSNSIMGRELCVAHVKTGGAVKLVLATSHLESPMPGPPTWDQMYSKERVAQANESLKILGSFRNVIFCGDMNWDDKGDGPFPLPAGWTDAWIELKPGEDGWTYDTKANSMLSANRKLQKRLDRFVCKLADFKINSIQMIGKDAIPGLSYVKEKKVRKEVRKLELPVLPSDHFGLVLSITLES >KN540138.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540138.1:44965:45279:-1 gene:KN540138.1_FG005 transcript:KN540138.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKMPHRFKPGTVALREIRTYQKSTELLIRKLPFQRLVQEIAQDVRSYVHFQSSAVAALQEAAETYLVGLFEDTNLCVIHAKRVTIMPKDIQLARRIRGEKA >AMDW01027818.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027818.1:24:327:1 gene:AMDW01027818.1_FG001 transcript:AMDW01027818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EGGPIGLVQNGDKITIDVVKRVIDVELTEAQLEERRRKWTPPPPKATRGALWKYTKLVSPASRGCVTDE >KN539490.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539490.1:96729:99308:1 gene:KN539490.1_FG001 transcript:KN539490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNNSTASAPIFLNCGASGVQPDSYNRSWDGDASSRFAPSVKGNVAGALYQHPSLPSPVPYMTARFFTSNYTYSFPVSPGRMFVRLHFYPTTYNGNLDSANAYFGVTTNNLILLDNFNASQTALATSSAYFFREFSVNVTSSSLKLTFAPSTRNGSYAFVNGIEIVPTPDIFTTPTPTSANGGDNVQYGIDPVTGFQTMYRLNVGGQPISPQGDSGFYRSWDNDSPYIYGAAYGVAFSKDGNVTIKYPNTEPNYTAPVAVYATARSMGPTAQINLNYNLTWILPVDAGFTYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQNQMDVIVWSGGIGRTTYTNYVVTTVGSGQTDLWVALHPDLSSKPEYFDAILNGLEVFKLQDLGRNNLAGLNPPLLPKPDVNPNGGSSRGKSKSVAPAAIGGAVGGFAVLFIAFVGLCIICRRKKKVAKDSGKSDEGRWTPLTDFTKSQSATSGKTTNTGSHSMLPANLCRHFSFAEIQAATNNFDKSFLLGKGGFGNVYLGEIDSGTRVAIKRGNPLSEQGVHEFQNEIEMLSKLRHRHLVSLIGYCEDRNEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARNALSPSLPKEQVSLADWALRCQKKGVLGEIIDPLLKGKIAPQCFLKFAETAEKCVADRSVDRPSMGDVLWNLEFALQLQESTEDSSSLTEGTSASTSPMVVARLHSDEPSTDVTTTTTTATSLSITDRSIASVESDGLTPSNIFSQLMTPDGR >KN540077.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540077.1:9642:10223:-1 gene:KN540077.1_FG001 transcript:KN540077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQEFDRMPTDFKNMVPQHILNLINHHLTETLLLLRGRGGLNTNHCNIFIAPGRFLLKTEVSALKPVIPRFLKLQGWREERNWIGVYNRHCRTMRRKNLNKVVEKLRLLQGRRRVTLRIVTNNFFPPFRANRNRLGSFGPPNLGLFSVPAGSGPAVQFKARGTLNPINTLRFKMPLLQATFTEERSFRTAQN >KN539490.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539490.1:76017:79116:-1 gene:KN539490.1_FG002 transcript:KN539490.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYREEEARRKASLLHCIFFFLLGALAMAAAIAVLHESSYWEWRCNRLTDIVVDGDDGDGPSSSEVVDGGGEWGMVKTRGAQFVVGDGRRPFYVNGFNTYWLMVLAVNPSTRGKVTEVFRQAAAVGLTVCRTWAFNDGGWRALQKSPGVYDEEVFKDLYRSDRSIACISCSINQLILQALDFVVSEARKHKIRLILPLINNWDDYGGKAQDDPTIMAWELMNEPRCASDPTGDTLQAWIAEMAFHVKSVDPAHLLGVGAVGAVLGKMETRVGVRTAADSAAQPHKAQTE >KN539490.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539490.1:55274:58332:-1 gene:KN539490.1_FG003 transcript:KN539490.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPLPLMGFSFLFLVLSSCSLANGRDTPSSSSSSPATSTVATGIPAAAANGTAALSSAVSAPPPVGAYVAGAEIQNFDAAKGIKLVPILSRFNSVKMSRKRLVGMFEYPSLEAATEKFSESNMLGVGGFGRVYKAAFDAGVTAAVKRLDGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCIHEGNHYIVYELMEKGSLETQLHGSSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKIADFGLAVSSGSVNKGSVKLSGTLGYVAPEYLLDAAMSISGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIKDTMDPKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLVPLVPTELGGTLRAGEPPSPNLRNSPC >KN540077.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540077.1:62727:64273:-1 gene:KN540077.1_FG002 transcript:KN540077.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGNEGRWQQGISKEKATTIGKEKEAMGASSIGGSKQVKIGDREVLADQAEKKELEGIVLGLEEEKMSMDGDLEEEEVQKEGGSVNKTQSKAKFCSRCYQKGHIASGCSIEIYCDICDSHDHVNHRCPVLKQPRPMAHAVGYALEGLGFYHIPHPPLLKGKKESKLALVTCTGGQLTKEQMAAQLRKLFPAKWKWEPVEHDGSSYLVPLPSRADLKRAIAFGGADVKESGISNGIRLLFEEWSEKEEGFLLPKVIIPKNLDVVIGDHYFELKFEVEKLGVDENGDEVEIEQEEDGDRDEEAEKEEEHGKGTGVAANNDLGNCTFEKDRAEMAAEEVVEAAMENVLNKVYDEVMEEEVVGQGVGMEENNLSAAIERGEKAKEEEQILMAANVSEVVTTPTRASSRLAGMDDAHIMEKAGKRKAWKNP >KN544099.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544099.1:2944:3832:1 gene:KN544099.1_FG001 transcript:KN544099.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVYSMTKGGMNQLTRSLAAEWAGDGIRVNCVAPGGVKTDICQDETIDPELIKSEMDRLPMRRLAEPEEVAATVAFLCMPAASYITGQVVGVDGGRTIS >KN539490.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539490.1:9663:13126:1 gene:KN539490.1_FG004 transcript:KN539490.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPAAATSGVVIRRRLQLMMYNDMFRIMFDRRFDSVDDPLFNKLKAFNAERSRLSQSFEYNYGDFIPVLRPFLRRYLARCHQLKSQRMKLFEDHFVQERKRVMEQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPSIQSKVREEMATVLGGAAETLRLRMAIPLLVPHMNLADGKLSGYDIPAESKILVNAWFLANDPKRWVRPDEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQSFDLLPPPGTDKVDTTEKPGQFSNQILKHATVVCKPVDA >KN540077.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540077.1:38057:53454:1 gene:KN540077.1_FG003 transcript:KN540077.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGCQEGMVMLLHGQGEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEDSLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQPLVDLQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRIRKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQVFLLFLITACYQQTVRFFVFQKWLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVEHSLFAYNLLNLKVSTLLSHIDDLNYLAVSVLLSSLSSYNDLDSVTNKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDEPQKSESETCHDTSKVDNWCGFFPLLKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGENLEGTIILRRLFGHPSVPSSSEASDEVTFLLKTFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSTSRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKATLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETGISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGSKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSHGHNRTLYNNQPPLPPMPPPVSSTSLQNPDSIQRQPSTYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPALATQHAAMNSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQFIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPQQPHPSQTFQGSLQQPQEQPMPYPLNTIQPQVPLQFQNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQDRIGQL >KN539490.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539490.1:45117:49127:1 gene:KN539490.1_FG005 transcript:KN539490.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLEDLKKENVDLVSVLHHSANIAFHPCESIPIQEVFAVLKSSPQGLTSADGNGRLEIFGRNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARRACALLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKPFVKDLDKDAIVLYAARASRTENQDAIDASIVGMLADPSEARAGIQEVHFMPFNPVDKRTAITYIDTKDGSWHRISKGAPEQIIELCRLRDDVSRRVHAIIDKFADRGLRSLAVARQKVPEGSKDAPGTPWQFLAVLPLFDPPRHDSSETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPGTFPERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDAWRLQEIFATGIVLGTYLALATVLFFWAVRDTDFFTVTTHHPTSHASPPPPPPRALTPCVLWAEDVRGAPDRRQHGGADGGGVPPGEHHQPGAHLRDTREELVLRRAPRAPARRRVPHRPAGKKFVSRRRRGARGDSSPATNGGERTQMATLIAVYANWPFAKMKGIGWSWGMVIWLFSVVTFFPLDIFKFAIRYFLSGKAWNNAFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQAETSTALFDDNKDYLELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQNHYTV >KN539490.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539490.1:81622:82026:-1 gene:KN539490.1_FG006 transcript:KN539490.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPRSAPLLRLSRPPLRPSGMLERSPASAIEVRGAVGMRGRVAGEHEAYQRNQKSDASRLRNAAPPCTPILAVGQAYPGSSPCTFRAWCMASLTVTHAVVAIPATVMSLHTRRGSAQNTLRQELMEFFDHVS >KN539490.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539490.1:26917:33553:1 gene:KN539490.1_FG007 transcript:KN539490.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPDGEVLTLLQRHSTAPAGTGVVPDGKNEILVWVPDTGDHRRVAVPPEIDGKEKIIWNGAVLSAATADDGFSSCPFKVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGHALYWMASGDHGSTILQFDLDDQTPAMIEWPSDSDPNCYTQAWLTEGDCLGVATLSRGSLQMWERKVCSEGVAKWVLQKTYELKNVLNPEIRSNVEYVTKLGYAQDIKAKKVWESCVIAPIHPYASTYVAVLSKEGKKSEASPDNILLVNDVLIFLLDYVSLRMHYSSPFIYTR >KN539490.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539490.1:71521:72752:1 gene:KN539490.1_FG008 transcript:KN539490.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLLADGCAGASSSPALSRVANSAAHGEGSPYFAGWKAYGEDPYDAAGNPDGVIQMGLAENQVSIDLLEGYLREHPEAAAWGVAGDGDSFRDNALFQDYHGLANFRKAMARFMEKIMGGKATFDPDRIVLTAGATAANELLTFIIADPGDALLIPTPYYPG >KN538848.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538848.1:166598:168506:-1 gene:KN538848.1_FG033 transcript:KN538848.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILAASLAGAAGFLALVGAAIFLIVLFLRHRRRASDSSESSSSGPAQPELQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIHEVNYLSSIRHRNLVNLLGYCQENGMQMLVYEYVPNGSVSTHLHGSSHASGIKLEFKQRLSIAHGAAKGLNHLHSLTPPAVHMNFKTANVLVDEDLIPKVADAGIRALLDRLGGVGPSSRTSYDPFLDPRMRESINFSIQSDVYSFGVFLVELLSGKRALSDQNIIRWVQNFQQSSDISAIADNRMASAYTSEGMREFLRLTSWCVNPTSEHRPSMNLVEAEINRIREQEMRMTTIMPESTPTVTLGSQLFTTSG >KN538848.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538848.1:211218:215261:1 gene:KN538848.1_FG034 transcript:KN538848.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAVVYLSLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGVDEDGRSPSNWDIFTHQGKMPGRSTADVAADGYHKYKDDLKLMVETNLEAYRLSISWSRLIPNGRGAVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEYDGWLSPRILEDFKAYADVCFREFGDRVSHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTIGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDSEATKRCQDFMVHWVLRPLIFGDYPQVMKNIVGSRLPSFTKAQSEDVKGSLDFIGMNHYYSLYVNDHPLGKGARDFVADMSVYYRACAKSNQFPLIQVPRQIHHLVRLVLFFLPYIFGFSSPFSSGYGSSNDTVHDNDRVDYLKSYIGSILTALRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSGFLGNREMDVDQSELAMAAAESRAQQ >KN538848.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538848.1:174758:174886:-1 gene:KN538848.1_FG036 transcript:KN538848.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWEGNISAEEGADTGVWLALVPQEQATIGKFFAERREISF >KN538848.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538848.1:197844:202042:1 gene:KN538848.1_FG037 transcript:KN538848.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHAAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPDPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQILGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWMTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYKYELQNKVSSNYVAELAVKQNLARLPGIGFLYAELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNSDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >KN538848.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538848.1:187158:187990:1 gene:KN538848.1_FG039 transcript:KN538848.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFVESSSAASNSGREAAVLCAPRPRRVQVHPCSADLILGPPPFLLSSNNTNKQREGKSKEEEEEGRWEMFDSREVN >KN538848.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538848.1:208455:210400:-1 gene:KN538848.1_FG042 transcript:KN538848.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMKEMMQTTCLLSGRRQIEDEIEQFAEKRRYD >KN538848.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538848.1:159779:166026:1 gene:KN538848.1_FG043 transcript:KN538848.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRREKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSARPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELQSISAAAATSYQLSKKRAAELSVWSEMMNSSSSLVSAISASPSATAKARLRHDDAATAATGAAAARQLKTKHGGYKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >KN538760.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538760.1:83120:83389:1 gene:KN538760.1_FG033 transcript:KN538760.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPPSIRRGMLVTFFLACFFLAELAGFSHGRRVMEDKEDDHSEASSEEQLYELPRTRGRPFVSAPSPAYEASDRPVPQGSNPLHNR >KN538760.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538760.1:144185:146530:-1 gene:KN538760.1_FG034 transcript:KN538760.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYKDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDSEDKKQKAVCMERYRRRDEDERNILSEIDAEREEECGICMEMNSKVVLPNCTHNMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSIYDSHIK >KN538760.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538760.1:50722:52404:1 gene:KN538760.1_FG035 transcript:KN538760.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAASAAAPFKLVAGSSHLLVWIPAILESVPVLRYHE >KN538760.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538760.1:10089:20161:1 gene:KN538760.1_FG037 transcript:KN538760.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDDAEKAAATGGSHERDALLTTVATEKRISLIKAWEENEKAKADNKAAKKLADIASWENSKVAEIEAEIKKYQEYLERKKAEQVEKLMNGVAKVHRAAEEKRAAAEARRGEEVVKAEEAAANSEDWKAQLNLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSIEQLIHFLPANRQLLMFSATFPVTVKDFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKFAFVTVGRSGRFGHLGLAVNLITYEDRFNLVLFGALMTHLSLYSISEEVFSVGTDTNHVNCGLAFLAA >KN538760.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538760.1:119098:131557:-1 gene:KN538760.1_FG038 transcript:KN538760.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVFAHLAVDFPHTVFLRVEAEEQPEISEAYGVTAVPYFVFLKEGKTVDTLEGANPASLANKVAKLAGPASVSESAVPASLGVAAGPAVLEKVQEMAQQNGASATSSAEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDVLKQEGVEFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEHNIPLQPQGSKNEEAVKAKPDTEKSGAVSEPALLTAAQKERLESLVNSSPVMAFIKGTPEEPKCGFSGKLVHILKQEKIPFSSFDILTDDEVRQGLKLLSNWPSYPQLYINGELVGGSDIVMEMHKSGELKKVLSEKGIVAKESLEDRLKALISSAPVMLFMKGTPDAPRCGFSSKVVNALKQAGVSFGAFDILSDEEVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKIIEMSALNHLMLTHVLQQAQQIQYLYDQEDSVDDEETASPSPGKPQEGSVAAEERASPSPPPPRRKKDSLFRRVAAAADPRLPLSPVLEQWCLAEERPIAKPEIQSIIKYLCRRRRFSQALQLSMWMTERLHLHLSPGDVAYRLELITKVHGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEAKCVEKAEELFEKMQGMGMASSYAYNVMMRLYLQDGQVERVHSMHRAMEESGIVADVFTTDTLVAAYVVAEDIEAIEKVLEKADSCNDLMTWHSYATIGKVLMQSGMEERALQAFQESEKKIAKKSNRVAYGFLLTMYADLGMNSEVDRIWDVYRSKVPASACNSMYMCRISVLLKMNDIVGAEKAYEEWESKHVYHDSRLINLLLTAYCKEGLMDKAEALVDQFVKKGRTPFGNTWYKLAGGYFKVGQASKAADLTKKALAFASNEWTPDLTNVLMSLNYFAEQKNVEAAEEMASLLQRLITPTRDIYHGLLKTYVNAGKPVSDLLDRMKKDGMEADEETEKILAGEVH >KN538760.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538760.1:366:1971:1 gene:KN538760.1_FG040 transcript:KN538760.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding VHPVLMLIGFIILGSEAIMGYKIWPWGHDTNKMVHLLLHAIALLLGSVGIYAAFKFHNESGIANLYSLHSWVGLGTICLYGVQWIFGFVTFFFPGASPSLRRATLPWHVRSGLLVYILALLAVELGFLEKLTFLEAGGLGRYSSEALLVNFTAVLVILLGSAVVMYVTAPMHNEHSHGYSAVRKP >KN538760.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538760.1:132666:135396:-1 gene:KN538760.1_FG041 transcript:KN538760.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQNTRELALVPANDTEVTSRLRDDSSSRNGAKGTRRGANAHGNGRTASVSEDHVLSNQSGTDGPLVIPSVLLKDVVSDLPVHLLGYGNIAYRLWEASKGSKKISKIWCAWVGQDGSHGLDECDTYEQSDFAIVNFSYTIELGRKWSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNEQSSSAHDNSQAIITGSPTGTSHNLQVGLLSTKSIRRELRKQKRLAAEKACDICGRPMLLEKDVATLLNCKTGNLACSSRNSSGMFRYKLKAIEAHKAWMKRPEVLENCSTGLHFPAEQIENSEEQEVIPLKSVAFYAADGLYYRKRLLEVV >KN538760.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538760.1:88253:88993:1 gene:KN538760.1_FG042 transcript:KN538760.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIYAVYRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDSKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLQGAIFRNTVLSGSTFADAKMQDVVFEDTIIGYIDLQKLCTNTSISADSRLELGCR >KN538760.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538760.1:115950:117584:-1 gene:KN538760.1_FG043 transcript:KN538760.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRGLLLHLRGGLLLRRRGLSVSAEETVSPSPPPPPPPPPPPRLHGEDSLFRRVAGADPRIPLAPVLEQWWLAEERPVSKPELQSLVKYLRRRRRFSQALELSMWMTERRHLHLSPGDVAYRLELISKVHGLDKAVEYFDAVPNQLRELQCYGSLLRCYAEAERVEKAEELFENMRGMGMANSYAYNAMMNLYSQIGQVERVHSMYKAMEEGGIVPDIFTIDNLVSAYADVEDVEAIEKVLEKASCNNLMSWHSFAIVGKVFMKAGMQERALQAFQESEKRITARKDGRVAYGFLLTMYADLQMDSEVDRIWDVYRSKVPASACNTMYMCRISVLLKMNDIVGAEKAYEEWESKHVYHDSRLINILLTAYCKEGLMEKAEALVDQFIKKGRTPFSNTWYKLAGGYFKVGQASKAADLTKKALASASNEWKPDLANVLMSINYFAEQKNVEAAEEMASLLQRLVPLTRDVYHWLLKTYVNAGEPASDLLDRMKKDGIEADEETDKILAGEVH >KN538760.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538760.1:192951:197213:-1 gene:KN538760.1_FG045 transcript:KN538760.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding LVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKVRTIKVCTLLFNKCKQYWTCASNRFSSELEEEYTITYINSDHRLPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFLAPSVLDEEKYVLVNGLQKLVLPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >KN538760.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538760.1:44612:49356:1 gene:KN538760.1_FG047 transcript:KN538760.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRRSSSLLLLLHHNHHHHRGGGSAPPPPLLPPPPPPQSHPFQLLTPRAAMASVAQPGVAAGAAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHSASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNACVFLLKQSLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQAAATFKIDADTFAFVHFDQVLVTGSLHLVGDVLRLLKE >KN538760.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538760.1:22754:27098:1 gene:KN538760.1_FG048 transcript:KN538760.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEEGKEGSEAKGDDILGINRKVEAAGLEVDGDLGVDEVDTSTGWSSGFFMLLVSPSLILLDVVYKYITVDTLDTGTTGGGVRVLDEHPQRRMQAVLDDPCHGSWRSNFPHLAVTLTCGCLVSGNAVRGFGGNHGVHSACVYYHKYRNWPFCLWGELNDTEKWTHLRSCLVKSDDCNGLSRRYKTLKQYKLADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVDCKLYKNARSVLCYDCDSCNSTNLEIEQCLMTEAVKTEQKMAWRDNIAIDVGIGIC >KN538760.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538760.1:63684:71051:1 gene:KN538760.1_FG049 transcript:KN538760.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAELWETLKQAIVAYTGLSPAAFFTAVAVAAALYHLGEVSEEELRQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMGKYVKVGTVKKTVPVEDGAPSTSPETTETAAAAEPEKAPATEEKPREVNHALRGNTSHKHDRILTESVSTDVMPDMQRLFYGPGGSYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEESAVDGEDSILTAKMSNQLLYEEEMEVGSDDP >KN538760.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538760.1:85309:85572:-1 gene:KN538760.1_FG050 transcript:KN538760.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGGGILSYEKLEGYAIWVGASVASAFFASMESCSCIHVHTADDEGDDYDPEEAKDRPLMLSRPQALPEYYYDRSASSASFAKM >KN538760.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538760.1:98385:99987:-1 gene:KN538760.1_FG051 transcript:KN538760.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGVLVIGLLFVRLVRQFGNLPHASGGGGHGIDVFGKIFFACFSLVVKAIEKAIPIPRAQPIALDGPAREELKAMEAQKVEIDRTAALQVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYTFFLRTKKEPSFEGFFESRFAAKQKRLMHARDFDLRRYDELRRACGLPVVRTPTNPCRSSSSSSSSSSTQESHCHSYCHCQ >KN538760.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538760.1:89637:90354:-1 gene:KN538760.1_FG052 transcript:KN538760.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMDRIKRKNVEPSASAAAAASNAAPDNDGGDTDQIEDADSEMPELVELDMETELWSRIGIMHSDDDTPVFVDDEDGLQEIIDIARVHRRKAAYERIIATAAADVNSLILSNYAQLLYQFDKDLDRAEDYFKQAVAAEPVDGEAMRRYALFMWHARGDLAGAEDMFTRAIDEEPQSSQHRSSYAWFLWMTGGVETCLIDSGNDTE >KN538760.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538760.1:142213:143354:1 gene:KN538760.1_FG053 transcript:KN538760.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAPRILELDPAHPRAARVIDDIVRMEKRIFPKHESLSRTFHDELKRRNTALIYSAAAATIPTTGDAAAASDEEEEVVGYAMYTCATSLCASITKLAVSAIVADSEYCLKVSASSVEMVLYELLLKGEVNSMRGSG >KN538760.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538760.1:60226:61520:1 gene:KN538760.1_FG054 transcript:KN538760.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWSTLDFGLLKSNYIQTRASPYIWVDDRSDKRLSRILRVAMSISYGNVSCLIFHYNLYMKDEHLHYISERSPHLKRLVMPAWNRITKFGICEAIQRWEELESLTMPTIGHPPYIMEEIARSCKNFAELKVMGSFDDLFASAIATHLPKLKVLSLRCSKVTMSALLWLLDNMANLEVLNISHCLLFEIVANGRRQVIHELDDQTLEKASRLREFHHCQSRSCLACQRMMVDEGIMRWYRYEDWFWRRDEVSSLDLQDYGKLFDAECEALTAVE >KN538760.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538760.1:27232:32052:-1 gene:KN538760.1_FG055 transcript:KN538760.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G00570) UniProtKB/Swiss-Prot;Acc:Q8L7K9] MAPAAAAGAAVPGPCIVHKRGTDILHDPWFNKWRWLLPELKVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNTKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAVVQGTAGVALAGLLGAVRAQGRPLSDFTKQKIVVVGAGRYFLSKACFLRSNSSLESSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKGLDPAVARYARGFGPEEVQDLHEGASLVEVLYTDNQVRKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKGALLSGARHITDGMLQSAAECLASYITDDEIRKGILFPSISSIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTEADTVDFVARKMWYPIYSPLVNDK >KN538760.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538760.1:76762:78271:1 gene:KN538760.1_FG057 transcript:KN538760.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIKIVYRPLTEMYEAAAEADVVFTSTASETPLFTKEHAEALPAISDAMGGVRLFVDISVPRNVSACVSEVGHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDALTKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKSQN >KN538760.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538760.1:138372:141458:-1 gene:KN538760.1_FG058 transcript:KN538760.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGVGESVEEFEKRMLGGLDDGLENRRGGSDWGWRGGFRNRGNSSSILAELGTGFDSLEDGLDEKLNEASRTFHVTEEYEDDDYDYRPDVTFRRGSTYNVKDLDLTRPAAAKNPPRPQFQTTTEEVLKKADFRNVRFLANFLTEAGIIIKRSQTRISAKAQRKVAREIKTARALGLLPFTTMGQRPFIYGRSVEVNLSEEEYGYDFVDRRAGEPDENADDAVPDEDVAPGVENA >KN538760.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538760.1:34562:37067:-1 gene:KN538760.1_FG059 transcript:KN538760.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAALKFTVRRKPAELVAPAGPTPRELKKLSDIDDQDGLRFHIPVIQFYRRSAAMGGRDPAPVIRAAVARALVSYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADGTIIPLDDMAHRSFFFGAREVAAVRSHLAPGIRERATTFEVLTGCLWRCRTAALAPDADEVMRLICIVNARGGKGGGGMIPEGYYGNAFAFPVAVATAGELRARPLGYAVELVRAAKREVSVEYMRSVADLMVQRGRPHFTVVRAYLVSDVTKAGFGDLDFGWGKPARREERGRRRPAHRGAGELGGEQARPGQRRTPGVLQQVSRAESQDVVGVDYRHHIKAVAGDAGGTALVAESGIRKLISFDAE >AMDW01021198.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021198.1:20:286:1 gene:AMDW01021198.1_FG001 transcript:AMDW01021198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGLGNMYVHVFTFALLCAAPVLVFAVAALNVHDDTLRFVVGAAGALLSVLGLTYGGFWRAQMRRRFGLPAHRWSMCGGRATAADYG >KN541881.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541881.1:3295:6344:-1 gene:KN541881.1_FG001 transcript:KN541881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >KN542055.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542055.1:1096:9118:-1 gene:KN542055.1_FG001 transcript:KN542055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGLRHGGVGSSSRPGHGFKGPASSVECLGREMLEMQLRDSKPDVGDEKNTERDVVDGSSAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTERDELYLNLVLEYVPETVNRIARQYSRMNQRVPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPPEAVDLMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRTQGCDVSEVGEEEACALVCLCSWFEVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMASSNNKVYLDSKDLLLGLQQLNVDNKNKK >KN542055.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542055.1:12072:18991:-1 gene:KN542055.1_FG002 transcript:KN542055.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGRPRTPAAAAAAARERAAVPGRRPARSYREKGLTGRFRAGAAVACPDLAQVVAVGRAVAADERPADPSIPEGEDGALSGVAERPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLDAFVGADAVQEWKKLLDAVIPISAAAMALPPLSIRGDLGVLSTSAGRYAPSLLKSILQMGPQGALGATKLLRPFSEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCSLEYPLEGSGAIIDALVRGIKKFGGRLALRSHVEKILIENGRAVGVKLQSGQIVRARKAVVSNASIWDTLDLLPPDAVPRSYQEKVKATPQCESFMHLHLGFDVENAREDLGIHHIVVNDWNKGVDADQNVVLISVPTVLGNGLAPPGKHVLHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPRFSREKCDVKLVGSPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >AMDW01038072.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038072.1:54:633:-1 gene:AMDW01038072.1_FG001 transcript:AMDW01038072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFRRKQAVQYEGDK >KN539479.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539479.1:37486:39623:1 gene:KN539479.1_FG001 transcript:KN539479.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIADFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPGIII >KN542090.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542090.1:3983:4300:-1 gene:KN542090.1_FG001 transcript:KN542090.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRKSSGCGVSPAGASPRRGGSDDDECKVVPRGYVPMVAGSGGDGERVLVPVRLLGDPCIAELLDMAAQQYGYGQPGVLRVPCDGERLRRVVEGALRKGGGQSA >KN539479.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539479.1:81018:82283:1 gene:KN539479.1_FG002 transcript:KN539479.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPFDEVPAYLLHEITRHIPCKVDRVRALVVNCSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRHARYFGSYDGGWLFLASGQTFGHMLFNIRTEQRLFLPDTVTQPWRSDDFPMIMLAATVSSPPSRGTDDPCIGAAIVHCTPFITDSRQITFWRMGSHMAIPSIPPDHQFDVVSNQFVVEEMEDVIYHKGAFHFVTKLKNVFVCRLALHQADLVVDHREWLMFAPQDDLGYPRPVATTRYLVESREQLLMVLKCTCNLPGWPPLVFSVFEMTHVQAPAGAPQYVWTPVPTLVGRMLFVGHGCSRSYELANFPGFQEGIYFLDDLQFYSVSRIVQYQEYLCFDNGKYTLGPPHVVNRCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHMM >KN542090.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542090.1:11166:12265:-1 gene:KN542090.1_FG002 transcript:KN542090.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIPLDERDEEAVPDLNEPVGQQQEEMLAGEEDDHLGGAVQGAANHMLPFDLNLHASDQQDDMLLDGDDAMEQVPEINGGDNHYAFPFDLNLEMQAEQYSHVYVYVHLRI >KN539479.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539479.1:21890:23281:1 gene:KN539479.1_FG003 transcript:KN539479.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSTETIPVELWQEILLRVPTKDVARSCCVSTQWRDIVRDPSFRKLHHDRHATAHDVPDALLVATYNIDSQRVASVFPVELAAVSPTPSTRTATAPICRVNHIEGYRIANICNGFLCFASHSTAKVIVHNPVTGERLEVPRAPRLPPDQDNARSPVTFALGFSPTTGVYKLFRFTNRTMDVYTLGRGAESTGWRQHALPLHPCNLVESKPAVVIGGKICMATIGPAPYRHPADIGTPGPVLVVDVAHEEPCTYSPPDYGLPWADAAVSVFELHGRLCLAIRTERMIQFWTMPVEEDDDDQPWQLLYKFTFDVDDEIIRFNQFQRLVPMSAWLDGHTNTLCYREGNNVYRKYVGTTTATVRRFSSTKVVIMSWDSKICLPVAPSSLSSFQWDIYAGYRPTLLSPLTFASEQHEEDDNKCDLFIRSLLRTLRSQKSQKCRPSPTSAGCTDAKRICCINPRGF >KN539479.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539479.1:40213:41021:1 gene:KN539479.1_FG004 transcript:KN539479.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCHEVEVPGKPTETGTALLEAATGSIQGFGPVNQIHQHLCAFHFYADDMTRQVEAHHFCAHLNEDMRQCLIFDGPDAGARLIGVEYIVAEPLFLALPDDEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLERVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTRDGQLRHELAKCVEEKFSVSFDKERENRAYMTGPDHGIHPLANAAGRGLKTDLREVDLPAMTTAHAGRVFT >KN542090.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542090.1:7263:8709:1 gene:KN542090.1_FG003 transcript:KN542090.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPQIPPLSTPLPLTPSPPSSPHIPPCSTPLHLTPASPCEVDYLRGCGRSDMVAEGCEQANPAAPTEGFGSLEAFMAAAERFVLLIKETRAKAEETCQLAIVLKEAAEAAAAAGGGRLDAATASEVRKVADVMHKAVAAPSKVCKMTDLIEEGVAAEGIYKPPVLIVEPTARDVGGEVRGLTPVVEGLSHGEADNPPCHQSTMLADDSDHMTLLEKKASIGQISIEEMRGKAKDVSSEEGSSEEGKASDDDVSMVIGGYAQDPYDDSGLEELLQDQDALEKSVKRYLECFKSTKFR >KN539479.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539479.1:55603:62094:-1 gene:KN539479.1_FG005 transcript:KN539479.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLANGRKVAGRGGDMSAHYAFGLQEDDAIIKRRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDAGNISDCERLYKAFLQEINAFVLPLLKSKAVVDANLREKESFNELQDEIQRQISQAQTDIEELKKKLEQSRIERLYKEECEAIRKMISLQPPRSETEKLIAGLEKEIANLEAENTACIRTLELRKKQLSLLLHVVEELQISIEDEQRSIADDLRAGAEEQQNMSTDEEKARVSRVVSVVISVLSCNRVPFLCLKEQNIMVFNPRSKKLGEYIETMKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFILDDGGEVDLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERIAMVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELEKNVKEKLSLFCHVPVANIFTLYDVSNIWRVPLLLRDQKADQAILKVLNLESVAEEPNLEEWMARADLYDTLHETVRIAMVGKYTGVSDTYLSVMKAPDAYSTAWSLLRGADGILVPGGFGERGVEGKILAAKHAHENDVPFLGICLGMQLAVVEFARSVLKLPDANSTEFDAKTENPCVIIMPECSNEGKGGTMRRGSKRTFFKVANSKSAKLYGSVNHIDERFRQRYQVNPNVVQLFENNGLQVVGTDKTGEIVQIVEIPNHRFFVGVQFHPEFMSRPSKPSALFVGLIAASCGQLDDALQDASCNHEPQQNQRAEKRPAASDLGD >KN539479.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539479.1:13335:20052:-1 gene:KN539479.1_FG006 transcript:KN539479.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSAAACFLSPSPPPRPRHHSIKHLACAAARSPSPSPGPGPSSSRSLALPSPSASASPWPWPRRLRDLLPDEAGRILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRWKSAAFVLLGLPFFFTRTDANSGCYRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVIRMQYTETLLFCFSVESLPCSGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKADAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGEKVDGRTDLTYHLKILSDSRIHAGFAREVVFMANAMRCVVCDGMEINVMVMS >KN539479.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539479.1:91698:92027:-1 gene:KN539479.1_FG007 transcript:KN539479.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALMVMFGASPAEDRGRGPAHASLTPVILVTVKAKAVVAGPGKLFTQNGSLNAAKIHQASASGPGSCFNRKQSEYSRSVVASAHGLSPSSSTGSTPFSNNPHLSQGL >KN540190.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540190.1:851:3996:-1 gene:KN540190.1_FG001 transcript:KN540190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRWWWPWPSAPFSSSRHHKGEGWGLGGPALVKAVGWLLLAGLLFRVLCSFPSSSSSPEISEGKCNLFDGEWVPNPSGPAYTNKTCRFIDGHQNCMLNGRPDMSYLNWRWKPYECELPQFDEVRFLSAMRNKSWGLIGDSILRNQVQSLLCLLSKAEEPIDVYHDKEYRNRRWHFQSYNFTVSLVWSPFLIKSEVFENENGQSTSEIQLHLDILDPIWTSQYETFDYVVIAGGQWFLKVAVYWENDRVIGCHYCQDKKLRELGFEHLYRRTLQQVFRFIASSNHKPAVLFRTWAPDHFENAEWFNGGTCSRVLPYKKGEYSGKDMDRIMRPIELEEFRKAMAALGGLRRSANLKLLDTYSLSSMRPDGHVGPYRYPFLKGDKDAKSVQNDCLHWCVPGPIDAWNDLVMKMVLG >KN539479.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539479.1:96213:97424:-1 gene:KN539479.1_FG008 transcript:KN539479.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHTPPWEELPADVLRIVFSRVACRADRQVMAGVCGAWRRAVKVKVATGQPPRPRQLPCLLRPNGGDSSVCCLLCSGGHGISVVHAAHLPQQPVDARHARFFGSHDGSWAFLASAQTSGHVLQKFGTDTIIPLPDFMDVQGGESSSIVHLAATLSHQPGHASCLVAAIVKTYPIDVMSLRAVAFWRMDHGTMASELHRTEIEAMEPEDIIFHKGALLVLTQQENLLAWIPEYTDEGRGVEMHGPEHRACGKPRIYNELAVQSRYLVESQNCLLLIVRYREGHPTSSTQELKVFQLVELEIPDENGIMMTRYNWVELFSLFGEMIFLGRGCSRSYNVSKYPGFAEGVYFLDDGSFYHADLLSHDAADQKYTCSDNGRWVNLQVDRCFPPEQSCSCSPPIWVLP >KN539479.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539479.1:99480:103514:1 gene:KN539479.1_FG009 transcript:KN539479.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKVANIFTLCDVSNIWRVPLLLRVRIAMVGKYTGVSDTYLSVMKALLHACIACGRKLLVDWVPSTDLEDSTATVAPDAYNTAWSLLRGADGILVPGGFGERGVEGKILAV >KN539479.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539479.1:52506:53935:-1 gene:KN539479.1_FG010 transcript:KN539479.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFCGDMIPVELWWEILLRAPTKAVARSSCVSKQWRGIVSDPSFRKLHHDRHAARNDGVSDTLLVARADVDGESVSSVFPAALVSPAVTRQAPICRVNNPCSYSLANVCNGFLCFASWSRAKVIVCNPITGEKLALPRAPHLGSEKRRRYSRPVTFALGFSPTTAGPASHRHTNDVGEPGPVMVVDVASEEHRTYNPADYGCAWVDVAVSGFELHGRLCLTIRSGTEIQFWAMPVEEGDDIPWQMLYRLEVDLNDVQIGNSGRFNRLTISMRTWLDGDTHTLCYRVDNKLYSRYIGTTTTSPAAQCLSPTELMSWDCKIRLPATPQWLVSCSWDIYTGYRPSLLSPLTFASQQDNNDGDEDEGDESRPFVRRLLCALRHQKSQKRRMPPTSTDHTNGKRVCYRNPCIC >KN539479.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539479.1:24277:25222:1 gene:KN539479.1_FG011 transcript:KN539479.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAPSSSLRALVAPILPSVSSSSPSARPGLRGVAAPAWLRSIGFAQTREDRAVWVAEMPLWHARLSLDVTDLHIRYLKSGPGNLDKDVERRFSYALSREDIENAILGGP >KN539479.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539479.1:9015:9849:1 gene:KN539479.1_FG012 transcript:KN539479.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MELNAIISGGNMLTDDGGGGFTFAEVKYVLTCNDKFVSRFN >KN540190.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540190.1:49026:52231:-1 gene:KN540190.1_FG002 transcript:KN540190.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVRIKGAPLGAMEMQKGMCDVLELAPGHFNSNDIHGIKNFRVAGKGVLHDMNLSKIIENHLACSHGGIELALGDSNYSAIELHISHGHNFLAYDHDSVDLAPSHINSIILELALHQPMEVELGHGHDVHGDLGIRNSMELHHINGSTLELHHSQRWKDMVKDALDAIEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGVVKDAVAEHPGHPLVLMGKSMGSRVSCMVADSDDIIVSAVICLGYPLKGVNGAVRDETLLKLKIPTMFVQGSKDGLCPLDKLEATRKKMNCKNELHVIDGGDHSFKIGKKYQESTGVNQQVAEMEAVKAIAKFVQNSTAGT >KN539479.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539479.1:64046:78466:1 gene:KN539479.1_FG013 transcript:KN539479.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGASAALRDNFLGMYDLRHSKSEMSAVQKKALQRVGASRTSGVTQNDLCKSFGMEGNNFHYIVQSLQSQKLIVRRSTIIKFKGNGAEKEDASQNKRVTNTNSLYLTRYAKDCMNSHQRIEIIKPELLVSNEETNIDDLQDGTFGVNSDNDVSIHDYLPAMKTICDKLEEASGKVLVVSDIKIDLNYRMTFGHRAWRNVLHRLRDAHLVEEFDAKVDDKIVPCLRLLKKFDPNEFLPKIQTSNYKLGKKGQATDQIMELSLENCIYDMISAQGPKGITLVELGKRLGHNNSKRIHRRVSSMLERFKLIREAEVLDKTSQYRVWTSKNFSHYKARIALQNFDVLLDDHDYFADLWSLAPSKGSGSPSPKGDLCVDNKFSFEEEYSDKLIGPHLLSNRETCVGASQLLEEDKSALGKRKRCHRPTSIRDDQRPKRILHMLKKKKFVLMVELHKWLERLEKENGKIMDRKTLTSTVNKLQKEGSCKCIKVSVPLVTNYARNHLVDVILHSSVGDLSMELVNEIKDRQRNFDTETRSRAVTKLRKKQQTAAIHGLRIRRRVKVNKPLVLEAIYANGFIGAKMIRAKLLHKFLWLYVSGLSNWCSPSDYAKEGHLNKNLNQSCLLFSMSAAIKEMPLELFLQVVGSGKKIDCVITKCSLGETLSEIPTKEYDQLMDTHAKGRLSRLITILDKLKLIQLAKESVEDSGVQSDAAPTYSLVLRPYIEEPTPIILPSSHINVNHCPKFRHDFMLSKQESVDAYWETLEYCHLTAGFAKPSSTFPGYSVPEVLCVSSQNRRHRQPRVPVSQSQPKVSSGSTSQKRKRSADEITLKFIKQKVEASGSAQQRSSQSIPNEEVPERIFLSSPDLPEQHYLPVSKTSSTPTYHIDSPVHTDEDKESSPMINQSTLEIRGALDEVLEFIQLEKMDRTKQISSKNEVSNDSNADEAPTGQEQTVMQYVTSSSTEVPESGLHEHVKPYRHPTAIHASKNMENFFRYHEEVIIPNKDEITKRDVCKSLAVANALELLKMVYLSTSSGPEVTRNGTKPATLSGKFFFNASHSPFPFGSGKKASEFSKWLIAQQKNTMDSRVYLYPDLQCGEIVHLFSLVLSGEMCISPSLPSKGVGEADEPNSHIPLDEADEPDDRIPSVEDTSELDDSTHKRKADKVKLKSSKSKKHKPLPKIESDFCYRREKGFPGIQVALNQEKNQTSNLMHALHDEECLIFTLAREIGSKDVSSQVESQNMLSYLNNSSSCRCLLSASHLERSYSGWPWDAMNIYAKQLPSLSCCKDESFILSSDMFRNAFSVIHQTGEQGIDLREMSQALHPLGMQFVKVIIDTLEIFKLVFKVNAYDGVQIVDTLHKSKYHITTLAKYSGCSCLRDPAFEIAATGDAENTLKDKHGVASNLQRTVKMLGDGHTVTVLDVQSNSSSPHMHSGEDERLSTPTQDNGGSGCCHACGRHVYQPILPWINGDGSKNDTVYEGLSRRIIGYVMQYPGVVEEHLICRMDVLNPQTCRTLLEQLAVDKHIYVRVFDEPVPVAPTTFQSLLKQHGHCKEPSKCRRRYFANPTSTFQL >KN540190.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540190.1:43361:46975:1 gene:KN540190.1_FG003 transcript:KN540190.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTYSSTQYWSKRGHVPSQAKVLHRLTFKRVIKKASVEEFSCIPYILALFSCLTYSWYGFPVVSYGWENLTVCSISSLGVIFEGTFISIYVWFAPRGKKKKVGMNASFCLDKKQVMLMASLILAVFCLTVFFSSFSIHNHHIRKVFVGSVGLVSSISMYGSPLVAMKQVIRTKSVEFMPFYLSLFTLFTSLTWMAYGVIGRDPFIATPNCIGSIMGILQLVVYCIYSKRKEAPKVLHDIEQANVVKIPTSYVDTKGHNP >KN539479.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539479.1:92991:94223:-1 gene:KN539479.1_FG014 transcript:KN539479.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFSPFDEVPAYVLYEIARHIPCKVDRVRALVVNCSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSCLLSGADELSVHRVRVPADLRGARYFGSYDGGWLFLASGQTFGHMLFNIRTEQRLFLPDTVTQPWRSDDFPMIMLAATVSSPPSAKDDLCFGAAIVSDVGQKLAQRCNVFWELGDCRAVSFVPPQDPFNLFYEMEDVIYYQGAFHFLSIWRNVLECRLTLHQGVLQVHQKWRLFLPQEELSLCRNAAARYLVESRGQLLMVIMERPAYNQSREFFIFEMTKTEEAVDEAEYIWRRMPELDGRMLFVGHGCSRSYEVGDFPGFQEGIYFFDDQDSYSVSSIAEDNEYTCFDNGKWSAGPPPMETYCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHML >KN539479.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539479.1:29810:33589:1 gene:KN539479.1_FG015 transcript:KN539479.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKTGKTTSPPKDQPTPCPFPDWSAVQAYYGPGVLPPTYFAPAIAPGHAPPPYMWGPQPIMPPPFGTPYAAMYPHGGAYPHPLMPMMANPLSMEPAKSASSKEKGSNKKLKEVDGAAVSTGSGDSKKTMTSSGDYSAEGSSDVNDLKVGKTGRKRRLDDGAGAETSAAAKMENALPPSHILGNTAVLPNHSFPAQVIRPSATNVANSRALGTPISPPPGVIVPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSESSEKLRLENSALMGKLKDPAASTQAETSLQKTTTASSPRVVENFLSMIDNTNKTSVRHTEHAEPKLRQLLGSGPATDVVAAS >AMDW01034804.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034804.1:169:489:1 gene:AMDW01034804.1_FG001 transcript:AMDW01034804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLSATTSLILTCLVWITCRQKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGILQDGRIVAVKLLTGTKGNGEDFLNE >KN538771.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538771.1:205028:209368:-1 gene:KN538771.1_FG037 transcript:KN538771.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] MPAWRRRLMWITRISARCILFSFGYHWIRKKGKPAPREIAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVHTLIAFSLECKIFMSLTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMADNGMMKLFKTLDVDDDDGISKDDLMASLTKLPFMIALFAGRINGEVYIEIV >KN538771.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538771.1:258159:259674:-1 gene:KN538771.1_FG038 transcript:KN538771.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKATDLIMEMQELGVELDVKIYNGLIDTFGKYGQLADARKVFDKMCAEGIKPDITTWNSLIRWHCRVGNTKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAVYAVLVDIYGQYGHFRDAHDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVTYTTLMKAFMRAKKFEKACPLFFYSLAFSNLNIMDCSKSSS >KN538771.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538771.1:223678:223947:1 gene:KN538771.1_FG040 transcript:KN538771.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVGHLRDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDENTCLDGLSRGVDAATRSAIRGKIVEVAQVTSNALALVNRVAPAN >KN538771.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538771.1:247973:249888:-1 gene:KN538771.1_FG041 transcript:KN538771.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRFDLGFGAVVREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRSGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRC >KN538771.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538771.1:275576:278403:1 gene:KN538771.1_FG043 transcript:KN538771.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDDRHHDRGGWIRPGEEHRSSGVAWALVILCTLVAVGVIVAGATVFAVYLIYKPRMPYLVVSDAQLVRLDYDQGGTIDYLEALVTVMARNTNSRADASFAHVDLALRFHGADVARLRAAPFVSPVCTLYHQITKSILIPKQMILHHWAGPELPDWTLWPNIEGPPTIGLKSKPNQPGCVYVMDAFIEYYLHGGGKVTLMLVEAIDMFLVGTVMFVFGTGLYELFISNMDIAKSSSYGSNLFGLFRLPERPEWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >KN538771.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538771.1:240009:242964:-1 gene:KN538771.1_FG044 transcript:KN538771.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAAAASGKSRPECINSSNPFHECSDYCLRKIAEAKERIEDEQRPPVDRTVHPDCINASNPYHVCSEYCFKRIADAKSGLERAEQEPPSADAGKSDAALAEEGGGGDDDAQQEDDGADDGYPLMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRAESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQESAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVKDS >KN538771.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538771.1:189607:193601:-1 gene:KN538771.1_FG045 transcript:KN538771.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAMAFVALCALAAFAVAVTGAQVDSLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTRRKLRERTRVKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKDLLSRLKESQCSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFSKSENLENPDLYHYAFFSDNVLAVSVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSASSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAISKYRPYWTKYINYEHTYVRGCKISQ >KN538771.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538771.1:254938:255782:1 gene:KN538771.1_FG047 transcript:KN538771.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGGGAKLSAVDAILAEAADLVALEQIAKLNTAHLAADGDSALPSSLESRFRKLKRIVTELKQQQRKLKKALEEQVKVSRETAKMAQWVKQASARMTHTAAIDDLLSDCDDEDELK >KN538771.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538771.1:198642:203410:1 gene:KN538771.1_FG048 transcript:KN538771.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNVQGKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATSIGSKSYNALASGLAEFKLGESTKENRHVQEEETVDFAAATTAALGVPSPSASRGISFDENTLTDPVELNIRRGDREEEEELRRVLSLSKAENANAVDGSGSFDTSQSHSSSNMEETAHTESFQLEAVEVMDSTNKEEHGNSHALSDGPMLQDSTNAAPNINEVGMEESQQVLTSNELEDDGKRNILPEHSDITIQPSESVLDCSSHESSAPNQAAPALGQVDKESCEEQAPLQIHDQASDTEKIHGQASDTEISSELTTAASQNTPNHATKELDGEDGSSPEPIILNLQESEPIYQGEEHILSTGNLAYENQEPVYEGEVVLAEQADKTEKSSEDMQDGPAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYLAQFDNTTLQHSSGKFWISVLFYDNLLQPKYNAISHGIIAFVSARVPKEFERQQPQRHQSSTQQQEPVPQQQQQTPNQSHGTGRPGLVVGPTTARMISVIACSALGGLRLLVYKVKLALESPVYRNGLGAFSL >KN538695.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538695.1:238167:253492:-1 gene:KN538695.1_FG091 transcript:KN538695.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGGGGELERRVMAALKASEARGDQPLVWAVEVARVVAGEGAGLPSADLAGILVSNLCFAHNSPSLWKLVGHAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELLRSNVTSSFLSMEAGPNRDKITKSIDDALQLSKIYGFSGIDNGHVIIFFMMFVITKLIDCTLEDCGFPSGLTEEQESIYAIEGPQDMDLDVKGVSTEKQNEHRAQLRRKNTVMALDVLFMMVADRKIQSFLRLIFLNMVSNTAYQPNNKRLLGVLGNMKYGGSMLGQFTGAGRAACWVIFDIYVENAIDGKHLSAISAIEVLKEMTKTLQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDSRLCMLLALIPLSIGAILKEETDVHGAQGSKSLPKKSGLVSSLQDLIQYSGLLVPPSSVVNAANAAASKAAAFKANYKSGGGNPGMMGQNDSSTKTVGNMLHLIVEACISRNLIDTSSYLWPGYVVSSGHLKDATLPQESPWLNFMQGAPLSGPLIDALIATPASSTTELDRLYHIALNGSEEEKSAAAKILCGASFVCGWNIQEYVVRMVVKLLSPPLPSNSSTQGSMNHYLAQMSTLNALLLGISYGDAIHIISLYGMVPDVAAALMPICEVFGSIPPPSNHKPAIVGEISVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNHIDFANSSASSRNSSNNIGPLNEVPAQPLYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKPVVSSGNLSSTSSSSVSGSSVSTPDDYQRPTVPAWEFLEAVPFVLEAVLTACAHGRFSSRDLTTSLRDLVDFLPASIAAIVSYFLAEITRGIWKMVPMNGTEWPSPGASLHSIEAEIKEILASAGIQIPSCYPRGVPPMLPLPMAALVSLTITFKLDKSSEYIHAISGQALENCAGGSSWPSMPIIAALWTQKVRRWHDFIILSCLRSPFGRDKDAVAQLIQSCFSSFLRSSCSGSDFTANRGVGALMGDAITGQGLQLPMAPGFIYLRTCRTFHDTYFVSEVILKQVIEWADKLANGFSSSGPPQLKSGRTPLSSAACMAHQVAMLGGGLLCVAGGPLLVQVLYEETLPTLLLSAREESMKDPGPVSSTLQGYAMANMLFFCGSLLWGAERTSPVMKLSFLSRRPRVVGNHMDFMAGVLDGHILLGCDHGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIASGLRSWNEHDLALALLERGGPQAISTGSIVNPKSYRGLARQRIQLELSDLSMYISTYFGFSGFRSYQREIIQKVLEGRDCLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQHGVKSEYLGSTQTDISVSGQAEKGTFDVLYMTPEKAVSLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHMLRDLLVGVPFVALTATATQRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFMKSDFYCAEAKSQTHRKAIMESFMAAHKYCLLATCHRKFLLQYFGEDRATDCGNCDNCTRTKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRVKKIVENNFDKLPLHGRGKDYPPNWWKALGGLLLAHGTIISEYRFVNAVLLINGYNYLKETVHDTFRSISVSPNGYKFLSTADKGDGASLFLQLTAEMIELEGHGGSQCKEEGLNPLGPSESEKISEDELKIYQVLLNVRMQLAQDIGTAPYAICGDQTLRNFAKFRPSTIARLANIDGVNQHFISHYGSIFIQNITRLAKELNLPVDDLSAVESIPAAPKPTQNNLPRSLGGAKFCSWELWQKMKFSFQKVAHYRRAVPIKEKTVISYILDAARDGCEMDWSRFCEEVTYEMIQTFLAIEGLGLSDQVFGTVPTDRIQPKTSEAPKPTSSGSEVGADACDASPLTKRGQTDVSLICGDEPASKLQKIDGQGVNSTAAIGATEDAILELVEGRNGVSLEDVVKHFNGSKRECVVEMLDNLEGNFLVYKKNGCYMIM >KN538695.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538695.1:185767:189442:1 gene:KN538695.1_FG092 transcript:KN538695.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADDYYGDDDDEYDDYNPHPYGGGYDIFATYGSPIPPSPTTCYPVSSAAPTAPPPKPSPTPPPASPPPAPTPPQTRPPSPPPQQQQPRPVSPPPVAEPYYWPKPYDYGDAPREQPAYATPEFMRGLDYLFGHADGYGERRIGVDYHGVPVYANRKGGVEEAVVIQVEPPATGTVEWHHAADDQEYNYNNGNRLSWDDNAKDETYAYVQPNYSSYDRSYDQSYSLDAVSDETTWFPNQNYQHVYKEEESQYQEILSSSYAESKISAQPIYCYNQQFSEQPLHVLVEPPETVYSQKLEYYESFSTYNNHNSNDDSDMLGHSYDIQLPDEHVPDEPFEPIKPSWAMHSGYYQSCTDGASAEFENHTLSSSEFGGIASLFATSFYPQQTQIYECHGDENVSLQQNWQCNWNVVSENDFQSSTLASVSSNWDLLAKRIILRLQQISEVNSVFMGYDSNHMNGSFWPFGDHSA >KN538695.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538695.1:178914:182466:-1 gene:KN538695.1_FG098 transcript:KN538695.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPASSTAGGRRWGPVDANKYAVIFDAGSSGSRVHVFRFDSNLDLLHIGDEIELFVQKKPGLSAYANNPQEAAKSLVSLLEDAKRVVPAELRGQTPVRVGATAGLRALGAEKSEEILQAVRDLLREKSSFKTQPDWVAVLDGPQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAVKAPKPSEGEDSYVKKLFLKGTTYYLYVHSYLHYGLLAARAEILKASNGKGYSYCTLEGHQGQYKYGSGKFEASASPSGASYSKCRDDVVKALKVDQACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAPVAKVKPSDFEKAAKRACKLNLKDAEAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVGSHQEMTLVKKVPYSNAFVEAAWPLGSAIEVAS >KN538695.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538695.1:221115:225133:-1 gene:KN538695.1_FG100 transcript:KN538695.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQMVSLIIKANLECEKCCKKIQKVLNKLKDKEKIISIVYENSNNRVIILGHFKQEELAHKLRCKACGVIKDIEFGKLAEAKKEEKKPDQAKKEEKKQPEEKKKSEEEKKKGDEKKQEEGKKEEKKEEKPKVKEETKATPAPSSTTVNLQFTNMCGICYPWPCSDPTHWGAGVVHPQWPQEPRTKGELGGRWSDKQEASGRSKQGQTDLQLVEMMIFIFGGVGAGQTDMGKPADWGETELAVQKHRVARSNPKNEPLVCAGKYRIGLG >KN538695.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538695.1:256372:256899:-1 gene:KN538695.1_FG101 transcript:KN538695.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MTWASVLARTPDFCGIDQRIISQLLSVVLGERAPSARNMHTGKHPCDQGPWCTTLVGEPRIDVSHTERQCIVLSKRGRCTKRKACQAGKELPSTGAPWTKVTTRWAIERQLRW >KN538695.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538695.1:214311:217073:1 gene:KN538695.1_FG104 transcript:KN538695.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPASGPGTPTSNGSACRFVHGGLPDDAAGKMDPSAVEQQCQDFLIRSKSQRLAAAAFPYSPTGSLPGSPSAATKCLSLLLQQQQQQNESQRAAAAAALMLGGEEAHKFMGRPRLERADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQHQPGERVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAIELHSRRLMGLQLLDFKSRAAAAPTPIGNPFSASQTAANATGESPPDSGELGKGSGFLLAHKKAVNGADKEESTGESSSPNTDSDQSVEHNLPDSPFASPTKSAGFARDPFAPTEAEISATASTGCSATYVGINNGASNGGTNHLLPSALDMPSPKPYFFPMSRLASDHGAIGM >KN538695.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538695.1:233141:237248:-1 gene:KN538695.1_FG106 transcript:KN538695.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLLPPPPPAKRRRGPRVAVLALVLCSLLVPLAFLFDRSQSGYVTTDERRRQEVVLPSFHHVEKADGDGTVNNGLNQDTPKKTPKGNNGGLQKHKQTDRHTSRVSTKPKVLPSPKVEPSEAVKESTQGTREVSKVRKRLDKGTNTDEVENEKACQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPSIAKLEGQEELTVLMKQNIQDHERVLSVSNVDADLPSFINKKMEQMEQTIARAKFCTVDCRNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSLGSNDSSARKFSAAHGRHYVILSKNILAASVVINSTCLIFALYSRWVLLIFMFVFSQDPKNIIFHILTDAQNFYAMKYWFDKKSYREAAVHVVNYEDIIKEKLTKFNVRHLYLSEEFRVLVRSTEQPAGKTRMEYLSLFSHSHFFIPEIFKDLDKVVVLDDDVVVQRDLSFLWSLDMGDKVNGAIEFCGLRLGQVRNLLGSTTVDTKSCAWMSGINVINLDKWRKHKVTENYLLLLKKFLTKDETSLRAAAFPLSLLSFQHLIYPLDERLILSGLGYDYAIDEDVARSSAALHYNGNMKPWLELGIPSYRRYWKRFLTRDDKFMDECNIIP >KN544259.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544259.1:86:220:1 gene:KN544259.1_FG001 transcript:KN544259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NEEKLREALKFSNACGAICTTKKGAIPALPTVAVAQELISKAAN >KN544259.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544259.1:2787:3613:-1 gene:KN544259.1_FG002 transcript:KN544259.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GIAYVDFSDNEHLEAAIRKNKHKLLAKKVSVARSDPSKGKKNREAGSFSKDQGTSGDRGETAEFANRPDKEIPKDKPTITGKNTFAAPRSVVKPLGWTQKDEKSDVGTEELKSNEEFRNLLLKK >KN545107.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545107.1:596:2088:-1 gene:KN545107.1_FG001 transcript:KN545107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGNDAVLHPSEEKWKEAISGFRISDIVHGSGRRRGWGPHESVACAPDLAANCLTFVHWSCMHPKSAKDFLKLSPTPKGFGYAKGIR >AMDW01020000.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020000.1:67:291:-1 gene:AMDW01020000.1_FG001 transcript:AMDW01020000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRDADVVISTLGALQIADQTKLIAAIKEGGGNVRRFLPSEFGLDPDNTGAVEPARSIFTGKAAVRRAVEAAGVPY >KN539902.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539902.1:37793:41182:-1 gene:KN539902.1_FG001 transcript:KN539902.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLFSMASSTASPSDGRSPRLPETLSRCVTASVAAAHNFEVTRYSLLAGVGAGEFVTSGTFSVDGHDWNIQVYPDGWKQEMNAGYVSVFLCLRGGATGVRAKYTLSLSENGGGGGGGGESVQRSLTHRFDTVTGYSLIEGLGIASYVSSSTFTVGGVDWAVRFYPDGSTVTCLGNASAFLYYCGREKEVRTRFTLNLLGKDGKLSQVTNSYMKHTFSPASDNWGFIKFAEKSKLQSSPFLHNDCLTIRCLLTVVRESHTKDVEVNSVVVPPSNLHTDFETMLHDGEGSDVTFTVGGQEFRAHRCVLAFRSPVFKAELFGPMKENGTHCIKIDDMEPEVFEALLHFIYTDRLPDSCRDGKTAAMQHLLVAADRYGVDRLRLICERRLSETIDVETVATTLVLAEQHHCSQLRQACIGFVASPNMLGPVIESEGFKHLVESCPLIMKEILSKVSHIWIDKSC >KN539902.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539902.1:16176:18335:1 gene:KN539902.1_FG002 transcript:KN539902.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVAHNEPLPMEQTLSSGAKRKRGRPRKYEYGMHELPYSVQHIQSVPPLHSTQDSSNIRQDGIQINHTSGGSFGPNIGTIQALPTKQGPANRSSGPRDSVNLVKTSLSQASIYTSAPLQGNSVKDDIVGKYFVGKMSKKFPGFSLITVKVKDNQVLKVPLEDVTFAKPLQMRRPVEKSFTKHTVPSVPRPHMGSGVVAAVPISVSPSNAESRIFSEQGTEHVNPQPLSAVVPIKSGQPVLASCKEVAGGKTVNEIQTVSESSKHTEESSGERHLLNVPVMDAIKESLGPKEQPNATNSKQQTFMEPPESTEQAVQLDTERDISEGADGSKSEASGGTAPPVEASTAVHNPQGNLLHLLGTFYYYLLFTAKTNKLAVTLKIKIPDDSHEMKVDNK >KN539902.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539902.1:47599:48630:-1 gene:KN539902.1_FG003 transcript:KN539902.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSTSSRCLTASVTGTHNLEVTSYSLLEGMGVGKFVSSTTFSVAGYDWNLRFYPDGITDNDRKEGYVSASLHLVGTTTGAMPIREHAIRRIRFTGNDSFKIECSLTVISESRAEDVSTIPVPPSNLHQHLAGMLHGVEIADVEAELLGPAAARSIKIDDDDDMEPATFKALLHFIYTDHLPNDSGFGEDAALQRRLLVAADRYGVDRLRAMCEAKLYESVNVGTVVDSLEFAEKHHCVQLKDACLGFMASPNVLGVVRKTDGFKRLVEGCPWVLKEILDKVPRF >KN539902.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539902.1:62690:63819:-1 gene:KN539902.1_FG004 transcript:KN539902.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWILGNPIKLEGMENLNTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIESMKEGFVHTALQTRLPIVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIETDGWEEERIDEYVEMVHSLYADNLPDSQKPLEPGNTGKKKMS >KN539902.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539902.1:11196:11819:1 gene:KN539902.1_FG005 transcript:KN539902.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVTPNEPIEASSSGSKRKRGRPRKSEYGMHEKPYSVQPIQSVPPLHSTEDSSNIQQDGIQINHKSGGSVGPSANLVKTSLCQASTYTSASLQSNSVKDGIVGKYFVGKMSNKVPGFSLITVKVKDNLVLKGWIPDESDLRPITPKDDLAPDLPMLRPSQVRKRPSTIYKQAAGPIPVPLEDVTFAKPLQMRKPVEKSVAK >KN539902.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539902.1:49624:61628:1 gene:KN539902.1_FG006 transcript:KN539902.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDELEIVQEDLYLDDDDEQFDVDLNEDDEVEEAEPKREQNLVKVGMEAWNQSFGATYKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMSYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGGNRKKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYSIEPRPSSSWFSAISLAADMISSVNCDGIFQNLLSTSHDLVSVDDEQVQVLLKCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCSTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRCGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMPSSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSIRDKAYILVKAALASSGAFDQNIAEIDAWLAFLPCYKAKGCEREGLGVEASNRLSHIVTPFLCDAISVVGNNLYKYQEHIRKLISKSNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSTISLYVCNTVYLIMQSQVDVLLLPDLVGTILNERLGKFSSEEINSRICFAEWRPLMYLLHILRSISDQKSSSLFSTLEHSSEVYANSLCSVTRTIEEMSNQQPTNLPDDVATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNVVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLMAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEGFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDQRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKGTSVMQVVGSDSNASGTHEDSFILLLPAALSYIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTNELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPHSDGILLSSPEEQNVVSCLEYAILKNIVELSSEVQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPGVSECPSACNPTGGLLQPAPSILKSVDSAFAKENKFQDFIPERRKVEIIRLLRVLYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRIYDPVFILRFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFRKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQLLYAGSNLADDAKIYKRGGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVPLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGGISRSIEVKLAMQLGIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLCDEQRSVLKMPHGEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDANNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQGSNHVYSPRHYYQPWKDPAMHRNEAEHLEEEQACQSLLVMFSNSFIAGLSGFPVLSLGDVEKSGLFQWERDSILK >KN539902.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539902.1:5270:7418:1 gene:KN539902.1_FG007 transcript:KN539902.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLSLALGFSSPLPAPHPGCSSRRKCSPAGRNNLRCSLNDDKAIPAETFAQPNLCQIADVAAIDKSAVPLKFDSPSDDGGAGLMMRGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIKGKCFLRFPTLPFIPKEPYDVLSTDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVMSTDQLGLMMSMPGMTEALTNQFPDLKLNAPVAFNPFTSVFDTLKKLVELYFK >KN539902.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539902.1:25010:33519:-1 gene:KN539902.1_FG008 transcript:KN539902.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQPPPQAHYGQVPPPQPYYAAPPPQAMPASAAADEVKTLWIGDLQPWMDESYIYNCFAATGEVQSVKLIRDKQSGQLQGYGFVEFTSRAAADRILQTYNGQMMPNVEMVFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMLCSSRPMRIGPAANKKTTGVQERVPNAQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQYVNRPSAEQALAVLQGTLIGGQNVRLSWGRSLSNKQPQHDSNQWGAGAGAGGYYGGYGQGYEAYGGYAQPQDPNMYGYGAYAGYPNYQQQQVAQQQPPQQVRDGSAYAGVLHTASVEGGYGVVLKKARKIANGNDNANIPLGAFVDSLVIHPDDLVQVIAKDFSLHTKDVCRTPVCDTVAASAYVKPQTSHVNVFPLKEVKKCSTPGEETNISIGRSSPGPRLSCNEIMSSAVVGSKDGNAKSAVLTTPTMSSDVKISPPATVAKTATPSKTIAKESKLNPCARVFSPSFASSRPVLAAAPSVNPIYISNSVAGVPTGLPVFETSSVPGGSSLSSKAVHYNNLAAANYAISPQYTQSTMGHNVSRLDPARIGTPYHPMQVGPAYISPSPQPVTGGKFNHVVYVHPFSQDVMHGAPVMPQGWSLPAPLNSHHASLQKFQGTAPVYVAPPIMATGNLPLVVPSPAPLVQPFQAIRPIMVPAASSMVPGKYM >KN539902.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539902.1:68770:71692:-1 gene:KN539902.1_FG009 transcript:KN539902.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPVVSSKAVLGDIGSFEDEIEDFTDEERFMRDVPPSVGSCITSSSSRFSHASNSKFSLMNHGVLMSQSTSKSKKFAQTPNYSASKSMEESSTKKLLPKTALSPMRKIHLLDSDSDSDDNKEMPGLQQNCKSKVSTVQHKGKAEMNDSWATPALDEFCNEYFKSVEDSRPSQQKEGNSFCGPKVIRSNYSVSETGGHFPHQSTPSGAALEDNQTDSHPPAMHYFFHHDQLVRDLVRQRLKHFVPVGVDSRGNEQDGTQNLQYRSQTGRCAAENDRWVTPNKRMPVATQVGRRRVNPAGMSGSGHWLTGDDGKKVYISKDGQELTGRVAYRQYQRESGKGFRQSKKKSSAGTRAKKATTKALLLFYTSCSWFSHSTNAGTQGLGALKLFTAG >KN539902.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539902.1:34462:35569:-1 gene:KN539902.1_FG010 transcript:KN539902.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSAARTSSRSVWEGITGTHDFEVVGYSLIDGFGAGRHVCSGDFSVAGHDWYVAFYPDGLDQDCAGYASACLAYRGKERFVRAKYSLSLVDWDGRASPLADDTLRSHYFTPTSRSADLLRFVEKSKLSSSSSYSCLDDDTLTIRCVVTVVTGPRVESVAPAKERGPRVTIHGVEPAAFEALLRFVYTDSWPLAGVDVAATVRLLSAADRYGLERLRLMCEEKLHEGIDVDNAADVLAMAELHHCSQLRDACVAFIASPSTLGPVLASSGFEDLIMATGASVTKEILHKVSESWSGPGNRKNSSKRK >AMDW01032852.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032852.1:119:481:1 gene:AMDW01032852.1_FG001 transcript:AMDW01032852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDANAETPFLWQEEEEKKTVTAAAEPAMSAL >AMDW01013810.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013810.1:2:244:1 gene:AMDW01013810.1_FG001 transcript:AMDW01013810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SRHTTESEEGRHSFEIVGYSLKKGIGVDEFVESATFAVGGYDWCIRFYPDGKGDGAKDYISVYLELLTKDCAVRAAYDLRL >AMDW01035874.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035874.1:47:283:-1 gene:AMDW01035874.1_FG001 transcript:AMDW01035874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HETQPHDGRSSKSRERDRERDKDKERDRDRGRDRRDRDRGDKDRDRDRHREHRDRSERREHRDRERSDDRDRRRGHDSE >AMDW01040577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040577.1:385:1404:-1 gene:AMDW01040577.1_FG001 transcript:AMDW01040577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLESGESIGLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRFYAAEVVVALEYLHCQ >KN539446.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539446.1:81775:88946:1 gene:KN539446.1_FG001 transcript:KN539446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMKMHNIHAVILTIDITAVLGKVVSSYGSLMVPSPPVLLPPSDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPEGDAEATTSQKSACCGSFLYFLIRRVTAYIPVVRHTCHSPYKVAEYLVISFLGVDRKGKRKVRAASEGAANASSPPFEILFVRLSREELLRPGAGERGGTEASTSASAAAVVDQDEREVREWPRFALLSNSHLLEIGARCEGHKDLSADAQESTYTVVSSVVRNFSADFSWWSIMGNPMKQTVPKWERLHGPGTFLCRAAAPRKLRRACIRDDILHPEEGVESKKMVLLLKKIKGMGGIGTTNAPPPAPFLLPLKSWRMYRQKVYRWVPPELRTAADVWLIDALVAGRATDNDICDLISETNGNHVIVCYGYRHRGGELQILILDNHAQTGPSRWIGFEELEKVYVLRVDPLPLDLDQLNPLPVYPISGC >KN539446.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539446.1:1141:2889:-1 gene:KN539446.1_FG002 transcript:KN539446.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGESSPSFRDVLASVVAADEEGRKPRASCLIIDESQLYEPVEELPPLRVRDLFYSSNANQELVRKVLGWIAKTARNSNGVVINTFDELEPAELKRIRGELNGDSVAIVLAAGPLHKLSPMNIGASMHLRPDRSCIEWLDTQATGSVLYVSFGSLASLDSDEFLEVAWGLESTGQPFLWVIRPDLVRGLDKPSLPDGFERVVEGRGKVIKWAPQQEVLAHRAVGGFWTHNGWNSTLESVSEGVPMICRPQFADQMLNTRYVEAVWAVGFELVGKLERSEIKKAIKRLMVEKEGAEIRERAKELKKKMVQCLESSGSSQIAIDRSLVGPMHDIHVMWPLQFPPCMWLSLSAPHNIYLTEVPIANA >KN539446.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539446.1:44879:45085:-1 gene:KN539446.1_FG003 transcript:KN539446.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAKGSRISIFAPSNMSSGAVSTQVDDTLLDNKRGGRKPAAAVFSVVDVLFPQGVLLACRDQNCYG >KN539446.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539446.1:33053:41039:-1 gene:KN539446.1_FG004 transcript:KN539446.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRHGDNLPPPATVAKFLANRTRIDRVKLFDTNPDIVKAFAGTGITVMVTAGNGDIPTLGTKDGAAAWVAANIAPYYPATDISLVAVGNEIINTADNALIGGLVPAMRTLRAALVAAGFRRIRVSTPHSLGILSVSSPPSASRFLDVLDRTFFAPMLEFLRKTKSPFIVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGITYTSMLEAQLDSVFSAMKKLGFEDVDITVGETGWPTKAEPGQAGVSVAEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFKPDLTPMYDVGLMKDTEEEEEEGDDAAATTTPEGDGDSPETEAAEVGAVAPPSVLPKGERGEVADVDGSGGNGKLPSGGGGGDGDNGGGGGGGDGGDGGDEGDDEFGPILSFDQVVQEVEKRGVSLPSLPADMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASPGLLGRVRHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGTVGFFCGLVGQGIANLIMTAKRSVKKSDDDVPVPPLLKTSALWGAFLGVSSNTRYQIINGLERVVEASPVAKRVPAVSLAFTVGVRFANNIYGGMQFVDWARMTGCQ >KN539446.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539446.1:101309:101981:1 gene:KN539446.1_FG005 transcript:KN539446.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVFKGQHWFHINFWARSRSSNKIKRFFAELHYKPLITISGFVSWEQLLPDPLPAPVAIVETCTIIEEPLDRYKRSCAFCPAGFDILHPKGDRKFVCGNDKDRFYQKLIPCKQLQFDLPFM >KN539446.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539446.1:22751:23539:-1 gene:KN539446.1_FG006 transcript:KN539446.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLERLLDSARCSSGVILNTFDDLENSDLRKIANGLSVPVYAIGPLHKISIGQESSLLTQDQSCLEWLDKQEAESVLYVSFGSLASMDSQELLETAWGLVDSEIPFLWVIRPNSVQGSEQTCLPDDFEEATRGRGMVVSWAPQQDVLKHRAVGGFWTHNGWNSTLESICDGVPMICRPQFADQMINARYVQEVWKIGFELEGKLERRMIERAVRRLLCSEEGKEMRHRAKDLKNKATTCIEKGGSSNTAIDMLVNLIMSF >KN539446.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539446.1:69253:73936:1 gene:KN539446.1_FG007 transcript:KN539446.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFAEMLAEGEATPNAFVLAAVVRCCAGMGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRVFGAMAERDAVSWNIAIGACIQSGDILGSMQLFDESPLRDTTSWNTIISGLMRSGHAADALSHLRRMAQAGVVFNHYTYSTAFVLAGMLLLPDLGRQLHGRVLIAALEGDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNGREEEALDLFRRMLREGVAADRFTLTSVAAACANAGMVEQGRQVHGCVEKLWYKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNIAVWTSMLCSYASHGQGRIAIELFERMTAEKMTPNEITLVGVLSACSHVGLVREGELYFKQMQEEYGIVPSIEHYNCIVDLYGRSGLLDKAKNFIEENNINHEAIVWKTLLSACRLHQHNEYAKLASEKLVQLEQCDAGSYVMLSNIYATNNKWHDTFELRVSMQERKVRKQPGRSWIHLKNTVHIFVAGDASHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEDEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISLATGRCWINPDKEVLEILQAESKSKQTMLEVHHAISHAGIPIFLVCKESREGSHLNPSSGLFQPNEKKDVMLKLYAVPYMILSCGWILSHTCTIMTMKISFPGTMEGPVYRRKGGISACFEDFAKVDKGIKATIVILVLTEYRADK >KN543113.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543113.1:2513:3718:-1 gene:KN543113.1_FG001 transcript:KN543113.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGWKFHWNLLEKHIFSLHFPVLRDLTLYIDDIRMGCLASCKNLMSLRLNSVSAIGSCGLLSVAVGCKNLTSLHIIKCNHIVGSDKWLEYIGSAGSLEELVVKNCKRISQYDLLKFGPGWMKLKKFEFKFKRSFNTYEPRDPCYVDNYQYGYDFCCESLRDVTLATIVTKPEIGLRCLLTKCKALERLCLHYVIGISDHDIITISQNCSNLRSISLSQEMLLCEIPGGTGVMARTPLTDDSLNALSLRSHMLEAVELMFYGCAPDWPSEIAFTQDGLVTLLQSCPIRHLVLRGANFFDDEGMGALSSAQFLETLELMQCVAVTDVGMRFLAQSPCLKNLTLQMCYEVTDDGVCEVARARDLESLTVESCNQISVEALHGAAKSVHYKVDCPSYYDRYKD >KN543113.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543113.1:8106:9554:-1 gene:KN543113.1_FG002 transcript:KN543113.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPGAALAEIMKRITRTSDLSSLSLVSKRLYTIDAEHRSTIRVGHGLWPAKEALLTLCSRFSNLRKVEINYHDWTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNITSSGLLVVAIGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYDFCCESLKDLRLRGIATVEEIGLRSLLGKCKSLQILCLHFVLGLTDSDMITLSQNCSNLKSISLQLEPVLGVGPQGRVFRMPLTDVSLKALALGCRMLQIVELAVYSRHTSYPEIGFSQEGLATLFQSCPIRELVLCGANIFDDEVMKALSSAQFLETLKLMDCNRITDAGMRLLANSSSLVNLTLQDCRGFTDDGVSEVVRARNLDSLIVQGCRVSWKAVKGAAKSVRYDRNCPVYGRLSRSSMISTLD >AMDW01023474.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023474.1:89:319:1 gene:AMDW01023474.1_FG001 transcript:AMDW01023474.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ERHEGVVPVTSAVTEVLTSHAYDEMMQIKALQERGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKR >KN539302.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539302.1:67917:68629:1 gene:KN539302.1_FG001 transcript:KN539302.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPLAGAVAYLRCRHGHRRVASIRGVAGSGGYFRIETSQLTSFTSQECRVYVPRSPSRACAVPGHGRRGLPLKFEEFVKRDNGLQGLYSVGNFVFSPKYPNKCY >KN539302.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539302.1:116954:118057:-1 gene:KN539302.1_FG002 transcript:KN539302.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRDELFRGHYVLDTFRHRADLLQQEEEKEDEQVRSSFAMSMFNPAKRIRFSSASTSSRFQDLESMIRSLEDAIADTKEFIVFLMSCPPVMYRQPFSTHLYLDKCMFSRQIEREQVIDFLLQIDTDPHGSCTDIGVLPIIGPALIGKSTLIEHVCRDERVKSHFSLILFYNGDELKHETVATFRDSCVIKHQNNCTSSPKTLLLVIEIVGDVDEDTWKELYYSSENRIPRGSKIIITSRSEKIARFGTTGALRLKCLPTEAYWYYFKVFVFGSADPDEHPNLTSIAMEIAAELRRSLFCAHVVGALLRVHLDAHFWRRVLECTREYMQRNLISASEYPHDLKTDNNHPRYAWRISEPKPVKYYVPSNI >KN539302.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539302.1:37965:41334:-1 gene:KN539302.1_FG003 transcript:KN539302.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >KN539302.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539302.1:10351:13913:-1 gene:KN539302.1_FG004 transcript:KN539302.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPPLLAESLATLRTASPSPPFPCSPRRTRPLVSARFARTAGRRSRSTGGRRDLRVYAYAAEAEYGSAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATATNPFFDDSATKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGSPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRLASVRWEKRQSKVLEKIRTWMTNKKYSDISSSWTDIWGSPTRYQGNGHGATSPFILSLASCCPDHTFSHTGHFITIIMQQYTTQFSSKLISSLQEASAATTQSWTYHRSVGQAQRASIVCYFRKKAGFCRLIPLETTGKQGSNQGEKVPACEIKREKE >KN539302.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539302.1:96005:97426:-1 gene:KN539302.1_FG005 transcript:KN539302.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHSGIGLRTFGLQSYNLINTCYLDRWLNIAITPAIEELSLTQFPVYNAKYYNFPSSILFNRGGNSIKHIHLSYCAFRPTGGLKFLRRLHLREVHITGDELECLLSNSFALEQLTLKHCKELNYLRIPCKLQQLKDLEVYECKALQMMEVKAPNLSTFYYDGNLARLSDGGLLAVKKLHISSFYRYNNVHYASANLSSIVPTIETLIISSFGEEVNTVVAPFKFLHLKSLMINLIGFNGAFSPAYDYLSLAYFIDASPVLETFTLIVSQIRMEHDVISENSSHLRQMPRSSHGNIKNVNIIGFCSAKSMIELTCHILENATSLECLTLDTIHDDYVHPDRLSVHEVGFCGRIGSPMIMEAENALLAIKRYIVGKVPSTVKLVVLKPCSWCHATEGLGVKDHRVA >KN539302.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539302.1:120365:121795:-1 gene:KN539302.1_FG006 transcript:KN539302.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSAILGDFVSRSITFMIDRYPKQRPSVDENLQRLHHLLVRIRTVVEEAEQRHVTNHGMIRQLELLREQMFRGHCVLDAFRFRDEEEDEVTPRFALSKFNRAKRIRFSDSSSSSSNTQIQRRSMNDLHQTVTSLERIIGDTKEFVVFLMSYPPVYRQPYSAHLYLDGCMFSRQMERENAISFLLQREPLAEEIVQVLPVVGPEFVGKSTLVEHICRDQRVREHFSLILYYSGDDLRHEKAETFSEICQTKHRNESNDAMDGRLLLIIELLGDVDERTLKKIHSSFRKQMTHEIKIMITSRSEKIIRLGAKQALRLNFLPFEACWYFFKVLAFGATDPEQHPKLASMAMEIATVLRGCFLCAHIGGALLKANFNSKFWSRFVAFVREYRDEINSLMPCDCQDHPKFGWVIVRPKPENYFVLRDSYQKALVEDDGPKIKLVDLLSGRVRRRGKFEVLVWKSRIPPYYSYISNCVMY >KN539302.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539302.1:143:6103:1 gene:KN539302.1_FG007 transcript:KN539302.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHRSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYSKSDNEMIWQAVLEHIRSDSNTSKDDLLLFSRVNKSKAERSREQVLHAFESVLASAITLEIRYEPKDDARAGHVPAISPYPEDSTSNVALRRSFTRHSSASSRGENLIRRLQKDNVVHGANSNQTRWVQSDPHILTEGEIIEVGPSQVDWHGEPNNSAGVRKENIVLQGREVVNNEHGRQNSIVRGKVSLAHVINKAETCSQQGGDWSLSRVYFVGRLQALLDERVHSIGYLDLPLFESMQLLAFSLKETPYIKAAALQPAYALGQVYPREKR >KN539302.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539302.1:101788:109657:-1 gene:KN539302.1_FG008 transcript:KN539302.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVGLGWNGTAAPRGLYGMVAEAHICTEEKGVFYALDLGGTNFRVLRVQLGGKEGRVIKQEHDEISIPPHLMTGGSNELFDFIASSLAKFVASEGEDFHLAEGRQRELGFTFSFPVKQTSIASGTLINWTKGFSIDETVGEDVVTELTKALERQGLDMKVTALINDTIGTLAGGRYDDNDVIAAVILGTGTNAAYVERANAIPKWHDLLPKSGDMVINMEWGNFRSSHLPLTEFDQALDAESLNPGEQVYEKLISGMYLGEIVRRVLLKMAEEASLFGDEVPPKLKIPFIIRTPYMSMMHCDRSPDLRTVGAKLKDILGVQNTSLKTRRLVVDVCDIVAKRAARLAAAGIHGILKKLGRDVPNTDKQRTVIAVDGGLYEHYTIFAECVESTLRDMLGEEVSSTIVIKLAKDGLISVYKERIDELVCAALECQSVSAKGKQEIESFSCFLSDTNSSLKQWSSRLKLALQASPEKSKNESKFTSAACSVPATIGNDRLLCSNIDEPDLVVSPSPLVSWRAGACMVDSGKQLFLLTPLTKTKTCSSRCPKSSTTQLKTTTGLDQLNLPNIPVLKLTISDDDCLDLEQSVKANESNTCVMTPNFIKAKKGSSGNSLFSPFSFTVQKSGRALPSPCLRTALSCKQQRFSPISEGSRKEEIPSTGPTQSGKPSEASGDISSDEISKDLASRYPDFYGFNQPTMTTYRRREADDTLDWFLSPLKTCVLMDPSDEKPIAPPARDSKSFIDTPCKGLESDNLQKIKELSDDKPIQTSSVHSKALLGTPWKGLESNILKKGQGISDDKPIQTPAIHSRALLGTPWKGLESTNLKGKQAGETTLKRELWTRFEAVSTNELHFDSSVFQRSDGRRFIDILEEEAS >KN539302.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539302.1:76721:77913:-1 gene:KN539302.1_FG009 transcript:KN539302.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSNRVDSLEDAQKLAEKRGNEHGVLVFVYTVDGIRHAKEIADALGDLKKNNDWHVNNLGVVEALSTLYGPLFLSIGTLDIILRHRHDNGITAVRKQAPQLLRQGRKLKTMELGGITGTVFLGQNHQESVPQGGRGMGDRIINLCNQVIGNDAEHRTKRNKPVSDEWFDKADKLLKDIEMFVTQPVHLPIRNQAHLYNSSICNCQLDIPSE >KN539302.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539302.1:94457:94972:1 gene:KN539302.1_FG010 transcript:KN539302.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAWRVAVSSLCDAANDHRRYHLPHASSSPSSSRRTPECHFSASVHRHQIRPGHQPSRPPRGRRPNARRPRRATGETVHRAPSHVEIRRRLHLAADIHDTFAGGVVIFPTLVDKLFEENAEASPLDTLHAVVEYAGNCRSSRSRRQAIPDPGGHVGANASVDRVYMFHC >KN539302.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539302.1:35545:37078:1 gene:KN539302.1_FG011 transcript:KN539302.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAATNHVVSWGPGGASFVVWNPLDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQINGPLAESERRELEEEINRLKYEKSILVADLQRQNQQQYVINWQMQAMEGRLVAMEQRQKNIVASLCEMLQRRGGAVSSSLLESDHFSKKRRVPKMDLFVDDCAAGEEQKVFQFQGIGTDAPAMPPVLPVTNGEAFDRVELSLVSLEKLFQRANDACTAAEEMYSHGHGGTEPSTAICPEEMNTAPMETGIDLQLPASLHPRSPSTGNAHLHLSTELTESPGFVQSPELPMAEIREDIHVTRYPTQADVNSEIASSTDTSQDGTSETEASHGPTNDVFWERFLTETPRSCLDESERQESLKDDVKAELGCNGFHHREKVDQITEQMGHLASAEQTLHT >KN539302.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539302.1:17167:22632:-1 gene:KN539302.1_FG012 transcript:KN539302.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMSTSGSESDSVAAKRSMKPKYSKFTQQELPAWKPLYTPGIVIGAFSLIGIIFIPIGLVSIAASQEVPKPMKGPIQVYYQLENFYQNHRRYVKSRSDKQLRSKEFSSVIKTCDPEAISEGGAPIVPCGLIAWSLFNDTFTFSVNKKTVQVNKKNIAWSSDRTIKFGSDVFPENFQKGGLIGGGQLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGKNNFIGFAYVAIGTISLLIALAFVGLNMVKPRTLGDPSYLSWNKENPDYAQ >KN539302.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539302.1:58617:58841:1 gene:KN539302.1_FG013 transcript:KN539302.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDGGDGDDDAVKKKMMNNGAIVAPPHAIVDRRRLRGRTAAYSMCAGKGRTLKGRDLRNVRNLVLQMTGFIEK >KN539302.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539302.1:73598:76377:1 gene:KN539302.1_FG014 transcript:KN539302.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLMTSSDQFSASSVNKTELPNRLRLSFANFTHHPCEGFSVAPPLVDPKRTGPRPCDVCYVPVDQAFALMPLQPSPSPVLKNLSYVFEDNITANFSNQGSVFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGSGFDINDDDLLEMEKCHEETEAYVKNSSSLYRNNKVGLWRLVVVRNLPYEDPRRMGKIPKLLLHRLFPNVRFSVWIDAKLKLVVDPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTYYAPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTGYHRELLEQMTASGWKPPPMASEPSRKLRLGSRKAPPSKKSSMKRKRVKKSSSRRRLPKPITGMTDSTT >KN539302.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539302.1:81622:83043:-1 gene:KN539302.1_FG015 transcript:KN539302.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHSGIGLRTFGLQSYNLINTCYLDRWLNIAITPAIEELSLTQFPVYNAKYYNFPSSILFNRGGNSIKHIHLSYCAFRPTGGLKFLRRLHLREVHITGDELECLLSNSFALEQLTLKHCKELNYLRIPCKLQQLKDLEVYECKALQMMEVKAPNLSTFYYDGNLARLSDGGLLAVKKLHISSFYRYNNVHYASANLSSIVPTIETLIISSFGEEVNTVVAPFKFLHLKSLMINLIGFNGAFSPAYDYLSLAYFIDASPVLETFTLIVSQIRMEHDVISEDSSHLRQMPRSIHGNIKNVNIIGFCSAKSMIELTCHILENATSLECLTLDTIHDDYVHPDRLSVHEVGFCGRIGSPMIMEAESALLAIKRYIVGKVPSTVKLVVLKPCGWCHATEGLGVKDHRVA >KN539302.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539302.1:114055:116221:1 gene:KN539302.1_FG016 transcript:KN539302.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFNTCFVSVRESRDGSAPSRGGYGRGERGRGRGGRGYGQSRDFGGEEMNGFQGGYGGGGGSRAGGEEGAQDRERGPRPPYQGGGRRGGFRDSGYGDDSERMSRRPYERHSGTGRGYEMKREGSGRGNWGTTTDEILAQETGEALKLDEKAPVTEKQGAPEGAPQADENKDNKDVTPNEEEKEEDKEMTLEEFEKLREEKRKALLSLKTEERKVEIDKDLKSMQPLSNKKENDEVFIKLGSDKDALKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGEGHRSAAPPAPEIKDQSQFPTLGRK >KN539302.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539302.1:78832:79769:1 gene:KN539302.1_FG017 transcript:KN539302.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGNRGADDGMLQANGVDRVRMFDAEPWTAGALVDTRIQIVIAVPEDQIAYVSSDPRSGRLWVHAERLLLPQDQHRCQVLCAGRDDSGRVADICSGASKVFDGMPHRWYGIMLTELVHSKHTQNVEWINAELG >KN539302.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539302.1:46382:52799:-1 gene:KN539302.1_FG018 transcript:KN539302.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSLSVLGESSDAVYEYVGDFGGERASKTAVMESFYMDSSRLKTQPAIRFGPIALLMVKERQTKQKDKWIGLVLTVSQNALIRGEVPQGIAELKSLVHLDLSYNSLTGTIPSRIGELRSLVGLDLSYNSFSGSIPSQLGDLAMLQKLDLSSNNLTGGVPATITGLTSLTFLALSNNGLSGPPPAGLSDLRDLQYLIMENNPMGVPLPSELGDIARLQELRLANSGYSGSIPETLGRLASLTTLSLENNNLTGRIPAGLSRLRRMYHLNLSKNGLDGIVPFDGAFLRRLGRNLDLSGNPGLCVDGRAVLQADVGGCMNKVGACVNRTISHLQWNFIISLVLSLTITTVRA >KN539302.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539302.1:23354:23702:1 gene:KN539302.1_FG019 transcript:KN539302.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGVTRLAGACLAACLVVLLLRCSRCEGRKLMLAAEERGGDEVMHFEGGLELRENSVAIS >KN539302.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539302.1:61534:61797:1 gene:KN539302.1_FG020 transcript:KN539302.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKIHCAMAALLLAILLPVSHASGKYVAPAPAPAPVPPPPHTSPSPSPSPPSRIQPVVVVQGTIYCKSCKLSGYNRYMDASPLPS >KN539302.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539302.1:110819:111076:-1 gene:KN539302.1_FG021 transcript:KN539302.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALGGGSRARQNAMRSGLVVLGAAAFGYLSFRVGFKPYLDRAQEAMDDTTHHGSASGAAAQPDHAGEEDDVATSKDPAVVLRD >KN539302.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539302.1:26001:31245:-1 gene:KN539302.1_FG022 transcript:KN539302.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDKRTVRIQVYALVNKKDVSTIFQGGLDEEDDGFGCDIGTIGNFKKAKGTVNISATYETRHHDFETSVVARGDLWRLESSRGGSNSGNENAPLFLVQLGPLLFVRDSTLLLPIHLSKEHLLWYGYDRKNKMHSLCPAIWSKHRRWMVMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFVPLFGGLLQAHGKCPGETRLSFSFKSKQGTRFTPMFQWPDNSLSFGVARALAWKRSGLMVRPSIQVSSGDPSGMDKSAVQD >KN541379.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541379.1:14202:17250:1 gene:KN541379.1_FG001 transcript:KN541379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDRPSAQEACHTNDCFIDPTYLRRKSIFYPSAIALVQNLLLN >AMDW01036617.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036617.1:99:605:-1 gene:AMDW01036617.1_FG001 transcript:AMDW01036617.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SRARVEFLDICQGKPLDFDILRKLKTLTMVIQETLRLYPPASFVVREALNDMKLGGIDIPKGTNIWIPIAMAHRDPSVWGPSNDKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLS >KN541926.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541926.1:18295:19092:1 gene:KN541926.1_FG001 transcript:KN541926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSKQDRALQAKNLAERCFLAGDVAGAKRWCQNALKLDPDLPGVAQAAAAYNVHSAAALKAIGVAGCGPDWYAVLGLPQPRSDLVTHHDAVKKQYRKLCLLVHPDKNTSAAADGAFKLVQTAWDVLSTRHPPPGATAAAASASADDSAAATRSEATITDQGKMPVLRRGNLQIFPLHELPPEPNGQQAGLFRQ >KN541966.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541966.1:154:772:1 gene:KN541966.1_FG001 transcript:KN541966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLFVAGTDSGSTAVEWAIAELLQSPQSMQKVKNEFRRVMCTRTEIEESDISQLPYLQAVLKETLRLHPSVPMTFMPERFMETDTNFFGKHPEFIPFGGGRRICLGLPLAYRMVHMVLASLLFHFDWKLPEGAEKDGVDMREKYGMVLHKETPLKALAIETYNRM >KN541966.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541966.1:13485:16884:1 gene:KN541966.1_FG002 transcript:KN541966.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTVSPWQRLGNLKYLVLAPWVLHGCHKMATAKELGETDVAYLSVFPFMLLRILHSQVWLTISRLMDARGNRRRIVERGIEFEQVDRENNWDDTFILTAILEYLGLLLMPLGQGMPLWRREGTLVLVLMHAGPVEFLYYWFHRALHHHALFSRYHSHHHASIVTEPISSVVHPFAELVAYDVLFAIPVVTCLLTGTISILAYAIYIFYIDFMNNLGHCNFELVPNYLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLYMPFYDYIYNTMDKSSSTLYESMLKISKEKCLDVVHLTHLTDLQSIYHLRPGFSMFAARGYNQSNWSMITILSPLSWLIAMLTWAFSSSPFAVDTSVIDKKLNMQTWAIPRYSFHYHLKRENKAINDLIGKAIHEADRRGAKVLSLGLLNQVRNLNGNGERYLQQQPKLRIRIVDGSSLAAAIVSNSVAPGTDQVILAGNLDKVARAVAMALCKRNVKVWIIGEGLEDSEQELAMEGTRFIPCSQFPPRMIRKDSIYLTTPAMNIPRTLLNVYSCEVTVI >KN542213.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542213.1:16384:17277:1 gene:KN542213.1_FG001 transcript:KN542213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVTALAPLAALLLLSSCLAAAPATAQQSSSIGDTVVFWGRNKAEGSLREACDTGLYNTVIISFLSAFGRGSYKLDLSGHPVVPGATEHYDELARLLNARNNGGVMLTATARCVFPDQRLQAALATGLFSRIHVKLFTDGRCTWGRRESLEKWAAAYPDSRIFVGIVASPEADRDAYMSHKDLYFDVLQFINKLPNYGGIMVWNRYWDKKTGYINGDVF >AMDW01017388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017388.1:19:189:1 gene:AMDW01017388.1_FG001 transcript:AMDW01017388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTSTATAASAVARVVDQCSNGGLDLDVAVFRQIDTDGGGMANGHLVVDYEFVDCQD >KN541338.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541338.1:360:1852:1 gene:KN541338.1_FG001 transcript:KN541338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFLDIATEVYLSEKNFIQSNGLLAHKMVLIVGNLQNHLMKTMCDHHGSQPRYRNVNLSRAVSPVLQKPLVLPFIGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAAGLLIYSYKSSKEAEETAQVAGASDEHGKAGDEEAGMQNPA >KN541338.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541338.1:19167:25138:-1 gene:KN541338.1_FG002 transcript:KN541338.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLGGALSYAQTAIAEEGALKLGVQRDQSFIRDELEMMQSFLLAADKEHNGHHHEVIRTWVKQVRDVAYDVEDCLQDYAARLKKPPWWSLPCTVRRERRRIGNEMKELRAKVEDVSQRNMRYHLHGGSAAAPQSSSVTAAELLQSTTTIDDIDEARRAAKQQEKVDLVKLITNDRQGVLRVIAVWETRSGPAGTVPVVRAAYQKLKGEFECHAWVRLMHSFDANEFMGSLVRQFKANSHEGTGKTPQGTPSGVSVLNEMEAQNYNLLHDFTGYVTNKKYLVVLNGLSTIEEWDWIKTYLPNNHNGSRVLVYTQQAEVASCCTDDPYKVSEIQHEGSFAKPLYVFYKEVVSQSVNSDLPESNSRPFLNRDSNTAAVKELTRSGTQLIGRGKEKDDVIKLLSDCNPNRQVISVWGMIGIGKTTLVKSIYQSSELEKLKFERRAWVTISHPLKETELLRSLAKCLDEDSPGKKGESKLRLARNDLSKMELNMLRGKVSQDLGGKKYLIVFDDLLSSDEWDLIKRYLPMDNNGSRIILTTRSYNVALRCSEKEMYMHNIKGLTDEDALELFLTTVRMDGDKSKLKSDMEEEAKTIINKCDRHPLAVATVGGFLSARPRNIIEWRKHSDHISAYPRLEMILNSSYEGLTYHLKSCFLYLSIFPKDHDIRYRRLLRRWTAEGYSRAMRNRSNEKEAEEQFTALLNKSMIQQSKIIARGKTGFCQVHNLMREVIISKSEEENLVLVLDDHITLHSKDKVRHLVVSENWSREKNDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDVYGLQDADLVPIGKLRHLKYLSLRDSIEIFNLPNSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLYAGILPANEDDRSDPQGTTIRTFVSYIMLFISRWLRNLDIYGVKVPRGIGRLRSIHTLSIVNIARGKAMLKNLKKLTQLRKLGVTGINKSNCKEFCSAIADHGHLQSLLLRAEGNAGLEGCLDDLSAPPEKLESLQLYGNLVTLPEWIKDLKILQKLSLRNTNLKADTTMEVLGNLPMLAILRLQDNACEEDELCFGPERFTGLTSLELLNWESLKSVKFEGGATPKLKVLLVDYCWQIHDGGFSGIETLSTLKEVSILGYNYDQTYTEFKEQLQKQLDMNKNKPNLSIL >KN541338.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541338.1:8845:16923:-1 gene:KN541338.1_FG003 transcript:KN541338.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLDGALSYAKSAIAEEVTLQLGVQRDQVFIKDELEMMQSFLVAADKVEHQHHEVVKTWVKQVRDVAYDVEDCLQDYAARLKKPSWFGLSCRILRERHRIANEMKELRAKVEDVSQRNMRYNLLGVPAATSRFASVTDNAELLLLQPTNIDGDEARRAAKQREKVDLVQLITNNGRQGGLGVLAVWETSGAAVVSSAIWVAYQKAKDKFECHAWVKLMHPFNAKEFIGSLVRQFKANSQEVTAGKTPHGIPTGVSVLDEMEAPDYNLLHDFSGYVTNKKYLIVLNGLSTIEEWDWIKTYLPNNDNGSRVLVCTQQAEVASCCTENGCKVSEIQQEGPFAKSLYVFYKEVDSQSVGIDSTEKKSGTKQPISMASASTAGDRKSVHFYEDILEDDDPESLTERTSPPLNNRDSNNAAVNKFSRRTTMIAAQEDQIIGRGKEKEKLINLLGSDCDPNHPVISVWGMGGIGKTTLVKSIYESSELEKLAFERRAWVTVPHPFQPTEFLRILARRLVEDSHGKKGESTLGFARDDLSTMERKHLGNKLKNELEGKKYLIVLDDLSSHAEWNFIKAHLPESNARWIVITTRPKDVAQYCSGKEKNMHKIEGLTDEDALELFFTKVHIP >KN541338.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541338.1:2399:4204:-1 gene:KN541338.1_FG004 transcript:KN541338.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAKLIVKKCGRLPLAIAAVGGFLSTRPLNNTEWRKFSDHISTELDENPSLEMIKKILISSYEGLSYQLKSCFLYLSIFPEDHNIRYGRLLRRWMAEGYSRSTRNKHAEREAEEQYNALFNKSMIQQSRIVTTGKTGFCQVHDLMREISIAKSEEENLVLVLDDHRISNSKDKVRHLVVSQSWSRQKKNDMQNIVDVSHIRSLTVFGEWKSFFLSKKMRMLRVLDLEDAEGIQDPDLVPIGKLRHLKYLSLRGSEEIFNLPSSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLYAGMPPFDDDDTRSIALISSILEEYRGYRSDPKGVTIRTFVSFIMLLITAWLRNLDVCGVKVPRGIGRLRSIHTLSIVNIARGKAMMKNLKKLTQLRKLGVTGINKSNCKELCSAIADHGHLQSLLLRAEGKAGLEGCLDGLSSPPEDLESLQLYGNLVTLPEWIKKLENLQKLCLRSTNLEADATMQVLGELPMLGILRLQDNACKEINLSFPPDCFKSLVALELIFWVSLKSVTFEGGATPKLEVLLVDHCWSIDDGGFHGIENLATLKEVSLQGLYNTRFKEELQRQLTMSNAKPNLKFL >KN541338.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541338.1:28896:29239:-1 gene:KN541338.1_FG005 transcript:KN541338.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding LKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGMKGCVDLLLKNGAAVTLENMDGKTAIDVAKLNNQDEVLKLLEKDAFL >KN545753.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545753.1:1071:1337:-1 gene:KN545753.1_FG001 transcript:KN545753.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMPANRLRPPPQSTALATVSTTTWYPTTTYTTTCYLTTTLRQIVRCPWASSLQIKPWRPIDLGNAVLPPPKDAVVLRGEGALPPLS >AMDW01039311.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039311.1:280:771:-1 gene:AMDW01039311.1_FG001 transcript:AMDW01039311.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGSLLGRPPLTTHFRRLLHDAPADQKLANKMKKKLQDEQQQDWDAIVRMIVRSKLQTYNVVPDGEDPPWARRAFHVLVMIPTSFICGCNLGERIYHQLGLRTNRRP >AMDW01040923.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040923.1:146:4046:1 gene:AMDW01040923.1_FG001 transcript:AMDW01040923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IIDIPDNILKLKQDIDIELHGEDEPWINNDSSVQTQSYNRYAETDNRDWRSRIEQPVQTPAIGGEEKSWDKFREAKESYISSGKQDQFNNQDTLSSQFSAKAQVGPAPALVKAEVPWSIQRGNLSNKERVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVISLIFEKAVLEPTFCPMYAQLCFDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGADNLRSEVNKLTGLDQEMERRDKERLVKLRTLGNIRLVGELLKQKMVPEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRLKDLTTNSQLASRLRFMARDVLDLRSNQWVPRREEMKAKKISEIHREAENNLGLRPGSTASIRTGRTGTGGGGPLSPGAFSMNQPGIVGMLPGMPGARKMPGMPGLGSDDWEVPRSRSKPRADPVRNLTPSLVNKPSPNNSRLLPQGSAALISGKTSALVGSGGPLSHGLVVTPSQTTGPPKSLIPAPSVDPIVEQPAAALKPSSTELQKKTISLLKEYFHILLLDEAQQCIEELKSPDYYPEVVKEAINLALDKGTNSIDPLLRLLEHLYNKNVFKATDLETGCLLYSSLLDELAIDLPKAPVHFGEVIGRLVLSHCLSIEVVEDTLKKIEDSFFRAAMFEAMMKTIKANPSGQAILGLHVAKIDACSKLLSSQ >AMDW01024477.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024477.1:56:253:1 gene:AMDW01024477.1_FG001 transcript:AMDW01024477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDSSNPQIALLPLHPDIRARFNESAAWDYAQSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANL >KN538846.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538846.1:92839:99325:-1 gene:KN538846.1_FG001 transcript:KN538846.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVLLHLFLCCATLRAAAALSFDYDFAAVGRDVAAANLVLMGNASYAGDRINLTRLGKWSTGRADGMAFYVGPPADTLAPDMTGGFLGLIPNTGEASPRTVGVEFDTCRNPWDPQDGVIDHIGVDVNQIVSQNFTALPTLTLAGVMRAEIRYDAAARKMVVNLTANGSNYGVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTADGSISSSDPPVVPESKKKRTKTYIIASTSSLLGISTLVIAVFLVYKKHTHLSPWQWRSTNAPRIESLLRTQIKSYTYSEVRKMTKSFAHTLGKGGYGTVYKGSLSDGSEIAVKMLEDTKDDAEDFINEVVSIGRTSHINVVTLLGLCLHRSKRALVYEYMPNGSLDKYAVGAIDTVQGEKSLSWEKLYEILVGIAQGLDYLHRWCNHRVVHLDIKPQNILLDQDFRPKISDFGLAKLCKPKESKISIGGARGTIGYMAPEVFWGHHGAVTTKSDVYSYGMLILQMVGARENTNASMQTVSKYFPEWLYDNLNQFCGAATEGIDSRNTCTSEVARKLVTIGFWCIQSTPEDRPSMSEVIDMFDRSMHELQLPPRMSCCGIDNPSIV >KN538846.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538846.1:145280:149964:1 gene:KN538846.1_FG002 transcript:KN538846.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHRSSQLHLLLLLLLFCCTTTTLRAAAFSFDYDFSADDAAKNLVFMGDAAHAGDRINLTNLGVWRAGRVAHRQLVRLWDDDVGGGGGRTTTTSFTTAFSFAIGRNSTNQPADGMAFFVGLPRDNLPPHSDGAFFGLLSNNYFGPYGSPRTVGVEFDTFSNPMWDPDGTVDHVGIDVNTVTSKNTTAMPTLSLLAGVMRAEVSVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTADGSVSSTGSPPVSESKKKRIKTYIIASTSSLLGISVLVLAVFLVYKKHKCFLPWQRSTTAPRLHSLLRSQLKSYTYSEVRKMTKSFTHTLGKGAYGTVYKGSLSDGSTIAVKMLEDSNNDGEDFINEVSSIGRTSHINVVTLLGLCQHGSKRALIYEYMPNGSLDKFAVGGNDTMQQEKFLISWEKLYDILVGVAQGLDYLHHWCNHRVVHLDIKPQNILLDQDFCPKISDFGLAKLCKPKESKISIGCARGTIGYMAPEVFWGHRGAVATKSDVYSYGMLILHMVGGRENINASTESGSKYFPEWLYDNLNQFCGVPSGGIDGSNSTSEVAHKLVIIGFWCIQSAPTDRPSMSDVIDMFDRSLTELQLPPRISCCGNYNESIG >KN538846.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538846.1:40777:41007:-1 gene:KN538846.1_FG003 transcript:KN538846.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWERLPPAAVIRGAAARPTVQMIVQGDEEGGGDAADESADEVAFDGDGPEIVLSGKDDSDDRSFRFQNIGLPDSWL >KN538846.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538846.1:111710:111886:1 gene:KN538846.1_FG004 transcript:KN538846.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDQDKIVDVPDGDDDYQADIQEFNNSREAGNQMRDNIARQMWDQYVSRRTFKIGTQ >KN538846.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538846.1:126878:128655:1 gene:KN538846.1_FG005 transcript:KN538846.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGAGAGGVPTKLEHDDAAAVAAEREPCGGGTPRREEKERWRRVLVVGCLVALLLFAFFVLGRESASEVLQIASSKLSAMNGGRYQAAHYYKHFPYAPSPHLLDKLRAYEARHRRCAPGTPLYNRSVEQLRSGRSAGGVECNYVVWLPFDGLGNRMLSMVSGFLYALLTDRVLLVDLPQDSSDLFCEPFPGATWLLPPDFPVANLFGLGPRPEQSYTNLLNKKKITAVVIDDDDPASKNATAAPPPPPPPPPPAYVYLSLGYQMADKLFFCGDDQRALAKVNWLLLYSDLYFVPSLYSVAEFNGELRRLFPAKESACHLLARYLLHPTNAVWGMVTRYYNSYLAQASRRIGVQIRMFNFASVPVDDLYNQILACSRQEHVLPETTTDNDNDDDFATAYDSNGNYTAILIASLYPDYYERIRATYYEHAARGRVRVGVFQPTHEERQATQRLFHNQKALAEIHLLGFSDELVTSGMSTFGYVGSSLAGVRPTILMPAHGHRVPAPPCRRAVSMEPCNLTPPRVGEAECREMMAAVVDKDDVARHVKVCEDFDRGVKFFD >KN538846.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538846.1:25015:39058:1 gene:KN538846.1_FG006 transcript:KN538846.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEDSYLALRELVEKSRVEAEGKNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEVLHTGSYRRVPKCVEEIGTQNTLFQDEQKPTLKKLSTLVRAKLLEISLPKEISEVSVTDGIANVQVDGEFKVLITLGYRGHFSLWRILHMELLVGEKAGPIKLEETRRYALGDDIERRMAVADNSFMILYTILHELCISLVMDTVIRQTNVLRQGRWKDAIKSELISDIGTGQGGSNAVMQLGQDGELDSSGSRIPGLKINYWLEEKNNASAESDSSPYIKIEAGQDMQIKCQHSSFVLDPFTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELMKNVQMSQSPTEVILKREVHGEGFQKRVHRRDSNDCCTNEMLQVRAYGQSYIDLGINIRRQPTSVAVWEVDAGTGDDQQAGGVLKVEHGSTGHLLPQDLLRLSSHNLPMRAVEGYNGKLLTVIEMEDHQQWCVGNAITVTVKYLNNVSWNGRFLLQSLGNILPPSAVLDSEEALNKGSTTATDVFGHCGSEFCANRLFGSGCDFLVYSQSQVTLKIPKSILCVSEFMVMGFPQCANAYYLLMQLDKSFKPVFCLLEIQSNEGDNNNADATTDAKEAIRFIRIDINKLKIDEDVQIGNFFDKDKLLALQNVEDRPQRQNGADEPLPARPSFFSVVDEVFRCDRGSPITESQRLPPNSLPSSHSSYQVGLHGFSGGAGSPDQDYGSLQSNINAAKVTSGTGLNNYLLSNSKNAQSTSAFSGSVPAGLGNVSSSRSEGAYKKRSLTEFLQNIPSSKQSIIGDGPSKRRKLSEFMLDGLPLKANSPNMQPGTSLTYGKLLEEVNNCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSLNIPHVEEVGMPTSSSNLWLRLPFAHDASWKHICLHLGKAGSMSWDVRINDPHYGALWKLHGGSTTTEWGSGVRVANTSEVDSHISFDDDGVLLTYNTVEADSIQRLVSDLQRLSHARAFSCGMRRLIGVKLDGKLAEHQTDAETKLHSRRKASRDRLSEQMRKTFRIEAVGLMSLWFSYGAVPMVYFVVEWETGKSGCTMRVSPDQLWPHTKFLEDFVNGDEIASFLDCIRLTAGPLLALGGAIRPAKMPVTVPAGYSALPKQNNILTTAGSANSLSSSTVHNMSVPQGAAVAHSNSQLQTSSMLSVAGRTAPGLVPSSLLPFDVSVVLRGPYWIRIIYRNKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNLLNARHTGPPLNASANTVSGNQQLASAPNRFGGAPGVARPTSTVANQVASSLSRAGNAMMPSGFASGIAGAPAHLSPGNVPAHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSVLKDNEGALLNLDHEQPALRFFVGRRVASEPYDASRVASFITLLTLPISVLREFIKLIAWKKSLPQAHADNATTHRARIELCLEKHPRLVSDDYTASSSSSKSNIHHDRANNSVDFALTFVLDQALVPHMSISGGAAWLPYCVSVRVRYTFGEDSHIAFLAMDGSHSGRACWLQHEDWERCKQRVSRAVETMNGSAAVGDMSQGRLRMVAEMVQKQLQLSLLQLRDSPVSTGSAAS >KN538846.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538846.1:156548:157165:1 gene:KN538846.1_FG007 transcript:KN538846.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRVAISLLLVAAMASSFSFSPCTAQSSSSSSCASYTFSSNQQYGSCAALPRLGATLHYNYTAAASTVAVAFRAPQPAAGKGGVAWGINPSGSGMVGTQAVVAFRHSNGSLVAYPTVLGSYAPSMAPAAAKDLALPVSGVSAEENGKAKEVVVYATVALPAGKGTKFNHVWQQGSSVAGDVPAAHPTSGDNVLSVGSIDFSK >KN538846.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538846.1:112219:113814:-1 gene:KN538846.1_FG008 transcript:KN538846.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFGSEELLPVGGGDEQQQVMSNRRNYEQQGHRSQSQGVSNVEADISTSQPSQQTGTIRACSRAKWSHQMKLFLIQQLKDHDVPGFRTHNAWSKDAWTIKQKEQDLKKDYRSVKDLVTESSFGWDNERMMVDAPDSVWDTFAARKKSKDALQWRDKSFPYYDELAALYDGRYAEGRTRRGMDYYASKAHNAFISVDEKVDSYQTASPSLQGPGESGLCFSVEEEVEDKKLDSAQQPSTPVQHIKSTPMPTQSFHEKPSNKRPKKQKITTEPVDGFHEKYLQLKKEEIDRFAAIEEKKLEDPYSINKCITALEGLDGLQTSDILMASDIFQSKDNREIFLSYSSDALRLAWITREIARTNPNYQL >KN538846.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538846.1:42119:42898:-1 gene:KN538846.1_FG009 transcript:KN538846.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLQVAGASGFLSLLLLPRATDETQTKFHSLEDTLARAESWLMSSQMSGVPIVPMNVQTEALLTKICGDVASSTVNMNSLGDLANMATVSLYGFEDYHGVDIGVVRAIRIWYAPFAGEMALEIKLQPGDTRLGFAISRTEEVANPSENTQFSYMLQ >KN538846.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538846.1:12905:19382:-1 gene:KN538846.1_FG010 transcript:KN538846.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPSSASTHPGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQLWIMVLFLIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTTLNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVRESLESTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAASSAERISEQIQQLMEIDSLEEANFREVFLYPYIHTLITVCFNPNIEKIFRGTKMIDVSINLLLSTVH >KN538846.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538846.1:131145:141426:-1 gene:KN538846.1_FG011 transcript:KN538846.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYGKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDASETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQSPDGKYQLFEGCQLQNIVILVRSMKRARDIRDQLEGLLERVEIEISSNVSDLDAIKKAITSGFFHHSSRLQKNGSYRTVKNPQTVLPRWVIYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >KN538846.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538846.1:46286:46522:1 gene:KN538846.1_FG012 transcript:KN538846.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGGLAAVLACSVDGNNSPFLRARTPMIGNGGADRPYLMVREPHNWPCWGWDGAEMRLWCKPKNNATVRLSGRWEF >KN544758.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544758.1:295:2319:1 gene:KN544758.1_FG001 transcript:KN544758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRNLNAKAFLELILTQCTINLSTGLMSKLVSYIGGSIGYVICVLKGKRIVINSVKLFSCYTDPDLVLLGLIETANWNLRRISRLDKNFVDSMCTSSEQYDTIVCLSVTKWIHLNWGDDGIITLFVKIWRLLRSGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEVVTDKLVGAVTGFDRPIEVYHK >AMDW01040506.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040506.1:552:1619:-1 gene:AMDW01040506.1_FG001 transcript:AMDW01040506.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKMMTVTMSDCAQSMISEWESELGTKGDIVEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLSIQIPGSSYLPTKKNLKTWSVDKKVRSMLTDIIKSRLNNKDVAGYGNDLLGLMLEACAPEHGESQPQLSMDEIIAECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQEKLREEVATECDGKVPTGDMLNKLKLVNMFLLETLRLYGPVAFIQRRVNAELELGGITVPKGIVLSIPIATIHRDKEVWGEDADIFKPERFENGVSKAGKYPNALLSFSSGPRACIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKV >KN540789.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540789.1:6922:11373:-1 gene:KN540789.1_FG001 transcript:KN540789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADLLILLASAAESVSGRPAAGCQTRCGDVSIPYPFGIGPNCSRGKGFEIACDTRTRNGNGELVPTLAAANGTIHVQSLLVAPIPEVKVMLPVAYQCYYSNNSITDSFYGEVDLNNKGVYRISDSHNMFVVIGCNTLSYTQNGNSGGKGPYAGLYYTGCVSYCNDSSSARDSMCAGVCCCHVDISPGLSDNVVSFGPWKRGFQVDFSPCDYSFLVDKNEYEFRSADLKMDLNRTMPVWLNWAIRDSVTCPPLEVQEKKPAGYACMSDNSECVNSTNGPGYYCKCKQGYDGNPYVDKDQGCKDINECDVSNKKKYPCYGVCNNIPGDYECHCRVGYQWSGEGPKKQECSSKFPLAARLSLGITLGFSFLIVAVLFTLMMHQKRKMNEYFKKNGGSVLQKVDNIKIFTKDELKKITKNNSEVLGQGSFGKVYKGTLEDNTPVAVKTSNEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAAKGNLQDILHGDANIPLPLGLRLDIAIESAEGLRYMHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLNVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIANEEDILILEEIGRLAMECLKQKVEERPDMKEVAERLVML >AMDW01038440.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038440.1:65:370:1 gene:AMDW01038440.1_FG001 transcript:AMDW01038440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRTPKKSAAEANQDGNISFGPVVQTSKNTVDLRGMRVAEASHELQMAIDGCRSYQVLFVVHGMGTGAVKECALGILRNHPRVAKFEDESPLNYGCTVAYIE >AMDW01036029.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036029.1:51:589:-1 gene:AMDW01036029.1_FG001 transcript:AMDW01036029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTVQEKASTANVESSDQPSDNQNNPLVVTQLKLANIEKRAPRVPRPPPAPSATATGAANTASALPPPPPRPPGAPPPPPPPGKPGGSPPPPPPPGSLPRNLAGGDKVHRAPEVVEFYQSLMKREAKKDTTSLGSTTSSVSDVRSNMIGEIENRSTFLLA >KN540513.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540513.1:8567:11322:-1 gene:KN540513.1_FG001 transcript:KN540513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABB1a [Source:Projected from Arabidopsis thaliana (AT4G17160) UniProtKB/Swiss-Prot;Acc:O23561] MSCTYAYRFKFVTIGDAGVGKSCLLLQFTDKRFREVEDLTIGVEYGACVVAVDGKNTKLQIWDTAGQEAFRCISRSYYRGNAACLLVYDITRRETFNHLASWLEDATQHASARMTIILIGNKCDLSHRRVVSYQEGEQFVKEHGLLFMEASAKTAHNVEKAFILAARTVHKKIEDGVINLSDENCGVKSGNAPVASRRDASFSSRDGRCCS >KN540513.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540513.1:32923:35673:-1 gene:KN540513.1_FG002 transcript:KN540513.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPGTGRASSLSSSLLLLLLLGAAMAAAPEAAAVMPMEAYFSPAELVRIAGYGEELVSTVIVSGKVVCELSLRPPGSDLLSIELPGFVGLDAEKCEILRMTHDEDERPEPEHFDM >KN540513.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540513.1:26704:30270:1 gene:KN540513.1_FG003 transcript:KN540513.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALATALDIAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILVARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSQRSASSQGSCIRSEGAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTSPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGVANRMRCRPDTHYLLRAPVLICNRLQTSAVGTSAACYMCSMLIISSHGERLWTFYYLALPQAPDKSISRITPVV >AMDW01018712.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018712.1:49:154:1 gene:AMDW01018712.1_FG001 transcript:AMDW01018712.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQHLIEKCICYNMNKEECMETLEKHANIKPVVTST >KN542436.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542436.1:848:2721:1 gene:KN542436.1_FG001 transcript:KN542436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGYLLSNLTFIKTVASGIMVPKEYIWPVTTNNYIQPAKSIVRDAHSAGLEIYASDFANDRIIPYNYSYDPLEEYLHFVASDNFSVDGVLSEFPLTAAAAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTKDGVPVCMSSINLFETTNVQRTSFSNRASIIPYIQPTPGIFTFNLTWADISSSDLRR >KN542436.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542436.1:14328:15573:1 gene:KN542436.1_FG002 transcript:KN542436.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLAWVFLWWVTGAVPLAVASMAPLFLFPALGISSSDDVARAYMGDVISLVLGSFILALAVDHHRIHRRLALNVLSLFCGDPLRPSLLLLGVTGTTALVSMWIHNTACTVMMMPVATGILQRFPRGDIDDGGGQEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSSYFPEQKPITFSSWMSFGLPMAIILFLALWLTLCLMYCSNNTAKALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFG >KN542436.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542436.1:5501:6817:1 gene:KN542436.1_FG003 transcript:KN542436.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSPDPEPEPEKEITTTAAATIIKEEEEVVVDDEPKKGDAAAAPPVSTDVDSAATAEAATEEDKFVAVVKEAIKKQRDDAADGDELARRFKGSRGRSYNWFNLHSN >KN544777.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544777.1:2635:2727:-1 gene:KN544777.1_FG001 transcript:KN544777.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LPIDEFSRKKMDATAQELSEEKTLAYSCLN >AMDW01013662.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013662.1:12:239:-1 gene:AMDW01013662.1_FG001 transcript:AMDW01013662.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPESARLFVGGVSPDMGDTELRDHFGRYGDVADIWLRRDRLTGLPRRFAFVQFMHPANAARALADHNHVVNGQK >KN544445.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544445.1:1528:3002:1 gene:KN544445.1_FG001 transcript:KN544445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWELGLESTISIATLVLTEVHRMRDKKKKKVPADDDTLEEDVAFIKKDFQLMESFLADAAEKRRQTATTTSRPSRSLSTWLRHLRGLSQDVEDCLQEFCLHLERPPRAKSKLLLPLDTITKQIRRLRNEIEHVNKSSAIYCNAVNFGPDAAQPMFPYRKSYFVGVRMPLPRAERER >AMDW01032080.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032080.1:29:298:-1 gene:AMDW01032080.1_FG001 transcript:AMDW01032080.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLFYEQACSPQRLAAAPKPPSPEEASWRAVASVLREGAKVRAGGGGTRAKLAVAEELMLLLLRFDAVRRVHAYRATGGQRLDLGSGGGVG >KN541322.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541322.1:14011:18322:-1 gene:KN541322.1_FG001 transcript:KN541322.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLVLSLAAVHTDAAAFPSPADSIVRQLSSVVKWPRVPSSSSSSSSHGHKQPSHPQYDGGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDTGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDATLLSSDIILVIGAVVAGYIFSVLQHGFGSSTAEKIEAPEDEHQESSTVGKPPLVVESLKEEPSAGWPSLGTLIGDLLKLVIEGVGNQLLRLVPSRLQHGKRKTDLTPLKDRLVMPEDTEDTPVAQKLSSTPMRPETLHGPNPVNETAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYAEFYGSSETPQVSAKVPKDRLRHRHREKSGEAVYGTSHPEPKPAEMKPADYSDPKYDPYNIRSKYGADSGYRY >KN541157.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541157.1:745:2983:1 gene:KN541157.1_FG001 transcript:KN541157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGPREACDVARLDEFYKRMEARLWCTRGSGVYSRRHRRHGFNRFVFLGASTLYLPVVSYLVSDIGGENCGLPKEVKECKDMSAFFLEAWAILVLIFGANSFVIAAADDHGGQNVHRPIVELLFTNHHFRIIFPLDRVFISMSCGFVLVRIVVKLYAFLKAQRSFAHGRNPRLIAGYMDQLKQDIMSSSSSSHHAQAVNVALPLLVMGEDEQQVEEGPHGYRFRDRKGNESLVTIGKVQIMSSMDGVLSSWPPLNDLCLSFSLFKLLRRRFARCVVVEEGSEIGPNMVCILIDSDPEPERIVSIVADELSFACDFYHSSLPVSCSAFWLFVLNILFSFAGTAYCLFIAIRTIQHVVSVAQVGPMSSDPFYHQITCVLICGKNDQTKQFGSILFFMVLSFLFVIVLIFDEVWEIVSYMCSNWTKVTLIYYYITKPTWQQSPRMRRLIRCILQFRCNLLGYWSDKMGQTSLMDTNMNIGPIVRIKQLLGLPVQTKQVKIPTEVKAAIINTLKSQNWRPTDCITSLQQSHIGKSFSWACKGDGTSDVILVWHIATCIFEIRHSTEPLAADSISNKITATYLSQYCAYLLSSASELLPDDKAWSKKSYESVKKIVDPIFSGRNDKPLEYEYILLLLVEKSRSDMILNKGLTLGKQLVEGIEDEEMGWTVLAGFWSEMILYIAPSDNIDAHRRAIARGGELITIIWVLLTHAGILSRASPSSGV >KN541322.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541322.1:27226:29110:1 gene:KN541322.1_FG002 transcript:KN541322.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRRIHSPSLSLSLSFSPLWGAHALWPCGARSTTRAAPWIQRRRPCPTSTQIPPPKTTTTTTSSISTASLCLSHPAYFPEPNAAADLDGGLDGDAEASFPGSNPEACGGELDGDLEEDHSLFMFLDPCEVRCRKRLRASEEDDVDVGDARGAPGRCYDDDVEESILMATEEYAGEDDAAHHAHDADSAAAAEEGGAGRGRPVLLDLFPRHFSGEADADDQSYTHDDDTPAAQEISGEQIAADDTYYDSYYYMDGEYGGAYDEEEHQQDDMAAADFDDGNQLSPEHQRVLDRLFGEADDRTTRQEEQEAAAMAARRAPEEMSTCHRRRTRTRPPPCSPATT >KN541322.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541322.1:21771:22618:1 gene:KN541322.1_FG003 transcript:KN541322.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLLALMAMAAAAAAFLQASAEEGAADQQRDAMASAAAAAAVPWRRIKRNVIKSNIFIRKSKTAKAVQLLRVKKIRFADELRIGKKKGKASIEPEVEEQRQVMSMQLHAGNLGTITMHDHR >KN541157.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541157.1:5258:7368:-1 gene:KN541157.1_FG002 transcript:KN541157.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRQGDVAGSVAEFDRAIELDQRQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVDEARKRFLEVGLDSRSVMQEAYALFKDGGDPEKLASNFSSGSEGEIFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSSIPTCSKVQIYFTNCAVFSHTELTG >KN543043.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543043.1:1881:2153:-1 gene:KN543043.1_FG001 transcript:KN543043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRGCTAAQLALAWIHHQGDDVCPIPGTTMIENFDQNVGALSVELTPDEMAELESYAAAADVHGDRYAQMANTWKDCETPPLSSWKEE >KN543043.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543043.1:5274:8375:-1 gene:KN543043.1_FG002 transcript:KN543043.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMDMSAFYGPPKPEPDMVALIHHAVAAGVTLLDTADIYGPHTNELLLGKALSGALKVLDGMLGLEKRQALQGGGVRDNVALATKFGKFLAGGKVGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTKHKRVSDEKLIMKGKVGGGLVKGLSKGWCQVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVDSLPDHDLQVADAAGYPPSVGYPVMALGRDKCKSGGQRLCWQI >AMDW01039720.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039720.1:314:923:-1 gene:AMDW01039720.1_FG001 transcript:AMDW01039720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKVIV >KN541080.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541080.1:7191:10090:1 gene:KN541080.1_FG001 transcript:KN541080.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEGSSSFRDLYRNLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGEGQVFRLLENKKREELTEQLLESQDKAQVRNRSKVHIFFWDPNALGERLYTIIKFGLVQYMILKSLCAFLSFILELFGKYGDGEFKCQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEVKVQNAIQDFLICIEMAIAAVAHAFVFNVEPYQHIPVVEHGEITCEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITEKNTVDGEPVAVDAEVEVERTVQDDNSKEGESLVVNREVAIERREKDNKR >KN541080.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541080.1:10400:17920:-1 gene:KN541080.1_FG002 transcript:KN541080.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGTILGAEVTVGKRSYKTHVIDVEDQGAVKIAKTESGGLLKRQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSNEIVLKGSSHPLKEISRQGEKLSFFHEAVVENWSNKDFTFAYSVYSGDLSGGMLVQPSTSDDYDDRDMFCIFLLPGNNQKRKVFRNASVFIIDTSGSMQGKPLESVKNAMYTALSELVQGDYFNIITFNDELHSFSSCLEQVNEKTIENAREWVNTNFIAEGGTDIMHPLSEAIALLSNSHNALPQIFLVTDGSVEDERNICRTVKEQLATRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYDAAFDTGSIEGRMVQWFQKASSTIVTNISIDATKYIREFEVDSEYIQDISAKCPLCVSGRYQGKLPETLTAKGYLADMSEISIELRVQHVKDISLDKVLAKQQMDLLTAKAWFYENNQLERKSGMRFTTVHCIMSYKVMLNLHYEVVKLSIQNSIPSEYTRTILLQNFVEKIEQGKQKPKKNITQNEQSATSLNGLTLGFGDAAATRENLTAGFGDTKPPESTCSKMNDRCAIVMVQLCGALSCLACFECCSLCCGGSD >KN543434.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543434.1:942:3819:-1 gene:KN543434.1_FG001 transcript:KN543434.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSYRQYQQCHISLSTVMEVQVENPAALASNPGKRIAACWEPTVIATIIIPSDQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAVGSKVIARETLLKLLFACTSLYSKGIHTHLEKHFSVHENSELPVILNRTILNFYWSKQNWLQITTIQPCLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKCPEKPNFMPFCCITDLQHSPMLADPAVAVGTF >KN542323.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542323.1:4901:5353:1 gene:KN542323.1_FG001 transcript:KN542323.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKTDVYSFGMVLFEIASRDHNYKESTSGAEYEYFPPWVYEKLYVECQMEDIMIMELCTNPDEANDNTANDSAAILERMLKTALWCTQVAVDERPSMSKLIEMLTGTVEITKSDPPPPLVDDLLDLYYPIPTFSVSSSNYEDNLSHGV >KN542323.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542323.1:13638:15819:1 gene:KN542323.1_FG002 transcript:KN542323.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKIDLPENILRDYIPDVDKVKWILDNNHNITYFTKYDIKRITKKYSTKLGNGAYGEVYKGVLGDNRLVAVKKFIRETKLDEFAKENFHAKISDFGISRILSTGHTELTANVRGCRGYMDPAFQITGCLTPKSDVFSFGVVLVELITKIKPTDMEKDVIRRFIQSSAKRKGAKKLFDVGIANESNMKILEGIGKIAKDCMKEDINKRPEMNDVAARLRELRRTLEQGREKTAWQFFSRGQNALKKENQPERSNSISNTVFYKRKNPGISNWTDKANNFKKNGGQILQDINNIKIYTEEEILNITQNFSTSLSRSSSSEVYLGGIDDNTRVAVKIFIGVSESREEFIEQLIIQSQVRHKNIIKLFGCCLEMDHPISVCEYVPNGPLSKYLVKGDRDKRARSLLGMKERHHIALGVADAIAYLHDEFQGKTFGSFTPWDIFLDDNFCSKLSKLTPKIENGRMTTETGNNIMYMAPETFTCPHGHGFISEATDIYSFGGLLLEIAFGIRDTMFWEELVGRKSFDCVNIAYRELVGVKSFNCINIVYHEVHINKRIVDCLDPRIINAKARRVAEWMVKTALWCMQFSEERRPSMQEAVEMLQGTVDINEPPHPLWCTDINIPIPSGVQFECSTFNKKEH >AMDW01019487.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019487.1:41:283:1 gene:AMDW01019487.1_FG001 transcript:AMDW01019487.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CEDMEMMEKRLNEMGIEYMKRTINEEEGSPIDQLFFKDPDGFMIEICTCDKLPVVPLDAAAAHSIFAGRSPPPVACKIRPV >AMDW01028354.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028354.1:7:347:-1 gene:AMDW01028354.1_FG001 transcript:AMDW01028354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILK >KN538993.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538993.1:116634:118268:-1 gene:KN538993.1_FG001 transcript:KN538993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVLVVAASVAVARSPISTPVPRVVRVVRVQVEDPRGVPQGEEAPPGDRAARPGGGGRGSGVEDPGGGEDDDEGASEELDPDPSRASIHWLSSPSGELPGTCSTKCTNEASVNWLPSPREERGVDLLGDEIFVPRCSLEDAFWQISPKSTGLLSSPVLNGLLDLGTPASELSETTPSSGFLPLQKTPSTGDSLSPFSLIVKRASQSLSSRGLKSLCSQQGPGSCSYSSAADPTAISGESWSSKCSGLTRTCSRPLTKMDPVVECLEMMTLSPRPGDADYCGNGALPAPLPDLSFQFAGAPMSLESIDLTSFKRSPCGIELKGEKSASFQKPVLAETRISWREGLVSRMFDMGDLDCCKWWSDDEDGPVFQGNEEALRDTELQSVIPSCLQDCGDQTAAACGFGSVEFGCSGGGGEMCNDNKPSPNPVSVAESMRAEGFELVSSDDSDWTLFYKNGLFES >KN538993.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538993.1:78939:83094:1 gene:KN538993.1_FG002 transcript:KN538993.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTYFTSSITDKNAKACAAAKKPRSVSSDFGEELDLEQLGAKQQQQQQQQERKLSRQDRVELSRSFQHAVSSHDWDGAEALVRRADAQGLNDVLCVAVDAIWFLSDKEELAAIVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLDTMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPAATYAVADNIAKSADEAVDAKLRSFMLEHWSEAAFSEGFASAQEHFVNFMRIMEKGESPICLRDLPLELVIAMAYLPLYRECVESGGRLLPQKLRGQLVEAAGRLEGRQLDRASQGTELLAILEHHLPRFMIQT >KN538993.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538993.1:130718:140476:1 gene:KN538993.1_FG003 transcript:KN538993.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLFEAAKSGDCRLMKELVAAMDPSILLRTTPQGNTCLHISTINGHEEFCQEVLMLDNSLLTVANSHGETPLLTAVTNGRTALASVLLRRCCEAGLREAILKQDENGCNALHHAIRNGHRDLALELIAAEAGLSQGVNKYRESPMYIAVMRDFTDIFRKLLGIPGSAHVGCHGRNALHAAVRNGNPVIAKELVEKRPGLAREFDDEMDTPMHHAAMWGKTHVLGALLQYDWSLGYVLSNNKDSVPLLNSAAYRGYVSVARELVHHCPDAPYYDANGCTCLHQAAFKGHLEFVEFILESPYLRKLVNMRDNGGNTALHYAVQDCNPRIVAALLSHGDTDVTVLNYTGNEAVWQLGGAADYAKTLNWNEVSMLMLEADPQNATSIYNLHKDAKDKLNKSSMKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGNLGFPIMARKFVFQSFLIADTLAMCSSLVVAFICIIARWEDLQFLLHYRSFTKKLMWFAYMATTVAFATGLYTVLAPRLLWLAIGICLLSVLLPAITKVIGEWPVLKLRFRLGKTYNSDLLDMV >KN538993.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538993.1:168675:169816:-1 gene:KN538993.1_FG004 transcript:KN538993.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAHAHAAVAAHLLVSAFVVAATAAATYNVIDYGAVGDDGGVTDSAGAFEAAWAAAPNTDGIHIKQSTGVTVRNAVIGTGDDCVSMVEGSSDVLIEAVTCGPGHGISIGSLGDTPEQVAVRNITVKGAALAGTTNGLRIKTWAKANAGAVAGVSFSGVVMRNVSNPIIVDQNYCPGNASCPTEARSPETCDLVAPSPWMPTS >KN538993.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538993.1:51762:59846:1 gene:KN538993.1_FG005 transcript:KN538993.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTAHQAESEEAVVGFSFLNFFTSASNWSAGFPTQNSFASASVPPTNLSNQSSDGNSDDSKCCPGCINRNTIAKAAAAVGPAVVNISSTQETHGWVLEKSIGSGTIIDPDGTILTCAHVVLDFQSTKPILRGKVSVTLQDGREFEGTVLNADRHSDIAVVKIKSKTPLPSANLGSSSKLRPGDWVVALGCPLSLQNTVTAGIVRFGKIRTSTLITLKLLFDELDFLFEFGILKDEKGNSGGPLVNLDGEIIGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIISQLKERSSSFPDVKNGVLVPMVTPGSPAERAGFRPGDVVIEFDGKLVESIKEIIEIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >KN538993.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538993.1:156102:168004:-1 gene:KN538993.1_FG006 transcript:KN538993.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPDESAAAIEAHGWNSHPFSFPSQPPDIKNWFSSYEYESPEVPELVAGNCGNSGSETQDPLENIQVGVPVDSLLEPTTHDGDGDSALRGNQSGQQHEHEVSAIREFIPISKSKVERGTKRKQSLRSLFGDGFLDNIGETSETETQAVLSVQRNEAEPLPHRNDMGLSDDDDTQGGQEGAIECGCNGIDVCDTQEGSQVGQEKEQSKLLIDDGMSSCHADKITPKDGNEQSKLSVDFTRTCKDRTKRRFDGDGIQSSLPSIGCNGIIIPDTEENSPGEETCHGNPAMNDKEQEETVAADGFVAIKRKDKPEQTFNTNKIPKPPTRREKSATLQENRDIVEQKVVVQERTSRSPLADMTNVSEVAAAPTTTEIRGKWKCPRKGKPYVGPPLKQLRLGQWFGVFAQPVVQVHATIYVRQKTLYACFCGHCVILGPVFVKALLLIQSLEGCLVVHSVVSKLFTYGEHLGVEYYFSDINLATTEHLMRFITKDPEGYVPISVVAGFKKIKALIQSNAMLASALRTSSKLVVSNDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKLFSTVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTVEDAEKAIVELNDERNWRNGLRVRLLNTCMTKGGKGKKGGHDINDGHGVEDDVSTSNQSNEKHGEEALQTPDAQGEQLPDESAGDMGRGRGKGRGRGGRGRGRGYHYHNNNQQSYHNHQQHNHQNSNNRNAAHPVGTPPSNHPAKNEQQHLQAQPQPQPQPPAGANKQPPGPRMPDGTRGFVMGRGKPQTSTTTPSASSGSEP >KN541481.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541481.1:403:2929:1 gene:KN541481.1_FG001 transcript:KN541481.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LCPSLFNFLLSSSPVFLLTALLLGALLSYGEPAVPVVEENQQTLSLKSKISITDCSIDKVETVAVEEHLDNTTTSNEVYVREITSEGNIHDTQSEEKIGTYMTVDTVLNEEMHIKDITSDYDLQHREGKYITSMETDIITCVAPSSFANSSVTVENEEHVGENSKKNVELQELGSIYIESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTYLDDEDDDLEEEASTDENELEGKIDDFDNWKDVINLNYLDVDNNSKLDGMMDLQRAKNILKFELDKRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHVPDSAPSCLLPRKNLFDLAVDQNIAHDSQLQETWTPHSYFSARRHRKHGNFYVRHSTSLHRNSFKLEKDEISENDAHNSQSDGDAKQEGNNSKLFGSLEAHIGEEIKILSAAISGVDDSYQNADCSDDISSSPIQKSIQSTFKAKDPVHAGIEQLILCPPYWVNNSEPYIVEADSIGELNSLFKCRMEEVQVQSISKPSISQPLTIKLEDELIEPLSSDSGNGTHVIDGSSVEDLDQQFAQLSDEALASATSNPRCHNESIQEKASEELPAGNGYYSEIHHEESLLEVTLEPSVLTVKKSTTDSLPLHTDQPGCFSVVHVLEESSVKDITMELGEVHDQVETHGSSVPAIKHDDCSSSELHVLASGLIENEPCLVTQLDRESQMKTISDVSLYTPTTMEPEEDTSNNSLTTDGELGVVQASSVEEMNSVLEQVQEEVLRNKH >KN538993.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538993.1:1957:2820:1 gene:KN538993.1_FG007 transcript:KN538993.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKILRRCNAILATDVDRVVRPNVALLGECGLGVCDIVQMTQTAAWLLTFNPERLKIVVRRAEELGVPTSSWAFKDAVCTVARNNEGTIAARMEFLRGTLGCSMDKLRSAISRQPSILGFSEKTLRGKIEFLLTKVQFETEYILQRPVMLTLSLDKRLAPRHYVLQALVEKGLIKNDVDYYTRYIDRHEDALPGLTDAYAAVHAGKSPAQSLTQHLKTDRYRSHGNIYFSQISTSVNGRTTNDLIADNANAS >KN538993.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538993.1:106059:108524:1 gene:KN538993.1_FG008 transcript:KN538993.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTVGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >KN538993.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538993.1:109576:113701:-1 gene:KN538993.1_FG009 transcript:KN538993.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMASRLRFHQLRLIGVRPTCCSPSRDFAAVRTQKLQLAKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVDGRVVNKAGTQVSDKSIIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDEKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLNELPQLVDLVTLDLSFISILLVMPAVVKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNKEFLACFHRIPVQELQPEEAKST >KN541481.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541481.1:21799:24503:1 gene:KN541481.1_FG002 transcript:KN541481.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLSPEDSFRIADELKKPECSPRVLVRNPELLKCKVAALMAMEAEKGKEPVHREHDGEHNLLVAKFRMHLENRLLIAHALRGNTLAALSFLKKLHPSVHDALPMERTGDLTLRKEALMEIVTDLQEQYPYSTSQETDINELNQDLISILATQDWEDQLKVAGNIEFKCVQYKEIMEEASLLGATNSKMTADVFRRMASSLMSSVISKGFLGEDFYNFLLNHDSVTARILTKSFHERGDEYIVQLSELLEEPQVDYGKMLSVLKLFDQSATVIQVNPTCRTKQCVSAKKVVAVCKQFQEVCEKNDDMGSLQRLLPDIKKEFSYARKMFQMNTELRQLIGHYDSKAS >KN541481.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541481.1:12058:13970:-1 gene:KN541481.1_FG003 transcript:KN541481.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELELVEAEAEAEAEAEKNGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHRFYKVADTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHVNGLSLSLYSYTSRIPEKIRLFDGVISKPCDLNRVVAVVENTPLFLIFRAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKVSWSTLK >AMDW01037424.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037424.1:27:566:-1 gene:AMDW01037424.1_FG001 transcript:AMDW01037424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFEKATYLDEQKNLELIKEAKQILKKCDGLPLAIVVIGGFLANRPKTPEEWRKLNENINAELEMNPELGMIRTVLEKSYDGLPYHLKSCFLYLSIFPEDQIISRRRLVHRWAAEGYSTAAHGKSAIEIANGYFMELKNRSMILPFQQSAHSRKSIDSCKVHDLMRDIAISKSTEENLVFR >KN541481.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541481.1:6947:11422:1 gene:KN541481.1_FG004 transcript:KN541481.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHVYIYYYQLGAQSGPLLQSSPSKPGKPEQRLHLATQTKIEREGEAKKRSALSLACLQAEPSPLAIDREREPDLPAPWSVLAERELLNSYGDNTKRSAMTRKRKSARAKGDSNCAKRPRNTVTLYLDGTIEEYFQRYNLCHAYLKYWNLCNAAVRVRSGNRLVYTTPLNGTFYYVEKYKDDYIVFVIIARMAWLVGFCTSNGIFQMDLEDQLCLYMNVEECRMVGFAAGHGVISKGQAGRTLLSLYDIRQSFGSIVQYRGPDYPEPPEFRRSIGTFVIHLLEVKFREIYNRNCKAIKDPSCSRLGQDFVNQLIVNWSQLSEESMKGIVDPNYEVNSKGIEELSNLWDILDGIFYLHLDGFNKGHFLHVPLSPPPRSLTWSPIDPGEGDIDTPLQAEKVVSCSPANMERKPKQSGNVNHKKKRLAGGGAGGLPVVPEWFLDWEQQDNEQQCDTVEHQLQFLGLTVKKQSLHESPTTTTTRTRGKSVMSHRDATLFSSDVASLAAPNFLLDGVINFVMAHMTTELGDESLLLALLLASRRMVLFPVNNSERLDKADDGSHWSLLVLDNITGRFVHHDSMDGANLPAATRLADALRPLLPAPPQGPPISGPTPQQSNGYDCGVYLLAVALAICRWWKKRPRTEEAAPCWFESVMDEVTCGRFTRLCIKE >KN541136.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541136.1:6362:10562:1 gene:KN541136.1_FG001 transcript:KN541136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGGWVARLAALLVVGFVLGSVDASLGDVDPQYRTCVEECHTTGIIGENIISHCQSPGNDDASVGSSWYTQEPLYMQWKQLNCMNDCRYYCMMQREGERQSHGLNPVKYHGKWPFIRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGVTQHPSRFKLWVVVFGGALAMLLEVYDFPPYKGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >AMDW01036082.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036082.1:119:558:1 gene:AMDW01036082.1_FG001 transcript:AMDW01036082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDT >KN541136.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541136.1:29330:30774:1 gene:KN541136.1_FG002 transcript:KN541136.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKRYDSFKTWSGKLERQLTHLAGVGPEAPVDKERGDAIGSHCTSSLPKVDRFFAALEGPELDQLKSEEELVLPSDKTWPFLLRFPVSAFGMCLGVSSQAILWKTIATAGPTAFLHVTTKVNLVLWCVSVALMCAVSATYGAKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPASVAPTPLPHWLWYALMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFAVGMAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGLGSRLAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNALTRALCVALSAVATLVVTTLFATTMIHAFVLHKLFPNDIAIAITDGQSMIPIKELLEMHADAADADADDDDIESAAAKPTAARS >KN541715.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541715.1:345:3483:1 gene:KN541715.1_FG001 transcript:KN541715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSGNPLGGAIPTTLGKLRSLEYINVSLAGLESTIPDELSLCGNLTVIGLAGNKLTGKLPVALARLTRVREFNVSKNMLSGEVLPDFFTAWTNLEVFQADGNRFTGEIPTAIAMASRLEFLSLATNNLSGAIPPVIGTLANLKLLDLAENKLSGAIPRTIGNLTSLETLRLYTNKLTGRLPDELGNMAALQRLSLSIVSMANNRFSGELPRGVCASAPRLRWLGLDDNQFSGTVPACYRNLTNLVRLRMARNKLAGDVSEILASHPDLYYLDLSGNSFDGELPEHWAQFKSLTFLHLSGNKIAGAIPASYGAMSLQDLDLSSNRLAGEIPPELGSLPLTKLNLRRNALSGRVPATLGNAARMEMLDLSGNALGGGVPVEMTKLAEMWYLNLSSNNLSGEVPPLLGKMRSLTTLDLSGNPGLCGRDIAGLNSCSSNTTTGDGRAELAYMRVTTKCDVYSFGVVAMEMLMGKYPGGLISSLQHSPQSLSAEGHDGGGGGEEASASASRRLLLKDVVDQRLDAPAGKLAGQVVFAFVVALSCVRTSPDARPTMRAVAQELAARRRPILDRPFERIKIGDLTNSHR >KN541715.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541715.1:5913:23852:-1 gene:KN541715.1_FG002 transcript:KN541715.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQQLPASLRAALSYSLGVFALRRPLLHKVLDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRSSSGAESNDKAYDSVLRKRQKVLSVVFLVVLPYFKSKLQSIYNKEREARLQASLWGQGDVRFDEAGLVSDQGETSQAQVEATTGEVSNVARIKKNFAALIGVCYPWIHATNEGLSFAYQLLYLLDGTAFYSPALHALGLHVCRATGQELMESSSRISRIRNRELERLRGPPWLKTMQRVLLNCMYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSVSGFVFCYSCIFKSVSQSKPKPGAAWGTSLRKAYTFDTVEEFWGLYDQIFRPSKVTVNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDYNDKMVYSFHDDAKREKPSRGGRYNVVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDYNDKMVYSFHDDAKREKPSRGGRYNVYPPPPPRPPPTSLPRHLTMDTASATGGEHKGKEKTCRVCGEEVAAREDGKPFVACAECGFPVCRPCYEYERSEGTQCCPQCNTRYKRHKGCPRVEGDEDDGGDMDDFEEEFQIKSPTKQKPHEPVNFDVYSENGEQPAQKWRPGGPALSSFTGSVAGKDLEQEREMEGGMEWKDRIDKWKTKQEKRGKLNRDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLAPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGTPRRGLLGFYKKRSKKDKLGGGAASLAGGKKGYRKHQRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKPKGPVLKPCGVSC >KN538926.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538926.1:83649:84581:1 gene:KN538926.1_FG001 transcript:KN538926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVAVVVKRARDMNRATKDAFEAEMKRLGAMSHANLLPPLAYHYRRDEKLLVYEYIPKGSLLYVLHGDRGMDYAGLDWPTRLKVAVGVARGTAFLHGELAGHEVPHGNLKSANILLAPDFEPLLVDFGYSGLINHMQSPNSMIARRAPECAAGHPVGAKADVYCLGIVLLELLTGKFPSLYLQNAKGGTDLVMWATSAIADGYERDLFDKAITSAWKFALPDMARLMRVAVDCVETDADKRPDMKVAAAREEEVVAAAMATVRERHQAAGGESSRSSSHAQYVRDGSMQRITSVGERSSRRGSNDYSS >KN538926.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538926.1:159009:159476:1 gene:KN538926.1_FG002 transcript:KN538926.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALLVSASLLVLLLFQSGNVVGGPIRHLLALAVPAFVVGASAARVRGVAHLRRARNASGAAPDAGRTAMTTLTVSLAAVCILLGSCVHHLFTSFALKNPIIHAPTGVATAAVVGTTLLALFFHKARNAAAPLPATERAPMMISGGANPGGGCT >KN538926.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538926.1:66912:69096:-1 gene:KN538926.1_FG003 transcript:KN538926.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSAEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRSVSSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLAPKAEAFINYLVPSD >KN538926.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538926.1:6431:9077:-1 gene:KN538926.1_FG004 transcript:KN538926.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSVFGNDVDAYYDRLLAGESGIGPIDRFDASNFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLALGSKSMDKIEKTRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDQDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLADAGVAPEEVNYINAHATSTLAGDLAEVNAIRQVFKDPSEIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEPAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >KN538926.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538926.1:122460:126338:1 gene:KN538926.1_FG005 transcript:KN538926.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MAASAAAAAAVSQALRDRDVLDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSVSGSDLELLGFGGFWVREASLVICVTALGCDLELGSAKWGSEGSAVFISPPIEKYWGFTPGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGLALGNLLSSIVGCHSLQRMAVGISDRSIQSDVRADKKAFNRWGYYPLILIGSWAFATINRVHDFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPERFKRSLPTLTRFKSQQENELTSLIVDASNT >KN538926.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538926.1:180474:180812:1 gene:KN538926.1_FG006 transcript:KN538926.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLAMAIVLSLGCAMVGAPEALRLLLDLAGQSSPIAGVVVIVGVICAVTAATVLGAMLLVRFIRVAGNAPDPTTERFARVTLTVAVAVAFLVAACLLAVPTVPSATFARA >KN538926.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538926.1:1561:2911:-1 gene:KN538926.1_FG007 transcript:KN538926.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQISRNSDVEAKNFNLDKGIGEDDDGTARSSVELGSLKFTGDSDTEPSYVYITKDIDDEAMNTSQPQPLAASDSNAEEPEAPGPHQSEKETGDLEEAAMAASSEATAKESPATDQEDASPQSSDQSFYSNVDSSFSHRSELELAASATDSPLHGSPSSTEKLLEADAAMLRKKREEEEEEEDEAAAGEINGLLIPSTTTSSSSSVAVQSPMEAVAGLKSTSFVLLVLIVHVQRVLMLTIGNAVSRVNRY >KN538926.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538926.1:135864:136082:1 gene:KN538926.1_FG008 transcript:KN538926.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVDLALFLLCVAVGCAFTVAPITDALDEFAFTGNRAAAAALLRLALPAAYFVGIILVYHRAMSTRRRTL >KN538926.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538926.1:90962:96155:-1 gene:KN538926.1_FG009 transcript:KN538926.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MGVYARSGRFDDARQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQEAELMFKELVEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRQDDAERTFDRMVESGVKPDRLAYLVMLDVFARSDETRKLMVLYRAMIKDGYKPDDGLYQVLLATLAKGNEHDEIEGVIQDMEAVFEMNPLVISSILIKAECISQGASLLKRACLQGYEPDGKSLLSILDAYEKMGKHEKGLSLLEWIRQHVPNSHNLISECSIMLLCKNGKIVDAIQEYSRIQMLKRGSFGQDCDLYEYLITYLEEAELFPEACQVFCDMQFLGIVPSQKIYQSIIYTYCRLGFPETAFQLMDDAAQSDISLNILSCRVAMIEAYGKLKLWQQAENFVKGLKQESGVDRRIWNALIHAYAESGLYEHARAIFDIMIKKGPLPTVESVNGMMRALIVDGRLDELYVVVQELQDLDIKISKSTVLLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRIMISLLCHNKRFRDVELMIAEMEGAGFKPDLVVLNTLLLMYTGTGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLNEMGRRGLTPKLESYKILLAASGKAKLWEQTDLLFEEMRTKGYRLNRSIYHMMMKIYRNARNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVLDAYLRNHDYSLGITKLLEMKRDCVEPDHQVWTSFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEKLGTLEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPVLPKTNSMKLTEGYFMRAGLVPVFKDIHERLGEVWPKKFSRLTLLSEESRDEVIKADIKGRKEKLEKMKKQGLAIAKRSKRGPRRGKFVKQQSTQEVLK >KN538926.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538926.1:119349:119741:-1 gene:KN538926.1_FG010 transcript:KN538926.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVEVARRAMLFRMPRRRRAASASASASAATATAGRMRRKKVAVVRLGGGGGGGGGTKRRLFGALRRLRVRWLAAMYRRALRRLRVCYANAVRDLIDGAALAGALRAPVGIEYSHAAAFGPAATVGF >KN538926.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538926.1:49394:50401:1 gene:KN538926.1_FG011 transcript:KN538926.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRTDCQTNGTSPAPEKAARAFDAAFICLRGGEAIAGLNFPESPPAIVARTSDPREVLAYATSHANRLSSDATIAQEEAALVEEETAEQVSDVFMANAAPPPLPVQVAGGSFDWSQLPLYSPMTTPTAEHWEEDNVEASTSDNL >KN538926.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538926.1:19713:25529:1 gene:KN538926.1_FG012 transcript:KN538926.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQGSSGVSPAPGEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASQTVQSPYLNSNALAMQDVMGSSNEDPTKRLNTQAQNTVLPNLQHKLKEQTSGGQVASQAQMLNQIFPPSSSQLQQLGLPKSPTHRQGLTGLPIAEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDSIGQLKQSPSQQAPLNHMTGSLTPQQLVRSHSALAESGDPSSSTAPSASRISPINSLSRANQGSRNLTDMVATPQIDNLLQEIQSKPDNRIKNDIQSKETVPIHNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDNFLIAENVDALMPDALLSRGMASGKGMCTLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVTDAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPPQTQACSASDDANAWRG >KN538926.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538926.1:72630:74552:1 gene:KN538926.1_FG013 transcript:KN538926.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATFVFLLVSALQMLDQVLDLVKKRGSITDDQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQQQDIKGKQSLYNQYGRVMLFSKVLIYGLLILWFWSAPVTTVPKHLLQPFGRMFSWRGVDAATGRVVVGIIPWLLLTSRVSKLLCQKLAPIFLHP >KN538926.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538926.1:87511:88314:1 gene:KN538926.1_FG014 transcript:KN538926.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFVVLLSIGLSNALPRKYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQASGPGGYASGGGGGGGGGGGGGNGGSGYGSGSGSGYGQAGGYGPYGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGWHP >KN538926.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538926.1:116940:117732:-1 gene:KN538926.1_FG015 transcript:KN538926.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSESVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAIATKASLHFIDRTSLPSDIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLSDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGISLVPPITKRLACGDYGNGAMAEPSVIDSTVRLACKRQPLNTNSSHVIPAGRNLPSS >KN538926.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538926.1:147893:148956:1 gene:KN538926.1_FG016 transcript:KN538926.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MFAILVAVAFAQILAILSNSFAGAAAGYDDDGADHPVLRMAVSTLTVAIPATFYVGVMQLYSRFTPVEAPLRRLLVVLAPAMAWITLLLDMKPLWLSIESWISSLLSKNVHT >KN538926.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538926.1:97717:100679:-1 gene:KN538926.1_FG017 transcript:KN538926.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWMYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >KN538926.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538926.1:70057:72361:-1 gene:KN538926.1_FG018 transcript:KN538926.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRCHFHLQIAVIDDFGSGYCADYCDWRYIIMEFRNQYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALAPKAEAFINYLVPSD >KN538926.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538926.1:27916:31530:1 gene:KN538926.1_FG019 transcript:KN538926.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQSKPQGGEVVAVGEGRTIGDKKVEVSLQIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPRDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >KN538926.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538926.1:76222:79402:-1 gene:KN538926.1_FG020 transcript:KN538926.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFQYPYVLCGYFILVVWLHSYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFEVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKICCSLTHESQNIFRPMCQLPSKNHLNSDECGFVFSYSLIHTFRKQDATLENSRLVSCNRDLHTPQEQHRE >KN538926.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538926.1:139268:139705:1 gene:KN538926.1_FG021 transcript:KN538926.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAALWLLATSTNPVTPRQGATTAVVVELRVATAVVVVALWVSAAAVAFWVAAAANNHSTASGGAVCWWGRVGVLRVLEGKGSNDGVVFWRRRTRRRRAEGRGELASDVRQRGDKMRMRGVVDEEAVLCALDGEKIRKIEGTRR >KN538926.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538926.1:37774:40179:-1 gene:KN538926.1_FG022 transcript:KN538926.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNNDRHDMSSATTSRIGLRSQESGRGRSSTECEELLPAGARRRVGRLRWSRGLRQDLRRWLDVVPLPRQQKTGVGFQTKDRYKFGGCRCAPKWTRRRSAMIWTTSSSWATGRWYGHAGEDHVDYLTTTWAQCSILECLRNR >KN538721.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538721.1:333418:338670:1 gene:KN538721.1_FG094 transcript:KN538721.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) UniProtKB/Swiss-Prot;Acc:Q0WSF1] MALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVLEDANQNKEDSYIYIPPRKGKGAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKIMNRASDTLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIIKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAVDKGVEIAGRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFNSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQLMIFIQYPVSENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNNSPANTRKKSR >KN538721.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538721.1:314039:327004:1 gene:KN538721.1_FG097 transcript:KN538721.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLFRTKVIALPLPHRPLFPGFYMPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSDKSIDDLKGKELLQRLNEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLREVLKASSLWKDHVQTYTQHMGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQAEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPTQEAAIVTASEEPNGGDSANKLKDETMEDPATENAAMTSADTASKEASELDLLKRTVDHDVHPAETPKEAVLTDSALSTDKLCTPEGNKDMEGPKEESADKAVEKVVIDSSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILLDKEPENLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIVFPAANKRDFDELAPNVKEGLEVHFVDTYNEIFDIAFQILEVLSFLVGMTPPDCTVMLAVCRDKSLLYCRPGDTHWSRLPIENFADEFDGGILGYQGKFYATGIRFLVLVDASSTSSPPVVERSNIKLPMPCPKDSAYRGYLEASCGDIFLVRSYIFGTPDEIVNLEIYRWNPSQDGGWNTIHSIGDRTFFLGRNSSVVSSATRAGTEPNCIHILRSVCDGIGLYTISLDDMTIRVNVLEEFEDDDDDDDDEEQNAVFWAVPIWSINHRKTMIPLTPHRSISSRIQDSKVLPLLLTTRPGKGDSPEVFDLMSKKNYSINVSIPDPTSEDDKRASQSQILHFAKNGWVILSRGNHSFFLVNPFKNSPDHVIALPPMRSFDFKGISFSSVPESPDFVVVAIESIRGSTVVITMKTWRIGDEDWKEEIFENDIPFFMASHNPVFFEGEFYCLDVNGKLGAFDPDTMEWGIFGELDPVEDQLLSTDYGYSHLMEWKGELVAFFRQCGAPDGSMSFFKFDPTQMVWSPLDGFKDGIMFWDRTNVVARSPPPGEDHLCNKMFIPNFTESDGGREHAFYCLEEKQYVPCFYGLKEPKNAIWFQPNLDYLHQ >KN538721.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538721.1:340572:341735:1 gene:KN538721.1_FG099 transcript:KN538721.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAALALVSLLALGVVAAEARRLEKDGLGGGGGFGGGGGFGGGVGHGGGVGIGFGGGKGGGVGVGVGGGGGFGGGGGAGGGLGHGGGIGGGAGGGVGGGIGHGGGVGGGFGGGKGGGLGGGGGGGLGGGGGAGAGGGVGGGAGGGAGAGVGGGAGGGAGGGGGLGGGAGGGLGGGSGGGGGLGGGAGGGAGGGLGGGAGGGGGLGGGAGGGAGVGGGAGGGAGAGGGLGGGGGAGGGGGLGGGAGGGAGAGGGFGGGKGGGFAAVVPAVAAAQVQAVASEEARAEASVVASEEAKAEELAAVRAEDSVAAVALEQEAVSAEAREVVSVEASAAAMVQAEAAEEDLAEALEVASEVDSNASMR >KN538721.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538721.1:358774:361717:1 gene:KN538721.1_FG100 transcript:KN538721.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVWSDDTTSQLEATVQFRRLLSDEKNPTVIKIIRADVLPRFSDFLSRHEHPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLESANANIRHQAIWALGNIAADVPTCREIVLDHGAVTPLLAQFREGMKVPVLRTATWALSNLCFGKLPAEVQQVKPILDIISQLIHSVDEKILGDACWAFCYICDGVSDGIQHVLDAGACPQLVNLLMHASANILLPAITVLARISSGDDAQVQVLVENDILNYLAPLLARNYPKSIKKQACLIVSNISTGSKDNIQAVIDADVISPLIFLLKTSEKDIKEEAAWAISNAASGGSNDQIQYLVNRGCLEPLCNVLTYQDADLVYACLEGLQNILQAGAVGKQGQGSTVNPYAQFILECGGLDKLEDLQEVDNDAIYKLVMKLLEGYWDEEVSDDDPNLPTSNGSAETVETASEDAAQPTEPSASPNESE >KN538721.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538721.1:367542:367796:-1 gene:KN538721.1_FG101 transcript:KN538721.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHVVLPAAAASCHQMQNQFVCARAAETTTPTTTCCWSESESLPGLPGLYYDDSAAALPEFDVETMAMWAPEDDPWYTQMLGL >KN538721.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538721.1:306495:311861:-1 gene:KN538721.1_FG102 transcript:KN538721.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSPPSLLLLLLLLLPARGFFASCPSLAAGVMLMPNPSPSRPTARLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPENVLSGIRIDPGSNMRSRMSFYTGITVHDLMDNGILGPAKQVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNVSLWVANAESGEARTLCISEDIRLNAIFELFVWVNDSTLLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQIILLSLDGTVKPIAPPAIYIALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLYWVEAQDGGDANVEVSPRDIVYMELADPLNGEKPQVLLKLDLRYRRISWCNGSHALVYEHWYKTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQREDGVKLTATLYLPPGYDPSKHEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWFAILADPTIPIIGERDQEANDRYIEQLVASAEAAVNEIVRRGVVHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANRIKKPILLIHGEDDSKVTTAMQSSQFYDALKEQAGSLGLCSNFPSGS >KN538721.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538721.1:250583:251779:1 gene:KN538721.1_FG104 transcript:KN538721.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAYPRQVGASDCVYGAQGAFYKGLDFSKVLNCDRKPAVLDLPPSRRGDDDIGGIEHCCRNGTMLPKSVDAAQSKSAFQMEVYKMPPDLNRTKLYAPANFRVSGASPLNPEYACGQPVRVTPTELPDPSGLASTTLALATWQVVCNMTAAPPSRPPSCCVTFSAFYNESVIPCRTCACGCPASPAAACSTTAASMLLPPYALLMPFERRGREAVWWAGEKRLGVPRPMPCGDSCGVSINWHVATDYAGGWSARATLFNWEGADVAEWFLAVAMEKQAYDGFEQAFTFNATAMGNGTTMILMKGREGFQYLNRESNMSGVDYPVAGKQQSVLLFTKKRSGGGIDVVGGDGFPTRVLFNGHECAMPQRIPSSAHRRRLVLLMTTLLVFMSSILLVLSLL >KN538721.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538721.1:329018:331341:1 gene:KN538721.1_FG105 transcript:KN538721.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTTTVMPAEIGTSKPLLLVFNFTEDDDNETPTLMDPFSDHKDYHSLQMLELKGKQCLACLQGQWLLMLDAASSNRCFLVSPLDDMSTIVSLPPLDTPLEPLRRCALSSSPLSPDCTVVFSTFMDTYLAYTRPGEDDWWELDVDDGQLLLMGDIVSCQGKMYVRTDMSSITMLDVSSSYPPHIERRGIPEPSCIHSMANAMLVESQGEVFLLRHYGYGARDSELLDMDLHRLVHATDDGGDYVWRKVDTIGDRAIFVADNCVVMSDATKAGIRPDCVYLLHQRCRHGVRQSRCRHGVRLYTIRLDDRTTTFTLLPDLTSTDSIYWLLPAPISSVVVDDDTTQHYSGAIVHSSSKIDQENGDDISVVDIASEQASPPCWCDLPTDMVEEIVSKISPIDYFRLRQVCKGWSSIVKPIHYAQRYPTYPMLMSICSTSTGVYKLFDPIVEQEYTMNNNRAGLVQSQDHFQMLLFSSRHDGWVLATRGDKYMYATNPFTGDMFEFPEATFPAGNQFDGICLSAAPTSPDCIAFSVEKDRNPTGRNRSVYVTLWRAGDEQWTTQRIDDHTPFRTAYCNPVFYDGELYCLGTRGGLAVFNPNNTTWRVLDKPEAPVLVDGNNFGDDPMPGDMYCHLLEFMGDLIAVFRPHSDGAISVFRLNRCEMAWTKLERLDGAVLFVDNWNAIMMQAPRDVACNRIYLPKFGGCNDAGDANRSAFYDFEARKYYPSYHGVTDRMNSVWVYPNL >KN538721.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538721.1:352706:356505:-1 gene:KN538721.1_FG106 transcript:KN538721.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILLHLHPAFLAQMDSRRTTSLLQFLKDEGGIPSPEADKKREQVIRKLNKIVMDWAKVVAYEQRVPPRRATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFIVLRQILEDRPEVSELQTVESAKVPLMRFRFSGISVDFTYAQLPVIDASEASLFCNQYVVTRKFQILLRCIKLWAKRLGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINGLFTIFFDIFAHWNWQIPEPWRHDFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTIFEPSIVCHWGLIYKTSTHIDISSLGEDFMKDVINDVYGKVKCTHSKLTMSIVRSSQLPKSLYSHSVYTPYIPQYMLGYQTPTDYSGAAG >AMDW01021716.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021716.1:47:298:1 gene:AMDW01021716.1_FG001 transcript:AMDW01021716.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EMYRRFRQAAREKPDVHTRLMRRYDDIPNWWFYGMLALAMVAALLLCTVFKDEVQLPWWALLCAMAVAFFFTLPISVITATTNT >KN540465.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540465.1:12860:19179:1 gene:KN540465.1_FG001 transcript:KN540465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNMSYYAIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARMYRMLGLYLQSSLLMSAAVSVLVSALWCFTEPLLLLLRQDPAGLWMGLICGLTCQTCTLMVITARTKWSKIVDAMQEKKASYVA >KN540465.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540465.1:43458:46438:-1 gene:KN540465.1_FG002 transcript:KN540465.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGLNLAICDPLTHSSDPYVVLRHGSQKVKSSIRYHSINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFGILDFVEIAKQDHSHLGDGAVMKTIHPDKENCFAAESHITWKDGKVSQDIVLKLRNTETGEIILHLQWVSIPGMYKFWNTFSVCHLK >KN540465.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540465.1:38083:43059:1 gene:KN540465.1_FG003 transcript:KN540465.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSLGVLRLRLWLWLLAVSASTAVLAADPSKEPFTIRISCGSFDDIRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGPSWGKGTILRTAKRLTCGSGKPAFDEDLNGIHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEEERVFDVLINGDTAFKDIDIIRMAGERFTALVLNKTIVVTGTTLTIVLQPLKGTRATISAIEVFEIILAEKKTLTQEVSALRTVKGSLGLPLRLGWNGDPCVPQQHPWSGVECQFDDIKGHWVIDGLGLDNQGLRGFIPSDISKLQHLQSINLSGNSIKGNIPVTLGTISGLQVLDLSYNELNGSIPDSLGQLASLQILFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAAREAPYAKSRTQFTRDMQMAKHHRPHESSRSGNDESTPHLLPS >KN540465.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540465.1:26748:32935:1 gene:KN540465.1_FG004 transcript:KN540465.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLILVPCFLAVQTIRWKQITSVEFGKHAFFKRDENEISPYSWNITGTYKGSWNFAGSTNGSSRFLEFTKLKGDAVLELLSTPTKISGVHYVQGSVTFHDVLDNAHDRGVAQISGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVNMPVQFGPLAFHNLKDSSFEVVYIILQGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPSNFMRIEPDKTWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >KN540465.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540465.1:50830:51308:-1 gene:KN540465.1_FG005 transcript:KN540465.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGVASLTVGTTNLNASAEKPFHVSANLSDRSTYARSMRQEAQKVMDTAAPAPARPRPSALTRRCASATWSRNGAREQATSGATTDCVCRLHGVL >KN539732.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539732.1:75721:84140:-1 gene:KN539732.1_FG001 transcript:KN539732.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVTILVVAFVLSAGVHISAAAAAGQREEVHLVPAVYVFGDSTVDVGNNQYLPGNSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLMRGYRGANYASGGSGILDTTGTTVVTLTKQIEYFAATKSKMMANGGGGGNSSSSAIDDLLSKSLFLISDGGNDLFAFLRQSNRTASQVPSFYADLLSNYTRHVQALYNLGARRFGIIDVPPIGCVPSVWITSPSGATRCVDAANDLARGFNSGLRSAMSRLAGSGALPGMSSYNVVSYLMANPAAARFKVVNSACCGGGRLNAQVGCGAPNSTYCGNRNGYLFWDGVHGTQDTSRKGAAAIYSAPPQMGFASPINFKQLGVVDSAVSSDSAVKPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKEVEFIKRGGFNLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMENTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEDMSGRDIRDICQQAERHWASKLIRGQVPKNEKGEPSLPPVEEYVACSEQRRRSLPNRTRQESRFPALKLA >KN539732.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539732.1:46924:62242:-1 gene:KN539732.1_FG002 transcript:KN539732.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSLDCFCCDVKISHQSDQFAQTAAALATAAAVEASAPTADLASYIHKLQPRLLKLLRSNAFKAKPALISLIGVSASAAGAAEVTASVPCLRDTIASDDWAARKAAAEALAALALEHKDLLVSYKSSCITMFEARRFDKVKIVRDSMNRMIEAWKEIPDAEEDECSSGAPPASHSQRRSSLAGSASDGRYPVATSTRRNSLPASRSPPPDASPSVNKRHSSSSARNKKQSPPSYRKAGQAKNRDYKVDIAVTPDATPIKVVTEEKLLKGGNVRSRLEARRMLFQDGDDRATKVAGLKAGSRVVPYEEGGNMEEISEIGGGSERFQTGYKDEGLSEIRSQLLQIENQQSSLLDLIQKFMGKSENGMNSLETRVHGLEMALDEISRDLAASSGRTSNSEAHVNSCCILNPKFWRRHDASRYSSSFSVSDGRNSSEGSRTSYKWGRQKFGVQGGFVTNPLAEPNISSAARTATAQEGRRKDLTLPKSSFVALYNRDDVESIGLDDAARRLGVERRRIYDIVNVLESVGGFLFFLARFDSEICFRLVCEKILVRKAKNRYSWIGFGGVPMALRELKERALREKSGLAPLPVEEPSAAIMSDDEDEDKMGDADGDTESEKLSQPVDNPSDNKPSAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENTKVRRLYDIANVLSSLNFIDKIQQADSRKPAFRWLGSAGKPKAENGVTIAVPPPGKTISNKRAFGTELTNIDINISRLDSTIPKKAKLTQSGGEILKNCKLSVQKQLGQGSKGGFVYGPFHPAGARKQELDNDNKGHTDNVQNWESLAASFRPQYQNQALGDLFAHYVEAWKSWYSEFAQGSSMMQQHFGMPVINQFL >KN539732.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539732.1:26900:31567:-1 gene:KN539732.1_FG003 transcript:KN539732.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLALVVVMVVALVVVGDVGAAAALPRFAEAPEYRNGEGCPAAVAGAGIYPFRADAVTGLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTETFCFCTVRNCFCYLLVCCSQRPVLDLLIKEDKNRLAGLSKVTNSLRKEYRKEDAGVSSSTGYRAVLGSLQVFVAPNYIAVLKKKCSADWRDKASLKPFTKMQDKFGEFLLQKLSTRKR >KN539732.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539732.1:389:5710:-1 gene:KN539732.1_FG004 transcript:KN539732.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDELVQLAESMRQAASLLADDDPSDEASPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGKGRNDEIYLKLRTSTGLLLGFTFTLTLHNVAPPIKLVDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASADAKTVSCVQAILSNKGAPRAAAEIEWVALIGQSVSIASAQAGSVGSENSLETAWQAEAETLKSILTGAPRSKLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQNELARLGEQMVSSAEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVVEIATNALDVFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKNRSSKKAQDAEQSNKGSGTGSEQSGGALKSLKEKFSQQDKDKDAKEGPNLQVAGPGGEITAGIMML >KN539732.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539732.1:16966:21032:-1 gene:KN539732.1_FG005 transcript:KN539732.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAPSPLPLFLLLLLLLVGVAVPLAAAQGQNIQTRFPSTRTPAFATPPPITSPSPAPGTPPATPSSSSSGKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKRELTNAGGAGQHYGGAQGGALTGKRPEREPKRPARGNMNGAVGMKSLDEGIIEALFGTAAVNRKTKPADSKDASGGSSSAGLGRSNSPEQIFLLEPRKSHNISIILRSLTVGREEIIDALLNGHTELSTEVLEKLSRLSISKEEENTLLKFSGNPDRLAPAEFFLLRLLLDVPSPFARVNALLFKANYAAEVALLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRSEGKRLAINRNYSLRRSGSLAKSTDGGNPAASSTSQGPSREERQNEYLNLGLPIVGGLSTEFANVKKAALVDYDTVVNECAILGNRLAGTKKLLETYGDDGLARGLRGFVKAAEQELNELKGNQEKVLELVQRTTEYYHTGATKDKNAHPLQLFIIVRDFLGMVDQACVDIKRKLQQQKKPTPPPSSSQPAAPAATTKGAADDAAAPAQKPPEEVDSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >KN539732.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539732.1:67376:68462:1 gene:KN539732.1_FG006 transcript:KN539732.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPDIMYEADDEDSMASDSMDEASEEELVVSDMHVVADDVVAGEEVHVVADSMDDVAGEEVVVVADSMHNGHDKKQRGRVATKVDCAQKYYRDTLEKRSVDIELHGIHRTVLMDEWI >KN539732.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539732.1:9331:16675:1 gene:KN539732.1_FG007 transcript:KN539732.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MANLNFTIFLYCHKKQKTGDSAINKDAQILIMTTEILRNMLYQSVGMAASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTSVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDIKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKYKDKDSVQHTIPAVFIGSLSSFADQKIVSLILLQVENDSPVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGGPLPRETLKQLLLREDMMWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECHKQQRKKVSQLKKTIRSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSSYHRSLHIQIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >KN539732.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539732.1:41498:44845:-1 gene:KN539732.1_FG008 transcript:KN539732.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILLRRVPAARAQATALIAARSISDSTAPLTIETSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATAAELKDMEKEIRKEVDDAIAKAKESPMPDTSELFTNVYVKGFGVESFGADRKELRATLP >AMDW01009860.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009860.1:57:227:-1 gene:AMDW01009860.1_FG001 transcript:AMDW01009860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDAGLGAPNSIAVVFARDMAARYSSGGVLAREHGVPFETKAQGMVAAGAPPAPQ >AMDW01074352.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01074352.1:126:308:1 gene:AMDW01074352.1_FG001 transcript:AMDW01074352.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HEIAGVVTEAGKNVTKFKGGDKVGVGCMVNSCHSCDSCNQGFENHCPGVIFTYNSVDKDGT >AMDW01016741.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016741.1:14:257:-1 gene:AMDW01016741.1_FG001 transcript:AMDW01016741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSCTSSLGSLDDDDVVAACVVKAADAAVEGACVKFLCSYGGRIVPRHADGALRYVGGDNRVVSVDRSLPFH >KN543210.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543210.1:3439:4797:-1 gene:KN543210.1_FG001 transcript:KN543210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDPSGLELLSMISLGWKYHLMLLKFKPIMRTQVCSLVIRELDYHKLELMLVHYNVRINIQHEENAQPDENIQPENIVEECA >KN539052.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539052.1:38052:40368:-1 gene:KN539052.1_FG001 transcript:KN539052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTMSKHFKYLKGIILNQLRNTGKGATKDGLGKEDTANFGLMGGGAGLLRGNNVNSFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQLQKNPSLDKNQLSMQHTQHSSDSSGKPCDPSNSLQGQSSSMTRNHSVSASRHIEDGLSQMPHDISGQVSFAYNGLAAHHSIAMAHHHQPDLIGTGGAANAGGVSLTLGLHQNNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYVMSSFGGQDRHFTKEIGGHLLHDFVG >KN539052.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539052.1:116683:119638:1 gene:KN539052.1_FG002 transcript:KN539052.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLGFFSERLFKVAGEGDGGEGADDSPPAPDGRVPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGMDCCDGIADLEKLCRTTRFSVCFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGRALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKTKLNSSWSNDLQDMQQFSEDSDYMGHYVVICGYDADACEFEIRDPASSRQRERVSMKSLDQARKSFGTDEDIILVSLTGKIGMKLSRKLLVGSL >KN539052.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539052.1:11252:14468:-1 gene:KN539052.1_FG003 transcript:KN539052.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGIGFIWKPVAILAAFMTGISIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIAIFATLLHASFRTPNLKARLNTFREEFRAVWRNYSSCGKKCLLLKLRAVCELCLWEIPRYEQW >KN539052.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539052.1:74765:75564:1 gene:KN539052.1_FG004 transcript:KN539052.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKQNSKGQNGGGFADGKSHSPTKARGAYKDGKQSYPNESSESPYFGSSVYYGAREFYGNTPPKQGDASPGNQKEQEQNPDGSLATRGDWWQGSLYY >KN539052.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539052.1:101750:102812:-1 gene:KN539052.1_FG005 transcript:KN539052.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDTEQFISQSLCENQRTYAVSAVKFWISDMELVRKHNQADSKQEW >KN539052.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539052.1:6841:10503:1 gene:KN539052.1_FG006 transcript:KN539052.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MTLPLLVLVNSFVHVRANLKVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPIMVVPILRAGLAMAENASSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLVERGVTSKHIKVVSAVAAPPALQKLSNKFPGLHVYTGTIDSEVDERGFIVPGLGDAGDRSFAT >KN539052.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539052.1:140513:141936:1 gene:KN539052.1_FG007 transcript:KN539052.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAARSDSSDEEDCLAGLSRRLAGLLGDDGERDAPPKAEVTVGSPQSTLCGLPKSGQESPNGGASQVSSPPSSPLEQKPADPWDMLYEAAGQVARMRVTNSIPVPNNPYGFPAHGGFSAPARKASPPPPVAPPATKVAPAAYYHPLAQLLTQRQIQAAQFHLLKQQQLLKLQRDRHLAAAAAWGARQTAAAKTAGCGVAASPVDMNPAAWPPLQKQQQAPAPGVGGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHGNHHHMPQNPPQRKWRAKAYVELYFFMQTL >KN539052.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539052.1:87339:91482:-1 gene:KN539052.1_FG008 transcript:KN539052.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLCVSNFIRQCNVQIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVDQGICPPDRDGSAPFMLTFHRRDEKWWLPVPRVPPGGVGETTRRQLEHKRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDIIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASIYVWRRRGTSGAASRAGNKSSWGIVKDMIMDTEKRDDLLADRAEGLLMCLKQRFPGLTQTSLDTSKIQYNKVGCGEIDSGELLEGSGEPGVEHHRADRRPAVRDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLVSMDGADFDAIHSCRRGRLTPLAV >KN539052.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539052.1:17684:23623:1 gene:KN539052.1_FG009 transcript:KN539052.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPSRSFVRDVKRVIIKIIRSGALLGLFCGLLDVTAYVEVANLSTLVGTAVVSRQDGRLALGRVGALCEQVPNQRKVAMQVKELNSLGYEVILVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENPKFREQLTETVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHQQEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENRSIIKVLHGEKIGTLFHKNANLWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQVAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGEKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKHIVMDAKIDYPAACNAMETLLVHKDLMKSPGLDDILVALKTEGVNIYGGPIAHKALGFPKAVSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDDKVAETFLRRVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGRGQVVNGDKDVVYTHKSLPLQ >KN539052.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539052.1:1967:5038:-1 gene:KN539052.1_FG010 transcript:KN539052.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAALTPEERKPSPVAEVGTSRLQQFPPALGATGGVGGGGGGYQPPGTPADGDVETQTNWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMKRKTDLNIWSFDVGYFNWAASVMYGYAAAVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASVLLLIPVEFLRWVIIILVGGASSWFISLNLKECTEGADMMVLIASAAVLQFTLALFIKVFFFA >KN539052.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539052.1:106282:108474:-1 gene:KN539052.1_FG011 transcript:KN539052.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRADDERRIGVAMDYSASSKRALDWAIANLLRRGDHLVVLHVLHHGGEEAKHALWGKSGSLDSIPPALIPLSEFRDPTAMQQYGVHCDAEVLDMLDTAARQLELTVVAKLYWGDAREKLCDAVEEQKIDTLVMGSRGLGSIQRILLGSVTNYVLSNASCPVTVVKGK >KN539052.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539052.1:24777:26714:-1 gene:KN539052.1_FG012 transcript:KN539052.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLVEEEIQGSDFIYMVDDPAAVSSVLLGFDVPRKEDGSGGQDNSASKKRFNELSSILEPGKPPRADKVSILSDAARLLSQLRAEAQKLKSSNESLQDSIKSLKRTVHVDDSRVVSDVNP >KN538856.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538856.1:84292:96315:1 gene:KN538856.1_FG023 transcript:KN538856.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEAGGELAMEIESTVTAEDWRRALALVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKETSLQLVGSETVDMCQSMVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNDEVVTQFLAMETLLDDSVGKEIDLQIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIENLGDLIACISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHEWYAPPQLYTRNDATGLWTAKSAIPPESPFIASAHHAGSIDANSNSVSSLPESSPMDLKCQHESENLTDGCIKTQTDDEIIVDGSHSSEDSLVEKKRRRVDEEIAAEGTISSSGDLDEIKGGGLRHLSSVDGSDLARTISKPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSAFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTPGPFRIINGVRRPIPFIRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSVDSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMWIVEVNGKPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRGCSLGSLSMEVRKWGMRAQLPML >KN538856.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538856.1:54390:54838:-1 gene:KN538856.1_FG024 transcript:KN538856.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCASSPESYVRIGRRWRRRRPPARGFRLCPRNRFSVRRLRAKLLTFLGLVGRYVRQLLAAIADCLEFIKRNSVPVEDYASSSGRRSSVDLTTI >KN538856.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538856.1:4402:7651:1 gene:KN538856.1_FG025 transcript:KN538856.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MPASCESAAELINSGNSNSEINHGRRILRFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLDLVGFMPLPKIKVLASSAVRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFTPIMFWGKFGTPIPFATPMHVVVGRPIEVKKNAQPTFDEINEVHEQFVVALQELFEKYKTKAGYPSLHLRVL >KN538856.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538856.1:831:2877:1 gene:KN538856.1_FG027 transcript:KN538856.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding YYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSMENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRMVLGHVCYHILAAGLNGYMATVTNLKSPSNKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFELLRNNSTSFLIDDIYRNPGPLQFEGAGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCTQDVLKAALSAMSSVTETLAIMTSSSTGQGTPL >KN538856.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538856.1:102875:103384:1 gene:KN538856.1_FG030 transcript:KN538856.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFCTNANTSTPLSSGLTNFWLLRARANYPKRTTETLNGSTQLEILKNNTVIDRCLVPIKTSKVSLHFLNIPTSSATATCEHIPLPVCSHYCSKCPRNYRSEDMIHEQTDEHGVSAKRGDLFTLVLPFPEGATVQVRECRQDERRHAAVASGGAGESKLIEGHGAVNL >KN538856.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538856.1:50865:51797:-1 gene:KN538856.1_FG031 transcript:KN538856.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGAPLRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGGELMDRCRAQSLRFGTSIISETVTAVDFSARPFRVASDSTTVLADAVVVATGAVARRLHFAGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEESNFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIQVFWDSEVVEAYGGEGGGPLAGVKVKNLVTGKISDLQVSGLFFAIGHEPATKFLGGQLELDADGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGL >KN538856.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538856.1:11099:12452:-1 gene:KN538856.1_FG032 transcript:KN538856.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQGSGSAAGVGVGVGVGVELQLGAAPAGVAVRGHHLHQVVGLYKCRVDLVQVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSACRTSILLLDGPFVIFK >KN538856.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538856.1:69949:81409:1 gene:KN538856.1_FG033 transcript:KN538856.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKLMWCVAVFLLLPSATAQATTFTSNVDGKEFTTFSFPKFDKPLLQLPDNLTFSGNATIAQDGLQLTPDSGNRPEIFLVNQAGHAFFTAPFVVWESKSSSSSSNSTAAAAADGKHVASFSTVFKVNLFRSNLNKTVKGEGLAFVVASSNARGPPVGSHGGFLGLTNASTDGNATNGFVAVELDTVKQRYDIDDNHVGLDVNGVRSTAAAPLAPLGIQLAPRNTTVDDGICFVWVDYNGTSRRMSVYIAKNESKPSAAVLNASLDLSTILLGKTAYFGFSASTGAATYQLNCVRMWNMTVERLHDGTTTATTKLAGSSGWKLAVGVLCGVAVVLGLFVALYIRKRRRRSGGDPGSAFNAAIDFRKIPGLPKEFDYMELRRGTNNFDEKMKLGQGGYGVVYRATVVGEDGRSTDVAVKQFSGANTKGKEDFLAELRIINCLRHRNLVKIVGWCRQNGRLLLVYDYMPNGSLDRHIFGEPGAAVLDWKQRYNVVAGVASALNYLHHEYDQMAGDLPKDILSTSGSPGFKKLGQVAICRCVRLSFTRESSQFMLKGLSMEESVLLVGYGLSKETTWNLGLKNGVMLVHVYKLCDSGCCLKAKYSRISKKCGVLILPKIIKKEKVESVEGPSVGKRSTAFGAAWHAVHALLPSGVSDASPMHCPHTIISILNNTTNLVQLCNTASAYEIGDDAITGRRAARPARVEDPNKRELRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPTHVFSNPYFKCEWLPNPTAGNPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNAGGKLLVHAYYSTTSRRYERFVALEEAPGSYDESRFSPPFPYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVFHDAGGISPEVKAVLDPWVRAGRLTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQTLDQVLGKLSGYSQFTIEQNPMSSKLCVQDPSKDYSREWGFEKLVFRNSITKVRRDRKYAIQARNTYSAGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMAEPCREFVPVPVNGSKLMFEGIPYVYDDNMKRLAGQIKRFEKEAIGSAHT >KN538856.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538856.1:98452:98715:1 gene:KN538856.1_FG034 transcript:KN538856.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAPNAGAEHAPARHATSAPPGYFRYTPSPLPRWEAAAPRRHEYFSGEYRYSYPTPVREGIYRMATDANRLTTIFSEENPNACAIM >KN538856.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538856.1:64655:66096:1 gene:KN538856.1_FG038 transcript:KN538856.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALALLLWCVSASVFLPSARAQTTTFTSAIDGKKATTFSFLTFDKSLMQLGANLSFSSNATVSQSALQVTPDSSNNPLAYLVNQAGRVFFPTPFVLWSSNSSNSTADGKYVASFSTVFRANLYRSNKTVKGEGLAFVIASTNAINPPPGSYGEYLGLTNASTDGNPTNGFAAVELDSVKQPYDIDDNHVGLDVNGVRSNASASLTPFGIQLAPSNTTADDGSYFVWVDYNGTSRHVWVYMAKNDTRKPSIPVLNASLDLSTVLRGNKGYFGFSASTGETYELNCVLMWNMTVEMLPDEGATGKKASLPGWKLGVVVGVSSCAVAVVLGLFAALYIRKRRKRIGDDPSSVFNTTIDFRSIPGVPREFDYRELRRGTNNFDEKMKLGQGGYGVVYRATVVGENGQNMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVVIKNS >KN538856.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538856.1:15696:19830:1 gene:KN538856.1_FG039 transcript:KN538856.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGYVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAISASGGAFSVLLRDAMSRAPAVKLPSAMRAAELKAFAEAPANFELLAAVFNRSSRFGRLQDIRCALAGRNLYMRFTCITGDAMGMNMVSKGVENVLGYLQNVFPDMDVISVSGNYCSDKKPTAVNWIEGRGKSVVCEAIIKGDVVQKVLKTTVEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEEVNDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGSNHGSPGANAKRLATIVAGSVLAGELSLLAALASGHLVKSHMMYNRSSKDVAKAAS >KN538856.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538856.1:83260:83466:-1 gene:KN538856.1_FG040 transcript:KN538856.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRFETFKGRVLVVDRANKEAIRDGLSRRLGINKFTDGKISELQAWKVPMAKLFEGILTGFGDCGEC >KN538856.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538856.1:43930:46080:1 gene:KN538856.1_FG042 transcript:KN538856.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKNADLQDRISQLPDDILLAILDGLNVRDAARTSLLSKRWRPLPTMISHLTIDVSDFDPKSMSSFSDDELGRINATVVKATKSILACRKSNEHTISLLSMRFYLRDDDCISIGHTVGHVMATQKVEMIEFTILTEKDDNQCIDDDFIVYGRRFALFFSYCPNTFGGLTGLQLENLRFGEPEIIDVLNTCKRLHYLRLYNCSSGISTFLEVEHLQLSELSIINCRFGIIKLSSLPKLTRMIFGGWIAFQDPLSFGHVPLLESVTLTNVGLSWHNAVKLSKFLSNISIRDLTLDFNSEKVWDHLCEIETDDERRKAYSYSENKNVDWNASASDSKNHSLSTLVMFGFQSNDENLIRYIRLVMVSWMQR >KN540176.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540176.1:57320:59782:1 gene:KN540176.1_FG001 transcript:KN540176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSMSLKSREFSGRLPPPPPPSSSDQQEQYYCSGQHQQRGEDGGGGGDGEMVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQLCGFQLHEFCALAPPSLHDHPFHPKHQHLLFFVKPGGFLRCKCDICGKSVKGFSFR >KN540176.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540176.1:34368:38137:-1 gene:KN540176.1_FG002 transcript:KN540176.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASRSGVLSETASFADTPRSIYSSCNLQHLQSQSIRKIHEGALDISPRFSYCKPNASQDKILHRRFSLNLPEHMPGHYSRTVTERNQKAASKSVTDLVVEIAALEQEVVRKELHLLSLYRKAFDQYLSESGSVTSEVDQELLKNIDEGALRLKDIKQSAAFNLPTVSDTKSEVSRSPSRHSSLVNFLSASISEYVPKISCKLSEDILSCIAAVYCKLGSVPLQDSEYIASPSPSVSSSSTFSPRHRNDSWSPRYNFDVTPSPRQYGYEKENNEQQNIGMIIVPRIRIDADKFDYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFAPTKRSMAGTARHPYALQHPEPVAHFALSTGAFSDPPVRLYSAKKIHQQLEVARTEFIQANVVARRQALMLPKVLHYYAKDAALELRHVVELVCESISEAQQREIQLCLRRRIDKCVEWLPYKSSFRYVVHRDLAE >KN540176.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540176.1:26136:27225:1 gene:KN540176.1_FG003 transcript:KN540176.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MSSEQNSGRGDDADNLLEDLLSKHSEVVYNSGGAPGIDADDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATSIELPFEKQLQ >KN540176.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540176.1:6:8008:1 gene:KN540176.1_FG004 transcript:KN540176.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEVTVIAVPAPNEERAIVLYKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSIALREAREHRALFEELAMDETSNLAMVPWVPAPSNSQEASTSAAAAATTTTTEMMDAEDTSMEVEQDGGSGGSHLAAAGGAPYHQWPQHCMTPPPQPPLLPAASYQPSPVNLVQKPMGNSKTPQPSKKSRIMLSDTDGHQLDNDEFSSESASNQMVLFNPETVAKGQDELGENHSPSLQKSANNPNRGMPSIGAFTVQCAKCFKWRLIPTKEKYEEIRECIIQEPFECERAREWRPDVTCNDPEDISQDGSRLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPNDEASVVTTKSVKPEEVSPIAWAAPSVHQEGEAGEQASHADEPPEAEELELTRKRKAESPLFEEAHSNHVSDEPKTKLEDTQNGGPSA >KN540176.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540176.1:17438:24724:1 gene:KN540176.1_FG005 transcript:KN540176.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKGDHAFVLPHVALGCFKNELGEAYQVLSDPTQRQAYDSYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARVLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGDVTTINNTINNSDGNDGSSPDSSPMSPREHPYDPNPPYYQFQATGKDRSICNGGGGGAVGSKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAARDREVYALYKLFHKSGAGPKNGEQYGAPFREEDWLDDDDDHDQLPTEAALPAPAATTSGIAATMEEHADFELPGGDLDVLLAQIENDQDIIEAQLDFSTHVTSQVQIQHRVHQDWPSDDGGKSDVADATTSGSALLMAENTCAELPIDGLEQLLMQISDDQQTVEMFSGFSASVPQSQLQHDDHQDCLGVHREEVGVADSTTVSSAVVTEECTVRELQDIEGLLMQIENDQENAESLPDFSTPVHLHDCHQAAFGDFQGSQRATFNIANLSTMVQESPNFDLQTGPSNQITESILTTEPMNGETNAVEETSALRSMSVLGSYDRQGGDDEFLEINDFFDPEDLEQILGSTTSQNLIPPDDGVFDSLQYSDAPMFLPGSFDTTGVVAENHYVEFCASGIQNQGFQHTTELWAHNQVALNVRNHMKDNYVVFSHSSDASIIHTVNEQPPNRSSNASQSWFNGALSALLDSVPSSPAMAAENIGLNRTLQRISSFRSQQSAREEVSTTVIHTRRRGSGLIFISLMVLLVAIMWTSSNGSVVKLSKGLWKFST >KN540176.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540176.1:47174:47984:-1 gene:KN540176.1_FG006 transcript:KN540176.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSTAAAASAITTTTAAKVVFRDGSMAQFAAPGSTVIEIVYNSRLPNDT >KN540176.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540176.1:9410:10576:-1 gene:KN540176.1_FG007 transcript:KN540176.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILTTLLVSAAAILIGGTVALILTAGTWKVKMKESREKVCDKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNSPVAHAVGFWDYQSFITAAALFEPLGFCTTGGKQMQMMELCAFLGHVGSKTSCGFGVATGGPTAWGLCYNHEMSPKEDYCDKTNLQYPCVEGAEYYGRGAIPVFWNYNYGAAGDGIHEDLLHHPEYLEQNATMAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRLPGFGATMNVLYGDQICGKGYIDDMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAAFNPSYKKPDDQQQQS >KN540176.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540176.1:29071:31983:1 gene:KN540176.1_FG008 transcript:KN540176.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDEGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPASKAASEDQDHIKDELKFRAFTGSGNRLDGKASKPLAAGISTNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRFVASWLIKRLLEAGYHVIGTVRDPSNREKVSHLWRLPGAKERLQLEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTERFSSYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >AMDW01040638.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040638.1:18:1771:-1 gene:AMDW01040638.1_FG001 transcript:AMDW01040638.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGSVPKPTSGQFDQAIPHRGSTLQKELACSPYLPTIVPSVGHHDCSPGLSDNSMSKEDAMSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAEQTKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVFHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPW >KN544513.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544513.1:1395:3183:-1 gene:KN544513.1_FG001 transcript:KN544513.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGQFDGLEDADDVLVNSFQELEQKEADYLASAWRFKTVGPTVPSFYLDDDRLQPNKNYGFNISDSTSPCLAWLDNQTPCSVVYASYGTVADLDPTQLDELGNGFCNSGKPFLWVVRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVTGVPLLAMPQWTDQPTTAKYIESAWGNGVRVRRDKEGMAFNWPDDD >KN543105.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543105.1:4855:8584:1 gene:KN543105.1_FG001 transcript:KN543105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGTLQNVDSQLMLFIFMLTNGRDQTLKCSHYVPAVIPDNTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEKQDLKCAVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLDKYYNLGAFKVGAGTNESLLYEIINGLRAAGPDAGSSSAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTEMDTHTAESHLEDKSHRQNEECCSYTSSNRESWGRCSSLGAASDGSSLGERPEIPSHKHKSMTLRALATPLRRIRRKPLAIPKERKNRSLWKRLKQERQEMGESLTQRFRLCLQGQAQHKRTKSS >AMDW01038841.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038841.1:18:779:-1 gene:AMDW01038841.1_FG001 transcript:AMDW01038841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTKDGCPIIFHDDFILTQEADAVYAKRVTDLLLEEFLSYGPQKNSHEISKPLLRRTRDGRVVNWSAKDDDSLCTLQEVFERVSPRLGFNIELKFDDDIFYESSQLDRALQAVLQVVSQYASNRPVFFSTFHPDAARIMRELQSLYP >AMDW01026402.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026402.1:238:318:-1 gene:AMDW01026402.1_FG001 transcript:AMDW01026402.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHTIAGGVPFDKAQSVVTAAGAAQ >AMDW01024749.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024749.1:83:332:1 gene:AMDW01024749.1_FG001 transcript:AMDW01024749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKAPYVDKAGQKKQDYEKTKANFDKKESTSSKKAKTHDDGEGSDKSKSEVDDDQD >AMDW01073885.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073885.1:132:1337:-1 gene:AMDW01073885.1_FG001 transcript:AMDW01073885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEIAVEVPPEIIIAVEMPEAINTTIVRLPMYMQEANKGLFEPRVVSIGPYHYGQGSTLDMETHKDRFHHAFFQRLGNHVNHQDLIAQCTEGAMQCYNGNVGKYTLEKLMRDGCFIVELLIQWEEGEHAHVDDHMRLMSNSIYYDLLIVDNQVPFFVLARLFEEFRRYNGEHPMVLVNTPLVNLISNFFNYDGQFSWVYNSNPPNEDLPNANHRHLLEIQYNLVIRRNNNRNNNDEQMHYYSCLCGLCSRNICHKSPMPLGIPGANELQDYGVKFHQKENQRITDIFDVTFSHKTMSIPQFKINFGSKILLANLFAYDQIAGQQGRDNNGVVVGPVTSYVALMNALINTKKDVMVLQREGILDNLLSNEEEVASFFNRLGRCALVDVSNHRYTGMFEDVN >KN538820.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538820.1:181649:182849:-1 gene:KN538820.1_FG023 transcript:KN538820.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYGPEWPSEEKVKRREMVAPEAQYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMEPSAQGKGLGKFLMELVELIACKHGGSSDFDSVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLFPQIGLEKNYEILCKAFESEAKSILEEGN >KN538820.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538820.1:229733:230662:1 gene:KN538820.1_FG024 transcript:KN538820.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLGWLIPLSVGWYGGWKTARHLSEVEKLAEAAAPKPMARLLREYVFNGGAEARRAKQLDNDVREVSRLVAELCLLY >KN539674.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539674.1:20958:24167:-1 gene:KN539674.1_FG001 transcript:KN539674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKGVGSVMVGVVFLALLIVVHRWVGLDADWNHAIHNGFRDSSIPKQCLHRYKIYIEGEAWSVSEKYIMACDSPVLFVNTPYQDILSRGLVAGEHYWPINRTRMCESIRAAVDWGNAHPAAARRIGEQGSRFVREQMAMDYVYDYMLHLITEYAKLLRYKPAVPANAVEICAESMACAAAAGRERECMDESVEGFVAGFDPCSLPPPFTEEEKREIAAREEEVLRKVAKLEENM >AMDW01033607.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033607.1:79:378:1 gene:AMDW01033607.1_FG001 transcript:AMDW01033607.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHHVTPPPASRASSQSTGRMAMDVDDDEALLAYDDSSDDDSFDSSNDDSFNSSDDDSFDSSDDDDSGGEDTDDGEQEVGSSGSDHDTDEDAAAPVES >KN538820.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538820.1:188960:191836:-1 gene:KN538820.1_FG026 transcript:KN538820.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRVAGGATPPPPPPPPPLDASAFTHTPYYCEENVHLLCKELIRSGISDPAGTDLYVVFISNEEKKVPLWYQKASHSGDGFVLWDYHVICIQYVSDAIRPLSFGNSTYRRLFRVIHAPVFLRSFASDRSHMKDHLGNWIQLPPKYESIVAEDGTTNNLNEYITMSTDDVKDLESMADDVYSSKHGVVINETILPEFFSRLPG >KN538820.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538820.1:210345:214709:1 gene:KN538820.1_FG027 transcript:KN538820.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MLRRPSPPLLPTLPSAATRSKRFLEIQKLRESKKEHDVPTAISLVKQMASARFVESAEAHFRMNLDPKYNDQQLRATVIALSLCCYHCYVNLPKGTGQSVKIAVLTQGEKIDQARAAGADIVGGDDLIDQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSLNITQAIEEFKKGKVEYRVDKTGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >KN538820.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538820.1:198116:205936:1 gene:KN538820.1_FG029 transcript:KN538820.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNLHVEAVAKTLRQVAEGKAAAQAEAAEWKRKYELEKAVKAHRHNSVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKILHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQVCFLSALCANMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >KN539674.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539674.1:66615:69671:1 gene:KN539674.1_FG002 transcript:KN539674.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MAX2 [Source:Projected from Arabidopsis thaliana (AT2G42620) UniProtKB/Swiss-Prot;Acc:Q9SIM9] MAEEEEEEAVEEGRSSSSAILDLPESLLLHILSFLTDTCAALRELDLSEFYCWTEDVVRALTTHPSATAALTHLDLGLAAATDGFKSSELGPIAASCPNLRKLVAPCLFNPRFSDCVGDDALLSLATSCPRLTVLRLSEPFEAAANIQREEAAITVAGLVAFFAALPALEDFTMDLQHNVLEAAPAMEALARRCPRIKFLTLGSFQGLCKASWLHLDGVAVCGGLESLYMKNCQDLTDASLAAIGRGCRRLAKFGIHGCDLVTSAGIRRLAFALRPTLKEVTVLHCRLLHTAECLTALSPIRDRIESLEINCVWNTTEQPCSVANGTTAECDPEDDELGEVYESAAKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRAIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWDRFYLQGIESLQTLYELDYWPPQDKDVHHRSLTLPAAGLIQRCVGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLREDYYPAPENDLMFTEMRAESCFRLGDKWNGMCRCASQFCMSLSDMLSCNTGYNKVTLIHSRLKAALVSKEQPISSIRTDYHHLLICSLQ >KN538820.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538820.1:222283:228884:1 gene:KN538820.1_FG032 transcript:KN538820.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSSGSGGIGGGGGGGDDDEGRDNAGGGDGGGDDDASVNRREALFVLAQLGRKLESLPADLAAAIEGGRVPGEIVQRFADLEKSGLFRWLLQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNAGSIAKFFHNCPDNAFQVALAGTSYSLLQRVGAIMRNGAKLFAVGTSASLIGTGVTNALIKARKAVSKDFEGESEDIPIVSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHHHKLVLSALCFAVRTGNTFLGSLLWVDYAKWIGIQ >KN539674.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539674.1:8802:12205:1 gene:KN539674.1_FG003 transcript:KN539674.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLPPCLRRALPASSSSTSAPRRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSAASSLNPAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRSILCKHFRRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAASDPLTASIEYLCTTAEDLVKENKQFDAVICLEVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIVALEYIFHWIPKGTHHWSKLVTPDELVQMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVSQTQA >KN538820.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538820.1:174009:174971:1 gene:KN538820.1_FG033 transcript:KN538820.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVDRLVVLSKAVSGKMWNGLAVVGNPCAVVDVQKTIAKRAAAAAAAGDRAASQRELTSALAFDDDEKKEEEDAANAGALEEDEVPLVGAKKAPWPLKNGKVFSEPGARERRNLLELMARIDGVAGQRFGITRARTVRAASEPIEKDAAVLLLRRNQTVKVLGSEALSKADIFSSLDAKIKHELGKEQQEEAGKIKHELVKEQQEKAGNIKQESGEEQEKAGKTKHDAGKGHVEKAVGINLEAGKEQEKVEKNQEKEMKIQEKLGEIFDKAMKSEEKTGQNPGIEKKIQDTAEKKQEHDARVVQDKVEKIQDEAKKIQ >KN539674.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539674.1:27240:28915:-1 gene:KN539674.1_FG004 transcript:KN539674.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSATYDSRTSDVESSVVARGDLWRAEASHSSAAAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRKWFFMSMICLNPFTCIGRSKLNGSAASSGLVLRVDAPLHSFGRPWFSIQMNSGLEF >KN539674.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539674.1:51187:52481:-1 gene:KN539674.1_FG005 transcript:KN539674.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVIDPRGTLGQALAAAAAQENNVDAAEKGISSQDDATKNNVDDAEKGISSQDQDAAKEDVNPGKSDGSLNNADAMKLYLQDNAIVGKCSSSTNDNNGDVHEKGSGEASQKS >KN539045.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539045.1:105430:107657:1 gene:KN539045.1_FG001 transcript:KN539045.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERLSLERVIHDMEHKLLSKILTIQQELTEIKMHDTLLTRVYNLEAATKQLLKRTGGRDIYATDPVEYLYEDDKCHNTSTQYLDYTDDYILTKEDKEVLHFVRNSYIWAIVALIADIPLAINFLLPNVNGGWLYDTVTNLQLCIERAVEGGLVTLIEPINITLWKNQYYTRYTITNGMINILPITYSQSCGIYLIKYTLEWDGDNMLHQFTQEAEFLS >KN539674.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539674.1:2450:7019:1 gene:KN539674.1_FG006 transcript:KN539674.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLRGLHELSPAPAAASFFSASGNTAWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYFCTTAEDLVKENKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFVYNPLRGEWSLSDDLTVNYIAYGMKKVETPSESN >KN539674.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539674.1:80515:83379:1 gene:KN539674.1_FG007 transcript:KN539674.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSPCHHHLSSYYSHQLRRLRLRLRPSTAASGRPSELDSGRELYSHPTFGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYGSYIGLHFANENNSSLAIKLTVNYIIAASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTGGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLEMASDHEDKKFIYGRMANVFNKKRKRPYKAARAKEAAQSRPNKENTEEYHEMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRANL >KN539045.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539045.1:28681:30081:-1 gene:KN539045.1_FG002 transcript:KN539045.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPDTYTYNTVLKGLCIAKKWEEAEELMAEMIRNRCPPNEVTFATQIRSFCQNGLLDRAVQLLDQMPRYGCTPDVVIYSTLINGFSEQGHVDQALDLLNTMLCKPNTVCYNAALKGLCIAERWEDIGELMAEMVRKGCSPNEATFSMLISSLCQNNLVDSAVEVLEQMEKYGCEPDTVNYNIIINSLSERGRVDDALRLLNSMVCKPDALGFNAVLKGFCRAERWHDASELIAQMFRDDCPLIEMTFNILIDTLCQNGLVNYATQVFEQMPRYRCTPDIVTYSSLLNGFSEQGLVEVAIQLFRSMPCKPDIFSYNAVLKGLCRAARWEDAGELIAEMVGKDCPPNEVTFNILINSLCQKGLVDRAIEVLEQMPNYGSTPDIFTYNALINGFSEQGRLDDALKLLSTMSCKPDAISYNSTLKGLCRAERWQDAEDLVAEMLRNKCTPNEVTFKYANHLLMPNRAG >KN539674.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539674.1:45564:49869:1 gene:KN539674.1_FG008 transcript:KN539674.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYAADPDGVGAATPRKAFDVENPPGGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQTKGVENAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQVLTEYVLVSATSAITVTIAGVVAVFYFHDEFTWLKGLGLATIMVGVSLFNWYKYEKYKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEFQDEDT >KN539045.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539045.1:111095:115029:1 gene:KN539045.1_FG003 transcript:KN539045.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVEDAAHGIVIVGGGICGLATALALHRKGISSLVLERSEALRADGVAIGIHANGWRALEHLGVAAELREATNAITAYRSVWQLQNKTTLLPARKELRCLTRKDLVETLAKNLPAGTIRFGCRVAAVDEDSGSSCPVLTTEDGHTIKAKVLIGCDGANSVVAKYLGLGNPSELPRLAILGLASYPDGHPFGTEFLTIAGDDLAVGRLPINDHLVHFFLSRRRPSTAKAARGDGRGRRQVGKADDDGGSRKRRAGAEAARGGRGEAEDGDAPTPMPEMVPMSRSRRGCNEEMSWTPPSVPGSPAAEGASLRAPTLPPPLAPSREHQFPRLHGCLPESSGFPVAMGASQRAPVIP >KN539045.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539045.1:121664:122290:1 gene:KN539045.1_FG004 transcript:KN539045.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGGAAAAAAIIVSRNATQRMASQSQTKAANGERRWLVTEQEARVASTATRATNQIGLINDETPLLSKFLSLRGGVVDAVRCGGESGEEEKGQVKRAEKGMEGERDATRGGRKGGVVGEVIIVLFPVEAEKACVCVALASRKGAGFAAHGVKKDGDDGRGRDGWRQEGSHSYITFSILPGHCNSILYAMAKELMIQICTEFGVQQ >KN539674.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539674.1:13572:15237:1 gene:KN539674.1_FG009 transcript:KN539674.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MRGAGCAPNAVTYNTLVAAFCRAGELDGAERVVSLMREEGNAKPNLVTFNSMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLSGYCKVGCLHESLAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLEQAVALVAQMRERGLRMNEVTFTALIDGFCKKGFLDDALLAVEEMRKCGIQPSVVCYNALINGYCKLGRMDLARELIREMEAKRVKPDVVTCSTIISGYCKVGNLDSAFQLNQKMLKKGVLPDAITYSSLIRGLCEEKRLNDACELFENMLQLGVQPDEFTYTTLIDGHCKEGNVEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPDNIKYDALMLCCSKAEFKSVVALLKGFCMKGLMKEADKVYQSMLDRNWKLDGSVYSILIHGHCRGGNVRKALSFHKQMLRSGFSPNSTSTISLVRGLFEEGMVVEADNAIQDLLTCCPLVDAEASKALIDLNRKEGMDYFQAQGEIWYSESSPSVEYWNYMMTICHFGVWSTYFSMLRLTK >KN539045.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539045.1:22192:26034:1 gene:KN539045.1_FG005 transcript:KN539045.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKNSRQITEIYFSQVKSDNRWREVTASFIFPATATNASFMLKKYYMSLLYHFERLYLFEAQGWYQETADSRSISCIEMKAEGQASRKRKRASNSCSSDLAASSDNDVQVIIDGKFEHGYIVTVIMGSKSTKAVLYNCTEEPAVPTAVPHVAIDSAEGIRPRRRRRRKKLSTTDPNHPKPNRSGYNFFFQDQHRKLKPEYPGQDRLISKMIGERWNNLGPEDKAVYQEKGVEDKARYQRQLALYREQRTGQPISNAVPIQQRLPQKEVTIDEVDSKVSEGDILLSNQGYSSSSSSSDETADSGEKNVEDDEEFNTETSPEPSMETTDSHGQPDPSADGERFELRRRENPKIDEKRDMPPN >KN539674.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539674.1:77:1488:1 gene:KN539674.1_FG010 transcript:KN539674.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSSQISKDSDRIYNLPFTGGNGSDSLHDWHVDEFFSNSEFGFAEHGSSKGDNAKPGSAGGSPQCRLAEGLFVEGLLGQVPDNPWTVPEVPSPPTASGLYWQNNLLCPSYDSTMFVPEISSLENSQNNFTVSAGLKRRRRQF >KN539045.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539045.1:117164:119329:-1 gene:KN539045.1_FG006 transcript:KN539045.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEQNGEYPEIFTALRTSFLRAFNVMDRDLKLHKSIDCFFSGTTAVAVLKQGRNLIIGNLGDSRAILGTRDKDNQLMAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDYGLISMPEVSYHRITEKDEFVVLATDGVWDVLSNTEVVSIVNRATSRASAARLLVESAHRAWRARFPTSKIDDCAVVCLFLDTDELSETSSSMARDMTNAVEVSSGQHSNTIQLSTGASSDVVTAVITDGDDLSAVDAVAKLVTLTDLPNNASGATQSITTK >KN539674.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539674.1:54121:56760:-1 gene:KN539674.1_FG011 transcript:KN539674.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVSAMENNFAAHAAGGEDDGGLFGAGADLPAMELPTCPADVCFEKIYRRMQVVYSEDLALLQGWSLNLTYWVLISHFFLPAASQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLMGSRFSVGSGSLYAYGILDEGYRYVMPVEEAAELARRAIYQATFRDGASGGCVSVYHVGPNGWTKLSGDDVGELHYKYYPVEAIPVEQEMADAPAA >KN539045.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539045.1:4431:7667:1 gene:KN539045.1_FG007 transcript:KN539045.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLLLLGLLLLSPAAAAAPGSCGSCWSFSASGALEGANYLATGKMDVLSEQQMVDCDHEVYGIREFMHPCALQFEFLGTSEATMRKLCDSSEPDSCDAGCNGGLMTSAFSYLLKSGGLESEKDYPYTGRDGACKFDKSKIVASVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGASGFAPIRLKDKAYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTVTAIHTSKE >KN539674.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539674.1:84583:90254:-1 gene:KN539674.1_FG012 transcript:KN539674.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding NVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVHPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSSPFSFDFEQHALSEEQMKDLIYQEGLAFNPDYQ >KN539674.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539674.1:17671:19874:1 gene:KN539674.1_FG013 transcript:KN539674.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLARPVLLRRVVVRRRVAVLLRRSRVPGHRGGREHEHGKRQRHQQPLQQSRHGWMPYLVQVPGGVDPWIDEAFGRMSKKEIMKAPTQLTEWASSANGPAIWAVQVDGLMK >KN539045.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539045.1:51266:96195:-1 gene:KN539045.1_FG008 transcript:KN539045.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKETYRSPTHVVSATVFSYVIDDNNLLGEVLICLGLRTYIVHTTLVSKITLHSLLGFSPCHFHELHPSRILQVSEESDYYDCGECSLLSHKYTIIYGMPPQVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIENRKVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGVEPNYYVLSNRIGSVNQANWPFYWFSWERTRHLCQLRRRYVQLYATLLQQASNVDMSEIRQIEKILDTKVIILWRLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTQCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVIETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEGHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDEDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSIQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLRHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGVDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRESMQEQSAEIPRKIIAVMEPYASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVSSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHHPLAASSRVKKALCVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQVPENCVFFVNIHEPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYG >KN539045.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539045.1:103825:104721:1 gene:KN539045.1_FG009 transcript:KN539045.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPPSFNGGSASNHHQFAQGTPLPQNQSTDQESNASKQHQFAQGAPIPQSQSTDHEVTEDEQITMRHGDMTIICSPKQYAETVEQLSKKQQETMKLLGLGGLLNMKVVTLCHIMLVKIAKTFNLESKCFVLGAPTTDYQVDSKHLSIVENILDIPKLNWGTFTLSHLRVASILLLKKI >KN546195.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546195.1:701:889:-1 gene:KN546195.1_FG001 transcript:KN546195.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NKLRESRNAISSADITDEVKLGNPGEAFNFSQCQNKVTVTEGAGLTGNVSAVEEFIREYVKA >AMDW01069324.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069324.1:231:757:-1 gene:AMDW01069324.1_FG001 transcript:AMDW01069324.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVL >KN545007.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545007.1:178:2242:1 gene:KN545007.1_FG001 transcript:KN545007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKSCWLPLLSKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYLKDCKRLYGRILDNSNVQSSIRAESKHQSEKVWAEQYPKEPFELEYTSSSDNSIYANAGAAEDISYDLVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVIWHTHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTKQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFISEVDGEFSVGKAESQITILETTVIELFLQIVDIKNLPPAIPKENVYIWFTKNQPDMFISDGGRLDISTKTGKSIGASIQY >KN539985.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539985.1:18115:18462:1 gene:KN539985.1_FG001 transcript:KN539985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKIPSDARQASEDGGSGGLTECSVCLSRIRVGEATRRLPCRHAFHRDCVDRWLLSCRRTCPLCRVYVVVDGNKPGVAAKHTGEPPLTEDMVIWFSAMLVPGF >KN540575.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540575.1:13351:25601:1 gene:KN540575.1_FG001 transcript:KN540575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEEEEEKQLSPVSVLEQSPFQPPASPAYSKMNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIRISSATKDDRQIRANIAASFQLLKVVSGGVASNQYVRTHLNQIAEKNGLLLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDEPPAVDEPDDMQVSLCYVKIASKPVKQSTFSPSSPFL >KN539985.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539985.1:56804:58961:1 gene:KN539985.1_FG002 transcript:KN539985.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQSYRPKAARPPSTFYGESLRVNTARSLPSGRQSKAASRAALSTRCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMSGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQNCYEKYEMPTLMGSFMMQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVINNLDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >KN540575.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540575.1:46496:48328:-1 gene:KN540575.1_FG002 transcript:KN540575.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAMCTPVFVARVVRSRWFVVFASMVVMAASGSTYIFALYSKELRSTLGYNQQTLNTLSFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAAMNLAGYLMVYLALERRTAAPPVWLMCVYICVGANALTFSNTGALVSCVKNFPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRGDGEELDSKPFFCFLYISIALAAYLLVMIVVQKQVRGFSHAAYVVGAAALLLILFLPLAVVVKEERKNASHLERALQQPPSIAVEHPTPTKEADGDAAASCVGRMFRPPELGEDYSIMQALVSVEMAVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRVGAGYLSEMLLARYRFPRPLALTAVLLASCVGHLLIAFGVPSSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRVAGRICFER >KN540575.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540575.1:40627:41703:1 gene:KN540575.1_FG003 transcript:KN540575.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENW >KN540575.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540575.1:33509:36612:-1 gene:KN540575.1_FG004 transcript:KN540575.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTNGSFLLGSGGYPGAQILSFSSSGHSGNGLDCGSSDVTRMQGVLARVRGPFTPTQWMELEHQALIYKHIVANAPVPAGLLLPIRRSLHPPVFPHFSSGGILGSSSLGWGSFQLGYSGSADSEPGRCRRTDGKKWRCSRDAVVDQKYCERHINRGRHRSRKHVEGQSSHAAKATVPTIAQPPIGASNGKLSGSHGVSNELTKTLATNRMMSDKANLIERSQDYTNQQHNILQNNTKGDNWSEEMSSQADYAVIPAGSLMNTPQSANLNPIPQQQRCKQSLFGKGIQHDDIQLSISIPVDNSDLPTNYNKAQMDNVVGGSSNGGNNTRASWIPGSWEASIGGPLGEFFTNTSSASDDKGKSRHPPSLNLLADGHTTSPQLQSPTGVLQMTSFSSVPSSTVSSPAGSLCNGLLTSGLVNAQTVQTL >AMDW01034982.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034982.1:124:324:-1 gene:AMDW01034982.1_FG001 transcript:AMDW01034982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIEVVNGYCLAIADNAEPYGSPSAERRVNLSSIRPPKFEKPSEENKSSEQFARTAKEFLRTRLIGKQ >AMDW01034041.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034041.1:39:521:-1 gene:AMDW01034041.1_FG001 transcript:AMDW01034041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TDEPYTEKDFSNIEKIFEQLIPPCNLEDLAIVKFFGRQYPFWIDSTHLAYVKSLHLFKCKFCMHLPPVGQLPNLKYLKIEGATAVTIIGPEFAGCRASNLGRTVAFPMLEELLIRDMPNWEEWFFIDEATSTAKERVDDGASAMPKEKALPPRMQILSRLR >AMDW01006907.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006907.1:23:214:-1 gene:AMDW01006907.1_FG001 transcript:AMDW01006907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKPEDYDGGDYGIGINADGELVLCGNGHGGIGTQGQMPLAMQNTNGEMTLFSPMNGYGVGFNEE >AMDW01019511.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019511.1:157:282:1 gene:AMDW01019511.1_FG001 transcript:AMDW01019511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRTLVIFLLLLALVPALSRPDGGGGFYDPARVTQLSWRP >AMDW01017775.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017775.1:2:253:1 gene:AMDW01017775.1_FG001 transcript:AMDW01017775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAGRAVLYVALGTLNAVQEAQLRELAGGLEASGVDFLWAVRPIDADLGTGFEERVEGRGMVAREWVDQWRILRHGCVKGFLSHC >KN540173.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540173.1:51429:53045:-1 gene:KN540173.1_FG001 transcript:KN540173.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEISPSLLSLHHLEHLDLSLNALQGPTGRVPEFLGSLKTLRHLNLSSMQFYGEVPPQLGNLTNLHYLDLSSRFTNPLTMMNCTDLSWLARLRSLTYLDMSDIDLGMVHDWAHVVNSVPSLRVIRLADCGLTFANQSLSPFNLTSLEELDLSVNNFQNSIASGWFWNAKRLKYLDLSSTNVYGQSPMLQLPNALGHLKSLQVLDLSHISSMDITTANMTNLCNLRILRLEDCQGSYGDIAELIDRLPQCLQNRLSELYLSRSDINGTIPNRLDHLTSLVILDISWNKLSGHVPSDIGMFSELTYLDLSGNQLHGVITNEHFASLRSLKTLDLSGNSLNISVDSEWLPPFSLRTAKLPSCNMGPLFPIWLKSQVNITYLDISSAGITDRLPSWFSTTFSNTQYLDVSNNGISGSLPANMEVMTTLSTLKLDSNNLTGQIPMLPKGLKELSIAQNSLSGPLPSEIGMFSNLTYLNLGGNKLHGVITSEHFANLRSLQGLNLSGNSLNISVDSDWLPPFSLRNVLLASCYMGPLFPSWLK >KN538879.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538879.1:198537:199168:-1 gene:KN538879.1_FG021 transcript:KN538879.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRSGKKIDMFCEGFSPYGPFWNHFLEYWKASMQRPEKVMFLKYYIKSDPALVVRKLADFLGMPFTKEEDDGSIPKQVVKLCDFETLASLQVNQTGLIRGKNYEVLNSVFFRKGRVGDWVNHMSVEMAVRLDRIVQEKLE >AMDW01024816.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024816.1:1:327:1 gene:AMDW01024816.1_FG001 transcript:AMDW01024816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGDDDDDDEQHQHRKGKRKVDGLAVREGVEFYSNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAG >KN540173.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540173.1:8142:9534:-1 gene:KN540173.1_FG002 transcript:KN540173.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAAELTHEMSSNPCAGGRATILGIGTAVPVHVYEQKSFPDYYFEITDSNHLVDLKAKFANICEKTSTEKRHMYISDEWLRANPSVTAYMDTSLNVRQQVAEEGIPRLGAEAARRAIDDWGKPASTLTHIVFATTSTGCLPSADVVLIKLLGLPPSTKRVMLYQAGCFGGTTALRVAKDIAESNHGARVLVVTSEVMSLVIRGPSESHIGNLVGQAVFGDAAGAVVVGCCPTADERRPVFELVRASQDVIPGTDDAVVVKVRQEGVVITMHRDVPLHVSNAIGGVVKSAFHEIDTKITSYNEAFWLLHAGGRGIVDGVEEKLGLGEEKLAATREVMRQYGNTRSSTIFLAMEEMRRRSEERGMATAGEGLEWGMLIAFGPGLTLETMMLRALPRNSHANM >KN540173.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540173.1:40016:40270:1 gene:KN540173.1_FG003 transcript:KN540173.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAPLLLPPLLASTEPPRMHACAAQPPPPPASAVMNTVMVQEDDTTMSAEKMRMENKRLTMELDELWAAARGKRKERSRGER >KN540173.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540173.1:31679:32839:-1 gene:KN540173.1_FG004 transcript:KN540173.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCELQSLDILDLADNLLVGEFPQCFHQIELTMLLASNNMLSGKFPPFLQSSQTLYILDLAFNNFHGRLPMWIGELSKLEILRLGHNNFSGSVPTTITNITELLHLDLSSNSISGVLPFHLSNLTGMTSLSSPTTFGHYVPILNMSVDTKSHEHYYQEGVIFQMVTIDLSLNYLTGGIPEEIASLDGIKNLNLSWNQFSGRIPENIGAMRSLESLDLSENNLFGEIPSSILNLTYLSSLDLSYNHLTGRIPSGGQLDTLYAENPSMYNGNNGLCGCPLQRNCSDDGNNGLCGCPLQRNCSDDGNNGNNSSPSKHGVEKRSEKYSETMFLYFGLGSGFVAGLWVVFCTILFKKAWRIAYFRLFDKVFDKVFVFVVVTWAHLCQKSGTR >KN540173.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540173.1:61429:62049:-1 gene:KN540173.1_FG005 transcript:KN540173.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding IGPGFPSWLQRLDEVSWLDISHTGITGQFPHWFSTVLSKVIVLDISNNQINGSLPANMEIMSVGVLDLSSNQITGDIPMLPPNLTSLDISNNMLSGRVASKNFGAPGFVCELRNLENLDLSNNLLEGEFPQCFGKKLHYINLSNNSLSGRFLPSVRGNKQIWFLDLSSNKFNGTLPSWIGDLQKLKFLALSNNMFSGHIPASIGNL >KN538879.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538879.1:104757:107419:1 gene:KN538879.1_FG022 transcript:KN538879.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding GQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKRKIKVLYGSGRDELLKVMDYETLPNFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQALNEDFIGPIKQGSMHVDVPTPDLEEAKIVETIESELHKFSGANGLSHSFNRIKIEETIDGCCAHLIF >KN540173.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540173.1:21905:24958:-1 gene:KN540173.1_FG006 transcript:KN540173.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAKRSSPQCVDVEAVAGGARGAGHQRKEEASGARQPKDMLISFWHFINKRIKPNTIPFSDIWNSIYNDAYPESPIWEHILGYWNMSKIQSDRVLFLKYEDVLRDPMTNVEKIAEFIGQPFSDAEKEAGIIESIVKLCSLENLKASAMNSTSNYQRLMKEVPSESFFRKGVVGDWANYVTPDMAERMDKFLAEKFHGSGFSFTDCL >KN538879.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538879.1:182142:182939:1 gene:KN538879.1_FG023 transcript:KN538879.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDMIRNVVGIVGNVISFGLFLSPVPTFWRIIKEKDVKDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSNKKNKKKMGVVLTTEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPFTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTSIVTPVSKDDDVNGSTASHVMINITMEP >KN540173.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540173.1:43063:46104:-1 gene:KN540173.1_FG007 transcript:KN540173.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEISPSLLSLHHLEHLDLSLNALQGPTGRVPEFLGSLKTLRHLNLSSMQFYGEVPPQLGNLTNLHYLDLSSRFTNPLTMMNCTDLSWLARLRSLTYLDMSDIDLGMVHDWAHVVNSVPSLRVIRLADCGLTFANQSLSPFNLTSLEELDLSVNNFQNSIASGWFWNAKRLKYLDLSSTNVYGQSPMLQLPNALGHLKSLQVLDLSHISSMDITTANMTNLCNLRILRLEDCQGSYGDIAELIDRLPQCLQNRLSELYLSRSDINGTIPNRLDHLTSLVILDISWNKLSGHVPSDIGMFSELTYLDLSGNQLHGVITNEHFASLRSLKTLDLSGNSLNISVDSEWLPPFSLRTAKLPSCNMGPLFPIWLKSQVNITYLDISSAGITDRLPSWFSTTFSNTQYLDVSNNGISGSLPANMEVMTTLSTLKLDSNNLTGQIPMLPKGLKELSIAQNSLSGPLPSEIANMEVMTTLSELYLNSNKLTGQIPLLPKSIEIVDISRNSLSGPLPLNFRHRGSLSALDLSTNRITGHIPKSMCELQSLGNLNLADNLLEGEFPPCFHSLYLSILFVGNNMLSGMFPPFLRGTNGINILDLTSNKFFGELPTWIGEIPGIEILRLGNNSFSGNIPTTITNLTSLLHLNLASNRLSGVLPLHLSNLTCMKSGCTTIYLSTKLHGNNVNMSVDTKRQRLYYIQAASLKMVTIDLSLNYLTGGIPEEITSFDGIKNLNLSWNQFSGRIPSNIGVMRSLESLDLSENNLSGEIPSSLSKITSLSYLDLSYNHFTGRIPSGGQLDTLFAENPSMYNGNTGLCGYPLRRNCSDNSSASKHGVEQRSERDSEPMFLYFGLGSGFVVGLWVVFCTILFKKAWRIAYFRLFDKVYDKVYVLVVVTWATLS >KN538879.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538879.1:196611:197615:-1 gene:KN538879.1_FG026 transcript:KN538879.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVHVETKEKDSNLLAAISSETNHDDNPMATLPTREGWSTPLTLYNNCWLRSHMVAKFMLVKENFKPRHDDVILATHPKSGTTWLKALAFAIVNRSSFESVDASHHPLLTQNPQHLVPFIGAQGGNLDYLETLPSPRLLATHLPLSLLPSTVTTMGCRIIYLCREPKDAFISRWHFDNKIAQGAKINLDTAFTMFLEGCSPFGPFWEHYLQYWKESLQRPRDVLFLRYEEIFFDPLKVVRDLAGFIGVPFTEEEVKSGVDQEVVRLCSFKSLSDLDINRNGGAERAEGKIFIAYSALFRQGKTGDWVNHMSKDMGEKLDRLVEDKFKGSGLKF >KN544715.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544715.1:970:2007:1 gene:KN544715.1_FG001 transcript:KN544715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LESMKLKRFHGHVKSAGILFCIGGVIVLAFYEGPMFKSFNHHHLFQQGSSSSSSAGDTHYSKKQWALGIFLMTLSNVLGGLWNVFQGPLIEDTSKLMNTTIQISFASVQAFVVAVAAERDFSKWKLGWNFGLAAIIYSGVIVTALSYYMQIWTIAKRGPVFLAMSMPLTLIFTIIISSFILGEAVSLGSIVAGILLIGGLFNVLWGKNLEEHDELNKIGPAIPDLEMQDKEAQVPNDRATN >KN540361.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540361.1:33134:41530:1 gene:KN540361.1_FG001 transcript:KN540361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGDYYIMKIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMVTSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTVS >AMDW01025925.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025925.1:1:177:1 gene:AMDW01025925.1_FG001 transcript:AMDW01025925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHGGHGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELE >KN540361.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540361.1:42662:46284:-1 gene:KN540361.1_FG002 transcript:KN540361.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRQQFHIFIAGLISLPIKLPGTQLYRSLKAKKRMTSLIQNIIQEKRRRIFEGKDLCAVSCDLIDVLMSNGSDELSLSDELISDNMIDFMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMELKRQKSDVGETLEWTDYMSLTFTQHVITETLRIGNIISGIMRKAVRDVEVKGQGDVVIPKGWCVLVYFRSVHLDSNIYDDPYAFNPWRWKERDMAAAAANSGSGFTPFGGGQRLCPGLDLARLQTSIFLHHLVTNFTWVAQGDVVVNFPTVRLKRGMPIKVTPKT >AMDW01029466.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029466.1:41:199:1 gene:AMDW01029466.1_FG001 transcript:AMDW01029466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWMHAGEALHGRRTFDAIRSPLLDAWMERFAALDAVKAAMPDNNKLVEFVR >KN540094.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540094.1:21451:24566:-1 gene:KN540094.1_FG001 transcript:KN540094.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQSPRLAAGERERKLEDGVADADAEDPGCTGNAAMSSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVQLVREKILDGEKFAVTYCRYWAGFLYFAGVNFGLTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRRRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLILFDVGDVAVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRVYNLINEKGRAAKLALALAVCALTSALLGNFKRFNCPEGHYNDLATLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRVTALVLSRFARIDHGLYAVLGAAALMSGSMRMTVSLVVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVALQVVERVSTVVEALRATRHNGFPVLDRPRPGVSELHGLVLRSHLVAALRKRWFLPERRRTEEWEAREMFSAAELADKCGGGVDELEISAEEMGMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKFQGPEISPIVGILTRQDLIAHNILGAFPHLASKRKTH >KN540094.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540094.1:35987:36247:-1 gene:KN540094.1_FG002 transcript:KN540094.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLLLVASAVAAFVVGYAMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDAAEAARHPRPQVSAAPSTVGKKKAGKNK >KN542309.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542309.1:5058:5456:1 gene:KN542309.1_FG001 transcript:KN542309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHVLRTANTATSPSSHWFYMWPNSSPSQTPVARPQRGRGKGESQERRRCLLARRTGDGAWRLRSKTTGDGGAKAAGKSSVVGARRMCGNGVTLWGEEKARLQWTEGSLRARSEVWRESGEIDRVSERARR >KN542309.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542309.1:13670:15103:-1 gene:KN542309.1_FG002 transcript:KN542309.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSAIASDLINRLTSFLMKKYMESTSIDDKMKRLKELLLRVHIVVEEADRRCITNPMMLMQLKMLAESMYRGYYMLDTIKYKSPKDEEVRKLCTMSVSLKRSRTIFGTPGSPADDNELEIVLNNLEAAISNINEFVVLLVGCERVCRRPYDAYLYTDNFMFGRHAEKQQIINILLQNPCHQGGPLVLPIIGGCRVGKKTLVSHVCGDERIRSYFRSILYINGDNMWGMEHTKFKRERTLIVGEFFMDIDEDDWVKFYCTVSQMTDRGSKVIIISRIGKLARFGTVKTVRLNSLSQEEYSYLFKMLAFGSIDEKDHPKMAMVANDLAVVLGGSLITANVVADLLRRNHDFQLWNSVLQRFKEMVKSNLSKYGEHPKDIIEKEHPIDITRFGSSYRTRLHLMPPRVERDDSPNRKKPSLLFRDLIAGCAAIPDGDFELVTWESRIPPHTKYVQSAVAFVNGKNGCTTSTRKRRSNA >KN542309.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542309.1:5910:6553:-1 gene:KN542309.1_FG003 transcript:KN542309.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQPHVGVGLHEHHPFRRALHHQLTASTSSSSGGGELPDAASQRVEAHQRLRQRRSDDTHRVTAIVVVTTGEDGRSAMAATEELDAGGVELQPALAVDLTTFDIYH >AMDW01031540.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031540.1:53:355:1 gene:AMDW01031540.1_FG001 transcript:AMDW01031540.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKNIWTEPEKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLIHFKWRLPVEVERNGIDMTEKFGLTLVKAIQLCALATPT >AMDW01022875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022875.1:149:314:-1 gene:AMDW01022875.1_FG001 transcript:AMDW01022875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFLAKILQAIADACLDDNKLPGALISCGVLQAAAALSLIFFQAPGGIFGHH >AMDW01029050.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029050.1:45:389:1 gene:AMDW01029050.1_FG001 transcript:AMDW01029050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFEQLEIGCPLLQDLLLYDCIIGDDEISSETLNVLTMYGCQFPTLQESCISAPNLTSLIMHQPENFVPVLDDVASLVTATVDLFPLIEFCAYDMRQLLWSISGVRNLDLDYYACK >AMDW01036950.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036950.1:59:653:1 gene:AMDW01036950.1_FG001 transcript:AMDW01036950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LFHLALSPVMLSTLKNGKIVRGLAGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEF >KN541395.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541395.1:3275:5462:1 gene:KN541395.1_FG001 transcript:KN541395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIELGPFRINSDNKTLSRNEFAWNNVANVLFLESPAGVGFSYSNTSSDYDKSGDQRTANDSYIFIVNWLERFPEYKGRAFYISGESYSGHYAPQLAATILTHNMESKRMIINLQGILVGNPCLDQFKNLKGQIDYLWSHGVISDEVLANITKNCRFSPSDGKACSDAMDAFDSGNTDPYDIYGPVCINAPDGKFFPSRIVPGYDPCSNYYIHAYLNNPVVQKALHARVTTWLGCNGDLDSVCPLTATRYSVGDLGLAVTEPWRPWTANREVGGYVQQYTGGLVFISVRGAGHQVPYFQPEKALIVVSSFLRGALPPYVKQQ >KN541395.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541395.1:13883:18843:-1 gene:KN541395.1_FG002 transcript:KN541395.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNRTSVSLLRSETSGSVRSQGDAAPPHTHRPSESEEKSEEERPPRKRKLEEALPPKALPRYPPYPTSGKDKDLRNWKLECRRITDLLEKDCDYGIPNMTKPKDPYTTKAVQSFIDKMVVLRAARSIVNVSHIMDDGQRQPQCTGIIIKQWVVCKAGRKLDPLPKLSVGLPDKKTVLDAELIYFNDHYDIALLHIYLDFTLKLPSIGRGPEYGQEVFVLARDNEASLRARRGNIEWLEESDILGRDYYMFLSCDIPEGGNGGMFNRVARPVLGIGVRTIALLDVQLQEDFSVFGIKGGFLVDEVYNPVAEELGIKRGNVIISINQQDDLTLPELEDYLLSLGWDYLKDPSINMKDVKGRKILILLYQLRVCDIKSRVEGDVILPIRFYDKSEWDEEYYGKMGTS >KN541971.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541971.1:2031:2541:-1 gene:KN541971.1_FG001 transcript:KN541971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTTKHVPEDVGLRNVAAAEEEGGQGQMHRGEEKQHKPVLKKVKEKVKKIKNTIAGGGGGGHGGNNGGERASGSSSSSEEGEDDVAAQRMGDVDQRGYQEDVEEDKPVAMESDPEVHGAPSKLLLR >KN542135.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542135.1:1633:3030:-1 gene:KN542135.1_FG001 transcript:KN542135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLATVATIFLAAILLLSGLAVADASTGVSFASGGSGLDDLTANNALVSTFGSQLNDFQELLGHIGSPKSGEIAGKSLYVISAGTNDVTMYYLLPFRATNFPTIDQYGDYLIGLLQSNLKSLYKMGARKMMVAGLPPLGCLPVQKSLRGAGSGGCVTEQNEAAERYNAALQKALSKLEADSPGAKIAYVDIYTPLKDMAENPKKYGFTQASLGCCGTGMMEMGALCTSALPQCQSPSQYMFFDSVHPTQATYKALADEIVKSHVPQLMQ >KN541971.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541971.1:16984:17277:1 gene:KN541971.1_FG002 transcript:KN541971.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAAMEMLLLVAVAAMATAVVAVAAQCDPEQLVACASPIFYGTAPSESCCSNLREQQKEGCLCQYAKDPMYASYVNNTNARKTIAACGIPIPSC >KN542135.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542135.1:17650:18240:1 gene:KN542135.1_FG002 transcript:KN542135.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAYSASLPAVPDWLNKGDNAWQLTASTLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLSYLVGRATLPATAHGAIPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYRWGVIDYSGGY >KN542135.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542135.1:6164:13771:1 gene:KN542135.1_FG003 transcript:KN542135.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSCKNASCLPGMVLNLKKAVSYLDHLGFECSVDMLLSDGFNDVEYISLILRSIDYSISCVSAAGGRLECTMQNIADNFMNTYNYRCSKGIESELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLQSVKIVNKGINWSSANNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFIAKLDPISKEMMDSGTWYWKYAVDGAHVKLEMVEL >KN542551.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542551.1:5396:7242:-1 gene:KN542551.1_FG001 transcript:KN542551.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQVQFDMDFNKRLSHAGLALIEQNYNRTRSFIIEANRSTKPSGDTESGILEVFTEKDQKIGKKSKSTVEGNTHRDFKNDKGKSIDVIENIENMEIERIELDKGAVHENNILSLLDQDYIEVSQIGIKSTLTEFDVDGNSKVIPDMKCDTEIETVQPWFVDLFNLQEFVEQQSSMQSNDKGVSTSEADPALNEEILTEEDIEQFLEEEQQKASKGNNARIDRKYIPELNQKFKSIDEAQNYFNFYAYLTGFSILNVHSARTITKKRNMKVTELHSNATNM >KN541768.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541768.1:1698:7578:-1 gene:KN541768.1_FG001 transcript:KN541768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGGGALAAETTIVKCPARTPDAVYGQITVYRLGDLVLEMTVPGNMHWCQTANSIFLGDRNLCIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVPSAVGSANASRGLEVAVANLQEYCNELENRLLARFDTASQRREMSTMAECAKILSQVFFLKEKTSISFHYNGGLLV >KN541694.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541694.1:2283:3161:-1 gene:KN541694.1_FG001 transcript:KN541694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSTDTSMELGRSSDPNISLQSRRHSYLEEIRSLAVDSAGGWSPSSSSRSARSSSYYSSSKSSSSRHRYAPYSISSSRRLARLEDKGPRDIARRMVRDGFMGKLIGEFGRAPRPALERWFSVQRWTRGFTVMAEALSATQRHLQEEGRSTAQVDRDDGLRLVRFVEATICKMLAFANSLVAVADKTWNPINKLSGLVNVHSCISHASEIIMLSLKKETLWLPDSDEMLQGLLNKTSNIFSTAKDNLGQAIQKMANDAEADSCLEWHAFLGNFPAECRNPQGYSLNYGLSL >KN541768.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541768.1:22561:22779:1 gene:KN541768.1_FG002 transcript:KN541768.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRYIQYEVAAGESTLKDKYPRDHEIFTNPTALHKKGFTFMRHTFLNGQDIIVDIDRFKPVLLRALNSLAR >KN541694.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541694.1:15067:16423:-1 gene:KN541694.1_FG002 transcript:KN541694.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDGFVLALIGAFGRAPGPVLERWFSELDVGWVLRPTDQQKQEEEEEEEAERLMIAFAAALADAGKAGTCPTADSFFGLMEVHICISDVSEILMPALKQEAFDLRLPDSEQMQGLVNKIAEAMANTQDSLGEAIRKMAKDAEAVTPVLGAMDSWEKFPQNEGIHRATRLIVDYASLFWGYRRVLESILCCYSSGSSNNCWEIVQSLIEQMIITFLDQLEKKSQSFSDTSLRYIFLLNNSYFIQDQFLATNTDYNFPSSKGIRYWHYQNCYLDVSWEPVLSFLYLYNKMPKFFPKHSPQLLARFQSEFQKACRHQKLWKVPNTEHRNSLRKAISDKVITAYRKYLEGHLEPEKSSSDLLAMEDMVNELFEG >KN539800.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539800.1:72549:81760:-1 gene:KN539800.1_FG001 transcript:KN539800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDDEGSGSHASNSDRIGFFNPVMAFMGNNGEEDSAEASEKQQPPKSTTAEQNQSASTEAPTSKVDASEESESTQSPKPSEQGETLISSTEPPVSKAEVSEQLATPKTPKSLSATEEKPSHSTESPTYKGDSEAPQSPTDPSTAEENSGSTETDQSGNTGTTEYLHAGTEDIDDKNAIQSQPLDSILASSDDVNEAVKIVKGADDRNEISSSHENTDTVDQASHVEVIERDEHTNAAGNDEEANRTEAQVATVVEREENTMVQLEDLSSMSIIVSNDSNSQNESVPTSADVPVGLVEVGSNSNDLRKEENIQGSVTTSNHLESVGAVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSAEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVMAEGFEAFIWHQHFFYNEQVRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREACEKENILIKTIEELRHALTRQEQEAAFREERLKRDYDDLQRRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSSSRITVLETQVYGQGLVLVRKITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAMQEGRAKQLEEEIKELRTRHKKELQDATEHRELLEKDLEREKAARAELEKTSSHDAPKIPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSAESNMSYYLRSMTPSAFESALRQKDGELSSYTSRLASLESIRNSLAEELVKMTEQCEKLRTEASALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLSTLV >KN539800.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539800.1:38317:39805:-1 gene:KN539800.1_FG002 transcript:KN539800.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFGSSRKGKRSAGNSGSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPPIGQPPPIHGIGSFNLMAYGGSRSGDIRYGEFQSTSPIIRSPPNHEAIYGAAAHYSHPSSDHTLPLFEPEESIYLRRHYGLNQPVDHSMNSDDPEEVDLELKL >KN539800.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539800.1:23523:25555:-1 gene:KN539800.1_FG003 transcript:KN539800.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLPRSTVLAVALLLLLADSGEAFFDLFSIFRPRSDSDYFPFDGSPGQAKRKPKIEQEEDGAAPATATGLTKVPPLGAPSKAALDTIVLPVDDSAGHAGSWTIVSENSGVSAMHLAVMRHGKAIMFDTSTTGRSLMRLPMNNCRADPRAKREGTMDCWAHAVEFDYSTGALRSLKTATDTWCSSGAFDADGNLIQTGGYFEGDKAVRRLDACDTCDWREYPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYEFVPQPGMTNGQSIKFPLLRETTDDVENNLYPFVNLLPDGNLFVFANDRSVIFDHRTGKPEVIVCGGALKTAFRLGENNTYQPTLRDCARINLGKIDAVWAVEAMPVGRVMGDLLVLPTGDLLMLNGAAKGSSGWGFARQPILSPILYSPRHPEGSRFRPLAASTVARMYHSTSAVLPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFAPPYLSRELTGNRAVIDVASVPAGGMRYGTKFTFRFHTPVAAVEWGDVRVTMYAPPFTTHGYSMNQRLLVLPVAGFSAQGQMYELTVDTPRKPELAPPGYYLVYVVSKDVPSEAAWVKIQ >KN539800.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539800.1:1777:4736:-1 gene:KN539800.1_FG004 transcript:KN539800.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCSTASSVTATACPILVCSAKRIGNGCKHRQIRFTEKFFLSDIVVHKGVQQFPVTKSMKWTQYSSRTGSSPRKKIGKAYHLELKNLGLQEALQGRTFEKELLLISLVPIFFGFGNYKKLIRKDKQHILHGLNEIIPRWACNRIDGAGAISSHSQDVSVPLMATYKSGVFPSSYEILFPEQQEEACKMVEIALHAYAKQKDMPPAKLEIMKVKERSLFEECGKVYAHFNFLVINDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDNGK >KN539800.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539800.1:16926:23046:1 gene:KN539800.1_FG005 transcript:KN539800.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRFFVALGRTYTQEEFEVLCFEFGIELDDVTTEKAIIRKEKHLEDDDGEVDGDDDEVIYKIEVAANSFWEGHFDISKHYMLMFIANACRYDLLCLEGLARALRIFTGIEATPMYKISCIPRDSMLQMHVKPQILTLSTFMMEKRKRTLVAIGTHDLDTLKGPFSYEALPPQEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVSHDGSKTIYPDLSCYKMEVSLSEVVGPIGISLDETKVISLLNKMQLQAESCPSKGEPRISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKLRAEVARAGYMEVLTFTLCSREENFDMLNRTQDVNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDGSRDVGASNNRRLAALYCNKQSGFEEIMGLVDRIVNVVRAPHIKFGQNYYVPTNEPEFFPKRQCKIVMSDGKQIGYLGIVHAEVLRKFGIPDPCTFVEIDVEALL >KN539800.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539800.1:63659:67681:1 gene:KN539800.1_FG006 transcript:KN539800.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMADGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESSPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVAMRLLSDALLNKPHTGLHEVAVLHKKSSLGQDKLYLEFPQVPKL >KN539800.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539800.1:12128:13938:1 gene:KN539800.1_FG007 transcript:KN539800.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFP homolog 2 [Source:Projected from Arabidopsis thaliana (AT4G28910) UniProtKB/Swiss-Prot;Acc:Q9SV55] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNGLAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAQN >KN539800.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539800.1:29587:34304:-1 gene:KN539800.1_FG008 transcript:KN539800.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAGGAGGNRDDGSSDPWKRKGDKKKKKDDKPKERKRGFGVAKLEIIRIQSELAEQKRKNELAEQERRQNALNQQGPPQIPDGTTDGVMHYGSEGMGAMNFGQSQSTPLRPPGTFGASSYSNTNIISGPPGAFGAAYYPYSNNIMLPANEVTMAQPLSQVPNSQELVDLMMGGGHSTSAGESTSKNSDEDPEGLDLELRL >KN538803.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538803.1:90292:91257:1 gene:KN538803.1_FG023 transcript:KN538803.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSEVEVDGVVFPPVARPPASARTHFLAGAGVRGVEIAGNFIKFTAIGVYLEEGAAVPALAKKWAGKSADELAADAAFFRDVVTGDFEKFTRVTMILPLTGEQYSDKVTENCVAAWKAAGVYTDAEGAAADKFKEAFKPHSFPPGASILFTHSPAGVLTVAFSKDSSVPEDAVAAAAIENRALSEAVLDSIIGEHGVSPAAKRSIAARVSQLLKAESTGDVAPAEPAPVSA >KN538803.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538803.1:56922:60859:-1 gene:KN538803.1_FG024 transcript:KN538803.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHKRKLEFSSFSFGCESTSSEHSPGHFERNMANATSGVAVSEECKARFQELRAGRAHRFVVFKIDDAMRQVVVDRDSSVYSDPTDSIDLMGISLANSSSGVAIHDDCKLKFNELQSKRMHRFITFMMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFLTAEDVPKSRIFYILWSPDNAKVRSKMLYASSNERFKKELNGIQLEVQATDAGEISLDALKDRVK >KN538803.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538803.1:1343:2399:-1 gene:KN538803.1_FG025 transcript:KN538803.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVTSAVVGQITNRTISTVGRRLQAPATAHEKLQRLEMLLLRIRSAIESSSLLQWRQKLREAVSTEKTEEESSEPIVMSLHRLSAMLLSTLALPMQNLKISTKTTEETITSEELENTEVLVEDQKELAEMLEQLLICMGRAVKKADERNLDDFKWLGQWAAVLREAEQRGNALVGTIFAGLDGDGKAVSEKADDLLYGFARAVDRLALDVPCFKFSTS >KN538803.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538803.1:817:993:-1 gene:KN538803.1_FG027 transcript:KN538803.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVIGSITTAVTAVVADRAVSKILENFNQQTATAEKLQRLEMLLIKIHSTIEVLEYM >KN538803.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538803.1:114423:117693:-1 gene:KN538803.1_FG030 transcript:KN538803.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTWCCGCCRCSCLATARSWAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQEKHYSIKNPML >KN538803.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538803.1:52823:55483:1 gene:KN538803.1_FG031 transcript:KN538803.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTLAASTSMKWSRLDPPQPPRYYKACFRVQSYKAITMKDLICKNCRRPGHFARDCQSTATCNRCNLPGHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >KN538803.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538803.1:12672:15588:1 gene:KN538803.1_FG032 transcript:KN538803.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MPEESSASATVSSGFESSESFAAVPLQPVPRFSSGPISAPFSGGFMSGPLERGFQSGPLDAALLSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARTEKFQDSADLGSPDAAAAAVAACGGDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWDQREQNVQHDQRPDQTGSAPSTTASDNQDQWGRRRRTRRSRPPRGADDDQRRWKCEWEQERDCSNLKPPTQQRLRCNSENDHVAVLKALTRALHRTEEAYLDIADKMVGEFPELALMGSCVLAMLMKGEDMYIMNVGDSRAMLATMDSVDLEQISQGSFDGSVGDCPPCLSAVQLTSDHSTSVEEEVIRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >KN538803.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538803.1:79910:80909:-1 gene:KN538803.1_FG033 transcript:KN538803.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKSEGEAEAAAAQKSACCGS >KN538803.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538803.1:99282:104114:-1 gene:KN538803.1_FG034 transcript:KN538803.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEEQAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKNYISTFKFKSIDTETFLEFLKTNVPGIENQIDLQLWVEGTGIPPDAMEPESAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYELSAKEVTKMGGGKDNHDSSNADKGFHGAYPSGYPGAYPPMQGYPNSPGQYPAPGGYPSAPPGQYPPSGGYPGAQYPPGGYPPSQGGYPPGAYPPSGYPQQPGYPPAGYPGHGHGPPMQGGGVVGGGHGAGASGYGALLAGGAAVAAAAVGAHMVRPGGGGGHGMYGHHGGKFKKGKFKHGKYGKHKKFGRKWK >KN538803.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538803.1:70767:77199:-1 gene:KN538803.1_FG037 transcript:KN538803.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAFAEPKTKYDRQLRIWGDQGQAALEKASICLLTCGPTGTEAMKNLVLGGVGSVTVVDVDAECLGQSRAKSVCSFLQELNDAVNAKFVEESPLALIDTNPSFFSQFTVVIATQLPERSLLKLDDICRKANIVLVAARSYGLTGLVRISVKEHNVIESKPDHFLDDLRLHNPWVELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGRLPSTRQEKNEFKALIREHMLNLDEENYKEAVESSYKVSVTPGISDEIRQIIDDSSAEVNSSSSDFWVLVAALKEFIANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLALEHHVKDILKRIDRDPDSISRAYIKTFCKNARKLRVCRYRSMEEEFSSPVLSEVQKYFTDEDYCFAMNFYVLLRAVDRLAANYNRYPGIFESEIDEDVPRLKTAAVSVMSEMGMNGAPLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKELKQSKIDRLPREMF >KN538803.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538803.1:38599:42855:-1 gene:KN538803.1_FG038 transcript:KN538803.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFGLFPKKVTRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIQDEKIASKLPAEDKKKIEDAVEQAIQWLDNNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMGGGMDDDAPAAGGSGAGPKIEEVD >KN538803.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538803.1:87746:87997:1 gene:KN538803.1_FG039 transcript:KN538803.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWVKSARKMAPVWGSEGLLEKILQMLVQRREELGLSKTKPEKKALDRKWPDLSDCIDRVGRDVENMIKDDLLEEMLLDLFS >KN538803.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538803.1:46495:49988:1 gene:KN538803.1_FG040 transcript:KN538803.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKTFQSSSKPGSDRKYQGALVASPAKAISPKTVKQIVPGKHLILSGESTSHLASFLVKVIALEVVRRFSKARCPFIWNSIQALQVLGYPPFRWIQQWAPLKFIVQGIQKLSTPLLFLSVTTTLCDRSFKRNDELGSNTEAPDVPSESDETASTSGIRDVADGTKDTEPENWLILLFKELEKQGITLPERFNEDELRRFYIAANGDFSSLLSSVKKTIRWRETFHILTLHELEKWSHLVFWHGFDTMLRPCLIVRLGLACSSLAPSDRPRFGQAVVSQIDNGIVHLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKKTLAEFLQIVPTFLGGKCSCSQCEKPRNISVIQAGEGSKSQPRQITIDDGSPVASMDFDEAELPSPYSCENAIRAAIIGVLMLWVFIAFLAGMNDPESISSHAP >AMDW01033798.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033798.1:135:372:1 gene:AMDW01033798.1_FG001 transcript:AMDW01033798.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQ >KN540354.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540354.1:8621:18481:1 gene:KN540354.1_FG001 transcript:KN540354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRWRRLWALAPALRFAVGPGSFADEHGEVDREKFAVSREVIFVEHVAPPADVEEEEEEIDVEVVELPRSTRAEVMSLTLGYATVSVPATGAFRALTDFTLHHAVLDTGSGGDDDLRLGHLLSSSCCPRLRRLSLRHVGGVAALRLDAAATLEELRLVDLPELEWLDVDAPGLRLLRVSDCSRLPCSDSSAMAISAPRLEEFSCDGLVDPERLEFNGAAAVRRIKKLQIMSFGDADDNAAAVWLLKNCNAVDHLKVELNIRLTRQDYEDIIKDVPQLPSVTSLTIEASSLFNGHAVGASLAKFIAKCNNIKYLRIDFSGWLTIHGRRTKLQVDKDHDLGIAVIIFAITINAYDNDDLRLSHLLSSPCCPRLRRLELRHVAGLIRLHLDAAGTLEELRLVYLPDLLRLHVDAPGLRLLRVGHCNHLPLSDDPALARVSAPRLESLAWDGLEHIACREVVVATETVRHLKKLSSEDTVKDIPHLPTVSKLRLDVSTWWHGHTIGATLARIIERCNNIEHISIRVRGLWEVCSDAQCNCSQPKGWEDQKIQLDNLKRVEFKGFIPFDDRKSLLRLLLKNAPALEKITVKFDPSYIFETPKETRNKIDFGMPGYQGSWTPCAWMFRESGIFDGTTKYEWTREKPTDEGI >KN540354.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540354.1:44142:47213:1 gene:KN540354.1_FG002 transcript:KN540354.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEITQETAAPAAAAADVDIISDLTNDVLLIILGLLPAARDVVRTSALLLSSASCPNLRRLELSDIDGLINLRLDAAATLEELRLIGLRDMEQMEVDAPGLRELVLKRIYAHLMAAASASAVRIAAPGLQALTYEYDYACWGGAFPMVLDGERTAKLQVLSHGVPDKDNNGAAAWFLQHCAAANRLDVVLKMEFDEEKMEDIEDLIKHIPEVPNITDLRITVAISTGTVDTHAIGASVTKLIAKFRRIEYLSIDIDKKAGDCTNFDCKCEQHKGWSNEMIPLDRLRMVDIRDFLPFNDQIELVCALVASAPALEKMIVALHESYEETRERTNNTEAYLCIPSCGGRWTPCAWNGGKFGSPTKYEWKPCKRKRSEERVEKV >KN540354.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540354.1:38332:39471:1 gene:KN540354.1_FG003 transcript:KN540354.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEEKLHAGIEDVIKDIPKLPNITDLRITVAMSTDTMDTHAIGASTTKLIAKFSRIEYLSIDIDKKAGDCTNFDCKCEQYKGWNNKMIPLERLRIADIRDFLPFDDQIELVCVLIANAPLLEKMTVALHELYGETRQRRNDMDAYLCIPSCGGRWTPCSGNGSKFGSFTKYEWNPYKRKR >KN540354.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540354.1:23721:24844:-1 gene:KN540354.1_FG004 transcript:KN540354.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIPYLPTVTNLRLDVNTWWKGHTIGATLARIIAKCNNIKHLSIRVIGLFKVCSDPQCNCSQPEGWEDQKIRLEHLKKVEFKGFIPCDDRKSLLRLLLKNAPVLEKINVKLNNTYILQSPEEVREYTNFDVPGYQGLWTPYEWKYRECGIFDGATKYEWTRETN >KN540354.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540354.1:52848:54967:1 gene:KN540354.1_FG005 transcript:KN540354.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRSARRRTDSASGQGKLIIDHEDIMKDIPELLNVTDLRINVEVSMSPHRAGASLAKLIAKCCKAECLSINISDQGRNQCVNSMCICDQPEGWEKETISLECLRIVEISSFLPCKDQIRLMHLLLASAPVLERMTVTIYKQYEDAKDLDLGILGFGGRWSYSGPEYHRSGFSVRYEWTPSKKRKLVEMNQEEGKLI >AMDW01030838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030838.1:196:396:-1 gene:AMDW01030838.1_FG001 transcript:AMDW01030838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPRE >AMDW01040213.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040213.1:892:1192:-1 gene:AMDW01040213.1_FG001 transcript:AMDW01040213.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ENPDLWKWLTSQEQPPEAVNSNPVFIAVKLKVTENLSKHSSPETRSAPGQPWVRGWDDKRGIEGPKYGNQ >AMDW01004866.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004866.1:2:193:1 gene:AMDW01004866.1_FG001 transcript:AMDW01004866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRGVFVGNCYAVRAGRVAARFPNVRALT >KN539166.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539166.1:119171:119815:1 gene:KN539166.1_FG001 transcript:KN539166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLLVVLIAINAGSLSPPCATAAKDWVKLSLELPPRTPPGQEPSPAAYQFSRTICRIPKDPIYKICCFGELLPYAESFQDNQMKATQVATIILLTKIQALDREVGAVRSRGIRDKNIDDCTSFFGIGSSNSESTNSVLAALDRLAAAGKGRRKKEDVETVLKWTKNLETQYNGATSKCKLGDLFKYCDMVPTVREIDAATTIAIDLLNAIKL >KN539166.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539166.1:37313:44929:1 gene:KN539166.1_FG002 transcript:KN539166.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRRRKALKFYNRQLVTGRSLHTMPRARDIGEEIRASRRRRGVPEQPPNEGEEQQDNGSAGVTPQMSTQTRTPRGANTIPPAPTTHAAKTVIIPSGDRNWKELPFNPKGRVPNTILGALLKHHYPQIVHDRSLKQPCDVPAKKWRHWCMKGPQDDTCAHKVRSDFMARYKWPDDQPDLKEKWITTLEKCCEKLATQEIYQVRIYAVMAYHIEILKEIISFDEAKIVHLEANQYRRVPFMWVNTNAWEALSNWWATDCFKRLSTLKRNARLSRPESTNRGGSSSVARTQQILERTYGRSFSIIEAYAVHMGASKQAVAEGQGNDLPPIPDERAQENLDKYRDGMQAAHGPNVIWVRAPLDAQVMYECTGRKAHGKFAMADGAIDSSDVQLSTNAHPSHTYTVRPSQIEVELRQELANFKRQRQEDCQSIQNALSEFNNQIKEYMMNGSASTPPPQINLAALFPSHSSPTTQQNTTDNSSRNVFNQIDGNNSGNCSQQDAGLSNNEQGDMGNNSENVVLQRMDGSTFRYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPDPFFSLFGI >KN539166.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539166.1:75942:82543:1 gene:KN539166.1_FG003 transcript:KN539166.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHCISWLDNQGNPLHQEMLETKSGPASSDARPSEGAQSSTQNGVRTVLDQMQVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGTLLSLLFFNDRESISEVRYINLSYLSEGNMQLPNQLGDIVNVTDAATRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATVGTGSVQMGPIFSSLTSHRSVLHPRDVDIHVRTSGSVSLTGTNPPERVEEHQTQDRTDRSANASPANSSEAFAGVTAGAPFSVESGVRLVPHRTVVAVPAGISHPPSMSSSGVGIIYPLFARIQQRAYTNAQVAHSANQIPNPQTSQYHEAGTLGSPVDTNAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGTNQHAPASAEQVDVRNHGAPEVSGVSDEGLRFANLVRQIMPFISQVESHHQSASAVSSSIPSQNK >KN539166.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539166.1:53187:62407:1 gene:KN539166.1_FG004 transcript:KN539166.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSAAAAGKGKEAKGKATASVSASAPNVEPDVAYLEAVTQKRIRQFEEIQAKQALERLSIGGEPIRITLPDGAVKDGKKWISTPMDIAKEISSGLANSCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNETHFGIIDAQAQKAVAVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRESQIKDEVKSVLDFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNSVEYAKQVRARIHEAGFHVAIDETDRTIQKKGLFHFGFAHAARKFYYFSNLVYTVLNNVREAQLAQFNYILVVGAQEAETGQVSVRVRDKAELATVSIDDIITRFKEEVAAYK >KN539166.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539166.1:136828:137765:1 gene:KN539166.1_FG005 transcript:KN539166.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLPLVSKLQEVALSEGRALVGVGGEINRLRDKLMWLMAFLQEADPQRRAADAGGELMRVLVHQTRDAAFSAEDALDDYAVRVDLSRYPGWSRAAVGFLAGITTQLRVRHRLSSDIAAIHARLEEIVGNKDKYRLEGSAPSSLLTWTASAASSNFS >KN539166.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539166.1:47628:51702:1 gene:KN539166.1_FG006 transcript:KN539166.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKSSSNESLRHRHAEDIEEGEICNPGGGGGCYVLGSDTEEDNEEEKVGMRPVTCKWCRLKDILALPSPMPSSSGSEGTINDHGAGDAAAVLRLEASPCHLCSREFGNMKVVHGHMRVHQIVMGIMFIL >KN539166.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539166.1:102108:104899:1 gene:KN539166.1_FG007 transcript:KN539166.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEQYGWYDADGDVTRYLLDPTTDIAMFSEPPVVVTVPVAAAVESHPTPAVRVVERERPAQVQISRFDPRSLPPLPPARMLPGGQQQQPHPAPGGLDLRSDEIHTLKQRRRPPPHPQSSSPPDGLLAAASPDRPDDDELDAILNSSGDSGGGAARVQVLPVEQDANLVPFLPLRHGQLDCSRCHLVRHVMHVAASRTVNLFVHSAAPGTFEHAIVDRSYTAANGQITMEEQLYFDLSKWTQEWASEFIARNIDTMRNNTNGQLLDSGYSNLVESVRTNVNVPHTAVEVNLLQMIMSAPSADHHQNAAEVAAPAAQPFSSAPPVALPPKAVPRKARKDRDYASMLVAVEEFYVAATSRPVPNSDVEILESSHVSHQQDGGRAIIYPSLQARRGKTKQEVPRRNAKDVLEYLSLARKETEKEINTLSSFDGIYRNDGTLSYLMTEVRRLNRKIWRLQKNAPSTLSSRLLASVKEIDDIKVEKGRLYAQFISALKKLCRKKMDDGGSAPSANN >AMDW01020921.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020921.1:42:209:1 gene:AMDW01020921.1_FG001 transcript:AMDW01020921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSMMAPHVNPRATEYGVVLSGTGCIEVVFPNGSKAMSATVRAGDVFYIPRYFPFCQ >KN539884.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539884.1:26299:29996:-1 gene:KN539884.1_FG001 transcript:KN539884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDMISLSGFEFELVHPANDEGIFADEGSSDPSFDSVEPTLSEGSVGSVVQQENGENHALPGDSCAKPDENHVLSEETLLEKDQLCSNDEAQGDGMDALTKDNVDQGENLPRTDDDSVVHPEGEVESEGVPRPARLSRVVPPGLDEFKTRAIAERGKGVPSGQPGNVIHRREPSGKLYNYASAAKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMLSSLNYPTDSWETLGRFTVANAKIAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKRLEPDDKMKEPVDQQTQLKEPTEGKESSHEPLDEDEFELEDDKLNGDSSKNGAHDQVTETRPIQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDTKDALLEKIKLELKHLESSKDDFAKEIEGILSWKLVASSQLNQLLLDNVIIRSELERFREKQADLENRSFAVIFLSFVFGCLAIAKLSIGMIFNTCRLYNFEKFDRFKIS >KN539884.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539884.1:12359:13598:-1 gene:KN539884.1_FG002 transcript:KN539884.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFKIVLTRKERFLYLAKAVVFLVIFALGVVAGLWTATGPRRCCSTYTSILFPSTTVYRYRGGGGGGGSFSEFVAPTRLMHDMTDEQLFWRATMAPASSGGAYPFQRVPKVAFMFLAGRGVLPLAPLWERFFRGHEGLFSIYVHAPPGMVLNVSDDSPFYGRQIPSQETSWGSITLMDAEKRLLANALLDFSNERFVLLSESCIPVQSFPAAYGYLTGSRHSFVEVYYHKGKTCRGRYSRRMEPDITLPQWRKGSQWFELRRDLAVAALTDARYYPLFRRHCRPSCYPDEHYLPTFVAMLHGADNSNRTVTYVDWSRGGAHPVTYTAGDVTPELILSIRRSEVPCMYNSRPTTACFLFARKFSADALEPLLNISSTVMQY >KN539884.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539884.1:31154:33343:1 gene:KN539884.1_FG003 transcript:KN539884.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPTRTVYIGNLDEKVTERILYEILIQPGRVVDLCIPRDKETSCPKGYAFAEYETEEIAQYAVQLFSGLVRLYGKTLKFAISGQDKPSSNGNNPVMPKLNPVPLPKQPQFVHHSDMPVLHTPADPMVNGGTRDYGFSNYYPYSANPQALPVGPVHSYGGFSNENLSTTLILLFFGDGRAATAKAVLRLLPTLETMSCARLLSNTLKALKAIFVVYLNDHYSVILEDVDVSTSAVDFL >KN539884.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539884.1:54361:55934:-1 gene:KN539884.1_FG004 transcript:KN539884.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNPPFLHTNTGIGLFWEISLHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVIYRVTLHGTDAEIYREATGTSSADDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >KN539884.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539884.1:9235:11172:1 gene:KN539884.1_FG005 transcript:KN539884.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGDKAMACGVVGGGGLGGGRAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGVAGLITFNGFTILDRSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSCSFQDEIICPGYEKIIKGEGMTIADQKGARGDLRVKFEIAFPKQLTDEQRDGLAQILRGCAWD >KN539884.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539884.1:46676:51704:1 gene:KN539884.1_FG006 transcript:KN539884.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVIGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAAAGMVTGSSPFYPYFQFGQSGSTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >KN539884.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539884.1:141:251:1 gene:KN539884.1_FG007 transcript:KN539884.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding ACLNMNGCTVSLSDEGFGEDLCPGVTKTLAIEADCS >KN539884.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539884.1:17248:20904:1 gene:KN539884.1_FG008 transcript:KN539884.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTESGSGGVAESAPSTPMSAMTTTPISARSVRWESDMPDIEEGGGGLERPLLRRRGTNTTSQMAIVGANVCPIESLDYELVENEVYKQDWRSRGKLQIFHYQILKWVLALLVGLIVGLIGFFNNIAVENIAGFKLLLTTNLMLQNRYKAAFLWFISCNAMLAAAAAALCAYFGPAAAGSGIPEVKAYLNGVDAPSILAPSTLFVKIVGSIFGVSAGFVLGKEGPMVHTGACVASFLGQGGSRKYGFTWNWLRYFKNDLDRRDLITCGAAAGVTAAFRAPVGGVLFALEEATSWWRSALLWRTFSTTAVAAMVLRSLIEYCRSGNCGLFGKGGLIMFDERKGAPYKIILTVVISLVTSCCSFGLPWLTACTPCPPELAASGHCPTIGRSGNFKNFRCPPGQYNSMASLFLNTNDDAIRNLFSGGTESEFGVPMLLAFFTAVYSLGLVTYGVAVPSGLFIPVILSGASFGRLLGKLLGALTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLVSKTVADCFNKGVYEQMVRMKGLPYLEAHPEPCMRSLVAGDVVSAPLIAFSSVESVGTVVDTLRRTGHNGFPVIEDAPFAPEPELCGLVLRSHLLVLLRAKTFTADRVKTGAAEVFRKLAPFDFAKPGSGKGLTVDDLDLTEEEMAMYVDLHPIANRSPYTVVEDMSLAKAAVLFRQLGLRHMCVVPRTPGRPPVVGILTRHDFMPGYIRGLFPNVLRE >KN539884.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539884.1:58500:69867:1 gene:KN539884.1_FG009 transcript:KN539884.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD1 [Source:Projected from Arabidopsis thaliana (AT5G49880) UniProtKB/Swiss-Prot;Acc:Q9LTY1] MDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELETQLKKEIDSRIAAESSLASAKESVKELEGNLQRLSENSEREKKALKKELSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKQLDESVREKNEMEHRLLNCSSLSDQRTPSDDQKLIKLLQEELRNYFQGELFFYVSYHNQAVLKALIFAQEKEVDEARRLKSSHTNVELLKEKLLEEQGRRERAEMELSKLQEIEAKAQKLELELASCTGLLSNIPDVSSFGDIPQKISDLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQRAEGEATLAKERAESATREIKRLELLLAAISEERDRLRKDHAVSKSRDGDDASSKFCAFIILNGMHNISCELTMQNMESDLSRMEKVVTELESTIRDQRELISQQHTELNLMNEKLSIESRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDVNIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILHSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIAQQRPGGGAVATSGLVVAGEQLQDVLLYWLREQTHWDKLRRLQKEKGIVIRIYFTTAVATWDADFYVKVDDDVHVNLGMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMKHIHRACGEGQGAVWSVAT >KN539884.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539884.1:22527:23538:-1 gene:KN539884.1_FG010 transcript:KN539884.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIGEDMLTEILVRLPYKSLARFQVVCFTGWLPRGASVEVFDSEGGAWRDHELDFGLDTDAMSATMHYFDGAIHVLAYSGHVVRIDLGTMADGTRLKFWELKNAATSEWALKHELGVNDLVAGEASGACQAVTFLFMAFHPDREVVYLWTPWKLVAFDMEKRRVEEEWEVGPEKEKEGAHLIQIWLFPFSRHLANSLA >KN542745.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542745.1:269:4203:-1 gene:KN542745.1_FG001 transcript:KN542745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACLDQAPERRRVRDAFKDVQLSIDHCLFKGQYSDIGTKEVLFLALAIILQFLHAKLAILMIIGCGSQGIARKIASAGYGVFALDYPGFGLSEGLHGFIPSFDTLVDDVAEHFTKVKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKQVLIFMARLLPKEKLVPQKDLAELAFKEKKKQEQCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEE >KN542745.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542745.1:12234:12977:-1 gene:KN542745.1_FG002 transcript:KN542745.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHVRIPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTVFVFSSGAAFWRAAVLDACAATEKLSLPQSVHGYVYWRTHSSSTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADELWRHDRTIPLLPGYKWRTARMAEGYLLLHGIVGNNSWSTPGIQLQHFTLDLKTLKLESLCASISRGRHHHPQFDLYRSFPPPLSLSSI >KN543312.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543312.1:1509:6656:1 gene:KN543312.1_FG001 transcript:KN543312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQNGAKGIKNRGRGLVVEGSCQMKAQDMVSRSSCSKNKVFGSLPVDSIPEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFLRYAQDHASNGYANAKSGTNITKSSLRRSHCNQNSEGPGVTSDKAGSANAGHCFSLGTSFAMETSEIDESYATFLRLLKIKDGLMVIEPEPGVTIVYGQAEETPVGYEELRIATCTNGRDSLMTAFENMGEENAMNTDGDGLGKINNSASEREMDGLALEDMGGQDLVCIDEHGLPPYTELFDLNVCGDEQGEPLALSCGIPSTFDEKLNDVLSKPYDLNEYKELLRKATDRKLVSRQRHLRNASKPYATRAVGLSFLDHYPDLAIQIDSADSDERKLCLLRKFFFWLENLCHEGAYMPWIDKPLACNPIDADDYETDDPTAIEIIQDED >KN539856.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539856.1:3186:6574:1 gene:KN539856.1_FG001 transcript:KN539856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCCCCPCPAALGIGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQTLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVLSLKLALWMQIIGFSMYRLGVSSSTPTYHEVNYDGRNSFLSPRSSSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCNHQGDKQSGSNDSGSTSAGQSPRLKSFASRSFVANDLEDHTFQFSDKYFS >KN539856.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539856.1:76727:76906:1 gene:KN539856.1_FG002 transcript:KN539856.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAGERQSKAAAGRADQRSGGGRNRPEKLLEFAVDTLALLLLRFVGASATAWLCNSL >KN539856.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539856.1:20517:22370:1 gene:KN539856.1_FG003 transcript:KN539856.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRLVYVAYALFRVPRLFLGKEALKVWTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKASGKGNAPKPGKGPKLGGGGGKR >KN539856.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539856.1:12830:13393:1 gene:KN539856.1_FG004 transcript:KN539856.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNILIPLVSSLMMLVLGPLIIDVISVSKKIGRLFSAIARFLAHDDSVINSMIVDNDPPPSSQLLGGGVSCCDAMAVTTRLGLRWRRSGEVAMECQGCDIPMDATVDELLDRKMASEGELKEAFYVFDRNEDGFICASELWSVMRRLGFKEGQRYEDCMRMIHTFDEDRDGRISYLEFRRMMEDAV >KN541539.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541539.1:3:626:1 gene:KN541539.1_FG001 transcript:KN541539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLAPSLGLPSSPEAAKEAEKVLFRSLTLIESMWLKGNAKFLLGNPQLSIADLSLVCEIMQLEVLSDSERDRILGPHEKIRGWVQNVKKATSPHFDEVHELILKAKTRTAAKLGSELSKDLKTASKL >KN541539.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541539.1:5759:11196:1 gene:KN541539.1_FG002 transcript:KN541539.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDMEDNIDTFMLCVDGLEPAKKQNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWDTSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKALFEVGERYFIELINRSMIQPMENDGKISGCHIHDMVLDLIRNITAEENFVKVFDKLHEVHRLSSQRTTVRRIALHESWNQGKNNDLAVGMTQLRSFNAIKCAISMIPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLCVFRICGIELPRLPEWVNSMCVPYLSELRLDVFISLSHFVFQYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQETHVAKQKICVSVFLFVRACESGERGTGNGELPSIDLLHRIELNILQIEGSGTLLEVYVAADVGIHFADHVQLLLRLVLAQDTHHGSELFAGGRTWTVDSNI >KN540426.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540426.1:7423:22998:1 gene:KN540426.1_FG001 transcript:KN540426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLVTDVPVVDGIMDIENYAPDMVYVEPDKEKYEEQNVLSMLEAKITKLEEGKHTKAGEGNEFHQEELEYSAENPITAGSIEVSDLALSSIQSIQCEESDQLKLVRAYVESDCMTIVEAKIQKEQSSPKDIEVEVVGLQDISGLKLEVDVLGLEEQNIRCSQVEKFQDKTEFVADKYHERDLDHVELVVPDNDKEHDALYVVEPSASLLDDEGTNFVEDDKLAHAKLESLLKNVSENRVESLVQEEANTNETELLEPDMKSNADLKAIRIVKSKESDDMKLCKEDCISDCLAMEEDDMIKATSAVNDNGVSVVIHEAKDDNKNVFEDHGMIEDKTYIVPQKYFEPEKFSIEFKILNGEAEEDKQKEILVLQDKSNVMQDKKDQNNLEQTDSNGGEEELQVKDKDLVASEVEESELKVTGLVAVSDIELRTTEAIRCEESDRVKLTRDDLESDCSAVHTDKVLRDASLGKNFEESGANLAAVDDDKNHIVDWGMKEENSISLQVETMEDKTNFMPEKFSEPEKVYTGCGKLNNEKEEQEENDKINTLKDEKDHNTFEHTDSIKGEVQLPDKDKNLVTSEAEGSVLEVMDSVSLSYAELRSIETLQGEEGDGVKSMEDFSKSDRMIVEASVHNELSTPTNVDVEEVDLQDDDTFYIGEPKAVKLEDENETNIEEDDKMVLEEVESPIKNDNKTVLVEVESPVKNVCLETLGTERDILSGDEKEMEVGHVNECSEIEVGLMVKEVVNKIELEEADSETKSNADKKPIHILQFEHMDEAEFGADDSKSDCLAVNADNVQRDASLRKNIEESSASLDGADDEKNDMAYCGMKEANTMSLQVETMEEKTNFTPEKSSVPGKNYTEFENLNDEGGEEEQNDKIITLKDEKDHNTFEHTYSIGGEVELLVKDENLVMLEAERSVPEVMDTIAVSDAELRLIEHPHCEVTDAVKSAGDYSECDYMILEGNLHNESSTPIDVGVETADLQDETFCIGEPKETKLEDEDEPNTEKNDKMVVGEVETPMKNVSLESLETTENITSEGENEIKAGHLCESSENDIRFLEKEDTNKIDLEVADSVMEGYDDQKPIKILQWEHTEEAEYGTVDSKSDFLTIYADKVQRDASRGMNVEESGASLEGVDGGKNDVANWGVKEGNNLILQVETMEEEINFISEKFSDPKKLYTRFENENDKIEEQEENDKSSALNDKKDKNTLEHTDSVGGDVKLLVKDESLVTLEAKASALEVMDLVLVSDVELSSNETLQCEESDRAKSTGDYLEDEPSTPTDVEVEEVDLQDETFYIREPKVAKLEDEMETNTEEKDKIIVVEVESPVKHLNLETGENIKSEDEKEMKVGHLNKSRGNEMEFLVKEDAKITELAQTNLDIKNSANQRAIQNIQYINMDEAEFVTDDLKSDCLAMHADNVQRDASLTKNIEESSASLEGADDEKNNMADCRMEVGNTMSLQVETMEEKTNFILENSSVQEKDNTGFETLNDKREEQVKNIKLNPLKDENNHNTFEHTYLIEGNVELHVKDEKLVTLEIEGSELEVMDTVLVSDAELRLMEPPQCEEIDAMKSTGDFSESHCLILEANVHNELSTSTDDVVEAADLQDETLYIGEPKGSKLEDHSEPNTEENAQMVVVEVESPLKNVCLGSMETREYITLEEKEMDVGHLGASSGNDFQFLEKEDTHKIELVEADSSITYNDDQKPIKKLQWEHMEEVELGTTERSALDIMNSVLGSDVELSSIETLQCEESDRAKLTRDYLERDCVIVEANVQDEPSTPTDVEVEEVDLQDETSYIGEFKDAKLEDEKETISEEINKMVAVEIESSVNKLCLETTENITLENKKQMGVGHLDKSSGDEVFSVKELTNKSELAHADLDINDNSEQKAIQNLQCIKIDEAELVVVDSKSDCLAVHAGNVEVDASMTKNIEESSACLEGADNSKNDVVDFRMKEGNTMSSQGETMEEKTNFMLEKYSVSEKDYKRSEKLNDVEEEREENDKTSTLKEEKDHNTFEHAYSIRGEGSALDILDSALVSDDAFRLMETPQCEQSEGVKSTGNYLESNCVTKKANVDNKPSTPIDVEVEVTNLQDQMLCIGEPKAAKLEDQNEPNTKENNKMVGVVVDSPEKHISLETLVTREHVTSEDEEEIDVGHLGESGGNDTGFLDKEDTNKIELQEADSGIQCNDDQKPIKILQWEHMEDAELGAGEGSTIEVTDSVLVSDVELSSIETVQCEESDRAKSTEDYFESDCVMEQANMQDESSTPTYVEVEEVDLQDETTYIGDPNSAKLEDEKQTITEENDKMVVVKVESSVKKLSLETAENITLEDEKEMEVGDLDKSSGDEVFLVKEDANITELAQAGSDIKKNSGQKAIQNLQRVEMDEAEFVTVDSKSDCLAVHAGSVQIDASLTENIEESVASLEGADDAKNVVVGCWMKEGNTMSMQVEMMEKTNFMHEKSSVPENICTIFEQMNDEGGEEEEKEKTNTLKDVKDHYTFDHTNSIRGEMELLVKDENLVTLGAKGRALDFLDSVLMSDTKLRFVEPLQYEESDGVELTGDYSKSDCVIVDANVHNEPSTPIDVEVEATDLQDETFYIGHPKVAKLEDENETNTKENNKMVGGEVDSPVKNISLETMQTRKNVILEDEEEIEVDHLNESSGNEVGFIRGEVDLLVEDENLVTLGAKESALEVMDSVSVSYAELRSIKTFRCEESDGVKSTKDYLESDCMIVPSDVHYELSSPRNVEVEAVDLRDETFYIGETKAAKLEEKNETNTKEDDKMVFVEVESLVKNEDKTDLVELESFVKNVSLETFGTEENIMSEYVREMEVEHFDQSNENDLGFLVKEDAQNIELEEINSDKKSNADKMSIQILQLEHIDETEFGTDESKSDCLSMHLGKLQRDASGRNNVEESGAILDGANDEKIDMVDHGMKEGNTVSLQVDMMEVKTNFMPKKSTVPEKDYTGFENLNDEGEEQVENDNSNTLKDEKHHNTFELKDSVGAEVELRVKGENLVTLETEGSALEVMDSILVSGVKLRLIEPLQCEESDGVELMEDYSESDCMIENANVNNESSTPIDVEVETANIQDETFYIGEPKTTKLEEGNKTNMEENDKIVVVEVEPPLNNLSSETLETRENITTKDEEEMEVGHLNESSGIEVGFLVKEDTNKIKLLEADSNIKSNANKKDIQILQCEHTDESKFGTNDPKSDSLFVHVDMVQRDASLGTNIEECGASLEAADNEKKDMADCGMKEGNTTSLQVETMEEKTNLISKKSSVPEKVYTGFEKLNDEAKEQEGNNRSNTLQHDKDNNTFEHTNSIRGEVEWLEKDDNLVTSLTEGSALEIMDSVLVSDDESDGVKMTRDYLESDCLQVEATLKNEASTPTDVEVETVNLQHDKFYKREPIATKLEDENETIVDENTVFTEEGIPMENVSLKTIETGEIIVSEDKEDNKVGHVEPSGNEAGLIEKEDANGIEFKNVESDIRSNSDQKDIQILHCEYVDKETNFTSKKSNKLEKVYIEFKKLNDEVEEEEKNDKSNIPKEKEDRFTFGHTEGSVHEVMQSVLVSDADLRSIETLQCEESNGVKSTGDYLESGCIIVETNVCNEPSAPKDVEGEVVDLQDETFYIGEPKATESEDHNENNIEEEDKMVFAEVESPVKIDDEMVLADVESPMKNVSLETLKTEENIMLEDEREKNTSEHTDLIRAEVELLVIDENLVTLEAEGRTLEIIESVLISDVELRSIETLQCEDSDGAKSTENYSESDHLIVEANVHIEPSIPTDVDVEAVDLQDDTVYVGEPRDAKLVGENKTKMEEDDMMGLAEVESPVKNVSLETLEAEKDILSEDKEHMEVEHVQSHENETGFIVKEVSKIECEEADSDVRSDANKKAIQNFQFEHMDEAEFGIEDLNSDCLTMHEDKLQRDASRGKNLEGSIASIESVDDDKNDMADCRMKEGNTISLQVETMEHNANFMSEKSNKPEKVYTGFEKLNDEAEEQEENGKSNTLKDQKENNTCEYTDSIGGEVNLLVIDENLVAFEEERSVLEVIESFSVSDAELISIETLDCEQIDGVKLARDISKSDWMIIEAKEYNEPSTQMDVEVETIDLEDETFHVGELRAGKSEHDNETIIEEDDKLVLADVESSVKNVTLDTLETEKNTMLEDEEEMEVGHVVDSCENEVVFLVKEGANKIEFEETIQIVQHVEEKTNFVPEKSSVPEKVFTEFEKLNDEVEEHVENDKNIILKNKKGRNTFEHTNKIRGDIQLLVKDKNLVTMEAERNELEVANSVVVSDPELRSIDSFQCEENDGMKLTRNYLESDCMTLETNVHEESSTPSDVEVEAVNLQDDTFHIRESTAAKLDDENKTNTENISVVMPNKLQKDVKLSLGKKIVSEISRKPINEDVVLSSEKAMEGDKKIELDANIEGNIVSNDIEMVTHNCEICDNCANTMEEDTNGSSHNSPTHVMDSSNTLIYIIKEAITGDEEGVDSSILVTDLQPVASGSHGGNMCPKYFRSSESNSSSRTCISSYNDTKMEYNFTDMTVTKKDKKLHQKLELITEKFLNLLSRMGANTMDFNLDHHHHKSSQQDHDNQKDLSFSCNILVLGKIGVGKSTVINSIMGEEKNKINAFDGATTNVRLVSSVVDGIKVNIIDTPGLRTNVMDQGWNKKILSKVNSYTKKCPPDIILYVDRLDSWSNHFDDIPLLKTITTILGTSIWVNTVVTFTHADSIPPDNSNGDPMTYETFIAQRSHIVQQSIQQATGDMCLINAFSFVENYPYCKRNCQGKKVLPTIQNWRKYLLILRYSTKPKYQPNASINHKGLKEDSSIEVDDYSEVCDDEYEYGQLPTLWPLMKAQFDELMKDKNKDECAYHVKLIQGMQFNGVTQGSMPCDNDLNPLQKNTMSPILNMVIEPSFDFDDPPTHQYNLLEPTSIITRKHVLGAHAWDHEYNFDGASLEKTLVLHKPTKCFEATLVEFSKDMKKSRIHFNSSFTSKHVDDASHCLGYNIQKAWKKLAYCIWGETTTKDTKHKTVGGLSVMFLGDTMLTGVKIEDYISVGESLALLVSTGAMQAKGNTAYGVNMESRLKIKYYPINRLMLLFGLSLIKLHSAIALGINLQSQYLLRRHSNMALHIGLNTLRTGQINLKMSTSKMVQIALLGLVPLATSMYKSFVHSAEHN >KN540426.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540426.1:49917:50750:1 gene:KN540426.1_FG002 transcript:KN540426.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METRGKKRKRERSDLESGEGSSKSLTSDAGNAKAPDDDVIHIANALCTVCAKSPKAVIEFVCRSCLEEMEACNITSNTPKPKAWQNLAKKMFTKCKKKVTKSQLEYIWGQCKKRFQLWVWLESQATGLGRDPLTAAIVTDDSWWESQNGEWCELQNINAVSFMSTINIGSYYSILKG >KN540426.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540426.1:39892:41611:-1 gene:KN540426.1_FG003 transcript:KN540426.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPPFPPLLLLVAVIFSFLSSSCFAVTSHEAAAIARRQLLNLEKHGDHVHIDIDIEIKVSNPRLAAAHRALHALKRALYSDPGNFTGDWAGPDVCAYNGVLCAPSPDNASASAVASLDMNAADVAGYLPREIGLLSDLAVLHLNSNRFCGVIPEEVANMTRLYELDVSNNRLVGAFPGAVLRVPELSYLDIRFNDFDGPIPPELFLRPYDAIFLNNNRFTSGIPDTIGRSTASVIVLANNDLRGCIPPTIGQAAATLDQFVFLNNNLTGCLPLESGLLANATVFDVSHNLLTGAIPPTMGGLAKVEQLDLSHNTFTGVVPGHVCGLPALTNLSVSYNFFAGEDAQCSSALLDAKLDKSLEDEANCMGNVRPMQRSAGLTAGWSEEIKEGWN >KN540426.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540426.1:24345:25599:1 gene:KN540426.1_FG004 transcript:KN540426.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLSSLSAVKRDVTEPALQGNGMMPDPSNLNDTSELGQVHSTNHPIIPRWRGGGPIH >KN547464.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547464.1:8:301:1 gene:KN547464.1_FG001 transcript:KN547464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFPRPEAYRRKTIWDQDQTEIEEHTLHHLVTVAINDFVGLNCKVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASNKAKITVI >AMDW01123580.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01123580.1:723:902:-1 gene:AMDW01123580.1_FG001 transcript:AMDW01123580.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLTVFIIIIIVFLSEKPNNLVEQGIDHGKIKMLLQRVRDIDVVGDELDEITVVNAA >KN543961.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543961.1:1380:3035:-1 gene:KN543961.1_FG001 transcript:KN543961.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRFEAEVAEVEAWWGTDRFRLTKRPYTARDVALLRGTLRQSYASGDMAKKLWRTLRAHQANGTASRTFGALDPVQVAMMAKHLDTVYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSMSRAERAHEPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKFDIMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLAAVLSDAMSAGKNGRELQAIEDEWLATAQLKTFSDCVRDAIAGLDAATDGDKQRKLQEWGAATLHDKRTSSPNVAECTAFAEGVRAASPGAMLAYNLSPSFNWDASGMTDADMSEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGGISSTAAMGKGKKSLLMMLVFSNDLIGYYF >AMDW01037011.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037011.1:229:575:-1 gene:AMDW01037011.1_FG001 transcript:AMDW01037011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SCCDLSSHASWRFMCVDYNNLPEICFPKDPIWIYAMLGDLVCVLVPGFWSLGITDPQYTLLMRMEIM >AMDW01031909.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031909.1:6:374:-1 gene:AMDW01031909.1_FG001 transcript:AMDW01031909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDVGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNK >KN539472.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539472.1:7813:8232:1 gene:KN539472.1_FG001 transcript:KN539472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRDRRLSAFLQLLDVVVEQMYPAILLHRRREIPGRELGNMLIGSSPIEINTHRQALRGPQGEDAAGAEAAGALTMHCPDKIPETEVAEDLVAAGEAEHGILEFADKTLSLIFLLITPLPPSSCHLNENGEILSLTL >AMDW01014903.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014903.1:9:158:-1 gene:AMDW01014903.1_FG001 transcript:AMDW01014903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVRWWRLFTAEQCAAINTMVVYFSMPFFTFDFVVRTD >KN539472.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539472.1:60851:61882:-1 gene:KN539472.1_FG002 transcript:KN539472.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPVSGELFALSYDVIKKPYLKYFYFDADGTKSPDVEIELEQPTMIHDFAITENFVVVPDHQVVFKLGEMFRGGSPVVLDREKTSRFGVLPKHATSSSEMVWVDVPDCFCFHLWNAWEEPESGEVVVAAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFINAGELATQA >KN539472.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539472.1:49741:50407:-1 gene:KN539472.1_FG003 transcript:KN539472.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRRSLRFGHNVRQQQERNYARSDAIKQRETEIVSNALQGGDMAIAKILEQRSTI >KN539472.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539472.1:14804:29613:1 gene:KN539472.1_FG004 transcript:KN539472.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAQGGGGGGEVPVPFNVCWLFPLDYDCLCMLEEALKGLAGATADVHCYDVSSNKWSRLTPVGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDMIYVYGGLRGGVLLDDLLVAEDLAAAETTNAANQAAAIAAASDIQAGREPGRYAYNDEQTGQPATVTSPDGAVVLGTPVAAPVNGDMYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGEAEHSPDREQSPDATPSVKQNASLIKPDYALSNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVEQSVWPMLLAESLKALGGLGLLSLGGKYLIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGTSIDMELLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRAAGIIDEKSETKEKPAEMVNYDATEPIVILGFGEMGKVLAKFLSAPLSFGLDKDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGVSSPKAVMVMYTGKEKTIEAVNRLRQAFPGVPMYARAQDMSHLLDLKKAGATEVVLENAETSLQLGSMLLRGLGVMSDDVSFFSKLVRDSMELQAQEALNNIENREIDIMKPLEIRISDLVERNGNGSRMIAQEDSLRLSSRPNIPLIEATLEDRIPETTGENDQTGYDFNNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSV >KN539472.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539472.1:93235:98071:1 gene:KN539472.1_FG005 transcript:KN539472.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEAGLSRKSVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQAEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNARCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADRVIVSHAITKVTDDDVLLTYASSSVVEMIFDHANELGKKFSVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLPPTSVPVIVREYRREHIWI >KN542218.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542218.1:351:1667:1 gene:KN542218.1_FG001 transcript:KN542218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGTEILDATDLGDQSITQYPTVFEEKRSERTSRRSLIGEGGFGSVYKGKLPNGLEVAVKRQEISSHQGEAEFMAEIDVIPKLRRKNIIKLIGFCAQGEECILVYEYILISDKTDVYGFGIVLLEIISGKLCVSNMKGTSRRTEEQKKVAQAY >KN541172.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541172.1:14614:16272:1 gene:KN541172.1_FG001 transcript:KN541172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTASDRLSELPDDLLIRILSFVPVKEAASTTLLSRRWRNPLWLETGTFHVDLTSEQFFNHAHKCPAMWRDEGDVREALCYDRRRGLKKLAITVRANREDDNYCFRAHFSGYLNRVAFHGKCAGMFRDVEELRLECQIDAAGAGPSSSSSPPRYTYAMPGPEYNLRLDNLPCEDFRVLHLTGCSLKEEIHVCLRNWIAYPCLTTLRLRRCTVPLGELQRLITTAPALADVCLESVTFPDQGSVGGMTTDKRVRLHCPAVTAFAMVNCHMFCRSFELDAPALFCLCYAQISSYKQSISLKPPAPCLEKANLESISGTKTFRSLLNDMCHIKVLKLTVYSIVGDIKFERLPCFPNLKHLVIEELCGFAMGNGSAAAAATAIGELLQRCPVIRELWIRFSWSKYLHESPDLAGYLESMACRFDESNYSDRCAVSAADRGRQELDDSWKNSLRKVTVQFQKGKLTCSQVELVKFLAENAAVLEEFDIDGESQDVSDQINTKIATWRARSSSSSREKEDHPAGVSAERPTRRPSPQHLHETRYLYNRWHPAFRR >KN542218.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542218.1:4568:5796:1 gene:KN542218.1_FG002 transcript:KN542218.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFMARVVLILVLSLWLLPSLLPGSLAAGASSAGNELSPLSGGSSRRIAMVRSRERKDGYGLSIAKSARRSLRPTPGYEHRTSHKQ >KN541172.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541172.1:23061:28458:-1 gene:KN541172.1_FG002 transcript:KN541172.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPVDSSGTDDDLPPTYQNRGVRGSGRVSGNGRDIVSAVPYNRTQPQTDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNRVNGDDIIQRIRHFYLREWRETKGGLQADMVNNAQRSHDRMPSPTTSARKRQKTSQSIPSASVPVPSPAVHSQTLTTPMQPLSSATKKVAPPGTKGKKTKPGQKIPGGSAVKTMSSAGPSGRGPIMNKNPSGGLPTEPISVNPLIGRKVMTRWPDDNSFYEAVITDYDPQLDRYALVYDINTADETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGAAVHGGKKSSSRNGPMSGPGRGSRGPQKNTSRKEFPPSQNGVGKKSSDCIEILHTETLIKEVERVFSASNPDPLEMEKAKKVLKEHEQSLIDAIARLAEASDGESEERAQPLQHNRGWRNHHGGNYANDMTIDGHMVGDADAL >KN541172.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541172.1:17313:18565:1 gene:KN541172.1_FG003 transcript:KN541172.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPEEYTPFQDATGILRRGEDDLVVAELTVEGKLRDDTLLGVVAKLLVFRSGEWGVKRAPINHGSGSGSSSSRGHDLPAPWPWETDMVVPVGDRLLCYVDLHHSVILFSDVFDGHNPALRYVPFPEPPVERFHDEDDPRGYRTCREPLSKGQTSCDRSSQAFVIRTWTLRIGDDVNGDDMAWEMDAMVDASELWSLDAYAGLPLVRPEYPVVSMDDPHLIRLAVTGARQEGGRTYSNENSSLIMVDTRRKTMRSVPPFLHLPFNGETLVSRFSSYFNSNQSSNNGGGGSGALPSKIHVNIEPPPPPAVVATGEPRTSDTAEPKIVLVLERFSWRLKGYSIQDMAGGDDMLKAYTILSQDNGRWFRSLLGLPMSFNFEQELAVDDDQE >AMDW01039991.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039991.1:279:1126:-1 gene:AMDW01039991.1_FG001 transcript:AMDW01039991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELMKGVNQGEGSSAYDIALLQSQIRNLAQEVRDLTISRPITILSGNSDSGGSLSSYILPAAAVGAMGYCYMWWK >KN542939.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542939.1:625:9959:1 gene:KN542939.1_FG001 transcript:KN542939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVIRAAFLFKEAGEKDLREAYTGIKLETASRSFPIELEKLTALNRDHDSVLLQEVGGSLVSTTLFVQVKGLSDLLKSNLEKGISLNADDLLQRRGIFGANTYPRKKRKNILRFIFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDKKEPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLVVLWIRLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTMLDPCDDIRAVSCGATELLIEGIAQNTTGTIFVPEDGGDAELSGSPTEKAILSWGLKIGMDFNDARSKSQILHVFPFNSEKKRGGVAVQSDAGIHVHWKGAAELVLSSCKSWLALDGSVQPMSAEKYNECKKSIEDMATSSLRCVAFAYCPCEIERIPKEDIADWKLPEDDLTLLCIVGIKDPCRPGVKSAVQLCTNAGVKVRMVTGDNIETAKAIALECGILDANGAFVEPFVIEGKVFREMSEAARGDIVDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRRHAIYQIAILLIFDFSGRSILRLQNDSREDAEKTQNTFIFNTFVFCQIFNEFNARKPEERNVFKGITKNHLFMGIIAITTVFQILIIEFLGKFFKTVRLNWRLWLVSVAIGIISWPLAYLGKFIPVPATPVVEALHAAPGQVTP >KN542939.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542939.1:10642:10945:1 gene:KN542939.1_FG002 transcript:KN542939.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLMIPVQPYTGSCTLQYASIAIVFAALPLSAIGKLDSQQSTDM >AMDW01022050.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022050.1:161:301:1 gene:AMDW01022050.1_FG001 transcript:AMDW01022050.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELTAAQLRAYDGSDPSKPIYVSVRGKVYDVTSGRGFYGPGGAYA >AMDW01029151.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029151.1:12:272:-1 gene:AMDW01029151.1_FG001 transcript:AMDW01029151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRISEIGGGGGMRLVSLAYAVLSLYLLLWRVPGDQPLTWVTDFSLLACTYMYFWIISLSETITKVAAFTGITCGILLYFAAAQLLGP >AMDW01040828.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040828.1:361:2503:-1 gene:AMDW01040828.1_FG001 transcript:AMDW01040828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKELKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGV >KN540484.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540484.1:44857:45126:-1 gene:KN540484.1_FG001 transcript:KN540484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNDENSVRVTNLSEDTREDDLRELFGSFGPLTRAYVALDHRTGESRGFGFLSFVYREEAERAIAKLNGYGYDSLILNVEWAAPRHN >KN540484.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540484.1:39739:41543:1 gene:KN540484.1_FG002 transcript:KN540484.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTPARAWWRTATPASASTAAFRVGAKSLTGLQMTSTRTNKAQTVHVKSGEAEGSPSTESITRDEETLQRDLQTAIQEEDYAQAVKLRDELRVLQEDSRSAVLAANARFYNAFKNGDLVAMHSTWAKGDHVYVVHPSAGRISGYDMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDLGYVTCLELVKTKGSSSWGRQVATNVFEKVDGKWFICIHHASHFDE >KN540484.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540484.1:18739:24515:1 gene:KN540484.1_FG003 transcript:KN540484.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAKRRKEEDEEEDEEEMTLEDGDIEESPRRSFDDDYEDGGSGDDDRDDDGEGLGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSILDGGRSSLGSDLKLPLLSDKLDGKQDSVKSLRKTLGSAIDRKSSLLTQHTGEVYIAQGCSVTQTVFNGVNVLAGVGLLSTPFTIHEAGWVGLAVLAMFAIVCCYTGVLMKHCFESKDGISTYPDIGEAAFGRIGRLLISIILYTELYSYCVEFIILEGDNMTSIFSHIGFDWLGVHIDGKHFFGVLTALIVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVVLVGATDGVGFHLTGKAVNLGGIPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFAICTAIYGSFAIIGYLMFGDKTLSQITLNLPKHSFASKVALWTTVINPFTKYALLLNPLARSLEELRPEGFLNETICSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVVVASIGIIILGIISAALGTYSSVLRIVENY >KN540484.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540484.1:373:3079:-1 gene:KN540484.1_FG004 transcript:KN540484.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDLDLTSSSDEEDDEEYDAQTATSAASREDILRTSTPSSLEFLDAKLKALDLKYQAPNAAKLYLHVGGASASARWEYDRCLFENTYGVEATDEGRKEVFGADFAAWARPGEADDAVWADAEDTFTPPVATPARDLLEEFEEEAGDGSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGVSVRISDGRGGGGGGENAYMTPQKALLMRGETNMLLMSPGETGTRHSNGVHHVDIETGKVVAQWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDSRGRVQTIGSSSESPVLQWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLSPLDSILAGSENKFHSGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQEGLKSCYCYKVVLKDESIVDSRFMHEKFATTDSPEAPLVVATPMKVSSFSLANRRLH >KN540484.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540484.1:10626:15162:1 gene:KN540484.1_FG005 transcript:KN540484.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRQRTVRFYDEKAKPTIPTHQKQAGFAASKLGVASSGKNKIFVPGEELWYKKILDPSSDFILTWNHIFLFSCFVALFIDPLYFYVPKISYGTPNSCIGTDRHLAITVTFFRSISDLLYFTHIIIKFRTAYINPSSTMWVFGRGDLITDPKEIAWQYLRSDFVVDAVAALPLPQILIWFVIPAIKYSTDEHNNNILVLIVLAQYFPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHKFHGNHGDFCVKQSSKLNMEVYPSSFNGIITLPIFKIYIRFLKSNILLFLCNSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQVLPADLRRDIKRHLCLGLVRRVPFFSQMDNQLLDAICERLVSSLCTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLKFVANQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMARDLSMRESFCSMRSDDSNGEDDSPPKQNLAMKIMSGGRKGPQNMKELPKLRKPDEPDFSAEPCE >KN540484.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540484.1:42232:44000:-1 gene:KN540484.1_FG006 transcript:KN540484.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVATQKIRWGELEEDDEGDLDFLLPPRVVSGPDENGFKKVVEYRFDDKGNKVKVTRTFRVRKIARARLSKSAIERRSWPKFGDAVQEDVGARLTMVSTEEIVLERPRAPGSKAEEPSASGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQTEAFVDRPPTADGSGPPGGAVKGAYVPPSMRSGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >KN540484.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540484.1:4273:5884:1 gene:KN540484.1_FG007 transcript:KN540484.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSSRGGRDRHGGSEMKCYECGEAGHFARECRLRIGPGGLGSGKRRSRSRSRSRSPQYRKSPTYGRRSYSPRDRSPRRRSVSPVRGRSYSRSPRGRGGSPYADG >KN540484.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540484.1:30349:34752:1 gene:KN540484.1_FG008 transcript:KN540484.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVLHRQVSHREEEVNKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTMIQRQRLGNARVISFQREFGDLVDLDRAELATKIKELGEAIRSELLSPASRSAGVVVNLGNLQWLVEERCVAPGEQEKRRDVVLDTARAAVAEMARILRQSGEREHRVWVIGTATCATYLKCQVYHPSLESEWDLQAVPITPRPPPPPPPSLGLSPSVNGVNRGILSSSVEVLSSAMTTSAMQRSPTSLCSACLDGYELERADMASSPGCGALHATEQPMSQWLQIGTPCSARPPFDRAQDKAREADELRRRWLDRCAQLHSHGGGGGGRPSSMIRNGGEPIASCRGRTALDRVADAIRANPLRVIVLDGFDHDDDDDRVVKSSILRAVESGRLVDSRGRDVALGEAIFVVMSPDDTRRCQEDHQFTDSPWNLELRVRNNARKRRPEPQRLDGAGDRRLKPRKDSPPLHLDLNLSMCEDHTDDDDSGGEESRNSSSDLTVEHEQEYGQPSAAAAKFSAPSSFSELTKAVDATVVFKPVDFGPFKRSVSDVERECSDNHIAGGVVFG >KN542043.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542043.1:10543:11597:1 gene:KN542043.1_FG001 transcript:KN542043.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQPAAVAGFDPERIPKSIFQAKPPGSSSQAEWSVASNESLFSIHHGARPSGDLCGFYAGESRSHFDYFYDEAMAGAAGRPTPAGEIGGDDDVAEEEGLRGDVPPAEEGGGAAAGGGVAGVGVRGDDDGGGAAAAGEPMGKKMKMILFTVDKEMLC >KN542043.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542043.1:11923:19151:-1 gene:KN542043.1_FG002 transcript:KN542043.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding IRHKHLQVRELLVNFGKFDPRKLESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEEKDDEVVKEEKTEGDGYSLGLHAPGFFDKVLHVEKCLLQSEPADKVLAIVQETWLDPALGLTPYDVHKHVGFLKHLMIRTGRNITTGAPEVMVNFVTSCYKPELLEPLVNKITKIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKPTITEMLSGLTFQISANSFFQTNTKQADVLYKLIGESAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAKKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLKGCYELKTVIPVDMFPHTPHIECHSLLKLRLKNAWLSVDGLKIMPNLTHLTLEFIRLDDEDLNKLNECFPCLQILNLIGVGGLKDPKIHLHQLKTCHWEVSNVPRSLTIRAPNLVRLELKCVRPDMLILDTPSMSTLKLTVDKLGPNVQADGLVSLKNLRIESLDLKFLLQVFAENHDITTLELELPTSTDKYELFEAVKPEYLLQLFASISEVKLAPRFSCEMTHCLMLCTSNQFRSCLRRLLFHLPPLKDVPHLAPLFNNCAPSCEVTILFHADSSEYIRQAATSVWTLRYPGIRWQWGTWN >AMDW01021022.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021022.1:80:283:1 gene:AMDW01021022.1_FG001 transcript:AMDW01021022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPQETNAAAGEMVTLISSDGARFEVPEAAARLSQTVLDEMKKDDYNAINGIPLPNVAGDVLAK >KN541599.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541599.1:2610:3575:1 gene:KN541599.1_FG001 transcript:KN541599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHQVHELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLRLDPDGAMLAIADMMHKRITMPAHLMHDGRDMNLFDHFAAVAQRLNVYTARDYADIVEFLVKRWKLETLEAGLSGEGRRARDFVCGLAKRMRRAAERAEDRAKKDEQRKVKFSWIYDREVIV >KN541599.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541599.1:16817:18439:-1 gene:KN541599.1_FG002 transcript:KN541599.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRAALGAVKDRTSVGLARVGGADEVAADLAVAVVKATAHGESVPGDERHELVSKAQHLKHILQRFIGCRPTGKARTNKVVAAALHRLVKESAVMYRELTEVMAMLADRFAELETPGCVRVHSIFTSIAKLFDELDEFYSWCRSTTICRPSEIPEVERVAQKKLDLMDEFIRDRQPASSRWWRCSPPAPSSPLAPIASNGDNGSKSAPAEPAPAGALVVVDDHMADFLNLGEESTPLSTEEQERDLTLSLFGDDPATPTPKWETFDDDQCDDWETALVQSASKFAATQSATVLALPPPPGATGGEVADPFAASLAVPPPTYVQMMDMQARQRLLANEQMMWQQFETQQMAAWSYSSLL >KN541599.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541599.1:6745:15254:-1 gene:KN541599.1_FG003 transcript:KN541599.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARGGRRGRGRGRRGGRGGAASRARGENVIDVEPIKLGDDDAKVDPQTKSPNEEITSAEVCEVETSEASPLGAPEEDEGKPGSTRNLKRLRKTIMIDAAAAEEHGAESDDEEFEVLAAASCQDLAPCRRSKRLRSKPTRKRSKPTGKRDLDGNESEDDAFANSSSSSQDGAGKLASRRSKRLRERLTSDYFDGDVGEDKDTLGSSSDDNDDNRSAPRRGSKRLWNRLGPRNISYADDDSDTDDNRKKIVPCRRTSKRLQEKWKAHDVSEIGIACSFLIKCKNATCSRSFHTFCLDPPLQEIIGTWECSWCKSNAAPAVKVTEVLTSKKIQRLVGHRRILQEADFQYQFLVKWQSLSHHHDCWVPLEWLHAFDPLRVQSYLNKNCLPKEVYSEDQRKLEWFEVDRAIACRRKFHHEGLCDVLATFQDNQYFDGYEFLVKWKGLDYCEATWEPCCTDGVQQAVSMLVNRHKNASKRDNISQTCLDGSKIEEVHCGALYDYQLQGLQWLIDNFKTRRSVILADEMGLGKTVQVVCFLYHIIKESLTTSPALILAPKSILLQWEKEFCQWASDLNVIVYQGDKDSRKCIQVHEMYSSDGKPLFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCNLAACLKRYYSEFRLLLTGTPLQNNIMELFSLLHYIDPDEFSDPKADGLFSPIESGRDLTMDEKVARIHDILKPRMLRRMKSDVLTDSMPVKKWVEVPCALADSQRELYINILEKNYSKLNSAIRNGLEVGQQATEDVFLSLVASSGKLQLLHKLLPRLKERGNRVLIFSQMTRMLDILEDFLCSLGYKYARIDGQTSLSARQESIKEYNNIDSETFIFLMSTRAGGLGVDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSKKPSADELQSILLHGAKTIVDRKISATSIHYDNEAIENLLKLDPSTGEKCSSDDNGYLGSIVSFAHGVDNEAPSSPKVEDLKVLKPATPKVDLGRGKRQRKVVNYADEVENEDSDDMYAPEGSSTSESSSSSSDDETDSALQLQIVTVPDIDAD >KN541599.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541599.1:4646:6292:-1 gene:KN541599.1_FG004 transcript:KN541599.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] MAASRARQLHALYLTRGCRDPDMWANLAKVYASNSVLREAAIIYSKLLPHRTHHLPLVIVLLKATASRADPILGRALHAEAVKSANAQDRLVGTTLVSVYCKCGLLADARRVFDGMPDRNAVTCNAMLAGYAAAGDMVSAEALFAGMGSRTPVTWATLIRGFAGKGDMAETRRWFEAMPPGMQNVVTWTVAVQAYVAAGDMETARELFNKMPARNAFVWSSMVTGYFKAGNADEAQAVFDKIPVRNLVNWNALIAGYAKMGCCEKALEAFQSMLKDKIKPDEFTMAGVLSACAQLGSLEQARKVHNFIIQHRIRKNQFVMNGLVDMFAKCGDLAFARKIFDNMQWRNTECWNSMISALSSHGQSIEAIRLFSKMECSEQKPNEITLLAVLGACTHGGFVDEGLRIFSKFDIYGVAAAVEHYGCLVDLLGRAGRLREAYEIVKNMLVEPNEVIWGSLLGACRVHGDAEMSELVSSEIHQLHSRRVSTNDAEYILLSNIMASSERWEQAERMRRKMALHGVGKTPGCSSVELEITEHQVCAGSGTLSQLH >KN539374.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539374.1:56093:58416:-1 gene:KN539374.1_FG001 transcript:KN539374.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPVQWITSLLNEKQFTLVGVEFLVVVISGLFVAMFIMNFTRNSSHGGVRRSILNVLDGVSNDMVAYVLGAMTAAGVGNNPLFPVWALVIVSFYSGVVYTCGFMELCRAEMVRLLQSISFPLSSSRSTGSPLKVQLWLIWGLLMLRYLYRLVASFKASRSRWSGFSSSFVPDYMRPDHYRSNLRPEMCNPSTMQGYTYLVYGESKQGSGLVRPQYIRHLNMVNSSLLITLDKIWQCNNGRLLSRSSSRGDNLKDLCLAFALSGLLNCSAVDEPLHSDCVTVTRGLVRSKILARDADRAFAILEMEIAFLNEKLHTLYPMVYCHGLLSLSLNILRSLVTFGAACWLAVDIARAYTPAKGGAMDNRIHGVNVDIIITCVLMLFMILKEIWEMFRYLLSDWTKLLLYEFLRSLDYDPTSWNRMHRITLGLLPAKEHGAKLSAAINVPGCTKAAVVRALRSMNLEGGGQLPNEIPALANAAGLMDRFGWACQLPSCSQVILVWHIATSLCEIELAEDRGGVDLGRKIPPGFLSSASSCLTGICRSRQPFLVNESAMDGHLKTDYLVANSLSRYCAYLLISQPGLLPDSLLLPNLIFAAAVRDARQILKRDGDSSLHTRYKQLTQEAEQGILDDDTLRQSGNTVRMGAFLAWNLNLIGNRQLRWKILAEVWAALIIHIAATPNSEAHMERLKSGGEFITFIWALLTHLGIENSGLWSREEATPTVAGAHQSAPQHGTDKAA >KN539374.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539374.1:88589:88876:1 gene:KN539374.1_FG002 transcript:KN539374.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGDGGGGWRWCGGGGGGGIAKVQPNVADTLRGDGTVQGADTAGMLHVAAGVGSVPVRVRAELQLRQLHPKPQDQVPLCCLWSPHAALPLYLN >KN539374.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539374.1:24191:27429:-1 gene:KN539374.1_FG003 transcript:KN539374.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVMECALWKFRWVLEQKRGSRIATIPKKAQLDSLEEIYESLVSLKVKLEWLEVMADPHVQTMQKKLWNDMAELAYHIEDLADSFMVQVGKAAIRKHQYMAIQKIDECIAKLRQWPPWYGSTSSSQSSGILPASDYDPWLPVPAMEVALFGVDGSHGTREKLVRLLRHGGEQLKAITIVGFAGSGKTSLAMALYRQIEEQFQCRATALVSGNPDMKKLLNHLVSQLHPKAPLQSQTTELQQLIDYVREYLQDKRYLIVLDDMWGRWVEIEHAFPHNNCGSRIIMTTRMKKLAGSGPYYCHNTMYEMTPLSTLDSERLLTREIYRDTSSQCAIHAWKWKEASFQCARACDGLPLTTVAMSRLVRERIHSKDYMLDKVPELERARRRISLSYSSLDPCLKAHMMLLCMFPWHFEIERDLIIRKWAAECSATCGPSAEMMAESILDELVASNIILPVKHNDISQVEAWKVHQLMFQTILLDSADENFLVTGDMLQSRRSNRIRRLAIHTHTRHLERLLNAIDLPFVHSLSIFGTENRIPLEKFEGLRVLDVQGWRKLDNDDLLHICKMPLLRYLGLRDTRVTKIPPEIGNLRCLETLDLRQTPVTELPKQVGWLHRLFHLLVGNHQDQSNASRVRIWTGKQYFQSLRTLATVHLADVPLLLMHLQQLTRIEIMCPFDKGCYSNDMLCSSLRSCCLLRSLTFYGGLGCSMEFLPSLDDLLPFLRNLTMTGRFIHLPRWVANLGRCLVFLQIRVCQLLPGDLEILSALPSLQHLLLGLDFFPEQELVIKYYELMTLERFSVDCQLPWLTFCEGALPRLTKLELKFCAVPANQGSVPSGALYSVNCFILS >KN539374.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539374.1:64346:67901:1 gene:KN539374.1_FG004 transcript:KN539374.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLEFALWKLQRLLQQSEDPRTASIREKAQPMYEALASFKAELKWVEEEMSDPHAQTRANMWRNDVVNLAYDVEDLADLADLMVQDVKVTQNQERHDMVMNQINLLDERRIAELRQWQPRYDDNTPSSSQSSSSLPASHYDPQLPVPPMEAELLGVGRARENLISLLLDGEEPLKAIALVGCAGSGKTSLAMELYQQGQFQCRAAAFVSRNPDMKKLLNHLLSQLHPKAPLQPQTTELQQLIDYVREYLQDKRYLVVLDDIWQSSPGIESVLPQNNCGSRIIMTTRNKSLANLGFEKWLADPGCGVIYEMEPLSVAQNLLSRETIFHDDNYMDHWREASQGIARRHGEVPHDVPELVRVRQRIYLSYADLNPFLKLHLMLMCMFPPNYHFTRDLLIRIWRAEGFIMSRSSGETTTNGILHELIDRNVILPVRHKDISQVEAWKVHDMVLECALYHSAEENFLVTSNTLQSTRLERVRRMAFHSHNEELGNLINELYSGVLSSLSIFGKCNTKIPLAKFRHLRVVEVQSCRQLTNDNLVQFCKTSLLIRYLSLRNTGVSQIPAEISNLKFLETLDLRGTLVKVIHELVGQLHRLSDLLVGDQQHQPNSSRVKICMGHRYFSSLQTLETIHLNDAWIILKYLRRLKEVAIMCPSQQSSYSQDKLCSSLKECHELQALTFYSCLGCSMEFLHSLVKPPRDLRSLVVNGGFVSLPRWIASLKNLVLLQIKVCRLSPDDLKALSELPRLQRLTLGLDFLLEQEIVINGFPDLERFSVDCRVPWLAFQLGAMPKLAELELKFREGPKDQRRIPSGISDLLSLKQINIFCSSWCRYSSSVNVTCQAIASALREHVRPVKLFINGSFYSR >KN539374.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539374.1:76280:79304:-1 gene:KN539374.1_FG005 transcript:KN539374.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLGGIPWREIAGEAFSRVFLVAQAVCAVHVVNAHVCSFALVPQGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRNSSKSACTSRDFYDQATRPYILVHGVCYGTFYSKTRASKFIAQFFNMRILAIVLKGISSSQPCSCIN >KN539374.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539374.1:13016:20596:1 gene:KN539374.1_FG006 transcript:KN539374.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRRCAPGYSKDEQTKVVKEVMGLSEIRDLVSSVFIMLNQKKYILFRIEFLVVLITVLFFVMFIMDIFRRHIHNATMKAIFTLVDTVSDSIVIYLLGAMQTAPFKNGLFPVWALVLVNFRYSTDFISGYGVPDLRGRRFTEWRNVVKLLGSAFLNWSRGSQFALPLWSLWALQILRSSYRFQSRSLALNSSWLGGSSELISEYMRDASNWKPDECNPGTMEGYKYLVYGEKVKLQKPRYVLHIKNISTSQQRRRRSKPGKHPTTTGSKKITRSTLITLDKICGCRRHLLHPCDNIPSPNNSGNIIQGKDQKDLSLAFALSRLIRCRLEDVRLPRDTFRVNKILVKTRIIDEKDVNRAFGIMEQQLAFLNDYFNTRYPMVFWFGLTSLFWSLLASVVTFGVVCWLSVDIRKIYKPPEGELVHLKQGVNVDMIITWVFMFFMMFKEIWEMVIYLLSDWTRLLLVCMYARWDDEYTRNHCMENLILCCFKSNIIAKRWHGHIDQYVFLESYDDRPRIWNLIHKISTGMVPKKDNGAKLSNAIDIPECVKHAILEKLNSMDLTAGYLPKVVNSLRDDKWKSYQWACFELETCTHTILAWHIATSLCEIKLAQGHGVNLSKHGFLCNLLSCFTNCFCSNMYLMDEKKLPGKLQERYIIANSLSRYCAYLLVSKPDLIPDSFFVPNMIFRETVTLAHDDILKGCESLQERYDKLMPKENNNTQNVREENINVDVLRQGAKLADKLMKEENEDCWEILSGVWTELLIHLAPSWNASAHKKYLESGGEFITHIWAVLWHCGIEKSILWPVEDVPLNNAPGATQNNNAENSKVQPVNEMSQAARDKQQMPATTTPNGGHRSCLANGKGNVVRKMKNLGNTCYFNAVLQSLLALNELRVRMLEQDPPPERVLHWELKKLYMDTINCEENTVEPKDLFQLMCSRHEDINQGDTADSNHALHSLLDDLINEEPEGMDLPSTVKSLFNGQVVKSVSSKQCEHRSDTTEALVLSLAIPSKKPVSIQDCLDLYTIGEVDDWECNDCSAAAANASSSQTDKTVDNDQTEKLNSAHQKEHFSHSAKKISTPDQDKGKLPFLDGNSDQMDKCHDKPEEGKKIRRVATIRYHIKKAPPILTIQLKRFEYVHDDGSGKLEEHVSFQETLDLTKYMDTDKDTRCVGNEEYKYCLVAVIVHKGRSLDDGHNFSYVRAGRNDGQNRKSSDTPSWFLANDEEVEEVSLEKVLECEAYILFYERVQQSKEKHGRAKWIRMGDILKNVRCLAQKKYLLNKECQTPND >KN539374.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539374.1:95505:101306:1 gene:KN539374.1_FG007 transcript:KN539374.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVEPASDRPNGHGRSSNYLERAIILDEMMKSTVFSAVAVSIGYTLLGWDFTTVLGNPSAEANIHMKKEFGLNNGPSIDGIILAVSVFGSIAITVFSGSLLDWLGRRAALIYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPNMRGSLGTMPQFMFFVGIVFSYCLIFWMTLIPSPNWRIMIGAIFAPSLVYFALLVFYLPESPRWLVSDGKISEARISLQWLRGKDDVSDRSAGEIALIADGMNMITETAVGGHAVGAVRSQSFLGTSTNQMSRHSTFYWHLSDPLVDLLGSIHESMSELGAGRNSYLPVFNSFNIVEQEQTSEQRGNDSLQQSREAYSAEEGNNGDNLQASLLSQVASAETNDINTSFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHIAALSSQPAFGAGLHPFRQQMVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEQVGVISLFSDIGLDSHSASILISALNALLMLPCITAAMILMDVCGRRVLLLVSIPFLTLSVGAISLSNIVKMGSLPHEILFQLSLTICFCSYVIGLGPIPNILCSEMFPTRARATCASFCSLAFWFGRLLSIYCFPVMLSTIGLSGACAIYAFVCCLVLVFVYLRVPETKGLPLELIAEIFKFSRQECL >KN539374.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539374.1:73300:74438:1 gene:KN539374.1_FG008 transcript:KN539374.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSHVLTVDGYSGTKGLTVGEHVKSGTFVAGGHSWHIKYFPNVATDEASEWVSVFVCLTGKSSDAAKTKDAAVTVKARSS >KN539374.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539374.1:33857:37251:1 gene:KN539374.1_FG009 transcript:KN539374.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWVKLTRDLAYNVEDCVDGIRLWLNRVPEPSGGDHGLLSSLRRAWYAISTVYARRDIAAKISTLKLRAHHLGAPTPTPWCDGASPESYTTAGDILTGRSYTTAGPSASFLGMVGTEQAMGELGPWLTISAVRDSRKILAIVGCRGLGKRTLAAGLRCAAGEEFDCRVSVVASRKLRVEAFLRSLLKQIMPRVAYNDLQIGGMDGWEDSRIVVTTRFHAVAEAIGRLEHGHVYKLNPLSVHDSYLLLLRRTFGSNYQCSSVIDRRTSKILDKCGGVPLALVALAGLLGCKLKSDPNWIRVCDSVNSELEKEHLPDEVTAKIIYLCYEDLPADLKTCMLYLSTFPVGLNISKKRVIRRWISEGFIAEKHGKTAEQVADDCFDQLFKRSMISAVDIGTNGEVKTFQVHEMILEYILRKSNEEGFITVIDEDCPGLMPRSKIRWLSVHGSTNSMRAKEFMKTVSLLHVRSLTSSRTMKQLSSFKILQVLDLEGCEDLTADQLVKICKMYLLKYLSLRRTYMKGLPSVIGRLKYLEILDIRETNVQRLPTSVKCLQRMTHLLCGDKSRHLSLTFTVEIAEMLELQTLSGIEIDTASAEAFAAIHKLTKLKKLSIYNLKVQPQNISRSFEHLLFAILTLTACSLTSLAIDDGFTGFLNELVTLSTFPSYLRALELSGGLNKVPEWIVHLHFLEKLSLSLTSLRTDSLVLISKLPVLFSLTFSVNSARQRPGVLSIHLENVLNSVGEIFVPPNGFSNLHTLRLLAVGLPLQLISFLEGAMPALQRLEVRCRMFEGIYGLETLQNLSQVHLEVSKQASEVAKEKVRQTRSSVSNHPNRPAVIFDEYFE >KN539374.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539374.1:3393:5957:-1 gene:KN539374.1_FG010 transcript:KN539374.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVFSFMKRLFKMDKVTRIASVELWVLFTTLLLVVRFFMDLFGPWNADRSMVATIQIIEMLNYSMVHYTIGLMQLSAAKVNDYFQVWAVLLVTLQYSVKIGRPYSRSKQLPLLDLMSSLWTANLIRLQTFLLLKIPLWIIWSLNSVRIISYFFSSDKAADFNQENTRLVSDYMRYEHTLDAAESHSGDEEFTMMQRYRYLVLGEDEALKQVQQDRREGRAAPAQYRIRLDPRHEKLVTLDKIWNVARGSQSGLLGSSGNKDVCLSFALYKLLRRRFYDLPMHEAGQEKTAQLVFDYILQDGTASYERAFRIAALELSFLQDLFYSKHAALFAGGFPTKSLLLSVLLITATGYIAYPVRHIPERMDQADRNTITHGVFITRLIIALIVIKELSEIYLYVFSQWTKVLILCKIARRSRLQHRLVETLTRAVVRFITRGEWDQRIQQYNLVVSTRWMNISFLCFNYGHRHLSDKITESIKLEPQVKKALIDSFKHLQNCPERLDSYFRNAFGSNKHLMKRLAWAVNGLEADTHRILVWHIATCLCEINLSDEATALKVRWLRSRLLVNRSRAPKAVWPHYSTAATLSNYCAYLLTKALVPDNGLVVRKVFNQVRHETFRASFVKISLSLYDVYKDLKDNAKKPPEEMDGSDDSASHEGVSQEDNPNPEEDAVVSDDEDYFDDTIVKMVWFDRSICHCFSPSFVSSAYFLVLYERCCDG >KN539374.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539374.1:80894:85113:-1 gene:KN539374.1_FG011 transcript:KN539374.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQRMKQAAAAQQQQMMQQALLMQQQQAAAAAAAAAAQQQQAPLFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFAGFTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINELNGKWLGNRQVRCNWATKGANAGEEKQNTDSKGMIELTNGSSEGGKDNANEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHSLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFNPGMSATDLLAYERTLALSKMAANPALMSQHAALKQAAAMGMGAGASQAIYDGGYQSANAVFY >KN539374.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539374.1:102548:103840:1 gene:KN539374.1_FG012 transcript:KN539374.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLAFRLSTLFLLLAAGAIAVDPDGAAAPDTAAAPDTEAAALLRLKASLIDPTNALEAWSPSSPSLPCDETHRWPRVQCYNGVLIGLRLARLNLSGDFDFAALSRLPGLHSINLIRNNFSGPLPASLAARMRDMNRVGRAEFEEHIRMLGELRHPNVLSPVGYHYRREEKLIVSEFMPHGSLLYVLHGDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLVSMDGADFDAIHSCRRGRLTPLAV >KN539374.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539374.1:46441:50401:1 gene:KN539374.1_FG013 transcript:KN539374.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVSSAAKLFHADKLTAMMNSVELWVVLTTVLLVMKFTIDSFGPWYSNKFMSGTVAFLESTSQKMVHFTLGLMRPSPTATNDFFQAWAVLMVTLQCSINVGSPYGSKQTTLVGLLSSLWTAKLLRDQTFLLLDAPLLLIWSLTAARMIAHFISSETATKINQENMRLVTDYRKYEHERSPAAADPVAMSGYKYLVAGEQDKTTTGSTGPPPDQLCFQWHDKLITTESVWSLSEDRLLGNTEDPRNEFKDVCLSFALYKLLRRRFYSLPMHEAADSKTKRLVFEGILGQEQKSGGGDDDYERAFRITEVELSFLQDYFYGNHAVVFVNGFPLRRLALSLLLVAALLFISYPVHSIPTRRSDHHQQQQQQLGQNTVTHGVFITYSIIALIIGKEVWEILIHVFSHWTKVWMLCWYIREPKLQRPTMEKVVRAMFRLVTRGKWNQNIGQYNILVSANIFRLILFKLRPRTVKLPARVKSAIFESFRGLQSRESLRSYFSDTFECNQHLMNQFSWANEVEADTHRILVWHIATCFCEIANKPQETNDGYQHYVVAVGISNYCAYLLTLGSKLVPDSDDVSSKVFGAVREEVFRATRRCKDIRRRLMEVAAQPDGQGSSRHRGSNGFSIDET >KN542355.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542355.1:2033:8745:-1 gene:KN542355.1_FG001 transcript:KN542355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSARRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSPTPLHSMLDDCSRLVLELNSVVRAREQEIESLRGRSAEVEVSREGSEQAIGRIIASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVKPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDRCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSIHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDEKNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPCEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHIAAVEEELEKTNKELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKGFQIDPTMEDSESGMLLSFPDYDNFVTERMASSKIRKGNVDGALSFSTVVEQLSNQAEYLSEIFKDLSGYMDENITLVHHSLQLASSKVAHTLEEHDTLRNELQNKDTHNRAQESELLSLQKELRAMSSNCIYCYQQIQTISDDLLELGYAIELATGNSSIVSKVEGSSSVLKDVDASDYTKVSDALVSTVNRLKLESEKLSNMKEAVFTMLDELKMRLKQTESAAETSLQEHELYVKRVCVLEKDLETLKDECKGMEIKIQEYQERENMLKAKEIELLSLEHAQSTKERGMTEVISKDQLEALVEKINKLDTSSAESHLQRELAMSSSPIEKLFSLIDEVYALRHEVDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNRRELESKSSELLEITVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNVESGNAKSVIQELGAKLQVREKAIDELSTKVKMFDDLHHARLVQPEANMDRAFEASSSAVGSEISDAEDLCCICRIEGRVFKSLHTSGMIPAQGKQIADRVDGIWVSGSQILMNRPRARLGLMVYWLFLHLWLIGSIL >KN542355.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542355.1:11979:16142:1 gene:KN542355.1_FG002 transcript:KN542355.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRGQGCAGRLRSFDKMKKKNRSCSDDIRDGDDEEKKKCSHHDMKKNSRNDADEEKRKRKRKCSDDLKKSVLNDASKEATSHSDWRKNRKAGSDAEQRGKKLLNGDKKAKSRKVPTPFFEKMRKLEMQGMSSKNGEKKMKSDGDSYKKTVPLSVNKGKMEKDGTNKRTLSNTPVAKERKMRPNDSMEMKMKKKKRDASFVHPDERTAQTFSTKNKDKKRKVPSTPLKREQKERVASSDNKKETKKACIVAIGNEKKNCRAGKKKKRKAAFAFFKFVRDEFEELLFIPPAVAPSLKDLIDRHVYLEDSEGKCSKIRLSVVDGSLAFYEGWNSFVSEHCIKWGEFLLFEYTPESTFSVRVFGMDSCERLHFSVKSGGKGAVKKRKERHTLSDDLISHYNGQYQDSEDIHDGPNVSGESPRSKEPKITVDAEIGTRNIVAKSINAASETQDSERVESGIGYGSLGALGDKVRNLSNGECDTRSDSVFCIQEKTRRSEVIIISDEAYSTQVDEDTMKQTAPSEASEIHHVTINTQNDLERVVYGVCCESSVALNNKMGNLILGEPKNKNISPACSTEKTNGSEITPTTGAIPLTQENIDTVKLNTFSCLEEDRSTTRESELAAAIPTTSETHDSDKDLGQKHQRNSVQVNSVIAVDKYPNDSEMNISGNIFRIYEAPAGTRCLEKWKKGIVNGRAALDDIGQVRPEKTQKAGEKLVGNCGAMGESPVDLRIESDVTDTCLKPILNIPIEELSILDSVSISNCRRSRTEVNHLFNQKVGATVQLQTKKEPLKPTGSSGNRKGDKIAVSVNRVFAHQSELQIPQQENGNFTSCVTPVALLPAKAELLDLDDHSLQFCIPSTIQKWL >AMDW01034871.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034871.1:281:472:-1 gene:AMDW01034871.1_FG001 transcript:AMDW01034871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRDFLVIVRALTVHEESTPIMLSCTYQSTVTMETIHVGGNMRIAPHMVDPMNYDMLSKVE >KN541469.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541469.1:1205:5044:-1 gene:KN541469.1_FG001 transcript:KN541469.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIVSTYLPLLAMVLVQLGLAGLNVMSKLTMASGMSPYVLLAYRNFIAAAFLAPIAFLVERATLNQVLYFVGLKYSSPTVASALNNTLPAVTFLLAALLKMEPVAGRAGRAKVAGTALCVAGSMLMTFYRGPLVRTLASPVHWPYVQGTMAAEAAAHAGGHAVVLGAVLVIGSNVAWAIWFIIQKNLSKSFACPYTSTALMALIASVQCAAIAGAAERRLSAWELGLDIRLVGALYAGIVASGMVCTVMSWCIQERGPVFVSMFSPLMLIVVAVVGWGILGEKIHVGSVIGAVIIVVGLYTVLWDKGRDLDGAAVAIASLPGDEEMNGVVGADDTTGRAPPVGQTRHGLTAVSRK >KN541469.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541469.1:19785:20035:-1 gene:KN541469.1_FG002 transcript:KN541469.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQQLCSNLNVPGDDDRCDEHVILVQFLQTAMAT >KN541469.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541469.1:9510:11631:-1 gene:KN541469.1_FG003 transcript:KN541469.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRGMQDIDGATTAASAAAATDAFSRPIKVSSCCKHYAAYDVDAWNGTDRLTFDARVQERDMVETFERPFEMCIRDGDASCVMCSYNRINGVPACADARLLTETVRRDWQLHGYIVSDCDSVRGVHDFFTTYGVDAVRQGKLKESAVDNALSNLYLTLMRLGFFDGIPELESLGAADVCTEEHKELAADAARQGMVLLKNDAALLPLSPEKVNSVALVGQLQHINATDVMLGDYRGKPCRVVTPYDGVRKVVSSTSVHACDKGSCDTAAAAAKTVDATIVVAGLNMSVERESNDREDLLLPWSQASWINAVAEASPSPIVLVIMSAGGVDVSFAQDNPKIGAVVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYKNEYVSKIPMTSMALRPDAEHGYPGRTYKFYGGADVLYPFGHGLSYTNFTYASATAAAPVTVKVAFALNVCKAFAIVEETAYTVVPSGVSRVLVGDDALSLSFPVQIDLQAAA >KN541339.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541339.1:12672:20543:1 gene:KN541339.1_FG001 transcript:KN541339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAPKPIHLLNDKDKEGDDGSHQDTATLQSPPHGSDAHPIPMELNVSAAVARPPQPPHAQPTELNAVAAAASALPMELDAAAAVPAESTELNAAATTVPAQPTEVNAATEIVKPIGLNAVAAETAKPDMAMELQEPPTVTAENGRDVSHESFEQNLQHQGLDNALTDPSLLAESTATPASTAGLKPARRFTRSLLKNKPEEEPTASKSQDPAVSMISEDNNEASVDLALAPEKPQRRFTRSLLKVKVEVRSTNNLLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVRYIIPSSKLQKAVLKGVITGCNIRCFCLSCNGSKNIFLHHKLKSLEAFCVNVALSQNNIKIPLPHLMLVRAIPGTPGYTNWSLKFCLMRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSEIRSSLDKIISDGALILAESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRKASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFTKIPQEQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSGLLTLRAARALELGAGCGLAGCVAALLGAHVLLTDLPDRLKLLRKNVDLNVGDDARGSARVAQLVWADDPHPDLLNPPLDYVLGSDVIYSEEAVDDLLLTLKHLSAPHTTIILAAELRNDAVLECFLEAAMADFQVGCIEQQQWHPDFRSTRVALFILLKKPPSQPDVLL >KN541339.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541339.1:29016:29480:-1 gene:KN541339.1_FG002 transcript:KN541339.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAAVTIPSMAPSASGRRRMRSSLVVRASLGKAAGAAAVAVAASAMLAGGAMAQEVLLGANGGVLVFEPNDFTVKSGETITFKNNAGFPHNVVFDEDAVPSGVDVSKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN >KN541339.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541339.1:22470:23284:1 gene:KN541339.1_FG003 transcript:KN541339.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLVLLLLLPAAAASSLPPSNKVVFILGGQSNMAGRGGVLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMAADGGAQCAVCMDDFHLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPYHAHPTLGSHRPAAPASASASPSPAPPPRLAERRFRISLPWPLRAAFGGQAESSNPTNQDPVGGSTDASGSGNNNATGGHRGYDDLD >AMDW01033022.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033022.1:180:224:1 gene:AMDW01033022.1_FG001 transcript:AMDW01033022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMTPTSSTTEL >AMDW01032078.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032078.1:60:294:-1 gene:AMDW01032078.1_FG001 transcript:AMDW01032078.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AWLAAISLALCKAFDLLDMLKKEEEMLLAVKERKAK >AMDW01029256.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029256.1:126:392:1 gene:AMDW01029256.1_FG001 transcript:AMDW01029256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEKGYGIYRVDVSSFDPDAEFGSDSEAECQARNRDDEPSPLVRVEAPINYSRWITAHGSKIFMMSPVSAEVIPGIPVFDTVTRAMM >KN543107.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543107.1:5428:6752:1 gene:KN543107.1_FG001 transcript:KN543107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCTGVLRHPPTESNEIRDALKDCKLQQMLLKIDGSAEPEKELEKLMEGQVFQQFTNKVSLSVAIALLSTRYSLLTLFLTLLAHNNEHQLSGGTIQQKSARIGANDSM >KN543107.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543107.1:8269:9954:1 gene:KN543107.1_FG002 transcript:KN543107.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPELSHPPYSPSHADLSPPLPEVYSLYNPDDPPASEAHLPPYAPPPAPVVSELPDDLEFGLHPPPPLVRASELLGWEDRPSSSTASSSFLPDTAARYWRLLEQTGSRWLRARRFRSDLPPLSSEAYPAGRDAAAVPVPQHEPMNDTVEHNKVAADGAEVGASEESEEQGRSAATFECNICFDMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSCLDAEKAVEDGKQTGPTIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSSWRRLLDQQIMNTASRFEGPPESAVQEMVDTAHAQHTSRLSRLASRMRARRLLREADNPNPPDGGSTAPDSGLIRNNASDPSRNGPSSLLPDGIDWLRGLTLLGYEDTERFASAMSDFRRITGPSQYGASASSSNPPNLESTFDRTHIVAAPSADQASNSSTAAVIQGDAGISESAGEPSNAGSSRSLRRRGRSSALGSLDADGGGLQRNKRRRIN >AMDW01025273.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025273.1:7:198:-1 gene:AMDW01025273.1_FG001 transcript:AMDW01025273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSGSPVSMNSLREAVKAGEEGSRSNLHKSFYTNVPSECLDGMEGSAAEKMGLEFDSSKEHYHVK >KN538904.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538904.1:115449:116739:-1 gene:KN538904.1_FG001 transcript:KN538904.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYTSAVPLIEADAMAQFLGAHGDHCFTYEQMDESMEAMAAMFLPGLDTDSNSSSGCLNYDVPPQCWPQHGHSSSVTSFPDPAHSYGSFEFPVMDPFPIADLDAHCAIPYLTEDLIIPGNHPSPRVEETAKVTPVATRRKSSAAMTASKKSKKAGKKDPIGSDEGGNTYIDTQSSSSCTSEEGNLEGNAKPSSKKMGTRANRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLVKYLPNFAANYHVQLLSSDDMWMYAPIAYNGVNISNIDLNISSLQK >KN538904.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538904.1:73267:74184:1 gene:KN538904.1_FG002 transcript:KN538904.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLLAKHNPDNRIRIAAAGAVRPLVALLSHADPLLQEHGVTALLNLSICDENKAIIVEAGAIRPLVHALNGARENRLRAVEAGAVRPLLDLMADPETGMVDKAAYVLHSLVGIAEGRSAAVEEGGIPVLVEMVEVGSPRQKEIATLSLLQICEDSAAYRTMVAREGAIPPLVALSQSSSARPKLKTKAEALIEMLRQPRSASLRARPTAVVAAE >KN538904.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538904.1:40058:41748:1 gene:KN538904.1_FG003 transcript:KN538904.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSDAGFGAVLDVGHARLRRLAVHAGDEAMYKKLRVSSAPRLERVVVANWFCRYAPVSFGHVPCLRELHLKNKAVYYQEPVRLSKMLASTPHLESLTLGFSSWRIWIEPEAPKQLEPIFSKLKSLVLTGIFRGCDLSWTLFLLQAAPSLEEFILEADKPLDAKAPSDIYGEMPKSDDVTWQVPEFQHHHLKHLEFSGFNEEEMHWRIVELVKERSVNLQSIALDDGCQGCDVVANDMFSPAICTSIEYPLQFQIQNASTLQTSHAKIPNSPA >KN538904.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538904.1:177697:181835:1 gene:KN538904.1_FG004 transcript:KN538904.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMEVTDEMFKCMEVGLAFRDYNGRISSMDFHSKATNYLVTACDDESIRLYDIQNAVCLKTINSKKYGVELVCFTENPTYVLHSSKNGWDVLLWDSRVEKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYIRMFDARNFEKGPFDIFSVGNDDSEANVIKFSSDGRRLLLTTKAGRVHVLDSFHGNNIATYNVKPVVSNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDSEPPLIRWAPGSLMFLTASSELSCWVPDLSKLGSFTVSLCSSCLQNDNVR >KN538904.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538904.1:4494:5081:-1 gene:KN538904.1_FG005 transcript:KN538904.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQVNVSAWRDDDYRRMVMACLIEAVYLLELERQERRDAAAVAQQWWKPFRSVVKTADCLLKAVRTGVAAVGRWHGKALKNVAYANCILGYTRLESSRRL >KN538904.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538904.1:187682:188581:-1 gene:KN538904.1_FG006 transcript:KN538904.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVPEPLADAGGGGTGSAPPPPPATAWGIFRLGVVGAPDVYPPRSTDSKNAAAAAKVGSSRSARFEPASTAADKKHPVVGLFGDSFGCKCSPDVVEPVTLPAAAKRAKAKNKNKAGDKKAQSVRRSRILDWLEELTITKK >KN538904.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538904.1:172338:173527:1 gene:KN538904.1_FG007 transcript:KN538904.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVSRASRTGACKAVTAAVADAVESAALHVHRFTAVELASFIDLTPWHRFGYTAANAAIVEAVEGFPVVHIVDLGTTHCMQIPTLIDMLAARAEGPPILRLTVADVAPSAPPPALDMPYEELGAKLVNFARSRNMSMDFRVVPTSPADALTSLVDQLRGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRAAGFRAAAFGEEAAGEVKAMLNDHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >KN538904.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538904.1:132576:133076:-1 gene:KN538904.1_FG008 transcript:KN538904.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIFREPNSIQSNAKSQTILDYTCSSLAPIESLIQKPIEVERDGTDKETDVTFTSGAVADDPYMDQKKQLGFPIPKQAERAIERPRGRFIEITITVLAANLSSMVSFRLRFHNRIDLSIKLCTGIHGKNLKNGAQDNFELPRIAVNHLNTACLSCHPSPSPNQK >KN538904.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538904.1:65875:67188:-1 gene:KN538904.1_FG009 transcript:KN538904.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLETNRYVLKNSASGETVIKHFNKEQEADQSNFRDPASNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGLYEDSD >KN538904.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538904.1:43206:45701:-1 gene:KN538904.1_FG010 transcript:KN538904.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERDYPVELLLSKGVALGDVKKIKREGMYSCQDVIKKSVKALSKLDGLTKAKAKRLLEAAEGILVGAVYPLLLETYA >KN538904.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538904.1:96890:99071:-1 gene:KN538904.1_FG011 transcript:KN538904.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFARASLAAPAVSVFHALLGSGSLRPDDYSFTALLSAVGQMHNLAAPHCTQLHCSVLKSGAAAALSVSNALIALYMKCDTPEASWDARKVLDEMPDKDDLTWTTMVVGYVRRGDVNAARSVFEEVDGKFDVVWNAMISGYVQSGMCADAFELFRRMVSEKVPLDEFTFTSVLSACANAGFFVHGKSVHGQIIRLQPNFVPEAALPVNNALVTLYSKGGKIVIAKRIFDTMNMKDVVSWNTILSGYIDSGCLDKAVEVFKAMPYKNDLSWMVMVSGYVHGGLSEDALKLFNQMRAEDVKPCDYTYAGAIAACGELGALKHGRQLHAHLVQCGFDASNSAGNALLTMYAKCGAVNDARLVFLVMPNLDSVSWNAMISALGQHGHGREALELFDQMIAEGIDPDRISFLTILTACNHAEGLNMNPGESENGLIQIAGKVVEKLGVS >KN538904.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538904.1:121864:127929:1 gene:KN538904.1_FG012 transcript:KN538904.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MENWFLIKIKASFHGEERTSYREQEFRVPLEQVEVDPSINEVLDADTSFVMRGFQTVRLYDAEANVPRGVFMHAASVLGCCFHDDSSGFSASADNTVRRSLISGGNYFLGRHDAAVSCVEYSYSTVPPEKGNPILVPLESSNITFLIMSRPEQQRESPLNYQTRCVQCYLNGTGFALGSVEGRVAMEFYDQSESAPYKKHGTFATGGCDRFVNLWDGANRRKLFQFPRYPSSIAALSFSRDGRLLAVASSYTYEEGDIPIILYNAAIRLTQSLSVMSTKYK >KN538904.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538904.1:143965:144648:1 gene:KN538904.1_FG013 transcript:KN538904.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNEKPLVPPLSPTPVDFRAHQGCPSKHHDFDASKSRNISGSVAIGSDSEEEYLATSLLMLAHGIRDETEDIRGVGDIKGVGVDTLEVVKPSQRVYECSVCGKVYWCYQALGGHMTCHRNLFAQVVAGDELSYDGTMVVKGHKCSICRLEFPSGQALGGHMRVHYVGGVEGGSVKEKNVVKTKVTGALKPVLKDFDLNVPVVATMVGDEAESSPSEAKRARMMPLP >KN538904.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538904.1:147080:150914:1 gene:KN538904.1_FG014 transcript:KN538904.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLGILSLSLSLLTFLLAASGCFSEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >KN538904.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538904.1:89720:94272:1 gene:KN538904.1_FG015 transcript:KN538904.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGVGGGDDLIDSSDADSVGSSSTALSDLSMSYATEHVGSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTVGAGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGIIRKPRPPVLAAAISAWAFLLTTIGSWRINADSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSFGEDDDVENGGTGGSKSKLFLDMQALKAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLRVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFDIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAVMLDLDE >KN538904.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538904.1:47292:48228:1 gene:KN538904.1_FG016 transcript:KN538904.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSDDVPWQVPEFQHRHLKHLEFSGFHEEEMHWRIVELVKERSVNLQSIALDDGCHGWDVVAKESLHTW >KN538904.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538904.1:106532:114254:1 gene:KN538904.1_FG017 transcript:KN538904.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFLNSGGAGSSDPAARRGGSGKQERRLLDPKRLQNVAIMLKSLNVAADEVIGALVRGNPEDLGSEFYETLAKMAPTKEEELKLKGYSGDLSKIDPAERFLKDVLGVPFAFERVDAMLYRTNFDNEVNYLRKSFGTLEAACEELRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGRTTLLHFVVKEIIRSEGFDSDQSAVNPGSGSKEQFKRDGLKLLAGLSSELSNVKRAATLEMDTLSGNILRLEADLEKVKLVLQLKETCSDQGASENFFQEMVVFLRRAEAEIKSMRTAEENALRLVKETTEYFHGDATKEEPHPLRIFVVVDEFLLILDRVCRDVGRTPERVMMGSVERRIGVSPSKSGPNSTITQNDDPMESVRRKAEKAFSVLSKRASAKMTRESSNCTEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKEENPKELIDRFLKFSQELDHAIFIAQSQTKIRHVKACGSNSTSSASTKAALKAALDRKQSAILWIREAIEADLSPFSSHTRPTESPKLSLAESKPMTPLFCCSKPKCNCSKRSSRKASDGSSQGSNMSAAMDLAVALRSECNCWFLKYIDKFLDDIESETMYAPCDSQVAGLLQQLKRVDDWLNRVALHERMLSVDRTNKDIMFSEEEESDACERVRRKIYGALLRHVQYAAMALEGLNGVIDEEKDERK >KN538904.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538904.1:48681:50753:-1 gene:KN538904.1_FG018 transcript:KN538904.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCHEIGLKEKIHNTLRGGSKFINIVTGYVSHCVQYPAHADSVACETSEGVTSLKSHVVLLERKNAEFRKQINELTMKLQLAGQGKDEVLYKAGPFGTVKAVRKNPTVIPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRVGISNYLVVALDDSTETFCKSKGVPFYRRDPDEGVDNIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPLMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >KN541815.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541815.1:15661:17687:-1 gene:KN541815.1_FG001 transcript:KN541815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHADVALRSSLSQAYMYDMWARNCIGRLLTELKARHPNIATSIAPFEHPVVQRYYQPLWRRYAGVIDYVNFQFYGYGANTDVATYVMFYDEQAANYPGSKLLASFKTGNVTGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSMVSSYKFEYETKAQEIVANH >KN542112.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542112.1:1465:4557:1 gene:KN542112.1_FG001 transcript:KN542112.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARHLFFTLSFSFLALLSCIAVCNAGDEAAALLAIKASLVDPLGELKGWSSAPHCTWKGVRCDARGAVTGLNLAAMNLSGAIPDDILGLAGLTSIVLQSNAFPAGLGACASLTHLNASGNNFAGPLPADIGNATALETLDFRGGFFSGGIPKTYGKLQKLKFLGLSGNNLNGALPPELFELSSLEQLIIGYNEFSGAIPAAIGNLAKLQYLDMAIGSLEGPIPPELGRLPYLNTVYLYKNNIGGQIPKELGNLSSLIMLDLSDNAITGTIPPELAQLTNLQLLNLMCNKIKGGIPAGIGELPKLELILFNNVFTGAIPAGLTTCSTLVRVRAHNNRLNGTVPLGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPALQTFAAADNELTGGVPDELADCPSLSALDLSNNRLSGAIPASLASCQRLVSLSLRNNRFTGQIPAAVAMMPTLSVLDLSNNFFSGEIPSNFGSSPALEMLNLAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGASSLRSSSSESYGLRRSHMKHIVAGWAIGISAVIAACGAMFLGKQLYHRWYVHGGCCDDAAVEEEGSGSWPWRLTAFQRLSFTSAEVLACIKEANIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEAATVDGRTDLEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVIYEYMVNGSLWDALHGQRKGKMLMDWVSRYNTVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESQDIVGWIRERLRSNTGVEELLDASVGGRVDHVREEMLLVLRVAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >AMDW01132519.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132519.1:67:2200:1 gene:AMDW01132519.1_FG001 transcript:AMDW01132519.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSCRPLPRRRRRRHRSRRRPQIGLRCHRKPLRILDLGATALCAPHRRLAVVSVYLIPNLPTPVCKRLRPWRNRLHGRHWILSHTKLVAAISPWLILSVMKNSSKGAISHVQASLLYKNKEKKKFQFMHCYNLLKNLPKWNDKRIQLAASKTSSKKQKKTANDSPAISTPACNVDENRAADPENTVGEGRPMGRKKVKQQMRERSDISQKESLDYLREKKKEGDAEKERKFEERYQIAFALEQKRIDLDREKLELKRMIKEDKLLRTDTSAMSIEEQEYYKNVKNQILSRPSA >KN542112.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542112.1:17078:18244:-1 gene:KN542112.1_FG002 transcript:KN542112.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYLSNGGLGEPVDNADGAFADEGWYATDHFGLDVIFHSRIKQYECLTDDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLELVDWLTRRPEWRAMGGRDHFVMSGRTAWDHQRQTDSDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRGMKRDWLFTFAGGTRPGDPNSIRHHLIRQCGASSLCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAMLAGCVPVFFHPASAYTQYKWHLPDEHETYSVFIAEEDIRSGNVSVEETLRRIPPDVAEKMTETVISLVPRLLYVDPRSKLETVKDAVDLTVEAVIERVKKLRKEMHGAGGSSRLSTALGANTNGGFQSS >KN542112.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542112.1:8780:10701:-1 gene:KN542112.1_FG003 transcript:KN542112.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGGKPPQSRLCFLATLCAMFWVLIFYFHFFRPPPTTATVAKVEEEEKPTAVAHQEAAPRDYAFQRVLKTAENKSDPCGGRYIYVHELPPRFNDDMLRECERLSLWTNMCKFMSNEGLGPPLGNEEGVFSNTGWYATNQFMVDVIFRNRMKQYECLTKDSSIAAAVFVPFYAGFDVASPKPLQV >KN539523.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539523.1:62715:74277:1 gene:KN539523.1_FG001 transcript:KN539523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAPIRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVQVYLATFKFISLYFVKHSRSYVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLISKRAIAKNTGARGLRSILESLLTESMYEIPEIRTGKDKIDAVVVDEDSVGSTNQHGSGAKILCGEGALDLYLDEHNKESTGRLSSKHVFKVLIILCRKSPDHLEKGKSLKETGRHTWSVLALVILLCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >KN539523.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539523.1:30628:32613:1 gene:KN539523.1_FG002 transcript:KN539523.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPKLSGHRIWGGGESTLQLRRPLHPASDGVVISTFRTPPRPLPPNQRHTRYILLPEDGGDADADAVACTVVTLVSSEREVFAKVEKILRAGVWDVAQTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSVKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSTGDWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEMAMKDDFLFEIYPFMMVWPPIFPALIKTHDPE >KN539523.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539523.1:77260:79881:-1 gene:KN539523.1_FG003 transcript:KN539523.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSDESELSDADIDDYADKCYMDLKSGKPVVSLGNEKFRCPFCLGKKKQDYRYNELLQHAIGVGASNRAPKVKANHMALANLLKNDYADAAGSLPSRQAVGPSNPPRPLQDQEVYVWPWMGILANVPAEKTKEDGASLMQQLANFNPLQFTAVLCSEGRYTGYAVVGFSKDWIGFTNALAFHNYFKSQRLGKKDWAALGQEKYICGWMAKEEDYKSSDPVGRFLSANGDLKTVSGLENDLSRKTETLIANLSHQITAKSKYLVELECRCNQMNLSVKRAMEETDLLHKSYNEEMRNMQSAAREHSQKIFEETDQLRKQLDDKENAIERRSKQLSKFVAQTDIERRKLESEMKKNSEQNDSIHMARIEQQKSDKIVLKLVEKHKKEKEIALNKILQLEKQLDEKQKLELEIQQLRGRLLVVQHMEGEGVDVKKRTDELTEELNEKIEEMEYMEGLNQTLIIKERKTNDELQDAKKALISGLSELLGPRSTIGLKRMGELDEKPFLEACKKRYGTSDGEAEIKAAEFCSEWQENLKDANWHPFKIVTRGGKTEQIINEDDEKLVGLKEQLGDEVYKAVTTALLEINEYNVSGSYVVSELWNNKEDRKASMQEALQHVLEQWKLRRRRR >AMDW01033465.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033465.1:40:472:1 gene:AMDW01033465.1_FG001 transcript:AMDW01033465.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTDLQLLDLSGNKEMKNLPTSISNAGQLKVLILDGCDALEEVVVPNRLPSSLRSFSFDGYGSAAPSRASTIELPLQSCRPVGHGMKDVKTSVISLEGCTQLDNLFLRGLPNLVELDLSGCAIKVLDFGTMVTDVPCLKRLFLLG >KN539523.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539523.1:99043:99880:-1 gene:KN539523.1_FG004 transcript:KN539523.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding NDEATIPFVYQVKNFNDTLYGLGARKFGIINIQPLGCQPWMRKNLENNVDCIDSMNSLAREFNDGLKLLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNINSTCCIPPCTPEHEPPCQNRKQYWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >KN539523.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539523.1:20307:25051:-1 gene:KN539523.1_FG005 transcript:KN539523.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLKKVGLLDENIVKSAIFLILEQKFLELLRNDNVMGAIRTLQSEITPLGVNKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLIEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTVQVLRAHRDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHTLTGHDKPVMMVAWSPDDCQLLTCGMEEVIRRWDVESGKCLHVYEKSGIGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEVDCWKGQRSSKTSDFAVSNDGKLIISMNRESTIRLFDRETKQERLIEEDNTITSFSLSEDGDFLLVNLISEAIHLWNIRNCPIRVNRYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKANLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >KN539523.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539523.1:84135:84413:-1 gene:KN539523.1_FG006 transcript:KN539523.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEICMRCTARFSVSIRAAASTRMTANRLGQSRSLKTTSDVSGKGGEVDRRGGTAGKAGRVEPTRSTTKVSVKQARTAGFGGGKNMGMRR >KN539523.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539523.1:8666:15935:1 gene:KN539523.1_FG007 transcript:KN539523.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGDTKFDASQYAFFGNNAVEEVELGGLEDDDGIDAGFVGPGDEEYPSAYGRDMFENYKKTDAIRQQHGTGTIYTDTAGPNTQRPEVLLLFSTPIATTAPFPCHQLMVCWLAYSMQEAVGPYVHDEGGGSFTGVDDLAGAFSKLTRSINEPTQSGIISRGGSISRQSSTPDWAQDSYWPTQPIFGAEPGLNNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFIPYPPSGAVSHSSPGQPHNMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRSMAHLDSAGLSTNNLQQNALNSGPVRPNGAMLTPGFMQHNLERPDGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHPSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELAGMRMDNGWPRFRSKYMSTAEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGGQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDVTEKSASKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSNNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDSSAVETTTKLATAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGHAAGDGASFIIKSVLDRATELLTDQHVTSTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIHALQTQPAVAAVIGREMPVELLRASLPHTNEYQRKKLLGFAQRTVPVNNHNSSHGSSNGPMTSESIPSSESWKI >KN539523.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539523.1:37305:38351:-1 gene:KN539523.1_FG008 transcript:KN539523.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGDASLSKVRRYWPGRAPEYWPGDAVAHDEIKHVEEEVLRSPRRRVRHAPEIVSPAPAVDDWHEPDPIEEEEEEDDDDAKEERRARIRERALLLRQHEEEQLLLLLHHQRHQEDEAASESDETAAESDSDDEQMAIVYMAVPLFVPKSQRDTIRLKEEEQHRRRLEDELHRKRLEDRKAQTRRILLQEIIKEELLAATTASDQAEAMDGVDTDDEVDQAEEHESWRRREAARIKRSREEESGKSIMEDENPVADDRPNKRTKIKKPMMRFMQRYYHKGCFFQDDADDAAQTAAGACEIYRRDFSGPTGLDKMDVSVLPKVMQVKHFGRRGGRKWTGLVNEDTTY >KN539523.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539523.1:49724:55159:-1 gene:KN539523.1_FG009 transcript:KN539523.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTIDFYTVVVKENFCLAFMEVLVELNTRGALTEGEAVRADIIGCFSGDTLWAPRKASTWAIPMFDGKRGGATGEMMQREESSMAMRLRANRELRLAVEYLKESARVQFPGLRAAVEARAAREARTQEILTAKVEQLRRNLAAIKAEIRTAIDEIRNGLDIIRIESEKFNNYANDEDEEQEIASLAIRSIRKASLMAGEWVPETQENEAVFDALRESYRLLVSKHLVTVQEWISVLVRVNLADNRFRDSALKEFIDVKNEIRAVRNRCSELGLNLDNVHRRKGVQEEEDDDFWVEGNIEVPSPGRVGTSADVASSSMDTRKGKKPVGGTDTDDGKAPNAGNSPQELDPERAKLHAEAPVLPWSSVLDRWGSNRDALVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSIYREDPVEILPCLAPLKKGGLCQRRDLKICPFHGPIVPRDAEGNPIRQSDGHCDAEGNPVGQTDGRYDAAVSPIEQNEDSSDVRENMIMPDGSSDGECIYESSPSRTANINNDISDSDVGHDLGKITVEQLARQAVQNVRKRDRDDKKALERAQRARIRQHNQDVLREAALASTSRSAADYYLPSPAVSRRGRRGKTKGPTLASMLKKKVTSKDRIAERLLNARARDATIREVSHTEDMSYREAFPNQW >KN538714.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538714.1:241339:243795:-1 gene:KN538714.1_FG033 transcript:KN538714.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g63370, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63370) UniProtKB/Swiss-Prot;Acc:Q9M1V3] MPARTIFSWNALIGACLSSGGAGEAVGVYRAMRASAGAAPDGCTLASVLKACGAEGDGRCGSEVHGLAVKSGLDRSTLVTNALVGMYAKCGLLDSALQVFEWMRDGRDVASWNSAISGCVQNGMFLEALDLFRRMQGDGFSMNSYTTVGVLQVCAELAQLNHGRELHAALLKCGTEFNIQCNALLVMYARCGRVDSALRVFREIGDKDYISWNSMLSCYVQNGLYAEAIDFFGEMVQNGFNPDHACFVSLLSAVGHLGRLINGREVHAYAVKKRLDSDLQIANTLMDMYIKCYSVECSSRIFDRMRIKDHVSWTTIIACYAQSSWYSEAIEKFRTAQKEGIKVDPMMMGSILEACSGLKSISLLKQVHSYAIRNGLLDLVLKNRIIDIYGECGEVCYALNIFEMLDKKDIVTWTSMVNCFAENGLLHEAVALFGKMLNAGIQPDSIALVGILGAIAGLSSLTKGKEIHGFLIRGKFPMEGAVVSSLVDMYSGCGSMNYALKVFDEAKCKDVILWTAMINATGMHGHGKQAIDIFKRMLETGVSPDHVSFLALLYACSHSKLVDEGKFYLDMMVSKYKLQPWQEHYACVVDLLGRSGQTEEAYKFIKSMPLEPKSVVWCALLGACRIHKNHELAMVATDKLLELEPDNPGNYVLVSNVFAEMGKWNNVKEVRTRMTEQGLRKDPACSWIEIGNTVHTFTARDHSHRDSQAIHLKLAEITEKLRKEGGYVEDTSFVLHDVSEEEKIDLLHRHSERLAISFGLISTASGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGSCSCGDFW >KN538714.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538714.1:213646:216363:-1 gene:KN538714.1_FG034 transcript:KN538714.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKACMPCDGCRAKALGVAAKADGVISMAITGDDRDRLEVVGDGVDVTCLVTCLRKKDKRKYRCSIQCIYSDTQE >KN538714.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538714.1:298692:300307:-1 gene:KN538714.1_FG036 transcript:KN538714.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGDDGAAVPLISDRPKHAAIVRSGTEWTAAAHVITAVIGSGVLSLAWSVAQLGWLAGPGMMLVFAAVTALQSALFADCYRSPDPEVGPHRNRTYANAVERNLE >KN538714.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538714.1:135619:136995:-1 gene:KN538714.1_FG037 transcript:KN538714.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKIDDGRPYHSNVFHELVSNGGPKVDGEIERETKQHILPDTMVQQTNPSEYSFMKAGQQNVDKAIQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLADQKAIAEK >KN538714.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538714.1:313020:315172:-1 gene:KN538714.1_FG038 transcript:KN538714.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDYAARPRDSAQSPARLLLQSSSPAEANCGEAARFDGVARGENCSKLQLREIKISSLDSCASEKENLIGFLPKLPFDEEAAARKLSLPCVAMQEKYVLHTSPRKGCRLRRWRRARRDPRDNPLDLDVNFLVLAAGYSLGIGSGISGDCINRKRIRMKDFGGVGRIARKSHRRGLRDKEEACIFESYFVTSGAGGVVSNNRHQIMSMSYDGDQSEDRQSEEVQSAYKSHGGGVLRGYFNLDRSEEQNEENEWSWIPQDGDPLAESVSSLQTTQEALENEMQKLSELSKELGADNFSSDNRANNAFVSPDEDDVLETNQKMSHLEQKLEEASNTIREKNSVLSQLQELIDGMHIATPEEGAFDIDQLETDLDRQLQEKIEAEIQSLVMLKARQSWQTESKIVKLKEQVEKLEVHERELFGTTQVLRMQSRTLKICLFGLLQLVLLFLSLKAFFAQVSDPFDDVVPT >KN538714.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538714.1:290532:292451:-1 gene:KN538714.1_FG039 transcript:KN538714.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MACFALVTYVSAALLSDCYRRGGDDDKGPRSRSYMDAVRAFLGKKHTWACGLLQYASLYGCGVAYTITTATSMRAILRSNCYHTHGHDAPCKYGGSYYMLMFGAAQLFLSFIPDFHDMAWLSVLAAVMSFSYSFIGLGLGLANTIANGTIKGSITGAPTRTPVQKVWHVSQAIGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASIISIVVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRFFAERYPASRFVNDFHTVKLPLLPPCRVNLLRVCFRTVYVASTTAVALAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRHVPRWSPRWVVLQSFSVLCLLVSAFALVGSIQGLISQKLG >KN538714.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538714.1:251695:255561:-1 gene:KN538714.1_FG040 transcript:KN538714.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGELVLAALVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLSPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHIVGSWHGKSKDKGMVNVIAFCEEARKARARISSTVKGIIEERRNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLELVKREHEGIRSKKEKDEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEMPNKLPVAAMPFVHGPNHDSSHSKPAFLALLPFLSFCDEANGLIHGASQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYAEFQRGLQLQPR >KN538714.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538714.1:209848:210360:-1 gene:KN538714.1_FG041 transcript:KN538714.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCEKSRSKAMTLVVMASGVSSVEVTGDGKDRLQVVGDGVDAACLVTCLRKKIGHAELVQVEEVKEKKPEEKKPEEKKPEPPKPVPCYCPHPCYYHHHYGGIPVAVGDQPSDPCSIM >KN538714.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538714.1:227364:227935:-1 gene:KN538714.1_FG043 transcript:KN538714.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVCAPCEGCRAKALEVAARAADGVISLAITGDDRDKLEVVGVGVDVTRLVICLRKKVCYAEILLVEEVKDKKEEEEEEKKEPECKPCYWPPYWCPPPEDPTCKPCYPPYSYAPPPAAVVVCDEPRACSIM >KN538714.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538714.1:248645:249445:1 gene:KN538714.1_FG044 transcript:KN538714.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAIFNEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKQKGHAVVVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGKWWKREHPSELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGYTGFVPGPINGNYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFLKPKY >KN538714.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538714.1:276339:278243:1 gene:KN538714.1_FG045 transcript:KN538714.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGARAGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITVDSRPVKLQIWDTVGIPPRLELSAAAATRVDRLGDVSSVAICYQAVQLRRRALGLVRRETFNHLASWLEDARQHANANMTIMLVGNKSDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDLSNEVKFLRNVCNVMRPMESNLVTRPLANPEVLVPRLLKGVAAAVANGTIYSGVFVCHTATYPLNHLLDAD >KN538714.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538714.1:279350:289281:-1 gene:KN538714.1_FG046 transcript:KN538714.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPSDFPSPALSISTGRVRVGAKVSTKCANGTTQVDELNFRSNQTEELVEADEDTSTQKRSAKIHDFCFGIPFGLATLFLGTLSLKFWRSGKSSFIFILGQAAISAVLAWKYSHAYILTNRILPWAFYASLRFLMKLNNETVTIELKNGTVVHGTIIGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGRAAWAVRRERGAAVQWRGMCKLKFNRERVGCYLLVILVVALLIGVLFGLGVFRHGYERFKDLGRNHTCYDCNTG >KN538714.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538714.1:222161:222910:-1 gene:KN538714.1_FG047 transcript:KN538714.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVSMPCGKCRSKAMALVAGATGVSSVEVTGDGKDRLQVVGDGVDPRLSTANESYQAVDQSYPAERNSSCKQILVEFQAVQAEFNQI >KN538714.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538714.1:185482:191190:-1 gene:KN538714.1_FG048 transcript:KN538714.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEILIWIQLSSERCRSKALKVAATVNGVQSVTVAGEERNLLLVIGDGVVDASRLTRRLRNHVGYAEIVELTTSHGEKRQCPTVKDEKSGKHMSASRVTTYKLLLAWLLKNLPKVETVWNMQRPTTMKEIIIRMRPDSDKCHHKALKVAAAVSGVESVTVAGRDRDLLLVIGDGVDESKLTKKLRREVGEAEILELRTVDAGEGLD >KN538714.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538714.1:239701:239856:1 gene:KN538714.1_FG049 transcript:KN538714.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQWRRIVDLGAEHRKLGAPGRQRRPAVAAGELHAATGEEGTHGSLEAMG >KN538714.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538714.1:200074:201446:-1 gene:KN538714.1_FG050 transcript:KN538714.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEIVIRLQSSEKGHKKAIKVAAAVSGVESVTLAGEDKNLLLVIGFGVDSNDLTEKLRRKFIKNE >AMDW01075951.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075951.1:51:295:1 gene:AMDW01075951.1_FG001 transcript:AMDW01075951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTVAYEVPEILAPVASALKPFLEGLLMQGLERFATFAKERYSKIPQP >AMDW01075951.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01075951.1:1298:2548:-1 gene:AMDW01075951.1_FG002 transcript:AMDW01075951.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLSDGGSTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIPYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTFTKAIHI >AMDW01009086.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009086.1:49:225:-1 gene:AMDW01009086.1_FG001 transcript:AMDW01009086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RGKFYFNTTPESVGVLEFTPTPTAPVFGSIAIADPLPGGYGVLGAALGFLVEAEDDLYM >AMDW01026906.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026906.1:77:334:1 gene:AMDW01026906.1_FG001 transcript:AMDW01026906.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLREALGWGLTGKEIQGVAVSGLEGTQDDDWKLQEALASLCATVFNRIVSKDADLTARFNNIAAGICDQAAKPRVTFADLIKEAVK >AMDW01040681.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040681.1:936:1570:-1 gene:AMDW01040681.1_FG001 transcript:AMDW01040681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLVKRFADLSPDETCDLWIMAKEIGVRVEQYHRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDIENNDNNNDMMNAKSETLDLDIERKDRMMEEMAQEAKEYRALFS >KN539736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539736.1:2744:4102:-1 gene:KN539736.1_FG001 transcript:KN539736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPAVTFGIATSSPKPSLNLKGYLLGNPVTDHNFDAPSKIPFAHGMGLISDQLYQCVKDIYGNHILEPYCTFASPHNPRIDKPFTSGTAEYTMSRIWANNDTVREALGIHQGTVPSWQRCNYDILYTYDIKSSVRYHLDLTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVAG >KN539736.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539736.1:67540:69108:-1 gene:KN539736.1_FG002 transcript:KN539736.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWSWCILRSRNSSVHLLEALSVGSDLADFLRSDSDHVPRTLLQLSKLAASDPISSAASSLSHSLASGLLRALSDSSKPTQIDLTDRILDRLLSPAGTGFASAVVGSFARNLVLSYHAAAAPRPPTAHPLPDWLCSDKGKDAAADLVRVFVSTAVAAYLDRTASVTVPRTSHQLLAAFTDPKHEAKLKDLLVSVCNGAVETLVRTRRQASVAPTPTPIVVVSEAQSPPPHGCVMDTVSSTLAVPSNRRFVLDVTARVTAETVRSLLDFLAQRVSDGARKSIATARNEGFLAIKHITSKSMAIFTICFALCMHISMGTRFLLPP >KN539736.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539736.1:55150:56678:1 gene:KN539736.1_FG003 transcript:KN539736.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSIVKLPEYELPDAFRGEIDGKGLEEGATIDNTHPSRPFLVLNVFDWDKVDEWIALKTAWIAKRLKNDIIIPDPVPQIGRDAFFDITPRLTTVLEKDSVRCFLPLFVQCESIMTYQCAITSETLTHMVRHNALRCAKVVLEGKAAQLRCKHANPLLLAEKTDNLVDELWNYMKNGKLVQTAVLLLAAQKHIRKIKPDGFCIITHRLFKEYANSLRCAKGDTGEAQKQLEEREALLSCKSELFSIILLAGEALDNYIQAHSEVTFTSFS >KN539736.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539736.1:13170:15222:-1 gene:KN539736.1_FG004 transcript:KN539736.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEADTTTRWLAELVALKVAGRALVYNGDHDLDMTFVGTQQWIRTLGYNVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGEL >KN539736.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539736.1:76484:76933:1 gene:KN539736.1_FG005 transcript:KN539736.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPKCASVRRTSKRRSLSGSVNPSLRDEESDDDDFVNPPRWTKNVRVKFVPPVSRCVPSSITPIIQRFCSEKKALVKEMGFEGLLHMPSIINHRDFSYWLLSRVSPSRSAIELESGDVLPLYPDIVNKILGIPSVVYIYAWQLGKVS >AMDW01039782.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039782.1:76:939:1 gene:AMDW01039782.1_FG001 transcript:AMDW01039782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDE >KN541548.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541548.1:18308:20058:-1 gene:KN541548.1_FG001 transcript:KN541548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQTLQGLDLLHCGVQNQEIDIPRNDQYVEEQNISNKDWRTNCYEYLLGSYLGTLVRKPHLAPLNILKWNDKLFKRIYHQKIIAEVERKFAIDGRAKSWLVHQLDGKWRQYKGKLKGKYYEPNLPMERFPNWYSEDSKKISDRNQENAQNMKHPHTLGRKSFARKRKELEVNGVEVDRATFFDEYHKTKDGRYVNDATQEKMDHSGRVRGMGPTITPTNYYGGRFLNISASNEQGTSSSNVNAFISFMVSYMAEKYPEDNLLARLPPSLTRLIPTSEVNQNQEVQAPNTAPSPSHRASSQLKKSEVTDLQWQLYHSILLAALGFF >KN538797.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538797.1:194875:196418:1 gene:KN538797.1_FG037 transcript:KN538797.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEALPGDVLEEVLRRLPPRSLAACRCVCRALRAFVDDRRVLRADLLPLKLAGIFIGVIWVPQFFARPVLPHGHALDLTRLPETMLDCRNGLLLAHNVVVNPATGRWARLPRSPPPPPGCSCNDVFDYLVFDPTVSAHYEVYKIPSPFGDGMSDWPPSPFIIDVFSSKTLQWEKRSYVREGEAAGTVANLLARGFNCHQRSALWRGALYVPCETDFVTRISLSDGKYQVIKSPIGLEAMGKGSFLQLGKSKDGVCAFAHDDYQLCVWFLNESCGQMNWELKHQTHLWSLLAQLKSREHLAQCKSCKQTNGHWKYYDGNLFEEQILQTDLQVDTYPENDYQAEEDEFGRHFDTDSNYYDAEEYGWASDEDGECHSECNNKYGEDELYKVDKLYNMQCQELFYFFGFHPYRDVVFLHVSSSRAVAYHLNSSKVRDLGRLVTVEQTTMPIYESFLYTPCLMGEP >KN538797.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538797.1:202626:203333:1 gene:KN538797.1_FG039 transcript:KN538797.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAAVLLGVLAVAFPGAAAAVGTQPGAMEKATREPAPHVSLSCSPTAAAAVGTRPGAMAKASREPSPHVSLSCAPASSFAGEAPSTGKSPPQLSGADAIARDRLAAVSGGTN >KN538797.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538797.1:226837:228855:1 gene:KN538797.1_FG040 transcript:KN538797.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATGAEEVVVVEAYEYEFDLENPFTSPADEPIASLLDAEGHHSPSVRYDGELDVHPRVAYLALNYVDRYLSKRQLACERNPWAPRLLAISCLTLAAKMQRAAAISAADIQRGEEFMFDEAKIQRMEQMVLNALEWRTRSVTPLAFLGFFLSACFPQPRHPALLDAIKARAVDLLLRVQPGTSKPPPRPMPPSSPLDSASAHLLPPVHFAEVKMAEFSPSVAAAAALLAAAGEVAGAHLHGFEAGVAACPFVNSEKLRECGEASFVFVQQYWMLRVMNILW >KN538797.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538797.1:214198:216295:-1 gene:KN538797.1_FG042 transcript:KN538797.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSTASNPTQLCRSRASLGKPVKGLGFGRERVPRTMTTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPTVGMLVPYGAFFIPAGSGNAGGGQVAKDKLGNDVLAEEWLKTHGPNDRTLTQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >KN538797.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538797.1:183686:184558:1 gene:KN538797.1_FG045 transcript:KN538797.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPAAVLLLLYLPLLVPPTRIGLSRNPFVPPPNSVPTIDRTEMDVSTSPYRDLIKKWRDLVLLNTRPEVMVPEDHPVLAPQYDDTVPPARLLLPKLVANGDKTATLALRDSNIYFIGFANKAGQWFSFKDRNDLPPSFRARPLSFGVDYASIAGSRKNLPNYPLGRRQTEWAVKVLSEYDPNRTDEATIKRAVVIILLTFCEALRFFPIRNAVEIGWDSVAYITSTDADRLVCWGQISYMLEYSFMSGHSWGSEEQRTRLKNLARDCKIFNEPQALETVDVLVRSYLRC >AMDW01040550.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040550.1:136:1212:-1 gene:AMDW01040550.1_FG001 transcript:AMDW01040550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVHAARSENAGEPERLRFFYYTAGTGGAGPTILTTSFLLLAEDVIAYNKGFLYEENVNFVLITNSGEEIKLKPYSGALSIDFGKGVRKKDVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMR >KN541151.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541151.1:2772:3428:-1 gene:KN541151.1_FG001 transcript:KN541151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPTTVLPATATVSPAPTSVSSADANPAATRAFLARLLDSVKRALSGARPWPELVDRSALSRPESLSDAGARLRKNLAYFRVNYAAIVALSLAATLLAHPFSLAALLALLAAWCFLYLLRPSDAPPLAAFGRTFSDRETLGGLIVASAFVVFLTSVGSLIFSALALGAAIVCVHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRV >AMDW01022864.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022864.1:4:90:-1 gene:AMDW01022864.1_FG001 transcript:AMDW01022864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLQDKIKIVAIDLADRPAWYKEKVYPENK >KN541151.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541151.1:22387:23702:-1 gene:KN541151.1_FG002 transcript:KN541151.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYIMHK >KN541151.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541151.1:7524:17724:-1 gene:KN541151.1_FG003 transcript:KN541151.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTTTTEQQQGRRRGAAGDGGRAWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLVALCPELQLIKLAIKIPMPVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTVQLNHEDSRKPLLLNSDHSTESDAMMQKTVEHPMQDCCLGTVLEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNALVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMVDTMVLGLEQGHTEAGVDHEELHAGTYGGAADRDLEIVKGTGVEGKQKGSYILQHARNLFPRSTTRHCEAKLPVLGVMNIEMNTKKSKKWEFISPLWLYDLPEVLGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVDAAEIFSPRIGNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMETVALTLGSGTLMDIVGIPVDSAMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVGVGSLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLFSWNIIGGDVVRYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDIAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTVQISILVIFHCIVLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKGGPWKIIWSETE >KN541151.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541151.1:4782:6780:-1 gene:KN541151.1_FG004 transcript:KN541151.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKAHRAQEHAQCLHALHQE >KN540738.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540738.1:14213:17600:-1 gene:KN540738.1_FG001 transcript:KN540738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVLHSGTDRDALLCLKSQLSDPSGALVSWRNESSTFCSWHGVTCSRQNASQVISLNFESLNLTGQIFPCIAQLSFLARIHMPNNQLNGHISPDIGLLTRLRYLNLSMNSLNGVIPYAISSCSHLKVISLQNNSLEGEIPQSLAQCSFLQQIVLSNNNLQGSIPSKFGLLSNLSVILLSSNKLTGMIPELLGGSKSLTQVNLKNNSISGEIPPALFNSTTLSYIDLSRNHLSGSIPPFSQTSLPLRFLSLTENNLTGEIPPSIGNISTLSFLLLIQNNLQGSIPDTLSKLANLRVLNLKYNKLSGIVPLALFNVSSLTNLILSNNKLVGTIPANIGVTLANIIELIIGGNQFEGQIPNSLANSTNLQNLDIRSNSFTGDIPSLGLLSNLKILDLGTNRLQAGDWTFFSSLTNCTQLQMLCLDFNGFEGKIPSSIGNLSQNLKILLLTENQLTGDIPSEIGKLTSLTALSLQSNNLTGHIPDTIGDLQNLSVLSLAKNKLSGEIPQSMGKLEQLTILYLMENGLTGRIPATLDGCKYLLELNLSSNSFYGSIPYELFSISTLSIGLDLSNNQLTGNIPLEIGKLINLNSLSISNNRLSGEIPSTLGDCQYLQSLHLEANFLEGSIPRSFINLRGLIEMDLSQNNLTGEIPDFFGSFSSLMVLNLSFNDLNGKVPNGGVFENSSAVFMKGNDKLCASFPMFQLPLCVESQSKRKKVPYILAITVPVATIVLISLACVSVILLKKRYEAIEHTNQPLKQLKNISYHDLFKATNGFSTANTIGSGRFGIVYRGHIESDVRTVAIKVFRLDQFGAPSNFIAECVALRNIRHRNLIRVISLCSTFDPTGNEFKALVLEHMVNGNLESWVHPKPYKKNPKETLSLVSRISIAVDIAAALEYLHNQCTPPLVHCDLKPSNVLLDDEMVAHVSDFGLAKFLHSDSSLASSTSYSIAGPRGSIGYIAPEYAMGCKISFEGDIYSYGIILLEMITGKYPTDEMFTDGMNLHKMVASAIPDKIGDIVEPSLTEDHLGEDKNYESEEMPRFFMQLAKLGLRCTVASPKDRPKIKDVYTEIVAIKNMLSALQY >KN540738.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540738.1:32179:35635:-1 gene:KN540738.1_FG002 transcript:KN540738.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFATHEWPMLLLILVRLLIIFPALNSDTDRDALLCLKSQLSDPSGALASWRNDSSMFCDWHGVTCSRHNASQVISLDLESLNLTGQIFPCIAQLSFLSRIHMPSNQLNGHISPDIGLLTRLTYLNLSMNSLKGVIPHSISSCSRLEVISLQSNSLQGEIPQSLAQCSFLQKIVLSNNNLQGSIPSKFGLLANLSVILLSSNSLSSSIPELLGSSRSLTEVNLNNNSISGKIPPNIFNSTTLSHIDLSHNHLSGSIPPFSKSSMPLQLLSLAENNLTGEIPVSLGNISSLSFLLLSQNNLQGSIPESLSKIVNLRVLNLKYNNLSGIVPPALFNISSLTDLILNNNQLVGTIPANLGSTLPNITELVIGGNQFEGQIPNSLANASNLQTLDIRSNLFSGHIPSLGLLSELKMLDLGTNMLQAGDWTFLSSLTNCPQLKSLSLDFNGFEGKIPISIGNLSKSLEELHLMANQLTGDIPSEIGKLTGLTVITLGMNGLTGHIPDTLQNLQNLSVLSLSKNKLSGEIPQSIGKLEQLTELHLRENELTGRIPTSLAGCKNLVQLNLSSNSFHGSIPQELFSISTLSISLDLSNNQLTGDIPMEIGKLINLNSLSISNNRLSGEIPSNLGNCLLLQSLHLEANFLNGHIPSSLINLRGIVEMDLSQNNLSGEIPEFFGSFSSLKILNLSFNNLIGPVPKGGVFDNSSAVCIQGNNKLCASSPMLQLPLCVESPSKRKKTPYILAILVPVTTIVMITMACLITILLKKRYKARQPINQSLKQFKSFSYHDLFKATYGFSSSNIIGSGRFGLVYRGYIESDVSIVAIKVFRLDQFGAPNNFIAECEAFRNIRHRNLIRVISLCSTFDPAGNEFKALILEHMANGNLESWLHPKRNKQIPKEPLSLASRLSIAMDIAVALDYLHNQCSPPLVHCDLKPSNVLLDDEMVAHVSDFGLAKFLYNDSSMASSTSYSMAGPRGSIGYIAPEYAMGCKISFEGDIYSYGIILLEMITGMYPTDEMFTDGMNLHKMVLSAIPHKITEILEPSLTKDYLGEDRDHELVELTMCTVMQLAELGLRCTVTLPKDRPKIKDVYTEIISIQSMFSTLNN >AMDW01021713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021713.1:17:136:1 gene:AMDW01021713.1_FG001 transcript:AMDW01021713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILRVPSNINEKSSSFIEERKKSFGGGGAGAGGKPEK >KN540110.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540110.1:61374:63541:-1 gene:KN540110.1_FG001 transcript:KN540110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRDIETNECLHRNGGCWRDKKTNVTACKDTFRGRVCECPVVNGVQYEGDGCWARQVYGGQWRLLDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQIYIRGEDTCIANSMSRFGWFITILVVLCVAGVGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQNNENQPLRQHDSEA >KN540110.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540110.1:45185:46401:-1 gene:KN540110.1_FG002 transcript:KN540110.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHRNEASSSGIMGRNMNDRSVHVNEALRMKMEVQRRFHEQLEVQKHLQMRVEAQGKYMQTILEKAYQAISSSGDCATWHAGYKSLGSQAVLDIGSSMSFPSLQDDLQLYGGSHLDHLHQQHEQMEIRPSIDTFLAFNYSSSTGKSPMVWPGADDGGGEPAKISGDHQLQMAAPAMMMEAITMSGGDSMGSKGFEGQMSSKLDMRSPPPQQTVLPVGSERMSSPIVGAKARNISYG >KN540110.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540110.1:33786:34776:1 gene:KN540110.1_FG003 transcript:KN540110.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISKLRLITVDVTGTLLAYKGQLGDYYCMAAKAAGKPCPDYQRMHEGFKLAYTEMARQYPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSSFGSSAPYSVFPDAQPFMRWLRGKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGIVGVEKPDPSIYRIALEMAGKVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVAAQEWLSKNLKDEPVAAEQNV >KN540110.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540110.1:7689:13345:1 gene:KN540110.1_FG004 transcript:KN540110.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSCPRRHPSIHLLILSAFLLPILDGLELGGDGLYREILRDETVLRLKELGKDTVIDAGMYDGALGIISAISALKVLKVTGRLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSVYFAFNFFSGTTVQDVLKLNSLEGTANALGEVRYSPESVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVTLHIKFRPTFPNNWHALYNDQEQVNNQVIINGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKEPNKFLTYDEECGCFTEESIAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVASFSRLVLQRCDDRLVDCAVEQKHAAAATPCDAELTSQLERATRSTISSMAALPSRARLSCKAEATTLRVRITSGYMLGKAVGPRDACPCVLTRLDLTKSDGAMDEVEEDNKCGGKEEERTLPP >KN540110.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540110.1:31228:31871:1 gene:KN540110.1_FG005 transcript:KN540110.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDYACASGADCGMVAAPGAPCFLPDTLMAHASYAFNSYWQRTKVAGGTCDFAGAAMLITKDPSYDGCRYVYM >KN540110.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540110.1:57694:60152:1 gene:KN540110.1_FG006 transcript:KN540110.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHGIYLGDNADGDRLQKRLGEDKMKIFNEAFDEAADNALPDPKQDAYLEACHTNNMIEFEPEYHVNFNNPDIEEKPPMSLEDMLQKVKPFIVAYEGIQNQEEWEEAVKDVMTRAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPGNIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFAREVSELYK >KN540110.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540110.1:24147:25204:1 gene:KN540110.1_FG007 transcript:KN540110.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASGRFYCMSSSPFAVLVFDVAENVWSKKTLIIQKTY >KN540110.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540110.1:35609:41858:-1 gene:KN540110.1_FG008 transcript:KN540110.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQWNAAPSSSTNSDRNVHVNRAEKKAQSQEQEEAEKHLQMRVEAQEKYMRSMMEKAHQALASGATWPAADEQAKISPPGWTSWSCEITYTIHHLWSLIFLPKLQDRGKLASREARLPGPRAPGARFLRFLGRGVEEVVVGGGWWWLRLGAMNNHAAGGSTSNGGSGVDGDGGGGGGAARRNTKMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVIFVPVGVVSLLAAQNVVEIVDRYDDACVPANMTDNKLAYIQNPNISKECTRTLTITEDMNQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDAAKANQTSACEPEKTTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKNVYPSNFQNGLLKGGGTLDPAIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVKLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYVIVGGVCFFLAFAFTLLYLIKPRKLGDHNYLSWNRHPGGR >AMDW01038054.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038054.1:10:726:-1 gene:AMDW01038054.1_FG001 transcript:AMDW01038054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFAAWHYDTRGIFSVKSAYHVLVDQRERTLVRQTGESSSGSVHEEGFPWDKLWRMQCIPSVKHFLWRLAHNSLPVRMNIKSRGIDADTVCPVCKRFDEDGGHCFLKCKPVRLCWSLLQKENIRLDLMNLNSAKDVVRHILSLREECCMEVVLLLWNWWFARNKINAGEHGFSPEEVVFRVKNMLHELSALKPVEQRRGNTGIKHWLPPQRGKLKLNVDGAFHADRKTGGWGFVLRDE >AMDW01040691.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040691.1:192:1472:1 gene:AMDW01040691.1_FG001 transcript:AMDW01040691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRDTLMCTLNVPILCLIQFLYILSKSRLWHFMLFHRLALLTFHSALVNSPHDAQGAVNFLNQDIGAPAPFIPETLGPSRSKLKNLMEQTSHLASLVKSSVDTLTSIDALQQSLAKYSKASQVSGLVLVSSRERERVLRIFEGLDTDLTSYEGTRGMQEIDYKLLKDGHPGEVRFVLGQVIMDTMSEELPRVSTEYDLLSIEASEDDLADGSRPSLSTLFSSKS >AMDW01040397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040397.1:758:1234:-1 gene:AMDW01040397.1_FG001 transcript:AMDW01040397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQNIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDKVGMELHISYFVNKQLDKNLIFVLWSLPFLC >KN538949.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538949.1:63112:67470:-1 gene:KN538949.1_FG001 transcript:KN538949.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCAPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKIVFGAWLRYEKRGEDIISDVLASCRKCCKEFGPLDVSSEMPEGDFEILGSCDIGTSSKVSPAVTFQIRDGKVTCNRCKIASLSIPFWSMLNGPFTESQLDLVDLSENGISLEGMRAVSEFSCTYSLEDLPLETLLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMALAFEENAPVLAASCLQVFLQELPDCLNDEHVVSLFLSATEQQQCIMVGHASFLLYCLLSEVAMNIDPRTEATVCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAEHQFSVAFSAGHVYSIAGLARIAGIRGRKGLAYEKLSSVITSSVPLGWMYMERSLYSEGDKKLADLDKATELDPTLTYPYMYRAASLMRKKDARLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCEKLDAAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIEKAKNNASAYEKRSEYLLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >KN538949.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538949.1:114329:115744:1 gene:KN538949.1_FG002 transcript:KN538949.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQAQSLGIPLSTLDDHPRIDLAIDGADEPSIAFEHGKVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQDLFNDEGCEAKLRLDEGGKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMATSVIIAGTDGVSVKTK >KN538949.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538949.1:30238:31656:-1 gene:KN538949.1_FG003 transcript:KN538949.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCGVRPMPVTFAIMVRALARAGMTDKLLEMIGRMRAEVCRPDVFVYTALVKTMVRWGHMEGCIRVWEEMRRDHVDPDTMAYTTMVAGLCNAGMVEKAEELFGEMRRKGLLVDRMVYASLIDGYVSTGRVSDGCRVLKEMVDAGYRADLGIYNTLIGGLCEIEREDKALKMFQIVVQEDLIPSSETVSPLLARYADKGEMVKFFGLVDKLVELSLPIVEILVDFLKLFACKSGNELKAVEVFNAFRRRGHYSVGIYNILIVNLLKIKKRKKALVLFEEMQSSDDCKPDSCTYSHMIPCFVDEGNIEEACSCYNLMMKAVWIPSISAYCALVKGLCKKGEINAAISLVKDCLGNVENGPMEFKYTLTILEACQSKSPEKVIKVLDEMIELGYSIEEIIYSAIIYGFCKYASSTEARKVLSTMRDRNIISEANYIVYEDMLNEHLKNVTADLVISGLKFLDLESKLKWRSRDD >KN538949.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538949.1:79163:82512:1 gene:KN538949.1_FG004 transcript:KN538949.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIIHATKQAEVAVTKFIKSDIRNEQTAHRNIAGNSVKTSSSNTIEAPGHVVVTNSSDVGQDTMVNNNVAGNYVLLPLSNRSEAPRHVIVTISLDAGQNTVVDDNGVRQGLADEESDTTTKLLERGVLTAASATAAMLSPFLRGVLNHHQEGVIILPLHGLDEGLGHDSCGTLEASLIDGGIVIRMASFVLPDVSVCCVSPDEAALSILHSLKLSEESFKRVLEGSGGEDFLETLACVLRRPSYLSRMQGIHLLKSALPAMPPRG >KN538949.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538949.1:95392:100053:1 gene:KN538949.1_FG005 transcript:KN538949.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEKGGDVEAGTSGGARELYPGMTEPPEMRWALIRKIYVILSMQLLLTAAVAAVVVKVRAISHFFVSSHAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGLFTVAISFAVGMTCAFTSGQPVLGLLFKQSWPVVTDQLRKETCSAPLSSGIPIKLDPADHASGRFLMTISDFKLPYANKRHPINLILLALFTICMSCAIGLGCLSAKAGIAIIEAASLTFGVVFGLTLYTFWAAKRGHDFSFLRPFLVAAFLVLVLYGLIQMLVPTGKVATTVYGCVAALVFSGFIIYDTDNLIKRHAYDEYVTAAISLYLDTVNIFMAIFTALDASDS >KN538949.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538949.1:9127:14555:-1 gene:KN538949.1_FG006 transcript:KN538949.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MPKAKKNPHVFFDIAIGGRSAERITFELFADVVPKTAENFRALCTGERGLGVSTQKPLYFKGTNMHRILKGFMAQNTSLSPTPFLNVSTSCVSKHVVFGKVVTGMPLLKKLEAVGSDTGKPTCEVKIVDCGEVSDSQNQLKGEKEKKLRRTEDSSAEKRVKTQKPPTHDKQKKKRKHYSSDSYSSDYSDTQSSDSGSESESYSSSSLDTSSSSDHRHKRRKSSKKDKHRSAKGKSKHKKTKRKSRGTKRKSKRSYRSSSDDSDSSKTGGSSSDSESEGRRTTRTKHSSKKDPDNTKTISLEKDSTLEDADKGKQTATLDNISNEGSKPSNTDGNGAGIRDDPGARARSSPIRADASLTKVDGNNGADTAEAGISRAEPVPTNGKDLAMGSTDNGQPQRVRKGRGFTQQYAFARRYRTPSPERSPVRSRYNDGRNDRWNHFNRYGRNGPYSARSPVRRYRGSPRASSPSRYPRRDRSRSRSRSPLRYRERGGYRRPSPRRSRSRSPAEHQRRDVRNRLRSGRDGGGPDHRNSSPPVNRGRSRSRSKSRDPSKSRSPDAPPAKKGSSKYNRRRSSSSRSSSPAGSKGLVSY >KN538949.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538949.1:127889:131748:-1 gene:KN538949.1_FG007 transcript:KN538949.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNYFSEERLCMWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTALKPAFKAFDMQTLINKISKSVLAPLPTIYSGAFRGLIKSMLRKSPDHRPSAAELLKHPHLQPFVLELQLKSSPARNLFPDTNKASCSDDENNWKAKYSKSHSFKVDRIVKVDKVAANNGHPSSTGTAKDYQELLKQPMDELLGQLTEKVVDEVIHGNHSRVTKSPAPTPRRASSTPRIRLEPSKTFHARAAETPPSKCSLERASQPTRRASTPVNMLQTPEKRQGADILTRLKSPDVSVNSPRIDRIAEFPIPSFDDEQLQQPTTKLKLYPPSITDQSITKDKCTFQVLRSDSSKNHTGDSSDPSILGTDSNPLITSSSDWMKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >KN538949.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538949.1:179413:185071:1 gene:KN538949.1_FG008 transcript:KN538949.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPYYLPKKIWTLVCNTENSTYGGNRSVHRRSYETMREESAKKSKLSWSKSLVRKWFNIRTKAQDFHADSETTTQGRDGGGGAGGRASFSASSASTSSAKKSRTDRSSSKRSADRVRRGRNDFDLARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELEADFEASARRQENYSFFHRRSFHNLSRSLRMDADYMFPQPKLDRRFSVCDPVSLGGRPSDFDGNLRWLGSPDENIDEELSNAAQCSPLPYSCNTAAPTEANDEQPNGSRSFKTNYLKCLCLFRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGANDVKSPETILEHDRIIWLGDLNYRIALSYCSVRALVEMHNWKQLLEKDQLRIQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVEIVHHRRKNMGYFSSRIEIRVLAKNTNMQGSRVSSLGDQMILGNFAGNHYVKWRCISPCH >KN538949.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538949.1:93899:94390:1 gene:KN538949.1_FG009 transcript:KN538949.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQVALTKSIQPDTSNEEMTSGNVAGNNVLLPLSNRTEAPTPRHVIVTISLDAGQNTMVDDNGVRQGLTDEESDTKTKLLERGVLTAASATAAMLSHFLKGVFNHHQEGYYIILVVFLMTGLILATTATWLARRHSAKVVVLLALVPQVLVAGVITSTFS >KN538949.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538949.1:33132:37396:1 gene:KN538949.1_FG010 transcript:KN538949.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVSVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSALYLDAKSSARLLQEQQERYIT >KN538949.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538949.1:2979:8281:1 gene:KN538949.1_FG011 transcript:KN538949.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding ASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRMKAVEDYIKSVKPELVVQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGFAVNRKREEKGLPLLKVEVVDLLSGGAEGEKLSSSALRKLEAEKANQQEGAASKELMRYNSLLDFFSSVVHGPLHIDQDYQNMGFVYGLIPLRNRSGVRSRVNYIAPKCAVSSVRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELYHHRLKVVDVGGGTGFTTLGIVKHVDNENVTLLDQSPHQLEKARQKAALNGVNIIEGDAEDLPFPTDTFDRYVSAGSLRYFLNCVSHEQPMKRYVFRIVKLIDPLVVFTRINQYAISVKIIILALMIVITYIVLVKIIEYWPDPQRGIREAYRVLKLGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQKAGFQDVKIKRIGPKWYRGVRRHGLIMGCSVTGVKRSSGDSPLQLGPKAEDVEKPVNPFTFIFRFVMGTICASYYVLVPIYMWMKDQIVPKDQPI >KN538949.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538949.1:100908:109501:-1 gene:KN538949.1_FG012 transcript:KN538949.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYLTTTDHIVRSRGILLLGEILCRISFKQLDVNAISTLSDFFISRLSDWQALRGALVGCLALLHRKRTVGSIIIADVKRLLETFLQNVQVQSLAAADRKLCFQNLNYILDHYPEAVKTMGDELLYGICEAIDEEKDPECLKLSFNLVEAVMKLFPDPSGLAARYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALMHAFCSTPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMGRHVTTIWFKLKEVIFSLSIDQILSTSGAKDMEKNKNEIVSEALTCLKTAITQMGPSDEDRLINLILLDEDIVNGRVKCSEYVVNLLECYCGRVLPWLFNFGGVNELALNFAMRLWNEIRDLATSDRIGSQKAYDTISSMLSLPVKSMTRHLLAVDEPVPLYSVRDTSLMCMLSSVIVGLWPQTPVPDMLMMINLFTVFLLKGQIPAAHALASIFNKNLHNSEFSHENKLDKAIDTILERCFSTISLRSNMKTSLSYAGRSDDANCSEILSGNIESKNDIMSGLAWLGKGLLMRGDEKVKDVSLFLLKCLCSDQSLAGISSHQEEHGISDSSYASLATSAADAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTKLVLYRAFGHIISNAPVPAVITEAHRILLVMVDSLAKLSQDVKDKDLVYSILLVLSGMLMDEKGKECIVENIHIIVSVLSQLVSYPHMMVVRETALQCLVAMSSLPHSKIYRMRPQACSCRSYKLQSKLLMIRKGEFAKRLFVVGKHGMKTFIIFGAKDLVLDFSLHFPAGNHLLKDSVSVMMVSFGFGIAY >KN538949.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538949.1:146412:155277:-1 gene:KN538949.1_FG013 transcript:KN538949.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRAAAAAPAAARAEDSPLFSFIDSLSPIEPLKSAYSASSIQAYQSLNITSISSIFTSPHDNAQKESKLSKSSFAEFSESEACADESDKNKPSKSSNAVRLFACTSTLTQATHKITSSVSEGTVGPPEGSNDLPQPGQFDSGSPDHNTTPCHGVRSDLKQGKCRKLQAFQAAKTNTSEKRKCLFSTEVQLMDGCQPEKLNDEILGCDWDDLISATSGELIAYDEDHKGVQLAVSNAESCGFLLSKLTGDGDISDRTHPSSSTQTYYRELLMDEDQTENAQLVPDGEKNISTEEIQDNLYEANGSIPTGYKVETQQQRGMRRRCLVFEAAGYSNRIVQKESVMDLSVSTCKGKSPVQNHSNPGKTPSPRVLHGIGLHLNALALTSKDKMVCQDPMSSLVPSSATQQEAHGKMLSAGENFIHSGGELLELQMDDDCSAGVFLGNDHDSSQSNSPQKKRRKADNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGQDITGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCEGCKNTFGKRDAAVSTEAEEMKQGGEEAENCGKEKENDLQKANVQSEDHPFLELVPITPPFDVSSSLLKPPNFSSAKPPRPTKARSNSSRSSSKAPGAGHSQKFTKIANSGLNEEMPDILRDDASPGNCVKTSSPNGKRVSPPHNALSVSPSRKGGRKLILKSIPSFPSLIGDASSGSSMNSSESAFNTASPLALVREKCLTSEARHQWPGLLLHLFPACMCPPNLSLIHVKAKGWLVNCDVCVYVGTWILMSGWAYMSMAATGPAVMNNSPTNFTSRRSCRRRRRLVVARRREAAVGVAVHRRAVEEGEALVGRALVGPPGDAVLAVVDAAEAEAPLRLAAEVVVRVGEVPEPRQRADPLRDVSGELVARDVELLQRPHPRHGLRQRPLQLVVADVEHGEPPELPDLRRDAGPHAGVEHDELVQRRRHPPDAGGDAPPQLDVGEHDHRRRRVAEVGRELEVEVVVVEEERVDLLVEDRRRDLAAEVVEAEVDVLDVGEAEDVLREAAGEAVVADVELVEEGELGEGVREGAGEAVGVEVEHGEVGEEAELVGEGGGEVAVVEVDAGDGGGARVVRRGGAVDAEVAAHVGAAPPAAWFGGLNWGLVS >KN538949.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538949.1:46823:49272:-1 gene:KN538949.1_FG014 transcript:KN538949.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPMLNAVKEEESHGDGGGLEVVAGEDGAAAAVAAGVAPRPMEGLHDAGPPPFLTKTYDMVDDAGTDAAVSWSATSNSFVVWDPHAFATVLLPRFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANENFLRGQRHLLKNIKRRKPPSHTASNQQSLGPYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKVMEDRLQGTEQRQQQMMAFLARVMKNPEFLKQLMSQNEMRKELQDAISKKRRRRIDQGPEVDDVGTSSSIEQESPALFDPQESVEFLIDGIPSDLENSAMDAGGLVEPQDFDVGASEQQQIGAQGELNDNFWEELMNEGLVGEENDNPVVEDDMNVLSEKMGYLNSNGPTAGE >KN543756.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543756.1:332:775:1 gene:KN543756.1_FG001 transcript:KN543756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTDGDRLSILANDILLSILAWKSITQWKHHGCSVSSLLSIDVKDFLSVPHPRPIDAKDMNETVASLNKAVKSFMATSWREMKVLLQAAAALLGDNYSHVTRTLEMKQLILGPEKIWISPMWTTRLMSYDCYDDDDLLQQSSEQCGVL >KN541499.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541499.1:206:730:1 gene:KN541499.1_FG001 transcript:KN541499.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YHTATALPKMLNLGNNKFTGVIPNEIGELKALVSLNLSFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDLKGSVPIGGQFSTFPSSSFAGNPELCSPMLLHRCNVAEADLSPPSSKIDYINKVVPVIAFCVFFGIGVLYDQIVVSRYFRLNRLR >KN543756.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543756.1:1879:5321:-1 gene:KN543756.1_FG002 transcript:KN543756.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGPSSFVKSLPFPKSPGGRIGVDEWLRVPSARDVFAIGDCSGFLESTGKDVLPALAQKKLPKQYHPSFCLKKTKYFRRFEVAERQGKYLAHLLNHVMKAGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALVDLRQSKESKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >KN541499.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541499.1:23468:25528:1 gene:KN541499.1_FG002 transcript:KN541499.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACVEQDKSSLLRFLAGLSQDGGIAMSWRNGTDCCAWEGITCSGDGAVIELSLAANGLEGQISPSLGELTSLSRLNLSYNSLFGGLPVELMSSGSIIAIDVSFNRLNGNLQELNSSVSDQPLQVLNISSNRFTGEFPSTTWENMSNLVAINVSNNSFTGQIPSSFCVSSPSFAVLDLCYNQFSGNIPHQIGNCSALKMFKAGHNNISGTLPADIFNATSLEYLSFPDNALQGTIDDALIIKLSNLVFLDLGGNTFSGNIPNSINQLKRLEELHLDHNNISGELPSSLGDCTNLVTINLQGNKITGELAKVNFSNLPNLKRVDFSLNYFTGTIPESLYSCSNLTWLRLSCNKLHGQLTKEIGNLKSITFASLSYNNFTNITNALHILKALRSLTVLLIGGNFMHEAMPEDEMIDGFENVQALSIKDCALSGKIPNWVSKLRNLRALLLNNNQLSGPIPTWINSLYFLKYVDISNNSFTGEIPKALMEMPALKSDEISDHSDQIDFRMPVYVTPSLQYRTATAFPKMLNLGNNKFSGVIPVEIGQLKALFVLNLSFNNLNGEIPQPVSNLKNLMVLDLSYNHLTGAIPSALANLYFLSKFNISYNDLEGPVPIVGQFSTFPSSSFAGNPKLCSPMLLHHCKSAEANQSSPTSTEEYINKDIFVIAFCVFFGAGVLYDQIVLPRYFWLN >AMDW01038515.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038515.1:469:775:1 gene:AMDW01038515.1_FG001 transcript:AMDW01038515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPRDDDDEDDAEDGASSPKRHKSDHHRHRHRGEGDLEGAAGVATVSPAAAGPAEGEAEDGEILDQATAAVGVGVGVGRGLDADA >KN541499.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541499.1:16747:18921:1 gene:KN541499.1_FG003 transcript:KN541499.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSSRSNKTSRFRINFFDPAFVLLLTFISPVNSCTEQERHSLLRFLAGLSQDSGLAASWQNSTDCCTWEGIICGENGAVTEISLVSRGLQGCISSSLSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELQELNSSSPERPLQVLNISSNLFTGAFPSTTWEKMSSLFAINASNNSFTGYIPSTFCISSSSFAVLDLSYNQFSGNIPHGIGKCCSLRMLKAGHNNIIGTLPYDLFSAISLEHLSFANNGLQGTINGALIIKLRNLVFVDLGWNRFSGKIPDSIGQLKKLEELHMCSNNLSGELPSSLGECTNLVTINLRSNKLEGELAKVNFSNLPNLKKIDFGSNNFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIGNLKSITFLSLSYNNFTNITNTLHILKSLRNLTVLLIGGNFKNEAMPQDEAIDGFENILCLAIEDCALTGKIPNWFSKLRNLQILVLHNNQLNGPIPTWTSSLKFLKYVDISNNSLTGEIPAALMEMTMLKSDKVADNSDPRAFPLPVYAGACLCFQYHTATALPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNSEIPQSMNNLKNLMVLDLSYNHLTGAIPPALMNLHFLSKFNVSYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLLHHCNSAEEDMFFPNSTKEYVNKVVFVIAFCVFFGVGVLYDQIVLPRYFWLNRLR >KN540633.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540633.1:42757:45617:1 gene:KN540633.1_FG001 transcript:KN540633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCPRLLLLLRLLVFLVAVSWPLRGAGTEGLGVGEEGLSSIGASSGSRNVRRLLQTGGVNQGAPAPLPLEQSPSAGIAALLIISAAGAFYCRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGSTSSCTLYKGTLSSGVEIAVASSLVTSADDWSKECESRYRRKITSLSKVSHKNFMNLLGYCEEEQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWNGAKEPNPATSNSSSSLDLENTVRKYGMVLLEMLTGRVPDSEEDGPLEQLASHYFDGETRLAELIDPSIGSFSEEAARSLCEVVRSCIDPDPKRRPTMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSES >KN540633.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540633.1:57:272:1 gene:KN540633.1_FG002 transcript:KN540633.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DYENFEAYICKAYKGHPPKVCEGLARPATPTVLEVAEEGNRVSYYDSGDIRLKPDEDGHAKIKKVVPDDDD >KN540633.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540633.1:23912:27309:-1 gene:KN540633.1_FG003 transcript:KN540633.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGDPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPQKCLEKGRQVKRCVFDLADMKFSFSVISTKDSIFFLPQYLSFAHSRALLEVNFPPYPMQPHVNPITAPIGSSQLIRDLLIWKNNWNALDEGNNVICHSSLVSVKFVRMTILSDSILILRLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQDFESACPVSE >KN540633.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540633.1:18163:20854:1 gene:KN540633.1_FG004 transcript:KN540633.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQAGFDMKDVLLHARLVEQAAGEDQPAVSIEEVPGSNGRGGANEGTVFQLTFACSAPLSWQSMSASLDSPSFCCKRIQIFEKRGLTLGVVMILVQPGNEVLFKNRVDAALKSAIKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTRKPQLPTPLPQSSVFVSIDEWQTIRSGGEELGRWMLSSEEIEFIDWVGPNSFKGVFRGRKVWVNKMRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDIIMQRSKRLSLRDILKIAIDVAEGLAFMNSYAITYRDLNARRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEVIKNLKKSQYLEHTFTIACSYIETVLTKEFTSMLHQIIAGDPESVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHFLRSLMTRCWDNNPLKRPQFSEIISMLQRQNVR >KN540633.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540633.1:1210:12283:-1 gene:KN540633.1_FG005 transcript:KN540633.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTKLGLPQRRWREAARALSPSSQAGRENSGITNLDNVTTAVDLELEVAGKADGKRPHRFLDYLNAILLEWHLGRFLLKIAWRVRKAKWIVLLERFDDFTIASSWESHKYLPVGKHSYWDDDTHSMQLSFGVYEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGGNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVLSSTDFPARVKVNFSMKLTYRTPEYDYYNEETLVSEANESIAESEVANYPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADRWLLHPIVSPYMVDDSIGKFVGFASQLQLLTADTSGQENSKSTVTVPPPSVVDRVMKDLFNDEVGNSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCHIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLCTCLIHQKLHMLAICIERKKSLSREKGTDHAHEDGISNSVDALLMTEDMHEERVHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDRAEGSSGWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKFLLDPIREGEKVIHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLYATLASTLKSLQGKSEISDLSDDLKRLCQVFEHIEKLLILAASVHRKLIDAPRLSQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >KN540633.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540633.1:27739:39199:1 gene:KN540633.1_FG006 transcript:KN540633.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSRKGTDDEPKQEEKNKLPEDLEVQRTRVVCKGDAPTEGFQYAGAFAAMGIDNSVSADKFCKNFKVVVNRLTEDDMEFDMIGIDASIANAFRRILIAEVPTMAIEKVLMADNTSVIADEVLSHRLGLIPLDADPRLFEYISENDVPTERNTIVYKLHVSCKKGSPRLTVKSGDLEWLPEGSRLPLASPAQSGDKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAIELEVHAVKGIGKVHAKWSPVATAWYRMLPEVVLREEIKDADAEMLVAKCPVNVFDIEDLGNGEKRAVVARPRACTLCRQCVMGPTGEVMGPTVEQVELRRVRDHFIFTIESTGALPPEVLFTEAALRFARRGLGGPSRYMLPTKRADGAEAESSSDAPAKKARAGGGGGGVSGNGSEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLALAGVKSITLHDMGNVEMWDLSGNFFLSEDDIGKNRAVACTAKLQELNNAVLISTLTEDLTNEHLSKFQAVVFTDISLDKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVLDVDGEEPHTGIIASISNDNPAMISCVDDERLEFQDGDLVVFSEVQGMSELNDGKPRKIINARPYSFCIQEDTSKFGIYAKGGIVTQVKEPINLEFKSLRDSIREPGNFLLSDFSKFDRPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQRFTEFVASVNEATIDYKMDELDGKLLRNFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSAESLPTYPLDSKDLKPLNSRYDAQISIFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDKFWEGLDVIINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFISNPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCIAWARLKFEDYFANRVKQLTFTFPEDAVTSTGAFFWSAPKRFPRPLQFSTVDSSHIHFILAASILRAVSFGISIPDWAKNTSNLVDAVSKVVVPEFEPKSGVKIETDEKASNISSASVDDASVIEDLLTKLEASAKKLPPGFQMKAIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYRNSFANLAIPMFSMAEPLPPKVIKHQDMRWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDVAKEVAKVDVPAYRRHLDVVVACEDDDGNDVDIPLISIYFR >KN541833.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541833.1:6428:17876:1 gene:KN541833.1_FG001 transcript:KN541833.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPAMRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTLLFFEQEINGGYGLALQEDWMLLEDRGVSIYPARGDKAACRALEVSAIVRSIPNSRGCHCWAGGAMEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKRTLIELNGVQALARFQEVTNRYTQEKEASCSLNVDTELCESTVDDMLRERDDIHSSFTTERTMVNSVGAGSSSSRYPTESPENGNIDADAAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLARSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMLGPAYASTLHECRGVIAQSLGDADSNIRREALHLMMGLIDDSNVTDISGMLVSHASKSDPEFANDILGAVLSACGRNVYELVSDFDWYVSLLTDMGRNLHCAQGDEIGRQLVDVGLRVQDARPELVHSSRTLLIDPALLGNHLLCPVLSAAAWVSGEYVNFTKDPVELVEALLQPRTSLLPMSVRAVYIQAVLKVITFCCNLYVERLSDSSKEVSVALNGLSMDQTVSGGSDAPIGSSNEQITVPRMMEKDPFSLKSVVHMINLIETTVGPLVECNEVEVLERARNLIGFVYLLREIQELKESKFDDDKHSRVKELVKNMQTVLSQEIGPVSLNAQEKVSLPDDLVLNENLAELVDIVSEDDTTLSSSIVFYPRSCGSVETRDEPALSLGSSSLLSEHRKRHGLYYLPTGKAEDGPVDYPHANDPLLPASSESALDDKLTTIQPVSGGKKMKAVKSRPKVVKLDGEDFLSSMVASASVPKEDSLSGAVRGVLLGRDLKPSSSQKASDKAYEGIINKMDSGESSSQWKNNVDADFVGHPTSSSRPSIQQSHDRESTNPLESDGKEARKHRRSRSGHRQGKHKHRERHNTQPDVPQAPIIQDFLL >AMDW01040758.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040758.1:209:1371:-1 gene:AMDW01040758.1_FG001 transcript:AMDW01040758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNRSKSGLLNKVSCDSQQPLQSAITSRITNLATRVTTRVRSRVRTGQNCDDQFGGACESHYSEHDAREEQTSSEGSTPSGDVLHGAFVSEDNYSGKSSKTNSDDSGEGKLGLHKILSSTAEALWANRAIPWPWRGHGNDDAGKNRTNLPQFHEIQENGQSHKEVPEPIILPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADREADCLDFEILWEDLAIGEQVGQ >AMDW01075735.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075735.1:6:2613:-1 gene:AMDW01075735.1_FG001 transcript:AMDW01075735.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNLEGLIPPELGKAAALREFSFEQNSLSSIFPSSLWNLSSLTMLAANGNMLQGSIPAHIGDKFPGTQHFGLADNQFSGVIPPSLFNLSSLIAVLLYGNIFSGFVPTTVGRLQSLRRLYLYGNQLEANNRKGWEFITSLTNCSQLQQLVISENNFSGQLPNSIVNLSTTLHKLYLDNNSISGSIPEDIGNLIGLETLDLGFTSLSGVIPASIGKLSNLVEVALYNTSLSGLIPSSIGNLTNLNRLYAFYTNLEGPIPASLGKLKRLFVLDLSTNRLNGSIPKEILELPSLSWYLDLSYNYLSGPLPIEVGTLANLNQLILSGNQLSGQIPDSIGNCQVLESLLLDKNSFEGGIPQSLTNLKGLNILNLTMNKLSGRIPDTIGRIGNLQQLFLAQNNFSGPIPATLQNLTMLWKLDVSFNNLQGEVPDEGVFKNLTYASVAGNDNLCGGIPQLHLAPCPIIDASKNNKQWHKSLKIALPTTGSILLLVSATVLILFCRKLKQRQNSQATFSGTDEHYHRVSYYTLARGSNEFSKANLLGKGSYGSVYRCTLQDEGAIVAVKVFNLQQSGSAKSFEVECEALRRVRHRCLIKIITCCSSINPQGQEFKALVFEYMPNGSLDGWLHPASSNPTPSNTLSLSQRLNIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPESIVKTLQHSNSTVGIRGSIGYIPPEYGEGSEVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKFASAAFPDRVLEIVDRTIWLHEEAKSKDITDASITRSIVQDSLVSVLRLGISCSKQQAKERM >KN542096.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542096.1:7005:8069:-1 gene:KN542096.1_FG001 transcript:KN542096.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSRAANPDVENPDVEGHLEFILSAAATGARAAALFAGARLAATAGGSSHVSVNLLIHNSLLLLLVTDV >AMDW01003449.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003449.1:41:157:1 gene:AMDW01003449.1_FG001 transcript:AMDW01003449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKCGRLVGWVLLLLLAVAVAGAAAATPRQLFLVTQAP >KN542096.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542096.1:10419:15446:1 gene:KN542096.1_FG002 transcript:KN542096.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIFIYLRRHGLNQEIRYGLNSEPGNKIADATKANNNMVTLHIPCNNLTKGKFHIELCISFNKFHSKNATPIISAVRAIKSSELIEHQKEGRKCRKAVMTNQQQFVCMVLTKLQQQLSDSDSSKNVSITIHRVILDQDSESPSLSGSCSSQGRSHTGQAYFDLFWSSEKQQWLTMGGWLSKKSVSLGKVLCFVSIIIMLSVMIYLTVHPTPGESIVDERSGVRTMCGFVIAFGVIWMVLAYFSWANTFACIDEEEQGILPSYYYSYS >AMDW01039367.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039367.1:27:857:1 gene:AMDW01039367.1_FG001 transcript:AMDW01039367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSKLTSLILDGGASTTSIPCDGLSILSSPPPNLQKLELSPQTFVFPSLPEWIGELSKLCNLKIAVRDLPRNEIDILKRLTTLTVLSLSVRTTPADRIVFDKGFQAIRYFKFACTTPCLSFVEGAMVNAVRLKLVFNASSIEQYDLTSVCFQYLTSLKDISVKFGDTSSYLPSGRNAAEGALVAAVSKHPSTPIINVQWQEGTSSCDKGNSVSAKRGKLQALERLETAGKDSDELEIQEKKEWSLSAQRKRTHEQQGLEENISSEDTGKQDNSM >AMDW01040215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040215.1:133:1013:-1 gene:AMDW01040215.1_FG001 transcript:AMDW01040215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFILFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSTGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAK >KN539386.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539386.1:89393:91574:1 gene:KN539386.1_FG001 transcript:KN539386.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSLCRSVGIMFRCRRRGGYERIDEDEGEGDEYEAAMFEEEGLGPEALEARGDRLVASARRRRRRAALSIDCDKHLVCVPFAAGRKAASAYGEQAVSCLKAPPERDGIRMATHALLESARCYKKIPDRGEKEAASAALALEKATELSMRRRKLELAATCCRLLAELYEEQKEWSKAMIHFRDAAYSYGGCASEESVFYARHCMLKAREIAQIIADAKHN >KN539386.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539386.1:35833:42315:-1 gene:KN539386.1_FG002 transcript:KN539386.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPLHFLMVFLFSHSPAHFCMLCAVYLLVLASFFVLFSEQIWVLDCYCMQWAGKFATNATECHTNLNNMQPTQVTDFGALAQSAGFRIEDLANLSTNGLFNLKSNAHTIINDPLQFENYGKSISPSNITTTATVTVVDPQTLVPQKGAQLNLVTIRTGNVENWGESTMADTSPRTDTSTDPDTDERNQMQFEQGQLAAPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQIEQELQRARQQGIFISTSSDQSHSASGNGALAFDMEYARWLEEHNKHINELRAAVNAHAGDNDLRSTVDSIMAHYDEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >KN539386.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539386.1:92951:98231:1 gene:KN539386.1_FG003 transcript:KN539386.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGWEDALRAEMAAASPPAEAKGDRLMAQARRELPGMGRDCRRAAAEALWESAECHMRDFDPDDEQTARAIESDLKRSVRMLVLENQPQLAASACEELARMYAARRRWTEAREWYERAAGYYADTGEPYCIQLCRPRALQEAVSAAADAENLGTTISYNTTVTNGYIGDEGLELHISESKALENHSVGSPVSPQLILLPKLLINSAGLSAVPLAKRFHGLNQVFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGVVRFGPDVEWIDGGKDVTSCFLNRFDYSVNPTRCSKFYPVIRKYFPNLKDDSLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGVPALVNLFGIESPGLTSSLAIAEYIVSRYLR >KN539386.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539386.1:106640:109523:1 gene:KN539386.1_FG004 transcript:KN539386.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQIHTQTTPLAAGPAAAPSPSLPSSLVHFLKRPASFPFLLSLFVLLTWISLRFHHPSPSASVLRAPVVHDPQANLVRYPAALYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENTDVNDRGYGEAMIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVRCDMNFLMITYRKIYLIA >KN539386.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539386.1:28790:33602:1 gene:KN539386.1_FG005 transcript:KN539386.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:Projected from Arabidopsis thaliana (AT5G12200) UniProtKB/Swiss-Prot;Acc:Q9FMP3] MESLIHQLLLQNQLVVGKHVLKTPETVHTSQDTEKKTVKATSTNIAGVSLQPEVTKTASVLTALDDSDVLKMFCAAIGGGSGGCGVGVGGGGGDGRRILIRGGTVVNAHRVEAADVYVEDGVIVAVRPNIPVGDDHVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAEKSAMDYGFHMAITKWNDDVSREMETMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDFGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFTIASKYVMSPPIREAGHNKALRAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGQISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPDRSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNVVPGSGRYVRTPPYSYLFDGIEKSDAAYRASLRAPVKRDKAAA >KN539386.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539386.1:99369:105943:-1 gene:KN539386.1_FG006 transcript:KN539386.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLLEAKQALGYPLAPSEMLGDGDDDGCPARHFDVLLYLAFQHLDTSCERTRTRHVRSGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKRAIPKWIKAASLHNLVFPYDDLDKMIRKDREPPAKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCLERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQIQAQTVLSLIPEVEKQVNHWESRKKFGLLEKHKDYIVRAKAFHQKEETIRKLKEKASFRNPDEFYFKMINSKTVDGIHRPKPEANKYTEEELMLLKTKDMGYILQGIQSEKKKIERLSSMLHELDNKRPNKHVYFAEDREEAKEIQSRIEQKSSSLGLDNIPSRIKRKTASSYRELEERKQRVQKLEKLYADMALQKELKKPGRKRKLREDEIENQTSRPVYKWRAQRKR >KN539386.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539386.1:24280:27612:-1 gene:KN539386.1_FG007 transcript:KN539386.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQTLFQKLSEATLDHFKSWRGIHGKLESLSSTLSQLQAFLDDAEAKQLTDASVRGWLAKLKDIAYDLDDLLDSYSAKSMRMKQRQVIFPTKASFRSSSFLSRNLYQHRIKHKINIILERLDKIAQERDTIGLQMICEMRRYDTSERPQSSSLVDSSAVFGRERDREEMVRLVLSDNGHNSCNLCVIPVVGMGGLGKTTLMQMVYHDDRVREHFDLRIWIYVSESFDERKLTQETLEASDYDQSVASTNMNMLQETLSRVLRGKRYLLVLDDVWNEDLDKWHSYRAALISGGFGSKIVVTSRNENVGRIMGGIEPYKLQKLSDDDSWSVFKSHAFRNGDCSAHPELEAIGMEIVKKLKGLPLASKALGSLLFCKTDEEEWKDILQNDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYVFRREKLVKIWLALGFIRQSRKKRMEDTGNAYFNELLSRSFFQPYEKNYVMHDAMHDLAKSISMEDCDQFEYGRRHDNAIKTRHLSFPCKDAKCMHFDPLYGFRKLRTLTIIHGYKSRMSQLPHGLFMKLEYLRVLDMHGQGLKELPESIGNLKQLRFLDLSSTEIETLPASLVKLYNLQILKLSDCNFLREVPQGITRLINLRHLEASTRLLSRIHGIGSLVCLQELEEFVVQKCSGHNVTELNNMDELQGQLSIRGLNNVPNGQDAVCAKLRNKEHLRTLHLIWDEDCESSPSEQQEVLEGLQPHLDLKELVIKGFPGVRFPSWLASSFLPKLQTIHICNCRSTRLPALGQLPFLKYLVIAGVTEVTQLSSEFTGFGEPKGFPALEDLLLEDMPNLSEWIFDVADQLFPQLTELGLIKCPQLKKLPPIPSTLRTLWISESGLESLPELQNNSCPSSPTSLYINDCPNLTSLRVGLLAYRPTALKSLTIAHCEGLVSLPEECFRPLISLRSLHIYECPCLVPWTALEGGLLPTSIEDIRLNSCTPLASVLLNGLSYLPHLRHFEIADCPDINNFPAEGLPHTLQFLEISCCDDLQCLPPGLHNISSLETLRISNCPGVECLPEEGLPMGLNELYIKGCPQIKQQCQEGGEYHARIAHIRDIEIDGDAIVPEQI >KN539386.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539386.1:50870:53137:1 gene:KN539386.1_FG008 transcript:KN539386.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSGDAEPASSDASTGNGTSSFKAGASPSSAPAQNKPPAPIGPVLGRPMEDVRSIYTIGKELGRGQFGVTSLCTHKATGQKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNVVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCESEHGIFNSILRGQVDFTSDPWPRISASAKDLVRKMLNSDPKKRISAYEVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLSKQGTKLTEAEVQQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIISEVDADNDGRIDYSEFAAMMRKGNPEANPKKRRDVVI >KN539386.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539386.1:110524:112157:1 gene:KN539386.1_FG009 transcript:KN539386.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSEEQIVEFREAFSLFDKDGDGSITTKELGTVMRSLGQNPTETELQDMISEVDTDSNGNIEFKEFLGLMARKLRDKDSEEELKEAFR >KN539386.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539386.1:83057:86677:1 gene:KN539386.1_FG010 transcript:KN539386.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGALGVVAMVAAGGVGGGSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLDHLQYLINHQHWNRELYKNNIQGTIPSELGNLKNLISLDLYKNNISGTIPPTLGKLTSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >KN538685.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538685.1:302119:305256:-1 gene:KN538685.1_FG099 transcript:KN538685.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYLVVEVISADIPSSSNTSQTNYSVELRFNSQSFSTTIKENVAVWNERFYFDMREQEDPSGDLTLEATVYSINKITYSKSLLGKVLLSDDYFHRHSVNVAAIHHPLKNTNCLNGTVQLKLFLTAAADKILLESEDNNYETEDEGNRLNNMYNFLFKKNPSYDEDDNVDQHGPVVLQPADSVLREINPNFEPGRVVERMQHLFVRVVKARNLPDMDANGSLDPYVEIKFGAYNKGVTRCLKRNKNPEWNETFAFPFQHGKMPSLSVDIVVNDKDLVRDDFVGKLHLDLKDIPKRSLDDVPLEPTWYPLLDQDGTKLAQASLLLAIWIGSQADEAYRHVGLSGYIPKVYENPNLWCLRVTVVDVQGVTVGDDEQEDMAGCNTGTDTGVFCRARLGKQIQRTRALGKQRTTSGSYEWKEDLLFVAAEPFFEDDLELHVIVANPGKDEVVIGQLTVPLSDIVKGGDEHDHFDVMPSKWFDLKNPDKPQFDSSVDDGNDNSSRMRICLKNMLDGRYRIVHDSKGYMDDTRPADRKLWRPPVGRVHLGILRATGLPLRMGKSTVNPYCVAKYGDKWVRTRTILDGPEHVFNEQHTWSVYDIATVLTVGVFDHFPHTRKAHREIGKVQIHLSCLETDRVYAHSYPLIILNRRGFKKAGELQLAVKLSSESFISLLGMYARSTLPKMHYEHPLTVMEEDKFRSEVAEVMALRFSRVEPPLRSEIVAYMCNATRGNNCWSMRKSKLNFFRLMEVASPFVDLFKSVTSWKNPAVALISHVIFVLALCFHKLVLSMVIIYFVLVALWNYRFRPRKPPFFDHTVSLLGSVHPDEIDEEFDSVESSCSVDLDTNHITSAYYHGVSGLYVYF >KN538685.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538685.1:243656:244298:1 gene:KN538685.1_FG100 transcript:KN538685.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWATLHAPQPPSSGLAAGGSRDRLLLAGSSNLSKPSRLSVVASPSPLRPASRFACQCSNAVDEVVVADEKNWDSMVLGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYVGKIKCCKVNTDDSPNIATNYGIRSIPTVLMFKNGEKKESVIGAVPKTTLATIIDKYVSS >KN538685.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538685.1:236491:241648:-1 gene:KN538685.1_FG106 transcript:KN538685.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small RNA degrading nuclease 5 [Source:Projected from Arabidopsis thaliana (AT5G25800) UniProtKB/Swiss-Prot;Acc:Q8L7M4] MAAPSGSGEAAPASSTYYDVYGPDDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRHLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRALKTMDLSYESDGQEKISSLDDLKDIPFPIKYYTLSEKDLEDNGYNFSLEGFVPTVSAPPGSSPYAILALDCEMCVTAAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETVLVGHSLENDLMALRISHDLIIDTAVLYKHNRGHRFKIALRVLAKKFLNREIQNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLASILHESGKKCSLIDDASVLERYSDASCNSIAVFSDDDALSRSMKEVKNDKVSFVWTQFSKLISYLRTRAQDPDKVKSCVAEAIALQTCDGKTAQKRKKHQTCPELKEILIGLDKKIRKLYSVLPDNAMLIICSGHGDTPLVQRHYSDDILADSVYCRKDGAPCIPTNIRTSG >KN538685.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538685.1:268139:268913:-1 gene:KN538685.1_FG108 transcript:KN538685.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMWGGLHEFGDVASLPRRRMVVYREHGRAADHAGFLDTIDPLLNLFFFLLNHPHTNQFRDLPPLLHPLTDELGDLLNLISACSVDKAPRETYAGYYSAVPLIALYLDVPLLYPMRLGGSRSYVLDHAPSVESSSFCYSSEHKHENNGIPSVF >KN538685.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538685.1:251675:254793:-1 gene:KN538685.1_FG112 transcript:KN538685.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSRAGVARAIAVLEVSFSLMFDRGVTNCLKYLEAVPWSEPEEERIKSLLSQYPCNKTVSQDLLARLQPQEPSSSAELVVELMDSITKGTNNNARKDLRTLVDGILSRTSIYIKSDKELDIKNIYSICHTCLNCLVELFGEASDLGPSGQTTISVGKGPHERICKQVENLTWLLQILIDRQMGEEFVDLWASQNTLSTMHERSSPMVRYELSRISATIFIAMGSGKLHCTSTSRLGIFEAWFRPLLVDFGWLRRCPKGLNMATLEDGIGQALLTLTLKHQQVLFMEWFETFSGQGRECPNLMRAFQVWWRRSFVRSLGSSS >KN538685.1_FGP114 pep scaffold:O_longistaminata_v1.0:KN538685.1:293212:293439:-1 gene:KN538685.1_FG114 transcript:KN538685.1_FGT114 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVRLAGVVIVEASDVEVVVRRRVRRHRGALPGATAAGGEVHRLEALGEDPDGLLRRLRAQHVQRRLLLKKTD >KN538685.1_FGP115 pep scaffold:O_longistaminata_v1.0:KN538685.1:202029:205503:-1 gene:KN538685.1_FG115 transcript:KN538685.1_FGT115 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVYDALSAAIVQKTGFYAGFISGYAVSGSFLGTPDVGLLTVNYLLTQAVSPARCRPPEMAEVARRICASAPNTLFIADADTGGGNALNVKRTVQDLMAAGPGMAKEVWIFFSFFGLLIYFCVCLFIDRQGHMHGKQVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLAALKRAETTRDELHRLTTFAEFNNLVGLDSWLDIEARFSVNSSVPKPLPAPETTTTTEMEKAKAKAAAVVAGNGATNGATNGVH >AMDW01040583.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040583.1:149:1721:1 gene:AMDW01040583.1_FG001 transcript:AMDW01040583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SAQSVPADNMDQEALLGLKSLVTSDPSGMLLSWGNGSACTWSGVRCNRHGRVLVLDLQGLNLVGKISPSIGNLSALHGLYLQKNQFSGEIPDQIGWLGQLQTLNASANILTGNIPAALINCTNLEIIDLSQNTFFGTIPASTSSFQKLRVLKIGGNQLSGSVPRYIGNLSLLSTLDLSTNNLTGTIPYEFGHLRQLKYLQLSINNLKGTVPEPLYNLSSLSFFAIANNDLHGKIPSDVGFRLPRLLVFHICINRFTGPIPPSLHNVTNIQSIRMSHNHFSSSVPPGLSGLHNLVLYNIGFNQIVGNTSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYNLLFGSIPPEIGLLKELTMLGLARNKLSGIIPAEIGDLAQLTRLEMNHNELVGEIPVEIGHLQHVLSLDISSNSLKGGIPASIFSLNSLSSLLNLSHNLLTGSIRENIGQLGQITAIDLSYNFLNGSIPVSIGKCQSLQSLSLSRNSLS >KN540889.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540889.1:19670:25071:1 gene:KN540889.1_FG001 transcript:KN540889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLSSILAHLAIGCIILSILYRLEYVCLLCRLGSCSPGLQKRWCYVSLSSFLNLNQPGDNPDNMEEVNPSATAHSGNSVTSSGRRARGPTRMPSGMYTITAVDEDGNPTSPKSALTPYSNAIGVIARDDIPIKYRHWKKADVDELEWTDRGDVGRVISPGGRKSSCASTKVPQEPDMARYPVDDITMFEPPSLKKTKKAPLPNMSEPTLKEKKKEAANVKVPVAIQDHFIRMARPPAPLVPISNFRRTIRKKKLQEFSKEEFETSKTMQDFLEGARLNSLFDVRNIANAPLAAQYKLGHSLTTDEYRNIVGNCTQMRRVEEWYLQMAKEGKEMFPVFYRDEDFHHCDGIFWVPFKELFQLYNLMELDLSLIQLWTLMAALECRTTHGKLGFLDPQIINSRNIDQLGDKSEQAVVDYVYASFVNLHDKNTILLPYHFKPHWILLVIHLNDSKIVVFDGLRTPQAKFQSVIDTLNKALVRYKKKCIRHAPRANTFRVWAHPYCLRQDPGTSTCGFYLMRFMSIFMEDNNWNIMDAKKLKLPTSKLLPHACFGLAEQLCGFIFNHIISSNGAYNISKAPTGLVGFIEAGCPNDTLDSRSTTKEM >AMDW01040145.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040145.1:89:1183:1 gene:AMDW01040145.1_FG001 transcript:AMDW01040145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSLFEFSGDGSHDAGRKECGGHVLASHFALLLYLRLAGSPVAFPEQLVVQIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKRRFGGDICPHCGQLFDFSKAASSDRNPSLGSCTWPSQVQHAAVLGLEDSRMEKMRAYAEQ >KN541508.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541508.1:9078:10830:-1 gene:KN541508.1_FG001 transcript:KN541508.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSVKMETLEAADEAAASAEEEINAQGPLEQEWKVKPYCRKHDAFALSHVKEWALRPLSTADTYPAVPHCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFAGEVQFLVSSFKSWWTNRYMQIFQRLSKYELVDIDNDDEVRCYRSVVVGPTFHKELGVDASRTPSGYSMVDFRTMLRGALGLERAVAEPSGDRWDIRRRPRLLIISRRNSRAFLNERAMADMAMSLGFDVRLGEPDISTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYPKDDPVLKDPYSIHKQGWNALKMVYLDKQNVRPHLGRLKNTFMEALKLLPHGHTN >KN542445.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542445.1:957:3254:-1 gene:KN542445.1_FG001 transcript:KN542445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLKLFLSVFLPIAALVAVAVLVYRRRSSSSRNAQPELPESVAGGGGGGDPAVSPGLGKLNIRYNATSGRAGLRFQQLHHHHHGHVDVRHHHRGGGGAQQGPFQWADHPRLVTEAAENGWAQFVFAVAPPRTRDEAGVRVGEVVVGGRGQGGGLRLRAGEAEGVLHGGRAAGPRRELQRRRVLGPDVPGPRLQLRRDGAGQPRAGQVPVQKQFSVTKDNMARKVYMDYYYFFKRVYMEFG >AMDW01035559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035559.1:36:375:1 gene:AMDW01035559.1_FG001 transcript:AMDW01035559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVLEEKAGLCGCLLRRVPRVCKPYCRCLQYRNVKTRDQLARRIHELKDRVREIGERRKRYDVKLPEGDVAVPPSVSQQDSKMKERRDEFVCALEDGQPPFRDAVRRLSRD >KN542445.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542445.1:7744:12151:-1 gene:KN542445.1_FG002 transcript:KN542445.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQVREEHADPPAPTTRLPFLFNLSRGELVRRQRLIDEAVERLRRCGCDIRRCRGGGVPCPERRQTELSLIVDHVNRALHHYNANNPGAEFDPVKALGYASVSFRRALWVHVSFLARRRSSPVKPADEAAAAAADDDSDVKRGRKRRSRNKRNRAPPESPDKQFFAELRYDDYDSATVVTCTIIDKDKPHGFKTKCEFCPASYGILHPGDGKYVCGKRNQRDEFFLLRNRLLSSDPIHMAQ >KN543504.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543504.1:671:1081:1 gene:KN543504.1_FG001 transcript:KN543504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKVAPLLALSLLLLAVAAVHGCEPYCGHGGPVIPTPPVVVPTPPSYHRHGRCPIDALKLRVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAVKANVLGIKLNLAVDLSLILNKCGKICPSDFTC >KN543504.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543504.1:4617:5000:1 gene:KN543504.1_FG002 transcript:KN543504.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKVVAPLLALGLLILAVAAHGCEPNCSGGEVIPTPSYDRHGHCPIDALKLRVCASVLNGLVGVKIGAGPNECCSLLQGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCNKIYPSGFTC >KN542172.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542172.1:11045:17317:1 gene:KN542172.1_FG001 transcript:KN542172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSNTEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERLASDAKLKQTLTTTEIAALRRAVSDNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLNAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVAAVLLRQFRPSLEQALYSNIRRIEESTAALAAADDWILTYPPTGIRPLARSSAANLALQPKLSNSAHRFNSMVQEFFEDVAPLLSLQLGGSTMDDITKIFNSYVNLLISALPGSMEDEANIDGLGNKIVRMAESEEQQLALLANASLLAEELLPRAAMKLSSMNHSSMDDLRKRGSDKQNRMPEQHEGETHLSADMYISMDNTVEEPEWAPSLIFQELYAKLNRMASIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGKGRAANGDREINSPTASVSAHSMSSFRSHGSS >KN543052.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543052.1:737:3766:-1 gene:KN543052.1_FG001 transcript:KN543052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLWVFSCSLFSLWILSFMRSQATEARHGTLAVMCDERARILQDQVKVSMNHLQALAILVSTFHHSKSPSAIDQTTFARYVERTAFERPLTSGLAYAARVTHSERELFERQQAWSIRAMNFSSKRPRAEEYAPVIFAQDAYKHIVSIDMLSGAEDRGNLLRARESGKVVLTAPFQLLNKRIGVVLTYAVYKSELPLNATVHDRIQSSIGYLGGVFDIEGHVDKLLEKLAGKEPMAVNIYDTTGESMIRMYGSSNESASGMYHVSTLDFGDPLRKHEMHCRFTQGPPWPWLAIASSYGTLVISLLVGYIFHFTDKWIAKVEDGYKATDMQMLAKERDLKEDALFFDTIKSPSLLEISRLLNHRDPAENVDQEEEQELNLPLEAQDKLQETERKLGRMSKFITKVMKLTSASIRCLPSRVHCFNKKVWSITLLGFLLFILVIGAFDQPYNNQPLGMGGEGDNNMMLKNFGFSRGRLLIDTVHGTWTKRGVQSSDTIRLDLRKMTGNNDSSGQQLKHWSSHKSSEIPAVLYVPMNGKQVMVHCNLIVDEKALVNWMSNGDTAQSSKYQQETAGIQNRTDKPHLPVNKSHKTTVSPWIALLPVIMLVLLGSIIWRRCSDHRRRVQQEELELLGIMGPSRFQLEDLVAATGNFADENKLGQGGFGPVYKGYLRDQDLHVAIKVLSSRQSCQEQSAQGLREFKAEVKVMTQLRHRNIVKLVGWSDSKKQLLLVYELMAQGSLDKHLYDPEKILTWQQRYQIKFANLFNLTGSFFTCVKIGSARYKIVLDLGSALLYLHHDCEKCIVHGDIKPANVMLDVSHNAKLGDFGLARLVEHGGEPQTTQVVAGTPGYIDPEFINNRWPRTELDVYSFGIVLLEIACGKRPASRQLPNGASSLLAWVRDLYDQGKILDAADQRLNGEFNQQQMERVIVMGLCCSHQDPIQRPSIVQAMDVLRSADAELPVPPAAHDTGHIRSMQEQAYADVPVEDHSVHTVTRSTYFTSKDSVYLLAEG >KN543052.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543052.1:7730:9003:1 gene:KN543052.1_FG002 transcript:KN543052.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVLIRAKFKDNDSMPRKIVLHDLVGMGGGGESWTVSVFLLEGDFINMPPDEDLPPSSPQPNPAAVDVEDPHGGHIWQMGHPQAGPGDWDDFVQQQNAANEQVEDAWGQDHPMGQIMEVNPDGLIDLAVASPGKENVVVPFVPDTDKGKNVLEFDQDAQVQCFLARLEKIAKNEYPQAPVKKRDGKTLLYNPYRRQSARLQHNKEESELKVDRRMGIGKPRGKSARKLKELADIAKIFDDTSIKETDFNANVYDDVHSDSSPSDCSISLLQKMGVDMCGLAPEEVAESSLGGQRRKKMPRPDMEEK >AMDW01038899.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038899.1:168:719:-1 gene:AMDW01038899.1_FG001 transcript:AMDW01038899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IHGILNAVSWGILIPMGAMIARYLRVFEAADPAWFYLHITCQLSGYILGVAGWALGLKLGSESKGITYSAHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSAIVLAAVNIFKGLDILKPASGWKRSYIAILATLAGVALLLEAITWVIVLRRKKSDKSSSPYGATNGNGRA >KN542660.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542660.1:8589:11750:1 gene:KN542660.1_FG001 transcript:KN542660.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKMREGRRDGSRRLTLVPGGLHLARSGQVAGEGSEGEPECCSSQHGRSSEPASRSSGPWPNGSRFWALGEEGSSDEEAVLGEQKEVARRGVKAKEDQGDEEKFIQKILERGLAVDDIVRTGEHLRRNSWRKSESLQKRANSTRAALCVSDSAFEQTNRLCNPWKGPLPKARISQQLTIGDKIAEALATKSSVYSEPAKISVKSNQDRDAVSDGKKVFLTERPANLGSTAGELAWSAEVRKSGAGVEARGLEQGAGKLLILNEEKGSGPIRPIWLGKSRKVRVFFPEGLGRLLSRAGKHAWVKGKRSKEDASVSARLLLERYIEKGERAAAVNSRTEGGGGLKKNLDAPVTGKNGGSNTVSEIGKKGEKHQSKELGKDVGGSKGAGQIKIGEVEVPVTSKGKSVEHVNHKCPVLKFPKPVVQAVGYAVDGLGFQHIPHQPLQRSKKGTKKALVRVVGGSLTIEKLVALLHKLCPAKWKWEPVPQGEGAFVVLFPSKSEMQRAINFGGADVKEGGVPTGVRVEFEEWFEEEEENDRDNKRTKNDDMVVDDNKEDYEKEKDEVQQSGEQRKEDDIEEMAEKIVDVAVERLLGEVYERVEREEEIMEGTDLQQEKVEQLANIEEVLVTPKRASERLVGSGGRHSLEKAKSRKAWMNLDSVSDRQVDSFSASDKEEEEESVDHVLLNHLCGDIMDE >KN542439.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542439.1:3221:4769:1 gene:KN542439.1_FG001 transcript:KN542439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLLVLCTILAARMALAAADDWIPATATFYGGNDGSGTMGGACGYGNLYDQGYGLENAALSTALFNDGAACGQCYLIVCDTDKAGRWCKPRGAMS >KN539486.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539486.1:97398:102565:-1 gene:KN539486.1_FG001 transcript:KN539486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKREDISMIERLREKNRVARPFLDMDLIAFEALDISHQEEIESEHGITDGFIVDLVCDDSTAGRLGISRGDVIVSYNGLRDFTLHTFEEYLLNLGWGFLESTDPSWTINLELEIYDPIRRTIRDVTFPLGFSDICENWWWGWRYCSDDKMRSTRSGIHGVKGNSGRRNAAAKTMLLRNQNPFETLDITHQEEIESEHGITDGFIVDLVCDDSTAGRLGISRGDVIVSYNGLRDFTLHTLEIYDPVRLTIRCVTFPLGFSDICEDVLVLP >KN542439.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542439.1:6076:11700:-1 gene:KN542439.1_FG002 transcript:KN542439.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNQVMAWYGTSTAALSTALYDGGASCGQCYEIACDHQADPRWCLQGRTCYLIMCDASKTPEWCKAGTAVTITATNLCPPNWALANDDDGWCNPPRPHFDMAQPAWETIGIYRAGIVPVLYQQVKCWRQGGVRFTVSGFNYFELVLITNVAGSGSVQAMSVKGSKTGWIPLARNWGANWQCNSALVGQALSFRVTSTGGQTLQINNVVPEWWEFGTTFTSNQQFDY >KN539486.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539486.1:65650:72502:1 gene:KN539486.1_FG002 transcript:KN539486.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRQLKGRPPRLGRMQEVSSAAGGNNFRRFRGCRECEKCRPWARLREESISGDSAAGGCDLRRENAISGERRRAADCGWGMCAVTNERKLIFGERGNHLGGGGGLRQRWSAAAAGDDLGSSRAVSGGAVAADGKEIMQCSGIVVDWNKTSRLATIVTCSAAVCFDGALVHPNPKLLVHLPNRSTAEGQLLFFNAHYRIALLEALVDSPLEPANFGSSPKFGQKVFTLARDKKSSFFARSGTVLLQDPPFFFEVQILCGTGGPAIDERGDVAGMTFGRLPNPDVLFISILQTCIDMWRRFSRVARPFLDMDLIAFETLDITHQEEIESEHGITDGFIVDLVCDDSTAGRLGISRGDVIVSYNGLRDFTLHTLEIYDPVRGTIRGVTFPLGFSDICEDVLVLP >KN539486.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539486.1:28096:38426:-1 gene:KN539486.1_FG003 transcript:KN539486.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWCNSSLLLAKDNSSSHNSHCNQNSGSKIYFAAAVCDYGGGVGNVGSCGLGARRKLAAVNRALAVVVVMDAEVISAGILREALDAGAISMRDVKSEIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQRIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRSQETENKLLIDSYKEQLLQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDGSSLDWGPRACHRTHEIIQAMWKEVPGRTKDYVTRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAMRLRDLPASDQGDSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLDDKDDRYRKILKEKLQTIDSAGLIQVYRKQLGDKLLMAACSSCPNQKNRQQQQQMNLYCQYFLFLLSVAALFVQDGKIIAVFSGIVVSWNETTRSATIVTCSEAVCDDGALIDPKPKVLVHLPNQTILDGQLLFFNDHYRIMLLEVVSDTPLQPANFGSTPKFGQDVFALSRDYESSMHARRGTVLWQEPPNVLEYMYYCLSLSCQLAPCGSGGSVIDQHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIARPVLNMELRAFELIEASHQEEIELDHNINDGFIVAVVYDDSTAVRLGISQGDIILSYNGLHDFTLHKLEEFLLSLGWELLASGDPSWNVGLELVVYDAVRHATRSITYPLEFSDASERIHIIAKYKCHIEHSNIHK >KN539486.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539486.1:5406:5843:-1 gene:KN539486.1_FG004 transcript:KN539486.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTEIMEDLGAGGHDAEELMRLLDANSDGSLSSDEFALFQKRVELKAKLEDKDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVLA >KN539486.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539486.1:17288:19338:-1 gene:KN539486.1_FG005 transcript:KN539486.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAVTMADAEAQLGAGAAALLRESLDVKNAPSRVDVADEEAASALRNRILSGYDVRAVILELAIRLDAMKHLDGVPKHQQRTTSLEVLKVFAPLAHAVGAGALSKELEDLSFWRLYPQAYAQVDQWLSGQEDDCKRVLATCKDDLLQALAADDELRHTVAGFDVEGRYKSRFSAMKKLVKDGRRPEDVHDILGMRVILDHRAGAGDGHRACIRTHEVIKGMWKDVPARTKDYIARPKGDGYRSLHIAVDMSEPGPEGKKRPLMEVQIRTKEMNDAAVFGHALYKGCLADPEEAKRLKDIMLAAAEVAAQHLRDEPATGDQTGVPAAAAAAANAGNIERAFRLLDKNGDGRISMEELTELMEDLGAGGKDAEELMRLLDDNNDGSLSSDEFALFQKRVELKAKLEDKDDEYKEILKPKLQKVDDTGLIHVYRKNLSDKLVSG >KN539486.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539486.1:88891:91475:1 gene:KN539486.1_FG006 transcript:KN539486.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTSETKTEQKPQPEPKQGLTMNITQGTRQRQATGFVIARRANIIKILTCAHIIEDVYTKDKHDVTLQELNAAFTFDVLCVHQERRILATPSPMPTSRRLRSLTNAMVVAIDTSKDLLLLQGYTMRLMEVSGLVCGHGYSGGPLLNNEMEFVGTYHGTIEMKGYCVSLGDIRRFLAQFEVLTGGWPAANQVGAQLKTKFRLIPQSLQAQVYAVISKTLAVSSTKCSLTIYNLNMHPQRGNVMSLKSQMIPNSHSMVQ >KN539486.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539486.1:13965:14528:1 gene:KN539486.1_FG007 transcript:KN539486.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESWLGPSCHQPTFSRHRSPRTGECHPYVAISSNTSRACARSVAGQRAGHSRQLQLLYAAAALFTQSPKSPSVTTSRTKNFSRRSASTGQPPAARSPNREKGASRERHARPLRPPERLTRSKGGVRGGVEAEAEAEAEAEAASLRREDGGVGDAGARWCLNSSTVARLTGSYEWNTKKIRGVFVTNT >KN539486.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539486.1:48419:53018:-1 gene:KN539486.1_FG008 transcript:KN539486.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETALFQITIIIEPYSQDVHMCFLVEKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >AMDW01035826.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035826.1:108:487:1 gene:AMDW01035826.1_FG001 transcript:AMDW01035826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYDVVRYRIHRMRKKLKDELDDRDTVQHYVCPNCKRRYSAFDALQLVSDMDDYFHCEHCKGELLPESEKLTLDEIVCGGGNAIKHKHDKLKDMQQRME >KN540076.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540076.1:62224:65352:-1 gene:KN540076.1_FG001 transcript:KN540076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQTYFKLRNNCMLTVRIDMKTLKGLIAHQSWHRIDHFCLVNSIEIELEPGHRESMSIVVSKSEPVVVRPSQPPVKSSGSKIFLSPMDKPSSMMPTTVLLAFDHPIIQSECTADTIKRGLAQALVPYYPIAGRLSCDDDDGDVYIDCTGEELGVTFVAASANCTMEELMCCVDDQPPDDETAVVQQLAFNCTPDDLHHRLFWMQVTTLSCGGFVVGVTWNHGLADGFGMAQFIQAVGELARGLPSRRLDDNNNATQAVPPFAMAVYQFMSSSSHKTSIDHTFNNITVPSSLIDHIRFRGRRTNDDVTVFEAVAAVLWQCRTRAVMKNPEAPAILLFAVNARKYLGAKDGYYGNCSTMHVAAAKSGAVANGDIMDVVGIIRRAKERIPEQLKMTGGGDMTMLRELADDHRLDGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPQRVVLSMSMMVNSMPICVMLKATEQGARVMSACVAAHHVDAFHDEIAKLNATA >KN540076.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540076.1:1296:17980:1 gene:KN540076.1_FG002 transcript:KN540076.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSITDAKLPLLPLLNGTPSLQGMVLLTISAVVPPNMHRSMATFPQEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDISEKAQKELFYNWYYFAVNGGFFVASTVIVWVQDNCGWGLGFGIPTLFSVIGVVGFLACMRFYRYQKPGGSALTRICQVVVAAFGKVHVDVPSDSSLLYEMPGKESAIVGSRKLMHTDGLRFFDRAATITASDEASASRPWKLCTVTQVEELKILARMLPIFLTGVIFNTAEACFPLFVEQGGAMDNHVAAAFALPPASLTTFTCVCILVLAPTYDRVLMPAVSRLTGVKRGLSELHRIGVGMVFAVLALAAAAAGATARLRSVEAEAPAVSILWQAPQYVLVGVAKVFGVVGYIEFAYEQSPDAMRSLCQACSLIMTTFIQHLIRHMDTNKPGALANEAGLNNEGKLSQHTGDGSVDVKGNPASKHSTGCWRACAFVLDAPWFSPIFLILYSHFNLYYQLHRSAGSWTECLGQLAFFGVQYSLVTFLTTQLQQGNAEAARNFSMWQGTCYIAPLAGAIVADSCLGRYRTILAFFSLYIIGMGTMALSGASPAVISRSTQPGVFSLGLYLMAIGAGCIKSCVGPFGADQFDGGDAMERPKKSSYFNWFYFAMYVGALVSGSAVVWLQDNLGWLLGFGVPALCTVLAMASFLLGSAMYRYHQPRGSPVVRACQVVVAAVRKRNVVLPHDGFVLYDGPAGEGRRLQHTDQFKFLDKAAVAMAAPSAAAAQPWRLCTVTQVEELKAIVRMLPVPQYVLLGASAVFAHIGQLEFFYNQAPDSMRSLCSALGHMTCSLGSYLSSVIVTVVSRATARGGSPGWIADDVDDGHLDRFFWLVAGLSSINLVVFICCAKRYKYKDSIN >KN540076.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540076.1:45313:46539:-1 gene:KN540076.1_FG003 transcript:KN540076.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTVLLAFDHPIIHDHESTRSSCIQMQATAESIKRGLAQALVHYYPFAGRISCDDDGDFYIDCTGEELGATFVAASADCTTEELTRAVHNQPTDDETAVVQQLAFNCTPDDDDLPHRLLLVQVTTLSCGGFVVGVTWNHAVADGFGIAQFIQAVGVLARGLPSPSVTPVRLDEHNNAVSPFTMGVMQLADRHKVPDLSFHNVTVPSRLMDHIIRGRTTNVTVFEAVAAVLWQCRTRAVMTNPEAPAVLLFVVNARKYLGAKDGYYGNCTTGHMAVAKSGALVNADINDVVDIIRRAKERIPEQLKMTGGGDMTMLRELADDHRLDGYESLLILSSWRNIGFEDVDFGSGKTARVMTYPQREVFSKKMPICFMLNNTPQGARVMSGCVNAHHADAFHQEIAKLNATT >KN540076.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540076.1:34125:36268:1 gene:KN540076.1_FG004 transcript:KN540076.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSFLAVVVVVAVALVAGGGAAAAQLCEDYYDCTCPDAYDIVRRVLIEAHQSDTRIFASLIRLHFHDCFSGGPGWGVLLGRLDGKTSDFNGSLNLPAPTDNLTVLRQKFAALNLNDVDLVALSGTHFTSPANSIQFSSSAMPAGADDDDAGGHTFGRVQCQFVTSRLYNFSNTGRPDPTMDAAYRSFLSQRCPPNGPPAALNDLDPTTPDTFDNHYYTNIEVNRGFLQSDQELKSAPEATGTTAPIVDRFATSQAAFFRSFAQSMINMGNLSPVTDPSLGEVRTNCRRVN >KN540076.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540076.1:19200:20694:1 gene:KN540076.1_FG005 transcript:KN540076.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYSYRFMLVCSVLVLCLNTRGARCQLSDDFYDYICPDVYTVVQQHVYAAMRTEMRMGASLLRLHFHDCFVNSGGPYYDVLLGRRDGLVANQSGADNGLPSPFEPINSIIKKFGDVGLDTTDVVVLSGGHTIGRARCTLFSNRLSTTSSSADPTLDATMAANLQSLCAGGDGNETTVLDITSAYVFDNRYYQNLLNQKGLLSSDQGLFSSDDGIANTKELVETYSANAHKFFWDFGRSMVKMGNISPLTGDDGQIRKNCRVVN >KN540076.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540076.1:57690:60018:-1 gene:KN540076.1_FG006 transcript:KN540076.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDPPPPRLQVLNQGVQLQDHKPGVLAAVGLRTTGGYEDLKRAHSSSVLASLRSLLSRHSIEIELEPGHRESMSIVVSKSEPVVVRPSQPPVKTSGSKIFLSPMDKPSSMMPTTVLLAFDHPIIQSECTADTIKRGLAQALVPYYPIAGRLSCDDDGDFYIDCTGEELGVTFVAASANCTMEELMCCVDDQPPDDETAVVQQLAFNCTPDDLHHRLFWMQVTTLSCGGFVVGVTWNHGLADGFGMAQFIQAVGELARGLPSPSVVPVRLDDNNNATQAVPPFAMAVYQFMSSSSHKTSIDHTFNNITVPSSLIDHIRFRGRRTNDDVTVFEAVAAVLWQCRTRAVMKNPEAPAILLFAVNARKYLGAKDGYYGNCSTMHVAAAKSGAVANGDIMDVVGIIRRAKERIPEQLKMTGGGDMTMLRELADDHRLDGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPQRVVLSMSMMVNSMPICVMLKATEQGARVMSACVAAHHVDAFHDEIAKLNATA >KN539793.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539793.1:75038:80402:-1 gene:KN539793.1_FG001 transcript:KN539793.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIGQDEAVEWVKKDRRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAQVIRQNGGQITREPGPLPGINTKITACTDPDGWKTVFVDNVDFLKELEE >KN539793.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539793.1:14515:15982:-1 gene:KN539793.1_FG002 transcript:KN539793.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPFSSAGAPNYTKPHAKPVADVDLSRVPESIRPQFESWYRRHFAHTRFVNAEPSAPNSCTEPASLRAQIEEKKHELFHLLFELKYGRKSIAGGEKLSIQDEMILGELTPYRELKAMADKYG >KN539793.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539793.1:39225:47219:1 gene:KN539793.1_FG003 transcript:KN539793.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKREEGTEVLFEEFQWLCVMRRGKEMVPHGHHGCLTCHDNLELDKSRHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDELSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNLQVSKSSKPLLHVLSSNKVAKDNLVFVSAGRWIYAVSSIDGVISWGKEFSLDGLDIKQIIQSPENDIVYAVGLAGSLKLNLYQLNAKTGETVKHIQESFPSALCGETILGSHNVLVALDETRSDLFLIELKGESISYNKVHISDLVQGLSGTVKLLPLMSNGVIALQTSSTVSLLKLKGIDGLEVIQSYEQPAAVSDALTITEKDEAFAVVQHTGSEIEFLVKFTSDVTNEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLASADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRSSKSGEMPSALRICQWQVPHNRVMHENPSILIIGRSGADSAAPGVLSVLDSYSGEELNSLKLDHSVVQIIPLTVKDSSEHRLQLIVDSHSRAHLYPRSPDAMNVFLHEMPNTYLYTVDTETNVIRGHSLQKSCDNSDDEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLVFVATVSPKASGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVHAVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYTRPEVMVKSQSYFFTFSVKAIAATQTAKGITSKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYLTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAALVAAIVVTWIWSEKKELRDKWRMTQCFKSYRKATCAIRNAGNGIEKLVECQRQAWIAYEL >KN539793.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539793.1:28237:29418:1 gene:KN539793.1_FG004 transcript:KN539793.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKVMNTESAPHGETSLTLISNVEAAFEAMKLQPIAKASTSTNEGGHKPSAPSPPPAVVEDDERVIIHINHTGYLTGL >KN539793.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539793.1:16981:17466:1 gene:KN539793.1_FG005 transcript:KN539793.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MECSNVQTFNESKPLLHNNQIYNYLPQDYDMTDDDIRVQITIETSSSTVVLVKINDIALKQNQLLPILDENKYLDDNIVGAYIYYIRDQA >KN539793.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539793.1:62990:65803:1 gene:KN539793.1_FG006 transcript:KN539793.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAAAAAAAAAAGKGKKAAAGEEDEDEDEEEEDEEVAAAPQAPAAKMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >AMDW01010354.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010354.1:52:114:1 gene:AMDW01010354.1_FG001 transcript:AMDW01010354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGNLWYAPTASSTSARSP >AMDW01039127.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039127.1:6:882:-1 gene:AMDW01039127.1_FG001 transcript:AMDW01039127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASPPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGRTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTGRWYSLALCNYAASHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSDYSLASEGVEYARRAVTNVDSSDGHLKSAALHFLGSCLAKKSRIATSDHQRSLLQTESLKSLSEAISLDRHNPDLIYDMGIEYAEQRNMQAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGPLLRIKAKLKVAQSLPMEAVEAY >AMDW01040388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040388.1:10:747:-1 gene:AMDW01040388.1_FG001 transcript:AMDW01040388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVWSDDTTSQLEATVQFRRLLSDEKNPTVIKIIRADVLPRFSDFLSRHEHPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLESANANIRHQAIWALGNIAADVPTCREIVLDHGAVTPLLAQFREGMKVPVLRTATWALSNLCFGKLPAEVQ >KN543149.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543149.1:430:1637:1 gene:KN543149.1_FG001 transcript:KN543149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKISSIEASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKNFEVVFVSGDKDQEAFDAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTEHGTEAYPFTTERINELKEQEKAAKDNQTVQSVLGTPTRDYLISNKGDKVPISDLEGKYVGLFFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDKMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAQKAKAKAELQTLESLLVIGDLDFVLGKDGAKHWSKYCPSLLS >AMDW01020567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020567.1:2:286:1 gene:AMDW01020567.1_FG001 transcript:AMDW01020567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARRIRRGAFAEAAKLRTLEPHRDAVDAPCPLAADCGGCKTQSLAYAAQIRHKHLQVRELLVNFGKFDPRKLESSEPDAILKPIVPCDEIFRYRNK >AMDW01040492.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040492.1:28:1504:-1 gene:AMDW01040492.1_FG001 transcript:AMDW01040492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARKKVAGALREVIKKRMEEKAENGGSIGDDEGKKEKKDMVEELLQAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKKEHANIRDMKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQ >KN540503.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540503.1:46278:46553:-1 gene:KN540503.1_FG001 transcript:KN540503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDGTPVPQWAAEFDGWLQEALLGGRHDDVKRYEEKAPHGRVAHPSPDHFLPLHVALGAAGEGAKAELIHRSWSNASLSYASYRFTTAKN >KN540503.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540503.1:9067:9501:-1 gene:KN540503.1_FG002 transcript:KN540503.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYCNLTSSPPVASGAVEAETKWEGVAVGAATLVRNFSSASQRFRPVERSRSTAGGNGGGLQAVVRRAFSMRRQPSSFADGYWRIHDNMDGDDAAGEHQEQAAFQDGEQKRREEQDHATGKKEKITKKKGRIFKACKKLLGF >KN540503.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540503.1:29787:31863:-1 gene:KN540503.1_FG003 transcript:KN540503.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNAAPEDVESGEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGTRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCIHRNGAGAGARCDNTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVAEWVSHGGHLSGRIQGTTAASSSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGATTIFYISVGCAGYAAFGSGAPGNILTASGMGPFWLVDIANMCLILHLIGAYQVYAQPIFATMERWISSRWPEAKFINSAYTVNVPLIQRGSVTVAPYKLVLRTVVVIATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGGRWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKVATPFKTVS >KN540503.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540503.1:25319:29152:1 gene:KN540503.1_FG004 transcript:KN540503.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQLQIYLCFILLSLNFGISASLPLETDALLDIKSHLEDPQNYLGNWDESHSPCQFYGVTCDQTSGGVIGISLSNASLSGTISSSFSLLSQLRTLELGANSISGTIPAALANCTNLQVLNLSTNSLTGQLPDLSTFINLQVLDLSTNDFSGPFPAWVGKLSGLTELGLGENNFNEGDVPESIGKLKNLTWLFLGQCNLRGELPASIFDLVSLGTLDFSRNQIIGVFPIAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLSGILPKGIANLKKLKIFHIYRNNFSGVLPEGLGDLEFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGISASLNQLYVHNNVFSGELPMELGKLSLLQKLVAFNNRFSGQIPAQIGSLKQLSFLHLEQNALEGSIAPDIGMCNSLVDLNLADNSLTGTIPDTLASLFTLNSLNLSHNMISGEIPEGLQSLKLSYVDFSHNNLSGPVPPALLMIAGDDAFSENDGLCIAEVSEGWRQNATNLRYCLWNDNHQNFSQRRLFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKGDIESSDDSDSKWVLESFHPPELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGTAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKVTEKSDVYSFGIVLLELLTGRSPSDQQFDGELDIVSWVSSHLANQNPAAVLDPKVSSHASEDMTKVLNIAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNGKK >KN540503.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540503.1:11495:11865:-1 gene:KN540503.1_FG005 transcript:KN540503.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFEHLIKPHVFPPLAGAALRPARRRHGVSPAGKLRSPPMVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >KN540503.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540503.1:40877:44794:-1 gene:KN540503.1_FG006 transcript:KN540503.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVERKEVAVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVVCAYITYYTAVLLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGIAQYAILWGAMVGYTITTATSIMSVVRTNCHHYKGPDATCGASGTMYMVLFGLAEVVLSQCPSLEGVTLISVVAAVMSFTYSFVGLFLSAAKVASHGAAHGTLLGVRVGAGGVTASTKAWHFLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGIGVTTVFYVSIGCVGYAAFGNAAPGNVLPGFLEPFWLVDIANVAVVIHLVGAYQLVLRTAFVAVTTVVSLVLPFFNAVLGLLGAVAFWPLTVYFPVTMYMAQAKVQRGSRKWVALQALNVGALVVSLLAAVGSVADMAQRLRHVTIFQTQL >KN539101.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539101.1:88992:89384:-1 gene:KN539101.1_FG001 transcript:KN539101.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKILHMGLADRAVDVAVGRRIELDPTEPRNPYGSVGATRMRRSVCSSHHARGVLRTIELERDHPSIVVPDDDSLVPLLLGRRLDERHELVEVARVHLGGLAGGSAGRSVNHKELSTWCPVTVSHFFQ >KN539101.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539101.1:62115:65124:1 gene:KN539101.1_FG002 transcript:KN539101.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIPVLLRLLLLLLPLPLIRDHLWAPSHHHRRPTPQDDAGELHPIFLVPGASCSDLEARLTEAYRPSAAHCGAMKGKGWFGLWENNTELLVHDYADCSLEQMTLVYDPAANEYRNLPGVETRVPNFGSAWSFGYKNPVNRLQRAQCLGKLRDWLEELGYRDGDTMFGAPYDFRYAPPVPGQTSEVYSRYFSEFMALVEAATKKKQKKAVILGHSYGGMVALEFVRSTPRAWRDAHIERLVLVAPTLQDGFVGSVMKFITGTGIIFVPTATSTRPMWRSFESAMASFPSPAVFGREPLVVTKRRNYSAYDMEDFIAALGFGEGVEPFRRRAVPRMYSLEAPMVPMTCINAVGNRTPLQLVLWDDDDDDDLLDASPEVAAYGDGDGEINLISMLAFDREMGRQPGQEKRFKSVKIANANHSTIAIYDFALKTIIQEIIEVNQLCVYRWVGW >KN539101.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539101.1:93747:95799:1 gene:KN539101.1_FG003 transcript:KN539101.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLRLLLLLLPLPLREHLWPSVGAGVGELHPIFVVPGASCSNLEARLTDAYLQPSVPSCDTLKGKGWFGLWENSSDLSAHHYKRVLQGADEPRLRPHRERLSELPRHRDSRGQLRHCERLIGALEEMGYRDGDKMYGVPCDLRYSAPIPGQASQVYSRHFREFMELVETASEKQHHNKKAIILGHSLGGMVALEYIEHLFLVAPTLSQGFVTTVRNLVSGPRNLVYVADATDLSLRPMWRSFETSIVNVPSPGVFGHEPPIVVTERRNYSAYDVEDLLAAVAGNRTPLQLVYWDGNFDEPPQIVYGEGDDIINLVSMLAFDEDMCRQPGQKGRFKSIKLDNASHGSVLTDEWALRRVMQEILDVNRNSK >KN539101.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539101.1:114813:115871:1 gene:KN539101.1_FG004 transcript:KN539101.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALWKASDGLTAPFSAFLDAAAAAGNKVDWLILDGMLSWAAASAADRKVPCVLMMPYTATACAHFGVPDEARDADRFPSAIARRFVSAFRSSELLAVRSCVEFEPESVPLLSNIFGKPVVPIGLLPPPQRRELALGLELSGAPFLWALRKPHGGDDDGGLLPPGFEERTRGRGMVKTGWVPQLKILAHAAVGAFLTHCGHSSVIEGLRFGHPLVMLPLFLDQFTNASYLEGARGVGVQVARDGEHGGAFDRDGVAAAVRAAVVDEESKKALAANAGKMGEVVADTGCHERCIDAFIQQLRSYTTTRTGY >KN539101.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539101.1:40359:44463:1 gene:KN539101.1_FG005 transcript:KN539101.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNLYIVEYKDGWVDEGTSACIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKNIHCFLKTADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDIWSLGEFPIVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKAADMASLINKINRSSISPMPPIYSSSLIYAKHDALFGRKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQQSRKPGSGRKRIIKTNGSSEALETAAEQAVDTRDNSTYISDVSTVGTQDACISQVSVDPQARNKAYQNIDDLTLFQQIEENLMQITDRQIDEAIFLKAVRTSSTVDVVPVTGAIQKPNEAPIPKEELTIGVVQEQRKEVKAHNHQGSKPGAGVVPIVTEESSPKSAVKLAHSDSTPAEWDHLNIVQQRADALESLLELCAKLLKQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKLEGSPKLT >KN539101.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539101.1:99532:101131:1 gene:KN539101.1_FG006 transcript:KN539101.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLVVVQLLLLLLPLPLREHLWSGQHRRNDVDAGELHPIVVLPGVACSDLEARLTEAYRPSAARCGAMKGKGWFPLWKNSSDLSTHRYNECFVEQMSLVYDPVANDYRNFPGVETRVPYFGLVKGYHQKWPFDKPWCLTPLIRALEEMGYRDGDNMHGAPYDFRHVPPVPGQESQVYSRYYEEFMELVEATSKRHLKKKVIILGHSHGGCVALEFVRNTPLAWRKEYIKHLFLVTPTLSAGLLDPVENLATGPHNLFYVPDATELSLRPMWRSFETSIANLPSPAVFGREPIVVTERRNYSAYDMEDLLAAVGFGDGIEPFRRRMVVRMNYLEAPMVPLTYINGVGKRTPRQLVYWDGNFDKAPEKVYGDGDGIVNLGTMLAFDEEMRRQPGQRGQFKSIKVENASHMGILMDEWALKRVMQEILEVNQDSS >KN539101.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539101.1:8228:11228:1 gene:KN539101.1_FG007 transcript:KN539101.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWRMLAQDASGWRGCPVDFVVVGDLGQTGWTASTLSHIGGASVGCLLPVHDRASYLEEARGVGVQVARDGEHGGAFDRDGVAAAVRAAVVDEESKKALAANAGKMGEVVADTGCHERCIDAFIQQLRSYHYKD >KN539101.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539101.1:73438:75037:1 gene:KN539101.1_FG008 transcript:KN539101.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLRLLLLLLPLPLREHLWPSHHRPNDAGELHPIFIVPGDGCSNLEARLTEAYRPSVRPSCGALKGKGWFGLWDNSSDLSTYHYGDCFKEQMSLVYDPVSNDYRNLPGVETRVANFGIARGFHSKNTLHPERCLAKLIESLEEMGYHDGDNMHGVPYDFRYAAPIPGQASQVYSRHFKEFMELVEAASRKHRKKAIILGHSLGGMVVLEFVRQEHSIGVAKQNLASGPNDILCVPDATDLSLRPMWRSFEASIANFPSPGVFGHEPIVITNQRNYSAYDLEDLLAAVGFGDGIEPFRRRMVARMSYFEAPMVPLTCINGVGNRTPRQLVYWDGNFDEPAQLVYGDGDGAVNLISMLAFDEEMRRQPGQRGQFKSIKVENASHMGILMDEWALKRVMQEILEVNRDSS >KN539101.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539101.1:124795:131835:1 gene:KN539101.1_FG009 transcript:KN539101.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGWFGLWENNTELQAHDYAECFQEQMTLVYDPAANEYRNLPGVDTRVPNFGSVRSFGSKDVFNPEWCLASLIGALEEMGYRDGDNMYGVPYDIRYAPPIPGQASQVYSRHFREFMELVETASEKHHNKRAIILGHSLGGMVALEFVRNTPSAWRDKYIEHLFLVAPTLSTGFVPTVRNLVSGPKDLLHVANTTALSLRPMWRSFETSIVNVPSPGVFGHEPIVVTKRRNYSAYDLEDLLAAIGFSDGIEPFRRRTVARMNYIEAPMVPLTCINAVGKRTPRQFVYWDGNFDDEPTEIVYGDGDDSINLVSMLAFDEEMRRQPGQKGRFKSIKLNNASHGSILKDEWALRRVMQEIFLK >KN539101.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539101.1:83913:84389:1 gene:KN539101.1_FG010 transcript:KN539101.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFPSPPVFGREPLVITKKRNYSAYDMEDSLAAVGFGEGVEPFRRRAVPKMYGLEAPMVPMTCINVVGNKTPLQLVLWDDDDDLDTPPEVAVYGDGDGEINLIIMSAFDKEMGRQPGQEKRFKSVKIANANHSTVIVYDFALKRIIQEIIEVNQIHS >KN543557.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543557.1:5646:6959:1 gene:KN543557.1_FG001 transcript:KN543557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMRVAIATGASLAVHLFVKSFLQAQHPALTLLLPVAVFAGIAVGAKGGSGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGAGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLGSIEWALAEVVNHPAVQSKVRAEINDVLGDDEPITESSIHKLTYLQAVIKETLRLHSPIPLL >KN541581.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541581.1:1502:6230:1 gene:KN541581.1_FG001 transcript:KN541581.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHKNAKVQLLALTLVETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLQTKIDSSPPANPGTSKAPVQSSSGTASASNQSTLALPAPASSSSSKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLPPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMIGGQFYGMSHHHQMYAVQMAGYGFGQQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGL >KN541581.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541581.1:20670:21533:-1 gene:KN541581.1_FG002 transcript:KN541581.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAEWKVGEGSHIYFWKDTWVDNCSLKIRFPSLFAICNQQEVTVYQIASEGTDCLSFRRSFGPAEITEWEELKKVIDNLETSPVPDTLLWGLATNKKYTTKSMYRTLTFRGIREWKKLFRPEEEARLELMTDRIKMVLTSLRSPRMGVG >KN541581.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541581.1:11380:14862:1 gene:KN541581.1_FG003 transcript:KN541581.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPCNRIIPLFLIVPVIIASSCLLVLTTTITAVKGHRSNDDETDLAALLAFKAQVSDPHGILRDGWRDNASFCQWVGVSCSRRRRRVTALVLPATPLQGSIAHHLGNLSFLSDLDLANTSLTGPIPAELGRLPRLRHLHLYRNTLSGSIPSTMGNLTRLQSLFLDRNHLSGRIPSELHNLQNLVRFGLQGNYLSGPIPDLVFNGSGASLSFINLGNNSLSGPIPSVIGSFPMLKVLVLQDNQLSGSLPPSIFNMSRLEKLYANGNDLSGSIPAGNRSFSLPMIQEMTLTENKITGRIPSGLAACWKLQKLGLSINMLEDHVPEWLAGLSQLNLLTIGGNDLVGSIPAVLSNLTMLTVLDLAFCNLNGTIPMELGKMTQLTYLHLSSNQLSGPFPTFIGNLTQLYFLALETNLLTGPVPRTLGNLRSLYRINIGENLLQGKLDFFDALTNCRQLLSLSINMNAFSGTIPADLLANLSSNLVFFDAGDNNLTGSIPATISNLTNLNVINLINNQITGTIPDSLVLLENLQFLDLSINSMFGPIPPQIGNLKSQIPSGGVFSNITLQSLMGNAGLCGAPRLGFPPCLDKSHSTSKKHLLKFVLPAVIVTFGAIAMLLYLMIGRKMKKPDLTASFDISDAINHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLFVAIKVLNMQIERAIRTFDAECEVLRMARHRNLIKILNTCSNLDFRALLLQLMPNGSLESYLHTEESRQCLGSFLKRMNIMLDVSMAMEYLHHEHYEVILHCDLKPSNVLFDEEMTAHVADFGIAKLLLGEGNSTVSASMPGTIGYMAPEYALMGKASRKSDVFSFGIMLLEVFTGKRPTDPMFVGGLTLRKWVFQSFPDSLNDVADENVLQDEETHICFDHQNTSIGSSSTSRSNIILTSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKDYSASMLAMERPGQ >KN539982.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539982.1:63219:63858:-1 gene:KN539982.1_FG001 transcript:KN539982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGRGGAVVNLCSAASEAIPSFPLYTMYAATKRYVAQFSRSLHVEYANKGIHVQCQTPFFVETTMLAKLEEEVGLSVSPLKVSTDTYARAAVAWIGRGGPLCTPAGGLLQQLMWSITAAVPESVLDWIVLRFTTWNRGR >AMDW01034113.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034113.1:54:278:1 gene:AMDW01034113.1_FG001 transcript:AMDW01034113.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IKAFLRGESPPYSAGDLEGMTFIASMHVKVARRLHSNNLRYWLLEYLRRQPKGKKYKALILKFIKDRLATLLVIE >KN539982.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539982.1:3685:6256:-1 gene:KN539982.1_FG002 transcript:KN539982.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGASINKVMDELRRGPKFEAAALDWLKICVMECIDNKGEVLEAGKVYELGISRKAEPLDHLKKTHTIFLKHLEKIVEEADADAQPKPSKIQKKEPILLDPWSESTMNNLLENINVDLKKFALSSHLGRLPKSLTGLSSEQQGLSWKGAINFASECFKKQSH >KN539982.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539982.1:48208:49660:-1 gene:KN539982.1_FG003 transcript:KN539982.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLHLLTASRGISSTPHLASLGWFDKIKSTFTGKKPDEATDPSANFTLLQFADSMEKARKLGTFKNFVMGRCSEATVVNAFEKHSAVLRYLGTIDPTGEKLKNSDKIGATKHCNCTIADVEHILAKYTWAKEAQKKIVKLKEEGKPLPKNFNEVKNLMGSTPLDVGRSNLEKSGQISRNAMCPCGSKKRYKK >KN539982.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539982.1:38468:46114:1 gene:KN539982.1_FG004 transcript:KN539982.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSASKRADQNVIVASSNKVQCRLPHASYCNFTDPFLFPDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHERGDLTRNEGDAQSDLAGSANVQQELTEADDKKCTGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLTSEIAADSVTSASAPTHVDYSMEAQAHALTHNAAEAYPSDMSVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSTTEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDDDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISISVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQASTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEAKSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQNILHLINLFTRDVITVDVQLHKNWLVSSMGKATSGAYS >KN539982.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539982.1:68594:70589:-1 gene:KN539982.1_FG005 transcript:KN539982.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVSLIRQDIATPWWFISLAFVGAAYVGFVALRLLAYLWLCLPRMPKGDLRRRYVAQFSRSLHVEYASKGIHVQCQQAPFFVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPGVRHQLLRRMAAAVPDSVHDWILLHLTTWNRKRRFSGSE >KN539982.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539982.1:15071:18593:-1 gene:KN539982.1_FG006 transcript:KN539982.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSGMSIPGAKGDSSLCIPVRQARSSISLSFSGLTGESSAGDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >KN539982.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539982.1:56162:58566:-1 gene:KN539982.1_FG007 transcript:KN539982.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVFLIRQESAPPAPPWWFLSLVFLGAAFVATVTLRLLACLAFSLHRQPKDLRSRYGAWAVITGPTSGMGRAMALELARRGLNLVLVGRDPANLEEISNTLRETVEGLDVGVLMNNAGVGEPAMAYLHEADVEAWVRMMRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSASSQAIPSFPLCTIYSATKRHVRGSFLPEPSPRVRK >KN539818.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539818.1:74576:75088:1 gene:KN539818.1_FG001 transcript:KN539818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSKEAVRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRSAPSSSSLLPPSMAIALAALPCSFLIASVLAAACAFSWHSDEQESKQPIR >AMDW01021621.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021621.1:59:271:1 gene:AMDW01021621.1_FG001 transcript:AMDW01021621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQQLCSNLNAPGDNDSCDEHMILVQFLQTAMAT >KN539818.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539818.1:230:2926:1 gene:KN539818.1_FG002 transcript:KN539818.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding IPRFAFEKFPGSEPVLTTQMKSVEFVPIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKLKYSLRVPNPDRIHAIYAAFKKGMRIQDIHEISFIDKWFLTELKELVDVEQFLISRGLDQLSKYDFYQVKRRGFSDTQIAFATSSSETDVRLRRLALEVAPTYKRVDTCAAEFEANTPYMYSSYEYECESVPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEDKKLVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAKCLDIIRSWTTKLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTQEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLNGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTTDASQNLQAAQSAS >KN539818.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539818.1:34113:38840:-1 gene:KN539818.1_FG003 transcript:KN539818.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSNGSSSKKDKDSRGKNIFDSAKGGLGALAGTLQTAKNDAGEKAENLQDDVKTTIGAILRRGSGVLEKAKEIGGHSEASQSKELEQGSEEQGKKDMEALTKVMDKVKEVKSNPEVVEKLDKVKEDISSLAHALHLGKHDKEHESEEKAKEGETAKSADEGASASKSEDSGVVVQAVEEIQAVVTAVQQQLHTEGAAAETPNEAAAAETSAEGEKPEESKRDVEKDDPSKRLDFKGFFAMIFERCCNPGNKKKD >KN539818.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539818.1:30689:33129:1 gene:KN539818.1_FG004 transcript:KN539818.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTHGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNVLGTAGRQPAYSGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPHNANDAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >KN539818.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539818.1:5558:7911:-1 gene:KN539818.1_FG005 transcript:KN539818.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRPLNTERSFLLSSPKPHSPRDACSPPQSPKAKQGDAAATSPAQAALIEFISPKPRSELDQAATKVQKLFKGHRTRRNLADCAIVVEELWWKAYDSACLNIKSISFFDEAKQETAASRWSRAGKRIAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSTEPFFYWLDVGAGRDMHHQKCPRSKLYSQLIMYLGPNEREAFEVVVEGGKLMYRKSGVLVNTTEDSKWIFVLSTTRSLYVGQKKKGKFQHSSFLAGAATTAAGRLVAKDGVLQAIWPYSGHYLPTEENFREFISFLEENSVDLADVKRCSVDDDEFPSFKKTEEKPEEAEKPTEPTHDEIMDSSQIELPEVDIVKEAVVENSEDTEVAPIMASRPSFKWATANGARIGCVRDYPADLQSMALEHVNLSPRVVPSPTTNRLPIPSPRPSPKIRLSPRLHYMGLPTPTGCKLPIPSPEIRRSPRDQFMGFQTPSVSLTLPKLGK >KN539818.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539818.1:52445:60809:1 gene:KN539818.1_FG006 transcript:KN539818.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFRKVTPDRWEFANEAFLAGQKHLLKNIKRRRVSKPLMDSQLRNKTSVVFGQPEAPGEVVSLKRDRAALRAEVIMLKQQYNACKSQLIAMEEMVRNIERRQQQTISFFAKVLTNPVFVQQVLLNYVNKSALRGTAKRQRLMENEEQHADSPLNKGMEAASVMEADVSLGSTGCGTVGKVETTPMCNSQNIENMCDDVWEELDALPETGMEQEDKAGIGSFDVEEFVGRPCDVGGDRGKNLEVEVALGNHAPHETPSTSASPDAAVNPTTDPGVVPQGTEEGRVAGVERVEGVEEDVFAGGTSGEADADGDELGTGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSGRIEEDGSCGHDVKSNLHLIPQKGNGNTARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNVSSVPEKANCQEQTSRQKISTVYSGEPATSVPIKHHSILKNLAGISGNAQKDVVSTEKHKNPTGGPHQNFGRPQPADGKKLGKHNGHRSAPLLDEKDSSKQANLHPCSEPKKNSLPTTAAVPSSHTWMLFSDEPVPMRLCSIFCIPHTSFIAVTSSCKLQLLMWTYFAELGANIYCQILKIGNYQMNALLFNPQVLSMFLNKDLNWKVGVYLFVWDYENAKRHMT >KN539687.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539687.1:82410:83337:1 gene:KN539687.1_FG001 transcript:KN539687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSPEAPVGQAWTTGLCDCCDDCNSSCAIAGITYCWMRPSTVLPGMHTMYSWSYRQKLRATFGMSPEPCADCCLQLFCDRCSLSQMYRELKNRGVNPANGN >KN539687.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539687.1:59541:60257:1 gene:KN539687.1_FG002 transcript:KN539687.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATACTTTDGSGDVCLRPSASDGLPTHQACTSKAAPGGEARRRRRQRRRCCVVCLVATIATLALLGVAVLVLSLTVFRVRDPATRLVSVRVVGVSPNLASPSPQINVTLLLTVAVHNPNPASFTYSSDSGGHADLAYRGAHVGDAVVEAGRIPSRGDGTVQMEMTVLSSSFTGDVMAELIRDIEAGAVPFDASARIPGKVAVFGVLKLHAVAYSDCHVVFGVPEMGIRSQECHDRATL >KN539687.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539687.1:42742:43908:1 gene:KN539687.1_FG003 transcript:KN539687.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSRAVASVALLVAALASAAAAEGYPVEYTESYYDNTCPNAQNIVRSVMERSVAANPRMAPAILRLFFHDCFVNGCDGSLLLDSTDSFESEKKETPNASLAGFDVIDDIKSELERSCPATVSCADVLALASRDAVAMLGGPSWGVLLGRKDSRYVTKNATEELPDPRNGHLDVLLEVFREHGLDERDLTALSGAHTVGKAHSCDNFEGRIDGGEGYDDIDPSYAAELRRTCQRPDNCEEAGVPFDERTPMKFDTLYYQDLLFKRGLLATDQALYTPGSWAGELVLTYSRNQEAFLADFARAMVKMGNIRPDPWTPTEVRIKCSVANGHY >KN539687.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539687.1:3601:4708:1 gene:KN539687.1_FG004 transcript:KN539687.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRCKGGVASVALLVAVAALASAAQGFPNPFGHEEFTESYYDETCPNAQSIVRSVMERHAAADPRTAPAILRLFFHDCFVNGGDSIDPSFAALRRQECEQKHDKAAAPFDERTPAKFDNAYYVDLLARRGLLTSDQELYTQGCETGDLVKTYAMNGNVFFADFTRAMVKMGNIRPKHWTPAEVRLKCSVANTHY >KN539687.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539687.1:27269:28379:1 gene:KN539687.1_FG005 transcript:KN539687.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRCKGGVASVALLVAVAALASAAQGFPNPFGHEEFTESYYDETCPNAQSIVRSVMERHAAADPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAEPNASVVGYDVIEDIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLAARMDMANMDLPRPTDSLAELIRMFKENNLDERDLTALSGAHTVGRTHSCEHYEERIYSLVGQGGDSIDPSFAAQRRQECEQKHGNATAPFDERTPAKFDNAYYVDLLARHGLLTSDQELYTQGCETGDLVKTYAMNGDVFFADFVRAMVKMGNIRPKHWWTPAEVRLKCSVANTHY >KN539687.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539687.1:60584:61635:-1 gene:KN539687.1_FG006 transcript:KN539687.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKGLFVASFTLFLLVALAFADESRPELSPAYYKKTCTNVENAVRTVMSQRMDMAPAILRLFFHDCFVNMYATNREAFFADFARAMVKMGNIRPPQWMPLEVRLNCRMVNN >KN539687.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539687.1:15667:16777:1 gene:KN539687.1_FG007 transcript:KN539687.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRCKGGVASVAVLVAVAALASAAQGFPNPFGHEDFTESYYDETCPNAQSIVRSVMERHAAADPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAEPNATVAGYGVIEDIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLAASMDMVKENLPSPTDSLAELIRMFKEHDLDERDLTALSGAHTVGRTHSCEHYEERIYSLVGQGGDSIDPSFAAQRRQECERKHGEAMAPFDERTPAKFDNAYYVNLLARRGLLTSDQELYTQGCETGNLVKTYAMNGDVFFADFVRAMVKMGNIRPMHWWTPAEVRLKCSVVNTHY >KN539687.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539687.1:56553:57642:1 gene:KN539687.1_FG008 transcript:KN539687.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKSFFVASLSLLLAVLASTGEGSHQPVVMPVAMELSAKYYRKTCPNVQNTVRTVMEHRLDMAPAVLRLFFHDCFVNELYTHGGEVSDLVEMYATNREAFFADFARAMVKMGEIRPPEWMPVEVRLNCGMVNN >KN539346.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539346.1:42383:46065:-1 gene:KN539346.1_FG001 transcript:KN539346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANARAMRDGEMIDGDGDRSSVRSRGGADPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRVRVLFCLGVHSGWHGYRDAALDQFATPAPPPPPVTAPPPPPVAAPNDCVSSSSSGVAPTSQSLLSSMFAPPSVAQAPQYADPIGVGAGGHQERAVPAKPPALCLAPNASSSLFTAPVPADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSSSSFLRCLGLDMSSSSSAPPSSSGQQQQHHQHHHQETMQVPLPASSLPEWPPRLQPEPSAMLSSGLGLGLPYDATGGPVSLPELMMGQSTLFSAKPATLDFLGLGVSPTGASTSRGFPTFIQPIGGAVSLAGSATVAAETFGAAHGGQANPWERNPSSSPIL >KN539346.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539346.1:101137:111407:1 gene:KN539346.1_FG002 transcript:KN539346.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIEYISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFAACASGDDDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVESAASSTPDPAGPMVSVDSLRAYLQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTTIYSSRSSSGKDLYQDKQLDSAKLKSSEAAEPDHMVIVKEEEDKKIGPFSRPSAKTETHDLNQAIWTPNVSSSPFSSSPKLDHDKTETSVRGINLNEASCTMDTDRPDMPVKSPFAERWLNESKVIPSPSRQPAPWQLKQGAPSAGSSYHSMPYGLDLLSRGKRSTGDGGDKEGRRRRGREFRCRRRLGMMGSRSMVVMIFMLTLMLEFFLDEMLLFGATAGKEIVTRPCSQLHSSLSDSSNVSQEKIVITNRYGEKIVGLLHEAGSKDIVVLCHGFRSSKESRTILCLTDALTSENISVFRFDFTGNGESEGTFQYGNYYKEVDDLRDVILHFKKPKHDTRGIAGHSKGRNVVILYSSIHRDVASIINMSGRFDLRRGIADRLGSDYMEKINQYGFIDVGVKTGRSIYRVTKESLMDRLKIDMKSVCMSIDPNCRVLTIHGSDDDIVPSEDALEFDKYISNQELSIIEGADHRYSFHQLELSTIVLKFIKYEREKIVKINLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >KN539346.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539346.1:12326:16682:-1 gene:KN539346.1_FG003 transcript:KN539346.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFGSATRGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGRKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >KN538723.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538723.1:301742:302710:-1 gene:KN538723.1_FG091 transcript:KN538723.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLARVGKADFGAHNDAAAFGFLCQGLLGRDPAKSALGRDGPKLITKWVLFQLSPLLSLGLPTLVEDTLLHSLRLPPALVKKDYDRLADFFRDAAKAVVDEGERLGIAREEAVHNILFALCFNSFGGMKILFPTLVKWLGRAGARVHGRLATEVRGAVRDNGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEDGARLLRHVVWSNGPETAAPTLHDKQCAGKDFVVLVARLLLVELFLRYDSFDVEVGTSALGSSVTVTSLKKATF >KN539346.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539346.1:57041:61980:1 gene:KN539346.1_FG004 transcript:KN539346.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/Swiss-Prot;Acc:Q8L5U0] MDNALASAAAIADQRQKIEQYRHILSSVLSSSPPDISQAKRFLDHSNEPSIFAPLPVDSYGFCSGSGVTVGFGAAAVVSDEVPLVVSRQLLQTFAQELGRLEPEAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTNSHQELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIEAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIAGLCQALNDILDSMSSKGMAIPV >KN539346.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539346.1:66819:68476:-1 gene:KN539346.1_FG005 transcript:KN539346.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDSSDVPAAEAPAKRAPLNKYALACAILASMNSILLGYDISVMSGAQKFMKKDLNISDAEVEVLAGIINIYSLVGSLAAGRTSDWIGRRYTMVLASVIFFAGALIMGLAPSYAIVMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINAGVLLGYVSNFAFYRLPLHIGWRVMFLVGAVPPVFLAVGVLAMPESPRWLTLYILVATFLLDRVGRRPLLLTSAGGMVISLVTLASALHMIEHRPEGQATALVGLSIAMVLVFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRVVSGAVSMSFISLYKAITFAGSFYLYAGIAAAGWVFMFFFLPETQGRSLEDTVKLFGGDERDANGTVGREDGHGQNKSTELTTQQ >KN539346.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539346.1:3958:5419:-1 gene:KN539346.1_FG006 transcript:KN539346.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRREPAIIMCLLNKGAAVSQLTADGQSAISICRRLTRLKDYNTKMEQGQESNKDRLCIDILDREMIRKPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGAVPAASTSGKLKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDCPDVLYLQNGTSDEQNVKRMRFCELKEDVRKAFSKDRADNSMFSILSSSSSSSPPPKVAKK >KN539346.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539346.1:62716:64967:-1 gene:KN539346.1_FG007 transcript:KN539346.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATTVRWLVLLLAVSAAAAASREKWWLGAGGEASGGGGHLVQKEWRRVLHADMVFYVHSGRGKVTYIEEGDSESSSLQVERGDVYNFDQGTILYIQSNACATRERLQIHAIFTSDSINADDPRHPTSEAYSCVSDLLKGFEVEVLRPGFGVPREVVESIKSTKTPPAIIPYNPKEEDEDDSSNWTEEITDALWGVRDPHFLNKKKKDKHKGKGKDKKSKSKAFNFYSGKPDVENCYGWSRSMTNRDLETLRGSNIGMFMVNLTTGAMMGPHWNPRATEIAVVTHGAGMISFNNDSFVFVGFSTDMGHNHPQFLAGRHSVLQVIGKEILARSLGQDNSTNVGRLLSSQRESTIMACTSCAEELERKAEKEEEEGGGKGEKEREKEEEERRRQEEERKRREEEEKERREREEERRQREKEEKKRREEEERRRREEEEEERRRREEEEEEQEGGRGDEPKPRREEEEEEGEWGERQVRLPRSLKKRFIGIKGRLSSG >KN538723.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538723.1:347236:354797:-1 gene:KN538723.1_FG094 transcript:KN538723.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNDGRHAATSREIGCLRRNGHHEICPHAILIHIRSRVRLGEDPFLNASNSIPRITATGRRKTAIARVVLQEGTGRVFINFRDAKEYLQGNPMWMEYCKVPLVTLGFENSYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVTLRGEACLKILGPEKLQNLEPPEVREQNLSVKKVVYKSSLLHIGDEDSSRTEISRFNSFTGYQTLNEREESFKMKEITTVHCGFYNENGGFKVSDVDKDYMRSCSVVVATCAFGGGDDLHQPIGMTEVSTKKVCYVAFWDEVTRAAQEEEGNKIGENLMIGLWRIILVRDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRKDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRMPGVHLFPVCARKGLVNSFGHRRKAKPLARERR >KN539346.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539346.1:24326:24553:1 gene:KN539346.1_FG008 transcript:KN539346.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVVGRAIYDADGLERLLRCFLALNHQRHRRDIVAAFGDVWEAVFSNPTSSQRRIVTSDSAICKAAATVSNRR >KN538723.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538723.1:245605:246920:-1 gene:KN538723.1_FG095 transcript:KN538723.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRVVEDEAEADLQALARRFSFSSPSSPSSSPPSSSRKPPLPPRRSPQKRPGLPTIPENGPPAGMATATATPKRTRPPTSTNKMREYKHENDLLDQCSRLYDPSVSSRSFELYATQISQ >KN539346.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539346.1:224:1253:1 gene:KN539346.1_FG009 transcript:KN539346.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding KVWVRSSKPYSISLSLRKLQEILMEDQPMDRDCFNLAVRKFAYDDIQLMKKNRGTISKHYLDLQFWIITEFGRHPDYRQQLNIEQLVDSICSWPDIDYNVSKCKLILIPVQYCDTFILIVLDQDTRTLYVLDPTPIDPIYENNPNARYVKQLLCIAEYLAKAMAKVCPGSRWNEDINLWRQIFLSNVPIQSRDEGIQFPILKDGFSYEVRKHFLAQLLEYAENECESNIPSGIRDLLRCINYNNTRS >KN538723.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538723.1:362698:365057:1 gene:KN538723.1_FG096 transcript:KN538723.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKIAVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >KN539346.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539346.1:85037:86689:-1 gene:KN539346.1_FG010 transcript:KN539346.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDAVPVAVAPAKRPPINKYAFGCALLASMNSVLLGYDISVMSGAQIFMKEDLKITDTQIEILAGVINIYSLFGSLAAGMTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNSGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPIFLGIAVLAMPESPRWLVMRGRIEDARRVLLKTSDSPDEAEDRLLDIKKAVGIPEDASDGEDVVAIVRANKASQGEGVWKELLLNPTRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGVCKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMMDRRPEGEAKALGAISIAAMLSFVASFASGLGPVAWVYTSEIYPVRLRAQAAAIGTGLNRLMSGATTMSFLSLSNAITIAGSFYLYASIAAAGWVFMYFFLPETKGKSLEDTVKLFGKDTDDDDDVDTGRHERKRSTELSAQH >KN538723.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538723.1:335250:338828:-1 gene:KN538723.1_FG097 transcript:KN538723.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAMEKKGHRAFAKAIKSFGSSERHKRSKSDLEDMCAKDALYASDKTCIQPKPDAVKVKVKSDINAEVQPGRGAQSFLRKEILQLEKHLKDQQVMRGALEKALGPNATAAPVNVSNENPMPKVTPLPNHMNENSPIDAKELIREIATLELEVKNMEQYLLTLYRKAFEQQVPTFSPPDHRGAPKPPVPAMAAVSSQPVQLQKSPSVKASRKNNRADAMLRSSYPPPSRRTLNDPVMTDCSTSGCSSRLGESDVLRCQSALSYRGICSSRISPSEDSLARALRSCHSQPFSFLEEGESTAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDIWSPNYKRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPLICRESRRLKEVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKYGVPQNNLKKTSLLVKAACKIAGRSINVAVIQSMVLGCNTHCPGQVRVYTPKRLFHQLEAAKEEFIRATVGIWKEQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMQKCQQSRSSKIIEWVPHNLNFRYLLSRDLAFPHLN >KN539346.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539346.1:32955:34012:-1 gene:KN539346.1_FG011 transcript:KN539346.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGIVATGAGCRLRNHAAARQPAAGQQAARPRVRTASRRQAQGRATAMASRDGWVEAGGDEAVDVDADVVVVDVVELVLLQGVKLHGEDAVAGVAVIGDVDEAEILVGQRGGEVRV >KN538723.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538723.1:318021:321952:1 gene:KN538723.1_FG098 transcript:KN538723.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGKCEDSLQLLLISRISLQFPLMSRSKKLHDLITNRESRAAGGGEQDEEEDDDAGEIREEEVVLEVDEDSDVHRIRLPDFPGGAEAFEQAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLISRADRFMSHTVLRNPRDAIRALRSCEGLLPLADDLGLVSRCVDAIAAKAAASTPTALFGSSWIEGRREGEVVVERWLTSPVIAAGAGAVLEMVEALVVPDDNLAAGDLGDELDGVVAVAADAEVLDDAERGARWRADQPERDGEALAVVHERAGLGVLVDVVQHVAGVRHVPRRAYLLVQLPHLLVRLPPLRLVAVLLLLLMILPLRPRRSLECSPRVAAGAELAVQRGDHVQDGRGDPGQGLGQQSVRSPERRQRPRLSAGLENCGLLLRREVGQCASAASHEHFVEQVNGCLRVRLLAQPPELVAVEAALFTPRAYGARDSADPGRQSRLQITETTCTKRTRSAIYSISYVLVSGALSVTLHADVPPRSHTRTDTSCGIGKTVGDLVVSHIELASDTIMGRLARSAMDELAD >KN538723.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538723.1:309919:314384:-1 gene:KN538723.1_FG102 transcript:KN538723.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MTYEPYSDCSFTKQYYWKRTITSVTGIRRSEAEVKNSQSRKVTAGPDDGDKQSDKPSLARKRACVHALHVCMANANASIGGQNDRLHYRLRRWLSLRARDEPAAKDDSTEDKAEASSASRDAGNPTFPNKDLSRRIALASTIGAVGLFAYQRLDFGGVSLKDLAANATPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASVVMPRPIDKRRYGLHAQSWNQALARGHWYRAVPTPEVS >KN538723.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538723.1:322914:324932:1 gene:KN538723.1_FG103 transcript:KN538723.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFMSYLAMKTDAAGGEAAQAALIDADLQELGVAARKLANHALVLGGGLGFGTTFLKWLAFFAAVGPKASLVPKGDLRSRHFLGAQKLLVLLIGTRRHGHGGSELVPPDIGSDKLEDQHADRSLGPLHFLYTAWGEIGKWIAIIAVILRLFFPRHFPDWLELPGAVILLIAVAPNLFASTFRGDLVGIFICLIIGCYLLQEHVRASGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >KN538723.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538723.1:326175:327055:1 gene:KN538723.1_FG104 transcript:KN538723.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MENRERAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSILVGCPLRVPGVMVIVVIGDWIEIKNFACNLFQVLAILSLAAASSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLTAASSLFNLWFIASR >KN538723.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538723.1:295950:298030:1 gene:KN538723.1_FG107 transcript:KN538723.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MARDALMRVGDPHFFGLQPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESPSHRLAFIQQRSPIITGMVVSFAKNVANFISSIEKDHVVILSSLDSGKRRIIDASSDMQVYYLSSCNEDGSDPKCENLGWKKLEEYDPSQQRWKCLASLVEGGHLSEDMTGDPEEMSINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLAEAACKLVAQGPEQFHGNGSNGWTIPLSWKSVYGPPPDLSIF >KN538723.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538723.1:366016:367677:-1 gene:KN538723.1_FG108 transcript:KN538723.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSVPKPAKRLSRKRSFRLGLPLLCGQSDVASPRGGGGGGGAARSSSSGRRQGELHRIFQHFDRDNDGKISGAELSAFFALLISLLHPDDTLQIVYRAEEFFRALLLAWSKFVVVILSCLE >AMDW01013818.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013818.1:45:218:1 gene:AMDW01013818.1_FG001 transcript:AMDW01013818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SRIEITVTCVPLVSGYVHPPQLGLPHVGEANISCNPAGPHLVCVLPPTLSTSYCIPA >KN548000.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548000.1:393:578:-1 gene:KN548000.1_FG001 transcript:KN548000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SITFHNFVIQAGTDASLVPTELSTVNATVRMTFRNTGSFFGVHVTAEPLTLYYYQLLMASGN >AMDW01084917.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01084917.1:162:352:1 gene:AMDW01084917.1_FG001 transcript:AMDW01084917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEFRQEYQAAHPDNKSVAAVSKAAGEKWRAMSEQ >AMDW01040655.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040655.1:64:1765:1 gene:AMDW01040655.1_FG001 transcript:AMDW01040655.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYLPFINVFNSLAEPVGELQKAHEQFIRRMELYKRRKSRVQQERMPNKIQSIATSKNEVEGQSRSCTEPKSNPVQRSGSGSNPHLGFPHPLWRPLSKGTSGDTKSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQKMVQHRHFNKENTQVNQKASGFEIFVDENEPHGNGRNAMSHKSTGCPPKPSRDSRQQTNSDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTVQLTDDKDTSLCSRQTDSKIRCDDSRPAISGLREDTVFHRFVGSAVVGEPRVENACHHGLVEPTVNLKEAMDDINNMFGRPLNFKAEKPKNKKTTSLSERKAAPLSGFSILADDDPEENPAAQVKPSDSSKFECQGGLFEPTITTRDVMAEINDMFGMPLDF >KN542353.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542353.1:4716:6150:-1 gene:KN542353.1_FG001 transcript:KN542353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYAIAPLMCVLPLIQNELCMHLFLHQAWGGPTKNQYAVVIPPDSPNGFGGTAANDWVITDGLDASRSNTVGRGQGFTINNSLSKFSFYTSFNLVFEDGRFNGSTLQITGVLPQSANGEWSITGGTGELALARGIAKFKAVQMSSLSNVYELTLHAYYSPMDSCGSPVATCGESWKGTSQDGITV >KN539726.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539726.1:79354:79656:1 gene:KN539726.1_FG001 transcript:KN539726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMDETFHAVLDELFARSAAVGVRPADVDLLVVNVSMFSPAPSLSARVVRRYNLREDVKVYNLTGMGCSATLIALDLVNNFLRFN >KN539726.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539726.1:4469:6097:1 gene:KN539726.1_FG002 transcript:KN539726.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDSDSEHVDLIDEHVNPVIQALTRKFRSKAWKEFVPIIIDNEVGAGKCKHCDIEIRAKHGAGTSSLRKHLTRCKKRISALKILGNLDTTLISPSSEYSDKLKDNNANSLRQAEHVMAISEIDPIADWVQHINEQSSEQVDIELDM >KN539726.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539726.1:23249:23926:-1 gene:KN539726.1_FG003 transcript:KN539726.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDERRAVIKLRVDVGSSPSSDSGDDRVGDDLQLQEEVTIAPVAWSHHRTVAVNINRRRLLSKQLSMKETSREAKWEKRRRQQILRRGSLVAVNEAAATGREGFGRCLTDADLDELRGSFELGFGFDEEKGGAGLCDTLPALDLYFAVNRQRLSDGSKLRSPTSTLSSSTLISGASSSPQSPHGWPSPHADAWTIFSPGDNPQLIKTRLRHWAQVVACSVKHGC >KN539726.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539726.1:19158:21254:1 gene:KN539726.1_FG004 transcript:KN539726.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCRRLPLLFILLLADAAASASASRAATPASFSTLFTFRITPSPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGELRDVSTVAVEIDTHLDVALHDPDGNHVALDAGSIFSVASAQPGVDLKAGVPITAWVLGGVLGGMVLLGLVVVGSAVLLGRSVRRKNQEHAVASEDMGEATLSMEVARAATKGFDSGNVIGVGGSGATVYEGVLPSGSRVAVKRFQAIGSSTKAFDSELKAMLNCPHHPNLVPLAGWCRSKDELVLVYEFMPNGNLDSALHTLGGATLPWEARFRAVYGVASALAYLHDECENRIIHRDVKSSNVMLDAEFNARLGDFGLARTVSHGGLPLTTQPAGTLGYLAPEYVHTGVATERSDVYSFGVLALEVATGRRPAERGISVVNWVWTLWGRRRLVDAADRRLQGRFVADEMRRVLLVGLCCVHPDCRKRPGMRRVVSMLDGTAPLILVPDKMPPVLLQPVPNASSMNSADTANTAFFSCR >KN539726.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539726.1:14452:14810:-1 gene:KN539726.1_FG005 transcript:KN539726.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPDARQSRQTAAAAAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRQVLDPDPL >KN539726.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539726.1:56831:59413:1 gene:KN539726.1_FG006 transcript:KN539726.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPIGALILPMIQGLETSLRAGVAPQPPQFKPSPATARATATQSPPSGSFHVQPKSTAADKTERYWRMLSRDSYSWLKLRLRINVMTSIAFSSRFRCDKELGLVQSMSDVAGGLMSEEGAYLLPHDGKGVLAILIVMSL >AMDW01035031.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035031.1:193:560:-1 gene:AMDW01035031.1_FG001 transcript:AMDW01035031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRQSKKKPGANHCHHERLLGVARLLSE >AMDW01019176.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019176.1:42:264:1 gene:AMDW01019176.1_FG001 transcript:AMDW01019176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFADE >AMDW01038461.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038461.1:86:817:1 gene:AMDW01038461.1_FG001 transcript:AMDW01038461.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPPEDVVVATCGHVFCYQCVHKSLTSDENVCPSPSCGKKLSAQSVFSPGVLRFCIADKLESGATTSSSVEADGSPSICESSYISSKIRAATDILNSIVNTPALTWSDTIESSPSEVAPSKAIVFSQWTGLLDLLELSLDSSRIKFRRLDGAMSLNLREAAVREFNTDPEVRVMLMSLKAGNLGLNMVAACHVIMIDPWWNPYAEDQAVDRAHRI >AMDW01130375.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01130375.1:184:1059:1 gene:AMDW01130375.1_FG001 transcript:AMDW01130375.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIRERLIRHGERPKTFKIKLNNLTPRARLGSSGDSWLRGEFRDHGYVIDANVHYRNGAIFGVVTMTTVQKPADIVAALDKRGLLDLHPLLAVEFAEQRPRQEWILTEAALAKAPTAVHSFSPKDFSIYVGNLRWDVDRLRLLKFFGEHGRVLVAQVVCDRQTGRSRGFGFVSMATLREPDDVIASLNGQVRRHN >AMDW01130375.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01130375.1:5335:8253:-1 gene:AMDW01130375.1_FG002 transcript:AMDW01130375.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKSSLAVLLAVVVVAAMAVAAVQADDVPITDKDLETEESMWSLYERWRSVYSSSSSSGDLAEKVSRFEVFKKNARYIHDFNKKEGNTYKLGLNKFADLTLEEFTAKYTGAKPGTAGSSGARASIKPLEPVAGDAPPTWDWREHGAVTRVKDQGQCGSCWAFSVVGAVEGNNAIMTGNLLTLSEQQVLDCSGAGDCNGGYTYYAFDYAVSNGITLDQCYSPPPDPYFYYPKYEAAQDTCRFDPNKAPIVKIDSHSFVNPNDEEALKQAVYSYGPVSVLIEASNDFMYYQGDGTLYWIVKNSWSPGWGENGYVRMIRNIPAPEGICGIAMYPIYPTKSCPCPTAAASAAA >AMDW01011007.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011007.1:13:234:-1 gene:AMDW01011007.1_FG001 transcript:AMDW01011007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGGFLLALCHDYRLMRADRGVLYMSEIDIGLPLPPYFVAILRAKITAAHALRDVTLRGRKLKAAEAKEMGIVDV >KN542850.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542850.1:714:1879:-1 gene:KN542850.1_FG001 transcript:KN542850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFANLNCFLHIIITVDPTDYIQYDEADVASGEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >KN542850.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542850.1:4066:11366:1 gene:KN542850.1_FG002 transcript:KN542850.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METQSVRIRLGTEVVYKEQPSSVDGCEVEADVLLELPYHLLYGVVLPPATLQPQPDQQLVTLDGGMESAHQALDCIDIVVQHIGAPGCSVKPGAWVLKPKSQEPSFVVHFVGGIFVGAAPQITYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNMVEPVNDLPTFGVGHSLGSVIHLLIGSRYAVQRSGNILMAFNNKEASLAVPLFSPVIVPMAQSFGPIFSQLTSYPTLRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFVPKPEETRRLVKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQLSNHIFFLQVLPDVPPAMADAVNRGGELLTNLATGTPWEAVAKEVGSTLGADSGVLRAQISKDVNTLVDVIVSWIESNSGPRLLRS >KN539823.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539823.1:64800:66238:1 gene:KN539823.1_FG001 transcript:KN539823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVLSVSLMLVMKLWRYNNGYKVKMESERSNQGIMEEDSVISNGGREEVVVANGSGGGGILEQNRVLIQEISQNHEARDADGLTRNVALIRELNTNIARVVDLYANLSGSFSRSVTAAASANNTNATNSTSPSAAAAAKASKRSRATDTE >KN539823.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539823.1:2246:2527:-1 gene:KN539823.1_FG002 transcript:KN539823.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHATSSLVIGHALCSSLLAIINKYAMTKFSYPGLLTALQYLTSVAGVWTLAKLGLLYHDPFNFQTAKKFAPAALVFYLAIFTNTYTSPEAC >KN539823.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539823.1:34738:38883:-1 gene:KN539823.1_FG003 transcript:KN539823.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVQECYEIAAEYEGKHDSQKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCNDELRARADELHLSSKKDAKHYIEFWKKVPPSEPYRVVLGDVRDKLYNTRERARQLLSSGYSDIPEETTLTSVEQFLEPLELCYRSLCDCGDRVIADGTLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQDWLLSELNGKRPLFGPDLPKTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAAVARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKSQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPLGEKLRANCEETKQLLLQVAGHKDLLEGDLYLKQRLRLRNAYITTLNVCQAYTMKRIRDPDYHVTLRPHMSKEIMDWSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >AMDW01012948.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012948.1:38:223:1 gene:AMDW01012948.1_FG001 transcript:AMDW01012948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMELGILLIPLTLVFVPCRRIVLFLKRLQEFHRSITHPSFTSADMLSRFSSFNSMALML >KN539823.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539823.1:17725:26966:-1 gene:KN539823.1_FG004 transcript:KN539823.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLMNLVDQNGLCGGINGLEIGQQNGGEQAHEGNSVVHKCPYSGLDNVLFVQGSGPHALHYDSQPDQSIKEVIVSRKCAESVLRGAQVYIPGVLACSSHVEKGDKVAVSVAIEQPAEDGGWTVDAHHEERKGLYIGQGITAMSRSGIFRVPHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDQGEIIALDRSHNKLAAEMDLNCIKAYKLDALKSVRKTNEAKYIGEAGSCTDAIVTLAEDSEPCINKVDAGTTNASEDSSTTSVVQTDNKKTDDKRYVSKADLRKNLRRMRNGPGRNNCSGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLESLRNHATYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDKYKFLSLGSQHPKVGGPGIVGSFELSTKKYTE >KN539823.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539823.1:3553:4589:-1 gene:KN539823.1_FG005 transcript:KN539823.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRMLRAKQRFFEQNGGLLLQQQLGSLAASGVAFKIFSEEEVSKATDGFAEARVLGRGGHGVVYRGSLADGSTVAVKRSRVVEEKQLREFSREMLILSQINHRNVVKLLGCCLEVQLTSKSDVYSFAVVLLELLTGRKAFCPPPDSAAGSQDDDDDDRSLAFFFLTVAHKGRHREIMDGWVREEVGGEVLDDAAELVMQCLSMAGEERPTMKEVADRLAGMRSRASDS >KN539823.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539823.1:53904:60940:1 gene:KN539823.1_FG006 transcript:KN539823.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDNAGTNKAASSGTSLNTKRLDDDTESLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESDERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >KN543595.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543595.1:1392:2201:-1 gene:KN543595.1_FG001 transcript:KN543595.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLLFFPLLATLLLLCHHAHAVCEPATCGDLTIKYPFWLSGPDLNRSSLAIAACGHPSFELWCSRDGVASLRGSQILVLSIDYNSSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNRAICFLYSCNGTEPPEIDGLVNATIPSCSKPIFSYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGTNYSPLFKKGFVLEWQKNGFGDCDACNASGGQCRYNNDSAAAFACLCSDGELRGTICAGELLFQFPLLSKT >KN539598.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539598.1:52637:60214:1 gene:KN539598.1_FG001 transcript:KN539598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIDGPRQPSARAGSRLCTCCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVDNNLFKPGDRVAIGASGGKVADTTDLLQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFISTGEDGPIPRCKPFKYTYEKEIVIYPYYAISHIIPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQVEDLGNLIKLYTDWHSRLIPYYSFDQFVRKVEKVGASNRVRRCVSELRDRVARGGDPTLLHEPPVEVIPEGEPDGATAEDPIFGTEVPVTENHGVDQVQEDIDIPVESNDVDPMQEDLLNEIYNKEADEPQIPAVGGTAEETTPAMAPKEAKPQDDPPREAQNQPGKIQLTEEQRARMEANRLRALERAAAARARASQPA >KN539598.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539598.1:73210:77214:1 gene:KN539598.1_FG002 transcript:KN539598.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1D, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/Swiss-Prot;Acc:Q9FV50] MATSSSPRLLSSFLGDRLLSASARPLLHGAAPGSRRAAYQATRTLCNLVDVIFNRGQSDKPGDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLIEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >KN539598.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539598.1:14214:22908:1 gene:KN539598.1_FG003 transcript:KN539598.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MPSRSKCNRISRVADEEEEEEIVAVSSDADESESESEVGSGAEEEDDDYVGESSDAAGGSGSGDGDGDEEGGRGDIGDGEGGGRRLRSACRGVRAPDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPAVSSDDSVEKEESLPPGIEPLILWQPEGCDKENSNFSAIKVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGLGKTLQSITLLHTLLCQGFDVQKQTQVDGNVFSKYIFIMHSLQALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEAPIICGREPTASAEEKNLGSERSAELSAKVNLFILRRTNALLSNHLPPKIVEVVCCKLTALQTALYNHFIHSKNVKRLISEGTKQSKVLAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGFVTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDPYRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQVYQRQMSKEGLQKVIQQEQADGKMQGSSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKDGCMVLDGSKFDSAATEHEASNSGENSYIDIGGFGAISGCVQKMNSSNQQIGSPSEEDLGSWGHHSDPSTVPDTILQCSSGDEVSFVFTNQIDGKLVPVESMARAATHRTHEVTVSAEKEVGKINSSNVPGTERQSLLGKNLKMMGFNLKNSSMKFPTKSRRMLPNCLQGMNKTSTSSDHQQTKKLHVISDASDDDFV >KN539598.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539598.1:62467:62973:1 gene:KN539598.1_FG004 transcript:KN539598.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEVCDANSNPIIAGELRALPPIFKIYGRRQVFAGPVATVKVFEDNVLVRELLQEKGHGRVLVVDGGGSVRCALLGGNLAQLAQINGWVGIVVNGCIRDVDEINGCDVGVRALNSHPMKSGKKGAGEKRVPVTIEGTRICDGEWLYADADGILISRTELTV >KN539598.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539598.1:68287:68725:-1 gene:KN539598.1_FG005 transcript:KN539598.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAVGPPNNESNGGDGNNEVIVTAGTIAVSSANGDSQIQRPRLAASPSMAMAAARCCDDGGLSTVVEATSSGDGRLISNGAFMFTANCNGTTYFGSSWLDLPFLRPDLVTALTRVPWMAIVGLGT >KN539598.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539598.1:11223:11525:1 gene:KN539598.1_FG006 transcript:KN539598.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDANGDGRIGREELERALRSLNLWFARWKAREAMREADANRNGVVDRDEMVRLYAFAQRHLHLKMNDLDDVASY >KN539598.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539598.1:78480:81364:1 gene:KN539598.1_FG007 transcript:KN539598.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVARAASFGGRAATARWCSYRRITVAVCLGNLVAALLVLRSLTSFAPTPPKREEVVEYTEEQIRKAEESIRIRREAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIVQVLLDLGDNSSFAQQREAVESWRFEKLKDIKSASIQNSTKLDLSNEEARTLKRALQFNWHVLMEDIGLWIPSEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNARPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDSHPTAPVVVPWMSGVISA >KN539598.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539598.1:92503:93435:1 gene:KN539598.1_FG008 transcript:KN539598.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGDDDDDFQPRASYSTGTATSTVPFDWEEKPGKPKSELAACAAAATSANVGEVDDADFDFGVLLDKSVQVPELTTADELFDEGKIRPLKPPPGLLDGGSVASSPRSPISKSPMWSPRLRGKVGSGADFDPFAAALAKAAKGPSPLGAGAKDAADAGTASSPKKPDSVSVTSPRCIPPATMINGGRKKWRLSDMLLFRRSAAKARAAGANISKEPVFKYSPVQHLGTPVKKATADQSAAANGDVSAGKHKKQSKKATAAEDGMASPHRQSAMGCVRLNPGLHRLAKGFNGSSLHFGHRRAAARSVMNR >KN539598.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539598.1:66485:66991:1 gene:KN539598.1_FG009 transcript:KN539598.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATADVWDANSQAIMNGELRALPPIFRIYGRRKIFAGPVTTVKVFEENVLVRELLQEKGHGRVLVIDGGGSVHCALLGGNLAQLAHINGWVGIVVNGCIRDVDEINGCDIGVRALNSHPKKPGKKSAGEKRVPVTIAGTRICDGEWLYADADGILISRTELTV >KN539598.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539598.1:28243:28814:1 gene:KN539598.1_FG010 transcript:KN539598.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGGAAFGGGQPPALGPRISFSSDFVVEPPPPVQNRAMNLRCQEEDINFEFSVGSHPMMAADQLFSKGRILPLKDGGFSSGRPPTTLRDELRCDDRASAKGSSRWKEMLGLRKPLCVGGVNGTAKKSTTVDTEMVTDVADSKQEQ >KN539598.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539598.1:6572:7169:-1 gene:KN539598.1_FG011 transcript:KN539598.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPRTGGGLTRWSTGLFHCMDDPGNCLITCVCPCITFGQVADIVDKGTCPCLASGTAYALLCASGMGCLYSCFYRSKMRAQFDLDEGDCPDFLVHFCCEYCALCQEYRELKNRGFDLGIGRCKH >KN539598.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539598.1:71507:72013:1 gene:KN539598.1_FG012 transcript:KN539598.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEVCDANAHLIMNGELRALQPVFQVYGRRQVFAGPIVTLKVYEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >KN539598.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539598.1:83610:90047:-1 gene:KN539598.1_FG013 transcript:KN539598.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MELVMSEVSLAASNTDDVHEYAAKLGFEKVSEQSIDECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPRCVEDFQTFQQEGWHYELDNPEEEISYKGVVFNEMKGVYSQPDNLMGRVSQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKIWPQRLFKEPVRIVEKYPVGQEGDLKKKFMVCINWLLSEQPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIKKVEELVMQTLKNLAEEGFAPEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAAEGSKAVFSPLLEKFLLNNAHRATIEMQPDPEKASRDEAAEKEILKQVKASMTREDLAELARATKELKEKQETPDPPEALKAVPSLSLQDIPKEPIHVPIEVGEINGVKVLQHDLFTNDVVYSEIVFDMSSLKKDHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSIRGKDDPLTRIVVRGKSMATRVEDLFNLIYCILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDKISSSLEEMRQSLFRKDGCLVNITSDWKNLEKSNKHIAKFLDSLPSTTSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDCLTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITEEERQQRREEILSTSLKDFKEFADAVETINDNGVVVAVASPEDVEAANKENPLFSDVKKCL >KN539598.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539598.1:35652:36792:-1 gene:KN539598.1_FG014 transcript:KN539598.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKFRGATGEEVAAMEVTQVVGVRTRSRSAAAAGATATKAGSEHVPEGSASDSASGRDRERRETTPSSFLPGEVSDLESDLAGGQKRSRPLPSAATASAQQATRPKIPPAAEIEAFFAAAEEAEAKRFAAKYNFDVVRGVPLDSGRFEWTPVVSSRS >KN538722.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538722.1:210193:211638:-1 gene:KN538722.1_FG065 transcript:KN538722.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase DHAR3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/Swiss-Prot;Acc:Q8LE52] MPIMLFSCLTRFLKISPEGKVPIVKLEEQWVADSDVITQVIEEKYPEPSLATPPEKASVILTIGNNFLYSGSKIFSTFIGFLKSKDPNDGTEQALLSELTSFDSYLKDNGPFINGETISAADLSLAPKLYHMEIALGHYKNWSVPDSLSHVKKYMKTIFSMDSFVKTIALQEDVIAGWRPKVMG >KN538722.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538722.1:216893:218054:1 gene:KN538722.1_FG068 transcript:KN538722.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGVSIGNEFIPGDSTGVGSSAQGSQTTPTSDCHGKRRKPWKDRGFGQDVNHFLIYSNNQQ >KN538722.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538722.1:240125:243596:-1 gene:KN538722.1_FG069 transcript:KN538722.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISLTNSRHLEDLYNRYKEPDVDMIMVEGVSQFCTDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLALETALGMWQLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >KN538722.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538722.1:233819:236550:-1 gene:KN538722.1_FG072 transcript:KN538722.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVASRDPRTQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTENNPMFDFDEGLEEGRLSEDCDNGFGYPGHKATEGMQTPGTEKRVFPVRLGKFKNVGTQGAVEGGGIGNANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRNGVGGVTRARPTGLSSVNAEIMEGKKLCARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGGIGDTSNM >KN538722.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538722.1:124777:125014:-1 gene:KN538722.1_FG074 transcript:KN538722.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLCRLGLVDDAREVFDAMPERNLVSWNSMISGYVKADRFLDALEVFDEMLALGVEGNGFVATSALVACTGAGALAR >KN538722.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538722.1:187690:190348:1 gene:KN538722.1_FG077 transcript:KN538722.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDADVVSLDGRRDMIETEAEVKEDGKIHVTVRRSSASRSDVYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDTAAPNKAGSKYGQYPAPNPAMAAPPKPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAEYNDAAAVKEVRMAVASPRKGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >KN541277.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541277.1:15902:19234:1 gene:KN541277.1_FG001 transcript:KN541277.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCNWHGITCTRSPRRVTAVDLASEGISGSISPCIANITFLTRLQLSNNSFYGIIPSELGLLSQLNNLNLSMNSLEGNIPSELSSCSQLEILDLSENSIQGEIPASLSQCYNLKEINLSKNKLQGRIPSAIGSLPKLQIIVLASNSLTGNIPASLGSSLSLTYVDLGSNALTGSIPESLVNSSSLQVLVLMSNTLSGEIPEALLNSLSLIAIYLNENSFVGSIPHVTATAAPLKYLFLGGNKLSGTIPSSLGNLSSLQDLSLTRNNLVGSIPDSLGHIPTLNFLNLNANKLSGPVPSSIFNLSSLQTVAMANNSLTGELPSNLGYTLPNIEILIMPNNRFKGSIPPTLLNSSRLRMLDLSNDSLAGVVPFFGSLPNLEELSLSYNKLEAADWSFISSLSNCAKLTTLSIDGNNLKGKLPHSIGNLSSSLKWLWLRDNKISGHIPPEIGNLKSLEMFSIDYNLLTGNIPPAIGNLHNLVILALAQNKLSGQIPDTIGNLVKLTNLKLDRNNFSGGIPATLEHCTQLQILNLAHNSLDGRIPNQIFKISSLSLELDLSHNDLFGGIPEEVGNLINLQKLSISNNRLSGNIPSTLGQCVVLESLEMQSNLFVGSIPNSFEKLVGIQKIDISRNYLSGNIPDFLGNFSLLHDLNLSFNSFSGEVPASSIFHNASVVSMEGNNGLCARTSIDGIPLCSTQVHRKRRHKSLVLVLVIVIPIISIAIISLSFVVFLWRKRIQVKPNLPQSNEHKLKNITYEDVAKATNMFSSDRLIGSGSFARVYKGNLELQEDEVAIKIFNLGTYGAHKSFIAECETLRNVRHRNLVKIITLCSSVDATGADFKALVFQYMRNGNLDMWLHPKAHESSQGKVLTISQRVNIAMDVAFALDYLHNQCATPLIHCDLKPSNILLDHDMVAYVSDFGLARFVYSRLTAHEDTSTNLACLKGSIGYIPPEYGMSKDISTKGDVYSFGILLLEIITGSRPTDEKFNGSTTLHEFVHGAFPNNIYEVVDPTILQNDLVETDVMENCIIPLVKIGLCCSVPLPNERPEMGQVATMILEIKHATSNKNVRLS >KN541277.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541277.1:28818:30990:1 gene:KN541277.1_FG002 transcript:KN541277.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGVLSSGIVWLSLFTIFVSIPLATSDDHENDRQTLLCFKSQLSGPTGVLDSWSNASLEFCSWHGVTCSTQSPRRVASIDLASEGISGFISPCIANLTFLTRLQLSNNSFHGSIPSELGLLSQLNTLNLSTNALEGNIPSELSSCSQLEILDLSNNFIQGEIPASLSQCNHLKDIDLSKNKLKGMIPSDFGNLPKMQIIVLASNRLTGDIPPSLGSGHSLTYVDLGSNDLTGSIPESLVNSSSLQVLVLTSNTLSGELPKALFNSSSLIAIYLDENSFVGSIPPVTAISPPLKYLYLGGNKLSGTIPSSLGNLSSLLDLSLTRNNLVGNVPDSLGLIPKLDLLNLNANNLIGHVPSSIFNMSSLTILTMANNSLIGELPSNLGYTLPNIETLVLSNNRFKGFIPPTLLNASDLSLLYMRNNSLTGLIPFFGSLKNLKELMLSYNKLEAADWSFISSLSNCSKLTKLLIDGNNLKGKLPHSIGNLSSSLKWLWIRDNKISGNIPPEIGNLKSLEMLYMDYNLLTGDIPPAIGNLHNLVVLAVAQNKLSGQIPDTIGNLVKLTDLKLDRNNFSGGIPTTLEHCTQLEILNLAHNSLDGRIPNQIFKISSFSQELDLSHNYLYGGIPEEVGNLINLKKLSISDNRLSGNIPSTLGQCVVLESLEMQSNLFAGSIPNYFENLVGIQKLDISRNNMSGKIPDFLGNFSLLYDLNLSFNNFDGEVPAN >KN541277.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541277.1:23062:24064:-1 gene:KN541277.1_FG003 transcript:KN541277.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTQYLILKTLLLVIELVQGLQVVILVKINSIHLWTRFLILNTALLVLLKVRIAMQIKPSS >AMDW01040015.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040015.1:314:1145:-1 gene:AMDW01040015.1_FG001 transcript:AMDW01040015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQVSPISKSHHFFTSEEKFIVFAIGLAVHTIFREVQLFWTMILTASALLFHQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFIVAVAVLMASSGHRSTK >AMDW01031363.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031363.1:34:379:1 gene:AMDW01031363.1_FG001 transcript:AMDW01031363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PYNESFHEQDIEEQQTRSEATEISGIQQQQTEAEMNDNLHSAANNGEELSEEDIDNFLQNEEESSLPIDDKHIPKLGMKFKSHTEARGFFNFYAYLAGFSVVIAHHYKTTSKKRQ >KN542515.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542515.1:2279:3386:1 gene:KN542515.1_FG001 transcript:KN542515.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEFVSGDDELPKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDDDIGPSESEDEFYKDVKRRRTEKLSTKEQKYSPNPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRMLGVLEKTLCNKPQVTEFL >KN542206.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542206.1:501:1234:1 gene:KN542206.1_FG001 transcript:KN542206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDQLVSFLCFFLIVSAVAGGLCVSATVLPIRVGKHVDLYVKWAAGIRFYVADSIGKGPKLAINKSIQTSKNLFLIHSSIDFMLRIEEFVYKNDSVVKVDKFGYYHCNATAAAANDGSVLFLLDAPGFAYFSSADADHCKKGQRLMINVESAPSPAPAPAASPIAKPPAAVTAARPPPPPSPSPSPSPAPQEAATTSAATSSSSATAAHALLLAAMAMMGLILGEW >KN541635.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541635.1:16766:17188:-1 gene:KN541635.1_FG001 transcript:KN541635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRIADNIPGGIRCYVDAAWDNGRTGLGIFFHDPQNHSAIFIQASSNKAQSALQAELTALYLALQIAMFLNFSGVTFLTENATIVDTAKKRRFMEEPGYWSLRPFWSQIIFSVPTHLIQVRWIPRELNKMADTLAKDA >KN542206.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542206.1:9709:10707:-1 gene:KN542206.1_FG002 transcript:KN542206.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAREERRARARPLFDQLAIANANAGVRAGGVQPGVAVVVGLFDVDATVAWGRGGGEGGGGGGIAAHDALGFELDHVWADGVVASGFGALLWNM >AMDW01008042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008042.1:58:214:-1 gene:AMDW01008042.1_FG001 transcript:AMDW01008042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRGHGKGDRYPVGSGTRHLGLGAVGQCRSRCSMCRSDFNAFTAFTLSRH >AMDW01020847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020847.1:38:289:-1 gene:AMDW01020847.1_FG001 transcript:AMDW01020847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHASHAREAIAGLESRVAAVVLDWFCTTLLDVTRDLGLPGYVYFTSAASMLALLLRLPALDKEVAVDFEEMGGAVDLPGLPP >AMDW01031265.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031265.1:87:363:-1 gene:AMDW01031265.1_FG001 transcript:AMDW01031265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGIRTALRSIGEGEISISAYDTSLVALLKRPDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMTGDRIMSTLACVVVLKSWNIHTDKCER >KN542791.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542791.1:6164:7547:1 gene:KN542791.1_FG001 transcript:KN542791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSERAMHAIPPPASSHGEDFAEVVVVRHGETQGNALRIIQGQMDIELNEAGRQQAVMVARRLAKEAKPAAVYSSDLKRAAETAEIIATACNVSNLVLNPALRERHMGDLHGLKFDDAVRSKPDAYKAFSSEERSQEIPGGGESLDQLSERCVSYLNTIAGKHKGERVIVVSHGASIEELCRHADPTSSVRRRIPNTSICVFNISGTTGHWILERFGDVAHLNEDDFPQNAFGGDGAST >AMDW01031645.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031645.1:311:400:-1 gene:AMDW01031645.1_FG001 transcript:AMDW01031645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAYRDDSGKPVVLECVREAERRIAGSMNM >KN542791.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542791.1:11166:12502:1 gene:KN542791.1_FG002 transcript:KN542791.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVDRFVELVVVRHGETSWNSSRIVQGQMDPELNEIGKQQAVVVAHRLAREARPAAIYSSDLKRAAETAEIIAKACDVSNLVLTEALRERHMGYLQGLTWDDAVNKSPGVFKGFANFEVKNGLDFDDRNHELPGGGESLNQLSERCISYLNKVAQNHIGERVIVVGHGAAILELCRHTDPPNSSIRRKIPNTSLNIFHISGVTGRWILERCGDVGHLSENGFLENAFGGDGASA >AMDW01023091.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023091.1:59:283:1 gene:AMDW01023091.1_FG001 transcript:AMDW01023091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGNAVLNDATDQMGMVEYAWSHAIISDELYSAVRRECDSFKEEEDGGKPGKGCSPAVRAFLRAYDDIDIYSIYTP >AMDW01039575.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039575.1:305:1007:-1 gene:AMDW01039575.1_FG001 transcript:AMDW01039575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARKRKLGLLEDEDIANLASAASAQGSEFAEKDAAKENASLDVVKSQDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKESVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKIDKSSNIPQSSDCLGAENLIKLLKNYSRSHE >KN544664.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544664.1:116:2972:1 gene:KN544664.1_FG001 transcript:KN544664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDEARELFMEYNGHKGLYSDPHIGDLAKELVKELKGVASQLIHFGKEMRGRKDPKQWEDAIFVVKTSDTTHLQNEDQLSLKGTIVRNLKVATENMLARSNEVRQKIEIAERNGKTPTNRVISWLRRVDSITSTAEIICGQHQLNLDVSQSAAEKLHEVQECLDNQPINIVVDVLQTPTEYTPIQSYCEAKTLFFRMLS >AMDW01018458.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018458.1:2:265:1 gene:AMDW01018458.1_FG001 transcript:AMDW01018458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HHQQARYPLDAGSYRLLCKIGSGVSAVVYKAACVPLGSAVVAIKAIDLERSRANLDEVWREAKAMALLSHRNVLRAHCSFTVGSHLWV >AMDW01037599.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037599.1:236:706:1 gene:AMDW01037599.1_FG001 transcript:AMDW01037599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPSVIAVLDHEKKRTFIVRKEGLPDV >AMDW01030539.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030539.1:8:376:-1 gene:AMDW01030539.1_FG001 transcript:AMDW01030539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SYVQLLFPIRQKEDPKGKGAAQVPGRLNQNPGVTKADCLQIWEPPPEGWAKINVDGAFSMTDNTGGIGVIARDSEGKVLLSSWKYLRRCADAEQVEILACYEGMKLAAEWIRKPIILESDCVT >AMDW01075714.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01075714.1:1:519:1 gene:AMDW01075714.1_FG001 transcript:AMDW01075714.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNALPDDFNIKQLRTTMLIGNIDHSNETYLSLGRIFDGSTSLRVLAFSSFNLGAEIRNDVSALKYLRYLDLSFTGIKILPDSVCSLSQLQVLDLRGCTFDELPGKMNCLINLRHLHASTGTIAQISGIGKLTKLQELHDYYVEAKDGHGITELSDMSHLRGSLCISNLGM >KN545307.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545307.1:9:1811:-1 gene:KN545307.1_FG001 transcript:KN545307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EDEARELFMEYNGHKGLYSDPHIGALAKELVKELKGVASQLIHFGKEMRGRKDPKQWEDAIFVVKTLDTTHLQDEDQLSLKGTIVRNLKVATENMLARSNEVRQKIEIAERNGNTPTNEVISWLRRVDSITSTAEIICGQHQLNLDVSQSAAEKLHEVQECLDNQPINIVVDVLQTPTECIPIQSFELRSQDIVLQDAL >KN543775.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543775.1:1361:3941:-1 gene:KN543775.1_FG001 transcript:KN543775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYFNYCMDFAFLAQELFDFVAASLAKFVASEGEDCHLPEGTQRELGFTFSFPVKQKSLASGTLIKWTKSFAIDEMVGKDVVDELNMAIRRQGLDMKVTALVNDTVGTLAAGRYVDHDTIAAVILGTGSNAAYIDHADAIPKWHGSLPKSGNMVINMEWGNFKSSHLPLTEFDQELDAESLNPGEQVYEKLISGMYMGELVRRILLKMAQETRIFGDNIPPKLERPYILRTLDMLIMHHDTSSDLRTVANKLKEVLGIEYTSFTTRKLVVDVCEAIATRGARLAAAGIYGIIQKLGQHSDSPSTRRSVIAVDGGVYKYYTFFSQCMESTLSDMLGQELAPSVMIKHVNDGSGVGAALLAASYSQYHQAESADSS >AMDW01019661.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019661.1:127:276:1 gene:AMDW01019661.1_FG001 transcript:AMDW01019661.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQ >KN544400.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544400.1:2593:2937:1 gene:KN544400.1_FG001 transcript:KN544400.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKNLLTEIEAILLLRRKHQSPFTKLSSETQQTVTVSADDSPEMEQTTGATFCSISYRTRPQPNAKRQNSQLNALEVNTVFIGQEDNVNENTSEVHHVRQAEKRRVERQRPS >AMDW01022177.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022177.1:29:154:1 gene:AMDW01022177.1_FG001 transcript:AMDW01022177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELPPGRGRYHPFEEIAEKLQVDDGEPAHLTDAESARTIVE >KN543572.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543572.1:721:1089:1 gene:KN543572.1_FG001 transcript:KN543572.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLCADLRALLAAIFLHPTASSPAGSHFASPSETSAPTTSASLSPSATQRAPLICWAFHHQHSLREELASVDQIHTANGLASTPPPVTVDRAMLSRAPVVVVLQVHGSATGTVTGRDPTGG >KN540456.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540456.1:10703:13708:-1 gene:KN540456.1_FG001 transcript:KN540456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHLPDPIITFRASPKDDDERRIIRCLPLTESKVLCADQSGHTFLFDAEARHVVTVPGLRKPKEDPLSVFIPSAAAIGEHKADFLTDEEEEHDEDFVGTLFVMERSPNMELRGYKDHQTSDQFEAYTYGNHQQPKKSPFKNPVGKWMLPLYGKIEYVPELGLWFGFTAKHHLAAADLSDLDSRPRLVGAWKEFDPPDEDWVLLQGPQLVNIGSGRFCIARFFNTTITSYYGDDFIDQTFGIITGVEVVPRAHQGSANGGKGNRIASSDCRGNGNGNGGKKKLRMIKHRSKCHITNDGTFIESCFYHPTKHIYLKIGIGNKNIT >KN540456.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540456.1:37124:37553:1 gene:KN540456.1_FG002 transcript:KN540456.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAAWNLAAVWVQYWLFVSICGGFPVVVLPGVALAFLYFTVLSFGTLMMATLDWKGILVYVEGKGTPRLASPRLTSPSSQTPLRPLVAPRSASHRQR >KN541491.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541491.1:1358:2038:1 gene:KN541491.1_FG001 transcript:KN541491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSKTTSLLLCLTMISFVQLAQSSPSPSGDKGSKGFHGKKLSFTLYQQETINKTSYMVVDGVAGAGVSETTTPFGTVYVFRDDLTSLAEY >KN541491.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541491.1:16015:17887:-1 gene:KN541491.1_FG002 transcript:KN541491.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYERARGAESPWDAYLRLIPEREPVPLVWPADEAERLLAGTELDKIVKQDRQFICEDWKECIEPLILSGELEVDPDDFSLENYFSAKSLLSSRLFIVYLVIWKRCISFNHKTGGEHVHFTSVLEASDSDSEDGEDPNNASADEQSTIENSADIPSGDDDEDLEMIVVRDVNEGEEVFNTYGTMGNAALLHRYGFTEMDNPYDIVNIDLALVTKWCSSKYSRRYARARVSLWHNLGYSGCTSQDADYFEISYDGEPQLELLILLYIISLKSDAYDKLASVAHDLIGDDEVDSISSVLKVVRVTSSNQHPDISGLEKLPDVKKLLLNESVCSALVSLADMRESLYGSNTLEDDRQKLQACSSVNERNLYHSLVLRVSERTILHKLKKHASSWSKTKKRKQL >KN541491.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541491.1:12754:15023:1 gene:KN541491.1_FG003 transcript:KN541491.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRCCAFLMRFRLLLLLCEGSRLLLARVDHVQNHAAVGAWAKIPDGSLVCFLMRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKNLWSWTNEGIVLPGEPTNVTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGLESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >KN541491.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541491.1:9738:10211:1 gene:KN541491.1_FG004 transcript:KN541491.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTGYVVVPGVDAPAPARVVVSDAGEPSADRQPFGSMYVFRDDLTVRADSSSRVAGVAEGTSISTSFDGEDGRRSVSLAKITLHHRRYRGSVSILGGTPNITRPSVYPVVGGTGDFLYAVGYVRSSPVDTRGRTRTTYKMELRLYWPPHAYFAPIP >KN540111.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540111.1:253:1664:-1 gene:KN540111.1_FG001 transcript:KN540111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLRLLHDSPLLWIVVQAGYPTPEAAAALRRTAVMHRYIGCCHNHINASAPHFRPHQINAALDIVDNHRLDGVVYFADEEGVYSLHLFHHLRQIRRFATWPVPVISQQKNEVVLQGPVCKQGQVVGWHTTQDGNKLRRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPDMVKESLQGSAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLD >KN540111.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540111.1:36789:40808:-1 gene:KN540111.1_FG002 transcript:KN540111.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDANPPPTTAMYPLHRCKTIYLVRHAQGIHNVEGEKDHSAYMSPQLFDAHLTPLGWNQVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTIREEVGKHFANCELRSMVLVDTSMLGSDSPSYNYPGSIPAGLDLPSDAAP >KN540111.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540111.1:22296:36007:1 gene:KN540111.1_FG003 transcript:KN540111.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQDDEQKKNSKQPPSLEDSTLSCGQPHGARCANVGPVQPINSDQVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSSDNNNILGSMKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRISITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEGSRRRRRRMVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTQLTDAVWKNFFQGKLTYMHWNKGGEAMAPIESTTGGTLLVRKLVNLSPTQVFVGDVVLLKDPEKSDDLIVRRLAALEGYEMVSNDEKDEPFVLDKDQCWVLADNQSLKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSRMAMNQDSPVLAVELDVEEMAKNNKV >KN539287.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539287.1:28251:32923:1 gene:KN539287.1_FG001 transcript:KN539287.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHEKRAVVAVYHSSFVDDTGITKACGCPLLPLKTHIRGPAPAFDQDKADIVDEAITFFRANVFFKNFNVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPQSQEEAELLRNYLKQIREETSGRLLNCAYRANGHLYRFNQIWRNLDVRFPDLAKRWSKLLLIDTLVIINHLNAKSIASLRPEVIFKLHGTLDLETSPVTSFPDSIAQRFSPVNSQLVMVLQIRQKRGLYMCHKKQVQSMRFLPYYIYEDSWLKRFLKIHGRNTMRAFYYMTQNGSYILKDIGRSKQGLFQISLKIKAGFIPNSSAVHVCQG >KN540636.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540636.1:411:530:-1 gene:KN540636.1_FG001 transcript:KN540636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLVDDHVRGLQVKNAGHWITVDPVPDAFIVNIGDQIQ >KN539287.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539287.1:100780:104612:1 gene:KN539287.1_FG002 transcript:KN539287.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASWFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSDFIKDKRIAAVQALSGTGACRLFADFQRRFLPNSQIYIPTPTWSNHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKIKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVSVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKHGKEFNIATGLYQGMADRIIGMRKALRENLEGLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >KN540636.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540636.1:45708:45932:1 gene:KN540636.1_FG002 transcript:KN540636.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRWWAVLLGPFDAGLWPMGVPSRAHRQARPQPIGVREPFAVGLFRTTGTSSGQWTCREEDQSNSTPYLTASD >KN540636.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540636.1:37185:43876:-1 gene:KN540636.1_FG003 transcript:KN540636.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALPLFNTILLRLGMYCSFLSLLRMKPVQIRRLWMSLGTKVSGVFGRSIIIVYAILLQKRDEAYFMSLLRIAETTHGLYYSYDRDLTLNLQRASKLPAGRVHKPLWKQADPRFVWNKNLLEEFIEAKEQECGGEVQISKVPQLILLRQNSWQSMKVRGSIPLLWEQIVDLSYKPCLNIIEHEETPKVVQRHFHDISQRYGDTVVVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQVLYNQIEEAIQKQGYFLINSKGEIFLEQSGIVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSGSISQSEDISDTFKKIILRSVG >KN539287.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539287.1:5423:13775:1 gene:KN539287.1_FG003 transcript:KN539287.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAESLLFLLLLFSLPIPFSHAWSFDYPSPIASINSLWTNNNATIPYSATYPDGSTIRAILVRQNPTWYSPFFACGFICTAPCNDFLFAIFSVSVGDPSNPAFNTSSMPRIMWTANRSRPVKDNASLQFKDGNLILRDFDGSLVWSTNTSDSRVVGLNLAETGNMVLFDAMGKTVWESFEHPTDTLLLGQSLRQGKRLTSDSLATNWTQGQFYLTVLDNGLYAFIEADPPQLYYQRRFNITDAIVQPNMNISSDGAKNYTTYISFLKGSLSAFVSFNNTDINLFDISLPSPSSAQFMSLENDGHLRVYRWDGTSWKPQADVLHVDLDDCAYPTVCGDYGICSEGQCSCPSRNSGDEDQFFRQLDNRQPNMGCSLAIPLSCDLTQYQQLLPLPNVMYFNLGQNWTTDEYSCKEACLKACSCKAAFFKYNNVSNGSCYLMPKLFSLMNYQPEVVGYNLSAYIKVQMLPPPPRSKQLNPLVYHVGAPIIVAVICIFILIIRRIMKRKMDDDDPFKGLAGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGREEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMTNGSLDKWIFRKNPRGTLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFGLAKLIDRDESHGGFAASCSTRRNHRAIFLGSRQFSPIIYSPARRAASRLSRREIVESILSSLTGSAPGEVPKKMESSDVARNEEKARSMLGPDVDLIIGDVTKENTLDPKYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKNSVGLTEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETGGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAPPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFEQGDNITGKISREEIALICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYEVYFKELKAGITDSVIGI >KN540578.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540578.1:5130:6801:1 gene:KN540578.1_FG001 transcript:KN540578.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADLGCSSGPNALTLISSTVDAIHRYCMECTQPPPEMCLFLNDLPSNDFNSVAKSLAEFKHSQDVSSHHVVVANMVPGSFYERLFTSGSVHFFCSSISLQWLSKAPEELAKNKIPMYDSDERLRLLNHEIVANTYARQFRKDFTLFLSLRARELVLGGRLIFSLIGRCSSNPASVSTQVWKVVSVALNDMASRGVISKEKFDTFHIPIYAPMENELNGIIEDEGSFQINKAMAHGTFLATGEVLASPNTIAAMVRAVFEPAIVQHFGFSAGIMDDFASVVERLSTPSVVEAEFPLACLCFSLTRAR >KN540636.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540636.1:24962:27411:-1 gene:KN540636.1_FG004 transcript:KN540636.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRDVVVVLGLAAAAARVDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWQPLSERLYNSLDYMTKKLRFTMPFPMLAFPLYLFARSPGKKGSHFNPSSDLFQPNEKKDVITSTASWLAMVGILAGLTFVMGPLKMLKLYAVPYVIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNVHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSAPLPFHLLGVLAKSLNSDHYVSDTGDVVYYQTDLKTSSSAQSSD >KN539287.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539287.1:35090:40118:1 gene:KN539287.1_FG004 transcript:KN539287.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIQAHVQDWFPFVLATETRRLGGNSHGQGQSQGQGEYKCVSAVPPNSVTTAAKLFFHDERKRVKELDFGNLLNIKLEKLTSRELAARLTDQAEIQTNQDQTELNNKPGELLCITVEVVHLILGMRRGSVQELTSLPRNEASKAFISIYSVLKVRDDKFSKVKPVGMLLLMIDTKQTQPMDGDMYDPVPWVSEDEKTAAKKPQFIPESAKIRITPSVTADGTRRARKAPCSSSSSSMSPSSSGDEVRIPRSKGFRMANLLWAQEVATPRHANRGRRSSPPARGSTEGDGGGGRSPGCRGG >KN539287.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539287.1:63059:64060:1 gene:KN539287.1_FG005 transcript:KN539287.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLFWAIRGGGGGSFGIVVSWTVTLVPVPAVVSAFTVHRLLLRRGGHDEQSLLRLLTKWQAVAHALPDNLFVKMSMEAKTINDGDDSTRYPLVTFKSLFLGNCSDMITQIDHHLPEFGIKSTDCREMNWLQSMLYSYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPIPTPGLIELLTKIVEDEDGSIDIDPQGGEMSRIPESGTPYAHRSGYLYNLQYFVKWGGDKNVSYEDDHLSWVRGLHELMTPYVSKNPRAAYINYRDLDLGQNVEGNTSYEEARVWGEKYFRGNFRRLAMVKGEVDPDQLFWSEQSIPPLVVSTRDAGLVSDS >KN540578.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540578.1:36199:38706:1 gene:KN540578.1_FG002 transcript:KN540578.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADLGCSSGPNALTLVSAALDAIHHHCAQQQQPPPEVCVFLNDLPSNDFNSVAKSLATLKHSHGDLDDPVVITGMIPGSFYERLFPCGSLHFVCSSNSLHWLSKAPDDLKEGKIPMYDMDEHLRFSRRAVVGDAYARQFRKDFTQFLSLRAQELVTGGRMVISLYGRCSENPISRSNQAWQVVPVALNDMASRGIIDKEKLNSFYIPLYAPLENEVNEIIEDEGSFEINKMLMRNPFSGMDDATVSPKMIALSIRAVFESTIVLHFGSSEEIMDEFAITVEQNLSSGSGWRAVLAAEYPLVLLCLSLTRVI >KN539287.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539287.1:2330:2968:-1 gene:KN539287.1_FG006 transcript:KN539287.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVMKTDGLMMVGNSDDQEIQMIEKACAPCSNGSREISIRSVIFHTTSPEEHTSSVPRLLPPAHPVSIELLYSRTSSLLTGSVFWPSVD >KN539287.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539287.1:105403:109393:-1 gene:KN539287.1_FG007 transcript:KN539287.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSRMRDEKGVMGGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLAGTLSPSIGNLSHLQTMLLQNNMISGGIPPEIGKLTNLKALDLSGNQFVGEIPSSLGRLTELNYLRLDKNNLSGQIPEDVAKLPGLTFLWRLPFASADQDLEIELGHLKHFSFHELQSATDSFNSKNILGQGGFGVVYKGCLRNGALVAVKRLKDPDITGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYHHGKPSLDWSKRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAQSQKGMILDWVREVKEEKKLDKLVDRDLKDSFDFAELECSVDVILQCTQTNPILRPKMSEVLNALEANVTLPENGVDLNREVPPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >KN539287.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539287.1:49077:59039:1 gene:KN539287.1_FG008 transcript:KN539287.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGGRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >KN539287.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539287.1:15026:15443:-1 gene:KN539287.1_FG009 transcript:KN539287.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCHSSSPSLVDLVSLPPSSPAGGGGGGAGAGVVQVVPLDVSEELLGKFQDTSEFGFEYEKSGLWSPLVVRPEALVLASGGKRRRRSWRRKVFCCW >KN539287.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539287.1:67:1674:1 gene:KN539287.1_FG010 transcript:KN539287.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 17 [Source:Projected from Arabidopsis thaliana (AT1G29340) UniProtKB/Swiss-Prot;Acc:Q9C7R6] MDALRQFDLGQPPDHPSLQSLLANMGISTAASCRAEIDYLEEQILSQEEDTDLPLVGSVLALLRYCLFAVFDPSNAKALWDWPLSGNRQRLLSIGGGDDTSFSVPKEFSCPISLDLMRDPVVASTGQTYDRPSIIQWIEEGHSTCPNSGQTLADHRLVPNRALRSLISQWCGVYGLQYDSPESNEGMAECVAASCSSRAAMEANKATARILVRMLEDGSENVKAVAAKEIRLLAKTGKQNRAFIADLGAIPLLCRLLLSNDWMAQENAVTALLNLSIFEPNKGRIMEQEGCLRLIVGVLQNGWTTEAKENAAATLFSLSVVHNFKKLIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSARMLESCAVVALIQSLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSALVQRVAKIPGLNTVIQTITLNGTKRAKKKASLIVKMCQRSQMPSAMALGSTLTVVDRSLVGNNTLRRAASFGSGELSNPISISVQVP >KN539287.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539287.1:64495:71044:-1 gene:KN539287.1_FG011 transcript:KN539287.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKSTLMRALSGKLDKNLKVLFESSHLLLIMHYCHVLIHSITIQVYGNITYCGHKFSEFCPERTSAYVSQYDLHNTEMTVRETLDFSRRCLGIGSRYDMLVEISRREHSAGIKPDPEIDAFMKATTMQGQETNIIIDVVLKVLGLDICADTIIGDEMIRGISGGQMKRVTTGEMLIGPARALLMDDISTGLDSSSTFHIVKFISHLVHIMNETMIISLLQPPPETYNLFDDIILLSEGYIVYHGPREDILDFFEASGFRCPPRKGVADFLQEVTSKKDQQQYWFLDQEPYQYVSVQEFAERFKSFHIGQQMQKELHIPFEKSKTHPAALSKTKNILSNWELMKAVMSREKLLMKRNSFLYIFKVIQLIILAFICMTMFLGIKMPYGQFSDGTKFLGVLTFNLVTVMFNGRSELNMQVKRLPVFYKHRDFLFFPAWTFGLANILIKVPISIVEAAVWVIITYYVMGFAPAAGRWAIPNNDTAIDVKTIGEAILKSKGLLTALVGVSGAGKTTLMDVLAGRKTSGTIEGSITLSGYPKKQETFARISGYCEQADIHSPNVTVYESILYSAWLRLPSDVDSNTRKMFVEEVMALVELDVLCNAMVGLPGVSGLSTEQRKRLTIAVELVVNPSIIFMDEPTSGLDARAAAIVMRTIRNTVNTGRTVTIPGVPSIIEGHNPATWMLEVSSTLAEVRMNIDFAEIYANSMLYRKNQELIKELSIPPPGFQDLLFATKYSQSFYIQCVANFWKQYKSYWKNPPYNGMRYLVTFLYGLFFGTVFWQKGTKLFLARTEACFICGMHRDSQQDLNNLLGATYAAVFFIGSTNCMSVQPIVSIERAVFYRESAAGMYSPLSYAFAQASMEFIYNSIQGILYTVIIYAMIGYDWKASKFFYFLFFIVSNFNYFTFFGMMLVACTPSTLLANILITFALPLWNLFGGYLIVRKAIPVWWRWYYWANPVSWTFYGVIASQFGGNSGSLSVPSGSSIAMKQFLDDNLGIRHDFLGYFIKDLSYVKRMGLFGGELSIAQLDRVLWWNLSPSF >KN539287.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539287.1:114183:118085:-1 gene:KN539287.1_FG012 transcript:KN539287.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGGAHPTGGGAAAAADPMQHGASLMEGSDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPAEAIDLASRLLQYSPNLRCTALEACAHSFFDELREPHARLPNGRPFPPLFNFKQELANLSPELINRLIPEHARRHCGFNFLPAAGP >KN539287.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539287.1:18318:21936:-1 gene:KN539287.1_FG013 transcript:KN539287.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAVKDRELDIRGNKIPIIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAQKFHSKEAEEEAKKVPAKTFTPGQVVDAQDTTMEEQGPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALSTGQIPAEFAIPKPDANMADASEETEKMETDGQNQENGADEKKQNEENTPIEED >AMDW01039075.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039075.1:2:886:1 gene:AMDW01039075.1_FG001 transcript:AMDW01039075.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VARNNLSGELPPGLSLLASLRSIDLSYNAFSGPLPGDVPLLASLRYLDLTGNAFSGPLPATFPATVRFLMLSGNQFSGPLPQGLSKSSFLLHLNLSGNQLSGSPDFAGALWPLSRLRALDLSRNQFSGTVTTGIANLHNLKTIDLSGNRFFGAVPTDIGLCPHLSTVDISSNAFDGQLPDSIAHLGSLVYFAASGNRFSGDVPAWVGDLAALQHLDFSDNALTGRLPDSLGKLKDLRYLSMSENQLSGAIPDAMSGCTKLAELHLRANNLSGSIPDALFDVGLETLDMSSNALSG >KN543667.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543667.1:190:4290:1 gene:KN543667.1_FG001 transcript:KN543667.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] IRNRRFVCQAMTETEPDGDGNGDEVEKEELGGDASSASVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKLFLLINPEDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >AMDW01025667.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025667.1:66:227:-1 gene:AMDW01025667.1_FG001 transcript:AMDW01025667.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLPSHK >AMDW01040670.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040670.1:67:1698:1 gene:AMDW01040670.1_FG001 transcript:AMDW01040670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLSLFNMSSLTFLGVANNSLIGRLPLDIGYTLPNIQELSFSGNRLSGSIPASLLNASHLETLSLTNCSLTGSIPFFGSLPNLQCLDLAYNMLQADDWSFLSSLSNCSILTELMLDENNLHGKLPSSIGNLSNSLDKLYLSNNQISGFIPPGIGHLKSLTQLYMDFNLFSGNIPPNIGNLHNLVILAFGKNELSGQIPDAIGNLVKLIDLYLDGNNLSGSIPESIGHCSQLRKLNLAHNSLNGGIPRNIFRIYSLSEDLDLSHNHLSGRIPEEVGSLINLKKLSVSNNRLTGNVPPTLGQCVVLETLEMQSNFLVGKIPQSLASLVGIKKIDISQNKLSGKIPEFLTSFKYLDNLNLSFNNFDGQVPRGGLFGNASAVSIDGNTHLCTWAPIKGVPVCSALVDRKRVHKSLILALKIVIPVIAVTITFSFLLIIHSKKPKLVKPHLQQFNGLIKRITYEDVVKATNRFSSANLIGSGSFGMVYKGNLELQPDQVAIKIFNLDTYGAHRSFFAECEAIRNVRHRNLVKIITSCSSVDSSGADFKAL >AMDW01038010.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038010.1:106:465:1 gene:AMDW01038010.1_FG001 transcript:AMDW01038010.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGDADPSLDRNYAARLRTRCANNHHHDDGIISESEMDPGSRMTFDSSYYRHVAKRRGLFTSDAALLDDAVTGEYVRRVATGKFDDDFFRDFAESMIKMGNVGVLTGEQGEIRNKCHLLN >KN541406.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541406.1:14079:18846:-1 gene:KN541406.1_FG001 transcript:KN541406.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEVVAVAAAAAGTSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVADSLGNSSELAVRRVAALAGDKARNLSLHKVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGCTCNVELQVEIDVDLVVALPCTVLDADSCITKNAAVYGFCI >AMDW01024823.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024823.1:8:295:-1 gene:AMDW01024823.1_FG001 transcript:AMDW01024823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGCYLLYNAPANALTAIPALPDSPRSPTLMHLGRTAVLVDDDDDSRSADAADADDYILVDIVTSSSTSGLGLPEATIFAWSSHTMKKSDGGGGEWV >AMDW01031776.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031776.1:49:183:1 gene:AMDW01031776.1_FG001 transcript:AMDW01031776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFMDVVGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTTF >KN541406.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541406.1:20869:24373:-1 gene:KN541406.1_FG002 transcript:KN541406.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHQHQPVIKICTVIQMIHIRMIGLQQAHKPHHHSLQHQLRPLHITPEIYPFLEEIIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTLSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELIDALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGSGFQKLLAFCGSSNPKIRNTTLVGEENLPYA >AMDW01037460.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037460.1:11:704:-1 gene:AMDW01037460.1_FG001 transcript:AMDW01037460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAACNEHVIGIPVSNRAFGIEEPDFPSGGAAAYHGEAKSSATARTSSRFGRTGDRLAQGIKEHVTLGPKLYETMKGKLTLGARILQAGGVEKVFRRWFAVGKGEKLLRASQCYLSTTAGPIAGMLFISTERIAFRSDRSLALTTPSGDTVRVPYKVAIPLRRVKTAKPSENKHRPEQKYVQVVTDDGFEF >AMDW01033205.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033205.1:19:246:1 gene:AMDW01033205.1_FG001 transcript:AMDW01033205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLGHCPALQVLDAGACATDSVMSRRVRERCEERIRHLRLPPVNGCCGCCMRYAQRYADEHDDEDDDEQLDDD >KN538852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538852.1:157106:163604:-1 gene:KN538852.1_FG001 transcript:KN538852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGGDNFNLFATFNYEHTTFVNLLSVLSKFSYTADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFISDSMHIGILAAAAHAAANNSQFTIYYNPRASPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWLGEEICKKDLNSQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTSNQLGSVIIPQKVVQDCNSEQRQHVVAQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVISSQSAPQQCVQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >KN538852.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538852.1:204041:208813:1 gene:KN538852.1_FG002 transcript:KN538852.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVDNRSQSAGKRARTDGGRREDDWVCPSCQNVNFAFRTTCNMRNCNQSRPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTPPSMYLGGGAPPYGASLYGGPALPRYGGMYGMPMDRYSLGLPAGPGPMGARAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGARGPKPKMPEGSWKCEKCNNINYPFRTKCNRPSCEAEKPFQTNNANDSSADQDNQVRTLIHVDVLLSEAADSIACSDLAKEVGDGKHRVMASLDVRMQISLTPYHICVECAHRKHSHFLNGRLLLSQ >KN538852.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538852.1:140419:142463:1 gene:KN538852.1_FG003 transcript:KN538852.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHKDSAILVLNKPPKVPMKGNLPVHNSMDVLATAALSYGNEEGPKLVHRLDRESSGLLLFGRTKESFTRLHWLFTSINLAKTNSQVWNVACEAYMQRYWALVIGTPKEREGIISAPLSKVLLDDGKAERVILAHPSGIDGAQEAVTAYRVMGPTIHGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSNGEWHENGAPWSKEKPNLLRFIAPMPAHMKISWNIMSSYLV >KN538852.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538852.1:173545:174649:-1 gene:KN538852.1_FG004 transcript:KN538852.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRLRLLLLAATLAAAVAGVVEEEEAFCGDIGKFN >KN538852.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538852.1:192226:193118:1 gene:KN538852.1_FG005 transcript:KN538852.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKDSYLDLVLIPAGIVFPIAYHVWLWHVVRRRPLSSTVGINAATRRLWVLGMMKDNEKKAVLVVQSMRNVIMGSTLMATTAILFCTGVAAILSSTYAVKKPLSDAVFGAHGEYMMALKYATFLLNTLPSSFAAAAAGLPVTKEYVADVLERGFLLNLVGNRLFYAGVPLLLWIFGPVLACLCSVVMIPILHSIDVVYVEDGSSKGEANNARAERVYESDESVMQV >KN538852.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538852.1:134814:138518:-1 gene:KN538852.1_FG006 transcript:KN538852.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSSGTWPSGCRTSAAPSGILQNFLRNREVGEFMSGALAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIVEQNGWQGLWAGNTINMLRIIPTQALELGTFECVKRSMTEAQEKWKEDGCPKIQIGNLKIELPLHLLSPIAVGGAAAGIVSTLVCHPLEVLKDRLTINREAYPSIGLAFNKIYQTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRAHKKKSLTRPELLIIGALSGLTASTISFPLEVARKRLMVGTLQGKCPPHMIAALAEVFQEEGIKGLYRGWAASSLKVMPTSGITWMFYEAWKDILLAPELHT >KN538852.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538852.1:215389:217353:-1 gene:KN538852.1_FG007 transcript:KN538852.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEGSGSVEQQNELFSAFMSNHITWKDDGEEPCLDIDVNLEVTLEVYTKPFSMLPLSAVETPGNLLMQGLLDRLVPLLGEQLLRDYHSWVQLQQQQQPEISSLETAEIDAS >KN538852.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538852.1:176262:182885:-1 gene:KN538852.1_FG008 transcript:KN538852.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39930) UniProtKB/Swiss-Prot;Acc:O04196] MPERYALGGACRVLAGMPAPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEADEVTEEVPLDPLFNRTGNVWHVFIEGELHNMLYGYRFDGMFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGDCWPQMAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGGPVEGDTTTTGTPLATPPLIDMISNDPILGDVKLIAEAWDAGGLYQVGQFPHWKIWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVSLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLADFPTAQRLHWHGHQPGKPDWSETSRFVAFSMKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTAKPAPYDFLTDDLPDRAHAVHLFSHFLNSNLYPMLSYSSIILELQPDD >KN538852.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538852.1:142751:149076:-1 gene:KN538852.1_FG009 transcript:KN538852.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHICGQAIKVNWAYASTQREDTSVMLESCGITKPDAPEEAETAITEMTGKWLGSRQIRCNWATKNNAEEKQETDNHNAVVLTNGSSSNPGMEASQDTGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPLPSYQPVPMAGVPQGFSAADIVAYQRQLTLSQVAAGQIAGQHGLAGQVSAGLLAAGSQALYDGSRRSQRSRYLGRRNAGGNLLWNLYLLSFAANFKMKSLQRAFLDMVAAGFTPDLTTFNLRAVAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNLSFAFDRLGAAGGEPVVATDAVVFEAFGKGGFHASSEVLLEATGGERRWTYYKLLGVYLRKQHRKNQIFWNY >AMDW01079994.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079994.1:241:520:1 gene:AMDW01079994.1_FG001 transcript:AMDW01079994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELTQLSESMRQVASLLADDDPCDDSAPRRLSTFVNAVALGNVGAGKSAVLNSLIGHPML >KN538852.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538852.1:115274:130109:1 gene:KN538852.1_FG010 transcript:KN538852.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPFSSSTWTRLSLPLLPPHFRMMCSCAAHVHLIFMLGFAFSLVLQDWELSRDCHVFLVLDGFAIVLLNSGNLSDSRNVLITKYRFFYSIRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQRFVAGDDHHELIFDPFISPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNYGGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRTEVNGKQDTKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGVMATESAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLETTLGGKLGNVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPVQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLGRVKGHLQALRKYTYGKHIETPSQILEKLANFFTGGVCLEPSR >KN538852.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538852.1:198027:200385:-1 gene:KN538852.1_FG011 transcript:KN538852.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVRGLGGLRAIFLSGNKFSGEIPADAFAGMGWLKKVSLSRNGFTGAIPASLAAVPRLLDLQLNDNKFTGKIPDFPQKDLKVFDVSNNELEGEIPASLKSIDPQMFEGNKKLCGAPRFKEMNRVGKEDFEEHMRRRRRLSHPNLLPLISYYYRKEEKLLIHDYVPNKSLAHLLHGEGRRVKKLVHWPARLKLVKGVARALQYLYDELPMLTVPHGHLKSSNILLNDRFEPLLTDYSLVPVMNQSHSAQLMVAFKSPERRQFGRSSKKSDVWKGEDEESKGEMVKLIKIGMACCEAAVDSRWELKTAVESIEELKGGKEEDANDEHSFYSSIDGDEFASVAIN >KN538852.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538852.1:218555:218740:1 gene:KN538852.1_FG012 transcript:KN538852.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSNFAKMGYSICGSFAAGIYVGVKLRVSQQAVERSEGNKYITNIEQNLQSLKNLYERVE >KN538852.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538852.1:154496:155701:-1 gene:KN538852.1_FG013 transcript:KN538852.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPAGRHRDWIFSTRAGHLHLLLASRSQCSHLSRLILVGPELSVPSPPRVVVVAARPDPDPAHVRLLPLLLALCPRAAFGGNAIPDVPLLSFHDDLLRLAPVKVVAGPVVGEMVVEDVAVDCAPRPAELRRRLRFKRMPFLVQTQVRLVRQLSPGDSLMLDALDEVGGGSLQPEVGGELVQPYLQAMAAGLAVIAPSMDESFRLGGKPRCLCAGIGGGALLMSIRMGLQCDVLGIEADGVVLDVARSHFGLVEDEFLQVRVGDAIQMIQDFAHQGEPDMNFSAIMVDLDSSDAICGVSAPPLEMTQESILLAAQTILHRDGALILNVIPPAADGSFYKGLIDILRHVFSELYEINVGNGENFVLVATVSPVETILADSSGSVLTKLRKLAGDFLEHITRI >AMDW01040517.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040517.1:89:1609:-1 gene:AMDW01040517.1_FG001 transcript:AMDW01040517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDINNNHIGVDINSIRSVRSYPTGYYDDGDNGNLKNLTLNSHEPMRVWIDYDQETTLIDVTVAPLEISKPKRPLGSVIYNLSTVLTDSAYVGFSSSSGDIDSQYYVLGWSFAMNGAAPAIDISKLPKLPREGPKSSSKVMEITLPIATAMFVLVIGVIVLHLLRRRSRYAELREDWEVEFGPHRFSYKDLFDATQGFKNKYLLGSGGFGSVYRGVLKSSKMEVAVKRISHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLHGQEDKNTLDWAHRFHIIKGIASGVLYLHEEWDQVVVHRDIKASNVLLDSDMNGRLGDFGLAKLYDHGVDPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFLLEVTCGRRPVEHNRQDNRVMLVDRVLEHWHKGLLTKAIDERLQGEFDTDEACLVLKLGLLCSHPVPQARPSMRQAMQYLDGDMKMPELIPANLSFGMQAMMSNEGFDSYMMSYPSSSM >KN541603.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541603.1:8956:9593:1 gene:KN541603.1_FG001 transcript:KN541603.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGRNHNGAPSLAWERWHGTQQAYELGETVAGGAPLAREVADLELPQPDPVPPRLDLTSPSRIGPGSGDGNERREGDNDSGRLAWPVTAEADMANRSRRCLVCTVEGGGQRRAWMLTVPNCSDMGDDCRDGGTVSYRRRQCCRVGDPAKAGLG >KN542710.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542710.1:3961:5367:1 gene:KN542710.1_FG001 transcript:KN542710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQF >KN541603.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541603.1:20476:22449:1 gene:KN541603.1_FG002 transcript:KN541603.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVVSASGSGSGGSGGGGGGGAGGGGGGGPCGACKFLRRKCVQGCIFAPYFDSEAGAAHFAAVHKVFGASNVSKLLQQIPAHRRLDAVVTICYEAQARLRDPVYGCVAHIFHLQHQVAGLQSELNYLQGEKSNDDDDGEEDDGDVGDGDGCKGCPLAPFYNAWEFAPKEEAWG >KN542710.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542710.1:11724:12990:-1 gene:KN542710.1_FG002 transcript:KN542710.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding NMEGLIERYKSNLPEAQVESTEQNIPMVIQQDVLFLRREVDLLQNSLRYMYGEKDINHMNLGELQSLESNLEVWVNNIRSTKMQLMSREIEMLKNKEGILKAANDILQEREFFQGYNYYLEIGYT >AMDW01030610.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030610.1:222:335:-1 gene:AMDW01030610.1_FG001 transcript:AMDW01030610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPE >KN547189.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547189.1:10:195:-1 gene:KN547189.1_FG001 transcript:KN547189.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLRRRCCGGDAVKTLHIGYRKDVPFECRYAEEFVALANASRLELGVQCARGLPDEDAGE >KN539542.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539542.1:81666:82723:-1 gene:KN539542.1_FG001 transcript:KN539542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDSGLGKLRNCYAQGGQLEEASPETQDGHRRRAGGRRRRRAEKPHRLRLGGHQFVGPMNYIARSSVVKKFRKISKKEKK >KN539542.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539542.1:1704:3843:-1 gene:KN539542.1_FG002 transcript:KN539542.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMTATEVAALLDLKPHPEGGYYTETFRDGSVSVTTAQLPPQYKVDRAISTAIYFLLPSGSVSHLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMANFDDVKSIAPKAEPFLKYLIPSTK >KN539542.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539542.1:46000:49653:1 gene:KN539542.1_FG003 transcript:KN539542.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPPGALNLADYAPAGARTVDCFRRIRKIGEGTYGRNEVSHDWQQSRLCHTSMFFYEFTFFTIGQAGSALVLPLHLFIFPNNLSEVFEAMDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPGTAHGAGGSDDYMYRGDIYMVFEYMDHDLKKVLHHSTPSQVKYYMEQLLKGLHYCHVNNVLHRDIKDYLLPFIGANLLISGGGKLLKLADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLRKPLFPGRTEHDDF >KN539542.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539542.1:18728:25664:1 gene:KN539542.1_FG004 transcript:KN539542.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAACLVSAADEVEVVLVEELGDLVGAEGEGDAAVVLAPAGALAVGVGPEEVAEEALVGDVDGAGDLPDPVEAVEVRREAAVHAEDLVVDDGGDGEAVEAVGEELPEADAEPALALVVEPVDAVDRRALVVASQQEEVVRVLDLVRQQEADRLDALLPAVHVVAEEQMLLTITVTSVVVFVRPVALFFVSIPAGFALYIFLTILPFIVLCPLGERVGPAPVPTWGKGLRGRDHDSSCGGGNHGLSGSLLRHAQFLLSAGTHRTAVADTLHLLAARAVEVLHGMAIPIKLSDRETGLMSSSYQQSLTRRPGPSSPSQGRFLAAPAADATFSPHLVGITSSPVQAVVRTGHLRRAAVAPLSRIEPAAVNTCYQVLAKRRISGSGSRDNAIMLGRQGMGTDGAVGGAACAATPQAGGPGARRGGARGGRVPRGMPDARGSLEASEEEEHGKVVGISSCPPFSSKRESQRLSL >KN539542.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539542.1:7357:8115:-1 gene:KN539542.1_FG005 transcript:KN539542.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSLISDYKVTLSELIVSRPSASARLAAEGNELAPSLAELDLPEPDNQTPLAAHIIWIIVVLEHNLEGKASLYRDTALSHLFLMNNVYYIVHKVKDSPDLWNLIGDDYLKRLTGKFTMAATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFRSFNAAFEEAHRVQSGWCVPDTQLREELRISISEKLVPAYRSFLGRFRHHIENGKHPELYIKYSAEDLEIAVNDFFEGVPPSPHIRRRSHS >KN539542.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539542.1:53775:62393:-1 gene:KN539542.1_FG006 transcript:KN539542.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASVECCRRSSPTRATSSRRALKGLRFISRTTGSAEAAELWTRVEHRFNALSRPGLLSRDDFGDCIGKQAKPSSMSMARRARARSRDDTAYGAGIGAGMEDSKEFAVGIFDALARRRQQELERISKEELYDFWLIVLSASANKLSKLKEQAEEYASLIMEELDPENLGYIEVESNSGSKWAPPGRSNQGSVAGEPVGTEDPRPSRLRVKAPVIQKSPRPTGLPLSCGATIIATAIALGICTHAGTHLACDFPRLIGSSREEYELLLSGSFGASRPTYRGLLAGVEGVTGIVMVTWMYIAVPLMLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFVPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRFHFHKEYF >AMDW01038720.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038720.1:231:735:-1 gene:AMDW01038720.1_FG001 transcript:AMDW01038720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAASAGAAAVQRRPKVVEEDDDDDDFVPLSHARGRKKASGAAAPKYKEEEEEDEDDDEEDNVPLAVSRAKKAGNAGASKAKKDEDDSGDDDDDYHVPLSRSKKGFLRFGNLL >KN539396.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539396.1:92784:94247:1 gene:KN539396.1_FG001 transcript:KN539396.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRHHRRLIASPEFARLHCRHGAPLPRPHIAYVATAVVATHRDMFGRVKSLEQWAEQRAKHGFGTGAFAWQSSYDDPEQDRSWTYHGFHVAGDGRRRGPDPMRVLARQKRNDQNYVGTCNGVVLLAGKGEAEDDDPSIGLLLNPAVAGDEMKVSLDCSSPDNTTNYHISGLGYGPRTRTYKLLARKHEFVLNLKVYPNGRKTRGRVSGQPLYIERADELVVYSLGAAAEQQPRTVLPGLDNDKIPGRSLYMDGAVYLLNADKGTVLAFDVDDEAITPIDLPGERVVVEGGGEPRSHAKSDLMEMSGRVCVATAYGGDKRRYAIWLLMGDRRWERRCAFRNDYLSSSAKVAGVWDCGRGLLILLQSGDEISIVIHDDVTQEVSHLDAPPNASPEEESDYRICWGYKPTLVSPASIIGDGELSQHEQQRRDLAAYVLAALKPAKELDRRMGQHAALRTVCFMEFLVRIMQKLPSLLHHGITDLDRFY >KN541436.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541436.1:51:5742:-1 gene:KN541436.1_FG001 transcript:KN541436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLEYGYVCAGAKDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPAQKLVVGKPEYKSIIETTLGIPCLYDEVVMDIMWAMKRLIRYFVPTETPELPEEDSLTMSQGLRMFLSRYGFEIEPEMVYSDIVRAAAIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFRTGCIANYKKILGLNILRNDKMDQLAEFVKTPLLSRFTTRAESARAAAPKSIQQATKEAAEQKAQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVKLGLALNCKIATTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSEYGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTK >KN539396.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539396.1:59524:61118:1 gene:KN539396.1_FG002 transcript:KN539396.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHHVTLCCAVFAVLCLASSLAQAQVLFQGFNWESWRKQGGWYNFLHDKVEEIASTGATHVWLPPPSHSVSPQGYMPGRLYDLDASKYGMEAELKSLIEAFHDKNVECLADIVINHRCADYKDSRGVYCVFEGGTPDGRLDWGPDMICSDDTLTDRFDGWRLDFAKGYSAPLARIYVDNTNPTFVVGEIWSSLIYNGDGKPSTNQDADRQELVNWVEGVGKPATAFDFTTKGILQAAVQGELWRLHDGNGKAPGLMGWMPDQAVTFVDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLQHEIATLAEIRSRNGIHAESTLDILKAEGDIYVAMIDGKVITKLGPRYDAGGIIPSDFHVVAHGNDYCVWEKEGLRVPAGRN >KN539396.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539396.1:95819:96618:1 gene:KN539396.1_FG003 transcript:KN539396.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQCAMAAWTALCSSSIVLSSDDVDTFTDRCPLATDAGAAAEVAADAADWTGHAGDTRTHRREGRRLDVAGGGGGKLAGWRTGDGKMVMELLGGEVQGMVKHGTNW >KN539396.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539396.1:103334:110164:1 gene:KN539396.1_FG004 transcript:KN539396.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVTVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIAKKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPHEETESIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAVQ >KN539396.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539396.1:25566:30479:-1 gene:KN539396.1_FG005 transcript:KN539396.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLFVFVTAAVLCFVVPAFLLLCTSVQRRRDDGQGGGRDWQKKKKLRLPPGSMGWPYVGETLQLYSQDPNVFFASKQKSKERMIGPSALFFHQGEYHLRLRRLVQAALAPDSLRALVPDVDAAVAATLAAWSGGHVASTFHAMKKDTTASVLTWILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWTQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRPSTFLPFGSGVHACPGNELAKLEMLVLVHRLVTAYRWEIVGASDEVEYSPFPVPRGGLNAKLWKQEPEEDMYMAMGTITAAGA >KN541436.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541436.1:26347:28083:-1 gene:KN541436.1_FG002 transcript:KN541436.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding INDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEDVETQNNLEGKVQCLKGFTSCCFAVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKEGFLKYVTHIAFASVSERMCPPPWSPHIWYGGYHEPREFHIQKVELEIFKS >KN539396.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539396.1:100088:100612:1 gene:KN539396.1_FG006 transcript:KN539396.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSNISTQKTHYEVLSVKEGATYDEVRAGYRAAILNAHPDKSQAKLDSLVSSVEHGEFFSVQKAWEVLRDPKSRTEYDKQLQTSRQNSANIAYEIEIEEMTVESTGDAMELMYPCRCGDHFSILSSDLAEMGILVDDDGEIYFQSSDCVSASVVLSCGSCSLKTRLVINRTS >KN541436.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541436.1:16592:19721:-1 gene:KN541436.1_FG003 transcript:KN541436.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLGGNDLVGRIPDHHPAPPRRHRLRILELDRNELVGHIPASLANITTLNVFLCGGENKLSGHFPSGIANLPNLFALGLNDNQFMGELPEWLGTLEKLQAVDSSHNNFTGSIPSSQSNLSQLVSLFLNSNKFGGHLPASFGNFRVLNSLRISDNFLHGMIPREIFGIPAITKIELSSNNLSGRLPPEVGGAKQLVSLLLSSNKISGDITNTIGDCESLQYAMLDHNNFSGTIPTSLGKISSLQVLNLSRNNLAGPIPASLGSLQLLEQLDLSFNHLKGEVPTKGIFRNVTAMRIDGNPELCGGVFELHLLACPIMAINSSKKHEHSIVKKVVIPIASIVSLAIVISVMVFCKGKQKENGLSLPSFDSKFPKVSYRDLSRATEGFSGSNLIGKGRYSSVYQAILFPDRTMVAVKVFSLETRGAQKSFIAECNVLRNLRHRNLVPILTACSSIDPKGNDFKALVYKFMPRECAAGGEVSTAGDVYSFGIVLLEIFLRKKPTDDLFKDGLNIVRYVEMNFPDRISHIVDPDLQEDECDVSQRTSLAMKENSLECILSMLNIGLRCTNPCPNERMDMQEVAARLHGIRGAYQRGNMYRTPGTTEGQSD >KN539396.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539396.1:11461:17752:1 gene:KN539396.1_FG007 transcript:KN539396.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWNKCSVGCEFGFSATKTPDATFGIAPDPTVESILRSMESSQYYSENNIAVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNHDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVIGAPNIQEFSPGEGAILHIKELDDVPSIAKTMKHIASNQEAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHVATKIHEKEERTPTFMNRPCSCSSKRGKVYHLFVRERGRFKTESIFLSSNNRGFMDAVMVRCRQCQASRSDQLTMGALESAVLAKFRSLNHVPVWKDERPPSIRGGDELKVYKIYPIGLTQRQALYQFRFRDDADLDKYIKDHPCAKLEVIFV >KN539396.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539396.1:65600:67314:1 gene:KN539396.1_FG008 transcript:KN539396.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSSLCLLLLVVLCSLTCNSGQAQVLFQGFNWESWKQQGGWYNMLKGQVDDIAKAGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTAAELKSLIAAFHGKGVQCVADVVINHRCAEKKDARGVYCVFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEGFGAAPDIDHLNPRVQRELTDWLNWLKSDVGFDGWRLDFAKGYSTDIAKMYVKSCKPGFVVAEIWNSLSYNGDGKPAANQDQGRQELVNWVNAVGGPAMTFDFTTKGLLQAGVQGELWRLRDGNGKAAGMIGWLPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEITALAAIRERNGINAGSKLRIVVADADAYVAVVDEKVMVKIGTRYDVGNAVPSDFHQTVHGKDYCVWEKGSLRVPAGRHL >KN539396.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539396.1:74591:75400:1 gene:KN539396.1_FG009 transcript:KN539396.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF109 [Source:Projected from Arabidopsis thaliana (AT4G34410) UniProtKB/Swiss-Prot;Acc:Q9SZ06] MGGNQEYMIRFDGHIDDASPSSATAEPPPPLPPPRPFAGRAISAEREHSVIVATLLHVISGYRTPPPEVFPAARAEVCGVCGMDQCLGCEFFAGESGVVSFDGAEKVAAVAPAGAAAGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAVEFRGPRAKLNFPFPEQLSAHDDSNGDASAAAKSDTLSPSPRSADADEQVEHTGWPQGGGGGGGGETGDQLWEGLQDLMQLDEGGLSWFPQSSDSWN >AMDW01040315.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040315.1:274:1220:1 gene:AMDW01040315.1_FG001 transcript:AMDW01040315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITNTANQSRLSPPNSSSGRSTLPPAASWGHRDLNTRTTANGVASSQSLTKSKADPQSNSFSSSSTVSSTKLPSSWNDDTSTVPKMTEGRDSLSKTLKPYKPGIAKETQAVTPLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSVSKSEVKDGDGDYQVTNMASKTSTL >KN540480.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540480.1:4816:6987:1 gene:KN540480.1_FG001 transcript:KN540480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKISSIEASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKNFEVVFVSGDKDQEAFDAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTEHGTEAYPFTTERINELKEQEKAAKDNQTVQSVLGTPTRDYLISNKGDKVPISDLEGKYVGLCFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDKMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAQKAKAKAELQTLESLLVIGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVDEYNKIKEKHSDFEIVFVSSDRDQSSYDEFFSGMPWLALPLGDERKQHLSKTFRIRGIPSLVAIGADGRTVARDAKTPLTAHGADAFPFTEERLLEMERKIDEMAKAKGWPEKLKHELHDEHELVLTRCTTYGCDGCDEMGSSWSYRCRECDFDLHPKCALGKEEEEEEEKGDDEAEAAPAGDYVCEEGVCKKA >KN540480.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540480.1:45227:46815:1 gene:KN540480.1_FG002 transcript:KN540480.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKRQIEESLPEWRDHFLNYKELKRRLNAVSSSPDSSDPAAEARFLALLHAEVDKFNAFFLEQEEDFVIRQRELQERIQSSSSAAAEMEGRVRREVVDLHGEMVLLLNYSSINYTGLAKILKKYDKRTGGVLRLPVIAGVLRQPFYATDLLSSLVRDCEAIMDALVALLIPERGSTSTVKDSMPL >KN540480.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540480.1:26658:29224:1 gene:KN540480.1_FG003 transcript:KN540480.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISSIKASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKNFEVVFVSGDSDQDAFNAYFAKMPWLAVPFSDSEALAKLNERYKVMGIPHLVILDAKSGEIYTEDGVELVHEYGTEAYPFTTERINELKEQEKAAKDNQTIHSLFGTPTRDYLITNKGDKIQTFLAVFFLLMVPRCPSLTSKAIVQFTSVLAKIYEKLKAVGEKFEVVMVSLDGDEESFNESFADMPWLAIPQGDKMCEKLARYFELSGLPMLVLIGPDGKTLNDDIADIIDEHGPDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVNEYNKIKEKHNDFEIVFISSDREQSSYDEFFSGMPWLALPLGDERKQQLSKIFKITGIPSLVAIGPDGKTVTKDAKTPLVAHGADAFPFTDEKLQELEKKIDEMAKGWPEKLKHDLHEHELVLTRCTTYGCDGCDEMGDSWSYRCKECDFDLHPKCALEEKGDVEMGEENAEAAPAGYVCEGDVCRKV >AMDW01034794.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034794.1:43:499:1 gene:AMDW01034794.1_FG001 transcript:AMDW01034794.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGENGFSIGTRKKDEKGMTTVDNEAGVRASMVSDYESALMVAFLNDVGTPRCRHDMYNKDEVDLVQRRIMFCDITRMCSDCGNINGLMIFVCLGCEKPFCTVHSSLHAESTKHLVGLVYHNPYVACCFLCSETFVLIGEGDKRMPVDKAA >KN542586.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542586.1:10271:13054:-1 gene:KN542586.1_FG001 transcript:KN542586.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDKFDMLLRMLEEFEQRREEVDQRRRADFLSLKAAIESGMPQEQKKVVMCATTATTSTELVVFEDTTGVAYINTPDYSKMVHAKCSTAGLDVDGSTDQAVVVFPIIKSVSKVVPISVKPLGIFSLRLIANLKQDRPTPTKCSMKSPLHKNKVLLIVYDLHHNPWPPPPQAKCIGQVVVYMLLFETLFNEKLKLEGIELKPWPPPICNEVTRGWDLQPMAGLEFKFYWARVHFISPWPPPNQANYSHMFIMERLHFGWNLDAVSKCAWDGMIKGNMTEIDSRLERIIEQEGHKLGNLCDDKINLIATISKEDKPIVCGAKSSLLGLSCVDMVQNTYCSLGPSIYQLTTCLVVRFIKQGNLRHVLYAELLNLSIGLYSGVARNPSTMLFTELEVQRFQDLGDGKVILQMIGFGCWLNSYLFYMGSNWWSPIHVTSFRQSEIGVSCGKEMEFLIELHSPCYCSTRVNRREYFPQPAKIILASYNVLVDRGRSGAISIARNFCIQEFYAKKNSYMSLASVACANFWRLHLFEIALQGICIGWTIKWAVQSWMEDAANSLWKAIKFSLQDSSSISHKRSARICLSCSFVMQVLCWQAENELNIYEAFGTMQACRRNQSGVKKVANRDAVKDFVVDPQCREVGTGIDGALPGHRWCGNCEHHDHQHREVGEEIARRHVSWIGKGGGKPARSCGQFRG >KN542586.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542586.1:13623:14411:1 gene:KN542586.1_FG002 transcript:KN542586.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVWCANRASPVGENATLELTGDGDLVLREKANGRLIWLSGTSGQSVRRMEITEQGNLVLFDQRNMTVWQSFDHPTDALFPGQSLLQGKMLRANASPTNWTEGKIYITVLRDGVDGYVESTPPQLYLKYNVSRKMNQRDPTRITFTNGSLSTFVQSTQPGNPDDIIPLPEAKSTQYIRLESDGHLKLYEWSSEGGWTMVTDVTKRELVDDCAFPTACGGYGICTGGQCSCPQSDPSSRYFQPIDNHRQNLGCAPVTLVKK >KN544419.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544419.1:563:691:-1 gene:KN544419.1_FG001 transcript:KN544419.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKLKQLEQCIGELESESEKVFRSLIQARVSLLNIHTPTF >KN539869.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539869.1:12722:14262:1 gene:KN539869.1_FG001 transcript:KN539869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLIHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAAASAPLPSQGKTISIDSAAGNTKRACCSA >KN539869.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539869.1:38954:42105:-1 gene:KN539869.1_FG002 transcript:KN539869.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPSRLGLPRLLMAVALVLLALCGFGVHGRNHIHKKPHGGGGGGGRQHRGGGTVVSSPAVPPADEQTQPPGIVPSDPAIPAQPEQCVFDVRAFGAVGDGTTDDTEAFRAAWRAACAVESAVISVPSDGTFTITTTTFTGPCKPGFVFQVDGVLMPPDGPDCCCWSPSDNRRQWLVFSNLDGLTLRGAGTIEGNGEGWWNLPCKPHRGPNGSTLRGPCDSPTLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTQRVAIYNSMISNGDDCISIGTGSYDVDIQNVSCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGISFDTVSMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLSDSLLDNPDLRCR >KN539869.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539869.1:28400:29191:-1 gene:KN539869.1_FG003 transcript:KN539869.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTAASKYLCFASFTICIPSAKQPSGGDAKNRLSFSFPESINGGKDRRCQQHTEEEHKSESIIDPAASVVTRTDGKHCTIIVGTIFGRRSGHVTFCVQRDAAMPPPFLFELSIPMLSLAAEMGSGLLRIALECHHPSGKVVVGAADGDTINNAGTGGGGSRSVWKASCNGRDVGYAVRRRPTDQDCRVLESMRMTTTGVGVLPSTGFSEDGGGGDVLYMRATYERVVGSKDAVSYHLITPGTASGSPQQELSVFLLRTRGD >KN539869.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539869.1:18594:20054:-1 gene:KN539869.1_FG004 transcript:KN539869.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSVLLLALLLPPPRATAALAGAEFFPFIRRRRPRSSPFAAPAGSEVIPFAAPAAAGAEVFPIRRRRRTPHQYECTGVVLAFHITNIVCENALDARLEVVFRKKLPEASFLDPERILRMYCTFSSACIDTMQVPIQLKLTFWTLDHLTSRCDITIVIATYKPLHSPFYWIGAGGGTLICSLEIQ >KN539869.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539869.1:69372:74435:-1 gene:KN539869.1_FG005 transcript:KN539869.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDKHCCICKTECSSVFVTKAMGDYTKVINDFNIFPPVATEGKVGEYWYHEDSQAFFDDAEHYKMIRAMCRLSCSVCDKAEDQAGQAAQARRKSKFRSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLERHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRDGSDRDAPSMQNGSAIIGNGFPSRVDNVTGSVSVSSSSGRGESGQSSGNGRVFEHLSFPPLQDQDIPDARMDSAPDETSFPSLSEQQTRYAHALSQSSLAAKLGDESLFPPLPGSSSNRGSTSTQQGLQSLAKNTLASRLQQRSKGSVKVLHSARPRPSENPELVPPVSSSPQMWPTPDQGLLHSSSSQLRIGRENGIMSSADSAWNPGGGASNRMKHSVSTPNLMSGGSSVQALSTSNGGNKKQQPPQSSQTLPAADDVRAANKTLVERMRSALGMNEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYHTNIRFRSLQENSDGLTITSKEGGRKKKIKGKSHDVTETSAAPAKDMKDSLADSFLDTVRKLQLNKTHEGEAEVLSRDGYRSSKGKAQLITGGSSSSTPCLDGDHGAISMASCAKDDVGKGGGSSNSNINKQSKKTSKFLRARLGDNSLATLDLSRPTMSPERPERESQGPQVGLPMRGVWKNGGGQKLFTGNGRK >KN539295.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539295.1:32235:43470:1 gene:KN539295.1_FG001 transcript:KN539295.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGARNKAKAQGGSQAAVAAEPEVPVTDGVEDAKPENEEVSEPAVVEGSDTGALKEQGDAAGETQAAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLLLHTKDGSTHELEDYNEIAEIADITAGGCSLEMVAAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADTAGDSGKTANQELDGLNFMEDSTGAVINLLPSAPAEIKCVGSIVFSSFNPPPSYRRLHGDLIYIDVMTLEGNKYCITGNSKSFYVNSSNGSILDPRPSKQALEDSTLVGLLQKISAKFKKEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQLPKDQKPNGQNGSGRSVIGSSDPGSKPNRNHADPSGTTNSKTEEPNGVLDNTSDASAEAQIADSEQATYASANNDLKGTKAYQEADIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAESTNKSTTQKVVDAPGESNGQLATTAEGPANSDENSVPRPDKFDEDSGPRPGTSDDSSATKPAEHNEPTAEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVADMIKHLPHLRDLLSSEIIVRSAKHVVKEILRQSADHDIGPAIAHFLNCFIGNVLAPSTKGSVDSTDTKTQKGHEKTQNQKSAKGQKSSLSASSKNIVPTFSHLTSDGIWSNIKEFAKHKYLFEVTDDAKTGSKRVAVLRNLCQKVGITIASRKYDLDAAAPFQPSDILNLQPVVKHSVPVCTDARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPNHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAICYHALAIAFSCMGAFKLSIQHEKKTYDILVKQLGSDDSRTKDAESWLNTFKSREQQVNAQKQKGQQGTNPPANPIELLKANPDLVRALKAAAKQPGEGSANVNRSLNAAVVGEGVPRVRGVDERAARATAEVRKKAAARGLNGFLGRVQ >KN539295.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539295.1:73468:74634:1 gene:KN539295.1_FG002 transcript:KN539295.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREEQQKLFGRAGNGAAVQRSPTDKPPFTLGQIKKAIPPHCFQRSVIKSFSYVVHDLVIVAALLYFALVMIPVLPSGMEFAAWPLYWIAQGCVLTGVWVIAHECGHHAFSDYSLLDDIVGLVLHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAMAWYTPYVYHNPIGRLVHIFVQLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYNDRERVQIFISDVGVVSAGLALFKLSSAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPEDNKGVFWYNNKF >KN539295.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539295.1:14696:15196:-1 gene:KN539295.1_FG003 transcript:KN539295.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosamine 6-phosphate N-acetyltransferase [Source:Projected from Arabidopsis thaliana (AT5G15770) UniProtKB/Swiss-Prot;Acc:Q9LFU9] MASTSPEPSAAAAVAETGCSVQIRRLQATDHEKGFVALLSQLSACPDLTASEFAACFADLAALGDDHVILVAEDPAAPERRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGIRVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAIYF >KN539295.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539295.1:47627:48041:-1 gene:KN539295.1_FG004 transcript:KN539295.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDTPVMKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVLSQRKKNDYVNGAVGAFVAGATIFGYRGGLNPNW >KN539295.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539295.1:56186:59945:-1 gene:KN539295.1_FG005 transcript:KN539295.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVRTTTLLRPTNKKPTGVKEERREGKPPEQADHIEVVGEEELSSARSGVDWECVGAPIAGSAVDKVDQVIGYVSIGYPFGLMASVLFGRHHNAILKSEKPKLFVMGTKDGFTSVKQLQNKLKNAAGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVNFIKSLPK >KN539295.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539295.1:10979:12443:1 gene:KN539295.1_FG006 transcript:KN539295.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRGAGTRKDEVVTREYTINLHKRLHGCIVCCNDLIHYAPDIVSTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKAIWTNGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDEE >KN539295.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539295.1:96322:96807:1 gene:KN539295.1_FG007 transcript:KN539295.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGAPSFRPPRAPVPTGSRLYRCPVCPGPVILYSCQDLRNHICIIHPNEAVELVTPFMRYMEASRRGRVGLPPRPPTSAPVVPQARMPTPTVQNSFVPLPPNHAFWEEYRKGGSCPVEIDFFVPSVIVVSALELVAPAMVSGSNLSDSESSELDILV >KN539295.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539295.1:112801:116474:-1 gene:KN539295.1_FG008 transcript:KN539295.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGAREVRSLDLRASSPWSDQLLDAGVRFFQGDVRKKEDVGKALRGVDCVFHLASYGMSGKEMVRAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGNEALPYFPVEDHVDAYARSKSIAEQLVLKSNGRQTKSDKSSRLYTCSIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGDPNVKSDWVYVDNLVLALILASMGLLDDIPDRKGIPVAAGQAYFICDGSPVNTFEFLSPLFQSLDYTVPRVRMDTSVALAISRFFVFMYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFPWLFVTIGMLALFSAAYLPPVGPLKWVLDLHLFVFRSKLVIRLVFVIATALHVGEAVYAWFLAKKYDPRNATAIYLPLNWKSQELQAYYSLMAE >KN539295.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539295.1:118012:119627:-1 gene:KN539295.1_FG009 transcript:KN539295.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLSMLFLLLGFVACSATASHHDPSVVGYSQEDLALPNKLVGLFTSWSVKHSKIYASPKEKVKRYEIFKRNLRHIVETNRRNGSYWLGLNQFADIAHEEFKASYLGLKPGLARRDAQTHGSTTFRYANAVNLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIVTGKLVSLSEQELMDCDNTFNHGCRGGLMDFAFAYIMGNQGIYTEEDYPYLMEEGYCREKQPHSKVITITGYEDVPENSEASLLKALAHQPVSVGIAAGSRDFQFYKGGIFDGECGIQPDHALTAVGYGQDYIIMKNSWGKNWGEQGYFRIRRGTGKPEGVCDIYKIASYPTKNVTGWGS >KN539295.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539295.1:50846:51796:-1 gene:KN539295.1_FG010 transcript:KN539295.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMMLLGVDASYMEGRGRGKKLTIVRSHEDKGSGAEEAMPARKRRGRPQKRFADKIDQVDVENFVENVIDGEEVEGDDVKLKASRLDKNTTASAAGNKRGRQLKESSNLVLEESNSSVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >KN539295.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539295.1:18802:21784:1 gene:KN539295.1_FG011 transcript:KN539295.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQACIVPQEAAVGGGGAMMAVVGRSRQYVLRSIRDPNYAVGFVDRMESECIAIRVWGYDQNILGLMYDRVRIARAGDNIVVGVGGGGQLIPWLTLLPQSSSRSRSSRVVCALSKAQLQDGYVAYPWEKKMREALPIPNSSSFLSMLVLPTALDRAASRYNSVEDTLARANAWILSSQASGVPISFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEITLEAGDTKLGFAISRTEEGFIYISSVMEDDSGFLAPSTRSGLRDLYREAKRASKLLVISRVSGQKTVECIVV >KN539295.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539295.1:8387:8671:1 gene:KN539295.1_FG012 transcript:KN539295.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVRNVSLRGLIEVEERSTYRPHPERPEEWTQFRQETTIRCRPLSALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAASSAI >KN539295.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539295.1:63696:67778:1 gene:KN539295.1_FG013 transcript:KN539295.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRFLDKAATVTEEDYCTPENMQDPWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLDSKIPAATL >KN539295.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539295.1:110294:111033:-1 gene:KN539295.1_FG014 transcript:KN539295.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGGGGGGGKESHLMGLRKRLSSFSDKIQPISSASAEWAFRRSKSAPSLGAFAGGPLKRWWDWGVGWLMSKKPGFATDLEMNEEEI >KN539295.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539295.1:22462:24019:-1 gene:KN539295.1_FG015 transcript:KN539295.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAVGFGLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAREQNRSLWRVSSTLFAHIASDATLKPLGHFAEASDAHGYPPICAGDYVEQELSVINLKGKNGF >AMDW01039382.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039382.1:159:753:-1 gene:AMDW01039382.1_FG001 transcript:AMDW01039382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVFNSLPHLQHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFSGIIPPEVGRLRNLTSLEAEHTFLEAKDQKGWGFISALTNCSKLQALFLGNNKFEGVLPVSISNLSVYLEYLYLDFNAISGSFPKDIGNLISLEALLLHNNSFTGILPSSLGRLKNLQVLYIDNNKISGSIPLAIGNLTELTYFRLDVNAFT >AMDW01038592.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038592.1:241:662:1 gene:AMDW01038592.1_FG001 transcript:AMDW01038592.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVGQSLTHSRAALKYIALAISGHMDDVLTEYKVHSFFLLKDVKHKLLFILEMLDPFIDPSVSVMTDAMAFGDVSVVHLEKQASACNISLNIIRTAVKRPAVLPSLELEWRRGAVAT >KN542023.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542023.1:7941:19875:1 gene:KN542023.1_FG001 transcript:KN542023.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQVLLLLVFLLACLLDAPHLSSAAETDPTSPISFNFNFSNVSSYRKEDLRVEGEASLKPNDVFVDLTACSDPSQCKPGRMSYNHSVPLWDNTTNESASFATEFTFNISLSNVKDKEKGDGMAFFLANYPSTLPGNSAGYALGLMDGADRIAYGTDRFIAVEFDTYNNPSDEYSRQKGTHIGIDISSVTYSINTTSFNFSRNGTMKASITFHNITRMLVASLQFLDDSTSAPVQHNVAEHYPKSHPQSLISYGRCRSYRMDGWVVGDNRIGAGAFGEVHKGFLTQLGSEVAVKKILRESRAGNKDFFDEVQTISRAKQKNLVELLGWGMKGSSIIDFMCGSRQKNTDLFLVYEFVDNGNLHMHLYEKEALLSWRIR >AMDW01060509.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060509.1:12:1049:-1 gene:AMDW01060509.1_FG001 transcript:AMDW01060509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYVVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLTGNSFHSNVTVLKRAFEIATSQAQVEQPMCLGCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESYNVLSDDAGFQEEKLKIEEEEKKLNVAIEEAEKQYSEISSEMKGLEIKSKEFEELEERYWHEFNSFQFQLTSHQEERDANLAKVEVSQAHLELLKRRNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYARVTDIHKNTYELYIMLTRFGPVDLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDK >AMDW01039317.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039317.1:88:315:-1 gene:AMDW01039317.1_FG001 transcript:AMDW01039317.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASILGDAIEYLKELLQKINDLQNELESSPATSSLPPTPTSFHPLTPTLPTLPSRIKEEICPSALPSPTGQQPR >AMDW01103282.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01103282.1:850:1541:-1 gene:AMDW01103282.1_FG001 transcript:AMDW01103282.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTACTWITNELWQTAKVQYVFTKLAKRFIKGCQAQLVLLNWHFIKQDAGEGTCIPGRAGSGWLCCPIVDWNQRGAQSWENGVVEL >AMDW01027930.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027930.1:188:373:1 gene:AMDW01027930.1_FG001 transcript:AMDW01027930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VFRDPFSAPQSLGRLLSLMDDLATPAGRAGAATLRRGWNAKESEEALHLRVDMPGLGKEHVK >KN540834.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540834.1:35:613:-1 gene:KN540834.1_FG001 transcript:KN540834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHKERLKKNEWRQKDEHLEGLAVGGKREEVGERKGDGEEAVDELDVLAAEALGPRSRLDADELLDGEVEGDLLGLVHEADGGGGLPLAHGGEHEAVDVREEGRRRGAAEAGEGEGVEPLVRGAAGEEQGARAEERGDGGRVAGVDGAALGGEEEAVEGRVGGEHGALAEDVGGEHAAVARHALVDERLRVGRL >AMDW01036941.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036941.1:145:499:-1 gene:AMDW01036941.1_FG001 transcript:AMDW01036941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDEKDVNLDAVFNVHAGIAHTRWATHGVPAPRNSHPQSSGAADEFLVVHNGIITNYELGNAHALLQLWWIYASGQHVIFQALHMGCLQSP >KN540834.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540834.1:20439:25327:-1 gene:KN540834.1_FG002 transcript:KN540834.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDQLCGDGSEVDPEGLSTVATLHNNENSWHVYLIKSVYSPVLQKVGLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSNDGSYLLLAETTTGKILRYWIRTLKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >KN540834.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540834.1:10745:18205:-1 gene:KN540834.1_FG003 transcript:KN540834.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSYGLAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLRLNIAHLTLRKALPGLLGYEITDKEFEDFFKRYKEVAEKKKPPPIRGTSVVENTEPDALFAYTQHDALSPEFDDDDGVADYFAADDDEAYSNGGFGAVPALSEAIVSMPELSVGEAREKQCGVCLEGFEEGDKLRKMPCEHYFHESCVFKWLQGPSYVPHGVESAYIHINRDIEEYDDAYSDDGFCAVPASSDAIAALPEATVSETETREEEACAVCLEGFKEGDRVKKMPCSHDFHANCISDWLRVSRLCPHCRFALPAEKDSEQKNPGEA >KN540834.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540834.1:9592:10278:-1 gene:KN540834.1_FG004 transcript:KN540834.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPWSRDASDDVPDTSHMSDEQFQQLIDQYWAEQGFNIWSWIRASRTSSSSTPGPTRRTAASSWQAVTFDEDGVARFSGNSDRSGGLDDQATGGFSIVDLLDGILQADDDGNGGGATPASSMAIVNLPEITVGDEKGEAKDCPVCLQGFEEGDKLRKMPCADSHCFHEQCIFSWLLINRHCPLCRFPLPAETEEEEEVVQAENEDDDDGEETILCLHRLFADAAD >KN540200.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540200.1:19342:21025:1 gene:KN540200.1_FG001 transcript:KN540200.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGGTEPSSAGGNGNNGSGPGGAGGEMQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTLSAPAHLRALPSPAAAAR >KN540200.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540200.1:52155:52648:-1 gene:KN540200.1_FG002 transcript:KN540200.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSHGSISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSILRS >KN540200.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540200.1:41295:44590:-1 gene:KN540200.1_FG003 transcript:KN540200.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLKEEKAAGESGDDEKAEGSSPINLNSLPATAAAAAAAPDEDGLHSAVESGAKDSNTTKGVESLGTGHKKIPKREVVDEVDVQTCAEGKNDSVVPSSSKNPINDKNAKANVAENGQSADGIPEDQRVTILSVVKKDEPADDVRDSVNPVTVVGYRDEKGGTSGTAGTTAVRPAGTRSSSFHGVTSQIIPVLSLEGGTHQSSTDSAGIDGVENMKLICGTVRAEWKGGEEKESKGLFFSLNISYYAGSYDTEEKAARSYDVAALKYWGQNTKLNFSVSEYERELEDIRDMSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGMHCIEGAGLKLLASKPE >KN540200.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540200.1:38260:40232:1 gene:KN540200.1_FG004 transcript:KN540200.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSFLKVVVKNLDVLAGPIVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFSPVLEWLPLWSYAKLFFNCWLVLPYFNGAAHVYEHFVRPMVVNQQIVNIWYIPRKDESDRPDDVISAAQRYIEQNGSKAFESLVNKFKASNTRRSILEEVEAERRAKAELEAEARDENPFFNQNYRY >AMDW01010913.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010913.1:21:200:-1 gene:AMDW01010913.1_FG001 transcript:AMDW01010913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVAIT >KN542960.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542960.1:2498:5094:-1 gene:KN542960.1_FG001 transcript:KN542960.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYIARSITCSAFTNRAAAVAGSCKGIFSNKVCDKIFSGFKGLGLFLATYFFVGRLKMSPGEFIANFLIKWNQETYILLRIRVIIAFLMFLYGLMILFSGIFLFPMKRSMLSFLDAVTDAILVYVMGVMQAAPFKNQLFPVWALMLVSFRSSINCLSSYGTYFELRNGLKLLAVAYLNITHGSKFWHVPFWLFWSLLALKCFYRILARHVASKSLWNGRSSELLQEYMGANDNESNFNPERCNPETMEGYKYLVYGESQKSRKNGHSLSVKDLRPLITLDKIWQCDDGMLLSSIKRQGKDLSLSFALSRLLRCRLEGAKLHADTVSMTRKLISKRILAEDPENQQFGIRILELDVEFLRDSLHTSYPMVFCSGFLSLSFAIMACLVKFIVVRWLYKDISKVYSLDLHPLSTYKDFNKLGLGLYIDETRITTSSLTSVIFLEMCENYTTFMQSFRFCSTMFKWKMTPFFKGRNPNENVKARVIQALRSMDLEGHPLSKDLPSPRISVRAERYWLASVADVPRCSRVILVWHIATCLCEIKFANDSGIGLTRMLSYLVDEESFFTGELHESYAVTTYLSRYCMYLLVSKRKLLPEDILVSKKTLQDTVQCAREMLKGCDSFQSMYDKLMEEPQKALVPDAHGINLSGNILQQGAIMANALIINEEDDETCRWEILAEVWAHLIVHIAPSSRIEAHAENLKSGSEFITVIWALFSHCGIEKSELWQ >KN542960.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542960.1:8450:8776:1 gene:KN542960.1_FG002 transcript:KN542960.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSESGSKEDSDFEDELQDISPADVEWDDEEDEDLFELNTGKEVLVIVSTPEKTKRDLEQVRAFRTPDKGKAMLLFCSYTADGKCIYIYKGKGFIQDYGKDKNFT >AMDW01013511.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013511.1:28:234:1 gene:AMDW01013511.1_FG001 transcript:AMDW01013511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAAL >KN538720.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538720.1:340447:346074:1 gene:KN538720.1_FG049 transcript:KN538720.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNVFDGMRGRGGGGQQVGSWGGVPEFFPEQSSFGRPQGQGGRPWDSRLMLRQGGGGGGGGGMPVPMREMNGGHHGPPSAFGDHGHGSLPNGLDRKGPGDSPHDQRIGAERKEGAHLRHSQSEADTKRAKHYFGSSLIVLLTCDSRFNVKEFCWYILNIMSYAFNKLLPTTHFRQYAQRSRVRKLQYIAELERRVQALQTEGVEVSAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRFFVSFVISNPHYYTPRVASNSSAAGTQGHEQNVRSVEINSVTSWRTDCSAEIEPLQCQMSPLHAGTSPSNPAKSLSEHPLLGLLPTYTSYIRKASKIKHLLKAMVQKSFKL >AMDW01033933.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033933.1:22:462:1 gene:AMDW01033933.1_FG001 transcript:AMDW01033933.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAYRLSCLKECTVFELDFPELLEMKTDLLHEAMSSANNQKLTMMAKSLTRVPADIRDGDWITKLQSYGYVPERNTIWVLEGILYYLHHVHAMQVLETIVACRTSVHTVLLADFMNKNAVSLSRAMYHFYHDSPDLLLPSIGFSQ >KN538720.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538720.1:291373:309567:-1 gene:KN538720.1_FG050 transcript:KN538720.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQSYEEHAEFSQSEHAESAVEIMRREREERRRKLKREQHDDGPRLNRQPIRNDHMNQNKIIRHGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKYVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKNDYIQAIYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKQDDDDDGNVDRAPSPNHEDDKVITNDDILGDAVPYDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNSNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMSINSQSVVKVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVVFPDEVDPPSISGKIVECSWNKEEDCWSCMRIRTDKSTPNDINTYRKALVLRHSKVMRSITDNITEDKLLEEIYEIMNLPMDFEVFDMSQIDGYSYSGSASEDTDMRAPFVVRKEGGKWNYEVNGTTRDYSLILSFSTLNCAGGRSSSVELYLVAYLVPTNGIILRSVRSDEPNHLLLSLPGLGIMSGRDRLPRRFIEDGRGYPDIRVVDERRGYPDIRVVEDRRGYHGIRVIEDHRGYHDIHEGLVMRVAPRSHTAMLEEEIEIQEAEFRRLMADHRALAEERLALHRELQAGKDEVRHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLQGEIDKHLVVKKELSAKYASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGSSKSSKIYLVPLLPTKNPEKKIVHISDENLVILIACWRSHTDSCLLRSIINRAVNSYVRCATYGMKLGSPEVTFPTPYGDNYNIHVGGSEKGHSHLPESSSWGTYDNTRLQYR >KN538720.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538720.1:352499:356741:1 gene:KN538720.1_FG051 transcript:KN538720.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHKFIGQPVSIFCSSRTDTGVHALSNVCHVDVERISKRKPGEVKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEYLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERLEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKMLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLNV >KN538720.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538720.1:373779:375645:-1 gene:KN538720.1_FG052 transcript:KN538720.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIEPLEVSLEAGNQADSALLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLPWATAQLGWVAGPAVMVVFGGVTYFTATLQAECYRTGDEETGARNYTYIGAVRAILGGANAKLCGIIQYANLVGTAIGYTIAASISMQAIKRAGCFHANGHNVPCHVSSTPYMLIFGAFELVFSQIPDFHEIWWLSIVAAVMSFTYSGVGLGLGIAQTVADGGFRGTIAGVTSVTTTQKAWRSLQALGNIAFAFAFSNVYTEIQDTIKAPPPSEAKVMKQASLLSIVATSVFYALCGWMGYAAFGNAAPDNLLTGFGFFEPFWLVDAANVAIAVHLIGAYQVYCQPVFAFVERKASRRWPDSGFVNSELRVGPFAISAFRLVWRSVFVCFTTVVAMALPFFGVIVGLLGAISFWPLTVYLPTEMYIAQRGVRRGSALWIGLRALTVAGFVLKVKPASELEN >KN538720.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538720.1:365013:368283:1 gene:KN538720.1_FG054 transcript:KN538720.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAVVYDAEAVDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSTLLANCYRYPDPVTGTANREYIDAVRCYLGPKNVMLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHREGYGAGDCGASGSTYMVVFGVFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGGAVRGTLAGADLDFPRDKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASFYGLSMTTVFYLLLGCTGYAAFGNDAPGNILTGFAFYEPFWLVDIANICVIVHLIGAYQVFAQPIFARLESYVACQWPDAKFINATYYVRVPGRWWPWPAATVAVAPLKLVLRTIIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLGIRRGEPRWWSLQAMSFVCLLISIAASIGSVQDIVHNLKAAAPFKTVN >KN538720.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538720.1:311833:314650:1 gene:KN538720.1_FG056 transcript:KN538720.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYFRYLTDSQARHYLYLASYDLLLAIELVHHDRRRCLPRSSLLPDDGRMKTALRIAAVQADHPAPDELVQTMTARYPSHLLSPIMDKLQGSELITADDVRAISDMILARHCLPPNMDLLCCPNGDACARQATNNGDTLQVTTCIGGGAFARISTEIVTPNHVQPQQLRYISDMTFDDSWAMEMKLSKCSSGGYQVNYLDFSSPCEYVLSLKMCLLDAIHGFYIRALAVLPLPRGDDDGDDSMRRGRLLRALIVSGHCYGPMDPVSNIILNAVLYDAMYEGDGEVELPHDIFDTHAMRDMASCSLDGLVALLCDITGDPLSKHEAVEFLWSRQCDLSEKLQQTMMMTKKKNPYAAAARASKHPQHAMLASLLVSFSGEKLDRLRYLLRSISDGSGCVISSDDWEQLNTVIKKELTAMIARKEELPFDPLALSASSRVSAYVNLQSLARSKLEELLLRYSRQHPWEPRYKLDLICGVEEPRSPRCRCYHANFLASATHGSVLKFNGETTTAPTTVRKLFFAEFWDSQTGRFYESHVKPICCPVQDSSPCFGRCIFCGEASTIVHPPCAARSHLDDDDDYAPILDYDVKGAIREYLMAEVSSQHRLEHAPVTT >KN538720.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538720.1:380461:382139:1 gene:KN538720.1_FG057 transcript:KN538720.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLVVVVSALSVSVRRPEGDPAAEVASDQERRPTASILLSDLAVCRAARGIVRRREAVASEEFPAPLEVALLAVDRDIVVIALLVLLLRHNDGGGGGFFLRRGMAGLGSQPAAHECGSVDLGEGDDSPRRLSTLSSSLAPHAASSPPLSFPNADLVLCLHPDPYTDDDTDFDAGEDHRSSIDLHISSTCLLHDLFAAHLFDCWSPSPTSAP >KN538720.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538720.1:317231:320736:-1 gene:KN538720.1_FG058 transcript:KN538720.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGAINSLLSKLAALMGEEYGKLRGVRKEVASLEDELRSMRALLEKLGAMDELDGQAKEWRDQVREMSYDIEDCIDDFLHQLDKNNGSYGFVHKTVKFLKEIRARHQIGNRIQEIKNLVKEVSERRMRYKIDEYTPNSSHVPVDPRVVTIYSEAAGLVGIDAPRDELLKLLMGEEQGLKVASIVGFGGVGKTTLAKEVYRNLEREFDCGAFVSVSQKPDIPKLLNRILLEVRGQCSVHNTNLDGILNDIINSLRDKRIFGSHEAFPDIFEEVTTNILKKCGGLPLAIISIASLLSGQPYSTWEYVNNSMKSMFEGNPTLGGMRQILELSYNNLPHHLKTCLLYVSMYPEDYIIKKNDLVRQWIAEGFVSKISGLYVDDVAGSYFNELINRSMVQPIYTDYNDEVLSCRIHDIMLEIIRLKSAEENFFSVMDDRTVAPGLHTKIRRVSFHYVDEENGVIPASNNGSLSQVRSVAFFKNSFRPSSLEFKYVRVLLLEFPRRWRGKRVDLTGICGFSLLRYLKISHDVKLVLPSQLGWMWHLETIELHTSEELSIPSDIVSLPHLSQLFIPANTVLPNGIGNLKSLRNLEWFDLTKNSMSNIECLGELTNLRDLKLDCSSSEPLEDVTRRIEALRCSLERLSRSSGSLRNIVLLKHFPSWLQVDGLSTLSPPPRHLWKLHLERCLFSRIPSWIVQLRDLHSLKLTIRKALPMDDGVTILACLPSLVHLDLRILVCPEERVVFSGTGMAFRALKHLLFRCHKPFLDFKACSMPRLQKLELWLDATGWEKCSGTCLPVGIDHLPACLREIHINRDYGADRRDIETAKSALSSLFATHHPGAGLIFGGAPWNYPSNDPDQW >AMDW01034053.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034053.1:236:406:1 gene:AMDW01034053.1_FG001 transcript:AMDW01034053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLAKYVEWSANVLDPQLKTKLRNADLQVKKEQLRIWTGFKPPVTNTKPIHNQKFTGK >AMDW01040600.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040600.1:1:367:1 gene:AMDW01040600.1_FG001 transcript:AMDW01040600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAKQHEADDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >AMDW01034107.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034107.1:43:393:-1 gene:AMDW01034107.1_FG001 transcript:AMDW01034107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >AMDW01040600.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040600.1:972:1706:-1 gene:AMDW01040600.1_FG002 transcript:AMDW01040600.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SIRALDLMADSANSLARWSQETKGTGEETDKVLEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLTATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILVAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPSQEYEQHSSAGSPRGPNGVESRD >AMDW01037979.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037979.1:86:310:1 gene:AMDW01037979.1_FG001 transcript:AMDW01037979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLICKKDAILPTDIEGSAQRKEEYVKHEQVEDAVLSTEKCNATGVCDVVVVITFMADALAMLFFVRTFVLG >AMDW01039502.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039502.1:106:486:1 gene:AMDW01039502.1_FG001 transcript:AMDW01039502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQRTLGAFYAGRKRGISTFELLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRVGDFAAGENKLP >AMDW01085610.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085610.1:24:845:1 gene:AMDW01085610.1_FG001 transcript:AMDW01085610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ACHIAFTGHGQKRKRVPDGYVDVEDDGSKASTSAAAAAVPPSSTCPSNVSYNQGYQISPEQSIQQQAYYQSQYHEQCQYSDEEKYLLQQIKQEQYYYNQQNCFLPDQGNQELYYNNDEQQQIFSLPEHQFSQEQYCHHDDQQDCVLPEQHGQELHGYNNEEQGYLLPPEPIDQEEQALFVGGEPQHEQQPLTSTSQQVLLDHDGKLLPPVGVNGAVAIPPQDAAVASNDDDGGQATKMTAEEKKWFMEELLTEGCWSGPLLFDQPYYGSALKN >KN543897.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543897.1:2746:2958:1 gene:KN543897.1_FG001 transcript:KN543897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAIGAKIVLE >AMDW01033174.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033174.1:103:453:1 gene:AMDW01033174.1_FG001 transcript:AMDW01033174.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIAKQLELMCSNKDAEQEINDSDPNAEDNLNSHEQGNQQSEENTEEILLDPDIAKSKGRPAQRYKTIREEIQQKELYHCSHCQRTDHTFPTCPLKHVEFDLPMKKRRKVKNPREQ >AMDW01021721.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021721.1:94:250:-1 gene:AMDW01021721.1_FG001 transcript:AMDW01021721.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDCTPGYVRRVRARFEGRLVCGGACGASAAGWRRTAPRRTSAACGSGSTA >KN539668.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539668.1:55780:59584:-1 gene:KN539668.1_FG001 transcript:KN539668.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNQPSRNTSFGGAGSNSGPVSNALGRSNYSGSISSSVPGAGGSARAKSNSGPLNKHGEPGKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDPSVSMKMRATSFAHNPAVTNLNADDGYSIKGSIPKTILWMVILLFLMGFIAGGFILGAVHNPILLVVVVVIFCFVAALVIWNVCWGTRGVTGFVSRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTEHRQFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPISTGCQWAKCILPTSLDGLVLRCEDTSNIDIGDKKSLQSSLVFEISQLSVTLMIRHDHMIDWKPCEVALWKTTEFLTNFAVKIRDNELYSEN >KN539668.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539668.1:25025:27424:-1 gene:KN539668.1_FG002 transcript:KN539668.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYIILLSLILFIVQANPLMGAQINETSIPQGSQINAAGTQSWVSPSGRFAFGFYPEGEGFSIGVWLVTGATRTIVWTAFRDDPPVSGGSILLTAGGSLQWIPANQGSQGKLISAAPNSATSAAILDNGNFVLYDAKKQVLWSTFGSPTDTILPGQNLLPGNQLFSSISNTNHATGKYRLSNQEDGNLVMYPIGTVDPGSAYWASGTFGQGLLLTLSLDLNGTLWLFDRNSSYTKMLFLTNQSLITSPDSESYYRLTLDADGLLRLYSHEFFKKGREPLTKIEWLEPSSNDRCGVKGVCGPNSFCQVTASGETSCSCLPGFEFSSANQTTQGCWRVRTGGCMGNSSNGDIGPTAMMVMVKNTSWSDLSYNVPPQTTTMEECKAICLSDCACEIAMFDTYCSKQMLPMRYGKIDPSSNTTLFVKVYSYEPKGPIRRTRSATSAAMLISGSALTIFSLVVLSVSVLLCKRRQFSRYTRAPQHQDAEFDEESVGIRSYSFHDLELSTDGFAEELGRGAYGTVFRGVIANSGNKVIAVKRLERMAEDGEREFQREVRAIARTHHRNLVRLFGFCNEGAYRLLVYEYMPNGSLANLLFKPDPPLPSWSKRVAIALDVARGLQYLHEDIEVPIIHCDIKPENILIDGSGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDVYSFGVMLLEIISCRKSMELKMAGEECNISEWAYEYVVSGGLKEVAAGEDVDEVELERMVKIGIWCTQNEPVTRPAMKSVVLMMEGSAQVRRPPPPASFSQSLLRTGSR >KN539668.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539668.1:67510:70345:1 gene:KN539668.1_FG003 transcript:KN539668.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVDEFGFPQNVEWKGSLFSFLGRFLLQEEREGRAAARRDARPIEGKKRGRDGIRAWAGAETRKVLVVSDNVGDVLKNLTVVLLAYMHSQELGKKSSGPLSPVLPTTGLIISAYLLWTYEFIWCRYPDSDLRTAKDGQCMKVTGRALVRKGYGARVTSYVDESVVTDINPDNKDMS >KN539668.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539668.1:82625:83194:1 gene:KN539668.1_FG004 transcript:KN539668.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLGSVYDTKEGADVTFSVDGELFAAHRVILAMRSPVFRAAVYGEMRESGRGGGPIAIDDMRPDVFDALLRYIYTDALPAATADDDDDMEATWSDLLVAADRYGVERLKLICERALRGRLDAGNVADMLALADRQHCETLKDACIEFMATSGKMEEVKASQGYVQLRTSCPLLLVEVLEKSSKFCKP >KN539668.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539668.1:7170:10990:1 gene:KN539668.1_FG005 transcript:KN539668.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPDVRRRAAIYGCAFSAPGGSGSCRKFVSTLYSQLVNTSWPCLHSTGAKVFRGQSLQVSALKALFSAELLARIHGTREGVASYALEHQVLLSRVSFFASQGYDVAQVTRQMFLGYASGLLMTSCAYSRGPAGFRDHRFQNIRKQCSNNSHGLQQFLLGSLLHVAEWNSVQHLVASAFLVAVYSDNMLTLGKMVMAPMIGPVFFLMNWQNINRTEKMIEKEVHKGDHVKFWDDVWCLKVLPLSLRVYFPRYNIDTDRRASVKEMYVDGEWNLGFRET >KN539668.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539668.1:77387:78493:-1 gene:KN539668.1_FG006 transcript:KN539668.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLTLARPAAAAAAPLLPLRGLATKVEVIEIDLAEDDDDSSASTSGPASSPASVEVVGVRRLEEAIHGVMV >KN539668.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539668.1:316:2863:1 gene:KN539668.1_FG007 transcript:KN539668.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding LPHFWQPKFGNILVEDMIHQPWHQDQHVNKKKIEELKTSGNKAVNREDYISASAFYTKCLCRGQNWNCWSAAFPSQAELCAGANILSVALTYWMQAMDLDPNDATLFSNRSLCWLRMGDGKKAFLDALECREMRPDWPKACYRLGAALMTLKVQ >KN539668.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539668.1:39780:41946:1 gene:KN539668.1_FG008 transcript:KN539668.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVASPSPKSMASESDPSMMLAITSNMEHSQANNQSVSVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRLHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSIGRRFADPPGYQHGGNFGSFPDTQNEEEAIVYMGTSRNECSGRTTSNQMYCYNSSQTTREPSKAFYTVTDGNCGTSSRSHNLACSLNEGPDVDQGLPDERSWWVRPGDLQGLKGQLLRLFELSGGSVPLVRVPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKVICLRNSGDSNLKKYSTTPIILKKENRGGSILDESTIGTGQQLGSSSDDFSEDERNINPDVDGAYAFDSHLDNFRQEIQELLVCYSCPVPLGNFKSLYEQRYKKTLIYESFGVDGLEELVEKSLQLFRWTSMLQKHSFHATVRPIRIQCSEGVEKLYELGVLQSPQELVQVFSTGVSTLDLL >KN539668.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539668.1:52801:53726:1 gene:KN539668.1_FG009 transcript:KN539668.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKISWRLLEVGPHAPYPRGAHAACCVDDKFIVIHGGIGQSGSRLGDTWLLDLSNGLRSGIWHQIGDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPFPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASGLSFHIKLLDNRSEDLPENISTNHYHVDIPPRRYCAL >KN538813.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538813.1:68454:70525:-1 gene:KN538813.1_FG041 transcript:KN538813.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGESDDWSKRTIMEESLQPPAHGAAAAAATTPWVLLDIRSFMANHRNATTATSETRSGHPIEVSLWTAPPPRVSYICVHCPGLDPRKFATEPTIVAAEADLVLIRVAMGRRNIRFKSSFHDYFVYQATTPTPKLTLLPPPPIDRFIDHEVGLLRCCASPDYIVAALCNTFESGYFEYDLHIYCSGADAWTCHPISLHGLVDPSFAHVNTKAITIGGTAGTMGWVDLYRGILFCDLLRDTTKFRYFPLPPPLNPNDSLTGSPRPLRDIAIVQGRIKYIEMQVHVRPGSIIAGTFISQGWTAATWTAPNTNPWKHGWCQDCKITASDISVDDSKMNFELLPKLFDDQGTPQQTLERLHVGHPTLSLHSDDIVCFMAKADQWDDGAWVLAVDMKNKRLKDVAEFGAERTLGIGYAYMSSNISGYLRTAPGIEGSLKRQGVLLTAPSHKKQTHMMHMSPPSWKGGDQQNSVGGEVDYMDFG >KN538813.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538813.1:44517:48997:1 gene:KN538813.1_FG043 transcript:KN538813.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGQIPFVQRLASVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDIAWQSLSLMERTFHRLETKQADKVASLAAIAAAAIDAANRDRLESKQVDKAADKACVHSAVVFLAVSNERAAYGALSAYRSFLEICRANMIVFNDMQISVNDRLLDVAGAGEKLQANIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIACSFCLFGGVSNFLWLRCGAFNFNLRRRLKLYMTGMRGKRCLQSEEASETLCMFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRDLLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAAAAEHVAAAGLRDTAAIASSRAADLYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRPRPIRLVDDANVGTAKHFEYMFYVDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSGQTAGDTSSS >KN538813.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538813.1:3327:8406:-1 gene:KN538813.1_FG045 transcript:KN538813.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSTFLLLFWTIYSWTVRLNRDEIGNLRIKNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNEWTGKHCFNGRSLHHISEDSLNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDRNVFSFYSGRRQYCNGVSEVLRGYREIAPHVRLSGPTSLAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRISDLAAEAPSRMPLPPPPPRPESDVPEESDQEEEGYAPEAEEEAEADDEVEEEAVEASAEVAEEAEDVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGIVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEIFHRYDVDGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQSLDGRALRVNVAEERPPRRGF >KN538813.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538813.1:2422:2748:1 gene:KN538813.1_FG048 transcript:KN538813.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVGLGECQAGVALEKMERRCEREKQPGQPRATSHGSSAGHWGSAAGNRTMSRHAVHTVWKRDKSTASWSWPEISRSKSSGRLGQPQLDETASMDVDQIRSDQISG >KN538813.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538813.1:91736:95368:1 gene:KN538813.1_FG050 transcript:KN538813.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAVGATFTYEILIIATGSSVIKLSDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVIVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVNLKNGSVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVAEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >KN538813.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538813.1:107681:119322:1 gene:KN538813.1_FG051 transcript:KN538813.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARIIEYFKNKSILITGSTGFLGKILVEKILRVQPDVRKIYLPVRAVDEATAKQRMQTEVIGKELFGLLKEQHGKGFQSFIDEKVVPLAADMMHQNLGLEESTLQELAKDLNIIVNGAATTNFYERYDVALDVNVMGVKYLCQLAKKCANLEVFLHVSTAYVCGERSGVVQERALREGETLREGTYLDIETELRLVGEQRQQLEDAGDAKAERKALKDLGLARARHFGWPNTYVFTKAMGEMMLQEQLVAGAGRRHGIPVVIARPSIITSVHRDPLPGWIEGTRTIDAIIIGYAKQSLSCFLADLDLIMDVVPGDLVVNAMMAAMVAHSSRGSSSEMAVYHVTSSMRHPAAYAVLYRTGWRYFLENPRVGKDGVAVRTRPVYFFRTIASFRAFMAVAYALPLQLLRLLSLLCFGLLFARRYADLSRKYSFVMQLVDLYGPFALFKACFDDLNMDKLRLSMPTPPSLFNLDPKNIDWDDYFYRIHIPGVMNALVVLVSGLVSVEAGSDDQVIQIHERKAGTGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHLSSGQDAKAAPAGWANGASKASSKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEAKELGYRLSDAELDELKKNRYGDVRGRQSNIAESPAQLLLEEASAKQSASKKVSSVQQFQSPPKVSTDAPNPSTSVPNQKAPEALPEDEKKTAVRERSGITARTNISESLVIQKASAGAGSDGRLSIEQSGSVVPGSLASCSSLSIHVFNKKDNEDSLPVRLEAKPVERSAGDMIGLGGAFSTKETEITCTRGTETLWSDRISAKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAVFIDCDECWKLLLVTRRGLMYIWDLYTRTCVLHDSLASLVTSPDEAAGKDTGTVKVISAKFSRCGSPLVVLASRHAFLYDTGLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGVQTRSHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVDAASCADLKNPSWDPDVLGMKKHKLLREGILPSMATNRKVQRLLNEFMDLLSEYEAAETNVEQMDVTPTPPPPPAAATEGNNNGAS >KN538813.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538813.1:36630:43846:1 gene:KN538813.1_FG055 transcript:KN538813.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSSSAALVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHVSGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFFHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQYAYPLPKDIAGAGELGKKRKNTSKGSFGDPILLPNIPVHFADMEGTKGRSKFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRDLLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAAAAEHVAAAGLRDTAAIASSRAADLYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSSSPSPAPGGDTSR >KN538813.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538813.1:166179:167423:-1 gene:KN538813.1_FG056 transcript:KN538813.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding CLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPSASAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >KN538813.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538813.1:9946:12092:-1 gene:KN538813.1_FG057 transcript:KN538813.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEPKKRTSARRARRRASAGLTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATADFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPIKSQLAIGYDGEPCSLYYPLIMTRGAAATIPLPSCPDEMKTWADRFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWTLMDRHLIVNFPYLLGDVCRVLYTDGGKMLLHNGKDYCFGDATSEVVELLPRAVAQWWSEGGDGCIWFASPPPPAIALAPTTIEEIKERGQAVETNVQSMRIHVGNLPRKVDSHGLRRFLMSKIKSKHGHGHGHGHDGFVVVTDARVMCERGSRGRSRGFGFATMAIAADSEPDDVIAMLNGQILDGRPLRVKFADKDQR >KN538813.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538813.1:59660:61793:-1 gene:KN538813.1_FG058 transcript:KN538813.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDESDGWSDWEEKTIMDESLRPPTHVATAAMTTPPWVLLECDAYIATNHGNATTATSRTRTGHPIEVSFWAAPLPRVSYMCVHCPGLDPYKFAAEPTIIATEADLILLRLAIGPRDDSFKTSRQDYFIYHASSTASKLSLIPPPVLLDNRFIDKQVGILCCLDDDGTYIVAALCSAFKRGDLDYVLHLYRSGADAWTCHPLSVHGLVFDPSFSHVTSRVITVGGEAGTMGWVDINDGILFCDLLRDTAELRYLPLPPPLEHKDDMIVGCPGPLRDFALVQGRIKYIEMQVHVRPGSAVDGTYASQGWIAATWSAPPTNPWKQGWRHDCQISASHLAVDHNTMNFELLPKLGTPQQTLERLHVGLPKLSLHSDHIVCFMAKVDLWDDQNAWVLAVDMKNKRLKDVAEFGAGRTLGISSAYISTRISDYLPMAPGLKSNLKRQGVVLTVPSHKKQTRVVQLSNPSWKGDQENSGTSMDDEEDNMDLDLDMFFG >KN538813.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538813.1:72753:84993:-1 gene:KN538813.1_FG059 transcript:KN538813.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGIMYASLYPPVHGRSLGKRKRRRRRMPRWVLLDKTAYFSDADVRNATTATSKTREGHEIQVTLCAAARPPLVSYVCVHSPTLNPKTDDFAMEPQIIAAHNDLLLIRLILGIGNRFTVSLADYFVYQAATIADDIPPSLTRITHPGPMITLTNHEVAILHYIPEAQDINEPLISNKQHYIVTALSVNRFRPPGEYELHLYHSHTQQWTTTHFNLGATMPPLLGLSYFDHRTANVINLTHQSPGLMAFVDLWRGLLLINVLQPAATPRYIPLPPPLKQGKVISGADPKDVRDINIDLQGHINFVELEVDALRHKTDITGYISKDWTVAKWSCINFESDDCCWHMDYKLNASDISHLMPPKLPNYRHPTKPSPTLERLHVGHPLLSLDNNGDDVYFMAKVDHRDYKAWVIPVDMRKRLIHEPAVFEGAPLSKARVPLFLGLVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDLLEENRIPSIESLKSVRPDDSSIQAILIDRRTDFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEESFLPRWKECSDAIKSSTGSIVLHLGKLPIGFCKHRSLLFKMLADKVNVPCRVVKGCKYCKSDDATSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGANSNAAVAMDQPYSTRKHDTRDDIMSSWVPVKAYIHIMAQQSQAAVSSDAILPEAPREVLPLITSSNLKADKKKEFKLIEGNQYLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWAKLQLAMMKVKGFFWLTVAYVHEMRVRARSGRRWIGASWTRRCGRRPMAMPPLHQALGSSSTTSPSSPTPTTTATPPPPGPRLGPATPIEVSFWTAPPPRVSYMCVHCPGLDPYKFATEPTMMATEADLVLIRVTIGPRGNCFNTKCSDLFMYPHPATTHTLSLRLIPHPAAVCSFFNRDVGLLRCCGSVAYIVAALCNTFQSGYLEYALHIYRAGADAWTSHPLYLHGLVDPTSFTHVNTNTITVGGEAGTMAWVDLHRGILFCDLLPCPHTPLLLRYFPLPPPLRLSAHTKLTGCPRFSRDIALVQGRFNFTQMRIHVKPGSITNGTYISQGWTLATWSAPATNPWKQGWRQDCNLSASDLSVDANTMNFQLLPKLSDHQQGTPQQTLERLHVGHPTLSLQSNGIVCLMAKVDQWDDHAWVLAVDMKNRRLKDVAQFGAERTLGISLAYISSKISEYLRTAPGINGNLKRQGVVFTVPSHKKQTRMVHLSTPSWKGGDQQNSGTSMDDGEDNMDLDLDMFFWMRGMVK >KN538813.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538813.1:100988:103462:1 gene:KN538813.1_FG060 transcript:KN538813.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRDQLTALLSSMFSQGLVDEQFQQLQMLQDEGGTPGFVSEVVTLFCDDADRIINEIATLLEQPVVNFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQFCQDKSRDGCLMALAVVRNDFYDLRNKFQTMLQLEQQIQAYDPKQQ >KN538813.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538813.1:95606:98688:1 gene:KN538813.1_FG061 transcript:KN538813.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLSPSLVVTPGRRSHSHGRRRMCCAAAAAAQGERKTTVRSKEGDAVELCRVVNGMWQVSGPSWGRYETPAAVDAMLRYADGGLSTFDMADIYGPAEDLYGLFINRVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVSALDMLQVHWWDYANPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPIVSNQVQHSIVDMRPQRRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKKVSLKHGVSISTVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEASNKGRNLMDIIGDCGDEYRA >KN538813.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538813.1:50890:56146:-1 gene:KN538813.1_FG063 transcript:KN538813.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAASMETSSSAAARRSAAPDPKKPRLAQHPAPRDPRSYPAAANGNAPSPAVDQAQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHAAKPIASLICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDSSIHNSMRHLFGTWKGVFSPTSLQVIEKELGFQSSTNGSSGAAPSKPDSQSNRPSHSIHGILGAGAKTTTISDSGDDIERTSRTAVDRGAGRRLDALNPRTNVQRAQRDPFSNPIHEKQDRDMRVLGFSNISQQAVVGTGLVRAKPKGQDGSGGPYYTAGVGSSEEQFDRRSNFYANKDVRPSGSVRLDSALLPTPVSNSDRIGRPSSNKSWKNSEEEEYMWDDVRSQGADYGGTSSTRKREWMPDDGNVGSFQRVKWAEVGGPLDPDLHKLDSFQRFGNATGQDRRIAPYMDHEEYLHGKHEVEPRIDREMLPEGQPFSSSRGSSLWLSHEKPLPDIVSDPRISAFSNQPADRPTIYAGTLSTSITSSVPVGVSGAYAGRSNLESAATRSTETIGQQKNRYWSTSSPPVQSPSASFARQSSPSPVELDYSSKPFSQLAQNSLEDYNQRTHALAQNLALSQGRPNLLGAPSHASQQIEKHPSLLQSKPHLRTLDQPQANFSPENSSSLFKSSIQLPISVGVGHRQPEEVSLSSDSTLMSSDHLSASNLLAGLIKSGFKPNDPNDLASLRAQPPLPSGPPPHVSTSLSVASSSLHLPASDTLKSQAPNSLRPPLPPGLPLSSPFVCPTTQTSEKAAPLSSLLSSLVAKGLISSPSADSTVAIPQQPSKSELNTPDDTASAPSLPFVQPSVKKETSNQNSSAPSKALVHQPVEIKKEPAEIKMVDLIGFDFKPEMLRKYHAHVISTLFDDQSHQCNTCGLRFSLEEELSVHTACHGSKQTETRKTGIAPEKWYPSKNNWVDRSHEVQDSALESASVADLSSEEEVCEFMVPADESQIICALCGESFDDIYSIEKDNWMYKDAVYFDSSKTEGSSGDSAESKERVPIVHARCMSISSNDGMEVD >KN538813.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538813.1:12545:15599:-1 gene:KN538813.1_FG064 transcript:KN538813.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGFERISAKASPPAAVAASPAPLPTSQKYSSGLPAIAYGVLKRANDIVDDLLSQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSTHCDSLSKSLSDKDAEISDLRNSLAFLETKLDAQRPVLADQIACASKVYDEIREVVKLVDADAASALSDSVFVWKETDVEESLKVSLEGTKMAYDLATTALHKVGAWVDQKESKVRDLEARVDELLREKEHIGVLLRSALQSNTSEVLKVAEDGLREAGIEVGLKERREHRPGSMEKDEVYTLVSWTTQYGTTKLSIVHSMLQAGELENSMKESQVKIVELQHLVEALRAESGLLRTRLEGQEKEIAQLRKQIKHLEEKERVANESLLVITPFFSELFYADACMSTTNLVMYTLKVEGLMMDVTAAEEEIKRWKMAAEEEAEAGKAIEQEFETQLSSVNKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRSTRLRERLEEINRQLEESDNRRDSSNRNGHRYMCWPWQWLGLNYVRLPPTEADQTSNEMELSEPLI >KN538813.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538813.1:63258:65200:-1 gene:KN538813.1_FG066 transcript:KN538813.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRNASTAKSKTRSGQAIEVSFSTAPPPRVSYMCVHCPGLDPKKFATEPTIMATEADLVLLRVTFGRRNIRFKSSCHNYFVYQATTPTPKLTLLPHPPIDRFIDHEVGLLRCCASPDYIVAALCNTFESGYFEYDLHIYCSGADAWTCHPISLHGLVDPSFAHVNTKAITIGGTAGTIGWVDLYRGILFCDLLRDTTKFRYFPLPPPLNPNDSLTGSPRPLRDIAIVQGRIKYIEMQVHVRPGSIIAGTFISQGWTAATWTAPNTNPWKHGWCQDCKITASDISVDDSKMNFELLPKLFDDQGTPQQTLERLHVGHPTLSLHSDDIVCFMAKADQWDDDAWVLAVDMKNKRLKDVAEFGAERTLGIGYAYMSSNISGYLRTAPGIEGSLKRQGVLLTAPSHKKQTHMMHMSPPSWKGGDQQNSVGGEVDYMDFG >KN538813.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538813.1:18205:35486:1 gene:KN538813.1_FG068 transcript:KN538813.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPVEHRLIGVDGCFTWAWDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDRISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFLWQLTPRWVDTNSHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRNLGSDLFVVKGVEIGQEVVNAQLFEPQFEHVNDTITLTVAEAMSLEPPSPVLVTVGAMVKFKLKVFRQKVPQDTRVSGHAQVSSLHVVIPQALFLYLVPVVDDSAHFHGITSIPSSEVWYVFPGRKYVVLAKAFAEGFDFKEMFITEENELKLASSTVEFWNLSQVPDSSAVSYEVQTSRLLTPISKGKGYLDASLTYRTEASGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRTIYLPWVPGAYQEVELKAVGGCGKMPEDYKLSSSDESVASVSDSLIVRTKRPGRAVIKVVSVFDALNFDEVTVEVSTPSAQAILPNFPVEVPVGTQLQAAVTLKTSNGHPFSRCDCLNAFIRWSLLSENESFEVVGTADALSTETLKHYAGSWAQYGNPCAWVSLNASAAGQATLVATFSFDSESYSEIFSGPIFLKSTSKISAYYPLVVLQAGSGNRFGGYWVDLSRIHSGIQNVVNNSPKELYLVPGSTMDVFLSGGPEQWDQLVDFVETVDVIGESKNYVVSSTAVQKLSSRLYQVSCPSKGNFKLLFSRGNMIGKDHPVPACTVRATVIGFSSRVDGQTREEEHMFLQSARDTLTDAIQLQIVSSLRVTPDYVLIVFHPEAQETLAVSGGTCFLDASSNDTQVVQILQHPGKALCSQLILGARGLGTATVTIQDIGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSTEDFRISAGTQDGQVFRDSQYKYMGIEVHLGDETLELINSHELLDGPKFSVKAAKIGTTSLYWLIWSAAFTTFTLQVTAKQHSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVETVEVQNSTGKLSAKTVGNSTMRAVAFSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLPKGDLFSFYETCQSYTWVIEDDKVAMFQSARSWQYGLDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITCMPIYPSLPKGDLFSFYETCQSYTWVIEDDKVAMFQSARSWQYGLDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPRSVDPDSDDLESTIGYSLLRNIGKSDLVLQNANIIDGSKIRTGESNAIDCIQAKDHSTGRTEIASCLRVAEVAQAQIAAAESSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSRQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLHTTVTVLKVNQIVVNAPAETLTNAAGPPGGYKFSVKLRSDSTGHSTDSSINHINVPFDCKVEPSFVGFVEPWSDDAAKKSYCLFHPYSPAQLLTVKLNPKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSASRVDTNGRGVPSQISYQVEALKRQSFYDKITIILPATGQTEEIEVIYDTGERREPSTSGLTTLAAIVTCIVVPIATIALFMKLLEKKPIREAPPRHATPAPASAPAAAMADPASPATGGCLNPAIVLFEHSDTDGDL >AMDW01042926.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01042926.1:332:1501:-1 gene:AMDW01042926.1_FG001 transcript:AMDW01042926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKKSLLGKIMRLDVDGVQSQSQIINQSLWGNYSVPKDNPFSDDRDLQPEIWALGMRNPWRCSFDSERPSYFYCADVGQVCSYHKHV >KN543129.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543129.1:903:2702:1 gene:KN543129.1_FG001 transcript:KN543129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIPIVDLSRLSASDDEHSTGELAKLRSALEDWGLFLAVGHGMEGSILSKMINMTREFYKLPLEEKQKYSNLVNGKDFRIEGYGNDMVVSEKQILDWEITSLVLKKLAKLLGLSEGYFADMFDEKAMTYARFNYYPRCPRPDHVFGLKPHSDASVITIVAIDDTVSGLQLLRQGVWYDVPIVPNALLINVGDGIEIMSNGLFKSPVHRVVTNAESERVSLAMFYTLDPEKELEPVPELVDDEKRPRQYGKVKTKDYVTGIFETLARGTRVIDTVKISDNLNEIVS >KN543129.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543129.1:9622:10471:1 gene:KN543129.1_FG002 transcript:KN543129.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKHSARTGRRRAAATEPVSNHGVETSLIDAVIEAAREFFRQPVEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPPHPKSFR >KN540512.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540512.1:23490:30022:1 gene:KN540512.1_FG001 transcript:KN540512.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSRKLNPINTICYVQGVYSGFPLPVEDQKYYVVRILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGEEGMSVNIWGPSDLDFLASAMRSFIPNRAMLHTHSFGVEQNVSSSQSKDATVIVDDEVVRISAMFVKPRYNKEASCLNDSNLKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDAFDKMVHPSDVLGPSIPGPTVLLVDCPTKYHMHELFSLQSLIRFYEDSSEQAGSPKKVNCVIHLGPSSVTEALDYQNWMRKFGATQHIMAGHEIKNMEIPILKGSARISSRLHFVCPHLFPSSGFWPVEPVNDVDSEKNKVSPLQACESVSAANLLKFHLRPYAQLGLDRASIPSITTYGDIVDELLSEIPEIKEVPEQISKFWQSNSVGKHMLMVEEPWITENSSVCNVVDGNNDSGKLQDGTPLRASGWRKHPKDTPDIPCCVENATREDMEITFLGTGSSQPSKYRNVSSIYINLFTQGGILLDCGEGTLGQLKRRFGVSGADDAVKSLKCIWISHIHADHHTGVARVLALRSKLLKGVPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRQTLKPSIEAFLSDNATESATSQLESTIFAPGSKMENYSRKPASPRDTTALTNLKDVLHESGLEVLYSVPVLHCPQAFGVVLRAKEKVNRAGKAIPGWKVVYSGDTRPCPALVDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEVDMQKTCIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVDESDEIQEAVM >KN540512.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540512.1:49397:49969:1 gene:KN540512.1_FG002 transcript:KN540512.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGRAHPLAASPLHTPLPARPRPQLRLSTTTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTVKFDKVALDDVVVRVSDLPDVE >KN540512.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540512.1:34546:45650:1 gene:KN540512.1_FG003 transcript:KN540512.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MACCVLTPDLVMALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEEPKPAVATEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQSESHSSSGASKNVSSGSWGPSPSSSAPTDDTGSSQTGVKTREERLLDTIATSSGVRLQPTRDALQIFLTEAAKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSNNFSETKTTVPAAQMPDLIDTGDQDDPGAQNSAQEGSERIMGNSTFISSVDDLLGGEPIADVSTTTSNGNGGDPFADVSFHETAETKDTNDLFSGMTVEEKATAALHDSSSINKNELPDIFGSIPEPFFQERVEDKGTVNDLMAGLNLSGAAQAQPGVKTESNNTVNVSQLFDMNSQTSNVANSAAMTGILGQSFYQQQQVPLQYNLPSQMLLNPAFAGQQLNYGAMSVLLAQQQQLLQNLGNFNAGLGHSSLNAMNSGNASVLPDIFNSSNQPQHVAVMSNSKKDETKAFDFVSSKFSFRIILQQLVVQKSNPRAMVLVLREATRGEEGDAGEEEESGVGAVALRWGMAGWLAGAGTCGALEADALAKRLTSARHGELGIVCDGGSVDWGKGSSDDQVGLSTSNSLQMSEPEPPDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESANKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFMERGHTILAACRYYMVGHKVGSVVPDEEEPEYGDAGASTSSAVAVAAAAPKLRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTAAPVGGARYAAEVVDEWMD >KN539745.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539745.1:69956:77248:-1 gene:KN539745.1_FG001 transcript:KN539745.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLALIRRYRTNADCRRSAPWDYDGIKCASCMQGLTELMEGSTSKHDSLVQKHVDFGILENNIQDPTATPMYLPMEFLKTITCDFSKEQELGRGGYGVVYKGILSNGKMIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIIRFIGYCAESRWEVLQVNGKKYVMVEMPRRLLCFEYLHNKSLDKYISAESYGLGWHMRYKIIRGISYGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDVVKNWRDWLERTVGCTSMKTCCQQIYTCMEIGLACVDPDPKKRPSAWDVIQKLNETECKNWSHVGQALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIRLELLYKSREYVILESELACSKQEAVSAMQYLLKRAQYTMELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDIVQKLVDIVLLIYLEINKAFLHTGEDHYVEAVGNLLGETLGSTGLALQYSKVILQINKLTSEEEVRAEMNRMLQWLVPIAESTRLYYNDGASEQAMNRMEDADDVQDFHCNIIRSRSNNSADSRVSKIETLFYANKERADSHILCLVKALHQLVCYERERQLANMRLDIELHRPRAFRTRPS >KN539745.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539745.1:49464:50417:-1 gene:KN539745.1_FG002 transcript:KN539745.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPNQVGGSASSHGSESTSPCPATQQAKSVVNIEESSGNNEEAGRKGTRVNWTEEENLRLLSSWLNNSVDPIDGNDKKSKYYWRAVAAEYNNNTHRNDRKRTVVQCKTHWGGVKKEIAKFCGVYSRVRRTWSSGQSDDMIMEKAHKWFKSENNDKSFTLEYMWRELKDQPKWRRILEEEEAKNKRTKISESGAYTSSSNQDTEEETRSKEKRPEGQKKAKAKLKGKGKKVLPSPLGDQPSQNFVLFNEAVKARAAAILISAEATAKSAEAKKEHARTEKYQTYLQLLDKDTSNFSEAKLKRHEAVLEHLAKELADG >KN539745.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539745.1:34598:39805:1 gene:KN539745.1_FG003 transcript:KN539745.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRQDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVVSDLKGKVEKISVLEKDFVEKLRFFEEKISDYQLELRNRARLIYELRERLEAEKLNNKFQPKLEEISISTELKTMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREQLESIPGSQCEPANEHTVIPVFDEAVSYSITLCIILGDNNNMVSVCEHKSSRSLSLYIINSNYSEHRSILLCRLQSQQMQMPKQLTAYMDPKILTCMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >KN539745.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539745.1:5987:24949:1 gene:KN539745.1_FG004 transcript:KN539745.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRWYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTGLRCSDFASANSTRLNCCRVDKWGDEWLVLWWAPDVLAISTVSWCVADLIQQQDITMGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTAWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKNPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERAGIKIDGDEGKRSGAAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKISYQAASPDEAALVAASKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKVAELIEKDLVLIGCTAIEDKLQEGVPACIQTLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQSLKSYHEEARGSLISTPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVASLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPKLYQEGIRNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRYGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSITAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWFFPYDYQVIQEMHRDEPHEYSRIQLPETSHLSPEEARSYAISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQKTGGS >KN539745.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539745.1:32433:32992:-1 gene:KN539745.1_FG005 transcript:KN539745.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERQQEGSAPTYSRPPSMVAFKILNLAVMMML >KN539745.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539745.1:63692:65631:1 gene:KN539745.1_FG006 transcript:KN539745.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHIIVPMSVLGHRTPKRCYYQAPFILIGLNGILYEHCTTGLDPVTIASVFSAAEHVGEKAVDGEDCFALRLDVAPSVLSAWGDGAAEVIRHGMTGYFSQRSGLLARLDDSQLTRIQTPGAPAMYWETTLTRWINLELLITISSKCGI >KN539745.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539745.1:29609:30007:-1 gene:KN539745.1_FG007 transcript:KN539745.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGCRWSSEVATPSPPQAQMISYTSLEVMRWIGDSSKKAMAEDGASSRGGRGVEWQWSKERWAAMEQETLARSGYESFSAATASSSSHAGGESCSRSVKDCLAYISPRFIFSTPNTSSVRIQTSILSH >KN539745.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539745.1:40647:48753:-1 gene:KN539745.1_FG008 transcript:KN539745.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESQDHSSHSDESINSEKLEDMMWEEIIDPTEVQLEAQLEATLLASLDGTSNQSGGCNRRCHHPIVMDKSFDGTNQNGVINHIQEIILYLKIITFYMLRGKKEGKEMIGAFWEQVWITYILDQQQEENVQTRQACNITLSPKPRKMGLSISYPPDDYLPAMEDNMGRLFIRSLSFDDDMEAAADDSPSTSPTPSPSATLLPAFGSGGKLIIEGSLSFKRREADPVQMETMNRESCSSKPNATAGASRFALAGDQTPEDSPVIAGVASPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRNSVSFFDIEKPETAISRWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYHRWLHCEINQPFFYWLDVGEGKDVNLEEHCPRWKLHKQCIKYLGPKERESYEVIVEDSRLIYKLSRQIVNTTKSRKGSKWIFVLSTCKTLYIGQKQKGTFQHSSFLAGGATSAAGRLIVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERNVDLTDVMLNPSEGEDDAEFSLKSSHSRQDLTELCEPDMQEHEEQVTQHHGADETKISSDAPTMTSTETLASTPAIRKSTSANKLQGKRPPRLLISSNDTELPTTHCNGRPSPVHKDIDEDSTMFGECLAFCKKNLFAEEGNEEDELVEVPEEMIMNRINCKKATKSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGTRSTRFSSPRPKPLTPNSIPVARFGCSPTAQGDNMGLKPRQRCATWTAF >KN539931.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539931.1:46278:51412:1 gene:KN539931.1_FG001 transcript:KN539931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLARAALSSTSSSIVAMATTRSSSSRVLRASRAELNPGAKEVKRESSVSFDLTKTEAVASMRSKNVKRVLEVTGENIKKEVDIVPDIEDFRYGKASPSLVRLEKKVRVSSAIKVGAPENWEAILKGIKNMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSEKGLLDPDAIVRTDEATLANLIKPVGFYQRKAKFIKEASKICLERFGGDIPDSLNELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNICPSAFKESSSPNPKQKKMRFAVLMAINFADLL >KN539931.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539931.1:1613:6910:1 gene:KN539931.1_FG002 transcript:KN539931.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQEELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVIEANERRRRYEVDGSTSGTTTSDLLVDSRLLALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSTSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLRELLKDKSHLREFGQGFPSYTSFGKPWDILYAIQKHHWHLVTADISLNSAKFYLTLNKMSPKSVQAYVYTIKPLNHQDSSKLFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKSDRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPENDLIVRKQLVWKWVAEGFIRKERGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVGNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIGHCMIKRLPESIVKLGKLMCLHVRSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLFGSGCSLPKLHELDIKNYLCWVPRWITMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLRLELRNPPEERLVIGCDGLRHLNELHVFCQHSAMPLTFVPGAMPELHRLRLEFGARETLRLYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSKTLIIQKFCENYSSYYHLSLRHGTSSLGLEETMYLSSGNLAIKIRCEYGKLIEIRIKIQEICNVNVRYICFLTSFSKALLAD >KN539931.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539931.1:38705:42121:-1 gene:KN539931.1_FG003 transcript:KN539931.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGVRKDIGLAAPIMCRSTVQGRHLISDDNGRTPCPSRFAHIYCLTKETDVLKLKVARPVTSGKISLISIGGCSMLECAGSSQFIYLQELTEMSSISAWEDAAIVLQVWGEMIIKNYFAESADSGSGPRLDGINISIGRRGESCSSVCRAKGQSCVPSRLSVLNKCEM >KN539931.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539931.1:35386:36213:1 gene:KN539931.1_FG004 transcript:KN539931.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G59400) UniProtKB/Swiss-Prot;Acc:Q9LX31] MANASLQSFLLHRHHHHSFLSNGIHEGSSPSIILKLTTNSNSSISFKLFSNTTSSSSSSVTTTASTPNSPVTPAPVTASSPPPPSLELLGAQLAERDYRQADETTRALLIELAGEPARRRGYVFFSEVQFISADDLRAIDALWQEHSGGRFGYSVQRRLWEKSRRDFTRFFIRVGWMKKLDTEVEQFNYRAFPDEFIWELNDDTPEGHLPLTNALRGTQLLGNIFTHPAFEEEQEDELAAEENDTPDNTGQSKDGSKGKERPKFMRDFFKPDYSF >KN539931.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539931.1:51760:56291:-1 gene:KN539931.1_FG005 transcript:KN539931.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGPGMVPMEIKFTPAAKNVLQASEEEAHQLGHNYVGSEHLLLGLLREHGAALVVLKNFQADPSNIRSEVIRMISDTSEDHQPVSAAVGGGSSTTKIPTLEEYGTNLTKLAEEGKLDPVVGRQNQVDRVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIAAGNVPETIDGKTVITLDMGLLVAGTKYRGEFEERLKKLMDEVKQNGEIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCIGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDETIGILKGLRERYEIHHKVRYTDESLIAAARLSYQYISDRFLPDKAIDLVDEAGSLVRLRNAQLPDEAKELEKKLKEIMAEKNEAIRSQDFEKAGALRGEEVELKSEIMSLVDKSKEMSKAAVDSGESPGPTVTEADVQHIVSSWTGVPVEKVTVDESSRLLAMESSLHRRIVGQHEAVTAISRAIRRARVGLRDPRRPIASFIFAGPTGVGKSELAKALAAYYYGSPEAMVRLDMSEFMEKHTVAKLVGSPPGYVGYAEGGQLTEAIRRRPYAVVLFDEVEKAHPDVFNMMLQILDDGRLTDSKGRTVDFKNSLIIMTSNVGSGVIEKGGRQLGFAGDGSGDGGYGVIKNMVEEEMKRYFRPEFLNRLDEMIVFRQLTKLEVKEIAGIMLAEVTGRIGGKGIGLQVTERFKELVVEKGFDPSYGARPLRRAIMRLLEDTLTDKMLAGEICAGDSVIVDADGDGNVVVVGRRSAGLPDLKSPAFTV >KN539931.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539931.1:17016:31396:-1 gene:KN539931.1_FG006 transcript:KN539931.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEEERRLGRASVGAEEGADGQEDPDGDEEEEEEEEEEYEFCDADEAMQCVEMAERSAPDSGVHDYEALAARKRKALAEERTERDASSKKPRQDGLSEAEAATVFDQLMEGFGLRRKRRSKDARKRGRKKGTRNKYSPEVTKKLGDATLLFTESRFKEDDSNLYLVYSTKLQAIPILHEVVRIAPNLSNSYHLLGSIYKECGELDKAINFLMLAAYVSPKDVFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRALRDYQKAGEIYEQIVRIYPSNIVARKAAAQMYRDCGQIDKAINLLEDYVNAQTTNIDSNLLDLLISLYLRNSAYNEALRLIERAHTVFGSQHNLPVQLQAKAVICHAYLGDMKHAEVFLQNVHLERSKDNTDVIKEVASTLENLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGQCYMVIGEKRKAIPYFQKALQRMEDNIDVRITLSSLLVDVDKSDEAIVLLSPPNNSGSKSATDQPKPWWLDGKVKMHLANIYYNKGMFEDFVGTILIPILETLNIEYANRKVRKAKKLPTNVLYERAKVLAEQRPESVFQGLRPIASPAELQKASRAKKLLEKRAASNEDTIKDDLLCQTLALLHRYWEALQVINRTLKLGNDTLADENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIIISGHRFTAISQHQSAARDYLEAYKLNPENPLINLCVGSALINLALGFRLQNKNQCIVQALAFLFRYLRLCDNSQVLSL >KN539925.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539925.1:29272:30615:-1 gene:KN539925.1_FG001 transcript:KN539925.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHLILLLAIFVSLCVAAIGQGNKIVPFNPSCSTTGNYSGDSQYKKNLDQLLSTLATAATDDGWFNTSSVGTGGDDQVFGLIMCYADRNPTQCKECLAGAPAGITQVCPGSRTVNANYDACLLRYSDVSFFSVADKTVAFNVYAKSYVENMAAMNETRWQLMSQLADTAGQTKLRLDTGSTRLGSTSMMYGLAQCTRDLAASECSTCLSDYIVQLSKIFPNNSGAAIKGYSCYLRYDLSPFGITLPPSSPVPPPSSTRSTGFVAGLSVAGAVSFMVILGVSIWLLLCRRRKHARLMREHQEMEDDFEKGTRPKRFRYDELSVATDFFSDDCKLGEGGFGSVYKGFLKDLNLEVAIKKVSKSSKQGRKEYESEVRIISRLRHRNLVQLIGWCHDGSELLLVYELMPNASLDTHLYNANANVLPWPLRYNHLQKILILSVACDGNIS >KN539931.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539931.1:43128:43789:-1 gene:KN539931.1_FG007 transcript:KN539931.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKLEVHDVIDSKLHDAFVAVTESEVDIVLGTRDEAMAMTVAMAEMELDGAVVAVPESELNVAVVVCEVVVDIDVAHALLHLHGTKSQSVLRVVAAVVKPKCCRTRR >KN539925.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539925.1:10748:13278:-1 gene:KN539925.1_FG002 transcript:KN539925.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMNDMRRQLMSQLAERAGDTKLMLDNGSVPYSDSKLGTSALYGLAQCTRDLAASECRRCLSGYVDGLSNTFPNNSGGDIKGDLEMDDEDNDFFDDDADDFEKGTGPKRFRYGELAIATDNFSDEHKLGEGGFGSVYRGFLREMNLDVAIKRVSKSSKQGKKEEGVRVRGWFHFQVIHVILLLEASGVDPGLGTPRPWPET >KN539925.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539925.1:34931:38153:-1 gene:KN539925.1_FG003 transcript:KN539925.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELENDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KN539925.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539925.1:49756:55037:-1 gene:KN539925.1_FG004 transcript:KN539925.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGPDSGRGPRGGGGGGPRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKNIAILVIVHEISKIEISRTFNPSNEKCNETFVSLIDLEFIHAQLCVQQGGRKNISRVSLIFKRWVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >KN539925.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539925.1:4083:9566:-1 gene:KN539925.1_FG005 transcript:KN539925.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMDPECMINGRANAESDVYSFGVVLLEIACGRRPIIVLPQQTDEEANRIHISQWVWDLYGKGRILDTADHRLGGKFNGEEMEAIMVVGLWCAHPDRSLRPTIRQAVSVLRGEMGQGTPAPAQTLLSRPGRSGHVLDKFGNPFWLGSSHGWIVTADADSAELRLVNPVTGQQIDSLPPVDTIEQVRRRSQSDATVADDDDYDYQIVQYNWTMEQRHDRPPTDAKADELAGYLLMRAFLSSDPSSDSGSGGCTLSFARVGVDERWAWVNLPDSDFYTDVVYNDGDGMFYAVTHQAAIHAYDFSGGPSAVRRTIVLADQLHGIIGTETKYLVRAPDGGGWLQVWRMLKPVRRAADTHGDTTPTTAVYRKTTIWIKVFRVDLAAQRLQETATLGDGGDSHALFIGCNQPFWVPAGGGDGECPVGGVLPNHIYYTDNDEDYALLNPEGPRDIGVYSVADGSISQLCPTRPWLTWPLPTWLVPSFGYYPWSDMLFDVHATTVLHTFNDPADLLRCGVVCRSMA >KN539925.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539925.1:41707:43796:-1 gene:KN539925.1_FG006 transcript:KN539925.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPARCFCAVFLRSAKELLTFLFFFSAVHLDYLEAGANIIITASYQATIQGFESKGFSKEQSEDLLAKSVEIAREARDMFLKEHSDRPIQHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHKRRLEVLAEAGPDLIAFETIPNKLEAQAYVELLDECNISIPAWFSFNSKDGVHIVSGDSLIECATIANGCSKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDAEKKEWVESTGVSDGDFVSYVNEWCKDGAVLIGGCCRTTPNTIKAISRSLNQRHSSLHLPVA >KN539925.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539925.1:2894:3808:1 gene:KN539925.1_FG007 transcript:KN539925.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANEIPIKAKPTETPIWKTVCSVIEPVIASTKVTIGNGQLVQFWRDHWTAAGRFNLIYPTLASYATNINCTVSSQHTNGTWTIDLHPVLSSRAQTELQSLMDILLEQHIQQNVPDKRTLLLGSTDITTSANYNLLTYHGILWQPATLIWNKAIPNTCRIFLWLAFRDRLNTNANRVLKKWDSNPHCMTCPAIETSNHIILRCKLAGEVWKKLNLYEIAVRSSNIQDFVESILDTLPEHQKPGWPACFAACSHGLWKARNQLIFKLTETSVAYILHRIRESLQLWVHRLKPSLREHLNTWADKLS >KN539925.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539925.1:21866:24004:-1 gene:KN539925.1_FG008 transcript:KN539925.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGLTNPDDELLPVDIPMPDIDPMHNPPPSGPKDGCLSPVIIHLDTLEDLQPRPMLYKQYEWSYGVYDDCISSLFGLSAYSVQAGAECGGTVVTQAEDINNNSAADLIASLTTPMIADLVEKGGCKKLKLKAAKAKAAVTPA >KN539925.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539925.1:60572:65591:-1 gene:KN539925.1_FG009 transcript:KN539925.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGREGDPVDKIRRLLRIAIYNIGYVEGFFPDAYFSDIVVREDGLCDALKKKYLKTLVFSICEKYEGPVLQEFIFSFSYPSTGPDEVVMMMTRTGSKVITKFEASAVKVTPNQMRSSACKMIRLIVQQTRTYPVQEEHAIEMKLSFYEDTTPEDYEPPFPKYCVNSEDVAIWNNNILKMEVGNINNKHVVLTLKVKSAQSYCKNSIVDDCSDYEMCECETYGYTSAPNDDTEEDYHTGMLASPIKAWYPQDTGTQMTRKRKTGFVLVSSSKKIKFLLDSGASHHICNDKAIMRNLKDVKKEYQVSLASCGGLELKAEMMGTVVTKDMKLSQVGYIPEMEFNVVSIGQLAVQGLITTGGDGRFSVIDAKEARVVGEGHLQRKTEKVDGRVYHEYVFKSLIWEIEGDDEKLIEPLRADDDEIDEEEEKKCWVIDTGCGRHMIPDISILTQVKREEVTFQAACGIVSSTHKGLVKEGNLILRDVLCCPKVTRKMISGPMLDLSGHRFTFNDKKCCIVHKDGLELRGVGKLDRATRTYLLKPGEDSRAAAAAKEEPKMLAAAAEGYGGPKQEADTKNMRGKGKKTAQATKRLRLS >KN539925.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539925.1:26042:26869:-1 gene:KN539925.1_FG010 transcript:KN539925.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMDPECMITGRANAESDVYSFGVVLLEIACGRRPIMAPQQQADEEEDMIHIAQWVWDLYGNGRIIDAADHRLGGEFNGEEMEAVMVVGLWCAHPDRSLRPTIRQAGTPTPAPAQTQLSHPGRLGQLLDKFDNPYIMRGDFGLLTVVCLVEVDGIVPSYPCSCYFYILQSKRKRKAAASSQHQTSRAHAVHHTTIYRNSHKYRLAVVGFCAGTKLVALMKGFKPVA >KN538733.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538733.1:199849:201790:1 gene:KN538733.1_FG077 transcript:KN538733.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIPKLTCRHCNASACYILDMGGRIGRKVKNTQPHQAPSNRCILATFGHFPVVFVMGRGHNTLRAKDSGIATRCTEPTEEESEELDEASLMATFTPESVIDFGATHDHEFDRAGYPHYRRTQSAMDAAPDRHTLRLPEHVMKELAADRRHRRAASLAGYPDSVERTPRWLTSLWRSVSWQRQSRADWDAGEEHGGSKRVHPVAGAQDETPSGSGSDDRRFLFEFIGYKGLIFR >KN538733.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538733.1:206880:208155:-1 gene:KN538733.1_FG085 transcript:KN538733.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGDEEECRVALLNGGGAAKEDWQVVSSGGDGKLRRRVWEESRKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMSYDLRHESGISLAFS >KN538733.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538733.1:227500:229138:-1 gene:KN538733.1_FG086 transcript:KN538733.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLKTGPSITDAAMGRVSQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRA >KN538733.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538733.1:202544:204180:-1 gene:KN538733.1_FG090 transcript:KN538733.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFASAAASGVALCVHVAISWLLVSRFRFGLVGIALTLNFSWWATAAMLFAYVACGGCPETWNGLSLEAFAGLWEFVKLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINAWELMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIVGLHDKFALIFTSSGVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGTYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITIRCDWDKEAMIASTRMDKWSQVR >KN538733.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538733.1:222752:225305:-1 gene:KN538733.1_FG091 transcript:KN538733.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYGSREGLTARPTASSSSSEISLQIDPITADLDDHIVGLRGQVRKLRGVAQEIQTEAKYQNDFISQLQMTLTKAQAGVKNNMRRINKKIIQNGSNHLFHVILFALVCFFLVYLVAKFSRR >KN538733.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538733.1:221105:221578:1 gene:KN538733.1_FG093 transcript:KN538733.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAASPRPSAAPAAMEETSKAMPSESPAASGGNASPAARSRPSLLVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAQKKKEAQKMREQEQEVALAQKTADVESAAP >KN538733.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538733.1:187066:188752:-1 gene:KN538733.1_FG096 transcript:KN538733.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQGHFGYGCGRDSPAYGMPWCDVLAAAGGGGGGFGEFYGVDEWDDQLQVASVDEWEVASKDNSDASTEGKAAAAERAAPVAAGRRKRRRTKVVKNKEEIECQRMTHIAVERNRRRQMNEYLAVLRSLMPASYSQRGDQASIVGGAINYVKELEQLLQSLEVQKSLKNRSGAMDAAGDSPFAGFFSFPQYSTSPRSGCSAAASAGSSGRHASLKVLARRRPKQLLKLVVGLQQLRIPPLHLNVTTVDTMVLYSFSLKVEDDSKLGSVEDIATAVHQILGSIQQQEAVLSIS >AMDW01007448.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007448.1:36:189:-1 gene:AMDW01007448.1_FG001 transcript:AMDW01007448.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LARALAAPDIYHPDGTTTDDHEHHHLSVLQQHVTFFDRDDNGIIYPWETYE >AMDW01015679.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015679.1:64:222:1 gene:AMDW01015679.1_FG001 transcript:AMDW01015679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLAMEIDNEIAADDNDKEGLQEIVNNSKLSEGYLTLARDIEVMEAKSPEDIYK >KN540664.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540664.1:2165:5310:-1 gene:KN540664.1_FG001 transcript:KN540664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSVVIPILVVLVSRLLTSALVHLLWKPYAITKLFRGQGITGPKYRLFVGSLPEIKRMKAAAAADEVAAGAHSHDFIPIVLPQHSKWATDHGKTFLYWLGAVPAVSLGRVEQVKQVLLERTGSFTKNYMNANLEALLGKGLILANGEDWERHRKVVHPAFNHDKLKFMSVVMAESVESMVQRWQSQIQQAGNNQVELDLSRELSELTSDVITRSAFGSSHEEGKEVYQAQKELQELAFSSSLDVPALVFLRKLPIIRGNTRAHQLVKKSRTMLMEIIEGRLAKVEAAEAGYGSDLLGLMLEARALEREGNGLVLTTQEIIDECKTFFFAGQDTTSNHLVWTMFLLSSNAQWQDKLREEVLTVCGDAIPTPDIANRLKLVNMVLLESLRLYSPVVIIRRIAGSDIDLGNLKIPKGTVLSIPIAKIHRDRDVWGPDADEFNPARFENGVSRAASYPNALLSFSQGPRGCIGQTFAMLESQIAIAMILQRFEFRLSPSYVHAPMEAITLRPRFGLPVVLRNLQG >KN546789.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546789.1:539:837:-1 gene:KN546789.1_FG001 transcript:KN546789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKK >KN540664.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540664.1:29788:29997:-1 gene:KN540664.1_FG002 transcript:KN540664.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLSHSNGELAHALGVELDLPDKPAGHDVRSRCYAFLVEDGVVKVLNLKEGVAFTTSSIEEMLKAL >KN540664.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540664.1:19671:25132:1 gene:KN540664.1_FG003 transcript:KN540664.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKILCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLVWSDPSPDSEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNDTGAPHSRKPTSNKAVALMVVIDAAAKKA >AMDW01030206.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030206.1:44:403:1 gene:AMDW01030206.1_FG001 transcript:AMDW01030206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGLDD >KN542556.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542556.1:1425:5734:-1 gene:KN542556.1_FG001 transcript:KN542556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding METHKNETHGTSDDIDENTPVERVKGPNVFERAKEEIEAIVEAFHPKKGSDKRGILRERDLSCRTLFRAVFFDHHDDDPDVLLAAASSDGSLASFSTPSCISSSSSSHPTPQTHPDAAVSLVDPVCIVQAHSGPAYDVRFYPDSQQPLLFSGGDDGRLRGWRWHEMQSCLVPLSLQGAAKEPSLLTRIDLVNWFGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAINKQEGSVYAAAGDACAYCWDVESGKCKMTFKGHTDYLHSIAVREANRQVVTGSEDGTARIWDCRSGKCTQVIRPVKNKTFEGSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNVDCHAPVQDLLFNRNQIVSQICTENAINYFLMIYDMVAKI >AMDW01038417.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038417.1:10:808:-1 gene:AMDW01038417.1_FG001 transcript:AMDW01038417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKCGYIRKAVAAARGGATATVDLDLSALPGGADAFDKAARYCYGANFEISVRNAAAFLCAAAFLDMQPPDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPVAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHGADHSGMHRALVESVVAVLPSTDDAPLPAAFLCRLLHVAITIGSSAKTCRDLELRVAAVLDQATAGDLLTVALDGA >KN542556.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542556.1:12234:14495:-1 gene:KN542556.1_FG002 transcript:KN542556.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FIQEVGWDEDLVNESFPWVEDKIVQWPKIAPWQAALRDGLLQAGVSPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVNRIVFNSQRGQLKPRANGVQFTDENGGLHQAFLNSNCDSEIIVSAGAIGSPQLLLLSGIGPKNDLRSHKIPVVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSPESIHCHHGIMSAEIGQLSTIPPKERSLEKAQKYANTKLNLPKEIFHGGFILEKIDGPLSTGHLTLIDTDVKKNPAVTFNYFSHPQDLTRCVYGIKTIERILKTNRFSELSANTDGHSMERVLNMSVQANVNLIPKHTNDTESLEQFCRDTVITIWHYHGGCHVGKVVDQQHRVLGVSGVRVVDGSTFSRSPGTNPQATVMMMGRSNGLLTPQCFLFLQLDFKEVLITSFYGP >KN542556.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542556.1:7793:11513:1 gene:KN542556.1_FG003 transcript:KN542556.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGETEYSWAGPTQKPNVPKASLRGPCGPLTSRPILALTPPVSGMGAERTESAGASLGGAVSTSPSEQEKQRQQSELIFLGTGTSEGIPRVSCLTNPSKICAVCTKAAEPGNRNRRRNTSILLRHATPSGTANILIDAGKLRTIDAVIITHSHADAIGGLQILLLKQATLLILIETTHHARFALELSKRNKNSFGTGLDCLRDWTNNVQPTIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFTVHNLEADTCDHRFVGQKYVNYKVIPLPVWHGQGYRSLGFRFGRVCYIRMLLDLIVLLQHTLDYHGPFRKLGKSNQRKHCLLNAQFTMRKGMMHLMDHEKVNNELAKLMETEGLDIQLSYDGLRVPVWL >AMDW01039517.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039517.1:71:1000:1 gene:AMDW01039517.1_FG001 transcript:AMDW01039517.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSKATGSRSAFTDAGFFSIITFSWMGPLLDLGRRKALDLDDVPTLDDNDSVQGILPNFEAKLILVSGSGKYTDVTTIKLVKALVLTTWKLIMFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPRSAKEGYILVLSFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAVIYQKGLSLSNQSRESISSGEIINAVSVDAIILAMLILYSTLGLAAFAALAATVLTMLANLPMGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKD >AMDW01037449.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037449.1:54:656:1 gene:AMDW01037449.1_FG001 transcript:AMDW01037449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGTPNRRSSLMEQKESSLVITVDLCCCRSLTKIRKTLCKLQESEDIRAIMYDDKAGMMTISGGFDPLVLPCKLRRKA >KN538823.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538823.1:71276:76298:-1 gene:KN538823.1_FG023 transcript:KN538823.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRDSEISQQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVAQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGEHLANIIKNPTLSDLIGGVETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVERVVGINSKDLSSYITATPAKELVLFIEKMK >KN538823.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538823.1:20840:23993:-1 gene:KN538823.1_FG025 transcript:KN538823.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKAQMRRIENPVHRQVTFCKRRGGLLKKARELSVLCDADVGVIIFSSQGKLHELATNGNMHNLVERYQSNVAGGQMEPGALQRQVAEQGIFLLREEIDLLQRGLRSTYGGGAGEMTLDKLHALEKGLELWIYQIRTTKMQMMQQEIQFLRNKEGILKAANEMLQEKSTTNTTNDLRKSLLLNLAVKDLGQFNSGCIYKACLSFKEYMLY >KN538823.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538823.1:81395:83402:1 gene:KN538823.1_FG026 transcript:KN538823.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVRERFHNPKILEIVKKMKEDKELFFKDREASRAGQ >KN538823.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538823.1:15231:18674:1 gene:KN538823.1_FG028 transcript:KN538823.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFAGKSATAVVIVDLIKKASAYLDTDCMSDRLQPSRDNLYQDLQMVLDVILPNQPKDLDAWLWLLRDAVEELEDAIDEHVYYKVREKAKEREVTKLGSSFSKMKWRFMKSLTHSADQVSTLKRIGEGIKALERVIAEASGLPVLTQQFMRAHLLHQDHRETYVMADSMLCRHKERDLIVRWLINSLDDDSETQVISTKHVSVMSIVGHTGVGKTTLARLVYNDWRVRDHFDLVAWVSVSANLDVAEVTRKIIEDFTGCPCQCADLDGMEQILREKLSSTKAALLVLDDVWEDKARDQLDKLFRVLKASKTRSKILLTTRTQSVQLITGYLFWRYAFAGQEVGAEDYLELRKIGAEIAKKLGGTPMTTGIDFFKICVILEQQQQGECKRLTNPEDSRDVKSSVRHISIAGIKNFSVGDVKELLRLTKLRTIIIEDHGDVEEDVVYAMAEVVQNSKSLRLLECSLFKRCHFPDRLSGLKHLRHVKISML >KN538823.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538823.1:161434:164917:1 gene:KN538823.1_FG030 transcript:KN538823.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIIFLLIVLVQAFVASADTNAQDTSGLNGIAGSWENKPSNWAGNDPCGDKWIGIICTGNRVTSMLKTVKFRTVRDAFRGHSVLIRIAVPGLILQQELAWPPSFNHWNLEQAPKLNSCRLRLYRSLNSNKFTGSIPPSLGGLSKLYWFDLADNQLTGGLPISNATSPGLDNLTSTKHFHFGINQLSGSIPSQIFNSNMKLIHLLLDNNKFSGSIPSTLGLLNTLEVLRFDNNAQLTGPVPTNLNNLTKLAEFHLANSNLTGPLPDLTGMSSLSFVYLENLRISGEVPQSLFSLPSIQTLRLRGNRLNGTLNIADFSSQLQLVDLRDNFITALTVGTQYKKTLMLSGNPYCNQANDDAHCKATGQLNPALPPYKTTSNCSALPPQCLSTQQLSPNCICSVPYRGTLFFRSPGFSDLGNSSYFIQLEGTMKAKFLNLSLPVDSIAIHDPFVDTNNNLEMSLEVYPSGKDQF >KN538823.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538823.1:89955:100483:-1 gene:KN538823.1_FG031 transcript:KN538823.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVEGCVGPSELHLRKELTALRKARFLRDPETSSTWRSPLDSRPFAAASSIVQSNGVGDSIAPKHNESPTPTKGEKKQKRVYLYNWRQYSNKSSESGIKLDEDINLESPHNSNGVGLKSNACQDDPVNIYNFQSSTSCTPIKRVARRSRVLLSKKGTARDPAVSKLLDPHVNSTEQTEDTENGNSEVGYFSRPTSPLFAACGCVSASNTSRLLKVGRREGSSFSCTPISTRSYYKHGRANSSTIGSWDGRTATSFDGDESNQSTLWRSRRSHVPGYSSKRNKYKGSKRSYSPSLSDILRRKGSGLLCGSQTLHRKKRSFGSLKWGHSKTSAHGMSLLDGSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGVDPTVHGADLAMADRRSLSQKYRPKLFSEIVGQNIVAQSLSNAITREKIAPAYLFQGPRGTGKTSTARIFSMGLSCLAPGENKPCGICKECTDFLSGNGTNLIEVDASNRKSINRIKNLLENIPPSATSSQYKLFVVDECHMVSSKLWSAFMKFLDEPLPRVVFVFITIDPDNLPRAVISRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSTANDMAVGGRSLTDGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLSCGHSSEMNQARSSTTECHKSMNDAVTEAGRESSSSRAASHSISAFGVSKKLLDPKATSLHSSPQALASHSSRSRLNGNFAYGGECMSADRFLQDSTQRSNYSEQKVLVNGNLESLAHIWMRCIENCHSRTLQQLLFDHGKLVCVRQCEGCVLAFIAFEDSSIRSRAERFLSSITNSIETVLRCTVEVRMGLLPDFIASGLTSEMGPKVRRAESDVLSCSSNSDRLKGTLNTSSRNFDYSDEVKKGLEQFKFASAGDERLQSASVTSVNSGKTKATHMSKISMIDEQRLESAWLQAAEKHTPGMVNQTRPDRHQVVPQIVSPYERRSSMALVVPSGQEDEDLSHGIKAMKIVDSYGVQKDQNGRNVNRNVVSPSKLHSDDYYMENCDKESICSEAGRPGCPGLFHCWKTQRSKRLKICVFGYVTCIFSELSYARLVIHFRQSSSKLATISALCHFELQNFSTSILGVDDLL >KN538823.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538823.1:37316:45345:1 gene:KN538823.1_FG032 transcript:KN538823.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNYLKKDYSVLIFLVLCVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAAQQQNPFAVAAAQLDMKCFFPVNLFEAAAQEKYEGTKELPGAKKFRKAGMKNLDHLRVMFDDIASNGVDHTPVPATSSPSTPESPVNVANLDGLDNDMEDNDDTQLEEESPSNRNKKRPRHANNANKNKSSRTEIALLMQAQLKGMADLAEKAQATFENFTSLVGSLGSSIHFKQNSVANMIQKSMGTRFTVGKPVTGGNKADRSS >KN538823.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538823.1:8250:12253:-1 gene:KN538823.1_FG033 transcript:KN538823.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLVFAGKSVATPAISFFVNKAFSYLNKYHKAEGLGDMKKRLKMNIPKIQSVIDVVDPDYIKERSEDLDTWLWQLRDAVEEAEDAIDELEYYELKEKQKDLKSVKHVKMLGKTSDSPLKRLKKAMEDLDEAAKGVENFLTVVDQITRPNLNNPQRHHSISRYRETGRMLTADRVIGRENEKEQIVGWSFLDLFVNVSVGWTLMSVDTYDALQEHLARTLETIKYLLILDDVWEDKEISEWEKLFASLRTGVYGRKILLTTRMQSVADLASAVMRCERERLPLCGLEETENLELFNHHVFTYPDPQKFEELQEAGEKIAKKLRGCPLVTKVIAGHLREDMTVQFWNKFLDEQLGYFDGGMEDIMKVLKVCFRYCSIFPQDHEFKKEELVQMWIASGLISQTTGEAENARDVAEECLAQLTRKSFFNLKLRNFHFERNECHEYYVMHDLMHDLATWVSSGECARIFDANGSKKVKRTVRHLSVVGINSFPADIIKSFSRFKNLRTIVFEDCHDIQDNTVCSVEEVVETLKALRVVKAHLFSRCQFPGKFANLKHLRYVSVSFN >KN538823.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538823.1:47866:51798:1 gene:KN538823.1_FG036 transcript:KN538823.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRETFQQIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >KN542395.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542395.1:6953:11605:1 gene:KN542395.1_FG001 transcript:KN542395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSAARWVVGRALGPVTGELLETWAASKKLGSNIRELKLLLLHAQAMLENAEGRDIRNDALAQLLSQLRDLAYDADDVLDELDYFRIQDELDGTYEAVDDAEEERGLVRGLALHARHTARAIARKLTCKCNADALVPVDDAEQGRCLSATAVGKFLPCCSPPTVRNVDSTAAKANEQHLQAPKLKFVRVEMSKKMSEIVEQLKPVCDAVDRILGPLQPSGHSKNAKTQCIDLEKRPKTTPTIIEPELFGRKDLKRIVADEIMIGKYRDNDITVLPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPKGNNEKENESDQEKIEKRIQSQQFLLVLDDVWEYHEDEWKTLLAPFRKSGTKGNMVIVTTRKPKVAKMVESTDCSIKLDRLDHEDSMRLFQACVFDNKKTWEDYPSGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKLTLDRWTRVYESKEWELQSNDDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYRFCGQELINLWIGLGLLGTGDQNKTMEYLGLEYVDQLVDNGFFEQVGKEHDSPYVMHDLLHELATNISSHEIRCLNSSTLSSINEIPKSIRHMSIIVDNRHVENRIAFENHKKDLSTLGNKLKAGNLRTIMLFGEYHGCFYKIFGDVLIDAKSLRVIFLSGASYDVGDVLCNFSELVHLRYLRIKDSRMCGASLPNSITRFYHLLVLDLQEHYGELGFPRDMGNLLKLRHFLVHDDNIHSSIFEVGKLNFLHELRKFEVKREMKGFDLEQIGRLLVLRGSLGIYNLEKVEGIKEANDAKLAYLNHLDSLVLDWDNERCNKDPIREGNVLESLKPHDNIRELHIAGHGGVSCPNWLGGDLCIRNLESLQIKSVNWDTFPLPGKLYMTEGQERQGSVTSHDFHNLKRLELVNIPKLKKWHGDGTINLLPHLQSLTISDCPELTELPLSVSTSCQFQQSTICFPKLQKIVISECPKLLSFPPIPWTNSLCYVSIEGMDSGLEKLNYSKDEQSNSSLYITGKDALDSMFWNVLDFNNLTELQELEIKKCPPISLDHLKRLTCLKTLAITDFGSILLPVDSENEVKYNLLVEELVINSCGASGRELTQVLSHLPKLSILVIWDCQKVEGLGVAEQQTIPTPESSLSPSTNEAAKAPTTRLQQQTGEAEEMETAVAADDGLLLLPSKIKELQINGCRELSLDGGGIHGLLSLQSLEIYHCPKLLCSSSSSYSPFPTSLQRLLLWKVEGMETLPSPLPNLTSLTISSCGNLRGGEVLWDLLAQGHLTSLSVDNTPNFFLGSEQSCSQVDEQEDMHRSSRLQEFWTDDFARVLAAPVCHLLSSSLTKLRLGWNTEVECFTMEQEKALRILTSIEDLKFWSYNKLQSLPAGLSEIPTIKALYIDNCPAISSLGNLPNSLQRLEISYCPAITSLGNLPNSLQRLEIYYCPAITSLGNLPNSLQRLEISYCPAITSLGNLPNSLQRLEIYHCPSISSLDGTTIGSLPKDRLPTSLREIDVCNCGNEELKRQCRKLQGTIPIVKA >KN542395.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542395.1:13829:14053:-1 gene:KN542395.1_FG002 transcript:KN542395.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALGTWFFSVAGALIAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLSANASADGEN >AMDW01037770.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037770.1:124:303:1 gene:AMDW01037770.1_FG001 transcript:AMDW01037770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGGPSWDVKVGRRDSRTASLSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGNHC >AMDW01038095.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038095.1:6:371:1 gene:AMDW01038095.1_FG001 transcript:AMDW01038095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTFQRPNPSTLQASFTGTLRSRMEGGGGGSVLVALYESGLVTDCGRGENKGKPLLNDHVVRRLEKVAAVRDGASAKKPVSGSVQLPLWDGFRASRCGLVLFVQNAALQVLGVQHFDLPDNV >KN541728.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541728.1:13218:19759:-1 gene:KN541728.1_FG001 transcript:KN541728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIIVVVEGQEAARLVGAWASSAYLDRLLVEVVAVPEDIGTAGALRAISKRLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPISGPSDAASSGGKDKAKKPTRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGEMEIRSDLMDAHLYAFKRTTLQNILEEKESYCSIRLEVLPYLVRSQLVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVICNNVQLQERAVLKDCQVGAGYIVTASSEHKAESLSKKVERF >AMDW01057892.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01057892.1:408:693:-1 gene:AMDW01057892.1_FG001 transcript:AMDW01057892.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILLLPLVALLVVAISWLWDYTVVRLIWRPHCIAKEFREKQGIHGPAYKFLGGNNGEISRLKEEADGQVLDNLHDHNYLPRIAPHFLKWRAQY >KN539814.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539814.1:38435:41558:-1 gene:KN539814.1_FG001 transcript:KN539814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHGSKADISFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKNVAADAAPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGKDHVGDVPLTKKIAAGFTTGAIAISIANPTDLVKVRLQAEGKLAPGAPRRYAGAMDAYAKIVRQEGFAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHLLSGLGAGFFAVCVGSPVDVVKSRMMGDSAYTSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKLFVRKPGS >KN539814.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539814.1:79753:80342:1 gene:KN539814.1_FG002 transcript:KN539814.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDTSFNNSLDLYCDEDPFDSTLPPPPEQQQQAGTTTPDDIDDEVMEYYKAKQRWYALQIRDYCCYLQRHHLLLQQQQHGVAAARLKAVRYIIYAMGRLGLEAATAFNAVNYLDRFLSINCHLV >KN539814.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539814.1:18540:20705:-1 gene:KN539814.1_FG003 transcript:KN539814.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFAPAPGRPLFVLFGSSIVQFSFSNGGWGAALADIYARKADILLRGYIGWNSRRALQVIDKIFPKDSPVQPSLVIVYFGGNDSVAAHSSGLGPHVPLEEYIDNMRKIADHLKSLSEKTRVIFLSCPPLNEETLRKSTSTVLSEIVRTNETCRLYSEACVSLCKEMDLKVVDLWNAMQKRDDWATACFTDGLHLSEEGSKIVVEEILRILKEAEWDPCLHWKAMPTEFGEDSPYDLVSSSGQSTVNPSDWTFHRTIQWD >KN539814.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539814.1:42575:43994:1 gene:KN539814.1_FG004 transcript:KN539814.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGEAPGHQTPPHMHKDDGDDDDDAPSGFVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPDYPPNPNPTQLTNTGGFSETREGEVRFPEISTPILEKICQYFYWSLHYSREVIIKMCIFHVQYIKDCKRLYRRIKNRIPATAQS >KN539814.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539814.1:58318:59055:1 gene:KN539814.1_FG005 transcript:KN539814.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRGEEEWVMWGSAGRRRIIRQSASWEEQAFARDAAANANLGGCVWPPRFYTCSFCQREFRSAQALGGHMNVHRRDRARLRQRQTSSSSSPSHQEEEAEAPQDQQLAGRPYYTSFSKPSTTTSTDNTTCSNDILLLARDQETIKKRVPRQQVQVVADQDEDDEPAGRRYKRRRLGLVDQLPSSCEGGDHHQVLIITPTTAATSPSSIITSASALVVGDQQHQQAGSVLDLELRLGTSKAVMPA >KN539814.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539814.1:13898:16016:-1 gene:KN539814.1_FG006 transcript:KN539814.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDGVVALYGGGKVSCKPPAATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHLVEAQILEAIGVDYVDESEVLTPADDDHHINKHNFRVPFVCGCRDIGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFSYAKRIATPYDLGFRNIVPDRWEFANDASGEEQIISSSSSPEPLLMLSQTPSSSGGVASGGG >KN539814.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539814.1:49506:52523:1 gene:KN539814.1_FG007 transcript:KN539814.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALDSAWEGLTGSFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTPSYQNRCVLRLILYHVCVNLPVMVLSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYVMLHLGLILLHFVIVPVLLNNNFLGRYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSNFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRNAKAIEEKDGKHL >KN539814.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539814.1:26983:29407:-1 gene:KN539814.1_FG008 transcript:KN539814.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPESRRLTLLLMAACFLLQALSAHAITRHYKFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVAHNVTIHWHGVRQIRTGWYDGPAYITQCPIQPRSSFLYNFTITGQRGTLLWHAHINWLRATVHGAIVILPKLGVPYPFPAPHKEAVIVLGEWWKEDTETVINQAMQLGVGPNISDSHTINGHPGPLSECASSQDGFKLSVENGKTYMLRIINAALNDDLFFKVAGHELTVVEVDAVYTKPFNTDTLLITPGQTTNVLVRANQGAGRYLLSVSPFMDAPVQVDNKTGTATLHYANTVSSSMASLTLVKPPPQNATHIVSKFTDSLRSLNSKEYPANVPQTVDHSLLLTVGVGVNPCPSCINGTRVVGTINNVTFIMPSTPILQAHYYNIPGVFTEDFPATPLHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGKGVGNYNPRTSPSTFNLIDPIERNTIGVPTGGWTAIRFRSDNPGVWFMHCHFEVHTSWGLKMAFVVDNGKRPSETLIPPPKDLPQC >KN539814.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539814.1:1518:11905:1 gene:KN539814.1_FG009 transcript:KN539814.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 34 [Source:Projected from Arabidopsis thaliana (AT1G31360) UniProtKB/Swiss-Prot;Acc:Q9FT73] MEEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVVPKDWSGSFLWDSRADDIRFNVFGISSYRQNQREHIATFQIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVIAREKVHMRWSKSKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQFLLVGMCDNCTSSLELKEIDATHHTKIIVSLLHDIQLNDQRATLLQLVDKFKAKWKDLGCSNESIDLKKEGIEQLVVQLILDRVLVYNSPSTATIETFIWSTSLVTLNKEEFQHTAYATNAYVALGPLWKPALQGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTDKYGNAIIELMRLHVDSEVAGEKACVSKRQKKNKEDVICVESSEEEDV >KN539814.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539814.1:68875:74080:1 gene:KN539814.1_FG010 transcript:KN539814.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase/oxygenase activase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39730) UniProtKB/Swiss-Prot;Acc:P10896] MASAFSSTVGAPGSGLVVKNWLRTAGYSHHGGRCRKIMAMASGSSKEVDEEKQTDRGGEHRQDRRLVNSAGVGAAQDDDRQAHGVRAHAGEGEGAGERQEGAARSPTSLPQLGLYTLSSSGRPSSTIIDFQQIKMAAAFSSTVGAPASTPTNFLGKKLKKQVTSAVNYHGKSSNINRFKVMAKELDEGKQTDQDRWKGLAYDISDDQQDITRGKGFVDSLFQAPTGDGTHEAVLSSYEYLSQGLRTYDFDNTMGGFYIAPAFMDKLVVHISKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDNVPDEDIVKIVDSFPGQSIDFFGALRARVYDDEVRKWVSDTGVENIGKRLVNSREGPPEFEQPKMTIEKLMEYGYMLVKEQENVKRVQLAEQYLSEAALGDANSDAMKTGSFYGQGAQQAGNLPVPEGCTDPVAKNFDPTARSDDGSCLYTF >KN539814.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539814.1:35966:37478:1 gene:KN539814.1_FG011 transcript:KN539814.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVQGGSAEGGERREGMDSEKGKKQKRKGKREKKKQAAAQDSTQVEEEPSTACIFSEEFNCKKKKKKKKKKSTTSPAAVAVAVAEAYCGWIMEDETVSVCGLHPGVAVTGFCPACLRDRLAGLHPPSADLRRCKSFSYYARSSSYLEPHPQQDAADAPTTNTKPALGSLGKRWQEWRRKSKLKHKQHHPPPATATDPALLARRSCDAFSTRAMLDEPRASYHPIPMLFVPRSDDQIPVEEEEEEEERAYVPGGSAQTRDYYLDSSSSSRRRRSVDRKSFSSDAGDQIPRMVANARVSPATELYHQPHTFQYHQPPFLARERQEAKSKPKNKGIKGWSIWGLLHKKSNTTNSVGATASAFAGEARPELRARGYDGQMLRCNSSVSARSSFSGIGSSFNGGRLGMRRADEVLLERNFSARYSSSSSCRYQFHKDDYSNSIANNSGGAGAGSRPPRSSTLPRTSLGLYYY >KN542640.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542640.1:11814:13498:-1 gene:KN542640.1_FG001 transcript:KN542640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYKQLPRACCGAPGTGPYNFNLMAKCGEPGATACADPKTHWSWDGIHLTEAAYGHIARGEVLCRSQISGKTRSFSLQTSVDPTISPRAAKEAVNQLVLKPEGFHLKNWS >AMDW01034186.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034186.1:71:377:1 gene:AMDW01034186.1_FG001 transcript:AMDW01034186.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EQIKTVITVEDGVAHYVTVFFCKACHGTSELLGWVRNVFQHCAKIWGTDALARELEYVQEVFSVSEDSKGKKLFEKCTDLIERLKVVQAESMGPEVLLEALQ >KN538713.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538713.1:74538:74738:1 gene:KN538713.1_FG079 transcript:KN538713.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEGERHLGYYETSGDELDAAAAAIAVELVAQREEQADRDAAVCLRGCRQIRNASPRYRGRSRG >KN538713.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538713.1:56422:59946:1 gene:KN538713.1_FG081 transcript:KN538713.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHEGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEGLAPGLRSLFHYNGAHQLSVPVNPLGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >KN538713.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538713.1:10300:11966:1 gene:KN538713.1_FG083 transcript:KN538713.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPTSRAAAGTEAGARGIMMDRFLPAAQAVAIGSPQYTFRKAGAASATSNSGRELARAAGSNASGSSGDDPGRRTPVQLPYQHLPPNYLSCSYPRREEQEDEDDDDDYDVHSTRGFASKGCGLLPSLCVKSSLLLLNPMPAMKRGKPRGNGRVREFASKGRGRGAPSPLARSSQNKHLGCASNGQSWEDVYKHKLEQKYVRPGEDGRSKLTSESNQLTFWSDSQTGNGSSPFHHSAGGGMSPYYRDVVLSSSSKADESFGTGVKEDKMSSSNGSSSLGRDHDRGSLLGSDRSSLKGSSSISSGLDRPVDVESMDHRGDIDSETSHSVLLLDSRASLDAGGCGSQLGEQIVGKNPIGKGEDNDPLTERVSEVTERTLLAPSEKLRSVNLDDGKTSGHLEDSSVSKRDMPLQSLLPLPVPRSPSESWLSRTLPSVTSKPPVPSFLGIQLQSKKQTPWASIQPKENNVKPPRPRQIRFADVVERPNSLDAEI >KN538713.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538713.1:114648:117037:-1 gene:KN538713.1_FG084 transcript:KN538713.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLVAEAEAQVFFKAKAKGGFRALPFIISNEILEKVAGFGLNINFITYLNMQYHLSHANAGSLLFVWGAVSNFAPIPGAVIADMYLGRFIVVAIGSIACFVGMVFLWLSAMIPGARPPPCDMRASPELCAPPEARHMAWLLAGFVFLSVGSGGVRPCSMAFGADQFSRHPKERRSRILQVYFNAYYGSIGVAFMVAVTVVVYVQDNLGWKVGLAVPTCLMLLSAASFLLGSGLYIKDRGSKRMFAGIGTAIAAAVRNRGVWLPAKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISNTKEDKSGSGGADGISDRGRLCTVDQVEQLKSAIRVMPIWSSTIFLAQAMNQYFAVPQADAMDRRVGAGGFRVPSGTFAVFNMLTMSLWSGCYDRGIGQGKISATQLAIY >KN538713.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538713.1:1209:2359:-1 gene:KN538713.1_FG086 transcript:KN538713.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWYIRKSTAPLLLAKHSSRTSSSAVNGGSISSGAADSTTLARSTAARRIHLTTPSSFDNNDDSGRLAVLSSFDDNDRYFVAPRHGQAERPIRRRHEKHLDARLGNTSEEGLPTHLSGDF >KN538713.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538713.1:118938:122407:-1 gene:KN538713.1_FG087 transcript:KN538713.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALSKKTTSKGGLRTIPFIISNEVFEKVATFGLHANMILYLTERYHMTAATGTVVLYFWNALSNFLPIFGAVLSDSCLGRFRVIALGSVVSLATFFNWYYTVLGLSIVLASTVIVYIQQAKGWVIGFAVPVVLMLTALTLLLLGSPFYLKAEADRSVLVGLVQVLVASYRRRRGPLPPETADASRFHNRAGYKPRTPSNRLRWLNRACALGDNPDKEVNPDDGSARDPWTLCTVQQVEDVKAAVRVLPIWSTGIMPGVIIGQQMFPVLQAKTMDRRVPERVPFFFAVPQSYIFLLGNRHNLYIIASKMHLYKALPMVNHLHPLTSYCPFSFAEDGSSRR >KN538713.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538713.1:83910:90996:-1 gene:KN538713.1_FG088 transcript:KN538713.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein GLABRA 2 [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/Swiss-Prot;Acc:P46607] MEVAEAAGDGVAGRRQQEEASGAAPFGRSSSLLGAAGGAAGFDGALRVEHLFGFLPSSPIELKDLRSQLHQAADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEHQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKLVEYNSPTIPGAIRTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEAGVFGRKNGPAASGGDGVEEGDEEVQAAGEAAVEISSENAGPGCSQSQSGGGSGEDGGHDDDDGEGSKKKKRRKNYHRHTAEQIRIMEALFKESPHPDERQRQQAVQERHENSLLKSELEKLQDEHRAMRELAKKPSRCPNCGVVAASSAAAADAATAADTREQRLRLENAKLKAEIERLRGTAGKSAADGVASPPCSASAGATQTNSRSPPLHDHDGGFLRHDDDKPRILELATRALDELVGMCASGEPLWVRGVETGRDILNYDEYVRLFRRDHGGSDDEMAGWSVEASRECGLVYLDTMQLVHAFMDVDKWKDLFPTMISKAATLEMISNGEDDGRDGVLQLMYAELQTLTPMVPTRELYFARYCKKLAAERWAIVDVSFDDSETGVHASSAARCWKNPSGCLIEEQNNGRCKVTWVEHTRCRRCTVAPLYRAVTASGVAFGAGRWVAALQLQCERMVFAVATNVPTRDSTGVSTLAGRRSVLKLAHRMTSSLCRTAGGSHDMAWRRAPKGGGGGGDDIWLTSRENAGDDPGEPQGLIACAAASTWLPVNPTALLDLLRDESRRPEWDVMLPGKSVQSRVNLAKGKDRTNCVTAYAARPEEEEESGGKWVLQDVCTNPCESTIAYAAIDAAALQPVIAGHDSSGVHFLPCGFISVMPDGLESKPAVITASRRGGEASGAGSLVTVAFQVPASPSAAAATLSPDSVEAVTVLVSSTLRNIRKALGCDSCEEEF >KN538713.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538713.1:108210:114472:1 gene:KN538713.1_FG089 transcript:KN538713.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFNCPAAHASSSPYHLLLLPSSSYSLLSRLRRHFASSSSSRSSSPGGDSVAPPCSGFTIGRCSLFCFSGGVSRLVAVSSRGFSRAYNTTQNTTSTFMEVVQEVLKHGSTQGVRAAIRSDQKSYNLVQLISSALDVCNILRNKNVPPLSFSMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSMYLHKKYALLLSYYAPLSLPKKNPSFNEKAASIPVNIDCQEPSSTEVTLSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITIYAKLLPVLKFLEALWLFDTFGLPGSNNVHTAIARMCGSSALPSPLMKRLFGCSLSWHCLTHCMVHVKKVQLANRFRVLRTFLSQAKIIMEDGTETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKVNKKELKKLLGA >KN538713.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538713.1:49656:53527:1 gene:KN538713.1_FG093 transcript:KN538713.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADLPRPPRRGPLAIVDYAHDETAMSPEQEDWEINGIDRSGLDAQVAEGNYEEKTLSGIVHILTPNIRSEMSQQNDAPEQNQVGAAAAMSLTGAEMEDVQVEEAADNMQNDDPLSRFLPPPVTAKCSAALQQKINKFLAYKRAGKSFNSEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDRSDYYDEIEADMKREVERKELEKKKSGKVDFITGGVQAPISAAIPKIAAAVSAAAAAGAPLVSLSGEGVQKEARPNKKSKWDKVDGDIKNPVAPSGLDNLSAAALLTSANVGAGYAAFAQQKRKEAEEKKTSDHKSDRRS >KN538713.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538713.1:19995:22231:-1 gene:KN538713.1_FG095 transcript:KN538713.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRRSSSSSSSSRPARRPARTNARVSPDVSSELSPLAGEEGTGEERWSALVPDLLADILRRVEAGSERWPPRRDVVACASVCRRWRDVALAVVQPPLESGKITFPSSLKQVYIYTNAIAAAWTKGRTNAVFHQEEQEKLYLFPISWINTRSNFTGTKFTIRDWQPPYEGAKAFSSRSGCWFGNKHRCPLVSTGDVEVGEVSYKYSLLRSRGPRRMSCSVQCPVLEGTAVDPQDGKRLSNSIPSSLVLNSKVPSWHEHLQCWCLNFHGRVMVASVKNFQLIAPVEPGEPSDETVVLQFGKIDDDVFTMDYRQPLSAFQAFAICLSNFGTKLA >KN538713.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538713.1:65477:68045:1 gene:KN538713.1_FG096 transcript:KN538713.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRQSWIIIVCFCTRRSRPQKAASFTEAGHGGVDRLMAASGGGSLLERRSSVRRSQSMVSEEADEDLGGGGGGGTLKIGAVLDKDSAAPKSRLAKDTGEHGGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSCSDSDEQRSDEKWWIPIVKVPPGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVLSADDHAREKAKKEAPPAPAMANDAAEHHHQQAGEVDAPCKMTGSPNGRTLLDFMDDWNGDADRPSPTAPEPAAQEDGRLMKLPNIMTNLKQTYMDNLFGAHRSPPGRH >KN538713.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538713.1:77688:82023:-1 gene:KN538713.1_FG097 transcript:KN538713.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G11520) UniProtKB/Swiss-Prot;Acc:P46644] MPSANVRGAQPSADRRLSTLVRHLLPSSARTATTTTTTSSAADADSSLQAFPTMASSSVFAGLAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLTIRSYRYYDPATRGLDFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLDQWEQIRQLMRSKALLPFFDSAYQGFASGSLDQDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDSAMFNEWTVELKGMADRIISMRQQLFDALKTRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSGRTIPHLADAIHAAVTKLK >KN538713.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538713.1:94731:100803:-1 gene:KN538713.1_FG098 transcript:KN538713.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDITRAWSHPSWRITNPPALSRETNSTKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKFLMRFCSISTVDSKPIPLLSLVPLSLCLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALFQGDHKNSIHMQQAANAAVNGAIGAAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENDKDPNNQKASADDPSTGGIRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQAAPVNQNQSELYAEQERCVLTEFRDCLHKLVTFGRMKEEKFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >KN538713.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538713.1:92444:94057:1 gene:KN538713.1_FG099 transcript:KN538713.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1C, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/Swiss-Prot;Acc:Q9M812] MASALAVARPAALVPRGGSKSITGNLPMLPAVPSTRLVSGRMRSRNVVAAKAAQDSSEPSSGSVVKYVQSSFSTPEDLFALAGIGFAGIAALWASINLVEVIDKLPVLPLLFELIGILVAWLFIYQNLLFKPDRPGETNEYLTVCAKCEKLIFAIVHSRSNVHFMYRIE >KN538713.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538713.1:62550:63018:1 gene:KN538713.1_FG101 transcript:KN538713.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDALDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSKGSFIYFKLGALRFLVFKGAAS >KN538713.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538713.1:31381:32799:-1 gene:KN538713.1_FG102 transcript:KN538713.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding METTSQDSQVIMGRYKLGRLLGRGTFAKVYKAYKLATGEAVAIKVFDKEAVQRSGTVEQVKREVEVMRRVHHRHVIRLHEQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVTDFGLSALDGGLRGDGLLHTTCGTPAYVAPEVLLKRGYDGAKADIWSCGVILFVLLAGYLPFNETNLVILYRNITESNYRCPPWFSVEARKLLARLLDPNPKTRITISKIMDRPWFQQATCPLGDMPLVASAPSVLLARREASQQHDDDDEDDGFAREKKKRSKVTMSSPVIDVRPSSMNAFDIISRSRGLDLSKMFDAEERRSEARFSTRETTTAIVSRLEEIAEAGRFSFKLKEKGRVELEGSQDGRKGALAIEAEIFKVAPEVHVVEVRKTGGDSPDFRDFYKQELKPSLGDMVWAWQGGDSPPSPPLVPAAGRRPITKRS >KN538713.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538713.1:70777:73785:-1 gene:KN538713.1_FG104 transcript:KN538713.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSDAGTLFSAPSRNLSSSSSAFVSANQSPFFTPRCLSARVSDHAHPENNSSLSGTVLKISDILSSDTLLKREQLPSATVGLLQSDASPPPSICTSSNFDTPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRQWGIYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESVDALSRVSDVQLEANDGDWRHCILQTIIVLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGRSVNNYEQVNTDDGKGKEPVLWKETKENIEMQRLGSPPRHGRPSRTKNDGRYGRKRRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDSHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPFLVIIDNLESEKDWWDKRVITDLLPHFGGETHFIITTRLPRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGSILSELPITPSRLLDTLSRTLPIRDCSWNERDAISLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWRTLTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRTAQAVVQSIYLRGSIKHSSEHLWAACFMFFGFGSDPFLVEPRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWRETPLSCFRPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLS >KN538713.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538713.1:131657:133426:1 gene:KN538713.1_FG105 transcript:KN538713.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKFPIVFSAICLFLLCHGSLAQFLSQSRGVTGPTFPGCPEAYQQQFQQSGQDQSFEGQSQNYKFIDEHQQIHRFRQGDVVALPAGVAHWCYNDGDVPIVAIYVTDIYNSANQLDPRKRDFFLAGNNKIGQQLYISEARESSKNIFGGFSVELLSEVLGISSGVARQLQCQNDQRGEIVLVEHGLAFLKPYASVQEQQQEHVQPSEYRQTQYQQKQFQGGYSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNAQKFPILNLLQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRARVQVVNNNGKTVFDGEVRPGQLLIIPQHHVVVKKAQREGCSYIAFKTNPNSIVSQIAGKNSIFRALPNDVLANAYRISREEARRLKHNRGEESGVFTPSRAYRSFQDIMTASL >KN538713.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538713.1:37395:41090:-1 gene:KN538713.1_FG106 transcript:KN538713.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPARREPTPQAVRASPMPSAAAAAALVRRGGGGSGGTVLGKYELGRVLGQGSFAKVYQARHLETDECVAIKVLDKEKAVKGGMVHLVKREINVLRRVRHPNIVQLFEVMASKIKIYFVMEYVRGGELFSRVSKGRLREDTVRRYFQQLVSAVDFCHARGVFHRDLKPENLLVDENGDLKVSDFGLAAGPDQFDPDGLLHTFCGTPAYVAPEVLRRRGYDGAKADIWSCGVILFALMAGYLPFHDHNIMVLYRKIYKGEFRCPRWFSKDFTRLITRLLDANPKTRITVPEIIESDWFKKGYKPVKFYIEDDKLYNLSDDVLNLEPADPVPPPFGLAPPAPPPPQGDDPDGSGSESDSSVVSCPATLSTGESQRVRGSLPRPASLNAFDIISFSKGFNLSGLFEERGNEIRFVSGEPMSDIVKKLEEIAKVKSFTVRRKDWRVSIEGIREGVKGPLTIGAEIFELTPSLVVVEVKRKAGDNEEYEDFCNMELKPGMQHLVHQMLPAANGTPVIEKVERSSSLQAPLTLKLIGTEGSMS >AMDW01081020.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081020.1:247:516:1 gene:AMDW01081020.1_FG001 transcript:AMDW01081020.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPRSDVNREYFAEEHDRR >KN540352.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540352.1:10662:12657:1 gene:KN540352.1_FG001 transcript:KN540352.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKAVSSVVGIDAISMDMASRKMTVIGTVDPVDVVSKLRKASWAAYIESVGPAKEPEKKEEKKEEAKKDAGGGGGGGGEKEGGDGKKEEGGDGKKEGEGKKEDFAF >KN540352.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540352.1:36606:38254:-1 gene:KN540352.1_FG002 transcript:KN540352.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISCSQPWLEKKSKLHDALGLIYVKANNREVRNSLMKEPTLHDAIKIVVTYRKQELLQLQQQNNDPAEPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPPGVEVIDIPSTP >KN540352.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540352.1:31816:33170:1 gene:KN540352.1_FG003 transcript:KN540352.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTRKTKHRPPILIQNCQSARAMRRGHTLPMWQPTSVLYKGKVYYGFALPTRLNLFNLNKKEEQKLFKDLEPEPDKRGLGYVDFVHAFFSAVVFLSVAFSDVGIQKCFFPKTRTPARTTRSCSRTCRWGWRCCQASSS >KN540352.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540352.1:22167:24104:1 gene:KN540352.1_FG004 transcript:KN540352.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALDRLLEKFKLMEAKRRQEEKIDKLLEMFELREKRERESMLEISAIIRATTAVFKSTSSPSTPASPLVPARCSTECLNNNITWVDANSSHNGEMPAPMVALELGDSKNKDPATYIVTEDLPIVTPTRCSLICSSSDVKPDLIVNVVFACATTAVASRELVLADDATDTINIGTPGCSNEMHAKCLTLGLDIKGDPNQAVFAFQTMMGISKVVPSSVQPAENFLSGTVNDIKLGTPMLNTCLPKCPNGDNKLLMEHTERNPWPPPWSAGVTRRWEEWHVPWSAFNSLRARVYLLSPWPPLIQEQWDWVNHKSCTINGTSSLQKHTSGLEQIMCMPLGVGAIAANGTMRKWMKVTRNVKQWCISMGESWPDFVEPLSMFVARVLRKQQAGGLSDQLVSKERSVIPETINRKALGNLVSLDMAMFWWSDIVYSEQNRHTISRTEMAFSVPELDSGRGSHTPNISEVGVEYGLMWNLLEVIRNANKWSVCMGGRWTDIVESLSLFVDVWRFVLYASNFCWYLCCTLQSKIKVDKLSQEPNETSYSDTSIPEKNTHVLKYLACTQVHGQSVVIIGKSETKELVNTEFWPFALVDSSKIVNDNSVWQLLKHIISAGIIAKISLIIPWDPGGFCLLKFLAISSPGQVTF >KN542124.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542124.1:7956:9761:1 gene:KN542124.1_FG001 transcript:KN542124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALFIPILLHLVTRRKYSSYNLPPGSLGFPWIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKVSVFGSPTVLMAGPASNHFVFSNQDLIFTQTKAINVLIGRSIMTLSGDELKQVRSALQGYLSPEMVTKYVWKMDEEVRRHIDLNWVGHKTIKVAPLAKRLTFNIISSAMFGQGAAPFREALATGFEKVVRAALSIPVNIPFTKFSKGLSASRRIRKLLGQIAHEREAAFHQGHCSSADDFFTYMFALRSEGKHSLTVEDIVDNAILLLIAGYETSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNEPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRDYHIPKGWQVFTAQIVTHLDANFFDEPSKFDPSRFDNLSSIPPYCFVPFGGGPRMCPGNEFARTETLVAMHYLVRQFRWKLCCKEEGYRKDATPMPVLGLPIVLETRSPPDYAHA >AMDW01036341.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036341.1:21:457:1 gene:AMDW01036341.1_FG001 transcript:AMDW01036341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLTFGGQMPVIKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNINGDAFNEKSRIPDPQRMVRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQHSEQGDR >KN539460.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539460.1:58194:60850:-1 gene:KN539460.1_FG001 transcript:KN539460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAVVFFYFTNNVELSTRKVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPDPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTARDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWVYDRKVQL >KN539460.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539460.1:44542:45441:1 gene:KN539460.1_FG002 transcript:KN539460.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIPAFGNWDTTGNTPYTQKFENARKNKKAGISSHPNDPRRHPEPPTKSPLHPAYTPDAQGQSPMNPQLGRRQEADPHRRHSLSQQREVGGGTGSAPRSPYRMVHGSASPAQPNNPSKPKHRSSGMQTPERRASSEGHGQHTPRRSRDKQGGRGYDAPEDDVAVPPFGEWDEGNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTNRSQENKVKQALI >KN539460.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539460.1:61359:62009:1 gene:KN539460.1_FG003 transcript:KN539460.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSNPERITDEPIQITSREETLGSDQRPGNLESSIPQREPKPTQAQINLGTPLTPRISMRRWEMNPPQHTTEHAEHAFFTPQRFDLIHRQITNLTNPREARTKKNPEARKNPPYQTKTTRATGREGGREEGLEGSGHLLMVEAMATTGDIPLLLLCATPPQEDGDAVWDAANAMSSGSEISGMILPLLAFLSTWTSASNSRGLEKGFVQEKLGY >KN539460.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539460.1:91653:93017:-1 gene:KN539460.1_FG004 transcript:KN539460.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVGAREPFVKAQTNLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKSWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPYWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVINKRLFCIEWKNQRSLAIFNPSDSSWQKIPVPLTGSSATLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGSEWHTSTLKPSGLCLCSVTIES >KN539460.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539460.1:33848:39119:1 gene:KN539460.1_FG005 transcript:KN539460.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGERRCGGSRRTPGAGWGSAQASGGAIDETKLEAGWDGFTLTGVGERMRREQENAAATSALLAARGDGTDKEGRRRSDFCGKSPPIFVIREEEHEAAGIVEAVRRIYSDVDRNGAGFGSCCSQLPLMKISVAERAIVVPQPWQLQVFLVMVFVKKKNLLTSMQANKTRVSLSAVISGAWHMTYLWQLNIDAAPLQMMMEKELMSEIKVMDMVWNCKFFGTPLLFMDIMVIDDCSRFRIGCLPGNLILTCFSQGAFVDPRKHREIQKVKFERTESYGAYEHQTLVS >KN539460.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539460.1:88232:89837:1 gene:KN539460.1_FG006 transcript:KN539460.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQFSSFTTTSGISDKSLARDRSKDESFFEARPWLDSDSEDDFHSVRGDFTPSRGTTPDHQRQSPFAGRISVDRSEPSLIEKKQRLLELLQEKQHYDDDSVADVGSEIENGAVHAEEYLKSARKGAKANKASKSRGGCFPSSFWKIKFRSCRKKRKEQND >KN539460.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539460.1:17260:26082:1 gene:KN539460.1_FG007 transcript:KN539460.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRVMDMTDMQFTDGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLKSGGKFACLTLAESHVLALLLSEFRFGWDMSIQAIGNESSKSAFQTFMVVMVKGKMGVVHPIQSLLDQSGKFCNMKQANDVIHSLEKENTIRESYSSGVDITLSLRDLQLGAVGDLKVIIPGRRRMFILGDQGNSLYCYKAVLLDARKRTETFVYHCGVFIVPKVRAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHANADMDVIKNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDEDQSSMTEKMFRRLIFKRNSGLVQSEALLVKDSTSDKADENNKKSPSASKKRRNQKKGPSGFKTVLRIDHSYLGSSYHSSIISGFSLIASALDSAAVAGTKVSTTVIGLGAGTLPMFLRGCLPFLDIKVVELDPLVEEVAKKYFGFSTDEQLQVHLGDGIKFIDDIAVANSRATTQQLMSTGNENNAVKILIVDVDSSDVSSGLSCPHANFVEDSFLLAVKKFLDEGGLFIINLVSRSSAVREMVVSRLKAAFEHLYSLHLEEDLNEVLFATPSERCLDNNNMDEAVAKLKAMLKFPVNVESDMKKLQKLQ >KN539460.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539460.1:47052:49032:-1 gene:KN539460.1_FG008 transcript:KN539460.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Violaxanthin de-epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G08550) UniProtKB/Swiss-Prot;Acc:Q39249] MPRQCGNRALLAEGSSTVGVVHGRKTRGGISTVTSSRRRSHGCVRFHRCCPPRAHLWRKGDHLPLHHAKTPARCSEIKAHTVLQGSDALSSIREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLIANLTWRIRTPDSGFFTRTAIQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENQEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPESIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >KN539460.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539460.1:52574:56728:1 gene:KN539460.1_FG009 transcript:KN539460.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MESDFDAFMEWLSNEISLAEEENRKLSVEISSVAETTLKDSIQLDADITELESSLKKIDSQGLKHLEASHIAELSVSTDSCRDQIKFDKDYKYEVLELNQQLEKYENDLKLLENQKRFEFPTDICTISSAEAMWELESMLSEANVLDFKDNCLRVFLKEAVLTPECLMYGKESDCSVNSFVSDHELLIEVGENMEPKKVQIFPDDTCVDILLDKLKASRETISTTSLGWIIRQFQHHIIINTLRRSLVKDANNSRHSFEYIDKDGTILAHLAGGIDAFIKISADWPLSSCGLKLISIHSSRAQSADISLALLCKTKELANVLELQTRRHLVKFVDAIEDILFREMRS >KN540098.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540098.1:19866:20283:-1 gene:KN540098.1_FG001 transcript:KN540098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAVRRMVLILLLTLFVVLAVLHRAPMVASAARVLLQDCGGEFQNKGLIQMMF >KN542982.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542982.1:5445:8677:1 gene:KN542982.1_FG001 transcript:KN542982.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCALWKRHLLTLTVQMLMAIYVVSKQWRGDKWLAVPTAIMFVAGTTRYAERIWALRRAQSTSLESSDMEFYAPSAEYDFNTHSTDYYSKLSSIISDEQERNFERIVEVATKGFRLGLDFLMDVIPPRPAYWYQGGTELWGGGEPLDSLVDMAYKLADIHLSMIYDYFYTKFGGGLVVGLLCRITTLALNCIALSLFLVSRLDHHLKAGSSYNIADVTICYILLVGAFTLEISSVLLWLMSSYSTWNLLRKHLHPESSRIEWSGELQQYNMIDECIHEKKAGRQLGRVMRLVGIERACSTKPVKVSTDVKRLILDKMLKIWATSTSANKLDLTRFHGEWAQRWVKRYYHHEAPPFEFTAGTSYEEQASASPSARAQRALWISRIQDLGFVASVFIWHLVTDICLEADSTRVAEKLTNSSWELSNYVMYLVVKCKAMVSKYERDSLSYSREQVMWPVILDRPVDRSEFVENLLSARHHDVLGDAIDVSSELLKMEEAAARWDLISTVWVEMICYMAHNCGVAFHAKQLCAGGELVTHVKMLLMILRFPV >AMDW01040246.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040246.1:533:877:1 gene:AMDW01040246.1_FG001 transcript:AMDW01040246.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LINAFEDHIRAAVEKAIPENIIDGTSKLDSLLQSLPRSVNLDNISALNMTFVNDPQYGNSSIEFDINGLFSSATAKLSNSQKHPQLSLSCGGASKMLLLSLDEAVFNSALEVYFK >KN540098.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540098.1:55684:58804:1 gene:KN540098.1_FG002 transcript:KN540098.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLQVQATTNTARTVVPPVPCHPDQASALLRLKHSFNATAGDYSTAFRSWVAGTDCCRWDGVGCGGGADGRVTSLDLGGQNLQAGSVDPALFRLTSLKHLNISGNDFSMSQLPVITGFEQLTELVHLDLSDTNITGEVPGSIGRLTNLVYLDLSTSFYIVEYNDDEQVTFNSDSVWQLSAPNMETLLENLSNLEELHMGMVDLSGNGERWCDNIAKYIPKLQVLSLPYCSLSGPICASFSALQALTMIELHYNHLSGSVPEFLAGFSNLTVLQLSKNKFQGSFPPIIFQHKKLRTINLSKNPGISGNLPNFSQDTSLENLFLNNTNFTGTIPGSIINLISVKKLDLGASGFSGSLPSSLGSLKYLDMLQLSGLQLVGTIPSWISNLTSLTVLRISNCGLSGPVPSSIGNLRELTTLALYNCNFSGTVPPQILNLTRLQTLLLHSNNFAGTVDLNSFSKLRNLTFLNLSNNKLLVVEGKNSSSLVSFPKLQLLSLASCSMTTFPNILRDLPDITSLDLSNNQIQGAIPQWAWKTWKGLQFIVLNISHNNFTSLGSDPFLPLYVEYFDLSFNSIEGPIPIPQEGSSTLDYSSNQFSSMPLRYSTYLGETVTFKASKNKLSGNVPPLIYTTARKLQLIDLSYNNLSGSIPSCLLESFSELQVLSLKANKFVGKLPDIIKEGCALEALDLSDNSIEGKIPRSLVSCRNLEILDIGSNQISDSFPCWLSQLPKLQVLVLKSNKLTGQVMDPSYTGRQNSCEFPALRIADMASNNLNGMLMEGWFKMLKSMMARSDNDTLVMENKYYHGQTYQFTATVTYKGNDRTISKILRSLVLIDVSSNAFHGAIPDTIGELVLLRGLNLSHNALTGPIPSQFGRLDQLESLDLSFNELSGEIPKELASLNFLSTLNLSNNTLVGRIPDSYQFSTFSNSSFLGNTGS >KN540098.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540098.1:52527:52748:-1 gene:KN540098.1_FG003 transcript:KN540098.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWTSTASTGRGGRQLVVPVFLLLVLTLLMVMASAAGRGANVSNVCVPRPSPEGCPIPPCGTKEFVPCPPP >KN540098.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540098.1:34162:37602:-1 gene:KN540098.1_FG004 transcript:KN540098.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKRPVRPHHLAKPLLTMLHILLQVQAITALTDDATAPVIQCLPDQASALLRLKHSFNTTAGGYSTAFRSWITGTDCCHWEGVHCGGEDGRVVTSLVLGGHNLQTSIVDPALFRLNSLRYLDLSGNNFSMSQLPVTGFENLTELTHLDLSDTNIADYFWQLSLPSMETLLANLTNLEELHMGMVNMSGNGERWCDDVAKFAPKLQVLSLPYCSLSGPICTSLSSMNSLTRIELHYNHLSGPVPEFLAGFSNLTVLQLSKNKFEGLFPPIIFQHKKLVTINITNNPGLSGSLPNFSQESKLENLLISSTNFTGIIPSSISNLKSLRKLDLGASGFSGMLPSSLGSLKYLDLLEVSGLQLAGSMAPWISNLTSLTVLKFSDCGLSGEIPSSIGNLKKLSMLALYNCKFSGKVPPQIFNLTQLQSLQLHSNNLAGTVELTSFTKLKNLSVLNLSNNKLLVLRGENSSSLVPFPKIKLLRLASCSISTFPNILRHLHEITTLDLSHNKIQGAIPQWAWETWRGMYFLLLNMSHNNITSLGSDPLLPLEIDFFDLSFNSIEGPISVPQEGSTMLDYSSNQFSSMPLHYSAYLGQTFTFKASKNKLSGNIPSICTAPRLQLIDLSYNNLSGSIPSCLMEDVTALQILNLKENKLVGTIPDNIKEGCALEAIDLSGNLFEGKIPRSLVACRNLEILNIGNNEISDSFPCWMSKLPKLQVLVLKSNKFTGQIMDPSYTVDGNSCEFTELRIADMASNNFNGTLPEAWFTMLKSMNAISENDTLVMENQYYHGQTYQFTAAVTYKGNYITISKILRTLVLIDFSNNAFHGTIPETIGELVLLHGLNMSHNELTGPIPTQFGRKPKGNVDCRLSQFCVLGDLHIEFFPVSKFRAAKHEQYDHSSLRFKKE >AMDW01143606.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01143606.1:209:364:1 gene:AMDW01143606.1_FG001 transcript:AMDW01143606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHY >KN539492.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539492.1:13709:20185:1 gene:KN539492.1_FG001 transcript:KN539492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVLEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISINAHSTSSVSQFSWGHLVEVYYLYFYKLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRFPVQDKNKRATVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLVYRREQFNEDAFNSNEKDYRDSPRRRRRPNNSDALYVQDMVMEVQRTLCSTFMDFGDNTEDENELESLIDSQLVALRKVFRIPHKPFDETHGPASKKLLTLFRSGKLGPFILDDLPDNQ >KN539492.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539492.1:73384:73902:1 gene:KN539492.1_FG002 transcript:KN539492.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKDAIAGMSQWANTPNQHHQGLQLKPATRSSAATKLLRFPGAWQNQPCPRPHYHAVHGTGGFQCDAFNKVMASKDAAVTILDTRNQLFTWIPTSVHRDIASRESVEPTIITNFGVKQTKLPRAGTVTKPSMVIVPYSPRAVDLATKGARSMLGARGDTMTSMARTLTTG >KN539492.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539492.1:60370:70915:1 gene:KN539492.1_FG003 transcript:KN539492.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLLWMALIMVTSVGFCKGDQDFVAERGYIKIKRSTFAVLIVFTVTLIALIIALMRYMSKKSKADETIDSTRSSQDNKVHGEVINRWSGLYKFSKGEIEKAINYANSKICLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEGLSKVRHPNLVCLFGYCDDGGDQYLVYEYCANGNLAQNLLRSDSVLSWPARVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTESMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGKKVIELDTVARDSLTKKARDVVSGKKPLDEFIDPRVRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVFEEMDKALRNTDSKVGRAREEINPPSTIQYQSTRAKPKRHLDRRLASPPPPPPPPLPPPSPAMEAADPSDGILSEEIDSYGILSDEAELDGDTQDIPSIAVKSARQTERRARRTRAAIKAATIVRTSPKPATSSKKKRSKGASSGTNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELAEYNGGQPTFAQWATAAGVDERTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECIKRLRRKLKRRPTNDEIAADTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPTAETAEEMLNRLSMKRDVHKALDTLTTRERQVVTLRFGLEDGRIRTLQEIGNTMGVSRERIRQIESAAFRKLRSKKRVNALKDYLVTGRV >KN539492.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539492.1:52072:58973:1 gene:KN539492.1_FG004 transcript:KN539492.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDARRLPLLPFLLLLLAAAAGVAESATDAEAIHDLARSVPALGWDGDNVCGFEGVTCERGGAGKVTELNLADRGLSGTLPDSLSSLTSLTALQLQGNALTGAIPSLAGMGSLARLALDGNAFTSLPPDFLHGLTSLQYLTMENLPLPPWPVPDAIANCSSLDTFSASNASISGPFPAVLATLVSLRNLRLSYNNLTGGLPPELSSLIAMESLQLNNQRSDDKLSGPIDVIASMKSLKLLWIQSNKFTGPIPDLNGTQLEAFNVRDNMLTGVVPPSLTGLMSLKNVSLSNNNFQGPKPAFAAIPGQDEDSGNGFCLNTPGPCSPLTTTLLQVAEGFGYPYELAKTWKGNDPCSPAWVGIVCTSSDVSMINLSRKNLSGRISPALANLTRLARLDLSNNNLTGVIPDVLTTLPSLTVLNVANNRLTGEVPKFKSSVNVLAQGNLFGQSSGSSGGGGGSDGDSSSSDSAGGGKSKPNTGMIIGIIVAVIILFVCIALLVHHRKKKNVEKFRPVSTKTSPAESEMMKIQVVGANGISNGSSAFPTELYSHVSAANSSNISELFESHGMQLSVEVLLKATNNFSEDCILGRGGFGVVFKGNLNGKLVAVKRCDSGTMGTKGQEEFLAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGFIPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRIAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDDETHLVTIFRRNILDKEKFRKFVDPTLELSAEGWTSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIDEDDYEGETSEMGLHQQLEKWRCDDFTISDSDTFGSFNVPRKYNG >KN539492.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539492.1:93662:94003:1 gene:KN539492.1_FG005 transcript:KN539492.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLLISIAVTSFVLLSCGVVAALTVDYGSAGAAIGLRIRRVEDLSLQETEAAESGSYPPLYRRVLQGSNRNVGHKLLVKDNAGCLRGQCAARAPYSQPQHRPCSPVYRCPQ >KN541335.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541335.1:57:296:-1 gene:KN541335.1_FG001 transcript:KN541335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACRGHPNIVQIKDVGADAKSGDVFLVMEFVGGSLRDELPRARPEKQ >KN541335.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541335.1:4139:4360:-1 gene:KN541335.1_FG002 transcript:KN541335.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAHALVLFLFMFAAVLSPAARRDAAEAMHAAANTRRHRSSGRPDGKTIDQGIGYMLMALALVLTYVLH >AMDW01045299.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01045299.1:69:314:-1 gene:AMDW01045299.1_FG001 transcript:AMDW01045299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRMETLEQIKETLRETSKLVPDIVRAAVGLEHHYQTVKLPHDDGCVKSFAAAFLRPQAQEQEQEQAHGDGDGDDGEVQQA >KN540401.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540401.1:471:19360:1 gene:KN540401.1_FG001 transcript:KN540401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNNSGSKILVIILPMVSVAIIIVVISLCIWNARKKRRRLAKAERHPGTDTNEDFESVKSTLLSLASLQVATDNFHESNKIGEGGFGAVYKDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGRRRNSGPYLSEQNDEDILSIVCKHWEEGAIAEMIDHSLGRNYIKKNSASKILLIVLPIVAVAIVAAISVCMWTVRKKSRATKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLITKILFVLWVFAWKMERVAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILILEIVTGQRNSGPYFSEQNEDLVSLVWRHWTEGNIVEMIDYSLDRNYPEAEVLKCVNIGLLVGNDVGQVCNRTKDAILVYNQCYAQFSDKRDFLNAANNSNEYSLLKSGTNITSTDIAGYDRAVTELLNATVRYALENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGFLGQWWKLFPLNGEGARVAGPRCHLRSELATFYTGNPMVQLPDAVPAITGGQKNSGSKILVIILPTVSVAIIAVISLCIWNVCKRSRSAKAGHYSRRPDTSEDFESVKSTVLSLASLQVATDNFHESKKIDAKQRRQLDWATRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIVTGRRRNSRPYFCEQNDEDILSIVWRLWEEGTTTEMIDYSLGRNYPEAEVLKCVNIGLLCVQQNPVDRPTMTDVLVLLNSDTTCTLPTLAPRPTYLIDGTSSYSQTVTQWSGR >KN540401.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540401.1:35809:42606:1 gene:KN540401.1_FG002 transcript:KN540401.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSICTRLRRPRTSGASAAVRLLMVEEDGKRQQIFRAWLTEREKTRDGSGNVRRGQQHGGKGKDDMIGAVECEAGSTYESNLLNLTRTLRENASSSPTLFAAGALGSAPNPVYGLLLCRGDVSPSDCAYCGLNVVQDVGRSVCNRSKDSVLVYDQCYARFSNKADFLVSSNNSGEVSLLLTNGTSITSAGVAGYNHAVSELLNATVRYAVENSTRLFATGQRVGNENDTGFRNIYSMAQCSPDLSPALCRRCLDDLVGKWWKTFPPKGEGAKVAGAKCYLRSELGQGPFYNGAPMVMLRADGLTPATDVAPATSGKSNSATKVLVIIVPIMAGAIVAAISLCMWNMRNKRSVGKAEVFSGPDTGEQFESVKSSLLSLASLRVATNNFDESMKLGEGGFGPVYKGLLLGQEVAVKRLAKGSNQGLGELKNELVLVAKLHHNNLVRLIGFCLEEGEMLLVYEYMPNKSLDTFLFDTEQSSRLDWATRLRIIEGIAQGLQYLHQDSEKRIIHRDMKASNVLLDADLSPKIGDFGLARLVKQDKSRDITKRVAGTFGYMSPEYVMRGEYSTKSDVFSFGILVIEIVTGKKRSNGTYFTEQYEDIISTVKRHWVEGNIVDMIDQSLGKNYPEAEVLKCIKIGLLCVQQNPIVRPTMTDVIVLLNGGVTRSLPASAAHRPTSLGDGSSGYSQTITQLSAR >KN538752.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538752.1:282640:288825:-1 gene:KN538752.1_FG062 transcript:KN538752.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELDHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVSLFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGNMYMVLGDIFRKGDTASNIGNAILYECICCISSIFPNAKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPEIDRNLSFLNGYVQQAFENGAAPYIPESERSGVVSVGNYKAQDQQETSAHALRFEAYELPPAASQASISPTTDLVPVPEPSYYKEDHQMSRSQPSGDSLSGEFGLKLRLDGVQKKWGRPAYSSSSTPSSSTSSQQATNGGVSSEVGGSTSSQARESTYGSKRQQATEVSAEKQRLAASLFGKADRKAQAGRKTAKESSSTEKVATANATPQPAKEQVIPSAPPPDLLDLGEPVSSSPPLADPFTQLEGLLGPASASPVVSETPASSTSKTPDLMSIFSDDVQTGVTSGSTEPSLGVNVVAAKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >KN538752.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538752.1:155353:158053:1 gene:KN538752.1_FG063 transcript:KN538752.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding TETFEKVATIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDAYLGRFKTLAYGCFASLLGMLGMTLSASLPALKPPICHEKTRLGGGCNSPSTLQLSVLYLSLGFLIIGGGAIRPCSLPFGVDQFDKTDEEGRKGLNSYYNWYYGTSTAALVLSMTVIIYIQNNVSWPIGFGIPTLLMFLAIIMLFLGTNLYVHVQPEGSIFAGIAQVLVASFKKRNLKLPCPHDINQQGLMLYNPPSKGNRVFRLPLTSQFRFLNKGAIVMGDDINVDGSARNSWELCNVQQIEEVKCLIRIVPVCISGVLCFVALAQQFTYIILQTFTMDCHFGTHFEIPAGSVVSISLIALTLFIPMYDRILVPIARRFTGVESGITLLQRQGIGLAISPISMVVAGLVERKRRNSALSNGGISPMSVLWLAPQLVLMGIAEAFNAVGQIEFYNKQFPENMQTLAGSLFFCTIAGANYLSTALANIMRKVTTRDGHSSWLTDNINLGKLDYYFYFIALMGVLNLIYFLICSPSTNIR >KN538752.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538752.1:290175:294810:-1 gene:KN538752.1_FG064 transcript:KN538752.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPNMDAEGAQSNQTAHEVSNHNDSAADIESAVQEAVLREQDIETQQVIQNQRQAKATIEPTQYGEDLLSNRRNPNALKEHLLKMTAEHRAEMANKRGKPLHADNGNVEIGNGYGVPGGGAYYAANMSSDKPKDVADKAKGADDLPEFLRQRLRARGILKDEATNNSFTIKQNVDSPVGQIKAAQELPPGWVEAKDPTSGASYFYNQSTGTTQWDRPGAPLNTMQHQAPPSSSLPENWEEALDQSTGQKYYYNTKTQATQWEPPTAVNPGVAPHAPTNAAVEMAAQNTDIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTTHSSSNKNPGNVAAKDRSSAKPPFGKANRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVASHGKKRGMAPITKRGDGSDGLGEAD >KN538752.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538752.1:264826:271257:-1 gene:KN538752.1_FG065 transcript:KN538752.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWNSPYYDNPPYGAGSGGGGNRRSSSPPRGAGDKKETKTKDYHSYTSSNNNNNGSDNDKDQNKHKTTSSNKHKDDEKDRNNHKDSHGGGGNSSNYSKDSYGGNSGNPNNYYGSSTGVAGSGSYYSGGGGGYGGGNTSYGGGSSSYASAKKSADVTELQIGVKYKPESCTLQAHKGDKIKVHYRGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIVVNGKTTGGASNSEL >KN538752.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538752.1:228885:229321:1 gene:KN538752.1_FG066 transcript:KN538752.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSYAVAPLVLVLLLLAPLSVVAAQTQVVSGIKYYLRIAARDADDELVFDAVVVVKAWVPSREMVSFVPAAELPGY >KN538752.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538752.1:204428:211623:1 gene:KN538752.1_FG070 transcript:KN538752.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTQNDTVPNIGIPVVMVSQSAGRKILSGMDGGAKVDILMYAPEKPSFDGAIPFLWLMAVGSVACASVWSFVVVGDEDKNAPTLGGEEAADSEIVELQTKTALVFIVTASLVLLFLFFFKSTWSAWLLVVLFCLSGLQGLHYVASTLIVRACDRCREAKVALPVLGNVTVVTLVILPLALIFVVVWAVHQNSPFAWVGQDLMGICMMILVLQVVHLPNIKVATALLVSAFMYDIFWVFISPFIFKKSVMITVARGSDEGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDRAHGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMKSGQPALLYLVPSTLGTIVTLGAKRGELSQLWNAKSCSIVLVREAIIDLNMRISDDFFDAWWQWRLDLGAAMSPPGRKAPAGAGGIRRWLSTVVVSVVALVLTLVVISLSVGSSLTGASLHEYLFVRPSDSSKLTDGNMNDTAVGVPLQEEVLQGGKEVPVEHSVQSGGMNSSETGEIDTKVQDPAVTDDTVSVPDEGNLPVTSDSSDNLQKTNEGSCDLYHGHWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENYRWKPEQCILPRFDGPKFLELMRDKTIAFVGDSVARNQMESLLCILWQVEAPVNRGSRRMSKWIFRSTSTIIVRIWSSWLVHRSTEAVGFAPKGIDKVFLDIPDETFMEFLPRFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRKAGNMQINNVDAFGISVETCLTALATNPNFTGIAIVRTYSPDHYEGGAWNTGGSCTGKTKPLDVVVRNGFTDTMYGKQVSGFTKAVQNSGKHGSRLKLMDITEPFALRPDGHPGPYRSTDPNKKTKRGPDGRPPPQDCLHWCMPGPVDTWNEMLLETIRREFEGVRS >KN538752.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538752.1:230525:240448:-1 gene:KN538752.1_FG071 transcript:KN538752.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAIQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKGNALKDSGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGYVSSDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTCPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNRVSLFTSVNKNGRLLVWSVIVISGPEDWWQFRSWMVVVLFSEIVGLDSFNLQYPPCPVFIIHG >KN538752.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538752.1:245198:246841:-1 gene:KN538752.1_FG072 transcript:KN538752.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNTRDESSVSDPAPGGAEIPPKGASDGNARKRKASRKGKGKDSPMSTSAAKEDSGGKRCKSTEESNAAAEENSGKGKAAQSNSENGGKKQGKDSSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDPAFCRPMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQSQEIATSSNSYNGSLQTVHMKMEL >KN538752.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538752.1:300295:305803:1 gene:KN538752.1_FG076 transcript:KN538752.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGAACCGGATGPGYATPLEAMEKGPREKLLYVTCVYNGTGINKPDYLGTVDVDPNSPTYSQVIHRLPVTHVGDELHHSGWNACSSCHGDPSASRRFLILPSLLSGRVYVVDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWAGGLLQKGSEVVYVTEDDKEEQYSVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGQDLVKKGSHMLQIDVDTEKGGLSINPNFFVDFGAEPEGPSLAHEMRYPAFQLQQSALANLAELGLSGRVYVVDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWAGGLLQKGSEVVYVTEDDKEEQYSVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGQDLVKKGSHMLQIDVDTEKGGLSINPNFFVDFGAEPEGPSLAHEMRYPGGDCTSDIWI >AMDW01032340.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032340.1:1:365:1 gene:AMDW01032340.1_FG001 transcript:AMDW01032340.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIRHQLARHPILGCYGDGSVLLPANVVPRDERPHVLRLLDATLLRVHNILLILLSWRDCSPRQLIKGAIF >KN548740.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548740.1:46:533:-1 gene:KN548740.1_FG001 transcript:KN548740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPSEQSKDLEVRRSAISENKQKEERNRKQYGVMQCHTTQITNVHEAIPDNNYVEFDSALFEPANEGGLDN >KN541733.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541733.1:14585:15450:1 gene:KN541733.1_FG001 transcript:KN541733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELTMLPPLSGDDKTRRGWFAYGCALLTGDDFDTPRPPYASFRLLLLYNHGASTTVLRCYSSSSGRWGKEVDITGVASISGEKMCQIGPAAKRLLGVTAADNRLFFVSFGIWEGCLSGAVSYFDIDGDDIGTGRENSDRDGEVLYPMFDMKMRRRHDQSTLKLRWFCEKSGLVLFTLGEGSGYPGTFALDVRSPAVEKAVNGYSVSWRDVHLESPAMVKVVDGHSWSSFVGYEMDMATYLAALAA >AMDW01037398.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037398.1:179:676:1 gene:AMDW01037398.1_FG001 transcript:AMDW01037398.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLPVREGVSSAKLTLIVACFLLPFLVEAESRAPAPLSFSFDFSNSASYRLEDLRFEGNASEPSNKLVDLTCNEFAETIHKCKGRMSYAHAVKFYDATTGEVASFSTRFTFAIAIRSDSSNPTDTKGDGLAFFLAAYPSTIPSNSDGGNLGLLATKHSKAYGTN >AMDW01039323.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039323.1:148:663:-1 gene:AMDW01039323.1_FG001 transcript:AMDW01039323.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHRYAHLLDDPFFSFPPSSSSCPFLSPPAAASSICPFFALDSPSAFADPFDLHPFLPTSSLLDPFLLHTLTDRVSQLELALAARAPHPRPTSRKCTYVTESAGRKVKWTTEDKPRAGERVLKWEAELDSPYDDGFDRKWKWEAKGKTASAAATKLKWATHLKGKGCLEP >KN539444.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539444.1:484:6845:1 gene:KN539444.1_FG001 transcript:KN539444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIAEETGGTFTFIENQAVVQDAFSCIGGLLSVTVQEARLAITCPHHGVRVREDDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEIRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNFIDFAFGSYVTFS >KN539444.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539444.1:28356:28757:-1 gene:KN539444.1_FG002 transcript:KN539444.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGRWLLDLRGRIHGHTIQVHKNIKNALAAPTSTCLQQQQASIFGSGSVEGQENTAESGDARAQSALVRGSRGCAGDGHLLSPGLRRAIEMRECRSGAAVAALDASVYAAMAGSVEVVFPNERRCREQWW >KN539444.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539444.1:9045:13096:1 gene:KN539444.1_FG003 transcript:KN539444.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWLRYGHTGECREEEKRVGVDSTGGGLANGSPARGMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAFIFSDLLHLLAKENDYITILRTEGVNSCLIHLSEPNEKMVKSTSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQELDYTSNRKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >AMDW01015998.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015998.1:41:169:1 gene:AMDW01015998.1_FG001 transcript:AMDW01015998.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDDGDDDGLSIDASGGLNYDSEDARGGEDSGAKKESNANST >AMDW01129544.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01129544.1:1316:2417:-1 gene:AMDW01129544.1_FG001 transcript:AMDW01129544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IGSRQYIVMPGRYIYTQRLKGANVDDQKFSLLDNADVQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEDFPADPILEYVPA >AMDW01033133.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033133.1:82:475:1 gene:AMDW01033133.1_FG001 transcript:AMDW01033133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIP >KN539208.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539208.1:21434:26374:1 gene:KN539208.1_FG001 transcript:KN539208.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPFLRRRMRSRRLAAVQQDAAVWTPAPVSSFGPATADGSLVHFSVDLSDATDLAASYTTPGQYLLIRVPGEDELKPAFMAIASPPGGAAFEFLVKTVPGTTAEKLCGLRDGDVLELGAIMGNGFPISRINPPDEAQTVLLFATGTGIRFGYNRYLGMTKCLALKDWVDSMLFWQIGRVAGSLWLGASPVRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFTNWESTGLKIIPVLSRADDSWKGERGYVQVANIMFGSSGQRAETLRIYFNTVQ >KN539208.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539208.1:84833:86878:1 gene:KN539208.1_FG002 transcript:KN539208.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGNGSDRRLPPRLMRGLTTKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMQNSPPSSPETGPIPPQETAAGAGNNDSTVDPVDLPEDKSLVEAQPQEPGFPSAESQEPGLPAALSRKEDDAERAAAAAAAASEIKQSEKKNGVAAGGDTKIKCDENGVDEGFPYARPSVCELYGDVRVSPKQKTIYVVNPSGAGGFDENGEKRLRPYARKDDFLLPGVVEVTIKSVPSEAAAPKCTKQHAVPAVVFSVAGYTDNFFHDMTDAMIPLFLTTAHLKGEVQILITNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPQGYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRDALELRRDRPSVLGEEPGMRPRMLIISRAGTRKLLNLEEVAAAATELGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPAEAVVVQIVPWGNMDWMATNFYGQPARDMQLRYVEYYVGEEETSLKHNYSRDHMVFKDPKALHAQGWQTLAATIMKQDVEVNLTRFRPILLQALDRLQQ >KN539208.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539208.1:67069:77139:1 gene:KN539208.1_FG003 transcript:KN539208.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/Swiss-Prot;Acc:Q94BP7] MATDREKEREAELESAMYTNCLLLGLDLADFDKVWPIFDSAQSREFRKKHIDALTLTSVGCLDRARNHQRAGAAGSSATEQLQGIFTRHLLWAEERSSHARPLASKDDGQHTDLGSCFQLPDTRQKNHGVALVDQRSRGQEQCGDIGEAGRMSCGGIVQKEHTVVTSREKLSVLSVLQRLESVDQSWKKISRPHPLNSSNGEGAYHCHKKINVASLLQLLESMVGPGGMRFVELLWQLSVHALREVHRRTFAADVASSPLPAALTDARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKDQTPDSESDSDSPIESWYRISGSQLLAAMDLSSSVPHSELLSARASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELSEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIDAVDNNRRMQKLGFSSPQMKFSDLHFNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLAWRFLWFGSDCCWDIFGEFLAGCVACQLPPTCEGCLRQKKGYY >KN539208.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539208.1:33883:35231:-1 gene:KN539208.1_FG004 transcript:KN539208.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRCCRIYLRENSLNLSLSQLFRHLMIGRIFATPLLKGCIIAIGLIELWSILDGSSSIVRGRGKNKRIWTCFEDEELIKALFKIALDPSWKSEGGFKNGYCQVLENVLAKKLPNSGLTAVPHIESRIRHFRTKFGVIEVMLTKSGFSWDDNRKMIQCEKQQYDDHCRKNNEAKGLYGVAFPHYDTLATIYGKDIATGEGAEGLGEAVANMEKEIVQDIQDEEDEEDEERVSREMPRRSVDSSAPRRSIDSAAPRRIINSAAPRRSIDSTASSSKKRKKDSNKLKNTLSSDPFMDIFVNVQGD >KN539208.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539208.1:115447:117885:1 gene:KN539208.1_FG005 transcript:KN539208.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVISKPDALLFNRTPCRRRFFIFFFFFFFLDPWIDGNTKRLTRFFEFAVNGRLSVDHAPRSLLIRQRIHADSRRSADTFPAAEDPKVVDEDEGDEDATAKGTSEEEKRLLSSEPEQGKNEEAATASEVLGGGGEEDNKNGEEEGHTQHSKVTLPTVSNYTIRDAEDTENGKQEDGKPNEKYEFEMDADKGDNVEPETDNEEWNKKPLCDFSNFRANVCEMRGNIRIHPNASSVMYMEPASSKREEIWKVKPYPRKGDELCLGHITEITVKSSKVAPECSKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYKVVFDKLSKYPLIDFNNDDQVHCFRHAIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRRTYSLPRDFVTALGEIPKAKPRLLIISRQRTRMFLNLNEIVAMAEEIGYEVVVEEANVSSDLSHFGKVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRIDFGNPAEQMGLRYKQYSIGVHESSLTDQYPLDHEIFTNPLSFHKHGFEFIRQTFMDKQNVKLDCNRFKPVLLEVLDQLNQ >KN540117.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540117.1:11907:14303:1 gene:KN540117.1_FG001 transcript:KN540117.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDINNRSWEGDTRSKFASAMNGIAASATYQDPSLPSLVPYMTSRIFISNYTYSFPISPGRIFVRLYFYPVAYGYYASEDAYFGVKTNNLILLDNFNASQTAQAANYAYILREFSLNVTLGSLDLTFFPSTRNGSYAFVNGIEIVPTPDIFTTQTPTYNTEGNLDPSDIDSMTSFQTMYRLNVGGQAIIPQGDSRFYRSWEDDSPYIYGAAFGVTFGKDSNVTITYPSTMPNYTAPADVYATARSMGPNWQINLNYNLTWILSVDAGFHYLLRFHFCEIQYPITKMNQRSFFIYINNQTVQDNMDVISWSGGIGMATYADYLIVTVGSGQMDLWVALHPDPSSRPQYYDAILNGLEVFKLWDIGKKNLAGLNPPLPPQPKTDVNPRRVSGGGKLEGAVPAAVCAVVVLITACFCVCIICRRKKVAKHSGKTDKKCLTYQTEFYKSPSNLCRNFTFHEMQIATSSFDETLLLGRGGFGDVYRGEIDNGTTVAIKRSNPLSLQGVHEFQTEIETLSKVRHGHLVSLIGYCQEKNEMILVYEYMARGTLREHLYSTKRPPLPWKERLKICIGAARGLYYLHTGPKETIIHRDVKTANILLDDKWVAKVADFGLSKVNPDIDATHVSTVVKGTFGYFDPEYFRLKQLTQRSDVFSFGVVLFEILCARPPVNTELPEEQVSLREWALSCKKIGTLGEIIDPYLQGEIAPDCLKKFADCAEQCVADRSIDRPEMGDVLRNLEVALKMQECAENNSKFSEETTSSKTTPDMMTIMDTDKQSTYSTMSITGQRTIFSDMMDPQAR >KN540117.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540117.1:42705:46875:1 gene:KN540117.1_FG002 transcript:KN540117.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSRRALLLFFLEVAVAMALILPGDLTDSGSNHAPLIAGIVCGLGGALLVATAGLFAYRRQQRIRLAKEKLAKEREEILNANNSSGRTAKNFSGRELRRATANFSRDNLLGAGGYGEVYRGVLADGTVVAVKCAKLGNTKSTEQVLNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLYGPLSHPPLPWRRRLAVAHHTAQGIAYLHFSAVPPIYHRDIKSSNILLDERMDGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTCKRAIDFGRGADDVNLAVHVQRAAEEERLMDVVDPVLKDNATQLQCDTIKALGFLALGCLEERRQNRPSMKEVAEEIEYIMNIEAGNAHLKELHSL >KN540117.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540117.1:27309:29717:1 gene:KN540117.1_FG003 transcript:KN540117.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDSNNRSWDGDTSSTFAPSVKGLAARASYQDPSLPSLVPYMTSRIFISNYTYSFPVIPGRMFVRLHFYPVAYGNYASRDAYFGVTTNNLTLLDNFNASQTALAAKYAYILREFSLNVTSSSLDLTFFPSTQNGSYAFVNGIEIVPTPEIFTTLSPIPPTNGNLDPSDIDSMISFQTMYRLNVGGMTISPQGDSMFYRSWENDSPYIYGSAFGVTFSKDSNVTITYPSTMPNYIAPADVYGTARSMGPIAQINLHYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTVQEQMDVIVWSRGIGITTYTDYVIVTVGSGQMDLWVALHPDLSSRPEYYDAILNGLEVFKLQDVGKKSLAGLNPPLPPQPKSDVNPKGVSGGGKSKGAVPASIRGAMGSTATILIACFSVCIICRLKKVAKHSFLTDKKCMTYRTEFYHSPSNLCRNFTFDEIQVATRNFDESLLLGRGGFGDVYHGEIDNGENVAIKRSNPLSVQGVHEFQTEIELLSKLRYCHLVSLIGYCKEKNEMILVYEYMAQGTLREHLYNSNKPSLPWKQRLKICIGAARGLHYLHMGANQTIIHRDVKTANILLDDKWVAKVSDFGLSKANPDIDSTHVSTVVKGTFGYLDPEYYRRKQLTQKSDVYSFGVVLFEILCARPAVNIELPEEQASLRDWALSCQKKGMLGKIIDPHLHGEISPPCLRMFADCAKQCVADRSIDRPLMSDVLWSLEAALKLQENAENNKKFSEATTSSKRTPDLITIMGTDKPSTYSTMSITGQKIIFSDMMHPQGR >KN538895.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538895.1:46852:48791:-1 gene:KN538895.1_FG019 transcript:KN538895.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MYDADTFEPAIAGCEFVFLVATPMQHDPTSTKYKNTAEATTDAMRIILDQCERSRTGYVSSKTLSEKELLSYNGSSPSPAFEVVTLTCAVVGGDTLQPCPWSSSIPVILAPLTGDEPSHNSLKFLQALLGSVPLVHVEDACDAHVFCMDQPSIAGRFLCAAGYPNMKDCVDHFAAKFPDIEIKLKEVIGEGVRVQADTNKLVDLGFKYRYGVEETLDSSVDCAKRLGEL >KN538895.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538895.1:23261:24892:1 gene:KN538895.1_FG021 transcript:KN538895.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G61930) UniProtKB/Swiss-Prot;Acc:Q9FH50] MRIDGIVFCRIQSLVPSPPVQASTTHPRTPLFAVAPLSVAAVLCPCAAAAFILIRLHAAAAAVRVPTPLRVSHKEKYDVPRLPAILELCIQAGVDVERYPAKRRTRPVYSIEGRIVDFEPDDDEDDSADDTGPSLPSLAADEAGKMEEEMMVCELGARTLQSWLDMRAGAARLMGKYGVVTCGYCPEVQVGPKGHKVRMCKASKHQQRDGQHAWQEATVDDLVRPNYVWHVPATGHGGDGGAPPLANELKRYYGKAPAVVELCVRAGAPVPAQYRSMMRLDVVPPARDEHDLVA >KN538895.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538895.1:3155:3770:-1 gene:KN538895.1_FG022 transcript:KN538895.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLLFLVALLLSCSTMGNAARRLEVEYPAAHPAVPELPKPDLPPHPVVPELPKHEEPPPHPHPAVPELPKPELPPPHPAPRRAGAAQARRATAPQPPHPVVLELPKHEEPPHPVVPELPKPEVPHPAVPELPKHEEPPHPVVPELPKPEVPHPVVPELPKPEVPHPTVPEHEQPPKPESHYPEKP >KN538895.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538895.1:1485:1818:-1 gene:KN538895.1_FG024 transcript:KN538895.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGFMASFSIQAIREDGVWCESSFKAGAKSDTRGIMAGMHRYQAWYLRHRYHLITIGA >KN538895.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538895.1:87150:94834:-1 gene:KN538895.1_FG026 transcript:KN538895.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLIASSLPELAPWFPPRPSCRRRVRVVASASDAGVNGSTGSRGGFPVFLPTAMERIRDEPTIRLAKRIERVPTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRCKLQHLNVSGYCLIEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGGDNNPVGGGSEAVPWRWKNWHRNILSRTSLLISCYSLLGWDEKKTDLLMRMPGATERLVLFEADMYDAATFEPAIAGCEFVFLIATPIHHDPRSTKYTSTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDDGGEGYKDFINESCWSPLNFPHRYSNALLDAYLSSKTLSEKELLRYNESESPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFMQALLGSVPLVHIDDVCEAHIFCMEQPSIAGRFLCAAGYPNMQDYVDRFAAKYPEIEMKLKEVVGEGVRVKADTNKLVDLGFKYKYEVEEILDHSVEYTKRMGLLSRNTT >KN538895.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538895.1:71739:72578:1 gene:KN538895.1_FG027 transcript:KN538895.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNVLAAVVPTCPALAHVALQTGSKHYIGPPESIGKLPVETPFSEDMPRHDYPNFYYDQEDVLFDAVTSSSSSSSSRRAAAVTWSVHRPSLIFGFSPRSAMNVVCSLCVYAAICRKERRELRWPGSLGAWEGFSNASDADLVAEQQIWAAVAGAAAKNEAFNCSNGDIYKWKQLWPVLAGKFGVEWAGYEGEERRVGLTAAMAGKEAVWAEIVAEEKLVATELGEVANWWFVDALFMDKWEFVDTMNKSKEHGFLGFRNTVRSFEAWIDKMKLYRIVP >KN538895.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538895.1:15859:17055:-1 gene:KN538895.1_FG028 transcript:KN538895.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKIAMSTSSLLLLLAAALLLTGDAARILQEAAWPPYDYPKPDQPPPLLPTPDVVPNPNQPAPLQPTPGVPPRPDLPPLPNPDAPPMNKPDVPPMPKPDGPPIPPAQPCPDQPPQPKPDGPPLPNPNQPPQPNPNGPPKPDMPPMPKPDGLPNTYEPSRPDQPPQPKPDGPPLPNPNVPPKPDQPPQPIPNGPPKPDMLPMPKPDGSPNPYAPSVPDQSTQPNPNGPSLPNLDMPPMPDQPLQANPDGSPKPDVPAMSNPYVPFKPDQPPQPSADMPSKPDQPPQPNPAGPIQPDQPPQPIPNGPIKPDQPPQPNPDMPPKPDQPPQPCPDGPPKPDQPPQPNPNGPSKPDQPPRPNPDMPPKPDQPPQPEYYEQPPKPDAPQMPPLPNGEIPFSP >KN538895.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538895.1:5465:6230:-1 gene:KN538895.1_FG029 transcript:KN538895.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVLFLAALLLLSSSSMSSAARWLEEEYPPHPTVPELPKPELPKHEEPPHSAVVPELPKHEEPPHPAVVPEFPKHEEPPHPAVPELPHPAVPEIPHPAVPELPKHEEPPHPVVPELPKPEVPHAAVPELPKPELPPHPAVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKPEEPHHPEVPEHEQPPKPESHYPEVPMAKP >KN538895.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538895.1:37989:40787:1 gene:KN538895.1_FG030 transcript:KN538895.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVAAALVLLMSSSLVASDWCVCRSDQPQAALQKTIDYACGAGADCNSIHEQGQCFNPNTVVAHCSWAANSYFQRNRAMGATCDFTGTATLTTSDPSVSGCSFPASASGAGTSTTPTMGGTTGTMTPGTFTPGTGMGTTTGTGMGTGTTTGTGLGGLGPTGTSSMDTAAAGLHLRAGLATFCTVLLSFVAIA >KN538895.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538895.1:49700:59380:-1 gene:KN538895.1_FG031 transcript:KN538895.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLIATPLQHDPSSTKYKNNTEAAVDAMRVILQQCERSRTVRRVIHTASVTAASPLREDGSGGYKDFINESCWSPLNLTYDFTNAHLDGYVSSKSLSEKELLSYNSSPSPAFEVVTLACAVVGGDTLQPYLWSTIPVIMSPLTGDELCHNVLKVIGEGVRVQADTKKLVDLGFKYKYGVEETLDCSVECAKRLGEL >KN538895.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538895.1:75302:77631:-1 gene:KN538895.1_FG033 transcript:KN538895.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAATFEPAIAGCEFVFLLATPLQHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASAPAASPLREDGGEGYKDFINESCWTPLDHSHSYNNTMDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSVPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCTEQPSIAGRFLCAVGYPNMQDYVDHFVTKYPEITIKLKEVVGKDVRVQADTNKLVDLGFKYKYGVEETLSCSVECGKRLGLL >KN538895.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538895.1:82086:84212:-1 gene:KN538895.1_FG034 transcript:KN538895.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGTSYPSLPHFLFQILVQADRGGNDEWLLILTGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTVRRVIHTASVTAASPLREDGGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAAGYPNMQDYVDRFAVKYPEIAIKLKG >KN538895.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538895.1:26212:30025:-1 gene:KN538895.1_FG035 transcript:KN538895.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLLAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPSFVLTGCYDGLARIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLRLFKICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESLFEGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCDGESSSLIAAGGSDPVLRWPLASVESHKDKIVEAFIVKVTARLSY >KN538895.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538895.1:9574:9975:-1 gene:KN538895.1_FG036 transcript:KN538895.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHTKPSILLLAAALLLLSCSSIGGAARYLEEAAPPPAAAEEEEHPAHPAVPEIPKPELPELPKVPELPHPVVPELPKPELPKIPEVPHLAVPELPKPELPEIPKAELPPLPKFELPPKPEFHFPEPEAKP >KN538895.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538895.1:44582:45560:-1 gene:KN538895.1_FG037 transcript:KN538895.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSSRHADPLEEVEMNSASATEDDDGCRYVFQSRRDSDNDNDDEEGVLPATACKRRRRLDDILALLPSPPTPSTSSGSEGTISDRDHGGDVIGAGDDDAAPVAARREASFPCHLCNKEFGSRKAVHGHKRVHHAENEKEPMSLPPQVAAPVHPQARLPTRGASRSGGPYKCKYEGCIMEYESHQGLEYPTGVALGGHKRKHYRKDLDLTLSLAPPGQLAAPPTPAPAPAPAAIAAVEAEAEVAEDGDGGEPVPTTPPTGARRNVVVRIWGVDLEKPVDDVEEQDSGSN >KN538895.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538895.1:13524:13916:-1 gene:KN538895.1_FG039 transcript:KN538895.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSMSSSLLFLMALLLSWSSISSAARYLEEEAAPKEEYPELPKPELPPHLAVPELPKPELPHGAAVPEFPKVPELPHPEVPELPKPELPEHPAVPELPKPELPSLPKVELPPLPKPEFHFPEPEAKP >KN538950.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538950.1:89216:91203:1 gene:KN538950.1_FG001 transcript:KN538950.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLHATTSLHSPCTTNTSFRQNQVIFFTTRSNRRGSTRYGGARTFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGAPTEAAKV >KN538950.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538950.1:182492:184252:1 gene:KN538950.1_FG002 transcript:KN538950.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGSPETPKPAAKASHTGMFNSFNHLR >KN538950.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538950.1:70686:72231:-1 gene:KN538950.1_FG003 transcript:KN538950.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31920 [Source:Projected from Arabidopsis thaliana (AT1G31920) UniProtKB/Swiss-Prot;Acc:Q9C6T2] MVEDGVEPDSYTFPFVFKACAQLGSLQEGRQLQGHLVKLGFQCDEHSQNSLISFYGKCGEADLARRAFEQMEDDEQTTASWSALLAAYTRAGRWAECVESFGAMVRAGWRPDESSMVSALSACAHLGAHDVGRSVHCALLRNTARLNTFMSTSLVDMYAKCGCIEEAAMVFDAMDDKNAWTYSAMVSGLALHGDGRKALEVFDAMEGLRCFDRMRLEHKLTPNAQHYGCMVDLMARAGRLDDARALIGSMPTGPTDTAWRSLLNACRIHGNLELAERALQELERLGATNAGDYVILSDMHARAHNRDATAARRTEAVDRGLAQAPGYSAVEVHGATHRFVSQDRSHPRADDIYEMLHQMEWQLRFEGYTPDTSELALDAGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSKECHAYSALISEIFGREIVVRDRNRFHHFRRGACSCRNYW >KN538950.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538950.1:17050:19049:1 gene:KN538950.1_FG004 transcript:KN538950.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARFFLAAVFAVAATCLCLSAAASAFAVPSVAFDEGYSPLFGDDNLVRSSDDKSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKAYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPGMGGDFPAKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASEFSDLALLGCRADPVLRAPRDGGGAGL >KN538950.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538950.1:153498:153998:1 gene:KN538950.1_FG005 transcript:KN538950.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARVMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQEEISDGEVEIPSA >KN538950.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538950.1:530:6627:-1 gene:KN538950.1_FG006 transcript:KN538950.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAGGEDEEETSPIEEVRLTVPAGDDTALPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLIVTQITVQVASLPVGHFLARVLPRRAFRAPALLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGNAYAVMIVDIIRAFYQRSISFVAAWLLITTTQWQHCTGKAHYSNLSLLHNILFLVNILGRRALHEKDELPHGSRQISRSKFFLVALICSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGLKGLGVGAFSLDWTAISSFLFSPLISPFFATANIFVGFVLFLYVLVPIAYWGFDLYNAKTFPIFSSHLFMSNGTSYDITAIVNDKFELDIDAYNKLGRINLSVFFALAYGLSFATIASTVTHVGLFYGKEIYHRFRASQKEKPDIHTRLMKKYDDIPVWWFYSLMALSMTVALILCTVLKHETPGLNVITEYAIGLIIPGHPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSIHDICQDSLPADSPWTCPNDRVFFDASVIWGLIGPIRIFGPHGNYSALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATAVNYNSWLLFGTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLATCPTANGVDLGPTSVCPVF >KN538950.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538950.1:157452:164365:-1 gene:KN538950.1_FG007 transcript:KN538950.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLPLQSSSGHNAAAGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTAQLWVYEDGAMVNRSVTYVPGLYKIFDEILVNAADNKQRDPSMDSLRVEIDADEGRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTRVTFKPDLAKFNMNHLENDVVALMRKRVVDMAGTLGKTVKVELDHQKVPVHSFSDYVKLYIKSASKDRDDVNELPSISQKVNDRWEVCVSLSEGQFQQVSFVNRIATIKGGTHVDYVTNQIATHVMNIVNKRNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQASFGSKCELSDDFLKKVGSSAIVLNLLSWAEFKLSKELQKTDGSKRSRLTGIPKLEDANGAGGKDSNNCTLILTEGDSAKALAMAGISVVGRDYYGVFPLRGKLLNVREASHKQIMENAEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQATNKRDKKIVLPFYSMPEYEQWKESLGGNASGWSIKYYKGLGTSTSSEGRQYFQDIAKHKKDFVWKNDQDDNDIELAFSKKRITDRKEWLTNFQSGTHLDTEGKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKEIKVAQFSGYVSEHSAYHHGEQSLASTITGMAQDFVGSNNINLLQPNGQFGTRDQGGKDAASARYIFTLLSPITRSIFPKDDDILLNYLDEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTFIPNYNPRDIVANLRRLLNDEPVEPMDPWYRGFKGSIQKTGTKAGGVSYTVTGIIEVVDDTTLRITELPIRRWSQDYKEFLISIGGTDKSKDKDKDKGKGKGKVKEKEKKEKEKDVEPFIEAFDTYSDDKNVEFLITLSKENMAIALQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDILKEFFGLRLEFYEKRKRVLLENIELELKKLSNKVRFILAVVEGDIIVNNRKRAELFVELKQKGFDPFPRKKQRAGPSAVGAIEEDEENEESPEAGNVGGSSDYEYLLSMAIGTLTLEKVQQLIAEKGRMENEVAELKRTRPKSLWMRDLDAFEKELDALDEKDSMEAEERRATRNAGGGAAPKAAPKRRPRKTATNTQAAESSDGNAAAPAVPKPAAPRKKPAGKANLADSEDEDYVAAIPKPAAQKKQPAKKASTQLSDDEDDEVLALKDRLAAYNLDDHSEDTAMETETTEEQAKGKKGRKEPSKRGAAKKAISSLAVISDDEEDATVPIDEDDEDGFAMEEVPVKKGRGKKPAAEKPKAATRKRAPAQGKSMRQKVMEEMFKPREDSSTSAPSPEKKVRKMRASPFHKKSGSVLQRASTASTSTEETEPSSSPSGSSAEPVAARPKRQTRGNKKSYQEVQELSDDDTEDEVQDISDDSDFAGSDFGEDDD >KN538950.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538950.1:149637:152396:1 gene:KN538950.1_FG008 transcript:KN538950.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADALQSLPTVDGVDEIGTAALCLPTPSRSTMKSALKAASAIGEEEQQQHGSPLPRGRRVSVMSPEAIRMDVEEGEDEMKRDLVKEIVRTPGVALRSTSRRARATPAPIPTPATTRRTAAACKVEEAAPTPATLRRSQRTAARKAAAPVVEEVTATKTTTRRSARSKVMVDLEQEVEDMTVALQEVKVQEEDPKDVASDEKCDEEEEATKILGGNNKEEESEEGEEVVSSAAPTELAVISVVSCDDPKEEEMVATGEEPAKTQEVEGVGKEQELVSVENSAPLPVMEDSPILGVLSKPEPVEPLSEKMEDASVGDGLGFGELSALKEITGEMNDKEVDADEVPEEKLPADVTDDKTSVEDELNEIEKLSAVEIPQADLTGDKTSEEEDLNEVKEGAAYEMPQADRTDAEIGEEDDLDEECSEESDIDEESNEEGMLDEESAAEEYSSSEETDEESDPSEVASDSDEVEVEKLQVALEDGLTAEANQVDDEEDDFSSDLPSDFDNADNFSDDETESDATVVISSASKAAAVKTLDDSSVTEASSEEEVSQQEVEASVNSIVKSLDKFTFTVEGTQKDELTEEVKSTDDAEEVGAKELKKEKKKKKPTVQELNATSMRKLKTMLKEELIAKAAAGEGKRLALAELDDNAGGVDC >KN538950.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538950.1:106294:111554:1 gene:KN538950.1_FG009 transcript:KN538950.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDQSVPDSPCPRDFTMHAAPLNSFPFLPPCMRARLCLSALCRCPSPLKLNSSGEQAAGDLADIVLRAGGAAAAAVAGGGGIPSTEWQLPPAEEEEEEEPGLFPLPPKNQARKVVCIPAPAAAGGRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGFTRSHHAKNSSSNSSSSGASSASKNNSSSHSGYHHHHHHQKPLVKAEPNDQSAAAAAATTTASTVPVKEEAAAMEYMATNYKPAGDPAGKEMNAIDKDLDPAYMLDWSSTTVVTRAGGSSFMQGEGVFIVIAEHYILCCWRPKQMLLLDEKSIIKTCTSRCDRKLEAAVMKAYVATVLGEVH >KN538950.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538950.1:64933:68503:-1 gene:KN538950.1_FG010 transcript:KN538950.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase 3 [Source:Projected from Arabidopsis thaliana (AT1G65060) UniProtKB/Swiss-Prot;Acc:Q9S777] MHRLGVGHGDRVMVLLQNCVEFAVAFFAASFLGAVTTAANPFCTPQEIHKQFKASGAKLILTQSVYVDKLRQHEAFPRIDAAAVGDDTLTVITIDDDGATTEGCLPFWDLIEHADEGSVPEQVDGENPNLHMGAGDVALCVLPLFHIFSLNSVLLCAVRAGAAVALMPRFEMGAMLGAIERWRVTVAAVVPPLVLALAKNPFVERNDLSSIRIVLSGAAPLGKELEDALRARLPQAIFGQGYGMTEAGPVLSMCPAFAKEPTPAKSGSCGTVVRNAELKVVDPDTGFSLGRNLPGEICIRGPQIMKGYLNDPEATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLIAHPSIADAAVVPQKDDVAGEVPVAFVVRAADSDITEESIKEFISKQVVFYKRLHKVHFIHAIPKSASGKILRRELRAKLAAC >KN538950.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538950.1:28679:34170:1 gene:KN538950.1_FG011 transcript:KN538950.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDEVALKPVSCGARLQRSCDASLRFGGSMRDPFLKHKVKKFDLSSLDWIDEIPECPVFSPSIEEFEDPLVYLNKIAPIAAKYGICKIVSPLCASVPIGPVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGTSKWNLKRLSRLPKSTLRLLRAAIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFEKVVRERVYDHEILSGEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIGRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARCDGASQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYDLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTIAPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELEEITSTDCHVAYATK >KN538950.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538950.1:82422:83795:-1 gene:KN538950.1_FG012 transcript:KN538950.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSAGAHRRAKSAVEVVETLAPNMNSGEPDSQAVPADSPHGIEVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKERIATAGVRVMTDLPVVKEGSQLESDSSGARSRSAVPKRAILPSVSAPENNILALLDECDVPESLRPAE >KN538950.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538950.1:92252:93364:-1 gene:KN538950.1_FG013 transcript:KN538950.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPEFRDWANLPELPLSEVLRGLLPCLRSVYAFAAACQPWRRLLRDSAADLVRPRIPPLLLLCPAYRVVPFSQLVVAAPLSSYPVPGDATLLSASRGHLVLLRRRDPFHGLHLVDALTGATRHALPLPSPHFAYHYAALAPSRRLLLFHSKHAFFSLPVGDAGHNPRLDWTKHSLPRAASFVRSILEFRGRVLGLTDRAQLLEFHLDANPPNKSAQMLHAAGLPEVSTFDRWHFGPHLVAAGDRLLLVLFMMGPKLGHMFETLVSVKKVGVYALDMVKMRWEEVGNIGAYSLFVDCAGRSTAACVDVENCGVEANRIYIAAPGCRDWYAWRPGREVPLGGQGLGPLSIQAMNHLPWPSQIWIYPRLLF >KN538950.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538950.1:174843:178813:-1 gene:KN538950.1_FG014 transcript:KN538950.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSEGGGGDGEVACCGVGDTSPGTIVWVRRRNGSWWPGRILGPDELPPSQIMSPRSGTPVKLLGREDASVDWYNIEKSKRVKAFRCGEFDACIEKAEATEGTSVKKREKYARREDAILHALELEKKLLASKHQTQGSRPANVSVCSKHNKDLGSTRYKSKKSKKRKGITASSDIKKKAEQYVLHAGSKRNFQDSPTRGISENLFGNHLGDISHVRHIQAGENLDSKEKITTAEKIRSDGSDFDESIEKCDRRQPLVQILHSSPKLPHQSQHNDDYGDVLTQGEMDRSPANYRAKRSRYVFLPTDSGETHSHSDLPSVQVASTGGDFETESYLHHPAFSEEQTSSDLVEKHIYESSERECSESETEDDAELLQCSDLILHPASHAHDPYFLPASDKFRHANIDADADELTYSSYMCQVNESEEDGSSELGVSQWHMKGKRNSRNAPKRSDMADGNPWLDKSDGFMEGSPYKINGRNPREGSMQIPNQQLLGQNFYQNEEVNYDSEETDFFEDTGHSEVNLYHGRTYSSCLKATRDFSRSYSYFNDYGNDSSKVSPLNRDSDKIFHFDRNAYWSGPSFYQKYSSRLGGRGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPVQIEILEDGSTDHLVSCGDVSLEGRTGGQPAWCTGRRTAMQRIPRSNPSGALDCDDEGTLAYPDWEMKPDFRKYSNSNHQVKVDKKSISNVRRPSASKSQKKQSKKASLSSQKVRTLSSISTGKKHHGVGGQAKAHKQSGIFGGLIKPGGVPLVTCVPAKVAFTRILEAVGRPPLAVAHRVRMASPALRDPS >KN538950.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538950.1:129370:132046:1 gene:KN538950.1_FG015 transcript:KN538950.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQRAPLLPESHGPKTEDDSLQVPLLKDKKRSGSKAPAIVLGFECLESTAFNGISTNLVVYLETVLHGSNLASASNVTTWYGTSYLTPIFGAIVADTFLGNYNTILISLAVYLLGMLLFDDDSAADRERKAAFFSWFYLCVDFGLIVSGVLLVWIQQNVSWGLGFGIATACIAVAFAAFVLATPMYKRRLPTGTPLKSLAQVVVAAFRKVGMKLPADAELLYEVSDKVDSQPKIAHTSEFTFLDKAAVVSESDLEERPEAASSWKLCTVTQVEELKILLRLLPIWATSIIVSAAYSQMSTTFIQQGSAMDMHIFSVPVPAASLSSFQVLCVLTWVILYSKVIVPALRGFSSSGAAGEPSQLQRMGAGRLLMALAMAVAALVETKRLNAAASGEAINIAWQMPQYFFLAGAEVFCYIAQLEFFFGQAPDTMKSTCTSLALLTIALGSYLSSLIYAVVEAFTATAGGHGWISDDLNQGHLDYFFWMLAAMCTLNFVVYSGFAKNYKLKTVLS >KN538950.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538950.1:136595:147645:1 gene:KN538950.1_FG016 transcript:KN538950.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERVGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMTPMEVCDGLGLYDLKNRTWHIQGSCALKGDGLYEGLDWLASTLKGLEASDTLIFEGVEVTGYPIFEDPKVQKAIVFASTAHIGQFRKTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEEQFGNDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEYFSYALPIRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQDLLQAVLPFDLLLDRKRRSYFLNNLHGSSETSVPKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIRQIYDARALRVIIGDKNGALHGPAVKNCYSVLDIVNRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDNSPLEVQIRTQIFNSLTDPDGDVCSQRMHEYAEYGLAAHWLYKESKVDYRSGTSNKVGQSTSYPSSSSEDENYIQDVMPSKYSSMKMGHPVLRIEGSQLLAAVIVSIDKGGKELLVAVSFGLEASEAVAERRSCFQLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGIFHKQDQFGRLLPTFIQLIDLTEEEEEEYWMVVSAIFEGKEASSLTPDSSNTERSTSEPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLSVGTCTEPILREVAIICWPYGKIMRMSLGSTAADVARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRM >KN538950.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538950.1:76329:80835:1 gene:KN538950.1_FG017 transcript:KN538950.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQIENSPHGKKVFEILKQQEDVRRAENLTKKVEFQKELAAIELEKTRVDYDERKKLEQQRAQVKSQMSRYEDELARKRMQADHEAQRVRNQELVKMQEESAIRQEQMRRAIEEQIQEERRKTDRAKAIVEKEIEQEKILAEANARIKLKKQTEDVERRLLIEGAKAEKEKWVQLINTTFEHIGDELARKRMQADHEAQRVRNQELVKMQEESAIRQEQMRRAIEEQIQEERRKTDRAKAIVEKEIEQEKILAEANARIKLKKQTEDVERRLLIEGAKAEKEKWVQLINTTFEHIGGGLQTILTDQNKLVVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVFSRAMSTMTSKLNKGSNLGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERSKLLKLYLDKYIMKAGEKHEKSWLRFFRGQPQKIEVKGVTDDLIREAAAKTEGFSGREIAKLMASVQAAVYGSKECVLTPDLFREVVDYKVAEHQQRRRLAGYEQKNA >KN538950.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538950.1:42475:46384:1 gene:KN538950.1_FG018 transcript:KN538950.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDLTLAHVKSHLQMYRTIKTTDHKQPAPPYGQTKTIIEIPDDNLFDINNTSGSESSVQQQSNLDGNEQGSNMCALWSNNSSSRGAWFHDKSRDATPGDIKSFEDVQSQSPEDDDASDLNSPPFQIPETTTVSAMKPNLDFSLGRM >KN538950.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538950.1:84288:87008:1 gene:KN538950.1_FG019 transcript:KN538950.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGADENPFSDSKGKGKELLQWEADLKRREADIRRREEALKSAGVPMEEKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGMPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQA >KN538950.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538950.1:167971:171220:1 gene:KN538950.1_FG020 transcript:KN538950.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAKIYRYSYLFPELEEEVSTYDALLQIIVSSLSDIASLFTVPPCNDNPKGISGDGMTKGNGCQTGRKNFPITMQGYLVQKNSRLLPTTTVKIEFLAEVAEVYNGILRNKNSTVFTVFNESQVEQFVNEISILSQIDHPNVVKLLGCCLETQVPLFELLTTEKPVSFARPEDLRELAMYYLVMLVNKGCLLQAVKPIILAEAREEQLYDVAHLSIMCLSLKGERSTMKEVASVLNGLRRSLAKDKAIKGKEGYPQNKNEEEEYLLPGSGVGSSSTLHSSEVGNVAWKLK >KN538950.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538950.1:56191:63993:1 gene:KN538950.1_FG021 transcript:KN538950.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGELWDDSALVDAFDHAVATFKAMHGKNTQATTSENEEPGDPAVAAPAGEEHISAEVADELIEKEGSQTEPCEASETPCQTHEERKSTEQAPLQEKDLDKETHFSEPKIHASDVADAEQKDTSNQQTWDYNELVKKYYELEEQSRKVLEQLHQTNYWNYQVPGQSSVYQQPQVPAYSATAPDPHSSSIQSPCCCANVPLVSVSCCSTGQTSGVSSCMQPSGGCSISFTCDQCPGTSATDSTGATCVQQAEKVSTDSDQVAKAAMMTAEGAMNFMRSTISGDLGSFPRTDAASGKENMPMGMNPNFDSMGADSDLAVVLNAWYAAGFYTGSLIVKMSDNLMDKVSAFGERLKITGSEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANFSQQTFEDVQVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTSTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPAESENAEQRPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSQEGPKKQDPKKDDLISF >KN538685.1_FGP133 pep scaffold:O_longistaminata_v1.0:KN538685.1:555690:556755:-1 gene:KN538685.1_FG133 transcript:KN538685.1_FGT133 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEIALVKSSCKFALKNLKKWMKPQKVWILWLLSLH >KN538685.1_FGP135 pep scaffold:O_longistaminata_v1.0:KN538685.1:530708:534374:-1 gene:KN538685.1_FG135 transcript:KN538685.1_FGT135 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLDGNGGGGGGGRRSSPSSCSTATIVLFVALCLVGAWMMSSTGNVPMAVSPEDKPPVAAADDVGKKVQDAGDTAKTTDDVGDTGVKGGGVDTQTATDAVAKTTTGGTGAGESGKPAGDKVGDGETTTTSKNQTFSDENGKTEGGEVVSPEDPDKQSADDAPTDGKDTGDQASGDADEAPSTDTKGKKNSTAEEPRDTKDAGENADEASTETKADKSSDDTPTDAKATGDGGTPSKNQTSFDDENGKMDGVETVAEDGKVTEKSSEQVPTNGDDGGGGGEAQTTDDDTATGARDKIWYHNVPHTKLASYKGHQNWVKVSGEHLTFPGGGTQFINGAAHYIDLIEEAVPAVAWGRRSRVVLDVGCGVASFGGFLFDRDALTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAYDAVHCARCRVPWHIWGGKLLLEVNRLLRPGGLFVWSATPVYRKTPEDVQIWHDMAALTKSMCWKMVKKTNDTVDETAMVIFKKPTSNGCYSNREKPEPPLCDADDDPDAAWNITLQACMHRLPTNKSIRGARWPELWPERMSAAPYWLSHSQVGVYGKPAPDDFAADEEHWNHVVNSSYLAGVGIDWSNVRNVMDMRAVYGGFAAALKDMNVWVMNVVPVDSADTLPIIYERGLFGMYHDWCKLLPVMVEVDRILRPEGKLIVRDGRDTAAEVESILRSLHWEVRMTVSKQGEVMLCAEKTMWRPKEVEKAATTAS >KN538685.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538685.1:611047:614652:-1 gene:KN538685.1_FG137 transcript:KN538685.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTTTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLNLDAQALATLSTISNGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDVVKSLLASCKSGEFDVANKEVNNIIADGYPVSQLISQFLDVIVNADDIPDEQKARICKKLGEADKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >KN538685.1_FGP140 pep scaffold:O_longistaminata_v1.0:KN538685.1:603022:607982:-1 gene:KN538685.1_FG140 transcript:KN538685.1_FGT140 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASISSSPGMENGDAGFDEKTLLERLSESFCSFCTQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKVQNNGFLHSNSNGSTSGSLPQSGEAGDLPFINTANPVMSLISIMSLALLFRDDLQVAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCDSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQVEWGYFRI >KN538685.1_FGP141 pep scaffold:O_longistaminata_v1.0:KN538685.1:566742:568645:1 gene:KN538685.1_FG141 transcript:KN538685.1_FGT141 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPGHHQQGYVVAEAPLPESGYLGHYHHDGHLAQAEAAPEQDHYLGYHSHDAHVADGEATPEQGYHEDAHVAVAPAPEQGDLGHDQGHLAAALTPEECGEIVGAYEFHPEMVQMLSMGFAVPDEQLVPQLLDPTAGGYDMASSAIASVGDVKAYAAAAPTPRIRPNAAEAMAATATAETMPPPLDAVQSSTGLPDFLH >KN538685.1_FGP142 pep scaffold:O_longistaminata_v1.0:KN538685.1:523904:524703:-1 gene:KN538685.1_FG142 transcript:KN538685.1_FGT142 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNVVGIVGNVISFGLFLSPVPIFWRIIKNKNMQNFKADPILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFAIMQLILYAIYYRTTPKKQDKNLELPTVAPIAKDTSIVAPVSNDDDVNSSTASHVTINITIEP >KN538685.1_FGP144 pep scaffold:O_longistaminata_v1.0:KN538685.1:543398:546677:1 gene:KN538685.1_FG144 transcript:KN538685.1_FGT144 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRLLRRGLSAASPLPSLQEIGRRPASSSAAAGDAAAELRGAREDVKQLLNSTSCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFEIELKHAANAGLVNALKLIQPIKEKHAGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVAAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDIKERQDEDLLVLPTDAVLFEDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKGISLE >KN538685.1_FGP145 pep scaffold:O_longistaminata_v1.0:KN538685.1:560224:562602:-1 gene:KN538685.1_FG145 transcript:KN538685.1_FGT145 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLILNLIFFSFLAPISAVAGHANYLHKGSSLSAKHASDVLRSTDGTFSFGFYNLSSTVFTLSIWFTNSADKTIAWSANQDRPVHESGSKVMLNKDGSMVLTDYDGTVVWQISSSAEAVRAELMDSGNLVVKDQGGSILWQSFDHPTNTLLPMQPVTATAKLVSTDPSHPTSYYTLRFDDRYVLSLAYDGPDIFNLYWPNPDQSSWTNYRISYNRSRSGVLDKLGKFMASDNTTFYASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWYNSWMAFSQPCEIHGLCGWNGICAYTQRIGCSCPPGYVVSDPGDWSRGCKPAVNLNCSNDGQKMNFVRIPQTDFWGFDMNYVMSTSLHACRAMCLASCSCVAFVYKVYPNGCFLKSDLFNGKTVPGYPGAAYIKVPQSFLSRSQAHASELANRHVCNASKTQTFNYATQSNKGTGMMWYYYYCFLAAFFLVELCFIAFGWWFMAKTHSARSAIWAAEEGYRVVTDHFRRFTYKELRRATRNFKDELGRGRYGSVYKGILDDNRIVAIKKLKDVKQGEAEFQTEVSVIGSIYHMNLVRVMGVCSEGSHRLLVYEYVENGSLAMFLFGSKGLLQWQHRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDQDFEPKISDFGFAKLLQRNQTDPNMSKIRGTRGYIAPEWVSGVPITEKVDVYSYGVVLLELVMGLRMSELPANGSADEGAALRQLVWTVTEKIKTGDQTLIDGVVDPRLNGNFVRSEVLLVLEFAVLCLEKERNQRPNMNHVVQKFLSYE >KN538685.1_FGP147 pep scaffold:O_longistaminata_v1.0:KN538685.1:569428:572194:-1 gene:KN538685.1_FG147 transcript:KN538685.1_FGT147 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWARPAGFALLVVVVLAAAASAARPARGGLSVTAGAGGASPGLYYVAMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHGGLTGRHKCDSPNQQCDYEIKYADQGSSLGVLVTDSFALRLANSSIVRPGLAFGCGYDQQVGSSTEVSATDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSTSRNYYSPGSANLYFGGRPLGVRPMEVVFDSGSSFTYFSAQPYQALVDAIKGDLSKNLKEVPDHSLPLCWKGKKPFKSVLDVKKEFKSVVLSFSNGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSLL >KN538685.1_FGP148 pep scaffold:O_longistaminata_v1.0:KN538685.1:598695:602369:1 gene:KN538685.1_FG148 transcript:KN538685.1_FGT148 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSVFSVLPAAIGIKAVGDLAVYHFAVSSMSGRGLMKQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEGAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KN538685.1_FGP149 pep scaffold:O_longistaminata_v1.0:KN538685.1:538264:541622:1 gene:KN538685.1_FG149 transcript:KN538685.1_FGT149 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLRGLLLVSPQELGRRPASSSSSAAAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYAGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPDNGLPIIGCCIPGNVSQEIVALSGAHTLGRARPERSGWGKPETKYTENGPGAPGGQSWTSEWLKFDNSYFKEIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKVKIARKRATKFV >KN538685.1_FGP151 pep scaffold:O_longistaminata_v1.0:KN538685.1:547046:550260:-1 gene:KN538685.1_FG151 transcript:KN538685.1_FGT151 gene_biotype:protein_coding transcript_biotype:protein_coding MEPACIVLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLESLEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEAAISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLEEDLEADDPNKL >KN538685.1_FGP153 pep scaffold:O_longistaminata_v1.0:KN538685.1:504538:515917:1 gene:KN538685.1_FG153 transcript:KN538685.1_FGT153 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHAPRCRLLAGSGAGDDEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCALDGSLLGDYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRGATRKLREVNQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLVVGSIRCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQVIIHNEETDSDICFSVGEFQQKDGENCSSHDVLVKRVKAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKLNSLQETFLRSLDRVPSGLHIDADFPSDDDDDDDDEEVRVSFASTMGDHKMYSFRHHQAAVLEEEEEEEDDDEFSKYDMDEDMSIQERRRRLHQGLGLASSRDLALRRHSTRKRMDRDDATKNQESSKEVAVVAAPKDAAPASNTQTGVQLGLEEIEKFIGNTPIVKHLMRRGQSQHHSGQLASPSGGAPPKAEKPAGGKKKGGWLKNIKSVAIGFIDSSGNSKSTTSTTTSSAGANATSSSSSSASSTERLKVHQSGKSCKELTGLYMCQEIMAHEGSIWSIKFSTDGRWLASAGEDHVVRIWQVVEANSPACLPNDGHSGPLPPHPPGAAPANGTSSSSTPALSQLSKKSVKGKSGRDTLPEHLVVPDKVFALADQPACVLEGHQDDVLDLTWSKTDQLLSSSMDKTVRLWDTTTKACLKVFAHNDYVTCIQFNPVDDRFFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHKGSCRFYKTTDCKLDQEAQIDIETKKRKSQAKKITGFQLLKFKSLARTWPNCSSWPWPWTPQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGALAAAMDEKISALIENQRDQLMVVVSVVDVRFQEHEQPDLGGVHVGRAN >KN540722.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540722.1:299:1354:1 gene:KN540722.1_FG001 transcript:KN540722.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLTLTVLAFLCLVALGLSGRANANAAVGRKMVGVYELKKGDFSIRVTNWGATLMSVILPDSKGNLADVVLGYDTVAEYVNGTAYFGGLIGRVANRIANARFTLDRKTYRLFRNDGNNSLHGGHRGFSKVIWTVKEHVAAGDSPCITLYYHSFDGEQGSITD >KN540722.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540722.1:25912:29794:-1 gene:KN540722.1_FG002 transcript:KN540722.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADGGAAEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRSDVIQTIPDFWLTAFLSHPLLSELLTEEDQKMFKYLESVDVDDSKDVKSGYSITLTFSENPYFEDKELTKTYAFADDGTTTINATSIKWKEGMEIANGNAKKKGSKRPLVEESFFTWFTDTEHKSLADGVQDEEAEELGEDDDEEGSDADEGEEDEEEEN >KN540722.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540722.1:20636:23394:-1 gene:KN540722.1_FG003 transcript:KN540722.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRRRPFILVGCLIICISVMIIGFSADIGRHLGDTKEHCSTYTGPRWSAAMVYIVGFWFLDFANNTVQGPARAMMADLSAGHHGPNVGQSIFSLWMAIGSVLGYLSGANGKWHEWFPWLKTAACCDACANLKGAFFTAVLLIVVSMTVTMYLADEMPLDKQDVDTSSGGGGCAVFVDLFKSLRNLPPAMFKLSWFPFIQYNTDWMGREIYHGEPQGAAAKAEVYDAGVREGAMGLLFCSVALGVTSFVIPKLCRRLTSKVVWSISNFLVFALMAVMVVVGMVLFSVPWAVASEVTAEEGGGQGLAIGVLNIAIVVPQLVIALPAGPIDGAFNKGNTPAFGIGGAFAFICGVLALIWLPKTRGVSTPAVVAGGH >KN541471.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541471.1:9480:9938:1 gene:KN541471.1_FG001 transcript:KN541471.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEWILNYQHDLRAVASQVQSIDFWGDQINGPWILEEGNADMHVNTETLSDKDLEWDSDNDDFLATEASDEGHDYAHLDILGFHPYKEAIFLDETFRTVAYHLDSSKVQYLGYSRPKCYYQNYTNGIYESFVYTPCMIGELHGDHSGQNSSFK >KN540722.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540722.1:2582:2800:1 gene:KN540722.1_FG004 transcript:KN540722.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELWADQPGVQFYTSNGLAGVRGKGGRVYGRYGALCLETQGFPDAVNHPRFPSQIVRPGQVYEHNMVFKFTF >KN540722.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540722.1:36854:40024:-1 gene:KN540722.1_FG005 transcript:KN540722.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGGLMASRGVGEEETGALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDIICEICHVSYKPGYTAPPQVHHDETTIEISSGDWSISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITSSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPESPTTPHPEQGQ >KN541471.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541471.1:12013:14669:-1 gene:KN541471.1_FG002 transcript:KN541471.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRILVNYEKGSLIQLRGTPAAQTNNQLKSGNTLTPPSYITSPSGDFAFGFLAIESELNYSSQFILALWFNLKVAESSQQKVVWFAAEESSGSAVTVQQQAVLSISANQLSLSNAGNGVVWKNQNPNQRFGSLVEITDNGNVKFLGDDGKTIWESFRYPTDTLLPGQTLVSGKWLLSKNTDKDFSAGRFSLHAQTDGNMVMYMMDVPDHTEYTNAYWQSDTKDKGNIELIFNTTGDTSLLYCMSSNISQEPLLKLNSTKSYDHQYVALDPDGTLRLYALQKNTTSSWDVADQFPRDGCSRRTTIGRQGMCGPNAYCVSNKGWLDCECLSGYVFVDPRHKYMGCMPNFVVHRCDGRNHSAEFKIVELKNTLNWTIVPPTYYKKYPSTTEAQCHDFCLNDCFCTAALFDGSTCTEMAQLIGGQKTYDNTGFGLTALIKVRAANPRDPPTLRSKLPYIIFTPLLTLATFSICIMLCCHFCKKPKRSLLGVRVFTYKELSKATNGFTELLGQGGFGMVFKGVVHSLQPPDVAVKELNHSGEFTEENFLNELQSIGPIHHRNLVRMIGYCKEGIHRMLVFEFMPGGSLANFIFNQPERPPWSWRAEVALGIAKGRFPPDGHRIGAIVPLLPWVESLLESGRMDELVAEDENRELPSGLSITESVKRFARVAIWCVQVDQLVRPSMHEVVCMLEGTIDVASPPAPSRTPDFSVLFHTATGSETYPSHANTPQVLLE >AMDW01005181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005181.1:2:193:1 gene:AMDW01005181.1_FG001 transcript:AMDW01005181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FVYPKDKDSVLVVEPADYNACNTSSFDQKFADGNTVVTLDRAGAFFFISGVDANCRAGEKLIVM >AMDW01020068.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020068.1:85:291:-1 gene:AMDW01020068.1_FG001 transcript:AMDW01020068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLE >KN542081.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542081.1:7995:11628:1 gene:KN542081.1_FG001 transcript:KN542081.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYLARGASKVVRRITSETSVELKILTEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLGMEVIVDLHIMNRSEKSSYRTTPQSRCAVLVATRAEEAAVKTLMVWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIVTFYSTQLPGPNYHCREGSALARLPHPQNVAEVLLINYCTFFCTELPDRSAGSTSVLPVSIKEKDSKLKEDKTRMLNGNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >KN545876.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545876.1:989:1216:1 gene:KN545876.1_FG001 transcript:KN545876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTGARGWGCLFRGYEYDNLDDSGEMTPLMMKDQERTGHESGFPGAAVTEDRWRRLWWAWGHRPEGREEAHGGG >KN543185.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543185.1:7540:9910:1 gene:KN543185.1_FG001 transcript:KN543185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLTRFFPYLADAEAVRFLLYAEADLLVATRIVAFDIGMRRFGSSGPDIVNEALEMALKCAALVAKHPNPDRLVADWLAIITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAEDDDRWAPWRLMDSRLPPPRTVPYRQSPALKATLQDAIHGFYLKALARLPAGELRCRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDVIGTMGLHRIENRSLYGLVSFLCTRYHHIDFNQAIKYLVNADGYLFLADLYLDDEAAGFTTTVDSPLLTGLDSAFMAAATAACHPDPDAQAKVLLLCSCGQMLEDASSLLHGSGQLSTEDVQLLVRLLCPEATFREQPLRPFPRPEYLFAHTRMSKKVNAALSTYAVMPNGEPMYVLHTICGVNDCVSGPVGTDAKCFSSHVNFLATPKGTPFSTNSNPVLFFAEVSNDNKAEAGTQSFCCLVSVPLPCAERVRCLYCDDMGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDRDDLSLMSDEYDSDLCSMTDEYDIPYPKIVSCCRHY >KN541439.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541439.1:3492:7711:1 gene:KN541439.1_FG001 transcript:KN541439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEAKLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFFVKRVDAEMNCHVTGSLIPPHTGSMLWLSVHDDEYALEINSGKRSRDIKIKTEQHEQDHSAKSSGRKHKSKSRKRSFEERLNGFYGREKFLRGSETQDRKMWFLHGSRGYGGLLSLLVVLVICPYVVMSQAHIIRDGLQRVKRFLWEEIGLHGGEEEQLF >KN541439.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541439.1:24150:27120:-1 gene:KN541439.1_FG002 transcript:KN541439.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding FWVRCSSILFFSITAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRDLLHIREKRMNCLYSQLERDE >KN541439.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541439.1:8932:17963:-1 gene:KN541439.1_FG003 transcript:KN541439.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHPDYASVTAAELHRCCFFCSPFGTLSPPDMWIAVVKLAARIAVSNLHKNTKKSFSETIKDMYGHFNERSGLNAPLVAHDVYEVIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNQEWSLFCPNEAPGLADCWGDEFEKLYTKYEREGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYFHSLKASAELAGKEGPYETYEGSPVSKGILQPDMWNVVPSDRWNWPSLRSTISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPALKNKIIYEDGSVQKMTEIPDDLKAVYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTALLKANGENGTKAAEEEDVEAKMAQMNLSGAVHQCVQHDYFFRNRPKIRHFNCNGCRQYLEKKKSKGWKLQGLDSFELSKVDQAPANVDIELGLQGGMSSSAQPGFEGFFEQVREIEKLLETLTKLLKDLQNSNEESKIVTKASAMKEIKKRMEKDVNEVTKTARLAKSKVEKLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >KN538884.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538884.1:195561:198742:-1 gene:KN538884.1_FG001 transcript:KN538884.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSSSYLLLLILLCVASHPGMDPSSIVNAVWDTQGYYSQLCYVIERKLERNFEFILAVANEGFRLSLGFFMDMTPSISLLPEDISEIKNSVEVFKSSEDIVHMAYKLAEINLSLIYDYLYTKFGSRHFHIVPVCNVFHLIIKIALISVALALFMRARAGQKAHDVVDVIISYVLLVGAIVLEICSVFMSFISSCWAYKTIITLPLACPLCQKFPGVIAALLSLVRHLHPDSRGEWSGKLAQYNMIEGCIREKQAGAGLLRRARRYIGIDDSKAIKHIGVSPEVKKLVLDKLLEIASTSRVLEWDLGVGKFHGQWAQWVVQAKEDHLRSAAQQVLQVSNIQGLEFVSSVLLWHIITDICLLVDEDEDGGAELRGPTRDLSEYTMYLIADCGVMAGSEGHFVLRKGRREVLSWLREKGESGGDRRKVIEEIRGEDSSFFADNYYPVLDRARRVSSDLLVLEEPGDRWELIAAVWLEMICHISYNCGAGFHAKQLTTGGEFVTHVKMLLFMIGVPFLRDVKEPLFYRAGNLYS >KN538884.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538884.1:166560:167408:-1 gene:KN538884.1_FG002 transcript:KN538884.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGQGQGCLEVEQTRVAAGHGHGNGRCSGSHSTMEPTNIAVAGCRRESPMSLNGMPSGRSIIGKRFRNQDKRRELDSFRVECEMWSFGRGGQNREMGVCKISCYDLPLNCHKYRKSMIYPLIKVNAMCP >KN538884.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538884.1:189578:190660:1 gene:KN538884.1_FG003 transcript:KN538884.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGATSECLFGFIASALAEFVGEEEEEGGLDGGERELGFTFSFPVHQTSIASGTLIRWTKAFAVDDAIGEDVVAALQAAMSERGLDMRVSALINDTVGTLAAGSYYDEDVVAAVILGTGTNAAYVEDATAIAKLHPSQLPASNTMVINTEWGSFASPCLPLTEFDEALDQESLNPGEQTYEKLISGMYLGEIVRRVLLKISSRCPSLLGGAGELATPFVLKTPDVSAMHHDETPDLSIVGEKLERTLGIRGTSPEARRMVVEVCDIVATRAARLAAAGIVGILKKIGRVDGGEGRRRRSVVAVDGGLFEHYGKFRRCMESAVRELLGEAAAERVVVKLASDGSGLGAALVAAAHSQRA >KN538884.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538884.1:175164:175925:-1 gene:KN538884.1_FG004 transcript:KN538884.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDCCFPLTGVDIMVLILLWFICFNGSRFASDVFESPSSQLQLVPGK >KN538884.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538884.1:171848:172164:-1 gene:KN538884.1_FG005 transcript:KN538884.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGQGQGCLEVEQTSAAAGRGHGNGRCSGSHSTMEPTNITVAGRRQESPLSLNGMLVAEVSSIRGLEIKIWVAF >KN538884.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538884.1:192068:193183:-1 gene:KN538884.1_FG006 transcript:KN538884.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDCRSSLTGEDMMVLILLWFVCINGSRFASDVFDSHLKARIIPGGNYGLGIEK >AMDW01057346.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01057346.1:171:728:1 gene:AMDW01057346.1_FG001 transcript:AMDW01057346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVELNLQIVVIIKNKRVYHLSTNKKEIKHRHHKASTGLDVMLNLLLQSSCGPKVEDNKKNMLSCCFMQEEGGKVFTGRMCATLPWWSTWRGRWIHLRDQPWLWLRGEDTFGGERRSIQAKLANPRAIISNGWYSINHNRDDGISECQSGDIPHQFPQCKISSRQELPTILRDKWLTGKINQKL >AMDW01011542.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011542.1:2:208:1 gene:AMDW01011542.1_FG001 transcript:AMDW01011542.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YINDAARFNLTQRVEALFPKIDVDPADGAVTPAELTAWNLASARREVMHRTARELDLHDRDHDGRIAFS >AMDW01040503.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040503.1:130:1365:-1 gene:AMDW01040503.1_FG001 transcript:AMDW01040503.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SEAAANGTAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDY >KN541369.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541369.1:1476:2429:-1 gene:KN541369.1_FG001 transcript:KN541369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSANFSSCFTFLKEALVLPIQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAQLAIKLQTTDPSSAEYRMILEELKHDVTQIILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMVTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIGALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKKNMVLGLCLLAVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTGEANC >KN539825.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539825.1:11374:23289:-1 gene:KN539825.1_FG001 transcript:KN539825.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVILDRYCEAVEYLVKNLDHSMPMQTTDKTDAVKAMLSGENSEGSDGSESSDTVEWDPWDPPHPPCPTLPPTASLMSQVEMVKQHHFQVLAVVAASRATNIIAPDRTPQEVRRKFSEICSELADILEKDSVRRFLNLYRRNMRIMGWGLIITSQTMNFIVSYNALRCAKVVLEGKAPKLCQIRANPNYMTSYGYFPLHQAAEKFSVDMIKLLLCYGASANLRTSGQKVIEGLLPLHVAIENTCMHKYLEDNLLTDRKLKQVDLDFIYKLIHLLCLPEMKIFLDTTRLLADNTDNIVDELWNYIKEGRLVHAAILLLAAQRKIRTCASSNRNISCNLNGFDIIRDRVMGSIVSIEREGRGLTGGKNSKAHKQLEEKRKFFHNSLMLIFVISKTGEALDEYIQSHSEVSHQEVLEAVSSILDDHGFAPTGKGISIGDLECRPYDCKAADSVPKCKYEDSGMIKAVGESPNLNVKAKKAVGKQIQSRRELEQTRKMFFPHWRSVLTSRFTVKVFPFYAPIMEREHPVGVLTKFNANYIVRRCTIQFTPKQYICMILNHDRFQFPDILGQLHVGIVCRILGDYAAADNIQWGPRRTVLQEINGVTLQSIHCYHGYWMKSVYTIKVGGPGVAGHLSLIHYTIKSDRVKRGDITISQIADQLDKTTLKVHELEEDVEWFLNGMDQVIDHELESYLVPFTVEKSGATKERSALDTTRDQYPKDCSISEVEELMILYL >KN541369.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541369.1:7484:19978:1 gene:KN541369.1_FG002 transcript:KN541369.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKAYHISFIVARNLSQVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALWLSEVGNAAVIVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPLSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKFAHEAICDDLSETRPYLCTGFDIYLVWEPCSICSHISCSYRRCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVGNAAVIVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPLSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNVSFVTPIFYLFNVAFISIVSPRFG >KN539825.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539825.1:44694:48934:-1 gene:KN539825.1_FG002 transcript:KN539825.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLPANSKPKKTKKNGGMKTDLHEDNGQVVDVTTKTVLDPWNPYFPLWPPEIPPNLGLNGAIGFIENWKMKRMAYLASYRSTCVINADYTPKAKNIEFYGMTYRLLEPILEKDSRCCFLRLFVKRMHRLISGFIITPETLNCIIIKNAMRCAKVILEGQAPEFGGFRANPNCMNQYGYFPLHEAAESFSVGMIRLLICHGALANLRTSGKNVKEGLLPLHVAVENTCLHKYLEENLFPNQEHPDYSQEDIYKAIHLLCLPEMKIFLDTTRELAKHTNNLVDEVWNYMKDGKLIHAAVLLLAAQDHIRSGSSCRKVGGNKLDGFATIFARIHHSMALEWQMHQNGQAKLKLLLSSSLLVNIIFRAGEALDAYIREHSEVPHTEVLEHVSLILKGFDFCPTGEGIDVGNICPPYTLPMPNKEKLNLFNLSWKTGSSVASKATTDVPYLLAVKKKVLGKISYIIIPGSLKFIVNW >KN539825.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539825.1:36845:38634:-1 gene:KN539825.1_FG003 transcript:KN539825.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTLDKTNAVKAVHSEDNCERTDHGFQVRAALAASRSTNIIAPDRTPQEVLFKFCQISSELGLILKRDSVRSFLRLYGENLDCMAWGLIITPQTLDFIVAHNALRCAKVVLEGKAPKLYEMRANPNCMSNYGYFPLHQAAETFSVDMIKLLLRYGASANLRTSGQKVIEGLLPLHVAIENTCMHKYLEDNLLTDQKHKQVDFDFIYKLVHLLCLPEMLKKIFLDTTRLLADYTDNVLDELWNYIKEGKLVHAAILLLAAQWKIRTCASSKRNTNHNLSGFDIIKDRITGSIISIETEGPGLTGGKNSKARKQQDDMRMFFRNALVLNSMISEAGEALDEYIQTHSGVHIFLRS >KN539825.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539825.1:28648:29010:-1 gene:KN539825.1_FG004 transcript:KN539825.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPFWHRPDNMYDGMSSLKLQDEDCHHLFVGCDFTAAVWRIIRRWCNASFQTPADENTLADWWLATRRCFWTSYRTDFDSAFMLTSHMVALCATLNTCLRTCINGIHPYTNTIICVAKTPE >KN539825.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539825.1:55145:64218:1 gene:KN539825.1_FG005 transcript:KN539825.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSSCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLTDEQGQRHHGWGRKNSLTKEAVAKIVDDTYRKMQIQCAPDLATRSHSGSDFSFRPKEEAYDSFQPEAKVRCICSSTMVNDSMIQCEDQRCQVWQHLNCVLIPDKPGESAEVPPVFYCELCRLSRADPFWVTAGNPLLPVKFVSSGVTNDGTSVPQSVEKSFQLSRSDRETVQRQEYDLQVWCMLLNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLITTCSREGINKICLSRVDARTFCFGVRIAKRRTVAQVLNLVPKEAEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLESLMIDPYFNRITSLLRNCNEDVNEVDVKPDGSWRVKGDAASRELSQWHMPDGTLCNPKEDVKPAMQNGNEQMMEGTSDGQKSLKIGIKRNPNGIWEVSSKADDKKPSVVGNHMQNNSGFRALNNIMHMSNSPTSSYRDGEDPSVNQESNRHVDLSLNNGNNEFDSFSLNFGQACNTDDRPQQQHNATDVIVLSDSDEENDAMVCPPAVYDNTTTANGSGFPFTTNGTGYTERYQEDAGVGTSGLGLLSNNVDDFEMNNWQMHSSYQQPEQGFQFFGNDTDVHNTFVGSHNSFGLAPNDYSLDCNVGVEEASVTPALSVCRNSNEMHGSLVDNPLALVGDDPSLQIFLPSQPSSVPLQEELSERANAPNGVQSDDWLTLAAGGGGNEEPAPADVNSQPQIPSTETGIEPLTDAGLSPFSEFSSLFM >KN543091.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543091.1:2195:2461:-1 gene:KN543091.1_FG001 transcript:KN543091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSADQPTIAKYVETAWEIGVRAQLDEKGFVTKEEVEISIKKVMDGKRAVEYKRNAAKWMQKAKEAAQVGGSSDKNIAEFVAKYLSN >AMDW01025389.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025389.1:50:298:1 gene:AMDW01025389.1_FG001 transcript:AMDW01025389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PCLVEFEQVKGFGELGAKCNDKQTMKECCELFKKIACPYNHLLNDITNVCANEFFYLIHTKGKLQPGTILENCNEGPMGIKC >AMDW01040676.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040676.1:125:1688:-1 gene:AMDW01040676.1_FG001 transcript:AMDW01040676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGEEGMSVNIWGPSDLDFLASAMRSFIPNRAMLHTHSFGVEQNVSSSQSKDATVIVDDEVVRISAMFVKPRYNNEASCLNDSNLKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDAFDKMVHPSDVLGPSIPGPTVLLVDCPTKYHMHELFSLQSLIRFYEDSSEQAGSPKKVNCVIHLGPSSVTEALDYQNWMRKFGATQHIMAGHE >KN543836.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543836.1:476:2658:1 gene:KN543836.1_FG001 transcript:KN543836.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSEELARSLKETVDNQNVSFFDAADSAGYKMHPEELHNVFLKKDDYFAFVELHIEQGPILEKEGIKIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARSPPHIYMGKETFYRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHVEIDVRDIDEKRRNNVIEKVHQSAIEISKNRGVVLSEFKIINQDPPALSDKSVISAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMARLSLQ >KN542339.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542339.1:190:2019:-1 gene:KN542339.1_FG001 transcript:KN542339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLCSAFSLVYRGAKRRSSYLASINLIAATLPGNASASPDLFATAEGVGAAPDQVSALALCRGDANASTCLACLTQAFLDLPNACAYHKMPITPLKQRTLRI >KN543836.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543836.1:3935:4973:1 gene:KN543836.1_FG002 transcript:KN543836.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAIAAAGDGQQQLVFDHAAQFFTASDERFKTLVDAWMDKGLVREWGGLIGELDAGGHFRPMPSSPPRYIGVDGMRPLADAILPESDLIEVVRPCWISKLEPFNGLWRLFENEKPHGQYDAIVIAHNVGLVKSRKDEESNSISLLQLP >KN542339.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542339.1:12634:14118:1 gene:KN542339.1_FG002 transcript:KN542339.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLSVLLATALPLLFLSHAADAGELGVCYGRDADNLMDPQSVVSLLRANGITMVRIFDADPAVLRALANTGIKVMVALPNTDLGSAGWDPSYAQWWVENNVVPYYPATLINGVAVGNEVFDQAPHLTQQLVPAMRNVHAALARLGLADAIRVSTPIAFSAVEVSFPPSAGAFRDDIAQSVMSPMIDFLRQTGSYFMVNLYPFFAYADPSTGISLEYATFRPNAGVFDPVSGVTYYSLFDAELDAVYYAINKVSGSNERASLAQAGGRVPIRVSESGHPSGGRIRSGVTEANADGDSVATKANAQAYNNGLVKRVLFGASGMEDVFAYIFALFNENKKGGPSIESNFGMFYPDGTKVYDVDFHGGGTCPTKESWCVANAAVGNAGLQGALDWACSNGADCSAIQQGKVCYEPNTMVAHASYAFNDYYQRNGKASSACNFAGAAYIVYKPSPS >KN542339.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542339.1:6239:9476:1 gene:KN542339.1_FG003 transcript:KN542339.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLILSVAVAVALLVPRAAGEPWPVCGQDFGTFTPKSRFFANLQLIAAALPRNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLDQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKSCSGYKAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFCNNTHQSEDLLTTIWERWMAGTVDEMVDPAMSRYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSLQVPSKPAFFARNGGAKPGVASDESTASV >AMDW01038864.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038864.1:17:767:-1 gene:AMDW01038864.1_FG001 transcript:AMDW01038864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSPVQVAAKEAMLPKCGQITQNGNCNNSSMSVFEVSKQMKAMEISSENGVKENISTATPLKRLSIEPSFCADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLRE >KN539005.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539005.1:84350:94842:-1 gene:KN539005.1_FG001 transcript:KN539005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGADMLGESSVLDMVASSCVRVVSMQAIACVYIIWFIVVKLTEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALISALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTIAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSPDLMPKRGRKRPASRASPARTVGSSDHGFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGSNGRMAKGGHVAKLVDHLRTTDDMDKELSRQVEELDIFMRIDHCNGSVTTQDCTAGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLAAAFDQCRAAFPPGSLAVYSNSADIKKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRQVRKFEAYLISYWYRKGHRPIKHPLLPDARRIVKFDPYNDPMAPAA >KN539005.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539005.1:49790:52899:1 gene:KN539005.1_FG002 transcript:KN539005.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSAVLLEELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDNWTTHTIDAGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYVAGLDKANFKKNYMSARDNNGHGTHTASTAAGVAVEGFNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGVYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVVYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNDTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFKDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADVA >KN539005.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539005.1:10180:14648:-1 gene:KN539005.1_FG003 transcript:KN539005.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMRALLPKLAELLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQSLAQQMAGLRERYSGLELQNSGMAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSSKQQLKIISIVGFGGLGKTTLARAVHEKIAAQFDCAAFVSISRNPDIRMIFKKILHQLEKEKYANINESSWDDTQLIDELREFLQDKRYFIIIDDLWNERVWDYIKCAFPKDNLGSRLIMTTRNVNVSKACCSANNDIIYTMKPLSADDSNTLFYKRIFPPGNGCPCELEEVSNEILKKCGGVPLAIITIASLLASKEIHTKDQWYTLHNSIGRGLTEGRNVEDMQKILSFSYYDLPSHLKSCLLCLSVFPEDYEIRRDRLIWRWIAEGFVQQTQKDGSLFEQGENYFNELVNRNMIQPIRIDAEGRARACRVHDMVLDLICHLSSQQNFITVFDDIGNITSSRNKVRRLSLQHSTTECNTPWCTLTMLQVRSFTIFSPAINLMPSLSSFKMIRVLDLEDCDLGKSNQLHLMHVGHLLQLRYLGLRGTRTIKWSKHGDGEIGTDVIRELPTQIGKLEFLQTLDLVESGIKELPATVVQLRRLMCLHVNYHTRLPNGLGKMTALEELSYISTSHFVDIVKELRQLTRLRLHKLQSLEIHAWGGGLNLMEEGWVPPVSLRRFTGSFSTLPAWINPSFLITCLDIWVDQVRSGDIQILGELPALCSVTLRAIGSIEERVVERFVVSTNAFQRATECTFLNFVTVPSMFPRGAMPRVRFLHFSLLARDNNMAIGNGSRGDLDLAMGHLPSLERVAVDLWCRKASRAEVEAVKAALRRATDVHPNNPTLVVYRFHVGHLREQENNLADVLLVLGQILHAAMQLAGGIFLEIDITIDNRYDRNRMDALHSSGDDVETKLTISTYFDMLFVGSIYFVSVLSLQVAQSLLVWKDISFSLLLEL >KN539005.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539005.1:149105:149950:-1 gene:KN539005.1_FG004 transcript:KN539005.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDGLQSSKQLKYLCLRGISRITALPASIGELSNLIILDLHACHNLERLTESITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTSNYSCQVAELVRLEKLNKLSIYIGSKVAVTGDELNELENIKGLHSLTITWAVSLLKKGEDRQTSATTAMLTSLSLPINLQKLDLRCFPGEKMPSWFIPSKLLKLKKLYFTGGMLNTFGDGSMSEMWKVEILRLKFLNDLAVEWTQLHETFANLTFLEVFRCSKLESFPCDKDGVWMNCDKQEVR >KN539005.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539005.1:1406:5682:-1 gene:KN539005.1_FG005 transcript:KN539005.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMRALLPKLAELLKDEYNLEKHVREGVKSLEIELTMMDAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSSKQQLKIISIVGFGGLGKTTLARAVHEKIGAQFDCAAFVSISRNPDIRMIFKKILHQLEKEKYANINESSWDDTQLIDELREFLQDKRYFIIIDDLWDVRVWDYIKCAFPKDNLGSRLIMTTRNVNVSKACCSANNDIIYTMKPLSADDSNTLFYKRIFPPGNGCPCELEEVSNEILKKCGGMPLAIITIASLLANKEIQTKDQWYTLHNSIGRGLTEGRNVEDMQKILSFSYYDLPSHLKSCLLCLSVFPEDYEISRDRLIWRWIAEGFVQQTQKDGSLFEQGENYFNELINRNMIQPIDIDAEGKARACRVHDMVLDLICHLSSEHNFITVFDDIGNITSSGKKIRRLSLQYSMTECNTTWCTMTTLQVRSLTIFSPAINLIPSLSSFKMIRVLDLEGCDLGKSNQLNLMHVGCLLHLRYLGLRDTCVIKWSSKHGERSIGTYVIRELPTQIGKLEFLQTLDLVESGIKELPATVVQLRRLMCLHVNYHTRLPNGLGKMTALEELSYISTSHFVDIVKELRQLTRLRVLAISWEELGEKQDKAFVDCLGSLHKLQSLEIHAWGGGLNLMEEGWVPPVSLRRFTGSFSTLPAWINPSFLITCLDIWVDQVRSGDIQILGELPALCSVTLRAIGSIEERVVERFVVSTNAFQRATECTFLNFVTVPSMFPRGAMPRVRFLHFSLLARDNNMAIGNGSRGDLDLAMGHLPSLERVAVDLWCRKASRAEVEAVKAALRRATDVHPNNPTLVVYRFHVGHLREQENNLADVEDEKESSPPSVTTDM >KN539005.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539005.1:160869:163559:1 gene:KN539005.1_FG006 transcript:KN539005.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIAASCFQRTSKFSGCYCRTFPRLREFGPGRLISFQDMLMSLLVRPEFRVIVINGIGGSGKTWAAKAAFKHARSFYQFDEFTWVSLSKSFSLERCIEKIAICLSVDIGEDTSIERTRVKIKEKLTGRKFLLVLDNACFIEEDILESLGIPHPQQHNLGSKVIVTTRTGRTDRVMKPDISITPIPLTHDEAHDLLCDKIGKCINSSRTLDLVRHCYGLPLSIILLAGALCDLPRQQTYDEVINDASVSLGVFQISEFHTMQRLVKFGYSRLPSDTTKDCLLYCLLFPEDQEIPIKYLIHCWIMDGLVQEASGFQEANRVGEEVLNALINHGMLHLEDNDSVRMHDIVRETISTFGQDSLVSRISLMSSTRRELFGSPNLFGISTLLLRGNRYMNAISEEFFRYMGLLRVLDLSFTRINALPSSISCLTSLRMLLMIGCEHLEKIQHIDSLLMLEVLDASGCGSLKWVKPGSFDHLVLLKILNFSRTSIYCLPSLANNMNMHEVLLQDCPHLNSQHTTEPSAGMSDTEFIRFPYFVSKIGVVTNLQLGATEGVIDWMDMIWVPCGLTFVLSDGFSGMRVSRDLNEDNKTYIYASNTSFFQSLDKASPLWLNCFKKFHIVICPLTDDQIMDNDARAMRTQFMCQDSYLKKKHFADSIDTDKFLGINSVSNFDCIDGILSRSELVSLKGVTRTGQVVKSMAAARELWIENCEQLENLFLVEEVQVLCATSKLQYLWVSNMQNLTSFCKVVKDKTSLNCLMHLLLDSCPKLTLLFPSSLRLPNLQTLHIRFCDSLERVFDKLILGEDAFPSLRSLQLWELPELAYVCGGVLPSLKDLKVKGCAGLKKIPVGVNENSPFFTTIIGEIRWWSSLIWDDETVKRWILFRNWGPMLPHLATEG >KN539005.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539005.1:147658:148851:1 gene:KN539005.1_FG007 transcript:KN539005.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKFVDSFAEEEAAAELPFRAHFYDVKAELEKAAISSTNADELRQCLYELNDLLAECRMLASRTNTRPGCFSPSEAWRSNRMKTRVIAVKRRVLRCVESDSSGNAAALEEEDSAAAGFSRWTTSWLEEGTVHGFDQQLAELESMAFGAECGAGGLTGVGIVGMGGVGKTALAQLVFNSLRARRRFFPRIWVCLSRTACAGADVRKEVLQSMLMALGLEEEVILSMDGGNNLGEMVFAVHEQLKGKRYLVVFDDVWNVDGWYADVVGRRNVSPTGDEWGERLAFGLPKERGGVVVVTSRLEQAAETMVGKSSLHRVQPLADGESCWAIFMDAFSKERRPADLTTVNNMKDEIIDTCGGLPSAAKTLGDIFARSLSSPGSTSSQELSKNR >KN539005.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539005.1:136801:140323:1 gene:KN539005.1_FG008 transcript:KN539005.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPSPPPPLAPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRVAASLGQDEPGVSDTAVAPEGEGDSEPPASSDGATGDVAASAEQPEASPEDLEDIRQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDIEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVLCRERTAGAVQRSKPEDLMKWKVNQLTDTARHDKKADQPSIRMCSPPFHGSQFHHPVLVVRKQSEHLVHAVHFWYGDAMSFSQEGDSFREKHASFKKEAGAAGIDDEEDQDRRSHASADGSNAARGAATGRRSRVASSVTDISSNSSINYRRARQDRFAGDGFWCGALCLPLPGLSRRRPMQQQQSMSLSEPGARASTAETRASVASKGASMERFKYSSSSSSGIVFERAGGEEEEQEQEQEPSAYFDLPLELLRSSNVDTESPVTAAFLFDGRRGLGPKRFAMPELPELDFSFPAPPELSNPSSPRT >KN539005.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539005.1:40077:44405:1 gene:KN539005.1_FG009 transcript:KN539005.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLHPDDVIASHHDMLTTVLGSKEDSLASIIHNYKHGFSGFAVMLTEDQAKQLAEFPEVLSVEPSKTYTTATTRSWDMLGLNYRMPTELLQRTNYGEEIIIGIVDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIVGARFYHAGVDEDDLKIDYLSPRDANGHGTHTASTAAGSVVEAVVQNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSLYSQGKNSSLSGFRRLVVGVGGRCTEDALNGTDVKGSIVLCASFTLNKPSILFQEALGNVVKGGGVGMIFVQYTWDIVSSIARCNGIACVIVDYYTVKQIGKYILSASSPIVKIDPARTVTGNEIMAPKVADFSSRGPSTDYPEIIKPDIAAPGFNILAAVKGTYAFASGTSMATPHVAGVVALLKALHPSWSPAALKSAIVTTASVTDERGMPILAEGLPRKIADPFDYGGGHINPNRAADPGLIYDIDPSDYNKFFGCTVKPYVKLSPLWKLQGDYTFGSLTWHNGQKTVRIPIAVRITIQDFYADIA >KN539005.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539005.1:26670:27454:1 gene:KN539005.1_FG010 transcript:KN539005.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLAVGSNKPRRIPCSVTPMDEEIISGMGPAIFGTPVLLHGNLHLHWKKRWGTHYNRILVFDTVAESFRQLRPPAVNPRNYTRLLAMDGMLAMSVSKERVMDMSIFMLEDYDHEVWAFRYKIKLPTMEIRRFQDQGDWWADVVSEEGDILVSCFGWLLHCDNKGNLVSKFQYNDDLPAISPHRIKESLVQHAFFKDATKK >KN539005.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539005.1:70896:71414:1 gene:KN539005.1_FG011 transcript:KN539005.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFPLLGVLVLLCVASLVDVTEGAGGGGGRGGGGGGGRGGGGGGGRGGAGAGGGYVGSSNPRGLSGGRIADIMSGSSIVVREAGMCDYKVWMYISLYSIKNTVIVICERT >KN539005.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539005.1:116121:116995:1 gene:KN539005.1_FG012 transcript:KN539005.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATVEKVAVAPPTGLGLGVGGGVGAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQSMVDCGGSPSSNSTVDTGGGGVQTPMQAMPLPPTLDLDLFHRAAAVTAVAGTGVRFPFRGYPVAPCERRKSLVNSFSAEGEIHLGIC >KN539005.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539005.1:142594:143139:-1 gene:KN539005.1_FG013 transcript:KN539005.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWAPCVQCTRRNYPCASFGVLVPDDADAGDDGKENGPGAAPCGLTRDAWDRLQCENENDADADASRSCDDADDVVLPAAPAETARGSDDGHRDETRGALGTSFFAFAFAFCALVGAALMVAGCTAARFFFRSRGRYRHRAVHLTPSAPASPPPLPALRWGVEDSADLVGFSGNSRSDDI >KN539005.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539005.1:145182:147327:-1 gene:KN539005.1_FG014 transcript:KN539005.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVALWNEWEIRVLVLSSLALQVFLLFSAVIRKRNVSAVLGLLLWLAYLLADSIAIYALGYLSQTRVPRGVDVRSFRNTHRIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAMYVFAKSRPGADILAPAVFMFLSGILKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRGGGVTAAAIAEESVPYTTIITDARRFFVTFKRLFVNLILSFQDRTRSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLLSTSVACLLFNLLDKDRYESHDTRVDIFITNLLFGGALCLEVYAIGMMLISYWTYAALQGCNCRTLSHLLFKSIKYFRPESRPKWSNLMAQHNLISYCLHDRATLLTKVITMVGLKGYWDSWLYIQHIDVLPELKTLVFRELKDKAVSIVDNAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYYDIDGSDGDAKLTEYVGISRAVSNYMLFLLVVRPFMLTAGIGQIRFGDTCAEAKIFFERETAMPDERAAAAMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPGKRWRLIRVVWVEILCYAASKCRSNFHAKQLSNGGELLTVVWFLMAHLGMGEQYRIEAGHARAKLIVDKN >KN539005.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539005.1:56296:60714:1 gene:KN539005.1_FG015 transcript:KN539005.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSPFQRFPAFLLFCVWLLMIRGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQAENLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKGGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYDIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNATKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >KN539005.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539005.1:28416:30312:-1 gene:KN539005.1_FG016 transcript:KN539005.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNFPRSIKVTDSLHEQVTTMLTEPDTSAGTSVLHHPGTSFHGNLEGELETLAEDVEKYSSIRPDPDLDKSGTEENVGPSKARGIKVKEKTIRGSRRPIGGFDKATQRSKKKKSDSNTSKCPVQAEVATQSLPYTMMQIHGHSEIPTNYNRMQMPDYYHVEGASLLQSSDKDAVVFQVVQRRVKSTSTRKKLSPPPKRIDKPMASTWYGTRMETQQRNSEAAAIPMEKR >KN539005.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539005.1:81042:83553:1 gene:KN539005.1_FG017 transcript:KN539005.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAAREIAVVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDTAALSRAVASISSSLRRLVAKGQLSQTSETDIGKVIGMHFFNPPPIMKLIEIIRGADTSEEVFTKVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGVYSYGTRSSSIKPRSSL >KN539005.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539005.1:34326:38199:-1 gene:KN539005.1_FG018 transcript:KN539005.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKALAMENVDVDFLTDVLVDILPQLLTQLLAARPRAPPVRRNVSASSATATDGRVMMEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCKEKVQEARFKKFVSTLLLRRDPVPLLDKFWLRYQVSDNNNEKASAEAGLWISHALQLQTPVVEVLTFQFPLMLDHAVFTSDYLRKLGLSNAYLHMGFFEQLSRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPEVIIDKLEERSFECEHLKIVEVKCSEDDSTLLKLVEDIFVTCGMSSLQINRKSSYKQYYSDDFFRFDYDSTTAQIHAE >KN544183.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544183.1:2181:2762:1 gene:KN544183.1_FG001 transcript:KN544183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKTGSKKKPTPAVMRIRSPPPDPHRRARAAPRRCIPPSASDSESSASSPTTSPATAAAAALPGIAQARHEFFAKHKVYHYGAPPLLNETSSGRAREDARACAAEFATNPPTVLSPPPPPPGVIPPPPGVIPAPPPAWWTEHHRHPVASMPPRGPLVRFPDDAPSSIDFLTGFFAGPILDLFLCQISALC >KN541224.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541224.1:11209:16948:1 gene:KN541224.1_FG001 transcript:KN541224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSLTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRSAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQAIEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLVKVTGNTTVQLDGETEVFGNHHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHVPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANARSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPGYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLKMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHRPCSKVSTPAKEEIISSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >KN541224.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541224.1:19658:21268:-1 gene:KN541224.1_FG002 transcript:KN541224.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHHRATLLLHQQQRKGDATAEEGQQLVAEEGAGKMKELRRRLVDYACHHRKHGHDALLRMLAGFALVSCLLLLLPGSPFSAAVDDLLQMGRTRLDDETPPPPPCAAVSNGTICCDRTAMRTDVCVMRGDVRTEAASNSLFLLVPPPDNSTAAAGRDERIRPYTRKWESSIMSTIDELRLRAVPEGGTAPASCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARQYNKKVVFVMLEYHDWWMTKYGHIVEQLSDYAPIDFTNDRRTHCFPEAVVGLRIHDELAIDAARMPGNRTIQDFRRMLDDAYRGRIQMIIEEEEKAAAVALGTPTQGSIRKKSALKDDKPRLVIVSRNGSRAIENEAELVRAAAGAGFRVAVLQPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKIKPAESSLYRQYAKDDAVLTDPDTVNAKGWQVTKKVYLDGQNVRLDMVRFRRRLRDAYDHWAELRRRHNADSTETEQRKPW >KN544740.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544740.1:511:2557:1 gene:KN544740.1_FG001 transcript:KN544740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGVCGHNLKSCSGFMLKTTPKVGCPSLRVRASVSSPQKLYSSKTTQVKSGEEVQIAVLGASGYTGAEFHIKVMTADRKAGEQFGSVFPHLIMQDLPNLDAIKDADFSNVDAVFCCLPHGTTQELIKGLPKQLKIVDLSVDFRLHDINEYAEWYGHAHRAPELQQEAVYGLTEVLGDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGDMERRRRIFTLR >KN540040.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540040.1:11:632:-1 gene:KN540040.1_FG001 transcript:KN540040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVFDVSGLKAHSIVLRPREPDKITPHALFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTMDEEVPDDPATITGEPDSIAMLHKIAGRVSGQLKREEGAEVVAEQACYLPCTDDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGDAKIVDLAPFSPA >KN540040.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540040.1:31881:33466:-1 gene:KN540040.1_FG002 transcript:KN540040.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDLPYHVRVTHDGDLETVGRYDFHGQLDADGTMIAHPKLDPVTGELFALSYNVVSKPYLKYFYFTADGRKSRDVDIPVGAPTMIHDFAVTENYAVVPDQQIVFKLQEMVRGGSPVVLDREKTSRFGVLPKHATSSSEMVWVDVPDCFCFHLWNAWEEPESGEVVADFAGCRIVANMYN >KN540040.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540040.1:22098:22322:-1 gene:KN540040.1_FG003 transcript:KN540040.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLDSGRRAMDRALDPNYDPDEPTAAAANEPLLADLSAASAAAPAKAI >KN541280.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541280.1:107:1341:1 gene:KN541280.1_FG001 transcript:KN541280.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/Swiss-Prot;Acc:Q9LXS1] MDSDNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHGHSHDHDHGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKSRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHVTIQIERE >KN540040.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540040.1:2210:21641:1 gene:KN540040.1_FG004 transcript:KN540040.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAALARAMCGGSGVGAGLAAGELLSKAPAPRASSLPAMLGNRDLHKKTTQAYPNTWIVKEVASRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMASLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPRAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFSAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVAIRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDQGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASSEKSNSDEKFKQPTILDAFKRAGVTISQETNRDSQPSPSGMMSRVTEHETNNPCKFGIVDLTAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQAYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQIYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNVIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGTYILCTPNFCSWQRREIYIDGRHCCSNLLNRCMTGNLRHKDLQGQLVSSQVYGSVDDSPNDEQEQMETDPETPADENANTMDEDAAEDSNEAPLEE >KN541280.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541280.1:22715:24420:-1 gene:KN541280.1_FG002 transcript:KN541280.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFLGRGPSRLVSPVAVELDGDTTRYPKPRHFLSPTIDKNQDLIVTVCALMLFFYFVSNWVVPELIMKGLQEPKKPEESEEAASSPAATMSVAGDGQPEAKIRLKVKKTKKKKKAAMKV >KN541280.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541280.1:12124:12492:-1 gene:KN541280.1_FG003 transcript:KN541280.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIMSSRASAFAKRSQSFIDRGGRAPAARSLMSPATTGAPSMLSDWGSPDGKLDWGVQGDELHKLRKSASFAFRGQSAMPVATHAAAAEPDVSWVNSLVKDGHAAGDIFAQWPEQEQMVA >KN541280.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541280.1:6759:9312:1 gene:KN541280.1_FG004 transcript:KN541280.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEEIRLATNQQSSRRKLQRDFDDDLDNPLSPETSSCHVSKCSESETRNRSVMNKSEVLIPGVSGTLQFICRLVEEDLNSVRWLTSDSENIAADMVSEILDQLTSETADELMQTGSETVHSSPGRLISMNHPSSRVDRNMQAIRSN >KN538920.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538920.1:54262:54993:1 gene:KN538920.1_FG001 transcript:KN538920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAETGDWAAADFGEDGVPVAGVDPDPEPEEEDGVGAATLMQNCEMKGHSLGQISVIGIQTEDN >KN538920.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538920.1:134197:136427:1 gene:KN538920.1_FG002 transcript:KN538920.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFVAASPAGSRWMAESAARRRQQRRFSSPSLRAYLTPAFDAVAAGADGVSPYSASASSSGGMDLGLDDSLLRYRRACFSSAATTDLDHRLLVYSPQSAPVYPAPQAGDVVVAGGCRYDSKRQAGGQTGAPGYQDFNDISSLFSPWQPSADHPTVTARGIPNKPAADIRSREDTVIQAARAELSTPKPEATTPSAQPASAQAEPIEEDEELIIQTLYGQSNGRRLPIFRSICPE >KN538920.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538920.1:43213:43785:1 gene:KN538920.1_FG003 transcript:KN538920.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNGEAAAVEEKEGESTMVPEAVENAVSEALEKKVVLDAAAAEEEEEKDSEAEAEARISKLVGDMIDNVCADHGIPLPKVDIKTVRKMAAYMNKHFAITDKEELKIWDEGFINELDGDEDKYSLFKIIRASERVGFYGLLDLASDMVARKIKAGKAIDEIRKFLGVENEFTKEEEEKIRRENAWAFEE >KN538920.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538920.1:168516:169016:-1 gene:KN538920.1_FG004 transcript:KN538920.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGRQLVNIPSFIVRLESEKHIAFSLTSPLGGGPAGRVKRKNQKASGGGGDGEEEEEKELGHRNLSNMI >KN538920.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538920.1:25936:27762:-1 gene:KN538920.1_FG005 transcript:KN538920.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPVVVEGVAENMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQDAMIKNENDKFLIDGFPRNEENRAAFENVVRPSIASTSNLANSILSQQTLRKSGYFSRFFPLPLNVFLNEFTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEHYNAKDKVKKIDAAKPISEVFEDVKAIFAPYAKVE >KN541353.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541353.1:1007:7036:-1 gene:KN541353.1_FG001 transcript:KN541353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVETAWRLQNSFVMSVWIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEVKKIRVVPQRPKLRATSSFRNLYMQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVMHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRNTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKHLGNSLQDKDLDPPQSVVDARSILC >KN538920.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538920.1:105114:111683:1 gene:KN538920.1_FG006 transcript:KN538920.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RKP [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/Swiss-Prot;Acc:Q9SIZ8] MSSPGRSGFSPGLAQLLSSRDEANVSSQKSHLVSYHDEIGHQDIERTLEHIFDLPHKSVVRPPGPIDVGFVRSVLRNQARKFDLGGKDSRKYDDGVLIVDKGAGQTKVVLDDSSICGKLKSIWGPLLVESSASFSSARANACVWNGKWMYEVTLETSGVQQLGWATLSCPFTDQKGVGDSDDSYAFDGRRVTKWNNDPEQYGQMWAVGDVIGCCINLDAGEISFYRNGNFLGVAFDGIRKVGPRKGYYPAISLSEGERCHLNFGSHPFRYPVDGFNPIEAPPRFCMFAAYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLRELFQPISEGICAEFFGAAEGSQGCSEYITWGSFITLLTDVFRVREPHDFLCLDQVLELFLQFPGCSSLLQELIVALSCMCKAAPLVLTECPFSGPYPFLALACHILRHKDVMHLWWKSEDFAFSFEGFLTMKIPNKQDLQYLVPSVWWPGSSEDEVSMTLSMTTLSDAIKKIEDKHRELCSLVICFVPPTSPPLLPGSVFRSFVQSSILKARGGDHRMASSGTFNDTVLVSLYTVILHLLSEGFSMDSSGPASSSRINYGTGVGFLHKGGKRRFPTQLLLRNDAYYNVIPRLGGSLNHLLMFHQIDANEYEVQWDEGCMNDEETRITHTTAQKPCCCSITDVTVGLRFKENAKYIPSTSKGPCKSMHEKSAHIASECSAFYFVSQQSQSISLLEETDRQIREKSCMEQVRRLKEARNSYHEELVDCVRHCVWYRATVFSSWKQRGMYATCMWVVELLLVLSDSSSIFHYIPEFYVESLVDCFHALRRSDPPFVSPAVFLKHGLASFVTLVVKHFDDPRIYKEFMFVFENNREAINRIPRSLLSAFDNRSWIPVTNILFQLCKGFGFASSKNVEPSSSAIFQVLLRETCIHEEELFLSFLNRLFNTLSWTMTESSMSIREMQEKRQVADLQQRKCSVIFDISCSLARILEFFTREIPHAFLMGPDMNLRRLAELVVFILNHIILAADAEFFDMTLRRPGQHQEKTNCTMILAPLVGIILNLMESSSTSGHRELNDVITVFTSMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFMHITMSADGNEEQGFSTASNEEEDNCCICYNSDSDTTFEPCHHRSCYGCITRHLLNSQRCFFCNAVVTSVTRIADSRIESRSPLAP >KN538920.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538920.1:144629:144973:1 gene:KN538920.1_FG007 transcript:KN538920.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVPIVSSLTYPSHGTISGHRKHRRQLPRLELDGTPGYQPQAPCAGLRFSRRLHADLGDRNRRMRRKTGRDG >KN538920.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538920.1:12441:15831:1 gene:KN538920.1_FG008 transcript:KN538920.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHPSALAWFESAAAAAKGKEIVVFLDYDGTLSPIVADPDRAFMSDEMREAVRGVAKHFPTAIVSGRCIDKVFDFVKLEELYYAGSHGMDIRGPTAAASEYNHNMKAKQGDAVTFQPAADFLPVIEEVYQVLKERMASTRGSLVENNKFCLTVHYRCVDEAEWGVLDGKVRAVIEGYPDLRLSKGRKVLEIRPVIDWDKGSALQFLLKSLGYEGRNDVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKVPKETAACYTLREPSEVKEFLRKLVKIKINGDKGLLGK >KN538920.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538920.1:113248:116822:1 gene:KN538920.1_FG009 transcript:KN538920.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G64860) UniProtKB/Swiss-Prot;Acc:Q9LV91] MECALGPGGPGNETKYGGPASGLRVQPGLLSQVPVLPLVPPGRKSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMENELPDPLDMEYVEFDTVANLKEPLIAKRGSYRAMESSERNMTASRKIQIFQARNLDLLISNHAVLVFHTLNENSRYNNVSKKTGSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQRQWQRIRKHAKKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEAGGFEWWIKRINRALDLYDEFRIDHFRGLAGFWAVPSESKVALVGSWRVSIDLMYMAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVDLRKSIEAPGMAVLQFAFGGGSDNPHLPHNHEFDQVVYTGTHDNDTVFKYLPEANRTEISWALITAALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWMMPSSVSFDSLSPEAAKLKELLGLYNRL >KN538920.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538920.1:186902:188623:-1 gene:KN538920.1_FG010 transcript:KN538920.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMTRQVFAASSPGGKVIGERCGLRFEVFSFYTVDAMVHLQVAMEGKKKSTPVLAIVLPIVFAGLLTIIIVSFYIWRKKRLPTKTPLIENTEDLEDFESIFIDLSTLQSATSNFDESNRLGEGGFGVVFKGVFPDGQEVAVKRLSNCSNQGLGQLKNELSLVAKLQHKNLVRLIGVCLEEGEKVLVYEYMPNKSLDTVLFDPEKSKRLDWGKRYNILYGIARGLQYLHEHSQLKIIHRDLKASNILLDSDMKPKIADFGMAKIFGDDQTGNATSRVVGTL >KN541353.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541353.1:22380:25263:-1 gene:KN541353.1_FG002 transcript:KN541353.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAIVVLLVFSLASLLVGAAAAGGGEKQSGHKLHTTRSWQFLGLAGAGGAPTGAAWKKARFGEDTIIGNLDTAALRHLEGTTCDPFKKLLSPFLDVTWKVCGQNQRASGMMDWGLFHHGGEENARKAKMMHSLATV >KN538920.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538920.1:65684:73274:1 gene:KN538920.1_FG011 transcript:KN538920.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPVLNDRPIDQWKVTELKDELRRRDLSIKGLKDDLVKRLAEALQGEVIDGGEETVNGTPPVEDQTQDGALVLDDASGLQASAEQNVDEGPAEVAAKNEGLVSVIEASTEGGTLVLDDGSGFQASTEQNVDEGPAEVAAKNEGLASVTEASTEDGTLVLADAFGIQASTEQNVDEGPSEVAAKNEGLVSVTEASKDSAIATTEVSQEAVVATAEVSPEALVAVTEVSEAPLVDVAATNENSLGDAEATKEGDPESVPSDSNVVKEVCSHAEVHCEVIADKTPDNGSSKKMTVDDISSDDTSTNTKLEESSAKGEPCLSIGCEILEQETKPSHLDVILSHADAVASAEELIAESLILKKDSNDNDLMYEKDQKNDLMYEKDQKDSDNISSDCKPVQSGPKDQVSEVNQDLESQIKCVPISPDDILVNKNDNVEGNMNADNSDLGLESKQDIVKPSSSNPSSVGDDLQTPDDDKEIPLIDMSLQDTDMSLEKKEGSPDSVYPEKLNLDRSSGDESMEEDVMETKHVDSKTIPDYLEGKSQVTLEHVSSGDESMEEDVMETKHVDSNTKVEHVSSGDESMEEDVMETKHVDSNTKVEHVSSGDESMEEDVMETKHVDSNTKPDYLEGKNDVTLEHVVKEVILLDTVTEGSSVDQKEAISQEKPVMPTEKRKAEDQEVVANNEPIKRQRLWNVDDVKPEQATSKLSGSDASKVVHPPARRRSFGRSDSTARGNSPKERIVPLPQKPATTSLRIDRFVRPFTLKAVKELLGKTGSVCSFWMDPIKTHCYVTFSSVEEALATRNAVYNLQWPPNNGSSLVAEFEDRGLSEDLLHACFRRGGICQACSTRQREEGSVGLAEYHTKLRMMKNLRDSLNARIATRLDAKTIILKDCIFDLFIEERGRTEKLEEKKKAEQASRDLKERMALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIAVPILAAPALHVSGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKASSAISSNSSLMDSVNTAIMPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDILPPPPSRVEDVAQWEKAHTFNRTGSKKK >KN541353.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541353.1:18664:20448:-1 gene:KN541353.1_FG003 transcript:KN541353.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDREFPAYVVFNDTKLKGQSLSASALSPAASSFPMIDSSLAASPNRTQNESQLCFLGSLDPEKVKGKIVVCLRGVNPRVEKGEAVRQAGGAGMVLANDVTTGNEIIADAHVLPATHIKFSDGQILFSYLKNTKSPAGTITRPETRLGTKPAPFMAAFSSQGPNTVTPGILKPDITAPGVSVVAAWTRASAPTDLAFDKRRVAFNSESGTSMSCPHVAGVVGLLRTLRPDWSPAAIRSALMTTAVEVDNERHAILNSSFAAANPFGFGAGHVSPARAMNPGLVYDLAAVDYLNFLCSLRYNATVMAMFAGGGGAAPFRCPASPPKVQDLNYPSITVVNLTSSATVRRTVKNVGKPGVYKAYVTSPAGVRVTVSPDTLPFLLKGEKKTFQVRFEVTNASLAMDYSFGALVWTNGKQFVRSPLVVKTTTPTMA >KN538920.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538920.1:92848:103297:-1 gene:KN538920.1_FG012 transcript:KN538920.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTAYPECRRLLDFPALRLRPFYPGALVFLGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLADKLLVGLARLRAASTPDDVILSSPETTTAAHLEKLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGETVSGELGVIVAVEQPEAEKLLPQLPTIPAKPMKNSERSTVCLYFAADKAAVQDPILLLNGSGKGIVNNMFFATNVAPSYAPPGKALVSVSLVGSFAGRSDADLAGEVVTELAGWFGAGEKLHPVKVIERRKSSTSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >KN541353.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541353.1:13154:17390:1 gene:KN541353.1_FG004 transcript:KN541353.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTADEQILTVDVDPDESVENLKALLEVETSVPLRQQQLHFNGREIQNSDKLSTVGVQDGDLVMMVKVTSNERPSQDIIRLNPDGSAVDPQAFRQHIRGDSQLMGQLLQNDPALAQAILGDDINELQNTLRSRHQQRLELKRKQEEELALMYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHVFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSKLASLSQGAAGESSTAREKTPDAPLRAPTTGAPSANPPQPQGGGDFEAKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGG >KN538920.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538920.1:147181:151910:-1 gene:KN538920.1_FG013 transcript:KN538920.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEWSFVKGCILQMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKAPPNLTFRRKDKGGINFTSTVTNTNLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEMIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKGISPNINLGGFVQEHELEDEDVVQIIKKV >KN538920.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538920.1:176853:184724:-1 gene:KN538920.1_FG014 transcript:KN538920.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSILGLIRFPLSFGFKNFLAGSDSEISGKKSKTGKILAIVLPIVAALLASAMICFCCWRRRAKATKLSLSYSSRSEDIQNIESLIMDLPTLRVATDNFSENNKLGEGGFGAVYKGSFPGGQTIAVKRLSQSSGQGIGELKNELVLIAKLQHKNLVRLVGVCLEQDEKLLVYEYMPNKSLDTFLFDPEKRKQIDWAKRFMIIKGITGGLQYLHEDSQLKIIHRDLKASNVLLDTNMNPKISDFGLARLFGDDQSQETTNRVVGTYGYMAPEYALRGQYSIKSDVYSFGVLLLEIITGRKNSDSYNSEQAVDLLSLVWEHWAMKTITEMVDPYLRSDSSSLDEILRCIHVGLVCVQEDPMDRPTLSMINIMLDGNTVSAKAPSRPAFFTEMLGNINIGSSMYSQPYPVTDSTAKHSTAMSLNDVTGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMADLCFDVFGIAKEKKDANSIKGNRELLDVLPSKRKHDDDSDSSGESSGDDQDELDLPTYKRHKKMEKEAYNDWKSSVLSSNKQTKPDPAKPRKQAQLNFKKKPSDMALEVSSAAN >KN538920.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538920.1:40259:41110:1 gene:KN538920.1_FG015 transcript:KN538920.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGKGKGKGKGKEEGEGSAMASKAAGILVVPAAAGVGNALLEAFAKVSVEKKGDVATMSELVRKLVSEGVAEEVAVTEVLEQPVFDSPEKVAPEVAEEEESGGRMITLKSLDGETVKVKEASARLSETIGNLIDDGRRRGDETIPRLFVSYKALMKVFEYCDEHANNKADTDERKEELKNWDKAFIDKLDEDNILFVEVLAASNYLKITGLSKLTDQRFVDPFNTSNKTPDAEETRVNLIPANTSATASTSRPSTSTSSPSTSTSASHSATRRGRGRRRH >KN538920.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538920.1:170552:171305:-1 gene:KN538920.1_FG016 transcript:KN538920.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGTAHPG >KN538920.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538920.1:161829:162485:-1 gene:KN538920.1_FG017 transcript:KN538920.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMFASIEAIAVSGGLGMAVVVFGVAVADCMSAAVLRTGAGFFEPVPPLHLGPPLVLAAAMDIKLALHPRRAPPRESGAGEEAMRVSWFSMLEGDRRGRPVEATTAFVASLASRREGPTPGGADGGEPRYYGTSYTYWRTDDTEFFCMEDASRRAADLGDPHKIPDKIWPYQYWFD >KN538920.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538920.1:171955:173978:-1 gene:KN538920.1_FG018 transcript:KN538920.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNAAAAGGGGEGRLVQSGIVNKKCDKKAPKRIHKSEREKLKRDKQNDLFNELGNLLEPDRQNNGKACVLGETTRILKDLLSQVESLRKENSSLKNESHYVALERNELHDDNSMLRTEILELQNELRTWMEGNPVWSHVNTRPALRVPYPTTGVFPVQHLPHLPVTTTAAFPQQLPVIIEQHYAATPRELQLFPESATSEDSEPSQEHGISDHVTRPQPRYPTPTATLPVNLFPVFPGRQDQQCSSGTSGTNEEDRIGRS >KN538920.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538920.1:30803:31399:1 gene:KN538920.1_FG019 transcript:KN538920.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGKEGEGDMAAAAAEKKGEGSTVSRGAARERVVEDSGGGRSRTIHLKSKDGKQHDVTEASARLSKTIAGMILAGGGGGGGADQCIPTPDVDHETLRVVMQYCDKHAADDADEEDLKEWDEDFVDELDQNALFDVIAAANYLDIDGLLDLTCKRVADTIKGKTPEEIRKEFNIVNDLSKEEEEDIRRENPWAFEQ >KN538920.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538920.1:48750:49349:1 gene:KN538920.1_FG020 transcript:KN538920.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGEAAAAEGKGEEPVAAAVVEMEGEDAVVPEAVAADAEKESGSRMITLKSNEGKAFVVTEASARQSTTIGHMIDDDCTREAIPLPNVDSKTLEKVIEYFDEHGNKKADTDDEKAALDKFDKDFIGELDGDKTFLFHVTMAANYLHAQGLLDLTAQCIADTIKGKTPEEIRTALNIAYDLTEEDQEEIKEEDAWAF >KN538920.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538920.1:59706:61610:1 gene:KN538920.1_FG021 transcript:KN538920.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MVRICFVYKDKLCCLCRTPCPRVVVVRGDCADDGATDVLAGLPPPSSAAATKSRRVKKGLWYHVETAAYFADEQQYKAARAACKPERCVPWWYRWDAGCGRGYWLGVEKGGFSATIGPSPCSPLTSSPYAA >KN538920.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538920.1:79477:83382:-1 gene:KN538920.1_FG022 transcript:KN538920.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQNLSLAGNAFSGRLPPGIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSHNNFSSGFPTDGIRQLQNLRRIDLRSNSFWGNAGDLLAELRNAEYIDLSDNLFTGAVDLELESLSSIGNTVKYLNLSHNKLQGGFFRNETVGAFKNLEVLDLSNSGIAGMVPQIDAWFSLAVFRVAGNALSGVMPEALLQNSMRLVEVDLSRNGFSGPVPVVNSTTLKLLNLSSNTFSGSLPSTVGKCSSVDLSGNQLSGELAILRAWDGTVETIDLSSNKLEGSYPNDASQFQNLVSLKLRNNLLSGSIPSVLGTYQKLQFLDLSLNALGGPVLPFFFLSPTLTVLNLSGNNFTGTIPFQSTHSTESIALIQPVLRIVDLSSNSLSGPLPPDISNLQRVEFLTLAMNELSGEIPSEISKLQGLQYLDLSHNHFTGRIPDMPQASLKIFNVSYNDLQGTVPKSVEKFPITCFRPGNDLLVFPDGLPAGNYDDTGVAQSQSSHGHKAGVRVALIVGCIGAALLVIFIALAIYVVRSQELCGRNGFRGQITIRDLKLGRLSRPNLFKPPKDNVIPTKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYADPKEVTEPSSSGMAETSAAVPAMEPSPRAVLPTSPHVIDSRFHEEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKDFTKEMKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTAKPMPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRVMDELLAISLRCILPVNERPNMKTVCDDLCSITV >KN538920.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538920.1:74478:75937:1 gene:KN538920.1_FG023 transcript:KN538920.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVKLMGASFWCGVWFEELDRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDQRRKSYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQHREMTLRARRLSRSKIDPEEERKLFAKEKKASMEFYSTTLKRHTLVLRKRDIMRKKAEEDKKKEISRLLALEGLELDTDEDDNINFLK >KN538920.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538920.1:128086:132175:1 gene:KN538920.1_FG024 transcript:KN538920.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTPPAAAAAASAEAPSASAAKKDRHIVSWSAEEDDVLRTQIALHGTDKYEFFPLPISIDFFFLDLITIFYTVFPFPFGSWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDLLLCEISELANMRSVTSSVRQQARHIAMTMELSVYAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFKENGSLCSSASAKRALAQTECLTSALITAMCIIAGVKHEGNFLNKDDPKIVTLLQRADLLCSLATKINTENTSQSMDEAWQQLQHHLDKKDDNDMSESSMSGMASLLEDLDDLIVDPYENEEEEDQNLREQNEQIDMENKQNSSQTSMEVTSQMVPDNKMEDCPNDKSTEDNNMEPCPGEDIPTSENLTEAAIEDSLLQCVEYSSPVHTVIQAKTDAEIAASENLSEVLEHNRLQCIKLASPAQTTTPVEANAETPASEKLSEVVKCKNPPCMGFTSPAHTVPTFLPYADDMPTPKFTASERNFLLSVLELTSPGSRPDTSQQPSCKRALLNSL >KN538920.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538920.1:45915:46439:1 gene:KN538920.1_FG025 transcript:KN538920.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGAAAAAAADAEEEESGSRMITLTSNEGKAFVVTEASARQSATIRSMVDDGGCVDKGFPLPNVDSKTLARVIQYCDEHGNKEPHTVDERAALAKFDKDFIAELDADKAFLYDVTMAANYLHIQGLLALTTQCVADTIKGKTPEEIRTAFGIEYDLTAQDEEEIKEEDTHA >KN538920.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538920.1:33298:34351:1 gene:KN538920.1_FG026 transcript:KN538920.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNGGEAAVVEEGEGTTASEVVEKMVSQAVVEKALWVAFEKVVSEAVEKKSDGAVAPEVVETMVSQAAVMKALWEAFEKSSDGEPVEVTEASARISKVIGDKIDAGRGGEAIPLPHVDNKTLKKVIEYCDEHANENSDTDEQKEELKNWDKAFIDELNEDAFLFLVLLASSYLKIDGLLDLTYQRVADNSKVKTTEEIHKAFSTIEIELSDKEKEQQQQQQQQEEEEEISPENV >KN538920.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538920.1:153473:159809:-1 gene:KN538920.1_FG027 transcript:KN538920.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAILRHLIEALLERQPILGKDSTFLRRALVFKGVTSIISPVHLESFFTRYGAVMATVLLRDAVRCERVGMLVFSAEIDCLCAAVMEAARPGAYRTISMVDDEILHNSVELVKDAADQQSRRSSTAEAFRRMVPWRYLEADAQEDINLRCLLLRMGARSTATPGYLYGVARSELAASGRACAVVAYYSSRMAMVVFDESRDIERCGSRSAELVAFHLGLYDSGLFPLVADGAGGNGAVTRDLLPLFCLSPNFLGRVVLLRGPGIAELDAGEACRRVEELHPVEALLELHPVEALLVHRGDRLAVVVARSRGDARALTAESGEFWRRACGPQPITAQLIDDPSPAFMPRPLLYPEVVNLAVARAHRRMDRCTLDDLHGLGRGLVELESLRPSSSVRQGNLARRGFILLGLHQDMTEDYLMQYFGDVESCVVYMARRAALVIFSTPEAAARALRTPMADGRSRDTPRDDQVVDYLLLLQYTMLRVDDPLAHEDSQPLIPANYVLPP >KN538920.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538920.1:51722:52255:1 gene:KN538920.1_FG028 transcript:KN538920.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDAAAAAEEEEKESGSSRMITLKSNDEKLVEVTEASARQSRAIANLIDDGCAGVIPLPNVDSKTLAKVIPYCDEHGRANSGTDEERAALGRFDADFVGELDKDKASLIDVIMAANYLNIQGLLDITCQRVADTIRSATAEEIREAFDIEDDLTEAEKKEIREENAWAFDGIP >KN538920.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538920.1:117339:122006:1 gene:KN538920.1_FG029 transcript:KN538920.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSTSTPSSTIERLHEMPLMESEEAREILAALREKDDDPEGFYDHKVAAADGRMEGIFWASSGLGWDYVYYGEVVVFDTTFRTNRCGAAFVPFLGMSRHRRPAVLGCGVVADGSVDSCIWLLRAFKESIQGDVPKSVITDGGDAVIAAVKAVFPESNHRVCAWHVERWAGEHLVDGPARDDFMSLARDACSPAAFDERWSGFMAMHRTAENEGWLATMHARRELWAAAFTRHKLFLGMASDQRTECLATGLHTGLADDMSLHDLLRHADYWTWRLRADDAELDDAASMSRLPLTTNHPFLEAHAARRFTPANFHLVREEIEKMDGFAVVDTLPTMSSPDQCCFLDKTVELKTVAKFAAQQLTFWKSNVWEPNDGLGWERPDISAQLTTATAVAAAVSG >KN538920.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538920.1:36419:37051:1 gene:KN538920.1_FG030 transcript:KN538920.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIEVEVEDEREAEAVQKAVSDALEKVEMMDEEGEDAPATAADKLLSEAVEKALEKAGWDAAEKALSDDLEKVSLEAEEQEEESARMITLESSDGEAVKVKEASARLSKTIGNIIDDGCGDEAIPLPDVSYKTLKKVVEYCDEHADEKSDIDEQEEELKNWDKAFIDELAEDDDSLVKVIMASNYLKIDGLHNLASQCKTTREQIGKA >KN543433.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543433.1:1007:1429:1 gene:KN543433.1_FG001 transcript:KN543433.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSDIAFGLLVVPYLVILSVLAFPQRLGKPNPDAPVFLGRGRFLLKVHDAMGFLVGAALPALYILDGLRSSDTAGVAAVSPSSPHRSPSPCSPCSALPPGRRRHRCCCSPSPPPPNRCSAWRLLEPSRAAASPTAGTPP >KN543433.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543433.1:2421:2624:-1 gene:KN543433.1_FG002 transcript:KN543433.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLGNALEATNGWEFEPYSCMGLVVGGAVYNYADEPEPADELQLLHLHGGAMVDFAADGCLWSF >KN540261.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540261.1:6072:7374:1 gene:KN540261.1_FG001 transcript:KN540261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQILLNAFQIRENACNGIHVENLTDEYVSMVEDVNQILMKGLSNRKVGTTSMNLKSSCSHVIFTCVIEAWSKGCSLNGFSSSRTSRITFVDLAGPDNDELDGGSKNCTREEK >KN540261.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540261.1:42516:42854:1 gene:KN540261.1_FG002 transcript:KN540261.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKELTAAIAGVHMIVIYLCRFLLRLRRSAFTTSNSLRFRLKPWGAVAGWVMALIAVELAYAFLFPYSLGYIPENNDDKMVIPPV >KN540261.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540261.1:38232:38549:1 gene:KN540261.1_FG003 transcript:KN540261.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDQVVLARSARVDQRHEHPLEPLAHGDHGLHPAGEEARRDGREEGEDPELLGGVEQQVALRGGDVAHGALVEQLAEAHGHEEEEHGVLVSRMVPAPASSYSCQ >KN540261.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540261.1:19106:19435:1 gene:KN540261.1_FG004 transcript:KN540261.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPEATLASAACVHVLIIYVCRFLLLRGIFFSDDDKLRFRVKVAIGFLYISLSAILFYLSAAVMALPPWGAVAMCGMALVAIELGYVFLFPYSCICAGEEDDEEIPSVSV >KN540261.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540261.1:49487:51410:1 gene:KN540261.1_FG005 transcript:KN540261.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTGPPRFALSSAVRTDRRQESSPEKQADKLPILEVSISDMMQILLNAFQIRENACNGVHVENLTDEYVPTVEDVNEILMKGCSSNGFSSSRTSRITFVDLVCPDNDEVDGSSKHCTREER >KN541035.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541035.1:2858:5359:-1 gene:KN541035.1_FG001 transcript:KN541035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37000) UniProtKB/Swiss-Prot;Acc:Q8LDU4] MASSVLRFSITSVPKLMRGVVYMIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIMVLLDLLPRKDLALHPEYIERYYENTQVDKQREKVEELPQARPYRSRSLFVRSTFSLTAILMSIDCGQGGEGTLEEIVRGQLATAARALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGVQEA >KN541035.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541035.1:24692:29579:-1 gene:KN541035.1_FG002 transcript:KN541035.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAWPLVFLVSCCCSWTQRRILVAATTDANDVTVLNALFTSLNSPGQLRGWQVNGGDPCGASWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMESLVELDMSQNNLGGGQNIQYNLPNKKLERLNLAGNQFAGNVPYSISTMPKLKYLNLNHNQLQGNMTDVFSNLPSLSTLYLQNNQFTGSINVLANLPLDNLNVGNNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPPSRNRKKSPGRHSNGSGSSSSSGGNSGLRAGAIAGIIVALLVIGAVVAFFLIKRKRKGTRQEHVEQRQPFNSYPSNEVKDVKPIPESTKIEVEPLPSPVAVSLKPPPKIERNQSFDDDDDDFSNKPVAKKSNSASVKATVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTVLPSQSSDDFFDLVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDMLHLPDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTEFNPHVSDAGLASNVPDSEFQASDQGSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSARLRTEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEGSRRPDDQDQEFV >KN541035.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541035.1:12788:14917:-1 gene:KN541035.1_FG003 transcript:KN541035.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNPPINAEPLSKGDQFLDLMSDGWTNERHSLYISSMEASFMEQLYRHDHHGLHRNRSHAGGATGFRVHRESACDNLRSERNDAHAHDGVMSCFPENPWIRRFRPRDAGVNRKNDGVGFSVDDDESGTDTVRQRVRVHGREAKSCVGGILADKSTGCSIRKITRSDKKPAKTGSFVKTERKIGEHGLGWNLAQLVVVMGTCAAASPQLTN >AMDW01040830.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040830.1:165:715:1 gene:AMDW01040830.1_FG001 transcript:AMDW01040830.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETAAVQLSGEKARALTSIRRYSNEPILAAQRLDTDKIHLPHLTIQVHPMDRDADAVGEEKRGKRRRVVTDLKMIDGSLDPSPWRQNRTAKDF >KN539241.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539241.1:58366:59916:-1 gene:KN539241.1_FG001 transcript:KN539241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEIRKCIDNGIEFRGDLLNFRKDGSPLMNKLHLTPIYGDDETITHYMGIQFFTNANVDLGPLPGSLTKEPVRSTRFTPDNFFRPISSGPGQSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYLLTRNEDLWRMVCQNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVNVSSAPPGRWGHTLSCLNGSLLVVFGGCGRQGLLNDVFTLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDKPVWREVPASWTPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSTV >KN539241.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539241.1:94458:95926:1 gene:KN539241.1_FG002 transcript:KN539241.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHFLVVLALAMAISSPLVAANLRKNYYAKICPNLENIVRGSVQRSMQQSPIAAPATLRLFFHDCAVRGCDASIMIINPNGDDEWRNPDDQTLKPEGFTTSGGPNYAVELGRFDGRVSTRNSVNLPHGNFNLDQLTGYFASLGLSPTDMVALSGGHTIGAASCNFFGYRLGGDPTMDPNFAAMLRGSCASSGFAFLDAATPLRFDNAFYQNLRAGRGLLGSDQTLYSDPRSRGLVDLYAGNQGAFFNDFVAAMTKLGRVGVKSPATGGEIRRDCRFPN >KN539241.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539241.1:46497:46979:1 gene:KN539241.1_FG003 transcript:KN539241.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESGWAEHHLESFDTKQNLAAYVRDLRSCWGLSYEDRLKPHIPVATDRVLPLSLASSYKGMRLVTLQSKEQAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >KN539241.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539241.1:6218:10060:-1 gene:KN539241.1_FG004 transcript:KN539241.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANISSFAISVLTKAASFGTDWAVNEIKSAWNVKKELGKLERSLRSICAVLRDAECKQSTSYALQEWLNNLKDAVYDIDDVLDDVATEALEQEIYKVLPIVGLGGIGKTALAKLVYNNAEIKNDYNKKKILEDIIKWDTGEICKDLDLVQRKVYELLKERKYFLVLDDLWNDRVTDWEELRSLLSIGNQGSVIIVTTRNTNVAAVVKTIEPYDVEKLPFDKCMEIFSRQALGSLLSSCRDVEEWLRIMGDNLWNIKQDEDDILPILKLSYNALPSHLQACFSCLSVFRKGHFIYPDIVITFWMALGLIHTPNGKNQVHVGQRYFSELLGRSLFQEQDILCDDTVACKVHDLIHDLAISVSQREYAIVSWEKAAVSESVRHLVWDREDSSAVLKFPKQLRKACKARSFAIRDRMGTVCKSFLHDVFSNFKLLRALTFVSVDFEELPNSVGSLKHLRYLHMTFNRKIKSLPNSLCKLVNLQTLHLLCCNQLEELPTNVHQLVNLVYLNLTSKQISLFKSGFCGWSSLEVLKLSYCSELTSLEEGFGSLTALRELEIWECPKLASLPSSMKQISATLRKLCIHSCEELDLMEPAEALSGLMSLHKLTLTELPKLMGFPESFKSAASSLRKHEAPPSLLNPEVIKSNSWTPGDFIYGV >KN539241.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539241.1:83181:84138:1 gene:KN539241.1_FG005 transcript:KN539241.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25910) UniProtKB/Swiss-Prot;Acc:Q84RQ7] MRPFSPHLRQAAAAAAATGAPPLAAALLKNSSAPLIHGRLSFSHTSLQSPNNRGKRTGWAVRVLPLTEENVEMVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLELNHDNVDKVLDEIRPYLSGTGGGSLDLVQIDESVVKVRLTGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >KN539241.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539241.1:100941:102435:-1 gene:KN539241.1_FG006 transcript:KN539241.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHFVVVLALAVAISSPLAAAQLRQNYYASICPNLENIVRGSVQRSMQQSPIAAPATLRLFFHDCAVRGCDASVMIINPNGDDEWRNPDDQTLKPEGFTTVIAAKAAVDSDPQCRNRVSCADILALATRDSVFLSGGPNYAVELGRFDGRVSTRNSVNLPHGNFNLDQLTGYFASLGLSPTDMVALSGGHTIGAASCNFFGYRLGGDPTMDPNFAAMLRGSCASSGFAFLDAATPLRFDNAFYQNLRAGRGLLGSDQTLYSDPRSRGLVDLYAGNQGAFFNDFVAAMTKLGRVGVKSPATGGEIRRDCRFPN >KN539241.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539241.1:138:1701:-1 gene:KN539241.1_FG007 transcript:KN539241.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNISSLAISVLAKAASFGIDRVVTEIKSAWDIKKELEKLERSLRSICAVLRDAECKQSTSHALQVWLDNLKDAVYDIDDVLDDVATEALEQEVQNGFSNRTRHVLTYPFKLSNRIKEVREELDEIAANRAQFGLTEHAIDVHTSGSNNRETHSFITESEIIGRDEAKREIVEVNEWDELKSILSNGGSGSVIIVTTRNMSVASIVKTLEPYEVASLPDDKRMQVFMHYAFRDGGAQDPMLLEIGKSIVEKCCGVPLAAKALGSLLCTSRDVGEWQRIMEDKLWNTEADVYGIIPALKLSYDALPPHLRACFSCLSIFPKDLEIFVEELVMFWMALGMLGAGKEIKQVISAGRKCFHDLLGRSLFQDQVVVYDGTIPCCKMHDLIHDLAQFVSEYEHAVISCEKAAFSKRVKHIMWDRKDFSVELKFPKQLKKESK >KN539241.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539241.1:84745:85485:-1 gene:KN539241.1_FG008 transcript:KN539241.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYCKKGRFLDALDLLDEMRKRENCRPTLQVFTTLIYGAGIVRNAIRARQLFDEMGQWGVTPDRGAHNALMGAYVRARDLQSGMTVMSEMERKGIGLDDVSYNTMLCGFQRIGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENARPDLGLELWDYLLGKGCVPHRHALDVLVTGLCCRGVVLEAYRCFREMIEMGMAPTERAFRVLEGFLKRKREFEKLEEIRQMMKATQLEEHQCDEEAA >KN539241.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539241.1:66028:67575:1 gene:KN539241.1_FG009 transcript:KN539241.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGWGDVGEMVVGMMPEVVPPLKDVVRATCVDTEDEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGELCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYRTVEQKMKMNQLGSTNQV >KN539241.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539241.1:77817:78951:-1 gene:KN539241.1_FG010 transcript:KN539241.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLFGLHRILSSLLEIEGTRTTREIKSKDSIQKLAKVLLKANWQKDLHHILVEPMEKSKQDSRESVRRTIMEHDEVFRQQVHELHRLYRVQKSLMAELGGEKHRFQSRTGETQEMMQGPLSNLKNSPSTSETTQSAHLGSTQHCAPEQVPEHSILQEYKPATCLNFFTEETSRTQEFRREGGRSTGGENWSASDPSVENDLDLKLTIGPSLHSTKAPHWLFSGSRERNPSGQHR >KN539241.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539241.1:109937:112349:1 gene:KN539241.1_FG011 transcript:KN539241.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAGLAFLAVTSAALLSPLAVVGQLRTDYYSTICPNLEAIVRSSVKQSMAASPISAPATLRLFFHDCAVRVHLEIATDDDRSIAIDRCKQCGDQNIRSVEGCDASIMIVNSNGDDEWRNSDNQSLKPEGFTTVLNAKAAVDSDPQCRYKVSCADILALAARESVYQSGGPNYQVELGRYDGRVSTRDSVVLPHANFNLDQLNAFFAGLGLSQTDMIALSGGHTFGAADCRFFQYRIGADPAMDQGFAAQLRNTCGGNPNSFAFLDGATPAAFDNAYYRGLQQGRGLLGSDQALHADQRSRGAVDYYAWSQSAFFGDFAAAMTRLGRVGVKTAATGGEIRRDCRFPN >KN539241.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539241.1:41697:44591:1 gene:KN539241.1_FG012 transcript:KN539241.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQTALAEGSNSDSTPMLYSCALCGKEYRSSKAHAQHLNSRSHLMKASQEPNASIAGITIVKPRPERVQRRAPSAVEEDEDEDEEEEWVEVDPSELESTSEMQVDEHSSKSDDEMDEFEELDPTFCFMCDLEHDTIENCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFQSLEAVRKHMDAKGHCKVRYGDGGDDEDADLEDFYDYSSSYADVEGKELVAADDKDNIELGSGGAELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQVVRLKVLRAMNKTGVETMRTKIGMKSNVIRNLPKNCPY >KN539241.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539241.1:13483:16533:-1 gene:KN539241.1_FG013 transcript:KN539241.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIISSFAISVLEKIASFGTDWAVNEVKSAWNVKKELGKLERSLRSICAVLRDAECKQSTSHALQEWLDNLKDAVYDIDDVLDDVSTKALEQEVHKGFTNRMRRLLACPLKLSHRIKEVHEKLNEIAANKTQFGLTEHQIDGQASRSRNRETHSFITEPEIVGRDEAKDKIIKRISAAADSNHLSVLPIVGLGGIGKTALAKLVYNDGQVDDKFEKKLWACVSDVFDLKKILSDIIQSGTGESNKQMNLEMLQSKLRGFLRGKKYLLVLDDMWNDKVDEWEELKGLLSSGGSGSVIIVTTRSTNVALVVKTLEPYDVAKLPEDDCMRVFTHYAFRDGAEKDPELLKIGKSIVEKCCGVPLAAKTLGSLLCTSRDVEEWRRIMENKLWNTDTEVYGIIPALKLSYDALPPHLRACFSCLSIFPKDYEIFVDTLVMFWMALGMLNTGKESKQVTSDGEKCFHDLLGKSLFQDQFILYDGTTQTCKMHDLIHDLAHFVSKKEHAVISCDKTDFSERIKHLVWDRKDFSVELEFPKQLKKACKVRTFSSIYNGGTVSKSFLENLFSTFTLLRVLIFSNADFEELPSSIRNLKHLRYLDLQWNQKIKRLPNSLCRLVNLQTVHLRGCNQLEGLPRDVHQLVSLTYLNLTSKQKYLLKTGFCGWPSLTFLYLEHCVELTSLTEGFGSLAALRELRIFYCPKLASLPSAMKQLSSLEKLVLNDCNELDLMEPGEALSGLESLRSLVLVKLPKLVGFPASFQSAASSLQYFYIRDCQGLEKLPDFIQNFTCLKKIVIRDCPELSRRCTAESGEDFHLIRHVLEIDIDNKIWKKSSYPYP >KN539241.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539241.1:54032:54895:-1 gene:KN539241.1_FG014 transcript:KN539241.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGVLRRWKRFFPAFASIHAAIEAAEPGISRREFRDATDKVVAMLCGATDDEAVAEELRVVLDEMMVEALLTLELVPAMPKTLASTDLAQDVGALRNHPSERVRGLATGIVRGWRASVKDELLKAAAAMEKLSQALEPDEADDYHAKILQPSPPKKTANTSRSQPPFPKKQSARPVVGGSRVTTTAKIDPPPEKAPAAAAARSSHHRESVVPCYHDEKAMNAAKRKLREGYQEAEDAKRRRTIQVIQAPDRQRKMQAITRPRSRPSFAAASTAKKSSGFSSLRRV >KN539241.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539241.1:62308:62694:-1 gene:KN539241.1_FG015 transcript:KN539241.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSIGAGDEEEEEEEEEEGGFGGGGGGGGGGGGMFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNCGFEEATGYRAEEVLGRNWLVHINLAYVSVIGSTCLIWASNWWHLEYNLHFV >KN539241.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539241.1:75746:77086:1 gene:KN539241.1_FG016 transcript:KN539241.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g44230 [Source:Projected from Arabidopsis thaliana (AT5G44230) UniProtKB/Swiss-Prot;Acc:Q9FFG8] MVEKDVISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMLTGYAQNAMPVKALEVFDQMAELGMIIDEVSLTGAISACAQLGAVRRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFNGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMTVTPHGGVWGALLGACRIHGKSKIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLMLGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFW >KN539241.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539241.1:90326:91389:-1 gene:KN539241.1_FG017 transcript:KN539241.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSLRCFLTGRPLCTAGSSAAVLPAPKPTRRRPSARLSCRAADEAPSMRGDLPVKLGKLAMVALAAGVLALSPVDDAMAAKSGGRVGGQAFRSAPRSAPRPSGPRINNSSVAVGVGGGFDTLVLFIVLGTIVGAVRRFLNRNDDDYDDY >KN539241.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539241.1:71166:72005:-1 gene:KN539241.1_FG018 transcript:KN539241.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYYAFSVLVALHLCCCHEQAADAAGSNSSDVTAIYSLGDSITDTGNLIKEAPPGMFETIKHLPYGITFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAMDPTDQFNGRFFAPFSSNSLNVQLRWFKDYMKSTFSTDEGNSPDQFRSVSFFFF >KN539241.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539241.1:50886:51839:1 gene:KN539241.1_FG019 transcript:KN539241.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYRSVETVYC >KN539241.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539241.1:27333:28813:1 gene:KN539241.1_FG020 transcript:KN539241.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVRRAIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEIMTGRKPVDGSHKSLLSWARPFLNEGRIESLVDPRIGGDYDGEEARRLAFVASLCIRSSAKWRPSMTEVLELLEGVEIRQERWTMPEAEEDDDDEELWRFDDLDDDDDEDDEDEEEFNTASPSSCSSSLSN >KN539241.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539241.1:55988:56498:1 gene:KN539241.1_FG021 transcript:KN539241.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLAGVPLFRGHSETDELLRIFRLLGAPCRQTWPSYPSLPLFGAVPICRSWDRNRLRDLFPEERLSRDGFEVLNGLLTCNPDARLSAAEALRLPWFNATVDGIAAADATKIE >KN539241.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539241.1:88718:89368:1 gene:KN539241.1_FG022 transcript:KN539241.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSSCPAAAASHPSSYHCRGVGPAAGQYCFSTPPRITLVRWTPPRHGWAKLNFDGSVHNDGSGRASIGGVIRDDHGRVLLAFAERTPHAMIGVVEARALIRGLQLALDHGWDQLLVEGDDLTLVRLLRCESNHTRIPPAMLDEIIWLLDSFRVCEVQHAYREGNQVADALCHEAYKTTQAARLWTPGTAMVPFPVWEKLEDDRRGVLHQRVRA >KN539241.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539241.1:32546:37157:-1 gene:KN539241.1_FG023 transcript:KN539241.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDPRFSGCVPATVLHISRSFAAALAADGGGDPVFSIDGVETTNVRVLGRVVSVVSRDTDVSFTLDDGTGKIALVRWITDQSDTRDTSYIQEGVYVKVQVNLMGFQAKKQGLARSIRPINNFNEVVLHFIECMHVHLESIQSKMQRQLPPSVQTNEYTHVPSSGGVRDYQVHFTPQVNQGLPPAVQTNTSTYVPLLGGVRDHQAHFAQVNQGQFSPAVQANTSTHLPFSGGVGEHQIHFTPKVNQGQFPPSVQTNTSAHVPYSGGFREHQVHFTPPVNQGQFPPAVQTNLYNHAASSGGVREQVHLTQANQIFYFPAQFSAYSSTGGLQHDPERLVLEALQQPDILGVVKHLAAAGFVYWTIDDNHVKSMCND >AMDW01019011.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019011.1:90:215:-1 gene:AMDW01019011.1_FG001 transcript:AMDW01019011.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKDPHTLFKRVKHGEFQRKRIVHRGLSLDDVKLVKNAMNC >KN546356.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546356.1:66:485:-1 gene:KN546356.1_FG001 transcript:KN546356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGLLSYAEMAGELMSLRVLEKHFGVDDEAAMGADELVELYHGLFLRFDRDGSGGVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAGAAA >KN545377.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545377.1:457:1636:-1 gene:KN545377.1_FG001 transcript:KN545377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVALATNTEGVVHLCHFAKLCDNLKILHVSTAYVAGEQAGLLLEKPFQIGEALRQGYTLDVEAEVQLVDRIKSKLRIKSSIDNKLEKTTMKKLGLKRFYRRIIFFIYLDALK >AMDW01035963.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035963.1:135:404:-1 gene:AMDW01035963.1_FG001 transcript:AMDW01035963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STLRRRFQAYSNGDPIEGGPYVADYSGQTVGTIDWISAETSGKYNFTLAATDSSQLPPIVNAFEVYGRIPLDNPSTFPRDCKLDHTVHL >AMDW01021197.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021197.1:21:242:-1 gene:AMDW01021197.1_FG001 transcript:AMDW01021197.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWAGGLLQKGSEVVYVTEDDKEEQYSVPQVK >KN544061.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544061.1:27:2338:-1 gene:KN544061.1_FG001 transcript:KN544061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGAPDLREAKKKAAAVPYDGEDKNGFLKKLSLRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGRRGWLSSSLPWTAPKKGFSLSDLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLVASKLIYAEVPTLLNELQRYEQLLRSFSMTSSYRCAAYRTERKPLNR >AMDW01047145.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047145.1:81:676:-1 gene:AMDW01047145.1_FG001 transcript:AMDW01047145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIETVKQTPAYKNRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSLWRLYGVQFILLPYSIGK >KN539137.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539137.1:45948:49062:1 gene:KN539137.1_FG001 transcript:KN539137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGLRAASERITAEPERRISSGPEEDEAEAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVVHSAGRKHIKQEGYSYPLCCRILEVLHKSRQQFHLRTFCVLGSNTTTDSYGNARPIIGGFVVETSSGAVSPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTHSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRWSRYAIVTSS >AMDW01038696.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038696.1:319:740:-1 gene:AMDW01038696.1_FG001 transcript:AMDW01038696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNMTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAVSHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >KN539137.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539137.1:22245:23513:1 gene:KN539137.1_FG002 transcript:KN539137.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGSPEAAAAHKERPKIRIIHIIAPEIIKTDVANFRDLVQRLTGKQQQQQQQESAETTLPPPSPVAVLDEKKEKVTTKKRPAPAEDESVMRKKKKKKIKCEVKVEEGHGFGYDHLDHTDLWMDLNPGGFLSFLEEEDVFQGMAADLFQSPLGSSRMDFVGEMYAS >KN539137.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539137.1:51572:54264:1 gene:KN539137.1_FG003 transcript:KN539137.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGKRAAPEGTNGAAKRARGVNLKVKLIKLWILDIIVLYHLPFILFLSEKKLLLRGNKWVNTSGCPFGSSCHFLHNFPGGYQAAAKMTSHGGAAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPSLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >KN539137.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539137.1:72904:76210:1 gene:KN539137.1_FG004 transcript:KN539137.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAAAHSSSSPFVSSRRLSSPAASLRVRTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >KN539137.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539137.1:7556:11744:-1 gene:KN539137.1_FG005 transcript:KN539137.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTALSYIRGQFSWEKDQEKDLERLDTILTEILAIVDAIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMALKSKVDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSDIYDEITLARDILEFVTGENYRSVTKLDELKNVLQEKISQKNFFLVLDDVWYDENRTNWENELVWDGVLSTLDTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKNWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIRVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSECFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHVKHLQLEWSDLPRPITSELDSDVLEALRPHPDLDRLNITGYKGLRSPTWFETNWMKALTSVILENCMGWVQLPPLGQLPLLEDLVLRNMHAVGQIGEEFYGNGEMKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVAITSDSLPSSCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDPMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTCFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSVLVINSCDSMDLLSLAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLEFFLTSVVIFGRVVCLPEPLYYIYFNRITTSHIIK >KN539137.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539137.1:55116:57484:-1 gene:KN539137.1_FG006 transcript:KN539137.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARADSVKFRAVFTQVEDLHRLVQRPREQIADAEALLDIATSLVASVRTHSALGITPSDFVSGMLKKFGEKRRDDYEAASLRWLDVGLYTSRIFMAVPGCSTMVGPMNTEVLPRRVRVCRKRTAKPRGSECPEQLPDSSNAAKTDTDRNMSVIFDVLRKKKNARLENLVLNRKSFAQTVENIFALSFLVKDGRVEISVNEEGHHLVYPRNAPAASAITSGKVVYNHFVFRFDFKDWKLMKDMVVEGEELMQHRPPAPQVGTHGSSSSSTTTTTGGANEGPETETPAAPAHSTAIRKLCRNRGLVMHDMQEEAATTTTTGGRTMEEKRSAMDAQVSQLASKRRRLFQDDDDDDDDGDLE >KN539137.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539137.1:846:1802:1 gene:KN539137.1_FG007 transcript:KN539137.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRWEGGGFGEVYHGVAKSLQPPDIAVKKLITSNEYSEREFLNEVQSIGRIHHRNLVRMVGYCKEREQRMLIHTTVTNVRGTRGYITPEWFHSERCIDTKVDVYSFGVVLLDMICCRRCQDPVSGHGEDGEDDSATLFGWAGQLVKHGRVEVLPHSDDDAMEDLERVERFARVAFMCIERNPSLRPTMHQVVQMLEGSVEVHALPQFPSSTDTSLPISMESHAPRFFPNLQLNSI >KN539137.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539137.1:28792:35495:-1 gene:KN539137.1_FG008 transcript:KN539137.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPIPQGLSFLRSVGWFEERKADAAAARQRHSPRLKLQTDREVYRPGDSVTATIEICTPAGLKDDAASAESGEDAPSLLVDGLSFEIKGIEKLDSQWFSVPKPLPGSKQRRGPKCLILAQYQTHILEARVPLQICVSQKSSNLLNEEADNVVPVPVNFPLPIEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVVSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDAGPPLTPKYVEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSPSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFSTSKVSVQWSLRFEFFTTPEGIDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRQAIHGRNDRSVLVGNLFNS >KN539137.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539137.1:39128:39480:-1 gene:KN539137.1_FG009 transcript:KN539137.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWACVRVMAGTILGGALGFYVMHRLETSHKAKMEERLRRYEARMSMAAKGKEEEEAQQRLQDEAAPQHKDQARLLPDS >KN539356.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539356.1:35450:38163:-1 gene:KN539356.1_FG001 transcript:KN539356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTESRTRTTVSVVVLFGLCSFFYLLGVWQRSGFGRGDSIAAVVNEQTKCVVLPNLNFETHHSASDLPNDTESTEVKTFEPCDAQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPEKEKLYCLVPAPKGYAAPFHWPKSRDYVHYANIPHKSLTVEKAIQNWVHYEGKVFRFPGGGTQFPQGADKYIDHLASVIPIANGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSMKLSFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLQSEQRRIEQFAELLCWNKISEKDGIAIWRKRINDKSCLMKQENPKVDKCELAYDNDVWYKKMEVCVTPLPEVKTMTEVAGGQLEPFPQRLNAVPPRITHGFVPGFSVQSYQDDNKLWQKHINAYKKINNLLDTGRYRNIMDMNAGLGSFAAALESTKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANAVFSLYENKCKFEDILLEMDRILRPEGAVIIRDKVDVLVKVEKIANAMKWQTRLTDHEGGPHVPEKILFAVKQYWVVESKSS >KN539356.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539356.1:110843:115105:-1 gene:KN539356.1_FG002 transcript:KN539356.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VYFEVDKCRKGLTESSDKSLHTLFIVLNSVFSKLEVSFRNAVEGQTLLCTHDGKSPELIFERLPEVDVESSEWTEVLSANAITLIYQNLQKFDDFISDQLYMPIHYHLQDSASILPRHWQCGHTTEACVPSALAKRYQQDIHLLLLQQGYGCGSLMGSSDIDNWIQDAKESMVGFWDVHVGQPKSAILLSSIMTSFFSLRIIRSFPSGMNFLRHSNKDLNVKWKNKRSNKLKNHCAGFAACAFFVYLRMLLDFCGNTSNEKPPQDMSELAMMEIVMKSVGGIGKAGSNWLPIVTGQ >KN539356.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539356.1:23850:25819:-1 gene:KN539356.1_FG003 transcript:KN539356.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSFYRNYGKTFKKPRRPYEKERPDAELKLYGEYGLRSKCELWRVQELLTLDEKNPRRIFEGEALLRHMNRYGLLGEGQNKLDYVLALTVENFLQCRLQTIVFKNGTVKSIHHDHVLIRQHHISPLGGGEPGRVKRKNQKKASGGSGDGDGDGDGEEE >KN539356.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539356.1:68845:74899:-1 gene:KN539356.1_FG004 transcript:KN539356.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEPINAHKLLKEQFVSNLTGSSLLEIAALSTVVPAVVILRKWSCGDNTRKNSLKKNDDALPGHKGFVHYFSTLAIDYLTIVLPVLLIFTVLAEWAYACALSLVMLISILTMFKRSRSHLKAGPNELPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIMDLGVGSFVVANALVSRQARNFTAMTWNKALMSISPLIFLGFARLISTSGVDYQVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLAGLLVLTGFQTWLSFGLNEYLISNERNSGIISQNKEGVYSIFGYWGMYLIGVSLGYNLFFDNSSKGKSRSSQVVKVWVLAASFWIMAIILDSYVERVSRRMCNFAYVMLVFGQNFQVISVLTVAGFISYEKNLVLEDAFNQNMLGSFLLANILTGVVNLSVDTISASSGTAFMILLVYSFTLCMIAGLAQFCGFRMKFW >KN539356.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539356.1:93774:93989:-1 gene:KN539356.1_FG005 transcript:KN539356.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADPCAKYGEKRWKGEVTGGSASPVSTDGSTYLESTVIVMVPLSRCFAPPHRRRGHPSNHHGIAPSRTRQI >KN539356.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539356.1:14664:20608:-1 gene:KN539356.1_FG006 transcript:KN539356.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVWRLQEMAVGQARALVSVNDDIVRLRDRLMWLQAFLREADAKRRAVSDEVNKVWLLQTRDAVFDAEDALDHFYLRVDMSSLNKKQNRSEDGRSCSGDQTVVSKVAGRVSRCDSSIDGGGWQRRKTRHRKHDQQQRCPDRERNNNERALVVNTAGKLLSRILLSSPSPSLFKANVLGSLAYKYITMGIPQWFPRWAQPSMRYVVTFTTQVPMRRILSKKIMAINTRLEEIIQNKDRYKMDDVNKGIEVTWKASTSISESNSEL >KN539356.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539356.1:85762:88475:-1 gene:KN539356.1_FG007 transcript:KN539356.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLRLQEGRVQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGGTTYTLYSNSFLNFGQNAAQESFHEILRSKDSKNGTLVDPCAPKGYSRIKEVISRPSSASKSKLENQFADSGDGDFTFFGLKQSSSLSDFVLAGEQFCNKDLSTLRQKYPNRSDDDFSRYCFSSAYIVALLHDSLGVPLDDKRQEYHGTSIFR >KN539356.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539356.1:28059:29636:1 gene:KN539356.1_FG008 transcript:KN539356.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARLGGGGGGADDIDRLLDSCSEIFDSGNTDFGDSKIPSTAEIKTKPDGWETTSRGHDGSIWDISQREDDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPYREEPPAIASGSPFRGNRP >KN539356.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539356.1:8417:10489:-1 gene:KN539356.1_FG009 transcript:KN539356.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNCFDVHAMESFAPHLTAPNILHQIVQQLTEDNKNCPRSMVHEMLAAALRDKKYLLVIDGEVSRTEWKNIITMLTTLAVGSTGNRIVHIRFDRPEQSSLYYHHHIRLEPLENNVVMKLFHKRLRNQDKQGDAGGPMVLKLKKLLQFDAQYQKLEEYREDICKITEGLPLAVVLLSGLVQTKEFPHEWTEVFKYLSSKKSKRLDNLLSLCFDDLPHELKCCYLYFAAFPPNVVVEARNLVCMWMAEGFLTPRVGKTLEKVGYIFLNELISRNLVNLVLVDDNSTTGTMFVSIQNKVHEFLQSEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAALANPLPKLRSIFSQFEQEPKEQEPKGDQTRQCCTPPQQWVTNKKQKDIRSHIKGLLQGSEFLRVIDLQGIEIGDELPHAIGSVVHLQYLGITSCSLTVIPPSIGSLSGLQTLDVRETNVRKLPLNFWLMIKTLRHVFGFTLKLPKQIGSMKHMQTLDSIELDNCEKDLIGTVGKMVHLENLFVWNITTGNMEALFAALSKLENLRNLALHGHIIPSTVFITISLRRLKSMKLQGKLKFLYEITGMDVCLPNLSMLSLEKTKVSQGFISKLAELPSLETLALYSESYKDEHLLFSSIGFVSLKKIKLDVPTTLKTIEIERGALHILKEFDILSQRPHVKIIAERRIKKLIV >KN539356.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539356.1:101233:107967:1 gene:KN539356.1_FG010 transcript:KN539356.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGAVDFTYLVTGSKIFIYFLLSVSCLAGERAELSRALVVIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARSQKEHGDSLLNTGTMLGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRLINSANGKFSYGYASSPGKRSSMEDFYDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKLFSDTKSAIAETYTSTDSELLKAETSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKKLLQEASQRGSADNITCLVVRFLEQENHLPERPTNDQAS >KN539356.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539356.1:30310:31879:-1 gene:KN539356.1_FG011 transcript:KN539356.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSERGDVATAVRTAAADKLVHGPISDKKCRKKVPRKIHKSEREKLKRGHLNDLFGELGNMLEADRQSNGKAFILTDTTRILRDLLSQVKYLRQENSTLQNESNYVTMERNELQDENGVLRNEISDLQNELRMRATGSPGWGHGATGPPLPIPPSPSMVFPSQQPMQPSPMTTSTVFPVQQPLPQPTVIEPSSARQPLELKLFLEAPPAEDPEPSEDQEAPNNVARPQPRYPTEASSWPISLGLPRMEDEQM >KN538852.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538852.1:77804:84151:-1 gene:KN538852.1_FG027 transcript:KN538852.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAARRSTTTTTTKSQSQRQEGSESNHGAINRSSNAEEEEEKKKPRTGDYEEPNPDGYRFIFSLFWGDVCGFEDITTRGPMSHTYNDKPFDAKVMACMEIYSWKDSCLVLTGPVRAVQLRQCAELEFQLKVKGETPSEDRILSIDFWAYDPIRASLHTKDVSKTWTFDTKYSTMVLTFSHLTKAVEATIEIKITEGSSDFGARFAARMGSIADEVVLVDSGDRPVPVDGDGVVQISRWVVVVDNDGVLKLNAKAWRGNSDGVNVAGEDDVEFTAQSSRTSDSIKIIPSKIQETLLFLLGRNLFYLKIQEAKLIRFLLMEHAHTGLSSRHNTDLRVIYVTYSDYDLVRPPYGGSEHDSCLVLTGPVRAVQLRQCAELEFQLKVKGETPSEDRILSIDFWAYDPIRASLHTKGVSKTWTFNTKYSSMMLTFSDLTKAVEATIEIKITEGSTDFHGRLAACMGSIADEVVLIDSGDRPVPVDGDGVVQISRRVVVVDKDGVLRLNAKAWRGNSDGVNVAGEDDVEFTAQSARTSGAILDVGFAKMSVTVFWSLIPFV >KN538852.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538852.1:59459:60352:-1 gene:KN538852.1_FG028 transcript:KN538852.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLPFALAAALLLTIISGHLAAPATADGYVGGLAIYWGRHADADEGTLRQACDTGRYTTVIITFYNVFGYHPGNYNLDISGHDVTAVGADIIHCQKSRNVTILLAIGGYGGGYSLPTSQSAADVADNLWNAFLAGRRAGVSRPFGHDAAVDGVDFFIDQGGADHYDELARRLHGYGAGVILTATTRCSYPDHRLEKALATKVFDRIHVRMYGAGEIERRCVISSRYSWEKWAAAYPGSKVYIGLVASPEQDEAWVFQKDLYYEYLQFVTKLPNYGGLAVYDRYYDKKANYTGEG >KN538852.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538852.1:7174:20652:-1 gene:KN538852.1_FG029 transcript:KN538852.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLEPLRSWAQTPAVLRPISASRRPPARRSLSTTVPRRVGTSEEGRGTRDATRPRGHRSGGGGAFPAARSAAAPRMTGGGGGGGGAAAQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLAVYVRRHHRLLLAGCGNSVFGENMVDDGYQDIVNIDISSVVIDQMKKKYREKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMVSPFLPVFNILKVSSFMCGQNSQENASQMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHVIERDFCPWSTSASIGCSQLDGTDPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQEEEVDMGMREVRVETSIVHQIGLILDVVDGMMARVTLVGMEGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNDDWLKNKYHPTNLEIVMESRRNELARTAANQFLQDLQNGSLDIGPGLTSSATNKSGNSVDDADANGKKGKLGKSQDDLYYAAPKAHPVSSEPRRIRIDVELAQALICKLDSEKGIENNVLSGSDHDRAEKDKSHGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTFNGASSNAAEWEKKFDSFWQDRIQGQDPMEILKAKDKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKSCTKLFHAPEFVQKHLKLKHADLVRELTSKVRENIYFENYMSDPKAPGGTPIMQQPAPREKVRQRPPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDAYDSYGDPVMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRPGRGGLPMGGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSL >KN538852.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538852.1:3293:5821:-1 gene:KN538852.1_FG031 transcript:KN538852.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRLLTLLPPPPPPESRSTGWDRSEESDVEGMLDDPFDIVPQKKKKEASSVDRLRRWRQAALMLNTSRHDICNLDLKKEEEKMREICRRASTSDTADISYLLVWLYSKFGFSRQHFVSKKLRKKISEIGYQIMNRFDRIDSPTCDGSSDNPDDPLFCSFGDPVTHGAFPPDVPVPVLMLEPGAGLLGLFVSAPEVAIRMLRDQGRPSPFEPNFGRRGRKAGRDGGLPMGRPEPRKIRSI >KN538852.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538852.1:93769:98371:-1 gene:KN538852.1_FG034 transcript:KN538852.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVELRAELQQLRDKISGLGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDAEAQSVKKATLENRANDAEKRVQELNAKIDALQKANDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYMEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQVLSISTFMNLEYLPLMAALEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVLVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLASNGSILILEQNQQWWKDIFGSWATLKSAVYHHSFLLFFSFLISPPVYLCYELVSQRPFISAFC >KN538852.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538852.1:51092:53072:-1 gene:KN538852.1_FG035 transcript:KN538852.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVPAARHRWLPWNGLARGSSRTPHCHAAAAAVSSWHVHDSSSPCASSWSWASQVGDRIQLRPFSAAPAIVGDGIEDVDDRRVKQMRRRRDARIAQKAFMEYLHVTRGICFSDAEHMSKRSPIFIGKLLEKVKDAAKEPTEKGEEVPFRSKLKKREMRDERVSKALVRLFNFHPINEFEPFFESIGIAPGEYEPLLPRDLMFLNDDETLLDNFRVLCNYGIARTKIGRIYRDATEVFSFGHGVLASKLKALEDQGLSKTSVIKLVMSSPVILVRDPNVELKILRWLDDVGIQRDWLGRFLSVKKSYNWGKMVQVPQFFSDLGFTNEGKMLFSAVLVMLKAGFGKKELVDLFLNFPNLSVDNFTRNLRRGIFFLAEIGVSEEDIKKFVVSNGKKRLCRMVMEDPQLLMKFSLGAKVSRIPKVDLHEASFKEKVKFLQNLGFMEGSEGMTRGLKAFRGKGDELKDRYDFLVKTGLDPEHVVQMITMAPHVLNQKIHVLESKISFLVNDMGYPLSTLVIFPSFLSFTVERTKLRFLMYNWLLEKGVIATGLALSTLLATADKHFVKRYVVRHPMGLEVWEKFKTEVASTKNSTPCTPDD >KN538852.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538852.1:27514:30296:1 gene:KN538852.1_FG036 transcript:KN538852.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVESGSEAAAATAAAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCVGKDYLRRCAKGSDAVERFAAVVGWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDDGEVELVWCQNPIPKFHGTSVEATVKGMRHVKLLKFSENYEIDCPNLLIRLLPAPSVEWSGTVRIVCKESELEAELIYYRSNAFLGLGGDPRCVKGKIFSSRSGEIICEIDGHWDRIVSAKDAKTGKVSVLYDAESAIADLKTPVVRNQEGVSPSESVVVWGEVSDAILKKDWERSSQAKRRVEDTARRLDRERNDKGEVWIPKHFSLSQDKNGSWECSPLEKSVPPAPIIVPS >KN538852.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538852.1:65670:67134:1 gene:KN538852.1_FG037 transcript:KN538852.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKASTVAEKVPKKPRREDTATADGGPTVEDEATVVAPGCPEFIGGLVVSFCKRSGSVVPAAHHAPSTEQREGSRKAPCPAGPSFKADPHHTVESFLDYVVFGERSSCGSGCCCGVRIGPDAPSTTPPLPQISRPRNWVEKIAEGRRLLEEGTKELSSSIANSSTLIDAANERMDDLQKKLEVSEADLAKMKELYAGFNDWYKGLEARLDELHGHMDELKKSLRVSYTALHQTATSLGEEVEAPAFGDETSMTAALAELVTALESIVPKYTSQMRETATTGVRIGAAHILACFRANYPELDLENILRHGEANSGHLQFAEEVTPIADSILPFYQG >KN538852.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538852.1:88037:89876:-1 gene:KN538852.1_FG038 transcript:KN538852.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEVPGPPEADPLEGIRTFTLNLADVDKGTTYTDFLSELRQYSVERAPFTYRNCATTPDDGSFLSVSGISERLTEGLWKYIHSWSTISAFILAYHDEDGNPLPIVPEDPTYQALLKTVSACEIGSMRDAVGAKGVLLLILR >KN538852.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538852.1:157106:159135:-1 gene:KN538852.1_FG039 transcript:KN538852.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding VADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVISSQSAPQQCVQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >KN538852.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538852.1:104366:109033:1 gene:KN538852.1_FG040 transcript:KN538852.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKIKKLGKARKSGGGGGEVVESEEETKAGNNAASDEQKGKILEDDPAAAAAGAGMDADAGNGAVEGQEEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEEEGSSSREWMESDVGDGFPLCGFDSLYEKYCERMAVFDKMITQLLKDPGSFNISKKSPRSASKLASTLRNLSFKRRDDLQEDCEHLQQQQSEDDPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQGMGWDLDIAKQGLRHHGLPLVTCPERPTMQFCKYQLLQLGPKHIEDIMRILKDFCQSRLTAGLGTVADGKYNAEDQVEPSIFASDLIKLLEESIMTFRLFLKKDKKKNSALMSVHSHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPATMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >KN538852.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538852.1:63195:64142:1 gene:KN538852.1_FG042 transcript:KN538852.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQRRRSPPTILAAILLLSFLATANLAGAIDPAGRRRNVVVFWGGNKNEGSLRSVCDSGLYNIVIISFYSLFGHGRYWDDLSGHDLRHIGADITHCHFKAVYVLLSIGGGDGKDYSLPSPKSAADVADNLYNSFLGGSRRGVYHPFGDDVTVVGIDFFIDRGQPDHYYEIAERINYDTRHWRDPIGFKLTATVSCAYDDSDPRMKKALETYLFRRIHVRFYDDPRCSYNHAGLAGVMAQWNRWSASYPYNGKIYLGLAAANLTGKNDMVAVGELYRKLLPAVQKTDTYGGVMLWNSYYDSITHYGRYVSAWA >KN538852.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538852.1:33730:35451:-1 gene:KN538852.1_FG043 transcript:KN538852.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MRREERGENSWSETNEAHLSKKAHYGPHNKRKDGGKRMGLKFDRVKFSHRFMQKEAVLLPLYDAIPYICKLSNLALVVAHSSLTLSKVHHLPLLPWIRYWLSVGAQPSDPVERILYRAGILPPPPMLAMAHKGGPRDRHPIDPMTGRPLDLEGVTVVDDSHTPKSGDGAPNEETA >KN538852.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538852.1:70691:72217:-1 gene:KN538852.1_FG044 transcript:KN538852.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVGVHGILLLAAAAVLAQCLLAAAVNTPVVQCASGTTKCTVTNAFGAFPDGSTCHVAAAAFPATEEELVRVVAGAAASGTKMKVATRLGHNFMKLSCPGGDGLVISTNALNRVVGVNAARMEITVESGVTLSELIDAAAHAGLALPHAPYWLDLTVGGLLSTGAHGSSVSGKGGAVHEYVTGMRVVTPAPASEGYAKVRVVNAGDPDLDAVKVSLGVLGVISQVTLALQPLFNRSVSFRRRGDGDLAERAVAFAGEHEFGDVVWYPARGEAVFRIDDRVATTKTNTISSGDFQNVIQSSRSTEQQQHGDVLPFQAGISVPLSRASAFIRDAQRLLDMNPGALCGGENGGILIRYVKASTAYLGKTEDSVEFDTTSCRHDGDAAMPEEMEQMALRKYGGVPHWGKNRNVAFDGAIAKFPKAGEFMKVKDAYDPDGLFSSEWSDQVLGVAGAGGVNVARNGCGMEGLCVCSEDEHCSPEKGYLCRPGMVYRDARVCRRVAGDAYADA >KN538852.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538852.1:44905:48262:1 gene:KN538852.1_FG046 transcript:KN538852.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVTVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVTSNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVVLYSVHVQYHSEALYLATMRKFTAALSGFQCDIQAIAPSCSEILLAAVL >AMDW01040754.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040754.1:907:1532:1 gene:AMDW01040754.1_FG001 transcript:AMDW01040754.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGGPARDETRASNSIRENMDVVSDDEGENATETQVFRIRGLSSLPPPIPNEADRPLIQPNGDTQWTELPKLGKDRRPASVLTSILRDHHPGIVEYNGKSVAAMKWVHYQAKVDSNGRSKADEVDEEFWLRFRFEPDYECVARQQVGRCADILLN >KN545330.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545330.1:1277:1723:1 gene:KN545330.1_FG001 transcript:KN545330.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSNIDSQFGLSKQSSLEMAGMDDFLQLQPDSVACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDK >KN540421.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540421.1:5455:5835:-1 gene:KN540421.1_FG001 transcript:KN540421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANIGEMEEQLAAAAGAFTAGNGTPSLIKALSSAGFSTIAMAVGMLVYKPAHGALFEHHIFAAYYYLALVLIFVAGVVEIFVAFWVSDDQHGRRRAIGGAVLRASVVPLAAVVGLGGYAVLVKG >AMDW01035146.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035146.1:13:467:1 gene:AMDW01035146.1_FG001 transcript:AMDW01035146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPTEQVDIDNFMVQQLDGTSNNWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQ >KN540421.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540421.1:42871:43747:-1 gene:KN540421.1_FG002 transcript:KN540421.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVSRDNLEMLQQVGSYSLEIGAAEAAGVAAGEEYRSGTPPPPGQFVKELLGVGSLTLAMAAGTLVYKPPHGLLFQRHVVAYYLTLVVIFLAGVAEVWTAFWLSEAAVSLMEPKKYLPS >AMDW01040916.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040916.1:16:2802:-1 gene:AMDW01040916.1_FG001 transcript:AMDW01040916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFYLFSIFCCLCSCAQSQNTSGRPDAVRIGAQFARNSTIGRVAAVAVLAAVNDINNDSNILPGTKLDLHMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMTAVADLVEYYGWKQVAMMESRVIILHANPDSGLVVFQQALKLGMVSNGYVWIATDWLTSYLDPSVHLDIGLLSTMQGVLTLRHHTENTRRKSMLSSKWSELLKEDSGHSRFLLSTYGLYAYDTVWMLAHALDAFFNSGGNISFSPDPKLNEISGKGLNLEALSVFDGGQLLLEKIHQVDFLGATGPVKFDSGGNLIQPAYDIVNIVGSGLRTVGYWSNYSGLSVISPETLYKKPAKRTRETQKLHDVIWPGETIKKPRGWVFPNNGNEIKIGVPNRVSYRQFVSVDSETGMVRGLCIDVFVAAINLLAYPVPYRFIPFGNNRENPSYWELINKIITDDFDAVVGDVAIVTNRTKVVDFTQPYVSSGLVVLTSVKRQNSGGWAFLQPFTIKMWTVTGLFFLIIGTVVWMLEHRINDEFRGPPAKQLITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDVPIGFQVGSFAENYLAQELGVAHSRLKALGSPEEYKKALDLGPSKGGVAAIVDERPYIELFLYQNPKFAVVGSEFTKSGWGF >KN539878.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539878.1:46197:48620:-1 gene:KN539878.1_FG001 transcript:KN539878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVYIFTTSIFFLLTPTIALANDRKPFLARRSSISTQDDTTTILVSPNGDFSCGFYRVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQKDGTLALLDYNGKVVWSTNTTATRADRAELLNNGNLVVMDPEGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSFDQPWKNGRTTYDSLRYGVLNQTGYFVSSDLFKFEASDLGDQVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGTNAVCNYIPELHCSCLQGFEVIDPTDWSKGCKRKVDITAIWDKGNRHNITNNSTSQDFSFRKITATDFWGYDTAYQQLIPYSNCTNMCLTANNCQAFGYRKGTGECYPKYSLFNGRRFPDPYNDLYLKVPRGVPFREESDSRPTHSCGVTEKLAYPSSQMFEEVTSNFEFGYFLSSVLTLLLIEVVLIIVGFSVVRKWETKPEITDEGYAIISSQFRRFSYKELEKATNCFQEELGSGGSGVVYKGVLDDERKVAVKILNDVIYGEQELRSELSVIGRIYHMNLVRIWGFCVEKTKRLLVSEYSENGSLDRLLFDYHNLFPVLKWSQRYNIALEVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKPEAAQMPSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGSRVSRWVVDGKEEVGLAVKRNVDTLREKLASEDQSWLLEFVDSRLDGEFNYSQAATVLKIAVLCLEEDRRMRPSMDTVVEVLLSLVE >KN541098.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541098.1:29891:33726:-1 gene:KN541098.1_FG001 transcript:KN541098.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLATVAASCQRKCGEIDIPFPFGIAGQPGCAMTGFELSCNDTGNSVPTLLLRNVEVLGISLPLGQARMKMDMSYDCYNTTRNDIDCVDMVDLNLKGSPFTFSDTANKFIVFGCHMLAYLGPGEQNDVGSNLTIGCAATCGIGDDLVSTNSGGCSGIGCCQTNIPKGIQYYKVWFDGRFNTTDIYNWTRCAYAALVETSSFNFSTVYNSLSRFNDNLGSQPPFVVDWAIGNSTCEQAKTNPDSYMCISSNSVCLNSRNGPGYICNCQNGFEGNPYLNDSFGCQGKTKGVHMRCKLILMNARILVSTFAMGNASINLAGSLNVGIAIGMAAGFGILVLSLSVVLLIRKQRSDILKQQRKKYFRKNQGLLLQQLISSDERASDNTKIFSLEELKQATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEITQFINEVAILSQINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHGATSNRESSLSWDDCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVADFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRRQPIFECESGTKKNLSIYFLYEIKGRPITEIVAPEVLEEATEDEINTVASIAQACLRLRGEERPTMKQVEMSLQSVRNKGFSSAGTSPESNHGMQPALSETYVNLHQPLGVHTIGIINLASSNCNSLQQEFMLSASFAR >KN539878.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539878.1:26465:29119:-1 gene:KN539878.1_FG002 transcript:KN539878.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALDDLIRRLLDARGGRTARPAQLADAEIRKLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRYIARPADVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGADKVAEFLQTHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKINII >KN539878.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539878.1:53597:56002:-1 gene:KN539878.1_FG003 transcript:KN539878.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSIFTTPISFLLALTIALAEDQRSSLARGSSISTQDDTTTILVSPNGHFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDSPVNGKGSRLTFRKDGSLALVDYNGAVVWSTNTTATGASRAELDNSGNLIVMDQAGHRLWKSFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNILSMIYNGPETSSIYWPNPYERSWENGRTTYNSSQYGILNQEGMFLASDKLQFEASDLGDKDVMRRLTLDYDGNLRLYSLNATNGKWSVSWLAFPRLCEIHGLCGINSFCTYMPSLQCSCLEGFEMTEPSDWSQGCRRKENITVKGDHNANNNTEQRFIFVEIPKTDFYGYDFNYTPSITLPVCKQICLNNDGCEAFAYRKGKGECFPKALLINGKKFPDPSNDIYLKFSKEASSSQLLASKPSHICKVTEKDAYPSSQMFEGSNSKFKFGYFLSSALTLLVVEVILVTVGCWAPYKWGKRPEIQDEGYTIISSQFRRFSYKELEKATGFFQEELGRSGAVYKGILDDNRMVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTHKLLVSEFVENGSLDRVLSNHQSMFPVLLWSQRYNIALGLAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLNRGPSTHILSRVQGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGNRVSRWVVDGEEDVELAVKHTVDILKEKLASGDQSWLLDFVDCRLNGEFNYSQAALVLNTAVSCLDEDRRKRPSMNSVVEILLSLMK >KN539878.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539878.1:72554:74968:-1 gene:KN539878.1_FG004 transcript:KN539878.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVHIFTTLISFLLMLTTALAEDNKSYLARGSSVSTEDDTKTILVSPNGDFACGFYKVATNAFTISIWFSRSSEKTVAWTANRDAPVNGKGSRLTFRKDGSLALVDYNGTVVWRSNTTATRASFAKLLDNGNLVVVDSEDQCLWRSFDSPTDTLLPLQPMTRDTKLVSAPARGLPYSGLYTFFFDSNNMLSLIYNGPETSSVYWPNPFDRSWENGRTTYNSSQYGILNQEGMFLASDKLQFEASDLGDKDVMRRLTLDYDGNLRLYSLNATNGKWSVSWLAFPRVCEIHGLCGKNSFCTYMPSLQCSCLEGFEMTEPSDWSQGCRRKENITVKGDHNANGNTEQRFIFVEIPKTDFYGYDFNYTPSVTLPVCKQICLNDDGCEAFAYRKGKGECYPKALLINGKKFPDPSNDIYLKFSEVSSSQLLASKPSHICKVTEKYAYPSSQMFEGSNSKFKFGYFLSSALTLLVVEVILITVGCWATYKWGRRPEVRDEGYTIISSQFRRFSYEELEKATGFFQEELGSGGSGAVYKGILDDNRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTHKLLVSEFVENGSLDRVLSNHQSLFPVLQWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDEDFEPKIADFGLVKLLNRGPSTHILSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGNRVSRWVVDGEEDVELAVKHTVDILKEKLASGDQSWLLDFVDCRLNGEFNYSQAALVLNTAVSCLDEDRRKRPSMNSVVEILLSLMK >KN539878.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539878.1:13084:21575:-1 gene:KN539878.1_FG005 transcript:KN539878.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYLVGKDQFLQSSVMVLMISCKNACSEKWFQPTDCTEILRMANELSGKFCTPVSQPDNDSTVIQIISTIMPRYYPQLKFERLVTSLEAKVGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPQFPTDITRMLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVTADPPDSDLLEGPSRVSLKCPISFRRIKTPIKGRLCKHYQMNLRKPTWRCPFCNTPSNFTDLRIDQKMVKSPFFHKILQETGEDTIDVLVFADGSWKAISTNDERSDRHSSDVIQQGRDTMDTDATADDVIDLINEDNDGDVPMSFTSASEDLKPFLNCQDLSVADYLSDLPMNTVSQAEDLYAGGASRGNNERGNVTSTSGQNSSLPSTGSLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQHIAQGTRSDIVPSQPRIDPQLRLEIARPPIPRNVTREPTGIQALPVQPQRVQPNIYNCPPPFPQSSPASAYQVHQVTNADSVITAMSTGIGSLSRAPDAAPLLQRQSTQQEIRATQNYHQGQFIGLTAPQNFMGTRPPPGVPGQAIGANAHGASPAQQSHHVHRLVSNLMNQLGQATVAQPSTAPQVLPSQPGGTSAVNPQIRGHLFPAQQRSQAIRPQAVPRPTISQAPPRAQSPFLPTTARPPPSTPPPIGTSDDLPELPVDESWRPTGQMRGSLTGEAYSVAIGRYNPSVNIAGQQTSQVTSQARPAGPDARSFRRLREPSEGANALY >KN539878.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539878.1:64670:67118:-1 gene:KN539878.1_FG006 transcript:KN539878.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVHIFTTLISFLLMLTTALAEDNKSYLARGSSVSTEDDTKTILVSPNGDFACGFYKVATNAFTFSIWFSRSSEKTVAWTAKRDAPVNGKGSKLTFRKDGGLALVDYNGTVVWSTNTTATGASRAELQNSGNLIVMDSEGQCLWESFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNLLSLIYNGPETSSIYWPNPAFLSWDNGRTTYYSSRHGVLDSDGWFIATDQLNFEASDHGQKDVMRRLTLDYDGNLRLYSLNMTTGKWSVTWMAFCQVCEIHGVCGKNSLCIYKPDPRCSCLEGFEMVKPGDWSQGCSYKANATLIWNNNANSKSNHGFIFKKIPHTDFYGYDLNYSKPVTLWQCKRMCLDNADCQAFEYHKGIVGCWAANKWGRRPEIQDEGYTIISSQFRRFNYKELEKATDCFQEMLGSGGSGAVYKGILDDKRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLVSRGSNTETQSKVHGTRGWVVDGEEEVEMAVKRTADVLKEKLASKDQSWLLDFVDCRMNGEFNYSQAATVLKIAVSCVEEDRRRRPSMSSVVEILLSLVE >KN539878.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539878.1:4194:8396:-1 gene:KN539878.1_FG007 transcript:KN539878.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAATGAMNSVLAKLAAFLGDEYKHARGVRDDLAFLHSELTTMNKALHALADADQLDELSKDWRDRVRDLAYDIEDCVDLSVHRLRGAGGESGLAARMARVAKKIGSFHQIASQIQQLKARVVEVSERRNRYTLHGLVPTTSSDASSSTTTKVDARLCALWTETKHLVGIDGPRDDVISRLVQQDDDVRMVSIVGCAGLGKTTLARQVYDRIKAEFECKAFVSVSQRPNIKELLLNISTQVGKSTSTWDDVANLVDNLREYLKQKRYIIVVDDIWSPEPWNFIGEALVKTSHGSIIILTTRVKEVAISSSSSHGGFVYQMKHLDGAHSKRLFYKRIFDCEEKCPPKFELASEEILKRCDGIPLAIISISSFLADHESLYHWNEVKKIISSPLPGNEYLETMQSVLALSYYNLPHDIRSCLLYLSAFPEDCEIAKNSLVSRWIAEGFINARPGENVYEAGLRYFNVLINRSLIQPWNEHYGEVLTCRVHDVILNFIVSKSVEENFLFLLDPSGLVPLQHSNCCKVRRLSLQGNYCQEEFASRMMPIKPHVRSLICSVDYTGFHPLSEFKVARVLDLDGCQSLTNNHLANIEKLVHLQYLRIRGRVTVLPANIGRLHHLETLDIRGSEVKELPPSIVLLQRLARLSVSQDVKFPAEGVSKMQALEELTGLTLFCQPGSFLKELGELTKLRVLVVYWKAYHARDSDEAQAEHKMSCKKIFTSSLNALDRHSLHSLDFVVFMERFLFDPWFLALQNLKRFGVESTPRMINIPSWIRLAAKLEKLELSKAYVTQDDFEMLGDLKALEYLALPCSDTRGSWLTISNHGFRCLKFAFLCNVLFMPDSMPNLKDLRIDIVLDEVGENDSVFEHLPSTLCRVNVDIIGNPPSTPRDVASELEEKILNNRMMAFASMAPPLAWSWSWHRQHLYVPYLYRFY >KN539878.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539878.1:32840:38551:1 gene:KN539878.1_FG008 transcript:KN539878.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRGRGGFDELVLLHQQQERRRREQQQQEEEEEEEVRRQMFGAVVGGLAAFPAAAAALGQQQRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGNNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPPQNQPQSLILPSVPSTAIPEPPFHLESSQSHLRQFQLPGSSELVAGASSRVLSLAAGFRGDRTDLEVLKLSSLYQELEQGKVLDHGQYLAGDGDGYPLLPWLMVPFPGPPVPGSPEAEFNAAHDAMCRKARRTVRSLKGWGAIARLRDEESPRAAVACIGTCAMLHNVLLAREDHSALASEESDLPAAAQRRADDGAAGVEDLEIHRRASAFRGALAAKMRRR >KN539878.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539878.1:41219:43644:-1 gene:KN539878.1_FG009 transcript:KN539878.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFCIFTTVISFLLMLPTAVAKDQKSFLTRRSSISTQDDTTAILVSPNDDFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQNDGTLALLDYNGKVVWSTNTTATQANRAELLNNGNLVVMDLQGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSVHLPWKNGRTTYDSRRYGVLNQTGHFVSSDLFKFEASDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGINSVCNYTPRLHCSCLRGFEVIDPTDWSKGCKRKADMTVIWDKGNRQNTNNTISQDFSFRKITGTDFWGYDMAYAESVPFSNCRNMCLANPKCQAFGYQRGTGQCYPKYTLFNGRSFPDPYNDIYLKVPKGVSFTKESDSRLTNSCGVTEKVAYPSSQMLQDFPSKFEFGYFLSSVLTLLLIEVVLIIVGFSVVKKWETRPEITDEGYAIISSQFRRFSYKELRKATNCFQEELGNGGSGVVYKGVLDDERQVAVKKLNDVIYGEQELRSELSVIGRIYHMNLVRVWGFCAEKTSRLLVSEYIENGSLDRLLFDHQNLFPLLKWNQRYNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKPEAAQMPSRVHGTRGWMVEGEKVELGVKRTADILKEKLVNEDQSWLLEFVDGRLEGEFNYSQAVKMLKIAVSCVEEERSQRPSMSQVVQNLL >AMDW01128631.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01128631.1:75:668:-1 gene:AMDW01128631.1_FG001 transcript:AMDW01128631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEETASGSWVVEIEKYISGDTSGEMARGSKPRHSIYRVPEYIKNMTNPNAYRPQVVSLGPFHHGDPALMPMEKHKCRAVANLVKRSGKPLQEFIPAVEEIKVQLQDAYENLEDIWYQGTRFVEMMLKDGCFLLEMARVFELRGRVEDYEPDDPIFSKHGCLYLFSSIKSDVVLMENQLPLLLLRRLINVAYGHEFL >KN541384.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541384.1:497:1454:1 gene:KN541384.1_FG001 transcript:KN541384.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQTRSIRKGEKQFQADLGNRSLKHDPNARQSGRRQLMKDPTIGHRGSRDDDLEMVREKLIKGFIDIDDEYHIGIKEIGVLNDSPFYSACNEKLPPEEAEMAASELNSQWQELLNDKSWNPFHTITVDGDRQVEVIDADDDKLKDLKMTWGEGPYKYVTDALVERKEYNIDGPGVFDLWNYKEGRKASLGECIDYVFDHVKQLKIVRRKSPRLQRNVCR >KN539184.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539184.1:126858:135527:-1 gene:KN539184.1_FG001 transcript:KN539184.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMLADAAAAAPCSSSSCSSNSSSSSSAIWSRRRDEITFDRLDKFWSALSPQARHELLRIDKQTLIEHARRNLYCSRCNGLLLESFTQMVMHGKSLQQKGPGVVQDDSWGGLSTTKDGLLTLLDCFINTNSLHVLQNENRKLYLQMVRFVWFMFDSKRFCRDCRRNVIREFKELKELKRLRREHHCTSWFCITDTAFRCEVFEDAVLVDYRQSFLDQDKSYNRFEFAVGTEKGKSDILGFEAVGMNGQVHRKGLDLDQFEDYFVTLRAHYADNKNTDFYVKAHALKGQSCVHRRLIVGDGFVTITKGESIQSFFEHAEEAEEEDEDDAMDRDGNDTDVDGVHPQKHAKSPELAREFLLDAAAVIFKEQVEKALREATAQQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKLRRKERLKEKEKEKEKIPVQLKPYIGTSSSPLSNSATPINDQSPDIAHSKYSASDDEDKDSIVVTESFSPDTCVDQSLTRESDGQSNEFHCSTTLEFIPSDCNGSFMCEQSTSSRRKLRFRRDSLQEQTTGFWYEDCQDDSGGVGNIHWQSRERARNAGRGCNSLFSANNRTRERYEYNACSCGQQEDYGYFSPTARSSREMKMSRKTMVEKPRLQYRRCYPLDSFIVSKGSRVGSTPNKNAAPKQVWEPMDARKKASLGSSNGSSETVSGVDRSNQVGCSKDIVNCSQILGSEHEELAEASSDRSEEACKSITDQPCESSENNQAACNSEPPVVNKPDSCFTKDGGQTANMTSSDSSSCLSEGDRDSSMSSMTSLSAQNPESSSTSDSEGSSERNNSNPGNPPTKNGSRSLLEMCAGNGFREYQPQNIHPSDGNQFGFGVTSFQEQLLHQQKIHAAPYPSTLMGFHNHHVSVPTNGYLAYPQPGHFYPNAVGYGVAGNQCVDFPMQYGNVHPYAGPEFGYVPAQPVHKAPVSFNAMVPTAALFRNGAPEVINPVIVKPDRQHRHTLPPEPKRVDPDPQNGCSEDNKKPQDGSVPFSLFHFNLPISSPAQASSEDEVSGGCLASRSPTPSAQKAQQPCSREETNIKEYNLFSARTGVEFPFF >KN541384.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541384.1:19035:26192:1 gene:KN541384.1_FG002 transcript:KN541384.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIHSIRFENIGVRRPSSDDIKITGISHPHDFRKTCFLQAVLVHLLNTRNLNLSRKAYVHDDQQSTSSKPITMSSIPPLGDLVLEKLDEVEISVKMGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIHSIRFENIGVRRPSSDDIKITGISHPHDFRKTCFLQAVLVHLLNTRNLNLSRKAYVHDDQQSTSSKPITMSSIPPLGDLVLEKLDEVEISVKKMQTLLEDVERSRMKT >KN541384.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541384.1:7223:17030:-1 gene:KN541384.1_FG003 transcript:KN541384.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKGDLVAMQLMLDLAMEFFSPIVVESLMLEDKAVQLEILEVSNEEHRREEEARGIEKSTTTSRLPRLLQRIARGGDNHCYVGQWTCLSPIDLHNHYRRLCMPGLERRGVFEIGEWEEVGAVLGAVRGEASADGRALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTIKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGDKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKTAPFRHHSQEIPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSLRNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLSEVTVSTPKPDSIESIPTGKSDLIEPVPTRKPHLIDTVTTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPVVPTRKPIVIEPVPTRKPIVIEPLPTRKPIVTEPLPTRKPTVIEPASLTQKIVSEPMRKTDLFESGLARRIGLIGSRPTTCFVDCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAGVMERATDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >KN539184.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539184.1:108615:109293:-1 gene:KN539184.1_FG002 transcript:KN539184.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYKMFGPIKEKYIQVGNAVAVPVARALGYSLGLAYQRESEGSSPLFVLPDSFTEVGLQAAPASASSVGIPVGEVVEQ >KN539184.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539184.1:74597:87374:-1 gene:KN539184.1_FG003 transcript:KN539184.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSLVVAICQYGGEFTSGPNGNLIYKGGEAHAVDVTREMSLDNFKDEVSKVFHVEVADVSLKYFLPNNNRTLITISCDRDLQRMVDFTASSAQVDVFLISRVENRSITQTGASTAKPGSNARGDKRKTPTSKNKASKNKKKTPSATGTSVQANANNVKQPRQVVTENDDNRVFPLEFGSDIAFANTAGAGSTTPDILNQQKLALVDNTARESVGLFDDSVNPYVGSEITTEPTQGLNNPIVGQKGLDAAVPQVFEESHHAFCLHHIMEEFKGELRKGPWSQQIRDGMVEDFTRAAQACSIEDFNTSIESIRNISTEAADWIIASKPEHWSDAIFRGCRYDHFSSNIVDAFNNWIPTKKEGSIVLMIDSLRMKIMEVIEARRESCKSWSGPLTPSMEFKAQDEMSKAGKLTVLCSSETVFEVRGSAIYVVNLANWECTCRRWQLSGLPCMHAVAVFNRVGRSFYDYCSKFFRIESYHLAYSGAIFPIPDMDTVDFSAGANLIPPPKPRTSDKPRRKRFNPNKIPTVGERSEALSGVALMESPNQKQQQEESSSGSSVMCQLEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQSPCRRFVSTSKDGDARIWDMTTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLRQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >KN541384.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541384.1:4056:5210:1 gene:KN541384.1_FG004 transcript:KN541384.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYLSNGGLGEPVDNADGAFADEGWYATDHFGLDVIFHSRIKQYECLTDDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLELVDWLTRRPEWRAMGGRDHFVMSGRTAWDHQRQTDSDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRGMKRDWLFTFAGGTRPGDPNSIRHHLIRQCGASSLCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAILAGCVPVFFHPGSAYTQYRWHLPRDHAAYSVFVPEDGVRNGTVRLEDVLRRVSAARVAAMREQVIRMIPTVVYRDPRAPSARGFTDAVDVAVDGVIERVRRIKQGLPPGGDDDDDHRWDAYFDTQ >KN539184.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539184.1:2976:3356:1 gene:KN539184.1_FG004 transcript:KN539184.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAPDVLHEYKAVACHGGLVALRRRDPNWRRRSQRRADICVYNPMTGQRSFFVLRGAARDRYASIAGWSLKSTRTAGDGSFRLLQADFMPKHDEGGCYFIIHSLSSECGEPGLVQLHSGDGGAS >KN539184.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539184.1:64700:67808:1 gene:KN539184.1_FG005 transcript:KN539184.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTNQIMILQHAWMLVGEANSQLIISTVAHSNWYQSNSIEGLHKLQLNMDSSAKQWLAELENDDDPRELEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPEGHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPVRKFCPPPSEMKRGGRRATSSVQEHVIAERKRREKMHQQFTTLASIVPEITKTDKVSVLGSTIEYVHHLRERVKVLQDIQSMGSTQPPISDARSRAGSGDDEDDDGNDNEVEIKVEANSRGTSVLLRVVCPEKKGVLIKLLTKLEKLGLSTMNTNVVPFADSSLNITITAQIDNGSCTTVELVKNLKSTLRNF >KN539184.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539184.1:112107:116297:-1 gene:KN539184.1_FG006 transcript:KN539184.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAAPTRTSTRKRAASTKATDEPSTKRTRRPKAETKPRKKKDEVKEEEKPPMEDDACGEEPDAEEMALGEEAEAEEAEQKQLDTPAPGVARKRVAQPSRVRHGSDGDHDPEFVGDPFPAKEARDKWPQRYQRNAATRRPDEEEDIKARCHYSSAKVDGTLYCLHDDVYVKAEEDKADYIGRITEFFEGTDHCRYFTCRWFFRAEDTVISSIMMENADDEKHDLKRVFLSEEKNDNVLDCIISKVKIVHIDPNMDPEAKAQRLADCDLYYDMSYTVAYSTFANIPLENGASGSDTASDISSDDVDSSKGKVVSDSEASSVGKATLLDLYSGCGGMSTGLCLGAALAGLNLETRWAVDFNSFACESLKYNHPRTEVRNEKADEFLALLKGWHSLCDEYVKKYIDFSSAGASENEEDDDEPLEKDEFVVEKLAGICYGGSGREDGLYFKVQWKGYGREEDTWEPIENLRDCPLKIKEFVQEGYRRKILPLPGDVDVICGGPPCQGISGFNRFRNRKEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSRLVAMKYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHNVVVRGGAPNAFSVNNHQPNEVMEYGSSPKTEFQRYIRLSRKEMLDSSFEGKDGPDLGKLLDHQPLKLNKDDHERVQQIPVKKGANFRDLKGVRVGANNIVEWDPDVPRVYLSSGKPLSQGDVFIFRGTRLQLRCIYYFVGTNLQAP >KN539184.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539184.1:34442:52481:-1 gene:KN539184.1_FG007 transcript:KN539184.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTALDMATGGDMMLMQLVLAEVAHLMSLLVWRKKSIHFVSIDETRPLSEQGPFDIILHKLTDKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNAYGEVCTPRQLVIMKDPLSIPSAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVETSLSMLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLPDVNIYDLENNDGIFRFPRVSCATNTAEDAEVDPSIAELPPKPLLEKLGRELRRRLGLRLFNFDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFIDFLLSLVQNKYKRRLSGS >KN539184.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539184.1:103474:104787:-1 gene:KN539184.1_FG008 transcript:KN539184.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKKQPPPNMPFFTDEKGNVYYATGGLPPMWQQHGSGNFSIPPPPPPGWHMSSSAGGFSGEMYSSGPYGPALPPPSPNVALGFSKSSFSYEELAAATSGFSAANLLGQGGFGYVYKGVLARSGKEVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAANQRMLVYEFVPNGTLEHHLYRGGNGDRLTEKSDVFSFGVMLLELLTGRRPVDTSNYMEDSLVDWARPVLARLLAGGGEEGGLIRELVDSRLGGEYSAVEVERMAACAAASIRHSARQRPKMSQIVRALEGDASLSLDQQRHDDDFSASASSEISRHRQVAFDSGDYTDDYSTISTSSRLPPKR >AMDW01035869.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035869.1:110:595:1 gene:AMDW01035869.1_FG001 transcript:AMDW01035869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QDYSPSSGMGVSKTGAFRLGLYGNLNVQSSVQEWVDETKRLFFLRTTNSVRNNITNGTTPLRVGNLRHDPSEDIRSSNYPSLYNQRERGPSNSIVNRHVDTDLAKHRVMYQSAHAVPAPFSVVNNDIKPLNMLDGSKEEIPWHDSVTVESSLPKVSKSETTL >AMDW01037996.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037996.1:129:566:-1 gene:AMDW01037996.1_FG001 transcript:AMDW01037996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKTRVDYDERKKLEQQRAQVKSQMSRYEDELARKRMQADHEAQRVRNQELVKMQEESAIRQEQMRRAIEEQIQEERRKTDRAKAIVEKEIEQEKILAEANARIKLKKQTEDVERRLLIEGAKAEKEKWVQLINTTFEHIGGMPDK >AMDW01040031.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040031.1:232:612:-1 gene:AMDW01040031.1_FG001 transcript:AMDW01040031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTLSARKVSATRGAASVPDEVFHRAQGVQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >AMDW01037675.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037675.1:90:603:1 gene:AMDW01037675.1_FG001 transcript:AMDW01037675.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDEFSLTKEQEKWVVDIMLAVTLVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIEGWIDPVGAIIRCKSWQYQLLPFIHEL >KN542202.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542202.1:9405:12898:1 gene:KN542202.1_FG001 transcript:KN542202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MEEVRGRESKDHRGGGGGGDGDGERTGRWRAEEVIAGNRAVLEALRELVMYPVLYAREARVLGLNFPRGLLLHGPSGTGKVLRLRREQGSRIVGQLLTLMDGKSSKLLPHLVVVASATRVDAIESALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARRAYGRLSSSSESENVLTLIMEDWESAKSVAKNSVTRGVTKEIPAVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRYEILRIHTRKMPLGDDVDLWKVAERTELFTGADLEGLCREAGMAALRESLRSERFVCDDIHFQAALRSLRPSLTQSVVDEYSNAAIHGLLTRRKH >KN542202.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542202.1:13445:15492:-1 gene:KN542202.1_FG002 transcript:KN542202.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMOSOME TRANSMISSION FIDELITY 7 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/Swiss-Prot;Acc:A7UL74] MMRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGTEVKRSKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVADENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKVYLFISSQRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEGVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKTLARSYCKTSAFLVYKEQDA >KN539680.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539680.1:73271:77475:-1 gene:KN539680.1_FG001 transcript:KN539680.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALSFRCLRSVVGWFIFLKATVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWTNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLYGGKEDDLASSLMWLRDSQNTGFQSLNFGGLGMSPWMQPRLDSSLLGLQPDMYQTIAAAAALQNTTKQVSPAMLQFQQPQNIVGRSSLLSSQILQQAQPQFQQTYHQNINGNSIQGHSQPEYLQQSLQHCQSFNEQKPQLQPQQQQQESHQQQPQHQQMQQQKHLSNFQTVPNALSVFSQLSSTPQSTPSTLQTVSPFAQQHNFPDTNISCLSPSNVSSMHDTLRSFPSEAASDLPGVPRITPVPVSDPWSSKRVAVESTISSRPHDISSQIENFDLTPSSIPQNSTLAPLPGRECLVDQDGSSDPQNHFLFGVNIDSQSLLMQDGIPSLHNDNSSSTIPYSTSNFLSPSQDDYPLSQTLTTPGCLDESEYVPCSDNADQVKRPPATFVKVYKSGTVGRLLDITRFSSYHELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPGIELFSTSARRLGNSCDNYMSRQESRSLSTGIASVGSVEF >KN539680.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539680.1:22496:22983:1 gene:KN539680.1_FG002 transcript:KN539680.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGAATERVSSATPAMRKAKESSMWAMGMAGSGTAPRTMAEAPHMRKMAPTPMFLRRNGKFDRRKM >KN539680.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539680.1:57940:61335:-1 gene:KN539680.1_FG003 transcript:KN539680.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCIFGKRRATRQQSSQHNDDPSGDTNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCMEGNQRILVYNYLENNSLAQTLLGYGHNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTFFTYSVLHDRGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDPSEVVHECMQMQACNNGWSIRDQHMSELEVITN >KN539680.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539680.1:47256:47590:-1 gene:KN539680.1_FG004 transcript:KN539680.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIQITGLSSILEKDRHRPPPTFSGAAEALYSMPHTQHDFASNASIKPEISLSTIKRPVYYVKVMER >KN539680.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539680.1:43742:45413:1 gene:KN539680.1_FG005 transcript:KN539680.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNKLALAVHTYIHVEIACIGGKEWYFYNLKDRKYARGQRTNRATESGYWKATGKDREITRKGSLVLAHRFRFQFALDCIISHSHASWQLNEGWVLCRVFYKSRTEAVAAPTMESTLPPRCINGGTSRSPLPPLVDSSISFNHGGYEEVLPCFSSSHHQQPSPASMKASAAAADDQDYHHLSEGQRHYSDKKMMRDVQNDQVTTRFDGHLAVKREMSLKKKDLSEDEQVEDYYCLTDNGSSETWNLFQQ >KN539680.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539680.1:66472:72711:1 gene:KN539680.1_FG006 transcript:KN539680.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGKSDGVSCQNSRRPNLSLQIPNRAFDDTLPTSTRIKISPSPNSARSGLPPRPNSTRTKSSIRGIIPQRSFKAKSSLQDGDQTILLIPDTPSSSGQQVKATTSRSFSFTKVINSLSAKRTHSLPVTPVAASGPSSHEGHADNLPSTVKNEVETQIRRSLSAPGNHDSKDLRRTASSGLIRVITTTPRPVPVETVASNDGIEEAVDVPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCRQEVKNLPVTLLRIQIRTVNRQPRNGVQQRAAHPHRFWKETPVLVMVSTLAYFCFLEQLLLKMGAVLAILLASFTGFGIAISLNAMLIEYLRFHGDDKSGSLNCISNFDEIRDMVKMFVNLQSHHDHFHYNFSQQQAYASQADRTITSRQAYPTYTLPHASEADNTIQPHNIHSQKAGFFSQMGTLKKRHMDGWSISLPVIVPKNWCQIM >KN539724.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539724.1:50273:51100:1 gene:KN539724.1_FG001 transcript:KN539724.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNGDVPSSRCPKHPSQPPFTGFCSACLLERLSTAPARCFPSPSPIAAEISTEIPQPRVRTTLLYLFQLDDDDDDDDQGQQVRVDQEDEQGRQLQRKRSLRQSCEWIVCCDATADSRQSWDGSASAGAPPPNSNPIIRARGFVTRPAQMLRRSLSESWRHARSRPAVPTNAASVSSAGMDSEISLGGDSSSIHAAAAAPRQSSSLFKTLYRLGRSRSVHCSSPQIRSLDTGTLRFHLTPLARNSSTSTRLSLANKIQGRRLNLFDFFANQRQQL >KN539724.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539724.1:27509:33340:-1 gene:KN539724.1_FG002 transcript:KN539724.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPSFDSVLANENGQISPLTPPLAGRTYLWLRQHRRVESCFPWLQGRMCVTFLYPRPLAGTRSDLSNTIKYLVYWSTKHRVLLLEDATTLLWCRLWCLVYMVGMDTNNKKELRKDGKEALMATAYKGVHDNIGNKVMKRSTKDDDDDGPRINNDITSPFVRLVTDQGHSVVPRHEALQLAARMDLDLVEVHRKSEPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLKVKADGITRLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAIEDVGKGARKNASELSTVTADSGDETTDCGNGAISDQMDNAPAYISNEFSMQKDAHDRGSRRELSWSKSNPGNYRENMQNVDAGAHRISSSQRAAQTSEGGFGSNNVKSGMEKQEKANEDVVPAETNRYASRRQQIRGDNQGLSQDRSPQGHRRNENEVRYPVNDYQRPLQQNSRQSPRFNDGRLPQEPRRNERGGHIPLNNKQGQFQQMNHPAESAGNGAGYPTPTAKSFGVFSTRKPATSELGKTNGASRTANPDVPKSYGIFSSPRRESGDKSS >KN539724.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539724.1:52947:59159:-1 gene:KN539724.1_FG003 transcript:KN539724.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLIPTHDAKIQSAELFDEFMVSEHNREEHRRSASEKQASETGNTDLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHALNSRHPGQPSEHNPPGKDVSNRLANVIGKAADMANSNKIKKSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >KN539724.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539724.1:75261:79241:1 gene:KN539724.1_FG004 transcript:KN539724.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVGARRSTRVFVPKTPRPPQPSDPARVLRSGKRLAFSESPADAHWFQCKPNNCFHVHDHQRQLHDDPKPPPPPLPRTRSFGIVYSRKRRRRLPEPKEDTRFAIVFTRKRPKVSPFQHHAPNDLATIPCSSSREFASRTGFFDSHFLTLVDCIPTDKADAAMLIVLVDSSCSGTSQHFLRLLLPVLRWMRRCRRGKCALSQRALVQCGWCELRGAKDSEPLLSVNFLAVPSYFQILHLLIALESMYLPAVIRMRMHLVGGAEEIYPRTLLEEDSESLSTGDTDPAVDLCSNKLCSVAQDYVPLEEIAGVVVHGLRLKKHQRKRSSMRHPLSRQRLAARFPDKVVATNQTDVARQTEADAPPSVSPELPLEPVKPKAALEISLDLLENMDDSDVSTPIGSNGKQKRSSLKSPIERMNERLALAEVRQNIDSVHCRANLLIIQPDRCWREEGAEVMLEPSESNEWCIAVKIHGVNRISLKPSEQRFYVVNRFTHSYILAVDDGLKIEFSDKWDWLLFKELQIEGRERNSQGKIIPIPGVNEVSDDMGVIGTYPFSRPVPDYIRMADDEVGRALSRDSVYDLDSEDEQWLTQLNHSDSDRKSAHLNHISYEDFEKMITTFEKDAFKNPEGTSDLDRILSRYPTLEKDHNVLAVHEYWINKRYKKGVPLLRILQGATLRRGQLSQRSIKKKRSFKRQRSQAGRGKPDICLQDANGAEEEALRRVVEAERAATQAGETAVRLRSRAQRLMAKAELVAYKSVMALRIAEAARISDSSRDLVLTTLD >KN538807.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538807.1:90551:91828:-1 gene:KN538807.1_FG037 transcript:KN538807.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MLANGVAPDRCAFSFLLHVYSSHLHLPSAAHSVLLWMSRLGLPPTPIDYADLVFSFCRAGRLPDALQLLDEMRALNYPLTLHSYTPILQVYCANADMQAADALISSMRSTGCHPDVVFYNIYVNGLCKVGDFDAVQRTIDESGRNGWVPDAVTYSTYIVGLCRFGYVEEALRQLEIMVTMGLQPTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKRKWLRVLKLFTDLLKKPITPNVQTCNIFISCLCRAGKFQFAKFVFSSKGFMADTVTCNILIHAFYEAGKEDELGFLFADVNAGKIAPDTITYNTLVDCLFRSGRRAEAVNLIRHIDDGYPVEPVARLAYWLVRSGNVREALRLFDDMLEKGFVLDSRIFANVIKAFCRKGPGECTEMLQLCSVLDRMLGIG >KN539724.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539724.1:7481:8655:-1 gene:KN539724.1_FG005 transcript:KN539724.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSAAAAAALVVMVLWAAVPVVVVQAAGRKKAASSPALIVFGDSIVDPGNNNGINTIIKANFPPYGHDFHNHTPTGRFCNGRIPTDFIASRLGLKELLPPYLSPELSTEDLLTGVSFASGGTGFDPLTPRLASVISMPDQLLLFQQYKERLRGAAGDARVADIMTRGIFAICAGSDDVANTYFTMRARPGYDHASYAALLVHHAAAFVDQLVKAGARKVAMIGMPPIGCVPSQRTMSGGMERRCSEGHNQIAVAYNAGMKRRMEEMQAKTKKKTTKLVFMDIYGFLMDMMMRPRAYGFSESTMGCCGTGLLEVSVLCNALTSSVCAPVSDYLFWDSYHPTEKAYSILTDFVYDNYVKKLLLD >KN539724.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539724.1:70594:74383:-1 gene:KN539724.1_FG006 transcript:KN539724.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFRRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMAKFNGSQ >KN539724.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539724.1:4178:6608:1 gene:KN539724.1_FG007 transcript:KN539724.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLELTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGLPSSKL >KN539724.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539724.1:35774:41713:1 gene:KN539724.1_FG008 transcript:KN539724.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPMIWRRRRRTAVADLQSTREERRGDSKRREEMRSHEMNNSSSRYASEEERGSNSFLIESEEEEEEEEEAHPHSSILLKDADSDSSSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDHQQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVVAHELPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRYAKMTALVLTLFPCMWLHYLQIILYVELYACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHVLKIWLLFLISIGLSSILFAGAAVVGYKMFGESTESQFTLNLPENLVVSKVAVWTTQKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIQNYS >KN538807.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538807.1:56300:76026:1 gene:KN538807.1_FG039 transcript:KN538807.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGAGSLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVSQVWKTGRFGVGFNSVYHLTDLPSFVSGKYIVLFDPQGAYLPNVSAANPGKRIDFVSSSAITLYNDQLSPYRAFGCDMKAPFQGTLFRFPLRTAEQASLSRLSRQVYTEDDILSLFAQLYEEAVYNLLFLKNVLSLEMYVWESGMSEPKIVYSCSIGSQHDNLRWHRQALIRFSGTAAESSEQKIDSFSMDFVSESFSGEKYEKKSYTYFIVQGMASASSKIGIFATTAAKDYDLHLLPWASVAACISNVGPEEVILRQGHAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNMLLLEDVVAPLFRELLLQLRTVLDSKISYYSLWPTGSYEEPWSILVEQICKFIYTSPVFHSEIKGGTWITPAESLLHDEGFSRSDDLNEALVMLGMPVVRLPGAIADMFPKFHSKYMLKIVTPATVRHFLKDFENLGTLEKSQKLILLEYCLADLDSGNIGKCMNGLPLIPLANKQFGIFSGISQKNQYYVCDSIEYELLSAVSDRIIDRSIPPVILDKLYQIASSSQVNISLIEGRTFLQFFPWLFPPGWKCRNQVPWDPESGGSSPTAAWFKLFWQYIGDCSYDLDLFSDWPILPSTSGHLYRASTVSKLINTGSLSNLMKELLTKLGCKILDTKYLSTCQHLSNYVYDGDASGVLHSIFGVASLEGVDLQALFQRITPAEKNELYQFVLDAKWYLGPHLSDMSINLCKKLPIFRVFDGGSPSSYGFSDLSTSTKYLPPLGVAEQLLNDDFVFCISPSDEDIIMRYYGIERMPKSNFYQRYVLNRLDELQTEFRDSVLLTILQDLPQLSLENPRFKEALKVLRFVPTTNGVLKSPQSLYDPRVEELYALLQESDCFPHGLFQNPEVLDMLLCLGLRTSVSIDTIIQSARHIDSLVHKDHHKAHSRGKVLLSYLEVHSHKWYVHKPFDGRKKVNMLAKVTTVLRSRDTSWEADLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMVAPPKQVRMQDDMWIVSASSRILDGECTSSALSYSLGWLSPPSGSVIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLSNLIGSDEMDIVKVVLEGCRWIWVGDGFAKTDEVVLTGHLHLAPYIRVIPIDLAVFKDLFLELGIKEQLDPVDYASILTRMATRKASTSLQAEELRTAVLVVQHLAEFRFQDHQTQIYLPDSSARLCLSSELVFNDAPWLLDFDEDITGNAPSIAFNSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTHYGTSSILSPEMAEWQGPALYCFNDSIFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHASYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYTPQDVEMLFSSFSEVVSEALLFLRNVKNITLYVKESDSQEMKLVHRVSKHNSYEMAKEPHALNTMLAFINGNQPSGMDRNQFFNKLNKTKDSDLPWSSQKVSIFEQSPAACLVHSWILTESIGGGHARKLSTASGSKSHFFVPWASVAAYLHSVTVDNTKVLSGEAEVNLDDLVLKHLSLGSSKDRKFFEGRAFCFLPLPINTSMPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAIAQELGPSDLFLSFWPTAVGVEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKATELAEVLSEAGLPVVSVSKPIVDSFLNAYPSVHLLNPHLLRNLLIRRKRGFRNREEAILVLEYCLSDMGDPSFPDKLQGLALLPLANGSFTTFTNRGEGERVFFSSQMEFELLKDSIPHLVVDNSLPDAILKKLYDIACSARSNIYLFTCNFLLELLPRILPPEWQHAKQLFWSPGHQGQPSVEWMVSLWNFLRHSCEDLSIFAKWPILPLVDGKLMQLGNASNVIIDDGWSENMYSLLQKLGCFFLRSDLQIEHPQLANFVQEATADGVLNAVQSVASNFQDIKELFMGISLAETHELRSFIFQSKWFSGNHMNSSHMNTIRNLPIFESYKSRELVSLTSPRKWLKPEGVHEDLLNESFIRTESAKEKSILVSYFAIREPQKAEFYKDHVLPRISEFLSQPAVVSAILRDVKLLAENDTSVRAALHETPFVLAANEKFMTTEVIELLASFGLKSKLGFSTLLDIARSVSLQQDDAFEHGKRLLTYLNFLEFKASNMEDKKTFHGDDNQEASKTDGSFEAENDGDGYNPEETILSLFSNFDHDLPEDEFWSELKNISWCPVHVAPLLKGLPWFISEDHVAPPITTRPKSQMWLVSSKMRILSADSCSMYLQRKLGWLDPPNANILSSQLVEISKSYDELKMFSEDSTSDAVPQKEIQLIYSKLQDIIDTADTNILKQNLDGHPWVYIGDRFVPPQALAFDSPVKYHPYLYAVPSELSEYKRLLSVLGVKQTFDAADYLNALQCLQSDAKGEPLSTEQLSFVHRVLEAFVDCYPDNQAPDMLLNSLLIPDSFGVLTPARNLVYNDAPWMNADPTSKSFVHLSIGNDLANRLGVRSLRGSSLLDDELMTDLPCMEYAKISELLALYGESDFLLFDLIELADHCNAKKVHLIYDKRDHPKQSLLQQSLGDFQGSSLTVVFEGTIMSREEVCSLQLPPPWKLKGNILNYGLGLLSSYFVCDTLSILSGGYFYIFDPLGLTGGTTSTATSSARFFSLIGNDLVERFHDQFTPMRVTQEASLSSANSTVIRMPLSSKCLKELEAGCNRVKHIFDRFTQNPSSTLLFLRSIIQVSLSTWEGGASQPTLNYSVLVDPSVATLRNPFSEKKWRKFQLSRIFASTSAAIKMQAIDVHVIDNGCNYIDKWFVALCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSTNIHASSCILSPLPLSGSISMPVTTLGHFIVRHNGGRYIFGSSHDKSLPDLEMHKNKLVEAWNKELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRSAHSVSTILQAYGDRVYSFWPRSKQHPASLTGHGSTVTNVNSPRASKADWQSLVEQVIRPFYVRLADLPVWQLYGGNLVKVDEGMFLSHSGSGDDDNLPSASVCSFIKEHYPVFSVPWELVREIQAVGVNVREIRPKMVRDLLKASSSILLRSIETYIDVLEYCFSDMDPYRFSDLHIHEESRVSNQQSEIMNSSISNSMPSSSSSVSYHRNTQRQGASGGDALEIVTYFGKALYDFGRGVVEDISKTGGSASHRTQAAENNVLSSIITELKGVPFPTSTKCLTRLGSTELWIASEEQQLLMRPFLHHFIHHQCLQKPFLELLLTTQVIHRPLKLRSFSPHLLSGHLKHIFDERWVHLAVEKKSPWIPWDNNANSSTAGPSPEWIRLFWKIFSSMSGDLSLLSDWPLIPAYLDRPVLCRVKECHLIFVPPADDSNPDSGDSAARVVDTSAHPGDETGEAEQNSILDTAFQSMNSAFPWLPALLYKLNIPVFDLSFPECGAICNLFPSRDRTLGQIIASKLVAIKNGGHLPLPLSLSSEDCDKLFALFVSEFRLSSNHLYQREELDVLRELSMYKTVTGTYTSLSGSDHCILSPTAFFHPADSRCLSSTANADLFLQALGVEQLSDQEILVRFALPGFGNKSAQEQEDILAYLYSNWKDLQLNSSVVNTLKETNFLTSANEFCTELFKPRELLDPSDALLTSVFSGERHKFPAERFMSDGWLVILRKAGLRTSTEADMIVQCATKIESMGNDIVSSSEDPSDFEADFSGSKNEIPFELWSLAESVVNVILANFATLYDSSFCEKIGKIAFIPAEKGFPSIGGKRGGRRVLASYSESILSKGWPLAWSSAPILTNQAIIPPEYSWGAFRLRSPPAFTTVLKHLQSVGRGNGEDTLAHWPTSSGIMTVEDAFLRILQYLDKIWGTISSSEKNELQTLAFIPVANGTRLVTVKSLFARLTINMSPFAFELPSLYLPFVTILREIGMQETLTNTYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQATDGSEDIFDSVIPDDGCRLVSAVSCVYIDPYGSHLLSNIDTSRIRFAHPDLPQNICNTLGIKKLSDVIVEELDGKEELKMVNSICSVTLDKIKEKLLSKSLQDALRIVMIGVSIHFPSFEALNLAQIESVLKDISQNLQFVQRLHTRFLLLPMLQDVTRISQRPPFPEWSSNGKHRSVCFVNKSTGQILVAEPPNFLTIHDAIAIVVSYRLGAPVILPIASVFACPDGTEKEVLKILRLGTDIGVSKREGRYNGSLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETSAGETCMLLSSQVYSFKSVSMADLSSAPLQLDSGRAAGGQQGFSPINTGTEAADDVATGLEYGKVSSTDLVQAVHDMLSAAGVRMDATKETLLQTTLSLQDQLKESQVALLVEQEKAEAAVREADVAKSAWSCRVCLNAESMYSYGAFYCADSLENRSIRFRFEAKSIFLKLGCLKTS >KN539724.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539724.1:80592:84271:1 gene:KN539724.1_FG009 transcript:KN539724.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSLLLLALATLLLHASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLAPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHVDPAAKRPSLVLLKKQEEEKLTFYDGPFKASAIADFVSANKLPLVNTLTQETAPSIFDNPIKKQACLIDIASILLFVVANESSKFLPIFKEASKSFKGKHHLSLSHSIYLLMCSFTTDEVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEVCSSWKIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPGCTYGIEVILRYNIC >KN539724.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539724.1:43400:43789:1 gene:KN539724.1_FG010 transcript:KN539724.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSSGSSRREEAYWRQQQLILQRSYPCGFCRREFRSAQALGGHMNVHRRDRARLRLRLSLTQQPPQPADDAAKSTPPLLPNLNYPPPPPPPHDGASSSAPPHLPRLPADDDADADAGLDLELRLAFC >KN538807.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538807.1:4874:6781:1 gene:KN538807.1_FG040 transcript:KN538807.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGRLPFDDASVGSILAVIKKVENLGDQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVTIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >KN538807.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538807.1:165900:170818:-1 gene:KN538807.1_FG042 transcript:KN538807.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding ELLFPLIEIGKRLLFLAEWEDPLKSYVFLFCFLFIVYRHTGNGQMIGAFEVTTPPRRRTVEQLLALQQAISQLEAQVQAGNIFFLKLRSLLLAAFPQHEQSSSYNARGICDIRVPAIEKHTCTDCAGSIHEAYAGEEEEQREIGEETEGVVAANPSCSCTALEASGYQEMEIEVEIEMTHIGNVGTLHMSRGRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFHVDRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGIYYDGGEVTASFNGTELCRGGFPVLYQGHRSTVRPVILLAGETRLDSAVALQLARQQQAGFVPLTVWARVPIRIKFGAIKLWKMTGKATCNLVVDNLVAGRRLRIRSNNCSFKLKV >KN538807.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538807.1:78:888:-1 gene:KN538807.1_FG043 transcript:KN538807.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKVHINGHHTLLLHQSVMCAFSGRLRTMVTQEKKKRKTSRAESLSVKLADFPGGGEGFELVARFCYNNGRVPLCPSNLPLLHCAAVFLEMTEEVCTCNLLSQAEAFVDGLYYWTWADVLAAVKGCEPFAAAADASGLLERLISALFSKITSNPETPVAAGAVGTPNRSSSSCSSSPDTLGFGRSSSTKTPESMKPCFAREWWFDDMTSLCPQTIEKAMRVLGCYGIENKNLILT >KN538807.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538807.1:103766:105316:-1 gene:KN538807.1_FG045 transcript:KN538807.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPTLVPRPRLLASTTLRAFHAAAHPQHQDPIIPGIYSYASLLRRSAAASDPRLAVSLHAALLKRGLLLASSHIFLCNHLLIACFKSRLHRHGLRLLDEMPRQNAVSWTAAIAGLTQGGQPREALALFMRMRRAGLPPNEFTLVGALNASSFVGGAGVGHARQLFALAVRLGFDSNVFLTNAFLAAMVRHGQLADAVRLFDSANARDIVSWNTLLTAFAHRSSLRLCSLWRRMAIEGVSADGFSFSTVLSGLAGSANMAASGLQVHAQLVKSGFVDDVCVCNSLLEMYMKNKLLESGTRAFTEMRHRDVVSWTELASGLLHCGEPAESLRVVSDMILDGIRPNNYTIVAAANACANLANLNQGRKIHGYAIKLGGDSNVGVSNALIDMYAKCRSVTGAYKVFQSMQRRSVVSCTAMIMAFAQNGQAREAVKVFDDMLLEGIAPNHAAFYSVLYACSQGGFVDEGWIYFDAMADKFGVEPGEDHFACMVDLLTKAGRIEEAEELISRMPFRPGVVA >KN538807.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538807.1:39331:43658:-1 gene:KN538807.1_FG047 transcript:KN538807.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPASTSGDVDRTANRKHRHIVPRRSTEKKNPHNIQFERQVAALEYRQEEQRKRANGGRLFFTLSLSSHLVENGDELETSASPSLLLFHFNDPEDLARCLTSSRALLEDSQQSDKAPDNDFSVNTFSNASVDVKRTSRKKSKKKNKRHKRVHGKKVSEASDTQSMQSKGASHCIDVAGGESLTLSSNHVAHAGSEMRCRKETFPSMADGAETLTLPPNHVADKLFGDLSSDSSVREVSAERPDSETGNDGSFITLISSTSCSDEIELSRHASYFECCEQSSSNNSRCLDSASTSTLTDSSLDGHYTDSSWNFSDDTENLLIDKNECPPCVQSKVTDLRGFKCGGSEGWLNKANHDKFSCFRNSADACSGTQEMQSCSNAGSDGDFLPVISRKRARKNRKVQPLGGCNVEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTSKMLPGITHSTETGVGQIALSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVDSEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGCHGVSAFEGRCNTNQQRSVSSKLQLGEMIKAANDACKVQGPASWQLTISISDIGCLLEKCVGMSKNNLDHKFDSDDDLLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSTVIPKANGEKSINRHPDYEFFLSRSN >KN538807.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538807.1:22655:26107:-1 gene:KN538807.1_FG048 transcript:KN538807.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRERRDQEEEEAAVESVERVFEGRVVPGWKEQVTLRALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLDKTGVASVRPFTRQENTVVQTCVVACSGIAFSDADLCTGGTGGFGSYIFAMSDRISDQSGEARDEHNIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKMLGKFFVMSFSWGFFQWFYTGGEGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVMSWGIMWPFIEHKKGDWYPADLKPSSLRGIVGYRVFISISLILGDGLYNFLKVMTRTTTALVMQTPEETFDDKRRTELFLKDQIPNWLALSAYVVIAAVSIATVPRIFHQLRWYHVAVSYVVAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWADASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFHDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAAPKVARFLPLPMAMAIPFYLGPYFGIDMCIGSLIRFVWDRLDGARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRTTNIKVDAFIAKLPSS >KN538807.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538807.1:84161:87999:-1 gene:KN538807.1_FG049 transcript:KN538807.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3B [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/Swiss-Prot;Acc:Q9FX21] MAAATALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFVVRLFSVLRYESMIHEFDPYFNYRTTLFLSDHGFSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNSTYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQANYGVNWTDRSCLLGRHVMELVFFFLDWVKYLLNDAKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQTVSGKSSGSKAAAKGAVDQSLPFQQNVAIALLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGASPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >KN538807.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538807.1:93079:102824:-1 gene:KN538807.1_FG050 transcript:KN538807.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVLCVGTLQTSDSVMHTADDDIKQLLRLTREILGVTDKAEKDEIVKAAMELKNAEIEDGYMAEALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSVGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEESGTTVFEKLQQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDVALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPTNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRFQFGHMKPASASRGSAATQSLNEESTSEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATLCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYSMYEVQYILRRQSDGSWKICEASASSMARAPMLGFGILTVYKFRFFSEIPEAADILAKILSQLPINDAIRTSVLSRKWKYFWCNHTNLTLNKGTTNLLQGLMQTAVLRQHSGMGVQRMEIKFRLHSKHADHIDRWVNFAIASKTKELVVDLSGQDKGSFFTDLTYSNCIRIIKEPPYNLPPQLLGLNYGSYLRCLELTTVSLQLPADFKGFLNLKILSLVDMSITDEDVQCMLSKCNLLEFLEISYCKMVTSIRMLHPLDRLKHLVVDICPDLQEIELNCSPTTLKYSGTMVPLTFASTSRLANISIVFINYQSALSYIITGFPSTLPRLETLTLHCGERERTIVPEGPFKFTYLRNLRLELALCGHGNIRTTDALDYAYILKIAPFMETLELSMWMLNCRHQPYREEDGELRIVGPPHQHAHLHLKRVRISGFFGHKDQLELALHILRSSVALEKMVITPKLEIGNDLAFSDPCADEYEMKHYVDGHRVATEFVCKTDNRNVVTVERVMPEPADGEVERKRRRAN >KN538807.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538807.1:107745:110587:1 gene:KN538807.1_FG053 transcript:KN538807.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23300) UniProtKB/Swiss-Prot;Acc:P32746] MHSGHLFFSYSANLGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAASHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTSPTTSDVKQGGKAGPGILGVNLGKNKISEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPSPADTHPLAQEAGGLSGKPLFDLSTNVLREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFK >KN538807.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538807.1:77598:79354:1 gene:KN538807.1_FG054 transcript:KN538807.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLYTGAVSLPFPTTRTAARRRTSSSRCQASSSGGSSNEGGGESYRGRSGRGGSTWVTEYDLYGLLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPAARLAYDQEQAKQSEFVGYTGKPLYSAWFGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVSKFQKRFQEMKQKSATRESEESEAAKQSRSSAVQTIRSISNWWEEVAGVGGGIQEHVGGAAALGLVNSFELKIVLASVTWFIIGAAIAGFIQFLARSQVNFRK >KN538807.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538807.1:26990:28739:-1 gene:KN538807.1_FG055 transcript:KN538807.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSCALTPLGFLERAATVFGDCPSVVSVLLPNVPAMYEMHFAVPMSGAVLNSINTRLDARTVSVLLRHSGSKLIFVDPALLPVLRDALRLLPARHTAPRVVLVEDPHEKEFPPAPAAALTYEGLVEKGDPEFAWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLITVDSLVDWAVPPRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEAAIRDNGWFYTGDVGVMHPDGYVEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKQGGGAVTAADVVAWSRERMPRYMVPKTVIFRDELPKTSTGKIQKYVLRNIAKEMGPTTRGTNTNRNSKM >KN538807.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538807.1:89607:90169:1 gene:KN538807.1_FG056 transcript:KN538807.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKKTDKRLALSNQTLPIRIIRWNIHDYPGLHLTANELVFSACSLQNQDCMVRTYSLEDQQDYKSQCFLLEVLTSWITLYEVLGASYLSAPTTLKTVKVTP >KN538807.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538807.1:32806:35853:-1 gene:KN538807.1_FG058 transcript:KN538807.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMNKTPATTFLPIAAASLVLLLAAAASVEASQFDYAGAFDKCLLFFEAQRSGKLPADRLVRWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGLPMAYAVTMLSWGVVEFEKEMVDGNKLHRVLDAIRWGTNYFVKAHTQHNALWVQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQGGSAAGYADTLKQYQAKAEFFLCACLQKNNGHNVKMTPGGLMYVSDWSNMQYVSSSAFLLTVYADYLAESRGTLRCPDGEVKPAEILRFARSQVDYVLGKNPKGMSYMVGYGSYYPTHVHHRGASIPSIYAMNATVGCMEGFDKYYNSKNADPNVLHGALVGGPDANDAYDDDRCNYQHAEPTLAGNAPMSGVFARLAAEPADNTPEYTPAPNAPSPSNGGSPLEFVHTVTNTWKTNGVDYYRHVVTAKNTCGHAITYLKLQIKELSGEIYGVSRTNAKDMYEFPSWMTRLDAGAQLTIVYIQGGPAAKIAVVEYKTA >KN538976.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538976.1:104319:105279:1 gene:KN538976.1_FG001 transcript:KN538976.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMMIPIIVLILVSMAANALADDRRQLQLMQDPAAGDVLSYHGGAVLSGDIPASIVWYGKFAPSQKDIVVDFVQSLTSTSSSSSQRAATPSAAQWWSTLATPLIIWASIGQFRPIYVDGPEVWPIHIRPHEKQFP >KN538976.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538976.1:69669:71707:-1 gene:KN538976.1_FG002 transcript:KN538976.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSEHRCWDELLPDALGLIFRKLSLKDVLTVVPRVCKSWGRVVAGPYCWQEIDIQEWSQQQSKPDQLKRMVRMLVARSGGSFHRISVSGLPGDPLFTFIGDHTVFAFASARSLKTMELPRSDISDSLVENVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLIGLRRVMHPTDVVGRASQHDEARAIACNMPKLRHLEIGYMLIATKAVVEIASQCHDLKFLDLRGCWNVDDKLLQESYPGLKVVGPYVDDCYENSFWEECSDDSDDSIYWELMDDDYYAAGSDDEGIWDDGQGLEGLEVRFYGGGFSESHAGFDWPPSP >KN538976.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538976.1:24172:32510:-1 gene:KN538976.1_FG003 transcript:KN538976.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM9 [Source:Projected from Arabidopsis thaliana (AT2G14050) UniProtKB/Swiss-Prot;Acc:F4IFF3] MPPPAEEFAVDDLDEFESRLDSFLNRFHADDLRRILLPDPDGKLHFPLVIDFAELLEFDPEVAHQLYDYPKDVLELFDAAAQRALDKFDAAARRADKSITLDSQRKAGDEPMEKKFVHVRVNTSGSPLECPEASPSIGKVRVKHRGTLLTLKGTVIRSGGVKMIEGERKYQCRKCKCRFTVHPELEAGNRITLPASCKSKSAKGCGGANFQLIEDSITCHDYQEIKIQENIQLLGVGSIPRSMPIILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLIANFVRRTNELKSDLDIPVEIINKFEEFWAASRATPLKGRNSILKGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLNTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKKWDKIVSSHILAENTEEKKGKTSDPEVMWTLSMLRRYIHYVKQHFKPVLTKEAERVISSYYQRQRQSGTRNAAHARLMFRNDVTKLDAIAAILCIESSMTTSAIVDTAGNALHSNFTENPDQECILKCDSIAYLSKNIKYLTDEISN >KN538976.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538976.1:166228:168912:1 gene:KN538976.1_FG004 transcript:KN538976.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPSAVPPVADDCDQLRKAFQGWGTNEALIISILAHRDAAQRRAIRRAYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEVARKWHPGSGSRVLVEIACARGPAQLFAVRQAYHERFKRSLEEDVAAHATGDFRKLLVPLISAYRYEGPEVNTKLAHSEAKILHEKIQHKAYGDDEIIRILTTRSKAQLIATFNRYNDEYGHPINKDLKADPKDEFLSTLRAIIRCFCCPDRYFEKVIRLAIAGMGTDENSLTRIITTRAEVDLKLITEAYQKRNSVPLERAVAGDTSGDYERMLLALLGQEQ >KN538976.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538976.1:21891:22871:1 gene:KN538976.1_FG005 transcript:KN538976.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALVALLLVSCAAVAAAATKYTVGDTSGWAMGADYTTWASDKKFKMGDTLVFNYAGGAHSVDEVSAADYAACTASNALQSDSSGTTTVTLKTAGKHYFICGIAGHCSNGMKLVVDVAAASPAPAPKAPSTTPTTPSTTPATPASPGTSSGLTPTTPATVLAPPAKQSAGAAGLRAGSWAMLGLAGLAAVQLGLF >KN538976.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538976.1:171164:171896:-1 gene:KN538976.1_FG006 transcript:KN538976.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVTGWRHASWTGTRQRDVEWTLVDVSTSTSWIQKSEQRGAETASSSAIVLDLEAGGGAEIWTSSTGKGWREAISAEEVAAAKASVGVVAP >KN538976.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538976.1:160066:163588:-1 gene:KN538976.1_FG007 transcript:KN538976.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYSIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDQKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >KN538976.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538976.1:122857:123835:1 gene:KN538976.1_FG008 transcript:KN538976.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADESYLTNGYLDTPIDWIAGVPTVRLGDVSSFVRTLDPTSFALRVEEDEANSCARAQGLILNTFDDLESDVLDALRDEFPRVYTVGPLAADRANGGLSLWDEDAACMAWLDAQPAGSVLYVSFGSLTVMSPEELAELAWGLADTRRPFLWEEVLRHRAVGGFLTHSGWNSTTESICAGVPMICWPGFADQYINSRYVRDEWGIGLRLDEELRREQVAAHVEELMGGGGGGGDRGKEMRRNAARWKAAAEAATAKGGSSYGGLDKLVEQLRLGQ >KN538976.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538976.1:64446:68004:1 gene:KN538976.1_FG009 transcript:KN538976.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHEVYGQEIPLDGEDVDMGAPGDEAAKMQELDEMKRRLKEMEEEANALREMQTKVAKEMQGLDPNASSSESKEEMDARSVYVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEAVKLNESELHGRQIKVAPKRTNVPGMKQPRGGRGFGGHPYMRPYGAPFYNPYGYG >KN538976.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538976.1:38758:45982:-1 gene:KN538976.1_FG010 transcript:KN538976.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLVSLPLTVGMVVATLRYFAGPAVPLHVLATVGYAWLCSLSFIVLVPADISTVRSRRAIPHSPTDYCLELSFCCAGASCRAALWNLVTVWAFGNLFVCILGFNREFGRSIVPTLQGYEDAGDFTVKERLKTSIHKNLVYYKIIGSIGLVGIILIITMRHDWAGGIMGFAMACSNTFGLVTGAFLLGFGLSEIPKNIWKTADWTRRQKFLYHRIANMAGKFDNAHQEYCHAIAVVQATSKQMSKREPLRPFMDIIDDMLAQMLRDDPLFKPSGGKLGEDDMDYDTDENTMASLRRQLRRANEEYYRCKSKYTSYVMEALELEDTIKNYEQRDANECKYTSYVMEALELEDTIKNYEQRDANEWIGMMVVYSLTPRQTSSVSLLMICSMVARYAAPISYNFLNLIHLGGNSKTTFEKRMGNIDDVVPFFGRSFNRIYPLIMVVYTLLVAAGKGSDSGLNKKKIIQMGSIHLECLFCRKDEETAGMKATTLPSKKEGRLQSKYASNVALKYSSIREQNSSHQAVKQAQTETQSTSVVPETGNSETPSSVSKEPDSSAGIASRWTLMKTGFQNFKANMSSKKFLPLSLSSIQSSSSGSLDEIFEGLKRHSSNASVDYLDDDDSV >KN538976.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538976.1:87908:89233:-1 gene:KN538976.1_FG011 transcript:KN538976.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVTKALMRPLLCCIIVFAVAPAGCAAFNPRMLFLVKPDPIVLKDHHGVVLSGNVTVNVLYYGL >KN538976.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538976.1:110515:111445:1 gene:KN538976.1_FG012 transcript:KN538976.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVVMSLAGVSMAARRVPALLKSHVGDGISYHGGAVLGGDIPVTLVWYGKFKPAQKAIVVDFLLSLTATPPNATAPSAAQWWGAIAAGYLSSNATTAARVVLANQTSDEEYSLGKSLTLVEVFQLAAGVVPDRGDLVVDAALEACSACAGAYGSDSYPGYAGKVLVDETTGGSYNAVGAHGRKYLLPAVYDPATSRCTTLV >KN538976.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538976.1:125258:128215:-1 gene:KN538976.1_FG013 transcript:KN538976.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASPGAQFQAPPPAFQGPPYIARGTPPPPAQMMPQSQHHGPPATIYHHPSQSWYWYPPDYQYQQGLMNSQVLQSYYAAALHAQAQAQLYGMAASPTAPSPYQYLGYMPAPAPAVTPTAVLPPAQQQITGPPPPFVQQPAQHVTAPPPFVHHPTAAAQIQGSFVPLPSLPHNFRLQLPPNAMSILPPTPTALQPADQQAAPAATGATNPNNTQTGA >KN538976.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538976.1:154786:155909:1 gene:KN538976.1_FG014 transcript:KN538976.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDENGLKKGPWTPEEDEKLMSYIQKHGHASWRVLPELADNEIKNFWNTHLRKKLIKMGIDPMTHRPRTDFFQSLPQLIALANLRQIIERQPWDGHIEGLQTVAVQAAKLEYMQSLLHSAVSIVTSPTTTTSSLNTFTTELEQTNHLCPPQVPSSSVPELAVQVPHSQMPSTSFDQEIGKTNLFSNNIVNGNEWCSMEADNSSQKSLLVPENSIPPLIDMPVQNFCNTISTPNCDGGNSIPLPSWSEILLDEELMGEFA >KN538976.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538976.1:84627:85232:-1 gene:KN538976.1_FG015 transcript:KN538976.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSPDLAGAEAELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEADYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILDNFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWYGS >KN538976.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538976.1:55554:58683:1 gene:KN538976.1_FG016 transcript:KN538976.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGRRGPGPSRGYLLVLTNGGLNQMRAGISDMVAVARMLKATLIIPELDKKSFWHDKSNFSDVFDEEYFIHSLEIDVKVEKKLPKDLVKAPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVDLLSRFPIMMNKVKNHETSTLSIIELPNSLLLRLIIFFLQLRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASVVSI >KN538976.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538976.1:92679:93339:-1 gene:KN538976.1_FG017 transcript:KN538976.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKQSLLLAMMLVVAGLAVSAMADRKLMSLVKPQPNQLTYHNGAVLSGDIPVSILWYGRFTPAQKAVGERGAALEAATACTGVYGTGAYPGYAGALLVDKATGASYNAHGAHGRKYLLPALFDPDTSACSTLV >KN538976.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538976.1:60643:60900:-1 gene:KN538976.1_FG018 transcript:KN538976.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGKEHVKVWAEQNSLVIKGEGEKDPEDDADAAPPRYTRRIELPADAFKMDKIKAEMKNGVLRVAVPKLKEEERKDVFQVNVE >KN543952.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543952.1:2885:4278:-1 gene:KN543952.1_FG001 transcript:KN543952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASLTDTIRTQGMVTITASATVPSLRPPSCGQNAFCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGKNERVRERKASYFSWYYAVANVGMLTAGTLLVWVEDKVSWGFGYGLCASFVAVAVVVLAATVPMYRILPPAGSPLKSVIQVLAAFSHKAKLTLPDDPTELYEEDGVKNSLLHPVHERLEHTDQFRAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTALYFLSISLGNLLNSQLVTLVAKVTAEGGTAGWFPLDLDDGHLDYFFLLIVAITAVNFSVYVALAKNYTPKKVR >AMDW01088240.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01088240.1:63:165:1 gene:AMDW01088240.1_FG001 transcript:AMDW01088240.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSPAAVALALLLVATALEDVARGQDTERIE >AMDW01019344.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019344.1:17:247:-1 gene:AMDW01019344.1_FG001 transcript:AMDW01019344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNKKATRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEAARKKEEERRRKERAMAYQEPEWAR >KN544593.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544593.1:2245:3040:1 gene:KN544593.1_FG001 transcript:KN544593.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPNELLAPVSSSVAAAEQWVLHNVSTYISKLGVDIRAVAVGNEPFLKSYKGKFEAATLPAVQNSLDGRPSAGDFRPDIAGLMVGLVRFLLDNGGFLTINIYPFLSLQADPNFPADYAYFPSPGSPPSRASVQDGGVLYTNVFDANYDTLISALEKHGLGAIAVVVGEIGWPTDGDKSANAANAQRFNQGLFDRILAGKGTPRRPQMPDVYVFALLDEDAKSIDPGNFERHWGVFNYDGSP >KN539809.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539809.1:73315:74844:-1 gene:KN539809.1_FG001 transcript:KN539809.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASILQLTLCFLCFSVFCYYHMKSKRKNPAIPVCWPLVGMLPDLLVNRHHLHDWITSLLTASQLNFRFIGPTMSSNMRFFFTCDPANVRHIFTSSFANYPKGPDFAEIFDDTLGDGIFNVDGDSWRRQRAKTQLLMYNHRFQSFVSRCSSDKVENALLPLLSHFAGTGERCNLQDVFMRLTFDMSTMLAFGEDPGCLAISLPKVPFVRAVDYATRVLLVRHIIPLSLWKLARRLGVGFEWKMGEALRTINQFIYETIVKRRAKKADEGIEDSEDLLSSYLKDDNENADTFLRDTTMTLIAAGRDTIGSALSWFFYLLTKNPRVASKILEELDSVERATTTPDGMVTFDPDELKSLVYLHAAVCESLRLYPPVPLDHKGVVAADVLPSGHKVRPGDKIVVSIYAMGRTESVWGSDCMEFRPERWISDDGKLRYVPSYKFMPFITGPRTCLGKDMAFVQLKVVAAAVVKNFEIEAVPGHIVEPKRSMVLHMKNGFMVRVKRRQVINNIN >KN539809.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539809.1:44667:46190:-1 gene:KN539809.1_FG002 transcript:KN539809.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASILQLALCFLCFSIFSYNHIKSKRKNTAIPVCWPLIGMLPDLLANRHRIHDWITSLLTASQLNFRFTGPPSSNMRLFITCDPANVRHVFTSNFANYPKGPDFTEIFDIFGDGIFNVDGDSWRRQRAKAQLLTCRPRFRAFVSRCSRAKVEKALLPLLAHLAATGESCNLQDVFMRLTFDTTTMVVSGADTGCLAISLPEVAFARAMDDATRVLLVRHIVPLSWWKLARRLGIGYERTMAEALRACDHFVNETIEKRRAEKAKGEADGSADLLSSYINDDDEEENASSSFLRDTTMNLISAGRDASAMALSWFFYLLTKNPRVVSKILEELDSVNGITTPDGMVTFDPDELRPLVYLHAALSETLRLYPPVPLEHKGVLAADALPSGHDVRPGDKIVVSLFAMARMEAVWGSDCREFRPERWISKDGKLRYVPSYKFMTFSSGPRTCLGKDMAFVQLKAVAAAVVKNFEIEAMPGHVVEPALSIVLHMKNGLMVRVKRRHVLNN >KN539809.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539809.1:25456:27002:-1 gene:KN539809.1_FG003 transcript:KN539809.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLCFVEIFSILCCCIIYYYHLQTKKASASEPTEWPVLGHLFGMFANIHRFHDWATDILAGGWHNFEARAGLTGIRFFITCDPSNVRHIFTSNFANYPKGDEYAEIFDVLGNGIFNADGESWRSQRAKAQMLMAGARFRAFAARSSRDKAEKSLLPLLAHAADTGARCDLHDLFLRLTFDVGTERKMAVARRTIDRFVAETIAKRRADMIREGTSNSADLLSSFISHDDDDTSNGNDVVDETDEFLRDKTVNLLLAGRDTTGAALSWFFYILSKNPRVEQKLLEELAPIASRKCGDGDGGGMVIFDASELKNLVYLQAALSECLRLYPSVPFEHKAVAADDVLPSGHEMKAGDKVLVFSYSMGRMEGVWGKDCTEFLPERWITSEGKLRYEPSYKFFSFNAGPRTCLGKELAFVQLKTVAAAVMWNFAVEVVPGHAVEPKLSIILHMKNGLAVTVKRRATMASC >KN539809.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539809.1:1114:1776:1 gene:KN539809.1_FG004 transcript:KN539809.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGRLEDVENTACTTPFRNRGVGKKVVKVGLRQDVRGQWGCTIREDVEDSEELEDLCSLSSIL >KN539809.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539809.1:13694:15351:-1 gene:KN539809.1_FG005 transcript:KN539809.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNMNLFDLFFVLQLLLSGVCVLVICYRYQRLKSMKKCSLGVVQWPIVGVLPAIVANMHRLLDGVTFLLATSQLNFQCRFWLAGFRFFVTCDPDNVRHIFTSNFDNYPKGDAQMLMTTPRFRAFVARSSLDKVEKGLLPFLAHVADERRTCDLQDVFTRWSLDATCNLVFGVDPGCLDIGLPEVPFARAMDDVLRTIFLRHTMPVSFWKTMRWLGIGHEKRNAAARRTIAGSADADVYIRDMAMNLLVAGRDTTSSALSWFFYLLSTNPRVEQKLLQELAPIASRKPQLQQGRLFPGNGGMVTFDASEHKAAVAGDVLPSGHEVMAGDKVLVFYYSMGRMKRVWGKDCREFRPERWITEDGKLRYVPSNKFVAFNSGPRTCLGKEMALVQMKVTAAAMAWNFAVEVVPGHVVEPRLSVILHMKNGLLVRVKRREPVMNT >KN539809.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539809.1:49131:50799:-1 gene:KN539809.1_FG006 transcript:KN539809.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASILQLALCFLCFSVFCYYHMKSKRKNPAIPVCWPLVGMLPDLLVNRHHLHDWITSLLTASQLNFRFIGPTMSSNMRFFFTCDPANVRHIFTSNFANYPKGSGFTEIFDDVFGGGIFNVDGDSWRHQRTKAQLRMYNPRFQAFLSRCSSDKVENALLPLLSHFAGTGERCNLQDVFMRLTFDMSTMLAFGEDPGCLAISLPKVPFVRAVDYATRVLLVRHIIPLSLWKLARRLGVGFEWKMAEALRTINQFIYETIVKRRAKKADEGIEDSEDLLSSYLKDDNENADTFLRDTTMTLIAAGRDTIGSALSWFFYLLTKNPRVASKILEELDSVERATTTPDGMVTFDPDELKSLVYLHAAVCESLRLYPPVPLDHKGVVAADVMPSGHKVRPGDKIVVSIYAMGRTESVWGSDCMEFRPERWISDDGKLRYVPSYKFMPFITGPRTCLGKDMAFVQLKVVAAAVVKNFEIEAVPGHIVEPKRSMVLHMKNGFMVRVKRRQFAGTVFQFMFAHG >KN539809.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539809.1:8095:10760:1 gene:KN539809.1_FG007 transcript:KN539809.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRALLLLLLHVAVVVVLRSLPVSSADLYALVYKGCSNQSFPGGAVPPTVAALSSSLSAQSASAKFYKTSSSSSASSTSVFGLFQCRGDLSGSDCAACVSRAMSSWSEVCGASVAARVQLTGCLALYEISGFPQVSGTQMLFKTCGTGGGGGTDFEMRRDTAFAQMEASVGGGNGGFYATSYQQVYAMAQCEGDLSSGDCGQCVTQAVQHVEVECGGAPSGQVYLEKCYISYSYYPHGIPHGGGIGGQQTAKTVAIVLGGAVGLGFVVICLLFARSLVKKKEATIASCSGYLPSVLLTKICVRLALSFACRLLMGTHGATGQKALGHGGEWNTEGIVFFGSSSSGHVGVRHAIPRDERGEKEVLAVHRFFLYSFPKGNTFRCRQKVFSPMFKCRFADLKAAQLCRSS >KN539809.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539809.1:32193:33719:-1 gene:KN539809.1_FG008 transcript:KN539809.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSFSFLELLLSFLCFGFFYYYHVKSKQKNPVIPLQWPLAGMLPALLANCNHLHEWITSVLTVTPLNFRFIGPPSSDMRLFITSDPANIRHVFNTNFSNYPKGREFQEIFDILGDGIFSADGESWRRQRTKAQLLMSSPRFRAFVARYSRDKVEKALLPLLAHVAGTGDACNLQDVFLRLTFDTTTTLVFGVDPGSVAIDLPEVPFARAMDDAMTVLLLRHIVPLSWWRLARRLGIGYERKMPVAWRTMDRFVADTIAKRRAEKARTGNDDSADLLSSYINDDEEDAGTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTKNPRVLHKLLQELDSVKSTTTADGMVIFDPDETGRLVYLHAALCESLRLYPPVPMEHKGPAAADVLPSGHEVRPGDKILVSLYAMGRMESVWGSDCMEFRPERWISDDGKLRYVPSYKFMPFITGPRTCLGKDMAFVQLKTAAAAVMKNFEIEAVAGHVVEPKLSIILHMKNGLMVRVKRRLAVC >KN538959.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538959.1:88187:94291:1 gene:KN538959.1_FG001 transcript:KN538959.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSPSAKPAGAATSGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSARRLREGEKIVYSSSPYGATGVVTAAGGTFERGKMVFLEDLSSSGGKRFELDDLLRASAEMLGKGGCGTAYKAVLGDGSVVAVKRLRDATAAAAAQKDFEHHMAVLGRLRHPNIVPLNAYYYARDEKLLVYEFMPNGSLFSLLHVGGEGGVDVGGVRHGADEGQGHRGGDGGDAAARPELRVGGARPAAEDRVTEISIPIGKIEFALVQTYHVVIAVPPGSFKEKDVVYMKEAMLLVVVVVHMNEKALQDEVVYSKVVRRRRPDGSYGQANTMGWVLGLLLASAKRKTTGSSVHAGAASPAPVHPCTSLLQVQFQMMHQFKR >KN538959.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538959.1:160683:161135:1 gene:KN538959.1_FG002 transcript:KN538959.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPLDSVFRSVVPATSDSDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGMENGVLTVIVPKAEVKKPEVKAIEISG >KN538959.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538959.1:67228:71748:1 gene:KN538959.1_FG003 transcript:KN538959.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGASVKMIRCAVKCGGQQQHVVVAARVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGAFLSATAHDVRTMIIGRLLAGIGIGISSALVPLYISEVLEHAQQLSYLRLRMQISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPSWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >KN538959.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538959.1:172698:173815:-1 gene:KN538959.1_FG004 transcript:KN538959.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWWYSHITVPLILSAAAAADAQGGGEGCKAGRCGNMSILEPFGLVTELDEETSCRWSFGFQPFGLVTEQDEETSCRWFGFQVTCNNSTPYLGYPRKNRQFKFQIIDIFYSNSSLLVTDVQKTDDFDSSGDCHVPRSNTSSKLGLPFSISPVNKKLVFYNCTEPPTAAERRVLGLVGTKCRNNTFARLEERYNESVHFLEGCDAVIVPVRGRDGEANASNYEKLIGDGFLLTWHPPPQQSGKR >KN538959.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538959.1:11851:13679:-1 gene:KN538959.1_FG005 transcript:KN538959.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKHAVVDLSEEEERGGGGAPGEGSSDEVGDAVGLVRGADSTEPEGSSAVDNTGHGVAAEVAKNDDLEEPLLEPEGVTAVGSGGGDGTASDGGENELHDVPKNPMATRFAFRATDANATPVSTYRGMLSRSRKNAGPTRFVSAGAPASADPETPALSTSSSELVKSSPENASAVPDADHGASPGRGNEQDLEAAEKQSEARFAPDAAAAAAAESSHREPSRSKKQPRPERFIPEEGEAAARAKARRSGIALDRFITSQLNNPSGPRTEWEREVTAADVVGGGQGEGCTTSDQPSCSIAISDSRPPEEPLPDDRRRIYSVLAVLGVSLAVSMAVLALFYIFGSESPSPPSDPNQEGAIWMIQDSGKELTQFLICNYLFLFGDALVLSASLLVREKIC >KN538959.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538959.1:135492:135941:1 gene:KN538959.1_FG006 transcript:KN538959.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRNGNVLDPMSVDFWADADPFGAVRSLAERCPVLTNVRVDWKETPTAHVFTADLPGVRRDQAKVEVEDGGVLVISGDRCREEDVDGKNERWHHVERSSGKFQRRVRLPRGARVDQVSASMDNGVLTVTVPKEEAKKPQLKAIPISG >KN538959.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538959.1:163586:164056:-1 gene:KN538959.1_FG007 transcript:KN538959.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLYRPRVKSFWVLVRRLLCCRRKSYRPDYAAAGEEDDGEKSSLLLTSRSSLEELLVSDDADDDGAIDDAAVTCRSASLCAKKDGQAPVVVLPPPGLHHPVMARPAHGMVTTSSGGGRDGAAVQCRRRFMFGGLRRRLMMRRPWRPVLVAIPE >KN538959.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538959.1:2009:6109:1 gene:KN538959.1_FG008 transcript:KN538959.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVWALLLFLLHLPTIATGSSAQFGGNNTVRCHPNQAAALLQLKQSFFWANSPVILPTWQDGTDCCTWEGVGCDASSHLVTVLDLSGRGMCSDGFEPALFSLTSLQRLDLSMNSLGTSSTTKDAEFDRLTSLTHLNLSNSGLDGQIPVGINKLINLVSLDLSRRCVNDNSDISFSESDDEIIFTSDSYNYLQESRLMSLVENLSNLKELYLDHVNMSTNVDDWCKTLAQSVPRLQVLSLDGCSLNTPIHHSLLGLHSLTVINLQSNPGIVVNLFPDFFMGFANLTVLRLSNNNLEGWFPDIFFQLKNLRILDLSFNMNLLGHLPKVPTSLETLRLEGTNFSYAKPISSSNFNMLKELGLEGKLISKDFLTSFGLIGSLCHLEHHNSELLGDSGSKLLSWIGDLKNLTSLILFEFDFCSTTPSSIGNFKNLRSLRMSACNLPRPILSAIGYLVDLQSLDMSNCNTYSSMPSSIGNLTNLKSLHISGPGFSGPMPTAIGNLKSLKSMTFSNCEFTGPMPSTIGNLTKLQTLEIAACRFSGPIPYSIGQLKELRVLIIEECNMSGRIPNSIVNMSKLIYLGLPANYLSGKIPARLFTLPALLFLDLRVNQLSGPIQEFDDVPSYLMSLQLTSNELTGKFPKSFFELTSLIALEIDLNNLAGSVDLSSFKRLKKLRVLNLSHNNLSVIMDDEGDNSSSTSLSELKVLGLACCNITKFPSILTRLSDMSYLDLSCNKISGNIPKWIWEKWSSSVVHLNLSHNMLTSMEVASYLLPFNRHFETLDLSSNMLQGQIPIPNLSAEFLDYSHNGFSSILPNFTLYLSTKTWYLSMSKNNISGNVPHSICNSSLLVLNLAYNNFSGPFPSCLMEQTYFRNILNLRGNHFEGMLPTNVTRCAFQTIDLNGNKIEGRLPRALGNCTYLEVLDLGNNKIADTFPSWLGSLSNLRVLVLRSNRLYGSIGYTFEDKSGDHFPNLQIIDLASNNFTGNLHPQWFEKFISMKKYNNTGETISHRHSISDGFYQDTVTISCKGFSMTFERILTTLTAIDLSDNALEGSIPESVGKLVSLHVLNLSHNAFSGRIPPQLGGITALESLDLSSNWISGEIPQELTNLTFLTVLNLSNNQLEGKIPESRQFATFESSSYEGNAELCGYPLPKCGSWSPPSAEPHAESSSEHVDIVMFLFVGVGFGVGFAAVVTSITHGFSSYYQSFCASLTPPLVVDIRSMSAQDVTLCCCQFFTMTAINPFAIGEA >KN538959.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538959.1:147866:149231:1 gene:KN538959.1_FG009 transcript:KN538959.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKLFDTLAFDAWNPFSIFGTTVAADAWLASDTSAFANTYIESRETAEAYVFRADLPAGVKKEEVRVEVDEGNVLVITGERSVRREEKGQRSHHIERSCATFFGRFHLPDDAVVDLVRASMDGGMLTVTVPKIHHDSRAPQKNLKLHQTDCLMKSIRGSWVGSREDLRLMNGLATSSTTIDRRRSGING >KN538959.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538959.1:115689:117572:1 gene:KN538959.1_FG010 transcript:KN538959.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERMSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPPDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTQHGTVYVNSIFELVKVELAGVEYQLHQLNRGQRAFVHQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >KN538959.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538959.1:133843:134178:-1 gene:KN538959.1_FG011 transcript:KN538959.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MMDELDTDRDGFVDLGEFAAFHGRGRGDAEHEAELRAAFDVYDVDGDGRITAAELGKVLGRIGEGCSAEECERMIASVDVDGDGCVGFEEFKKMMSRDAAATGGADKAKTE >KN538959.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538959.1:166306:169743:-1 gene:KN538959.1_FG012 transcript:KN538959.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLLLLIASFLELPALASSRCSPTSSCGNLTISYPFWLEESGRAQCGSPPFQLKCNDSRAYLTRTIYEAYRVVQIFTETNSFHVVDENLPLATGCPAPPFNISLGIWQAPFVISRANAKLHFLSCYKSFPAAPHGFRSLPCDNNSFVRLAEEGELAGLQEGELAGHGIQGGIPPGCNFTVVPILERPSGSRDGYVASMRSGFLLEWAGATDDCPKCVASGGQCAYDDSLRFACNCTDGMHAEKCGEFVKSKQHGASNSKKIAIGVGVGVGTLSIICIFIFVWHKRKKRKQTRDLKDLMRSSSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRSSRDLLLVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVDQLVDPEIGYETDSETKRMVDLVAELAFQCLQMDRDSRPPIKEVVEVLNCIKNGECPAEKMNKNASPKEDSHLLKDNLQYSPDSVIHRFHSQSTNHSVASNSSG >KN538959.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538959.1:176596:177426:-1 gene:KN538959.1_FG013 transcript:KN538959.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLLCLSLLASLLLLCHHARARAECEPATCGNLTVRYPFWLGGPNPNQSSPSSAAASCGHPAFEVWCSPDGVASLRGSQILVLSIDYNSSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATIPSCSKPIFSYLGGSYDRDKPPAIQAGNCTYSYLPVLWPDSPANLTAGTNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYNNDSAAAFACLCSDGKLRRSTCAGEYTHLPIAQSDACVS >KN538959.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538959.1:171288:172037:-1 gene:KN538959.1_FG014 transcript:KN538959.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFFFAFVVVVVSAWWTAFMLSAAASGAEEERGDCPAKKCGNLTISSPFWITQSQTSRPCGALDFQVYCNESTGIATLRSSTDNGFEIKNISYGDRTLVAFDVHKLDDLRSSTDCHVPKWNTSAKLALPFKISSANLNLILYNCTKKEPVAERQRQLGLVETRCRNKTFARLGGRYDGQSDHDYSLEGCITAVLPVLQTPGGEANASSYEELISRGFLIIWDLPPPALPVTSSGEFTLAETIRIKFV >KN538959.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538959.1:62253:63440:-1 gene:KN538959.1_FG015 transcript:KN538959.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSSKPILGRAMAILALPLTPISKAKGPIARNLLLFKKRGAAGKARRMLSSYGYKPHRHYNYDYVGEYQFSPSSSPLIAYPPGVSSWRRAAKKRRSKARMILASLLCGGDRDLDVAVLDGLPRADEPRAAVEWGECGRDGGGAYGEGDQYEEEEEEEDDEGSCS >KN538959.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538959.1:26296:26794:-1 gene:KN538959.1_FG016 transcript:KN538959.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLIIDSMIHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEILSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >KN538959.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538959.1:79713:80763:1 gene:KN538959.1_FG017 transcript:KN538959.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAFLAPTGVVHTMTDEELLWRASMAPKVSRTPYSRVPKVAFLFLVRNQLPLRPLWEKFFAGHNQSLYSIYVHSYPPFAASLPTDSVFYGRMIPSQKTTWGDSNLVEAERRLLANALLDMSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNNGAMARYRQDVFAPHNITASQWRKGSQWFEMDRALAVEVVSDEAYFPAFRGCRHCVIDEHYIPTLVSLLRWRRNANRTLTYMEWRPRSPPPRSHGARDVTEELLRKMRSGAANCTYNGAPSDICFVFARKFTPDTLGPLLDLAPKVMGFG >KN538959.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538959.1:101828:105127:1 gene:KN538959.1_FG018 transcript:KN538959.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEYLRQFVEETAWYNEIFLSHVVPGDWWRALPHPLQSWLRNGLGGYLIYFACGFLWCFVIYYWKRHAYIPKDLFQCLVRACFLQLQCYVNISEVGWPMYLVYLALYLIFVEFGIYWMHRELHDIKPLYKYLHTYHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALYLIPTHFRTHIALLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLREPEDILKKD >KN538959.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538959.1:126763:128956:-1 gene:KN538959.1_FG019 transcript:KN538959.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKGVVFDVNLLENSTLEDGLAGWAAVGECTALSVHNEEPEKVRKRDVVLKFGGAGSISGASVRQGQLNYADADALLDFCDRYGKPVRGHCIFWAVDNVVQQWIKGLDHDQLTAAVQGRLTGLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETARLDPGATLFVNDYNVEGGNDPNATPEKYIEQITALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLVNSDGTVNDAGERFIDLRREWTSHARGHIDSDGHFKFRGFHGTYVVQLATATGKMHKTFTVEKGDTPLVLDMDV >KN538959.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538959.1:120839:123602:-1 gene:KN538959.1_FG020 transcript:KN538959.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEHKGDNALGHEPCRALRGWRPGSGRLDPVWLLHTVRARRPGAGDATAASSVRYGRRRRRAQAEAQRAGAGAADGCCHPVRVEVCTDDGRPVGGGVVVAEAGKWGEIMGSFRVDDDEPPRCAKVFVHGPPPGVDLKVMDLKVFAVNKIARLRHLRKKTDKGQVSYSDADELIAFCDRHGKPVRGHCIFWAVENAVQPWVRALNGDQLRAAVEGRLRSLVTRYGGRFPHYEVNNEMLHGAFFQQRLGDDINARMFRETARMDPSPALFVNDYNVESANDPNATPERYVELVTDLQKRGAAVGGIGVQGHVTHPVGDVICDALDKLAVTGLPVWITELDVSAADEAVRADDLEIVLREAFAHPAVEGIMLWGFMQGNMWRSHAHLVDADGKLNEAGHRYVGLRQEWTSHARGQVDGSGHFKFRGFHGKYVVQLTTGAGEMKHQQFDVGKGDGPLVLDMDL >KN538959.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538959.1:156163:156615:-1 gene:KN538959.1_FG021 transcript:KN538959.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPLDSVFRSVVPATSDSDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >KN538959.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538959.1:72806:78029:1 gene:KN538959.1_FG022 transcript:KN538959.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSLDLRSIRFAEWNMRGVEAPEVCGGGGVWPRRWAQHDSWRWMSKECASGLLSGAKSGGTRIGPYAYIGLLKPELPSNWTVAPALPCQEYPPGITLVPMKSNTLQPFRTTNLVVVVATDAAGGSTCSDCVVYGDALLIDPGCCSQVHGKLAEFVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKGNWSIGYTAVAGGENICIGDQQLQVVFAPGHTDGHMGVLHVNTNAVIVGDHCVGQGSATLDNRAGGNMKDYFQTTYKFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREASILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKICYTKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATAFAGGLAIAYALKRNSGNEP >KN538959.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538959.1:47509:48305:-1 gene:KN538959.1_FG023 transcript:KN538959.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSV >KN538959.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538959.1:170093:170863:-1 gene:KN538959.1_FG024 transcript:KN538959.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLFLLFACLAWASQAANTAADNRPQEGCAASTVCGKVTISSPFAVVPEQATESKCGWLGFQVICRNDTPYLGYYKPRYRIQILDIFYGNNSLLVSDIHKLGDFDVFSGVSKEYSCHVPTSNTSSKVALPFSISTTNLNLFLYSCNKTLVPRDGDDDLVETRCGNKTFARVGGNYSVSGDYATFYMEGCNATVVPVMGTDARSYKQLISDGFLLTWQGPPSSGKYAPGNFIYAITFLGDTMRKNNPVSTYRTR >KN538959.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538959.1:84372:85708:1 gene:KN538959.1_FG025 transcript:KN538959.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEELLWRASMAPRVSRTPYSRVPKVAFLFLVRAKLPLRLLWEKFFAGHGKELYSYVHSDPPFAASLPTDSVFYGRMIPSQRTTWGDANLVEAERRLLANALLDLSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNAGARARYRPALFAPHNITAAQWRKGSQFFEMDRALAVEVVSDERYFPAFRDSCAGRRGCLIDEHYIPTLVSLLRWRRNANRTLTYTEWQPRRPHPRSHGARDVTEELFGKMRGGAGNCTYNGKASDVCFVFARKFSADALAPLLELAPKVMGFG >KN538959.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538959.1:150732:151181:-1 gene:KN538959.1_FG026 transcript:KN538959.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFADFWDPFDGVLRSLVPATSDRDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >KN538959.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538959.1:58681:61586:1 gene:KN538959.1_FG027 transcript:KN538959.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESWPATGPVGAPPAARRPQKQRKVEVASPTPRADESDVVLVLPPSQRPPPLSPAARNHHGADLPARSPSQSRLVHAAATKLLEPSARASSRASARLALAYACSSPQHRMDGHSNALQSSSMPDDFLSRSDSLPLERSSRLQPVVAQPPVLPADTEWDNAITSSRHEKHSIDTMSSSDAADVVSGDAIVVLRSGFDDTNVSRSSSGADAMPKDHKVRTDRLSNCSRMRSSGAGVRAGEERSLRKRGTHSLQDVAGNIGSRSTVSSTHPAAGSARELMSGSRRAAHHGSGQRRELMGTITPQRSTRREVMGSSNPQRNTRRGSIDRSGLTSTTTGRITVSTVSGQKRGSRKIVGRDNAACNREINNPVAFASSSSVSPVTRNSSQSKVSEKRGCRRTQVISTSCSTRLPVVESSPSVVGSSEKEEFSRLLKAKINELGLSDRIESSDALSGKLTASVLQELISALTNDTNTSDSQHSNYSNAYNSQHSNYSDAVDCLNNNMSACNSNDQSPDFQNCYQRDGEVESSATCMNNEPNQPSPTSVLEACFSNDTSSLGSPTEKIEGKEYFVSIENKMEDLFNLESDIVDLAISIDKTKTDAEEIFHGIDKMSSVHNLMAHDFKLLEARLHSIGEAISNAELLLGNSPLSTKTSNLSLHCFIIEMLEVIMDLFGGSKSLGLTEEKKYQQTNFIFDCIIESVNSKFCDFGKCGYKAWLRLPLSLTEDLLKREISKEICNWRETRETTPNRVAEKELDQMTPRWDACQVEAFDISIAIEHDILEALVDEFAFDQW >AMDW01038985.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038985.1:527:904:-1 gene:AMDW01038985.1_FG001 transcript:AMDW01038985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSGAVPPELLTSRRLRKVDLNTNALTGEIPTTGLAAGSSVLEYLDLSVNSLSGAIPPELAAALPELTYLDLSSNNLSGPMPEFPPRCGLVYLSLYSNQLAGELPRSLTNCGNLTVLYLSYNKIGSE >KN539385.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539385.1:58338:58769:-1 gene:KN539385.1_FG001 transcript:KN539385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEILTIDIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDLFTREGNDLVMTQKISLAEALTGCTVQVTALDGRNLTVPINNVVYPGYEEVVPREGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSEIKRLLAS >KN539385.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539385.1:108316:112163:1 gene:KN539385.1_FG002 transcript:KN539385.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLIGPLVSLLKEKVSSYLLDQYKVMQGMEEQRDILACNLPAILDVIEDAEKGASRPGVAAWLEALKNVSYEAIDVFDEFKYEALRRDAKKKGHYNKLGMDVISLFPSRNPIVFRYRMSKKLSKVVHTMDALVRVMNDFGFTQRQQVTPSMQWRQTDSIMVDSDKDIVSRSRNEEKEKIIKILVEQEGNGGLMVLPIVAMGGLGKTTFVQLIYNDPQVKEHFSLQRWCCVSDDFDIGNIARNICHSQEKNHEKALQDLQQELSGQRYLIVLDDVWNRDADKWGKLLTCLKQGGRGSTILTTTRDAEVARVMTMGVPGAYNLEKLGNKYMKEIIQSRAFRVQKPNSDELDVIVDKIVDRCVGSPLAAKAFGSMLSTKTSMQEWKDILAKSNICNEKTEILPVLKLSYNDLPPHMKQCFAFCALFPKDYPINVETLIQLWMAHDFIPAREEDNPDMVGKEIFNDLAWRSFFQDVEQAPPPIDHYGERQQLRYIIVCKIHDLMHDVALSVMGKECATIVNMPDMKSFINPTRHLFISYQQIHTHLDGLLKKQSPTLRTLLYSHPYIYGSAPQLSKHNSLRAMQLCRLRKLPIRPRHLQHISSSCSNVSELRNINLGGELELNGLEN >KN539385.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539385.1:24878:27775:1 gene:KN539385.1_FG003 transcript:KN539385.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVIGKATDALVQKVTSIYGVDGDRRKLERQLLAVEPLLADAESKSETNPAVKRWMKDHNATADEADDVLDEFQYEELQKVVGRRRFLLVLDDVWNEEKKWEEDLKPLLSCLLLVVKAFSKEVQQQAELVTAGKLIVKKCKGLPLALKRMGVFPKDHEMDKEVLIQLWMANGFIQEDETMGF >KN539385.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539385.1:61421:62730:-1 gene:KN539385.1_FG004 transcript:KN539385.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVERGATEEELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVTTPSSVSICAFPIVREFAPLICIYAPGGINELIMMQLMLEIWNPIMHFIIDWMVMVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPGGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGMGGGVDRGMRGSKFGMYDNDIFGSFSQFPGEASMHAPQRPQKAAPIENRLPCNLADLYKGTTKKMKISREILDSSG >KN539385.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539385.1:84545:87556:1 gene:KN539385.1_FG005 transcript:KN539385.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDDIFLTAGGTQAIEVVISILAQPGTNILLPRPGYPNYEARAAFNNLEVRHFDLIPEKGWEIDLDSLESIADKNTTAMVIINPNNPCGNVYTYEHLSKVAEVARKLGILVIADEVYGNLVFGSSPFVPMGCFGHKVPILTIGSLSKRWIVPGWRLGWVAICDPKKTLQETKIATLITNFLNVSTDPATFIQAALPNILKNTKEEFFKRIIDLLTETSDICYRGIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVDFCCQLAKEESVILCPGSVLGMKNWVRITFAIDSSSLLDGLKRIKSFCQRHKKKNPLNDI >KN539385.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539385.1:18063:19317:-1 gene:KN539385.1_FG006 transcript:KN539385.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLRPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSG >KN539385.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539385.1:69457:73727:1 gene:KN539385.1_FG007 transcript:KN539385.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVPSAARCESLWDNNSKPLDGKSGALEEEDGEVVRAMGMDIQSLINEHENIKIQILMDESRKMNSNIHNIWSMAKDTDNRVSALHSDVNMVLMDESRQMNSNVRELWSLAKDTERRVEGLHSDMRKGLSPNCIMPFNKGNVQILIDESRKMESSIYKMWSFAKQTEKRVEDLYSDVKKKCCIFVGTTAA >KN539385.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539385.1:28494:31709:1 gene:KN539385.1_FG008 transcript:KN539385.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLELESYCQAGTGRLGCSKSSLIGERGKMAGERESERVREHPHHPADGHSDVRRPHSKPQFGSWRDIVVGIGDHTSGKAEKEVAWTEVRRRKGVHSMATYRCPTTCPAAFKAVRGANATSCCSSNTAYSCSYFSPASAIPIHCFGSHAPQPAPPADCGAIGRAEEEAHPQDVVKSSKERVGFQICAAREHTYMEGFWIGEPELRPGTGQCVLSWTAGMEHNEAWLNQHSLLAMIFVKGVPTHFKLWSRQSWADSSDLKFFTKISLDGLPTHLWEREVVRSLVNELEGELVKIIPANDARCLGLFAWFKNPNKLPQRLQVEVPEKTGAGGSWRESSSSSAPPRAPRARPLLLYGVFLHVEEVNDPTPLHAPDKFYNDDGYDDVTRPTPSTAGLGGLTARVRGHQTGEVALAMEQHQGFEVEVTAVGVAASVTPEVGAALPSSSRVWFSPQLQTINVSLQVAQVDDVSQFSPLTKAMVLVSPKVVVDTIEVISPSLPRVFELAVPGAGAIRSPVAELVLPARCSPVVSDSLHLTEQEQGGEGWCGGVEEAGSKLGPLPAQIAMSAAQEVQSAAAAAQEAILVAADENKCAQVNIFIDTISMPPPSSVLGKPPASIPITKSRRRPVIPVDFKPWRSPRLALQGLGARRHSISKAQRVTMKNLGIIEEEEEANDEAMEEYVQLFDEPLPPHHVEALAELLHLELAEPEFQALADVAPLAVASPA >KN539385.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539385.1:11335:16580:1 gene:KN539385.1_FG009 transcript:KN539385.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDCGLGPTENTGSGANITHVAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTHILPESGNKSNSTGPRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLMVLAKSNCDILANDIGRSSCAIENSVHLSVGTSHAATPAQGICLAKCKEESSAKKGRGRPRKQPISTECGCSKACRGEEQKTQTIPKSSDNAALVENCKKESCPGKGRVQDKKKSVSNERSSVVLSVEVHNMDGSSASTAYTSCCTPACNFENAETNQAVSVPSENSAQVIDELKDTEVARFKESTKDDNMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLRFWDLRGIVISLEDGTLKFISLLRIANDVPVNGRPFVGTKTHGYAAYCVADGTAVCFELTPRFWEKEPGRNRVPYFLCGSLSEEGTTIKIGIALPNSPLSNVPLGTKCATKTCIDVAQLHVIEEGKLLTNSDYLWNSRQSCIALWTEALPELRGSLRFGTSNSLQQCVEAFLRCCAQVLVGSTTLRHRSISVLAPYQIKRRSDVSLLTRYHNSWVNT >KN539385.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539385.1:90878:96621:1 gene:KN539385.1_FG010 transcript:KN539385.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPQPPSRAPPRPCGRRSTSSGSGFCIWMGLVIKLETRKHDPHGESLLEENTKTLKSKANNLEENSNLIGTMEHDIEILMNKYESTKKSQSKSYPESNVKGLEDEVQLLRRVVRKMNENADSIESLANGAEKRVESLSSEVKKMEGVIAEQWIQIRQLEQAFVLTKMMTSKVHQRSRLSETAYKWPGKDLLLKVSRFCKAICGQHIRDVDKPNVFFLGGSISRSCISVPYKQLKIFMLSAQNFHHKVPIFQYHLLVLCP >KN539385.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539385.1:46760:56916:1 gene:KN539385.1_FG011 transcript:KN539385.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTRFKTRQQFVLNEFKGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVQANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGTVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSAAEVYLSAFDRTKQAEEDALRDAHEAALRKALEAYGTVAVGTGTSRMHYEKVLSNFCRKTFQEYKRNAFLEADKQCSNMIQIMERKLRAACSAPGVKVSNVIQCPIHTVDHCFNNFMFIKNSKYTDVSKNSSFDVLESLLTEYETSCSGPSKWRMLAAFLRQCLEGPILDLCLKLVNEAESERTSFALKYRSNEDQLELLKKQLEANEAHKSEYLKRYETAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDGFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWRDKYETAAAQAKAALERLASVQEQINKIAHERESGIRAEFASHLEEKEEEMKRLVAKIRHAESEESVLAERLQVAESKAQSHNKETAALKDEIRELTGKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERYKAAEREAKRATELSDVARTEAVTAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQEKVNLLDEVQKMRKSETDALSKVALLESRVAEREKEIEELMIQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTTTHGKRLRENEVGMESVQDMDIDRLERSRKRSKSNTSPLKHFQSEDGGSVHMGEDSVTVSTDTKDGNPDGYKKLTIAKLKEELTKHGFGAQLLELKNPNKKDILALYKKLVLGK >AMDW01021447.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021447.1:1:288:1 gene:AMDW01021447.1_FG001 transcript:AMDW01021447.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFRDAARCFSRGVEMFDGTPIGAMSKAVKLK >AMDW01024761.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024761.1:40:313:1 gene:AMDW01024761.1_FG001 transcript:AMDW01024761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RFTPKLIEAYNELVSQGKNFEVVFVSGDKDQEAFDAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTEH >KN543355.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543355.1:119:1181:1 gene:KN543355.1_FG001 transcript:KN543355.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQKDNSDNLGEVESILSDVIGVSQASLLARRVTVEVSDCIIRRGGRLAGAGIVGILEKMEDDSRGHIFGRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNHIAIEHTKDGSGIGAALLAAANSKYAPQ >KN543355.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543355.1:2560:5031:-1 gene:KN543355.1_FG002 transcript:KN543355.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGENVSGLGKRKHRSCNSSSVNADEALANVSPDMPCQDTLEWGTRRFRSDGSANANKALMNGKDMADLKWGKRKTRRRSRATANKARVDIPDLSAVPSPTEDHGHAEVVHPDNGSVTSGCSPMHVYEVVSQFDDTKRGLVMSIGFGGLLQVPALNLDHAFSLWLLRNVDKDSHSIVVDCPSSRLHFFPQDVNKILGIPCKGRDVMDSNLSYPSVQVEKCVRRLLGMKHEDCNVLDAAEKLLKDKYGEGGMTEAQQESFKVAFVVFAMSRLLAPTTRHGFEDVDYLRALLDPNQISSFDWASYVIHHLVAASSEVKFHLWKERTVPNMIGGCMLFLQIFCLDNMEFRELSLLHDSFPRVKVYDSERMSKLIQQDCWSFMHDSLNNSHFRLTYPRSPTDVCYHWGTMASSAEEENTSLFDKLKYQSSKLNEQEKTAFLKFMSDMMEVMNSDLTGSKNNLCVDDPLPEMENLSNQQLVVYNKEMGCETDLNEPMGDVASHDLVYGQNITQYEFIYNDPFDGSTPFNFFL >KN540178.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540178.1:47775:50998:-1 gene:KN540178.1_FG001 transcript:KN540178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEIMRQQMRVTEQNDARLRKTLMRTLVGQWQRRQLKLLEAGLIAHPSLPHDRLNAVVLRFREVMQAADARAIDTGKTSDAMQALCNAVHALAWRSAPGSKAAGGEACHWADGYPLNVLLYVSLLQAIFDLKEETVVLDEVDELLELMRRTWPTLGITKMLHNVCFAWVLFQQYVVTGQIEPDLAGAALAMLTEVAADAKQENRDPVYARVLSSILATIHDWSEKRMLGYHEWFGNGNCGAGGAMAMEGALSLALATTQIISDNAIFTSISTAETEHEDCSVGSFAGDRVDYYVRCSTRSAFTKVSFLRVWPRHGERLVLICRGIVCQILENGLGQGDSLIIDRHDDEDPGDILARLAGDTEHIALSERDAFGPVLRRWHPFPGAVAAVTLHGCFGVVLKQYLGKATVLSNELVHVLHAAGRLEKALVQMVVEDVADSDDGGKSVVREVVPYDVESIVFGFLRTWVEERLKICRECMLRAKETESWMPRSKNEPYAQSAVELMKLAKATVDEFFGIPVAVRDDLVQDLADGMEAIFLEYISFLTSCGSKQSYLPSLSLLMSSSCAVASSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQAFLLVLVAGGGDRSFTKEDHGMVEEDFRSLKRAFCTRGEGVVTEENSAIQASVTLVSLLLQHEDTHTG >KN540178.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540178.1:7654:11378:1 gene:KN540178.1_FG002 transcript:KN540178.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVGGALLGVRTRSRTLALQRTTSSQKPPEKGEGDPGAGAGAGAEYLELRSRRLEKPPPHTPPAKEKETARRASAAAVRMPAAPQAAEEFEAEVEVSFGDNVLDLDNMERSTRETTPCSLIRSSEMISTPGSTTKTNTSMSSRRRMETSVCRYVPSSLEMEEFFAAAEQQQHQAFRERYNFCPVNDCPLPGR >KN540178.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540178.1:35844:37494:1 gene:KN540178.1_FG003 transcript:KN540178.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPRDLVVVLPFLALLLPLYIYLRYSRSAKANPSLPTEWPLVGMLPSLVANIHNLFDYATALLAASGNSFEARGPPMSGLRFFVTCDPDNVRHIFINNFANYPKGEEFASFFDVMGDSFFNADGESWRRQRARVQHVMSNPRLLASMAACCRGKVGKGLLPILDRMASAGAPFDLQRLLTRFAFDVTAMAVFGVDTCRLSIDMPPLDVANAMDAVMEVGFFRHTVPVSCWKLMRSLRIGPERKLAAAQRLLRRFVAEMIEKRRVAGGACKATDDEQGGVPPPADIVSSYINDPEYVDEDGNPREFMYATFINYMVAGRDTVGTALSWLFFNLTEHPRVVARIREELEPIASSKAGGGGGMVVFDPEETKPLVYLQAALFESMRLYPPGPIERKATLADDVLPSGHTVRAGDNILIPVYSMGRMASVWGKDSGEYRPERWVTEDGKLRHVPAHRFMPFNAGPRLCLGKDISRKSVAAAVAWNFDLEVVAGHAVEPKVSIVMQIKNGLMVKVKKR >KN540178.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540178.1:24156:31419:-1 gene:KN540178.1_FG004 transcript:KN540178.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSGRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSDKPGEGSKKDEMRHTPREERRKDNMRDMPREVSKKDNLKDRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSKKERSSTRDDSHLVDKDKGNHNSHKLSTYTSGRVGKSRGGNHGEITARDGDATIQESQKGPGKRWIDEPVGNDRIKERSERRTDGKRKSRGFDDEKSSQVDRPTLKKQDAVRLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSGGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASPVIRSPEKKSATWDQLPVAADLSNFVTTLQSTVGQKDSTVPVNFTTSKQDPNTTIGTILTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKHQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVTPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQAFNEASPFYGIPDSAKSLLEEPTKVLQLKNVFDQEEYLLLPKSELEEILEDVRVECASLHYGQDDRFGAVKSINVVKYPASSDNTTGDTITECEDGSTKIEPKEYGGNVSCTETGAECSVLNQSTDVPDPYICEDQDPVELDTVSIPKGRDHKDLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDARGTIERGHADADPASLETSCSTAPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFLRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYPR >KN540178.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540178.1:54507:58815:-1 gene:KN540178.1_FG005 transcript:KN540178.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLLGAYAADAYLGRYWTFVAGSAIYFLLGVYFGGLYIIAFGNGGTKPNISTIGADQFDEFDPREKMHKMSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVPVPADAKELHELELEEYTRKRKFRMDSTNAMRFLNKAAVKEDGSPAARWSLCTVTQVEETKQIVKVIPLLATMFVPCTLIAQTNTLFVKQGRTMDRHIGRHFQIPPASLGAFVTLSMLVAVVVYDRLFVPAIRKYTKNPRGITLLKRMGVGLLLQVVAMATASLMESRRLGYARRHGLDAAAAEVPVPLTIFELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTRERGDAWVTNNLNASHLDYYYGFLTVLGAINAVVFVALSSRYRYKVESTETIDIAMDVKGDTAKKIQN >KN540178.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540178.1:16841:21969:1 gene:KN540178.1_FG006 transcript:KN540178.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVWPQPRWHAAQQRSQSGKKPSLVFRAIDWRAKLDFDLRAELFECFCPGAKMNKIEKAARSSEISKHSSANGEIKQHINNIDTEEADFPLQEGGSLNSKEARALLRKVEYQYGHAEEALRVFSGINMPALIPKVKMSIIRKVDLQKAQLHSSSPSLPFHAAILLLEIIYFKATSLRNLGKIEEATKECSSILDVVESALPEGLPDIFGDDCNLKPTLCRAVELLPELYKLGGFHFEAISSYRRALWSNWNLDEKTIGRIQKEFAVLLLYSGCETCSPNLLSQLDGSFVPRNNLEEAILLLMLLLRKFNLKRLERDPTVMHHLTFALSMSGQLKPLAIQFEELLPGVLHNREWSYNVALCYLAEEDDLIALNLLKRILVSGEDSNNLKELLLVSKICCENSVQGEEGTLYARRALANLHGGCDQIEVTADLLLGISLSNQARFATTNTKRASQQREALEVLGISEKKMHGIDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFEDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGKFKKAVETYTQLLAVIQLRTKSFNAGISVLKGSKDDRSLEIETWYDLVLLYIRMSQWRDAELSISKIKAISPYSALAFHAAGKLHEAKGFLKEALRAYSTALNLEPRHVPSLISTAIVLRRLGKRPLPAVRCFLTDALQLDRTNHIAWFNLGLLYEDEGGSSALEAAECFQTAALLEETNPVEPFR >KN540178.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540178.1:45796:47299:1 gene:KN540178.1_FG007 transcript:KN540178.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAHSPSGRARATPRLSPKKAAAAAALDGDVVSAAAPLLATLLELRDSSDDLCLTVIKKKVTFDPNVTTYEAAAIPEAEEEEEAEPAAGDDKDEARWMLAPDCAKSEAFPLNHRYSNCAYGDNDSEYDEDEDEEEEEDEDEEEEDDGLDECAIDDEDDDGLLGIGRAEDEACESLFLLPMSKTKESSSGHEAASGVTSPEAPPAPQQTRDANPVLSSVENLTQWKDAKARAATSTAAPNASDKENRTTANLLPEPAIPAKKREWQVVCSDYSPSTPSKQEVSVDASLSTWLGSSGTPESNSVRSFSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSSKAGDPVTRGGFMRTRTRFGQFYI >KN540159.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540159.1:25046:25282:1 gene:KN540159.1_FG001 transcript:KN540159.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDDDNYTLQPSSSDQEDFETYVTRLPKAEDFGLEGFQEVPLDVLDEAGGGISIWDLSICPADFMAAAATTTAKSS >KN540159.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540159.1:7432:9357:-1 gene:KN540159.1_FG002 transcript:KN540159.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLTLSRLPVAALGLPFSSCSPPPPRLRFPFAARRARSLATRASSSSPDSSFGSRMEDSVKRTLADNPVVIYSKSWCSYSMEVKALFKRIGVRPHVIELDQLGGKHIGGCTDTVKLHRKGELATMLSELDIDVNNS >KN540159.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540159.1:40523:45704:1 gene:KN540159.1_FG003 transcript:KN540159.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFQAQPNQLQQQLGMPQQPPMQQRLQTSAGMLLQQNNIDQQKQFMQAQRGLQEVSSSTSVDSTAQTGHTGTGTGDWQEEAYQMVKNLKDQYFPELNDLYNKISLKLQHVDNMVPPQKPTEQYEKMKSFKLMLERTMHVLQLNKGNIQPALRDKIPAYERQIISILNSQKRPRVQGQQTQFQQSAGQGPTSNIPQQQQPSQGLQQHDNHTNQMPQASMPSMSTGLQSSGAAGIQHLPASPATNFGVPATQQNVTNAPQATSNLEVTQGSNFNSLQHGSMSGALQQGSTGPMQGTLNAQQQASSSMLSHNSVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQQTNMAPQTQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGTGTSKKMKRDTSAMPLNVSSAGSVNDSLKQTFSIGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGTEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWENCVGA >KN540159.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540159.1:48833:50609:1 gene:KN540159.1_FG004 transcript:KN540159.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMCCFLLLLLVVSAGAEGKSEVALLLERVKPALQGGGGGNAQLATWTASTPLCQWRGLRCGNARLSLLRLHDNALHGPIPDPAALAPNTTCDALRLLDLSANRFSGPFPSSLVTAAFPALRSLDLSDNRLHGPIPHGLAPIHSLNLSYNNFSGQLPPDLASLPPDAFLANSPALCGPPLPHHCLPTSPLTSSAVAAIVIALMAAAVVLASLSIGWAQGRWRRAPLPPDEGTLTEDGEGKLVVFQGGEHLTLEEVLNATGQVVNKASYCTVYKAKLAEGGGSIELRLLREGCCKDAESCAPAVRRIGRARHENLVPLRAFYQGRRGEKLLVYDYFPGNRTLHELLHGHGEQSQGMRPALTWARRHKIALGVARALAYVHAGHGEAHGSVRSSNVLVDEWFVQPADGRVRVRDIAAGAADGAEGVGRAAGGGEGGGAGGGDDDGGVRRGGGARGA >KN540159.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540159.1:5056:6306:-1 gene:KN540159.1_FG005 transcript:KN540159.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYSEFTAGAGAGAAIVVVVVAVAAAVVRECEAKPRAFFVFGDSLVDSGNNNYLMTTARADSPPYGVDYPTHRATGRFSNGLNVPDIISEYLGTESVLPYLSPHLDGSKLLHGANFASAGVGILNDTGIQFANIIRIEKQLRYFNQYQDRVRGLIGGAAARRLVEGALVLITLGGNDFINNYYLVPFSARSREFALPDYVRYIIGEYGKVLRQLYHLGARRVLVTGSGPLGCAPAELATRSATGECDLELQRAAALYNPQLVRMTRELNAELGAGDVFVAVNAYRMHMDFISDPAAYGFATSKVACCGQGPYNGVGLCTALSTLCPDRSLYVFWDNFHPTERANRIIVSQFMSASPDYMHPFNLSTILAMDAATAAAAP >KN540159.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540159.1:47141:47335:-1 gene:KN540159.1_FG006 transcript:KN540159.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGGVNEWEDSPGEMESEAASAVGMGMMEVDADDRHPPSSSLPIDADFFNSFPDDFDDQDLA >KN540159.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540159.1:1000:4461:1 gene:KN540159.1_FG007 transcript:KN540159.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminopyrimidine aminohydrolase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G32470) UniProtKB/Swiss-Prot;Acc:F4KFT7] MGGGSFLVVLCWVGLGWVGYEMAEECADDDDDKATIAVLRKAILRELNLHASVLQEWGVDPNKEIPPIPATTKYTDFLLATSTGKVDGGKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELAVFLKQDENHPYKKWIETYASSDFENNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQPVDQPVVAPLSRYCGPKDKLLIFCDFDLTCTVVDSSAILAEIAILSHQKASQGGADSSLDRTKSADLRNSWNMLSNQYMEEYEQCIASLLPPEEAGSLDYDQLYKGLEVLSQFEKLANSRVVDSGVLRGMNLDDIRKAGERLILQDGCKNFFQKIGKTRENLNLDVHILSYCWCADLIRSAFSSVGCLDGLNIHSNEFAFEGSVSTGHINRQMESPLDKAEKFKSIKSDVGSTGTLLSVYIGDSVGDLLCLLEADIGIVVGSSTTLRRVGKQFGVSFVPLFTGLVEKQRRIEKEESSIFKARSGILYTVSSWSEVQAFILGNDFS >KN540159.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540159.1:10102:11463:1 gene:KN540159.1_FG008 transcript:KN540159.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGLWMHRDIRTVGSIPGLLVGDSFFYRAELCVLGLHTAPQAGIGYIPASIVDQGHPVATSIVSSGGYLDDEDSGDTLQRGNLALHYSCHYGIEVRVIRGHACDHSPSSKVYVYDGLYRVVTSTFGPGKSGRDVCKFKLVRIPGQDDLGSKAWHTAAELKDALDSKIRPPKYISLDIAKGKEPFRVPLYNKLDDDRSPLFYDYIASPDFPTTQQLLKRHTQRGCHCAELCGSRCSCERKNRGADGPVYTSDGILLRGRPVVYECGPLCGCPMTCPNRVTQQGMKHRLEVFRSKETGWGVRTLDLIQPGAFICEYAGDVLSLDSHSGDSPLPPMEDGSSIIDPTKFPERWREWGDASVVYPDKVPHFPLFAGARYRLDVSQRRNVACYISHSCSPNVFLQYVIRGNEDESYPHMMVFAMETIPPMRDLSIDYGLD >KN540159.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540159.1:58197:60117:1 gene:KN540159.1_FG009 transcript:KN540159.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLVKADDAVYDELVKLADAGKDADATQLPVDEDLPGMGQFYCLHCDRYFASESVKEEHYRSKRHKKRIKQMSGPAPHTQLDAELAAGMGMPDNGLKLMSM >KN540159.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN540159.1:15572:17058:-1 gene:KN540159.1_FG010 transcript:KN540159.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLRLNTKAQHRRGKTHHSKLGTKIGPHSHRAHEALARHKSPDFRRTGPPSRTNTSPQPPKDSTARSGRRPTEGRSITEGRRPGRLEEELRVGVRGTQDLGVNHVSGEELLVSRDKTSRLRQPPPRYHQRRERGALGRAAEQLDNWQQRSTALTLNKWVA >KN538781.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538781.1:190078:192044:1 gene:KN538781.1_FG001 transcript:KN538781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPRLLLMALMAAALAGRSEGAWCICRQDMPDSTLQKTLDYACGDGADCKPIQQSGACFSPDTVKAHCSYAVNSFYQRNNQNSQACVFSGTATLVTTDPSSNGCMYPASASAAGTGTPTSGTGGSTGVDGPPGMGLGPSSFNDNSGASVLLEIGTAMWILILACSIMALNFS >KN538781.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538781.1:151604:154682:-1 gene:KN538781.1_FG002 transcript:KN538781.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRRIHLAAIPDGLAGDEDRKDLNKLIDAYSRHMPGHLERLIGEIEAGGGRPKVRWLVGDVNMGWSFTVARRLGIRVVSFWAASTACLAFMLKIPKLIEDGVLNEKGWPERQETLQLAPGMPPLHSSLLSWNNSGAAEGQHIIFDLVCRNNKFNDDLAEMTVCNSFHEAEPAVSKLFPDLLPIGPLVADRELRRPVGHFLPEDAGCLDWLDAQPDGSVVYVAFGSMAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRRRVAGRGVIVGWCSQQRVLAHAAVACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQFLDRSYITAVWRTGLAVAAGEDGVVTREEVRSKVEQVVGDGEIKERARLLRDTARACVSEGGSSHRNFRKFIDLLSE >KN538781.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538781.1:136520:138031:-1 gene:KN538781.1_FG003 transcript:KN538781.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPQPHVMVLPFPAQGHVMPLMELSHRLVGLGFEVDFVHTDFNRDRVINAMANETGAIPDGIHMVSFPDGMDPAGDRADIAKLGDGLPAAMLGGIEEMIRSEGIRWVIADVSMAWVTELAATVGVHVALFSTYSAAVVAHRLQVPKLIQDGVLDEIGNVRRNEMIQLRPTMPPVLAVELPWVTLSGTPDGRRMVIQNVFKTNPTISSAEVIICNTFQDIEPGALALVPNVLPVGPLEAPATSRLAGHFWPEDTTCLAWLDEQDACSVVYVAFGSFTVFDMARVQELADGLVLSGRPFLWVIRQNFTNGAGEGWLEEFRHRVSGKGMIVGWAPQQRVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQYCNQSYICNVWGTGVKLQADERGVVTKEEIKNKVEQLVDDKEIKARAAKWKHAACTSIAEGGSSHENLLKFVNLLREQ >KN538781.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538781.1:252367:255345:1 gene:KN538781.1_FG004 transcript:KN538781.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGSARSVAWGASALLVAALLLSAPSATEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNPPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPSNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTNDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKVTITNFNYRMNYTQWNLVIQHPNFNNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMAFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLPLLAFWIVLATFLAYA >KN538781.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538781.1:133561:134654:-1 gene:KN538781.1_FG005 transcript:KN538781.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATQPHVMVLPFPAQGHVIPLMELSHRLADQGFKIDFVNTEFNHDRVLKALAEKGTIPGGIRMLFIPDGLGPADDHTDIGRLVQVIPAAMLSPLEKMIRSEKIKWVIVDVSMSWALELATTMGVRIALFSTYSAAIFALRMNLPKLIEDGILDETGNVKKHEMVQLMPPIDAAEIPWVSLGSTQERRRYNIQNVFKTNRLMALAEMIICNTSREIESEALELLSNALPVGPLLAPASGPTGHFLPEDMTCLTWLDTQAPGSVIYVAFGSSTIFDVAQFHELANGLAVSDQPFLWVVRPNFTNGIQEDWFNEYKDRIKGKGLVISWAPQQR >KN538781.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538781.1:257223:258221:-1 gene:KN538781.1_FG006 transcript:KN538781.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b5 isoform A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/Swiss-Prot;Acc:Q9FDW8] MPTLTKLYSLEEAVRHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFTSKLMANAAQYWPIPATVVGISVVIAVLYARQK >KN538781.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538781.1:230076:247903:-1 gene:KN538781.1_FG007 transcript:KN538781.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTRAKIPSLHHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVIKTRMQVATGEAVRRNAAATFRNILKVDGVPGLYRGFGTVITGAIPARIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLGGLSASLCSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQQIIKADGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWSTFDRWNDKESSPSQLTIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQVNQNKPKAMEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEGYGLGNTQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEPINDLDFHPESPILISAAKDNTIRFFDFSKTVARKAFRVIQVVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQFRCQAVFNQTEEFVVSTDEQNSEVVVWDALTAENVARLPSGHTGAPRWLGHSPAEPAFVTCVVHLYILLKLYTDDTVPNLNVLAPAEEEEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEGDPYSTFVVHGTARSGGASSPRESASGTFIRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDAAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTMEQGMYLWLLVPQISPHKYPHRPFSHVSNHSAIALRFSAMRIYFFASRHEVREVDVWALGVSAIEMAEVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSTMQDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPRHGNQVSSASPGVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVVGNGLAGSNRTDALEAVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >KN538781.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538781.1:204042:205698:-1 gene:KN538781.1_FG008 transcript:KN538781.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >KN538781.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538781.1:150372:151022:1 gene:KN538781.1_FG009 transcript:KN538781.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSSCPAAAASHPSSYHCRGVGPAAGQYCFSTPPRITLVRWTPPRHGWAKLNFDGSVHNDGSGRASIGGVIRDDHGRVLLAFAERTPHAMIGVVEARALIRGLQLALDHGWDQLLVEGDDLTLVRLLRCESNHTRIPPAMLDEIIWLLDSFRVCEVQHAYREGNQVADALCHEAYKTTQAARLWTPGTAMVPFPVWEKLEDDRRGVLHQRVRA >KN538781.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538781.1:138495:140124:-1 gene:KN538781.1_FG010 transcript:KN538781.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MANGESHVLALPFPAQGHVIPLMELAHCLVEHGVKVTFVNTEVNHGRILGALDDASHGGELGGVDMVSIPDGLAHGDDRSDLGRLTESFLSAMPGELEKLVGRINASASAAGGGGREVTWMVADVNMAWAFPVAKKLGLRVAGFCPSSAAMFVTRIRIPELPDGSVVYVAFGSMAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRRRVAGRGVIVGWCSQQRVLAHAAVACFVSHCGWNSTLEGVRNGVPFLCWPYFADQFLNQSYICDVWRTGLRMAAPAPARLVARQLIRRKVEELIGDQETKARAIALRDAAKMAHYCKRD >KN538781.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538781.1:176989:183340:1 gene:KN538781.1_FG011 transcript:KN538781.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWGTGKGDVEERNQIMQKIFGDESGEEVVEQEEEEEDPEEYLAHDGDDAMSGGDRGSGGGGGQQQNRLLASPAAEVVEEEYGLEDNGHGGDQWEEGQGESQGSSGMAQEIETDSHDVELGNQSTKVHMKGVNTAEGGHVKRTITSETRSTENEEDHEVLHDAFDDNDEDGLAPYGSRDDNEHAHESLMNDEGHYEELLPEDMVDEDKHYEPDENIEHELKDKPLGPPLNLVVPRMLPPGQPDRMNVIKVSNIMGVNPKPFDPETYVEEDALTDESGGRKKIRLEDNIVRWKIVKNADGTKSRESNARFVKWKDGSIQLLIGNEVLDISVNDSNHDNSHLFLRNGKAIIQSLPLPLLSIDHHHVHKLTTDFFSGAYAITRKASTEDEKTIKMQTWIDENDPEKVKEEREKAEGENIRASSSLQRKREQVKRKYSQPLRKRRKLTPGFLEDALEEDEAPGVGYNQRRGPGHAHFEDGLEAEALSKRHVTNVKKANVGKAVPSPRVPKHQVNEYSKSESEEPQYETDGEDIDNSPTNGREEDMDEEEEEDPEEVIGDTSMSDENNEEQEHVKERKGFDSDDESPPRKQPLNRRKTVVFDSDEE >KN538781.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538781.1:196748:199851:1 gene:KN538781.1_FG012 transcript:KN538781.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSAASSSSFFLLLLLRELSLPSRGLRGEIPAEIWRLEKLEVVNLAGNSLHGALPLAFPPRMRVLDLASNRLHGEIQGSLSVCKSLERLNLSGNRLAGSVPGVLGSLAKLKQLDLSRNLLTGRIPSELGDCRELRSLQLFSNSLEGSIPPEIGRLRRLQVLDISSNRLNGPVPMELGNCMDLSVLVLTSQFDAVNLSELNMFIGGIPESVTALPKLRMLWAPRAGFEGNIPSNWGRCHSLEMVNLAENLLSGVIPRELGLCSNLKFLNLSSNKLSGSIDNGLCPHCMAVFDVSRNELSGTIPACANKGCTPQLLDDMPSRYPSFFMSKALAQPSLGYCKSGNCSVVYHNFANNNLGGHLTSLPFSADRFGNKILYAFHVDYNNFTGSLHEILLEQCNNVEGLIVSFRDNKISGGLTEEMSTKCSAIRALDLAGNRITGVMPGNIGLLSALVKMDITRNLLEGQIPSSFKELKSLKFLSLAENNLSGTIPSCLGKLRSLEVLDLSSNCLSGKIPRNLVTLTYLTSLLLNNNKLSGNIPDIAPSASLSIFNISFNNLSGPLPLNMHSLACNSIQGNPSLQPCGLSTLANTVMKARSLAEGDAPPSDNATVDSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRQSRRSIRRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERAKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY >KN538781.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538781.1:210486:220093:-1 gene:KN538781.1_FG013 transcript:KN538781.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQGGGGAASSSSSSKRRNQQRRQRRKRAAARKKRGGSPASENNGEEHADAQGCLPDISTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVRGYIGNQFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRDLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLLILDLNGLLADINQDYHNSHMADAKDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGYYSPSNTLLVDDSPYKALRNPPYTAIFPQPYSYLNSNDNSLGPGGDLRVYLENLTVAEDVEVLRLQPGYKYCLLGQLSKEVGWNYYDTIRYVGCDGCLVMLKSRLVSHDIRSCRLRAFALPGLRICLDFEEIGLDMSDAIGRKPTSISTSGPCFLNGKFRGQMPNKKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQSQNMTWFFLDTTGCNGLSDEREDRSVIAHRLSTVKCADTVDVISDGQIVESGTHDELLCRDRIYTALVKRQLQGPRFEGNAPAEIEPISNGQ >KN538781.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538781.1:223576:228530:-1 gene:KN538781.1_FG014 transcript:KN538781.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLRIKTGNRAPLLAQGETSRALSDLEEGSNVQPANVGFCRVIKLARHDAGKLVIATMALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALDDVTGTILYIVIIVVTGTNLEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTSIGLGFMFATSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEVLRYGEKVDETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMANSGDRCPTNENDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLPEISHQFLHRKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVDTVS >KN538781.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538781.1:166398:173062:1 gene:KN538781.1_FG015 transcript:KN538781.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADRERRAGATSSGGRGACSEIESIPYPEHDAEDAEDDGEEPRVVDGQFLQYCRSFERGPVNFLLQSWYGECTKMLAKVNESGIKDTSVNCKEITSYDTDGYDDRTISFGSSCSTIPASYPYISPLQRNHLISDTRDCTWTALMQESLEASNSNNGLNEDCSDLTFSNTEFSGGNKMQNQVVWDNGCLTSPSFTSNFLPFPGDAESAFTSASTVCNLQNFVDLPHDMNNNEQDKPSSELRAPQQKGATRSHICQHRDEMHSAEWGTYPDGSAGSGTEKLHGLYECEEQMEIDSLLNSFSAPSDAFSQSYEIFQKSESFVGLDKKVKLEESVSATCFSNTVPCMQAGAPESAISDGSSCHQQYNSTSQVTDLFYTSASQWATTSSSVLPLPFCGSNPVSCLGANGEDHLLTDDHTLLHEQRRAVCGTSYDLTDNVANPVLEFTNILDGQSSLNKTYISHDGLVATNGVWKGHRDVVENHPLGVYSSSHAGHPQMELPMTRTSHVLLPPPNLSNNPNSSFVGETELKKAELMGAYSTTENYLDLDNSERKGIICPKSSEQNVAENICSKAAEYQCNDYSQIVCNQQTVLLPLNKASHFGGLPTKKFDGKLVSRQKKRKRATSLLSWHAQVMSGCSKTHHTRKPELDWAHATRRLVEKVDAENMKTKNSTFVSQAQKRLALTTKLMQYILPVLPDRLLAANAIDSCETIVYRTSQLALPDAFNPAISSVSDANNFIPTESMPQNQTSTSEKEDDKLVPEVLETFTMRFDELQNSFSSSPLHPLAGALCVDDSMLAGEWMFTWLYELEAQCLRQ >KN538781.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538781.1:141505:142350:-1 gene:KN538781.1_FG016 transcript:KN538781.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHSSLLSWNNSGAAEGQHIIFDLVCRNNKFNDDLAEMTVCNSFHEAEPAVSKLFPDLLPIGPLVADRELRRPVGHFLPEDAGCLDWLDAQPDGSVVYVAFGSMAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRRRVAGRGVIVGWCSQQRVLAHAAVACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQFLDRSYITAVWRTGLAVAAGEDSVVTREEVRSKVEQVIGDGEIRERARLLRDTARACVSEGGSSHKNFRKFIDLLSE >KN539021.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539021.1:72861:77938:1 gene:KN539021.1_FG001 transcript:KN539021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSPPTNHSPASLCTIRRSLDPAFIDAPAVREHHDVEVSSAAHNLLCLLVLNLVQCRSVNGFPVASRFMQIGKSRKLVHDVLVNSKPKSFTAQNIGSNFAFGLAHTGRACLHGKVPHGPGTSSMLGRSKESLYRNPAARNFSVLSTCSQNAFHGQLAWKQLMTIGSHVPKASPFLSRVACAISLAATRSNLVPYLFAFVAGEIVLAQKTSADGEYLPIRDSLYTRAQDGRIYITSLIFSAVELVIIIFRSIYLALLFTPSILMAPFADTLDSKCRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFESDLCAELSKLHTKAPAHSFAYTKKTVEKAFGRKLSDLFENFEEEPVASGSVAQVHRAGLRFRYPGQHIKRVTVAVKVRHPGVGESIRRDFTIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIQPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAERTLKLSKQQNCPNPKAFIEEVERSFSFWGTSEGDAVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >KN538781.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538781.1:185120:187636:1 gene:KN538781.1_FG017 transcript:KN538781.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MWWVSGCHGTVYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETSGFESLARTFVISGAVVAADVLLKTIYVFGFGVSLFIDVDQGTGGKWGLWFLHKLVLTGVYGLIVFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTSVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >KN538781.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538781.1:265323:266878:1 gene:KN538781.1_FG018 transcript:KN538781.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSSSLSPPSSPPPSWVLLNPSVDNHGLGIDPTQKGWEVVECRKEYCGAGKYGHMMAGCLELHVRRAADPLDLSELAISANDEIVRYIIEGELSEQAPEDKSSFPGLREVDVDMMEGPLSYVTGYVRMADENLIILTVSFPFTDDQYYLVYDAILQSMSMIPHISSGPFCQGYSPANPLPVRCGDMYTLVHFARNMEYDEEEGEYIYRDMLCLWPPPPSSKLPPPPLPNAYTCPSIEPWYFMEPCFPMEMTLVQFRHHVKFTSSSYAFWADLTQGVLCCCSSDIFGDSYSVNFSFIELPPGCECESCDTLDVSDIGPAEMFRTIGCTTGDSIKFISISFDDSVPETEKTVEELSLGTLWELEDFKKYGLPKTKPLYPLLRKGEEDDGALYFILSNEWDDYAEHNMCRLDMRSKRLESTRLSSPQGFIGACQLVGSEFFRYLQSECLEPAHGKGKMKLNEAYGAD >KN538781.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538781.1:145481:145981:-1 gene:KN538781.1_FG019 transcript:KN538781.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRRIHLAAIPDGLAGDEDRKDLNKLIDAYSRHMPGHLERLIGEIEAGGGRPKVRWLVGDVNMGWSFTVARRLGIRVVSFWSASTASLAFMLKIPKLIEDGVLTEKGV >KN539021.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539021.1:44578:46690:-1 gene:KN539021.1_FG002 transcript:KN539021.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPLACVEAGLYLAIALALNAFAQPVTNYLIKRYNMKPNAATNVANVFSGTYSFSPVVGAFVADAFCGRFWTLLFGSVAAFVAMVVITLSATIRQLKPPPCSDVARQSGTCAGPSGLHRAVLYIGMALLVVATGGANPTSLPFGADQFDYDDASRSSGNEANERREEPAGLKRYYNWYYVVTMMASFMALTFIAYIQDKVSWGLGFGIPTALVAATFAVFLAGTPLYVRVPAEGSIFSSVARLSFLNKAAIVTDDADEIRPEDGSPARPWSLCSVQQVEEVKCLVKIVPVWISGVMWFISVAELTNYTFLQALTMDLHMGRSFTIPPVSIAAIFNLAIVLFVPVYDLLVARAAQRVTGVEGGITVLQRQGVGVAISGLALVVAAVVERRRRASALDNGGTSPMSVFVLAPQLAVMGVSAAFNMIGQMEFYNTEFPDQMRTLANAATRRRGGGQGWVAEDINAGKLDHFYYAMAVFAAINFVYFLVCSYFYRYKGEPEVAAEDSPPATAEAVLLKH >KN539021.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539021.1:6426:16554:1 gene:KN539021.1_FG003 transcript:KN539021.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEKDPSSAPEPPPPVDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKSPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIQRRKQAIEDIKQIAVGCRVSGKGVKRCLIRFSLIHNGDFHMDRSSRVKGWANHSWAQSHGYAAPNTMHRDKCLLNDMCFKNFGEWHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIATSDHDAHSAPAQSWVPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSTGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDPSAEPNESARVSSVKGPGPGESKPKVSAKVVVSAKPTNVSSADHSDGIGLSSPKGALLGLASYDSDDEDEEGDNEDKVPVLNLLSETKAGAARPGEGEKATDGEHHGNHNERNSVVQNASLGEDRKFNDEMSRRNSSTELQELCAHDTHSREFPSDAKISSQPKDVSPTPDEKARGYSQNGKVPPSGNNVENYSNMESSQGHLGKSSNEEDFVKESKAVRRKESESSSKRYNDDDKSSMYGNTDKKGSFKEEKGSDRSARHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRHCLKDTAFTYLLWYLLSEETPDEFRGLHIASILTAGIRLIHLWKDLHKLLLHGLSSQLEKLKTLGTKSPRTRIYLSCITLMGCLVLGIGQEEAFQIQNSSQKKIEDNFDFKMPRHPCEAMCGISLHLLLIH >KN539021.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539021.1:148168:148753:-1 gene:KN539021.1_FG004 transcript:KN539021.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDQKLFCLVFVSGVLVLANLADVSSAAASSLSRQQDQVARVLGRRGRELIGEGLSGHQYRHEGKHKEQHEVVPMEATTKETAETKAGWVDDDKGAREGLIDSADYSGVAMHSPSPPKRKHPKKP >KN539021.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539021.1:1408:4620:-1 gene:KN539021.1_FG005 transcript:KN539021.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQVRLLLIAFCMDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPSAAGS >KN539021.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539021.1:164811:166569:-1 gene:KN539021.1_FG006 transcript:KN539021.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNASAVFLAFIVILIIVIFILLGICWKFLRPDIMRRLMRPKRAPSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGQQRLLVYEYMKNKSLDKILFGYTAPEYAIRGELTVKADTYSFGVLVLEIAWRLYEQSKILELVDAKLQADGFDEKGVMQVCQIALLCVQPFPNLRPAMSEVVLMLTMKTTEQSVIPAPVRPAFLDRKSLKDKNGGGGSDTMAEMRSTSYWLGTPSPMVDRPYDMSCGI >KN539021.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539021.1:139813:147464:1 gene:KN539021.1_FG007 transcript:KN539021.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEASETLASDAAIADCLARALRRGSQRVAEAACNAIMDLSASSAGREHLAGSAVLQSILYLFSQVEFIPGVIDIRSTECSKSASKANKSFYLMVDTLVLMVNSCQVDSLHNLQQDVVRKVLPLLHKIWKNVDTLGSSIDRINSKNQLQSREHEISEAIFRLSMNIACPAHLEPDEVRKGIFGQSVSDYENFLLNYWEKLTYLITRKQKNLHVNSVFTSLLNEFDPKTPDTIIQSLVNGIVSCPAIASDELDISSFLREVQGSLGAAVKYRQDIRVVRTNDQCDQTSIGYAMEEHFFDDGMTFQDADAFVEKCKDAFKNGFSVALRGMEFRSEKIAAIASAVADLFGQPSVGANIYFSPPRAQGLARHYDDHCVLVWQLLGCKKWMIWPDTKLLLPRLYEPFEPLDDLVDDCGGRMEILLEGDIMYVPRGFVHEAHTDVDVGGFEVNSTVDCSLHLTLAIEVEPPFEWEGFTHIALHCWMEKHWSSPFVKSQEEVQTSLFALLLHVAIRLLSKNDATFRKACMIAAKLPPSSSCTTTHLKALRSNQRSIFDEIINKIDKSCNFKEALMCIELAVKEKSDEPFQWMCWLRHLPQHGGTNGQVDFCNILGALEELLEAVSCNLEQSLTEFTDFKSKFCRLGELVIICGGENGAGGGAGDAVPGRGTSGFRVMAVSTETTGVVTRMEQLLNMDTTPFTDKIIAEYIWVGGTGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRNRAAQVFSDPKVVSQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYVVTALLAETTILWEPTLEAEVLAAKKLALKFLENHAAFHSPVNILVEHHT >KN539021.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539021.1:112161:114277:-1 gene:KN539021.1_FG008 transcript:KN539021.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPLDVASAPKLDDDGHPQRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWVAGPIAMVCFAFVTYISAFLLSHCYRSPGSEKMQRNYSYMDAVRVHLGRKHTWLCGLLQYLNLYGIGIAYTITTATCMRAIKRANCYHSEGRDAPCDSNGEHFYMLLFGAAQLLLSFIPNFHKMAWLSVVAAIMSFAYSTIGLGLGLAKTIGDGTVKGNIAGVAMATPMQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLRSPPPESETMQKGNVIAVLATTFFYLCVGCFGYSAFGNAAPGNLLTGFGFYEPYWLIDFANACIMFSQQIFTFADRCFAASFPNSAFVNRSYSIKILPWRRGGGGGAGRCEVNLQRVCFRTVYVASTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVEMYCVQRRISPWTPRWAALQAFSVVCFVVGTFAFVGSVEGVIRKRLG >KN539021.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539021.1:43019:43351:1 gene:KN539021.1_FG009 transcript:KN539021.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTGSADPVDVIERTRKAGKRAEVVTIGPPPASSSSKPTEQQQIKKQAPAAEEKVYTAAAEKRAPEPPATVYVHYVPASTWSAPAWPSYEYEQSVVYHQQDPPPACSIM >KN539021.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539021.1:17242:21148:-1 gene:KN539021.1_FG010 transcript:KN539021.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis protein CNX1 [Source:Projected from Arabidopsis thaliana (AT5G20990) UniProtKB/Swiss-Prot;Acc:Q39054] MEIGSAAQPDAAARDKPTEIGVEEEQQKRKKNVAGGGGAGGRADGYAVVASDGPGEYPVITESRAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEHLAGAPDGSKRVRILVRPTQGQDIRNVGCDIEKDSVVLKSGEHIGPAEIGLLATVGVTTVKVYRRPTIAVFSTGDELVEPATASLSRGQIRDSNRAMLLAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVIPADEIGLGRYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSSGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKIINMPGNPNAVAECTEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >KN539021.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539021.1:56921:68412:1 gene:KN539021.1_FG011 transcript:KN539021.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMSTFMWWTERRIFSGQQEIDLLKNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQLSSILPLASFNFLSSVILFDIGGISRWHQNYFEPSFESDLDKPLLSSWFVSTVRDIDEDDEGSSGDNHSGFSGPPRDTQTPTASGLEQEDGRKDLVSESARQDIPDEFHDGMLKTTGSSSSNDVELMKDNVVLNKDPTLVFHEKLSLESSLGATDLNGKLTHEVSQDGPPNKLTSSGQESRKSDGKYVEDESKDGSSLEDGDAFSFQAGGQNINFQKEAKTSVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSTTGEELQYNGAQNDLAKELKTRMAQKQKENDTEHMNGGKLLEYVMRLREEDIDGTAFDDTIPGESLFPLQSVEYSKIVAQLKPGESEDVILSACQKLVSIFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKYREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPPMLEISKTRLDHHHSSGSLQSLQADADRHHIIMDPSASPRFTDMAAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELHDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDHRKVEQALLKKDAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVCWLFLSLACLHDVSFFVSVFPPEKNSFIPNGTSKIISGATMGAFHMKKVIPTNV >KN539021.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539021.1:103858:111266:-1 gene:KN539021.1_FG012 transcript:KN539021.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVYRNLARNGPGSVKLLPEEEDDLWHAYNLIVPGDTLQAVTVRKVLREMASGGRDAERVRLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTMELELKRQFTLTKNSSLVGDMAIGEADIGGEHGLCEGLCRLPEESLLFLLLQDLWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITVTRARIETSIPRKHGPAIAGYESALKKFFEHVLQAFLKHIDFEVVQCAVIASPGFTKDQFRDYMHLEAARRDLRLIIENKQRIVLAHAPSGYKHSLKEVLDSPSVMTLIKDTKAAQEVQALKDFFNMLTNDSARACYGPKHVEIANERLAIQTLLITDNLFRNSDIATRQNYVRLVESVKKFGGTVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >KN539021.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539021.1:119770:124373:1 gene:KN539021.1_FG013 transcript:KN539021.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVNYFGKTEDDRKYPIIEYTIVEFVSVSLRNSMSKLNNTNGKFSYGYASSPGKRASMEDFYETRIDSVDGQIIGLFGVFDGHGGAKVAEYVKQNLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVIDHSLEFLILASDGLWDVVTNEEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >KN539021.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539021.1:125458:129151:-1 gene:KN539021.1_FG014 transcript:KN539021.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNNPYDSSGTDDDLPPTQNRGLRGRSFSANGRASVMPFSYIRPHNDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQRTPLSIPSHSAGLQSPAMPSPSVPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGPHPGMRRHPGNNGTMAMASRGGGRFSRNEPTKDSAPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEDMAVGAQMVSAGDHMGRNGVAC >KN539021.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539021.1:52540:54829:1 gene:KN539021.1_FG015 transcript:KN539021.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGFVASLFSLLCCNRGPSTNLAYYEGLMDKITGTGKTWIPFDLGYYSNSLLLDDVGNRLSCAAEAADQPYPKRRVSCVTI >KN538872.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538872.1:79098:79698:1 gene:KN538872.1_FG027 transcript:KN538872.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVSSPNFSAPAKWQQDILSQLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKALMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >KN539021.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539021.1:24922:25215:-1 gene:KN539021.1_FG016 transcript:KN539021.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSEKGPGLKILWIWTLGTAGIMITNVVRTRVNDMQKILQEEDEAAAAAAPMASGERVLKDDE >KN539021.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539021.1:68656:70612:-1 gene:KN539021.1_FG017 transcript:KN539021.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-arabinopyranose mutase 5 [Source:Projected from Arabidopsis thaliana (AT5G16510) UniProtKB/Swiss-Prot;Acc:Q9FFD2] MRPPSLLSLTLDSALLRIAHLHDLSRLPDHLLIDLFRIDEINETRLYMWYIVRAISGTYRIGKWEEDSEVDIVIAALQPNLTTFFEAWRPFFSRFHIIVVKDPDMAEELQIPTGFDLKVYTKSDMGVLGATSIDFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDNGGLTVDAVAQHMSNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPALRLRKEGKHRWDTLEDVWNGLCAKVVCDRLRYGVKTGLPYVMRSDAEAGKALESLKEWEGVKVMDVVLPFFESLKLSSTSVTVEDCVKELTSIVKEKLGPQNAIFAKAADAMEEWTKLWKSHGAQSA >KN539021.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539021.1:30235:41502:1 gene:KN539021.1_FG018 transcript:KN539021.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDLWLSAFPVGTEWENIDKIKEFNWNFENLEHDIRFPVGEQKALEEGGELYGKTVYLFGSTEPQLLEVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKEFIKERVRERKRELKQAKEARKKAIDDMDPKVKEAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRHAHHLMGGESAAFLIVGSTSTSTSSSSSSRRRLAAGGLERAASSRPPCCCGDRRSRAAGDPHGLSNCCYLTLNSYLEACRFCVGMEVVLHEGDAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDKGQPVPNCIVFSSHEEHLWGKIPGLLESVKNDCLPQAYATIVMSQHLGANHVDGGVRVRVSKNFFELAGKNVLDNRPAWRVNASAIDAGADSALLISDHTLFSATLLLSLLFLFASRALSLFVCKECRHNQIDRIKIGNPRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHLGEISKTSEYDPLDLFSGSKERIHMAIKSFFSTPQNNFRIFVDGSLVFGGMGGGADSVHPNETEKCLEDLSKVTGLQLSDFIELLSEAIFKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKSITDTELLRKYSTLHSLPLDKSEKIVRDFLISATAKDCSLMISFRPRQSGTTDSEYDSVFLDSVNQSYDYKAYFIDLDVKPLDKMVHYFKLDQKIVNFYTRNGEVGGDPRDPPKGGGPSGDTKVQLQH >KN538872.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538872.1:52423:55357:1 gene:KN538872.1_FG028 transcript:KN538872.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/Swiss-Prot;Acc:Q8W4A5] MMPSTSSPYPLLAPPTCSSRLPPLRCFVGLRWSAPRIQVREYPDAVAGIAKGAGGRGGRFRAPASSISQPCSRTIVITNEHVQNADFPPNYSKREKKPFPIPVLELRRRAKERAKKAEGKPKRSLPPPKNGMLVKRLIPVAYKVYNARILLINNLKRLMKVIPVKGCKHCSEIHVGSVGHPFRTCKGMSSDKRRGQHDWGGTLVEAVFVPVEAYHLEDRLGKRIPHDQRFAVPRIPALVELCIQAGVNLPEYPTKRRRKPIIKIGKNEFVDANEDDLPDPEPYKLEHPILEELNDNEIIAPASPEEIVALAEETLEAWEIVRDGALKLMKGYAVRVCGYCPEVHIGASGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHLPESGEELQRDLKSFYGQAPAIVEICVQAGAKVPEKYKATMRLDIGIPSSLREAEMVV >KN538872.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538872.1:15174:17524:1 gene:KN538872.1_FG029 transcript:KN538872.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHFKYLSRNDEYFEEVCDRNKGTLSLVTVDWAGIGDDRNVNSYAFFIVPFVKDAVCNNVAVQFKFVTWQLQTVLASLPQSCFQISDEVQEEVDLVRAQLRREMEKKGDIDVNIFSKFHDILALHVSTVGSQSEQSHGQPDTPQMENLCNGHLELQNIIMLVSEISGVPKSDAERITSQLIEGLENMRVTDSKKPVSVSQSSDETKASPETHKKSDAVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQLKLQNITLTPNYVLRSLILQWCEEKGIEPPTRSKNDGAYLEVGGERVAIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESGAISALVKLLSSKDLKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKIAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLEHLSKLQVL >KN538872.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538872.1:5923:7137:1 gene:KN538872.1_FG031 transcript:KN538872.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYACFRTSPNCRVPFATFLEHSRVWPGFEERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELIIFSAIDDLLAKTGISPQDIDILVVNCSLFAPTPSFTDMIINRYKLRKDVRNVHLSGMGCSAGLISVGLARNLLQVAPKGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAVLLSTSGAKARFRLARVVRTLTGAQDGAYHCVYQEEDDRGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFIARKVLSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWATCIHRYPVDIPDVLKH >KN538872.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538872.1:98723:99352:1 gene:KN538872.1_FG034 transcript:KN538872.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYTSAGGRIVVGCIPYRVRSGGEMEVLVITSQKGHGMMFPKGGWELDESMDEAARREALEEAGVRGDTETSLGCWYYKSRRYDTTYEGFMFPLRVTDELLQWPEMSSRKRTWATVQQAMDGCQHGWMREALERLVSRHATNKLQSAL >KN538872.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538872.1:36628:50991:-1 gene:KN538872.1_FG035 transcript:KN538872.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALAAVVAIAVLAHSAAAAAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKFGVPSPPPFLSLSMVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDQQISCFEMVKKAMIAKIGKEAAEVAVNAALFQIGLGSNDYINNFLQPFMADGQTYTHDTFIRLLITTLDRQLKARTRTNLTRLYGLGARKVVFNSLPPLGCIPSQRVHSGNGKCLDHVNGYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDHKAFVFWAALQRPQGVRLLGHMNSKQRVEDPTRETSNKLQKRVTAMNRDLPPRDEQFISDFEQLHMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNVVSSVEVPMGQGVSVTTGGPATSSSSAIAVPNAPSFHPSNPTSPLSAMNTIGSPTQSGIDQPIGANVSPIKGAEFSSPGQLGLTARGDQSRRGAEISYLHHLSCRIILAGLESDLKPATHAVIFQHMVNWLVNWDQRPHGVDQADALQLQTLRLERPLHEWMHLCLDVIWILVNEDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVANSRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRQHPQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTSLDERAMGMFWVLSFTMAQPACEAVMNWFTSVGVADLIQGPNLQPNERMTMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQNVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKVKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITMKTRGIAEVEHIIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPTYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHQIQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRIKAFCTSRSPEHWLKNQPPKRVELQKALGNHLSGKERYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAVYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLASSNSSICPPPEYFANLLFGLVNNVIPPLSCKSKSNPSDAAGSTARTTYNKPHTSSAGGISNSDGQRAFYQNQDPGSYTQLVLETAAIEILSLCVPASQIVSSLVQIIAHVQAMLIQSNSGHGMSGGLGQNSGVPTSSGGGVEPVGANRPNTTASGINASNFVSRSGYSCQQLSVLMIQACGLLLAQLPPDFHTILYAEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPHEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDPNSSVYAATHPKPGIRLFVTPFRLQLVRAVFICTTAGMRGNQWSDAIRHLDKQLVDGQSQASPSMYGSYQKIEFLQICYGENGPLTFQITTIPISGQQ >KN538872.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538872.1:20012:20791:1 gene:KN538872.1_FG036 transcript:KN538872.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKGAKVMQLDGTSFRVKPPAVAADVLRDHPGFQLLESEEVEKLMGQSKDASEAAAKIMELCAAAGAKSASVTPERPPGILRSPRFAATPEWGAGFMVPPPAPGAAKTPQRWPTLPRTKEVTNHLIPFSPFALHLLLRYYFLPCAH >KN538872.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538872.1:69884:70219:-1 gene:KN538872.1_FG037 transcript:KN538872.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MREFYGCSVDEAEEMVAAADRDGDGFVSIEELRAVMEGGGLDALRAAFDEYDEDGNGVITAEELRRALRRLNLDGMDLTAEQCAEIVAAVDSDGDGVISFDEFKAMMSNQA >KN538872.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538872.1:80298:80540:-1 gene:KN538872.1_FG038 transcript:KN538872.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRDVDAGQQQRRLVVVHSQVRRIKQEEGEKVKVDETYQHQVSEMRRMVVLRDMEARQRSRSPLGRAARPAISIGGDS >KN538872.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538872.1:65527:68074:1 gene:KN538872.1_FG042 transcript:KN538872.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLRVEAVAVAAAAVLVLLLSPAAVVVVAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDADPAYSKRLLDRAIAVFEFADKYRGPYSSSLHDAVVLGASESINEFGWDNKHAGINVLISKLYMWAQSVSQYTLTAVTGELIVSRREVLMGKDEYFQSFRVNADNFMCSLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARGGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >KN538872.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538872.1:24163:25053:1 gene:KN538872.1_FG043 transcript:KN538872.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGTTEQLTIFYSGSMVKFDNVPREKSSFDYDLAIQHWGRGDSLCVQAEEVMFFAAKKSPDAGHQHVPQQQQPAYPNKKKRIFCYQAPERDADGLFIHENKTDACSQRQHRSPEDGYATIKDTNPCSRQIQIVPKADVSLLVKNASLVSFLESRKQRLASAAYTRREKSPDEKDIFPTAFPRNKTPLGNTERHSAFTNLKNINGNHDEEALDTELKI >KN538872.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538872.1:74744:75004:-1 gene:KN538872.1_FG044 transcript:KN538872.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDSEALQAVFYEYDEDGDGVVTAEELRRAMHRLGGVDLTAEECAEIVAAADSDGDGVISFDEFKAMMAKYAATASSPSTSSSP >KN538872.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538872.1:34671:35679:-1 gene:KN538872.1_FG046 transcript:KN538872.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRGPEAGHLPPLQWPLLLEARPQARRWSKIAARLPGRTDNEIKNHWNTHIKKKLKKMGLDPVTHQPVISLAQPDPLKQQQQEPSVSGGTGADDKEEEETPTSAQPQGVACAASSASAVSSSCSSSASASAATPGADVDWPGLFEVDAILDIDWAGLLSACGDDGGCGAIGVDMMFDQCSDVGFDQDVWM >KN539402.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539402.1:11936:15796:1 gene:KN539402.1_FG001 transcript:KN539402.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELYPGYRGEEIENCIKNAIMFIENKQQNDGSWYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRKGCKFLLSKQLSAGGWGEHYSSSEIEVYIDSGSPHAVNTSLAMLALLYSGQIERDPTPLYRAAKQLISMQLETGEFPQQLNDHVKMYKGLSDKKQFSDVSFANSVIQC >AMDW01031303.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031303.1:31:438:1 gene:AMDW01031303.1_FG001 transcript:AMDW01031303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQLLVFLIPMALFLKLAMPVDGAMAMTGCPDKCGNVAIPYPFGIGENCSATNLNSYFNLMCNDTFHPPRPQIREPEAHIEVTGISLERGEMRVLSPVNHICFTSNTTSTKSSGVGYDLSRTPFLPSPSRNRFT >KN539402.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539402.1:62434:65161:-1 gene:KN539402.1_FG002 transcript:KN539402.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRACLPALGGDQFDLGDPDEQRQEVSFYNWYTFAASTGGFVGLVFIVWVENSKGWGVGFAVCAAFVLLGLLVWAAAFPLYRNRLPTGSPITRILQVFVAAFRKRNVRLPQDPSQLKQINQDDDNNALEVLPRTDGFGCLEKAAVRTGNDAGAWSLCSVNQVEETKILLRMAPIFAAAVLGYIPMPLILTFTVQQGSTMNTKLGSVHISPATLFLIPIVFQLFFLIGIFDVTSFVGLLEFFCSEVPMGMRSIGSSIFYCILGVSAWLGSLLIQATNRATRRGGSGGWLDGANLNNGRLERFYVVLCIIEVVALLSYVFFARRYVYRNEQKVVTQGGTMCDTGSGADMI >KN539402.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539402.1:28920:35508:-1 gene:KN539402.1_FG003 transcript:KN539402.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGSFPYSTNGAKGSKIYISSVDMCKIECPYGTMAAVETEPSTFYGSQSSISVWEPYLGTGRPPRYTGAVVVIQNGQSRIGAGWYVDPDMYGDNHAHFEIAWTNKDKSCTNLRCAGFIQLSNRIVPGVVLKPVSTVDGKKYLIIVSIFKIWDVWVLLFGEELVGYWPGELFTDLSGAANMIGWMGVASAATDPTKEEVDNNLIVKTIQTTDGQTFACVSFKSQPSLRHPLLMNHTTQLMPPISFPHSTDADEGSKFGISNVEMREIECPPGTVPILTSYNGSMSTRSFDKIIYSENGNDKGIRQMAAVVTVPSTFYGLQTSISIWEPDLGTGRPPRFSGAIVILQNGGSRVAVGWSVDPHLYGDNHVHFEIAWVDNDKACINLRCAGFVQMSKKAIPGKMIRPVSTVNGKQYIIRVKIIKFMGDWVLKVGKEIVGYWPSKLLTHMSEAAAVISWMGVVEAAPGEPFPPMGSGQAADEGETKAAFFADAKVIDASGSFATPALKTINTIATEPKCYEVGRPYASDDGLQFYYGGAGCSPSQPIK >KN541125.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541125.1:12591:13346:-1 gene:KN541125.1_FG001 transcript:KN541125.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRYPDHFALDQPEGDERVWLRLLSWDDVLAVSELEKSTAAAAGGDTTCLPFPVSFTKGFGLRSKCINWLREWQALPYTSPYADPSGLDRRTDVSEKRNVGVFHELLHLTVAKRTERRNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGGGSLLLAKHAHPLAAIREEYSSVMRAALPPRRRRRSRESDSCSEQDEECEGGEEFKLTE >KN539402.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539402.1:17860:20190:1 gene:KN539402.1_FG004 transcript:KN539402.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSSSSGGGNRIWTSSLAVLALAVAVASLLSLRAPPPPAPGMADDLPASVHDISVKDIKGNDVKLSEYDGKVLLIVNVASKCGLTNSNYKELNILYEKYKEKGLEILAFPCNQFAGQEPGSNEEIEQTVCSRFKAEFPIFDKIDVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVGKDGKVVERYAPTTSPLKIENDIQKLLGTS >KN539402.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539402.1:77343:85160:-1 gene:KN539402.1_FG005 transcript:KN539402.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTNAPNIANMMNMVSYLRGTMHMGVAQASTTASNYFAALQMFSIPAAFLADSYLKRFYTVLLFVPVEIINSKGWGVGFAVSAAFVALGLLVWAAAFPLYRNQLPMGSPITRVLQVFVAAFKKRNVRLPENPSELKQINQDDDANAHEVLPKTDGFRCLEKAAVETGNDAGPWSLCSVTQVEETKIVLRMAPIFVAAVLSYIPVPLLLSLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMVILIIYDRAIVPPLRRLTGYVGGVTHLQRIGIGFVATAVATAIAAVVETRRKVTAEESGLEDATAGIPLSVFWLTPQFFLIGIVDVTSFVGLLEFFCSEASMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTQRNNGGGWLDGANLNKGKLDRFYVVLCIIEVVALVIYVFFARRYVYRNDQRVVAQEQRKGDTGNGVAVI >KN541125.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541125.1:18189:22199:-1 gene:KN541125.1_FG002 transcript:KN541125.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPFSGSCKRVFEVAVEFSRNMGCSFISPEHLALALFTLDDPTTNSLLRSLGADPSQLASVALTRLQAELAKDGREPVGASSFKVPKKSPAGAGRSAFSKSLNSKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVEEPSQDDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQNMHEVVSSNQMKYSPRQENGSAAIKAPSEDMTELTSELQVEKPIVVGTEEIARVASLWSGIPVQQLTADDRKLLVGLDDELRKRVIGQDDAVMAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLSDSQGRRVSFKNTLIVMTSNVGSTSISKGRRSMGFMTEDTESSSYVAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILQEVKGRLLALGIGLEVSDAMKDLICEEGYDKSYGARPLRRAVTHLIEDVISEAILFGEYKPGDTILMDIDAAGKPCMSHLNEKVVQLSDPTRTF >KN541125.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541125.1:14007:16997:1 gene:KN541125.1_FG003 transcript:KN541125.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSASAAAARGGNLVEEWSGRVKALEAGFRKWMAEQPTHIEAAVTTAVGAVQGAALGGLMGTLTADGGSPFPTPPPPPNANPQAMASFKQAQALAGGPLVQARNFAVMTGVNAGISCVMRRIRGGEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGAAFAIFQGGFFMIGQKFSKPQSEDLYYSRARSMLQKLGLEKYEKNFKKGLLTDQTLPLLTDRQVIALFVINTISEEGKIYIALRDVKIPPGPRLLILDQIKRDPEFGKAR >KN539832.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539832.1:40065:42485:-1 gene:KN539832.1_FG001 transcript:KN539832.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLTALHLSSCSLPSSSTQQWRRLLPRNLTNLKVLDLSMNHLDHRAELAWIWNITSLTDLSLMGTHLHGQIPDELDAMASLQVLDLSYNGNRATMPRSLRGLCNLRVLDLDSALDGGDIGELMQRLPQQCSSSNMLQELYLPNNGMTGILPDYSKLMHLTGLRVLDLSYNNLTGPIPRSMGNLSGLDILDLSFINLTGLIPAGEGCFAGLSTLVLSENFLTGQIPEEIGYLGSLTTLDLYGNHLSGHVPSEIGTIPESICESQDLFILDLANNLLVGELPRCDSMGTMRYLLLSNNSLSGEFPQFVQSCTSLGFLDLGWNSFSGTMPMWIGDLVQLQFLRLSYNMFSGNIPNILTKLKLLHHLNLAGNNISGTIPRGLSNLTAMTQIKGTIHSFPYQGYASVVGEPGNSLSVVTKGQELNYGVGILDMVSIDLSLNDLTGIIPEEIISLDALLNLNLSWNRLSGNIPEKIGTIRSLESLDLSRNMLFGEIPSSLSNLTYLSFLDLADNNLTGRIPSGSQLDTLYEEHPHMYGGNSGLCGPPLRENCSANDASKLDGQEIAEHGFDPMSFGFGHCLGFMFGLWVVFCVLLFKKSWRLCYFCLIDRIYDQIYVFLVLTCKRFGRG >KN546470.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546470.1:83:439:1 gene:KN546470.1_FG001 transcript:KN546470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLAGGNWWLQVQGKYVGYWPSSIFTYLQTGVADTVEWGGEVNSPRSTTPMGSGHFPKEGFGKATYSKTIQVVDSSNNLKSPNGVRLIAPLPNCYNVMTGSSSTTSWGTYIYYGGSGCP >KN539832.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539832.1:79119:79323:1 gene:KN539832.1_FG002 transcript:KN539832.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCVSVSPAEVAEAAAILDVAGDGDGEAKGQIVVVDDDSYSEPNPYEYRVFFEWLWDDYCKIDDV >KN539832.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539832.1:21643:23299:1 gene:KN539832.1_FG003 transcript:KN539832.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAYLDADENLEAIISRIEQKSRKIETLLKQVTHLCASRRGGGGGGRSKPVEALKTALEGTPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >KN539832.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539832.1:45888:49672:1 gene:KN539832.1_FG004 transcript:KN539832.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPAPAPALAPDAAAALQLQVVRLNLFKVCHDVEYFTRKAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLGSWYRFKDIPELPFINSAEMKMESGYGDMETSEKPVTVGPKALNDLFYRLLKYDNNATLGSQTLELQMKALYRACLMFGEGPRIRHVRRFVSKRFKVFMDLEFPSDLWKLVHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSHMRIMSFIEIQGQRIIYTPTDAAGPGGALLLVKAN >KN539832.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539832.1:62136:64955:-1 gene:KN539832.1_FG005 transcript:KN539832.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVILLVVKKIGVALGNEAINQATSYFQKYVTQLTELQGSMGRIRRELRLMHEFLSRMDIRNRNNKIYEIWVEDVRMLAHQIEDIVDDYLHLVSHKDKHDDTGWTTYLKKGFKRMKGPNALLSLNRIAPSVKEAEANLVHLFQAKERWVQIVADETSGESSCYIVEASRHLASISCSLSEEDLVGVDENRKRLREWLAGDELEREVIVLHGMGGLGKTTLAANVYRNEREKFECHAWVSISQTYSIKNILKCLITELFRNAKQNPPVNLGDMKAEGLQDELKAFLRDRKYLVILDDVWAPEAIGNLFGALVSNLRGSRVLVTTRIDEVTHLAFPNKRIRLEPLSQNDSWELFYKAAFPREKKLECPTEVTQLAYQIASKCKGVPLAIVSVGRLLFVRDKTEEEFRHIHNQLDWELINNPSMEHVRNILYLSYIYLPTQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRGGSTMEEVAEGYLKELVHMNMLQLVERNSFGRIKAFRMHDIVHELAVDLCRRECFGVSYSCENKRFEFLEENDERRMVIHRLDKDINQVISSECRLRSFIALDKAMPSSTLLPLLSEKCRYMSVLELSGLPIDNVPDAIGDLFNLRHLGLRDSYVKLLPNSIEKLSNLLTLDLCTSEIHELPRGIIKLKKLRHLFAEKANDRSGRQLRCRTGVCIPRGLENLRELQTLQALQAQDEPLSWLGELRQMRSIKIWDVKGSCCECLCASLRHMEFLSYLSIAASDENDILNLSSLNPLPPNLEKLRLRGRLAQANMLLGAAGGQNHLYSIHLSWSQLVDDPLPSLSRWSNLTDLLLNRAYIGDELVFHHGWFPALKELYIGDMPRLKRLEIQQGSMASLQQLYLVNLSSMMEVPLGIEFLMSTLKSLGFAEITRQFLAALRQCSRINCGIQWWYTLLGEDRTQEASI >KN539832.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539832.1:16624:16956:-1 gene:KN539832.1_FG006 transcript:KN539832.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRMAVAIMVQLLATAAAKSSEPALTGSSISAADDDLASKVGIRRLLQDGGDCIPNYNVCCSNCGWPFERTNCCDPDNYVCQYWPEMDPAHGQDWCIPRNYWSTSSVH >KN540530.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540530.1:9187:9609:1 gene:KN540530.1_FG001 transcript:KN540530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIVDGSTVRSFVDDEAAFNASVDGRFAALDADRDGVLSYADMSGELMALRVLDTHFGVDDGDDGHGGADGLAELYRGLFARFDRDGDGKVGLHEFRAEMKEVMLAVANGLGFLPVQMVVEDGSFLKVAVDRELAKAA >KN539832.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539832.1:52523:55982:-1 gene:KN539832.1_FG007 transcript:KN539832.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHGRFGGQRYSGDPPSDILANVKSVASAASVLATIVLVHELVHFVAATSSGIHVFQFFVAFGPALARFHLSPVEYALRAIPLGGSRSEISGFSVPSDREYFFGYDENILKFDPSFVLTGPIRAINCSQRIEFEVDLKVRSKARPGKRCSVEVTFAHLAGAVEAAFEVRVVQGSSGFRGRFVARTDGYDDDVVLADSSNDGSVLAVADDGVIKLARSVAVVESTGVLKIHAIIARNDSSSGDDGVGVAAEDHAEFAAQRFESSCRTLDLGFCKMLATVSWSMIPLI >KN539832.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539832.1:24267:26751:-1 gene:KN539832.1_FG008 transcript:KN539832.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIILVSYIQQHGPGNWRSVPENTVLVHHFIERWAAIASYLPQRTDNDIKNYWNTHLKKKP >KN539832.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539832.1:10845:15145:1 gene:KN539832.1_FG009 transcript:KN539832.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSGCPREAVAMMVRLIGRDERFGKEEHYEWFKDFSHFRHLLAPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFDRENFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYILKKGKRLLDSNGNQHTQPAAPSINMFHEELESEDYIFRTNVDEL >KN539832.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539832.1:73254:77300:1 gene:KN539832.1_FG010 transcript:KN539832.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLNQVGRHLQVTGARGPTFRTPGVRIFPCVEVYSCRVIDLTGGLEWPIDVFGFVAARDGLDRKRNYIFNRPRGDAQTLTTEDPSFILTGPIRAINCSQRIEFEIDLKVRGETQSDKDKVLSARYIVYETMGPNSIVGQVRSKARPGKRCSVEVTFAHLAGTVEAAIEVRVVQGSISGFRGRFVARTDGYDDDVVLVDSSKDGSVLAVADDGVIKLARSVAVVESTGVLNLHAIITRNDGSSSGDDGVGVAAEDHAVFAAQRSESSCRTLDLGFCKMLATVSWSMIPLI >KN540530.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540530.1:26684:27891:-1 gene:KN540530.1_FG002 transcript:KN540530.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLSASTTAPCRHQQQQHRLRLRLLLLPSAAPPRAGTRSSKSAAAAGVRGRVRVNSLFGDGGGGDDGFRAVRRLVKLNSAVQNRSVRELLELAGDECLYFFGRISSIDVSQVSKNMFLLLHAMMLRHHVSFVLKPTENEGFDLGVKWSLEWKGKKLPWDLDCNITTNHVYRGMLLIK >KN539832.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539832.1:33752:39504:1 gene:KN539832.1_FG011 transcript:KN539832.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMYTVKVGEATPAAGGKPSAGPVYRSIYAKDGLMKLPEDILSPWDFFSGAVKQYPKNKMLGQRKVSDGKAGDYVWLTYEEVYQKVIKIGSAIRSLGVKPGGHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIKSVLSVVKKCRAHIKAIVSFGDVTSQLKREAEQLGVSCFSWEEFSSMGKQNYELPKKQKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKVVAEDDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQGVTHIPLFYQDIRYLMEDVQVMKPTVFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYKLGNLSKGLKQHEASPFFDKIVFSKKHITQPYSWYAGLTESCSGCFTSIANVFSMIGTVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYVQSPLVTSVWVYGNSFESYLVAVVVPEKQAIEDWAAQNNKTGNFAELCKDPKARMYIQDELNKTGKILGLRGFEMLKAIHLETTPFSIEKDLVTPTFKLKRPQLLKYYKDCIDQLYKDAKVGNKQ >KN540530.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540530.1:23148:25451:1 gene:KN540530.1_FG003 transcript:KN540530.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAKMLKILAEDSNRDSKKLKPVDSEPISPAETDVNQLPIILSDALASFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPTMILCDSKLKQLFGCESLTAMNRLTGL >KN540530.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540530.1:10348:12043:-1 gene:KN540530.1_FG004 transcript:KN540530.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) UniProtKB/Swiss-Prot;Acc:Q56YA5] MADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDKIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >AMDW01136938.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01136938.1:759:1109:-1 gene:AMDW01136938.1_FG001 transcript:AMDW01136938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNCKAVVAVVLVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSK >AMDW01032695.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032695.1:58:402:1 gene:AMDW01032695.1_FG001 transcript:AMDW01032695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDIIRRCQKLGIGSGGFYTPGYRDGGKLSLQMMCLGKNWDPNSRSYGDTRPFDGAQPPSIPEVFSKIVKDAIQASNEFLRQKARPSNDVEELPPLSPDICLVNFYTSSGKLGLHQ >AMDW01036882.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036882.1:28:567:-1 gene:AMDW01036882.1_FG001 transcript:AMDW01036882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKTISLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPVVNPDSASGDGNRPVQSNSEVEHGITNLAAHEDRTVLNTSSDSDDIIDASGASDAAERKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLR >AMDW01036234.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036234.1:253:483:1 gene:AMDW01036234.1_FG001 transcript:AMDW01036234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGVALGHELTDKMPPNEYFEYFGPDYTLHVAPSNMENKNTRQQLDDIRSRLLDNLSKLRHAPSVQFQERPPEAELPE >AMDW01040811.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040811.1:43:1536:1 gene:AMDW01040811.1_FG001 transcript:AMDW01040811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQGLKPNEHTMTTILTACPRVLGQQIHGYLIKKIGLRSQSVYSSTALIDFYSRNGEFKLAKAVFDSLHCKNVVSWCSMMQLYIRDGRLEEALQVFVDMISEGVDPNEFALSIVLGACGSIGLGRQLHCSAIKHDLITDIRVSNALLSMYGRTGLVEELEAMLNKIENPDLVSWTTAISANFQNGFGEKAIALLCQMHSEGFTPNDYAFSSVLSSCADVASLDQGMQFHCLALKLGCDSEICTGNALINMYSKCGQMGSARLAFDVMHTHDVTSWNSLIHGYAQHGDANKALEVFSKMRSNGVKPDDSTFLGVLMGCNHSGMVEEGELFFRLMIDQYSFTPAPSHYACMIDMLGRNGRFDEALRMINDMPFEPDALIWKTLLASCKLHRNLDIGKLAADRLMELSDRDSASYVLMSNIYAMHGEWEDARKVRRRMDETGVKKDAGCSWIEINNEVHTFASRDMSHPNSDSIYQMLGELVAVMQDFDELEPFDVHIC >AMDW01040811.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040811.1:1858:2382:1 gene:AMDW01040811.1_FG002 transcript:AMDW01040811.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRKSKRTADHNLTRSSKNEGEISSTKSLISGDLNSGSNQDVWAILSVEVASNLSKSVVSLTLSDGNTLIYACSGIAIECQEGSGTIFLTSASLVTAFYDTEEVYDNLK >KN541016.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541016.1:11:451:-1 gene:KN541016.1_FG001 transcript:KN541016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLMSKDLGSYVKEVNSGKRRAPLPLVVVVDTMLQIARGMAHLHSSKMYHGNLNPSNVLVKPRHGDAYLHVKVAGFGSGSGTANAANPCIWCAPEVVGNEVAATEKGDVYSFGMICFELITGKIPFEDNHLQGENMSKNIRAGERP >KN541016.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541016.1:26479:26787:-1 gene:KN541016.1_FG002 transcript:KN541016.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLSGIATVTVTGVLVTTMYHAFVRRDLFPNDVSIAITRRKPKFSKILAHLRSSGTDVKELVFSVSSKNAADDSASVSKASNCSSGDQSPVPHAGVGRGR >KN541016.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541016.1:16808:19050:-1 gene:KN541016.1_FG003 transcript:KN541016.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIFTDRAGLRQQQIGGTTFKHHKHYILPSKTLSWHLSSENSISTTGAQKYTFTLGDTISSKPASNGSMYLLGKDIPASPMHKLLQQNGNTSFDAKKNVGSKDQPGFMHMSTFNALDKPRGREIQKVPVSTKSMLATLFIKHKSAKTRKASVR >AMDW01036750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036750.1:72:470:1 gene:AMDW01036750.1_FG001 transcript:AMDW01036750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVGYNGAPVHVAKLNEATMEWDKLETLEGRALFTGTYTTMMRKTRFKSMQNKVFLPRLYEWPETVHVDLIVRDGEAAFVPKSYSPSSIKKIISSMNIWSYEIRRQEEEAREFWGLERVDYSIWVDFSTS >KN541016.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541016.1:13003:15873:1 gene:KN541016.1_FG004 transcript:KN541016.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHPSLRSWMQANYNFHRVNLTIVLNSDGRINYQEFVAMMKNNSPEIVPNRRRMF >AMDW01070205.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070205.1:333:398:-1 gene:AMDW01070205.1_FG001 transcript:AMDW01070205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGSGDEWSETAMLVIDMQ >AMDW01091060.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01091060.1:729:1016:1 gene:AMDW01091060.1_FG001 transcript:AMDW01091060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KYDRFGLPILADGLPQKPVDPFDYGGGFIDLNRAVDPGLAYDVDPKDYIPFHDCFLAGNSSCESESRKSEQSINCDPKSKGTNNCAKNSDKCRPS >KN538802.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538802.1:109422:109994:-1 gene:KN538802.1_FG021 transcript:KN538802.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDVVELDCPENMDNGKTHAYFSSVPRLGGRHGGAGAAYDFVMKADDDTFFRLPELAESLSRAPRRDLYYGCMVPCDYVRGSNEYMSGMGGKNRVDVKPAMYNFPGRHPCSHEFIPDTIAVHQLKDNRRWARTLQYFNFTAALKPFYPVI >KN538821.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538821.1:63325:65040:-1 gene:KN538821.1_FG023 transcript:KN538821.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding METQPTADHDGGVTAGAGYPRCVILSRYGDDSSPPLSTPDAKTEATSVSSGGHLVRVSLFLDAPPASSRVCFDCFPRIHRGASLIVVATHGDSVLVRLSYGGRGYGDVGVLDYFVYNAGAVAAGPPRPPSLSLLPAYVTKAADDEECEEWQAYEEESETWPRHHRQIHHHLDEKTTGLLRRGDDDMVVVDLAVLEGHGLEEEGDAELLVLRSGEWSVTRAPVAHFDGRADKPPSWITDMAVPVGERRMCWADLYRGIILCDVDDVFDQNPQLRFVPLPPEALTDETDDGYKRDYAITDRSVCATDGGAALKFIYITSRCCCGLPGTTFCDNSKDAFIIKTWILRMDDDDDDDMVWTMDAMVDATELWSLHAYAGLPQAKPQFPVASMDDPHLICFMVQEREFEGRRRYCHIKRTWMVMFDTRSKKLLSVCSCHDSWLTLPSKISSYVTSDDPSYCSNAATSSEPNVVDHDTVIDDSVRSSSEPSAGGKHLIRVSGVASPDEIFAALEEIPDLSRDDLLRAYSLLCSDNGGRRFKSLLGLPMTLRKPWLLMEIKASEARSVWCACRAELQNV >KN538821.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538821.1:112529:112822:1 gene:KN538821.1_FG024 transcript:KN538821.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRRRLSLMLMLVLAGAAAAAGVERGEHAEVVVAAVVVVRHGDIHRRGKAAARHELEWRLHRVAGGAGAGGECPCRLHPEGGGGSGGVRPHGPHC >KN538821.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538821.1:49024:50806:-1 gene:KN538821.1_FG025 transcript:KN538821.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHKGHDVSSLRKHSAAGYTGDPKTAASACTSFGSPCVRVSFCLEAPPAASRMFFDLEQKDVDASMFVAAAHGDSVLIKMEYYDEHNADDALDYFVYNAGDAARPPSLTLLPHNYVDATEQDEPNPDMDVRATGILRRGDDELVVAELITKGSDDDMLPPNEAKLLLLRSGEWSLKRAPIIHGDDGGGKGAELSAWETDMVVPVGDRRLCWVDLHRGVMLCDPFDESPRLHRGTTTCDHSLNAFIITTWTLMIDGDGDTSSWTMDAMIDATELWSLDAYAKARLPRVLPEFPVAGMDDSPHHVTFVVRHPRRHEDDSDITSLIKLDTRRKTLLSLCRYYERQPGRPSCSRTFYHPSSISDHLKLLPSSSNGLRMRMDFEDLDRRSSSSDEPSAKRLKMSSSEVCC >KN538802.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538802.1:94721:96093:-1 gene:KN538802.1_FG023 transcript:KN538802.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVETSPVMWHETAQNYPVNLSSKAVVRPELRLLVGVLTTPKRYERRNIVRLAYALQPAVAPGVAQVDVRFVFCRVADPVDAQLVALEAARHGDILVLNCTENMNDGKTHEYLSSVPRAFASSPYDYVMKTDDDTYLRVAALVGELRHKPRDDVYLGYGFAVGDDPMQFMHGMGYVVSWDVATWVSTNEDILRYNDTHGPEDLLVGKWLNIGRRGKNRYSLRPRMYDLNWDMDNFRPDTVLVHMLKDNRRWAAAFRYFNVTAGLQPSNLYHFP >KN538802.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538802.1:111322:111633:1 gene:KN538802.1_FG024 transcript:KN538802.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN538821.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538821.1:10162:13321:1 gene:KN538821.1_FG027 transcript:KN538821.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMPIGLLLLVLIAWSSEAVICNSLNESEIDRRSLLEFKKGISMDPQKALMSWNDSTLLCNWEGVLCRVKTPRRVTSLNLTNRGLVGKISPSLGNLTFLKFLLLPTNSLTGEIPSSFGYLHRLQFLYLSNNTLQGMIPDLTNCSNLKAIWLDSNDLVGQIPNILPPHLQQLQLYNNNLTGTIPSYLANITSLKELIFVSNQIEGNIPNEFAKLQNLKVLYAGANKLEGKFPQAILNISTPTGLSLAYNNLSGELPSNLFTYLPNLQDLGLAANLFQGHIPNSLANASKLYMLDIALNYFTGIIPTSIGKLTELSWLNLEHNRLQARSKQDWEFMTSLANCSELNIFSMKDNLLEGHVPSSLGNLSVQLQHLLLGTNKLSGDFPSGIANLPSLTMLGLEDNKFTGIVPEWLGSLQNLQGIELANNFFTGVIPSSLANISMLEELFLESNQLYGYIPSSLGKLNVLSVLSISNNSLHGSIPEEIFRIPTIRKISLSFNNLDAPLHDDIGKAKQLTYLQLSSNNITGYIPSTLGNCESLEDIELDHNVFSGSIPTTLGNIKTLKVLKLSNNNLAGSIPASLGNLQLLEQLDLSFNNLKGEVPTKGIFKNATAMRVDGNEGLCGGSLELHLLTCSNKPLDSVKHKQSILLKVVLPMTIMVSLVAAISIMWFLKVFNLETRGAGKSFIAECNALKNVRHRNLVTILTACSSIDSAGNDFKALVYEFMPQGDLHNLLYSTRDGDGSSNLRNVSLAQRLSIAVDVSDALAHLHHNHQGTIVHSDIKPSNILLNDDMTAHVGDFGLARFKSDSAASSFVNSNSTSSIAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEKMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRLVPSERMSMQEVASKLHGIRDEYLRGD >KN538802.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538802.1:120055:120705:1 gene:KN538802.1_FG025 transcript:KN538802.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRQRSIFHLGEEGGGAADAEHLGADHGDGHGDHGIISIHQQRLRVVGLQIVLAQTRHHHRQQHGHVVLKQMQMVSPPPAARHRRRRRRPCGDFLSACSLCRRELGPDKDVYMYRGDQGFCSEECRWQQIMTDEARERDAMAKKERRGLHHRTPRPAAAAIRGGSPRRLLAVA >KN538821.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538821.1:89034:90693:-1 gene:KN538821.1_FG028 transcript:KN538821.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MTYATAELEADDSEHSNSNSGGAKITSAASRSSSGHLVRVSLRLEAPPAASGLSLHCSPCGGSGYGAHNYRPSMYVVAAHGDSVLLEMHYQKEGYQQYGIDYFVYNAGAGDDDPPRRAAPEYRRSDTLEGAELVVLRSGEWSATPIAPIVHDDGKGEELSYWETDMAVPVGDRRLCYVDLYRGVILCDDLFDEAPARPRYVSLPVEAPAGALGKDTTCDRSSRAFVIRTWTLRIDGGDGDDMAWTMDAMIDATELWSLDAYAAVPRSFPLHPIVSMDDSNLVCLIVDQERKRGTHYVAEEVVWKITMDTRSKALVSVWRGDGRCHWRQPYGYTCIPVKIPNCFATYVSYSNAATMLPAIVDKIPIATGTGTNFSQSLPSDSSPQSLYVLMASPGEILAALEEIPGLDCDDLLTAYSILCRDNGRLFKALMGLPMSMRKKLLLIEIKAYLNCHVCSTCTT >KN538802.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538802.1:15283:16050:-1 gene:KN538802.1_FG026 transcript:KN538802.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHPSKKAFQHTVVAETSHLWDFWSRFSPTIAKVLVPRRRTKGWQVRSSPIGWETWTTPGCEQNKIGPWPPGRHLGSQTSCAIGSQSTIPTHVVAHFDVYIKLHLSMGSESLQFVDDNALCYGPMDTYGQSKIPSHWTQQNQFTALRAKPFISPRLFTQPITDVESQKKLAMHSATIAGFHILLELVRCVHAIDVCRVSGELPQDCEGENSQRARAGCVTAFVHVASFHHDHHFRFFSEEEIYDMLDRGGRRNT >KN538821.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538821.1:3958:7118:1 gene:KN538821.1_FG030 transcript:KN538821.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLMSWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALPKNALSGEIPPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNNLTGKFPADWPPKLQQLQLSINNLTGAIPASLANISSLNVLSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLISLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNRLQGHVHSSLGNLSDQLQELHLAESKLSGDFPSGIANLQNLIIVALGANQFTGVLPEWLGTIKTLQKVSLGSNFFTGAIPSSFSNLSQLGELYLDSNQLVGQLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIVQINLSFNNLDAPLHNDIGKAKQLTYLQLSSNNISGYIPSTLGDCESLEDIELDHNVFSGSIPASLENIKTLKVLNLSYNNLSGSIPASLGNLQLVEQLDLSFNNLKGEVPTKGIFKNTTAIRVGGNPGLCGGSLELHLLTCSSTPLNSVKHKQFIFLKVVLPIAIMTSLVIAISIMWFWNRKQNRQSISSPSFGRKFPKVSYSDLVRATEGFSASNLIGRGRYGSVYQGKLFPERNLVAVKVFNLETRGAGKSFIAECNALKNVRHRNLITILTACSSIDSSGNDFKALVYEFMPRGDLHNLLYSTRDGDGSSNLYYVSLAQRLNIVVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAGGGRVSTASDIYSFGIVLLEIFIRRKPTDDMFKDGLSISKYTEINFPDKMLQIVDPQLLRELDICQETSMNVEKNEVCCLLSVLNIGLRCTKLVPGERMSMEEVASKLHGIRDEYLRGY >KN538802.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538802.1:115126:117048:-1 gene:KN538802.1_FG028 transcript:KN538802.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFGDFGPLTERRRAEKARQQRRRIMIALGTVSIIIILIVMGAAAITYSGKKSEEDEGGSKGSSKGKSKGGGGRDDEDGGGGGGKADLRAVSKSIKMMCAQTDFADSCATSIGKAANASVSSPKDIIRTAVDVIGGAVDQAFDRADLIMSNDPRVKAAVADCKELFDDAKDDLNCTLKGIDGKDGLKQGFQLRVWLSAVIANMETCIDGFPDGEFRDKVKESFNNGREFTSNALALIEKASSFLSALKGSQRRLLAGEEDDGGGAADPHLALAEDGIPEWVPDGDRRVLKGGGFKNNLTPNVIVAKDGSGKFKTINEALAAMPTTYNGRYVIYVKEGVYAEYVTITKKMASVTMYGDGSRKSIVTGSKNFADGLTTFKTATFGIYQISELLPPLTRNVIDGDFFAAAQGDGFMAIGMGFQNTAGAAKHQAVALLVQSDKSVFLNCWMDGFQDTLYAHSKAQFYRNCVITGTIDFVFGDAAAVFQNCVLTLRRPMDNQQNIATAQGRADGREATGFVLQKCEFNAEPALTDAKLPPIRNYLGRPWREFSRTVIMESDIPAIIDKAGYMPWNGEFALKTLYYAEYANKGPGADTAGRVAWPGYKKVISKADATKFTVDNFLHAKPWIDPTGTPVKYDFFT >KN538821.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538821.1:91944:95468:-1 gene:KN538821.1_FG031 transcript:KN538821.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSIASEAEINLDLSRLLIDKPRLTLERKRSFDEQSWSELSHRQNDGFDSIMHSPAFPSGFDSPFSLGTLGDPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFFPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNRHRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTADTSLAESPECQNCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSVAIMDLIEERWEELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >KN538802.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538802.1:98858:99190:-1 gene:KN538802.1_FG029 transcript:KN538802.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPMPFMHGMGYVVSWDVATWVSTAEEILARNDTEGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLSWDMDNFRPDTVAVHMLKDNRRWAAAFSYFNVTAGINLHHLSP >KN538821.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538821.1:76863:78596:-1 gene:KN538821.1_FG032 transcript:KN538821.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding METQSTATPSPGASSATGGGGAHYPRWVMLEQRAVHDDKEDDDGEGDFTGDDSRCSAADVKTNTEAACRSSDGHLVRVYFRRLAAPPAASRVCFRCSPPCGTGTGRERARGDKPFLCVVAAAGDSLLLQMTYNGQLDRFVYSAADPPTLTLLPTHARRQHWLDVKTTGLLRRRRRDGELVVAELTVKKGDTDDTPEDAELVVLRSGEWTVTRAPIIHDDGKAEEVSRWRTDMVVPVGDTHLCWVDLCRGVILLSVSDLFDESRPRRLKYTSLPVEAPAKKFDDDEGGEYAINPRGYPERNRSVCVTGGGAALKFIDVSPRCCCGSPGATTLCHNSSGAFVIKTWTLMMNDDDDDTTSTTWAMDAMVDAAELWSLDAYAAMPRLRPVYPIVSMDNPHIICFMVCKEHWESCFHCERTIWKIIFDMKSKKLLSIRYYDESQCQTWGVHYGDDYLPSMISDYFNSNGIYTSHNATTRINDLIVTNYLPQSSHKGLKVVSSEVQVSDEEIFAALEEIPDLGCDDLLKAYSILSHDIGQHRFRSLLGLPMSLRKKWLLIEIKSREASSICSACAANMQHLHA >KN538802.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538802.1:7029:14436:1 gene:KN538802.1_FG030 transcript:KN538802.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLEACVRCGDVGLALTLFDEMRGPGGCGVDGVSYGILLKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEAKRDNNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGSINGALCIFGEIIKQAGSNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKEEADELLMEAALNNNQIDMARGLLRRIVNEKECFSWTSRVGMVAVKVETLSGFTNSLLRPHVFPQIVLNDPVEKYMIPFQETQPLHADLILEEVVMRFFKDPVVPIVDDWGSCVGIVHRQDCTKVRYLL >KN538821.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538821.1:85092:86799:-1 gene:KN538821.1_FG033 transcript:KN538821.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHYAELEVEGDGEDSTSNSGGAKITEAASRSSYGHLVRVSLRLEAPPAASQLSFHCSPCSKHRVHGPSINVVAAHGHSVLVEMHYEKGENDEHFDYFAPARPRYVSLPVEAPAGAFDEEHDRRGGNRRHCLLDTRTVCAIDGGATLKFVDIFPRCCCGRRGATQCDHSGGAFVIHTWTMRMDNDGDMSSWTMDAMIDATELWSLDAYAGLPHTNPQLPIVSIHDPNLIYLSVRGERKRRKHRVDDDDDETMWKIMMDTRSKALVSVLRDDDKSSWLLPYGCTYIPIKIPDHFTTYVGSCSNVTAILPPAIVDKLPITTEISSNLSQFLALESSPKNLQVSRMASPEEILVALEEIPGLDCDDLLKAYGIICRDNGCLFRSLLGLPMSMRKKWLLIEIKAYQDCPVCYACTTDHLQHD >KN538821.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538821.1:164679:165417:1 gene:KN538821.1_FG035 transcript:KN538821.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGLGMWWVIFPVIAGGVLHSLVVALFVYWLTGLAMAGVLGYTLAVYDHYKELMCIIRSQPRTAADASGLL >KN538802.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538802.1:104436:104765:-1 gene:KN538802.1_FG033 transcript:KN538802.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFMHGMGYVVSWDVARWVSANEDIVRRGGTRGHEDRLVGRWLNAGGRGRNRYNLKPRMYDINWDMDEFRPNTIAVHRLKNNRRWAAVFRHFNVTAGIKPSTEPRPHN >KN538821.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538821.1:46815:47582:-1 gene:KN538821.1_FG038 transcript:KN538821.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVGDRLLCYVDLHHGVIIFSDVFGDRDPGNPRLRYVPFPVDPPIKSLQADEDSRGCPNVSRTVGAVVTGDGGGGAALKFVDISPRCCCGSLGKYTTCDRSNQAFAIRAWTLRIHHHRDMAWEMDAMIDATELWSLDAYAGLPLARPEYPAVSMDDDPHLICVAVTGVRQEGGRTYADGDSSLIMVDTRRKTIRSVPPFVQWPRHMSKTLLSSFSSYFNPNQRSNNGGGRGASPTKTHRHHRATNKRRHYYHK >KN538821.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538821.1:14170:19048:-1 gene:KN538821.1_FG040 transcript:KN538821.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCTLLVHFDKGSPSMANEIKADLEGSDVAAKVDAMKRAIMLLLNGETLPHLFITVVRYVLPSEDHTIQKLLLLYLEIVDKRDAASGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEPELLEPLVPSILANLDHRHHFIRRHALSAISAIYRLPHGDQLLPDAPEVVERALAGEQDASARRNAFLMLCACAQERAVAYLLSNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSAPNSAVVYESAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIVLDRLHELRASHRDVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVMYLKKEVVKTQAWDLEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGESTDVSKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAISSPAVAPGSLSSTQNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKAEANKASTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFTKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGEFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKLVNTKIVIHYLCYEEVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >KN538821.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538821.1:57090:58226:-1 gene:KN538821.1_FG041 transcript:KN538821.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDLAVMEGDELEEAELLVLRSGEWTVTRAPVVHFDGRAEKLPSWITDMAVPVGERRMCWADLYRGIILCDVDDVFDQNPQLRFVPLPPEALTDESNDDDDDYDDHTRKYVIAERSVCVTGGGAALKFIDVIPRCCCGRPGPTYCGHSTGAFIIKTWILRVDDGSGDMAWTMDAMVDATELWSSHAYAGLPRAIPEHPIVSIDDPHLICFMVDERESEGRYCRIKETWMILFDTRSKTLLSATSCSHGRNFLPSKVSSYFTSSNGSCSNGAMSEPAVIIGDSAQSSCEASGAKHFRLSSGSVASPDEIFAALEEIPELSRHDLLRAYSMLCHDNGRRFKSLLGLPMSLRKTWLLMEIQTCEDCAVCCGCMTDLQNA >KN538821.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538821.1:70831:75828:-1 gene:KN538821.1_FG042 transcript:KN538821.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPTAATLPNNSGGPSPAGGGGYPQWVILAEHVPLEDEDEGGDEDDPNSCFTADAASKVTDTKTEAASRSSAGNHVGVSFLLEAPPAVSRLRFRCVPSGSRGGDRRFPSMRVVAVHRDSLLLRMQYRKGRAYDDDIGLDYFLYNAGAAVDQPRPPSLSLLPTYWETLQKEEDDEEEDKEDDGGEETYRGRGYRRWAAPKRWVRDLGVKTTGILHRCGDGDDDDDGDLVVVAELIAKEEDGGTPEELLVLRSDGEWTLTRPPVVHDDGKAEEVSGWKSDLVVPIGDTMLCWVDLYRGIIVCDLFDEIPQLRYVSLPVDAPADKFDNGRGDYSINPRMCPRQKRSFWVSDDGGELRFLDVSPRCCCGDLGAATTCDNARNAFVISSWTLRMSEKRWVMDAMVDATELWSLDAYTGHGLPRVRPEYPLMTMDDPRLVFFVVQEEYQPEISFADRGKWRVMLDMRSKTILSVSQYDESDTSWQPYSWLTYFPSKISSYFTSNGACSNVAKMPLIVTDKPAMSKGVVASPEEILAALEEVPKLDGDDMLRAYSILCDDIGRHRFRSLLGLPAEADLVVADLIVKDGGEADAPKKEAELLVLRSGEWSVVRAPIIHDDGEAEELSFWETDMVVPVGDRRLCWVDLCRGIILCDMFDDDGDDTLRPRYVSLPIEAPADDQDRRRCQMSKRRVCVTNGGTVLKLVDVSPRCCCGRRGATQCDHSSGVFVINTWTLHMDGDDDDMAAYWTMDAMVDATELWSLDAYAGVPRINPQFPIISMDDPDIICFQVPEEHKIGRKMQTWYIMLDTSSKTLMSVCRLDESSSLQLSYGYAYFVSTVSHCFNSSDDGGFNNDVTKPALMIIDDKVATKNNIIANDSLQSSCESSAKNSKVNSPKEILALLQEIPELSRDDLLRAYSLLCYDNNGRRLSSLLGLPMSLRKPWLLMEIKASEACSDIL >KN538821.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538821.1:84280:84476:-1 gene:KN538821.1_FG043 transcript:KN538821.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAVERTLNLEDIDSRNLRRRRRQLLFIRVMGDGSPSCRSC >KN538821.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538821.1:80307:81302:-1 gene:KN538821.1_FG044 transcript:KN538821.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVGDRRLCWVDLYRGVILCGDDLFDEIPPRLQYVPLPVEAPAGEFEEDSDDESTRRCLMASRIVCAAVGGATLKFIDVFPRCCCGDPGATLCDHSRNAFVINIWTLRIDGDDGDMSPWTMDAMIDATELWSLDAYAAGIPRVIPEYPVISTVDADIICFLVTEPYNHKQGKPYFERTTWEMTMDTKNKTLLSVCTYDDDISQREPSYGHTYIPNNFATKPPPAIICEATTTITTEINGNNLSQSLPSAKHLQVSRMASPEEILVALEEIPELACDDLLKAYSILCRDNGRLFRLLLGLPMSLRKKWLLIEIKACRDCPVCSACTTDGL >KN538821.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538821.1:102643:108227:1 gene:KN538821.1_FG045 transcript:KN538821.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDASGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEARYALFLQSCFIGLGEQMPFIDDACLDEVSKLLNLIDQTKNELLNRAHLKSIEASPWRQGRGRVVMESLDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWTTVQLVGGNHFLHNIEERSDEEIALLESYASVKTNIVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSIPQKCLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDVGSFNMILYYHVAPFIPQIVVSHITERCIQQSGKALQAIISEWIVKFDNKELDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >KN538821.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538821.1:53185:55006:-1 gene:KN538821.1_FG046 transcript:KN538821.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding METQATPATLPRVGCYDPRWALVERDVRRKHKKGSDDQGDRDDSSSNPCSAAGGSCAETEASCRTSAGHVVRLSLCREAPPASSRMWYTSSPSHDEGGGPLVCVVAAHRDSVLLQMDYKNEAHRREYGLDYFVYSAGDAAAGDPPSFSLLPIHWVHYGLRERWPNQLDDARTGLLRHRRRRGDNDFVVSQLTVAEGGDGLDAELLVFRSGKWRVTPAKIIHDDGKADELFHWKTDMVVPVGDRRLCWVDLYRGIILCDMFDDDEPLRPRGGGRGRNPRLRLMKDRTVCATDDDGCGGGDTLKFVDIFPRCCCGGPGVTTCGHSSSAFVINTWTLRTSDMTWTMDAIVDATELWSLSLHADAGIPPHTRPYYPVVSTRDSHIICFLVYDHGYRVKEKFWKIMLDTTSKTLPSVFAYKNQSSPCLRCIPSEISGYLLTSCSSDETKPAAIVVDVLPAAAATTTTTISKKSNELSTKSVKASETEIASPEESLAALEEVPDLGCDDLLEAYSLLINDGSGRRFRSLLVLPMGIRKKWLMIEVKNSQACSICSACTTQPTTWMGAEAELQGSDVLSKHLSRVL >KN538821.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538821.1:27410:27878:-1 gene:KN538821.1_FG047 transcript:KN538821.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEVTTPAVGLEPYNTAATPLCIRLNQENKLLKKMAAETTSMIEENERLWEIGVADVPAMREENTRLLNMAVDTTEITRKVIDYMKFQRPMQQPCMRKTSYITQDGNSHYARKVLDFDALHIVYF >KN538821.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538821.1:60387:60881:1 gene:KN538821.1_FG049 transcript:KN538821.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLCSSSVTSSCQSVWSYVDQEHRQRMPASSSHGSRAILSANAMHTVNSCRWRSCCSISLETLATGDVICPVPPYHWDVGYPTLLAAAPASRRRQHPLTITDDAIFLTIIVKRPEENAGAPWLGARTSSPSLAMIHSLQPGPRSSCRPSTALSLQPVGIILIP >KN538821.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538821.1:99662:99868:1 gene:KN538821.1_FG050 transcript:KN538821.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEADETIVAARGMRAKSRRCSKDLTLEDAESAQGCDDGGVKAPAMASEEHEADNVGEGRRRVGVRQ >KN538821.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538821.1:43602:44840:-1 gene:KN538821.1_FG051 transcript:KN538821.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKATGILRRRDRDDGDDLVVAELTVKPTGDSCMLNEADLLVFRSGEWRSIRAMPIGHASSSSPSTRWPWKTDMVVPVGDRLLCWVDLYHGFIFSDVFDDNPRLQYVPLPEPPAMDTEGEPRCDSDSDDDDDDDRRRPPCPTLSRTVGATDGGAALKFVDVSPRCCCGSRAATFCHTSRLAFVIRTWTLKIGHDGDSSNMAWNMDAMVDATELWALDAYAGLPRVHVEHPLVSMDDPHLIRFVVCEEFQRRNFGHKKSRTWMIMVDTRRKTMTSVTTRLGFGRQPYPGSTYLPSGFSDYFNSYRGGSNGGGASSSTSEIAIAEPRTNGIAVNSPLRISCSSGSSSSTDLKVASPEEIFMTLERIPEMARDDLLKAYTILNQDNGRWFRSLLGLPMSLRKDWLLMMIKIQEW >KN542216.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542216.1:10911:11126:1 gene:KN542216.1_FG001 transcript:KN542216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAEVDTDGGAGGCDRGTAAGRKRMDMAAEMGRCCGAMAMVAEADGDVDDGGYGGAKGTEMYLRAMEMK >KN542216.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542216.1:12593:15739:-1 gene:KN542216.1_FG002 transcript:KN542216.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHSSYKTHINRLPIPVLSLALVLLLNFTSPTSSCTEQEKNSLLNFLTGLSKDGGLSMSWKDGVDCCEWEGITCRPDRTVTDVSLPSRSLEGHISPSLGNLTGLLHLNLSYNLLSGVLPQELLSSSSLIVVDISFNRLKGLDKLPSSTPTRPLQVLNISSNSLAGQFPSFIWKVMKNMIALNVSNNSFTGNIPTNFCTNSPSLTVLELSYNQFSGSIPPGLGSCSRLRVLKAGHNNLSGTLPDELFNATSLECLSFTNNGFQGTLEWANVVKLSKLATLDLGENNFRGNISESIGQLNRLDELHLNNNKMFGSIPSNLSNCTSLKAIDLNNNNFSGELINVNFSNLPNLKTLDLRGNNFSGKIPETIYSCSNLTALGLASNKFQGQLSKGLGNLKSLSFISLGYNNLTNIANALQILRSSRNLTTLLIGNNFLNERIPDDDSIDGFENLQVLDLSGCSFSGKIPRWLSKLSRLEMLFLVSNQLTGPIPDWISNLNFLFYLDVSNNNLTGEIPMALLQMPMLRSDRAAAQLDTRAFELPVYMAATLLQYHKASAFPKVLNLGNNEFTGLIPREIGQLKALLLLNLSFNKLYGDIPQSICNLRDLLMLDLSSNNLTGTMPAALNNLTFLIEFNISYNDLEGPIPTGGQFSTFTNSSFYGNPKLCGPMLTHHCSSFDRHLVSKKQQNKKVILAIVFGVLLGAIVILSLSGYLLLSIRGMSFMTKNRCNHDYIEALSLNTDSDHLLLVGTLGYIPPEYAQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMVSKGKQIEVLDLTFQGTGCEEQMLKVLEIACKCVKGDPLRRPTMIEVVASLDSIDPDGLT >AMDW01036274.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036274.1:278:616:1 gene:AMDW01036274.1_FG001 transcript:AMDW01036274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLVAGLKYLVRANFMYGNYDGLRRPPVFDLYAGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISGLDIRPLKNSLYPQANATQGLVMVSRVNFGPTDTFI >AMDW01039343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039343.1:268:801:-1 gene:AMDW01039343.1_FG001 transcript:AMDW01039343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFSTQEQIPLQGYTCISIVGIKDPVRPGVRQSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKSAEELHDLIPKMQVLARSSPLDKYTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGTAGTE >KN541738.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541738.1:14:229:1 gene:KN541738.1_FG001 transcript:KN541738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRAVTVLQRKRVELHGAGGGDYGGEAGSGVDGGVSRRRCRGYQIRSWVLETHTEPRGLGAEEVAGVDP >KN541738.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541738.1:19908:21587:1 gene:KN541738.1_FG002 transcript:KN541738.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLSMATPSSSSSSRKSARKLPTDEARRALEQRTRWRRHDARRLRDSSLWNWTYDKAVLLLARAVCAIYDRIRLVFGDPMRGLDLLAIGRSSRQCDQSRQLSGPVSANNSGHVRTNFGDTKSGPIARIDVDTPRSVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLLPFSGEQGVSTMATKSGKVGRRARFGPKSTVTSLAPPSTIGGSALALHYANIVIIIEKLLRYPHLVGEEARDDLYQMLPSSLRAALRKSLKTYVKSMAIYDAFLAHDWRETLEKTLTWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCMEWQLQ >KN540358.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540358.1:4082:6595:1 gene:KN540358.1_FG001 transcript:KN540358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGDCSPVSGGGLRGKIQEEEEEEKDDKFFMARENGKFSYGYASAPGKRASMEDFYETRIDGVDGETIGLFGVFDGHGGARAAEYVKQHLFSNLIKHPKFISDIKSAIAETYNHTDSEFLKAESSHTRDAGSTASTAILVGDRLLVANVGDSRAVVCRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVSNKEAVDMVRSIQDPEQAAKRLLQEAYQRGSADNITVVIVRFLEGITTGGGPSREAASDQNS >KN540358.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540358.1:40895:44971:1 gene:KN540358.1_FG002 transcript:KN540358.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKMTDLKVALSYADKHSRETDDNALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWKNSQFTVKFFFSSINPLIVRITMSSKMRNIRMKLDKIAEDQKKFPSMQLANPTGQDSTDKWRENFIGHTDEIEMVGRAREKKEILIKVLQNDGGQEISIIPVVGLGGMGFIQDTDGQPLQKVAMEYVTELLRMSFLTIFTSPTVLAARMIFKPTLRLHMHDMVHELARLVAGDEFSYMNGTENRNTKRDKLNCHYHLLSNQNKTSSAYKSLAAKVRALHFRGCDKMHLPKQSFSHTFCLRVLDLGGCQVSELPSSVYKLKLLRYLDASSLCISNLPKSLNRLLNLQTLILSNSPLKTLPTNIGCLQKLQYFDLSGCANLNVLPTSFGDLSDLLFLNLASCHELEALPMSFGDLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCHNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLMMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLQDLPDSIFNMSTLKNVEGTLAFIVSSKVEKLRENLKLEGCCKLDGGSADLCSRITELKKTHCHELEIQGLEYFKHLEGIEHAILLNSLKLTKFIFSWQPKQYTNETAHDKTVLGMLVPPRSLHHLTIKGYCGIEFPKWMLEIRSYLPHLTTIFLGGLMECNHLPPLGCLPNLRVLLMVEMPKIKSVGPEFYGDYGSCQKLRMIFLVSMDNLSMTWHVENSNHVLPEHGFGNLTSATSPLILSIKRAPNSPEMWRRAQHLSSIETLVLVSIAGLRALPEAIQCFTSLRGLRIKGCGELETLPEWLGDYFTCLEDIWIETCPMLSSLPESIRRLTKLKKLKIINCPSLSEKYQGEDRHKIAHILEPIFL >KN540358.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540358.1:36961:39456:1 gene:KN540358.1_FG003 transcript:KN540358.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKARVVVVGGGIAGSLLAKIMQPHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHGDYLTNATIVTSSAVNITEQAVLTADGQSLAYDYLVIATGHALTSPGSRSERIKEFQRDKGKIESSESVLIIGGGPTGVELAGEIAVDYPEKKVTLVHRGSRLLEFIGDKASKKCLDWLTSKKVDVLFQQSIDLDSLSNTEKLYRTSAGETVTADCHFVCIGKPLSSSWLHDTILKESLDNKGRLMVEKDLRIKGYNNIFAIGDITDIPEIKQGYLAHKHALLVAKNLRLLIKGSPNSKLETYSPGFALALISLGRNEGLAQLPFLTLGGCLPGKIKSRDLFIGRTRKQMGLNA >KN540358.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540358.1:27874:30648:-1 gene:KN540358.1_FG004 transcript:KN540358.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKEMIEHLSDRKTLMSVRELAKGITYSDPLKTGWKPPLRLRHMPRAKADELRRKWHILVDGDDVPPPARDFRDLRLPEPMLRKLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMMMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLVFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERENAIEFFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEETMAKESGVKGCAYCGGLGHRVTDCPKLEHQKSMAIAGSRRDYYGGGGYRGEI >KN540358.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540358.1:50876:54870:1 gene:KN540358.1_FG005 transcript:KN540358.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRAAGMLGDIAWERIQLLWNFKEDVQEMESKMTDLKVALSYADKRSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWKNSRCTVKLFFSSINPLIVRIAMSHKMRNIRVNLDKIVENQKKSPSLTLPTPTRQYSNENWRETFIGHTDEIEMVGRESEKKEILTKVLLKDGGQESSTIQPFQESSIIPIVGLGGMGKTTLAKAVYTDKETDMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPAKDADLQYLKSQLDRILCDKLYLIVLDDLWEEGRSKLEKLMNMLQSGKKGSKIIVTTRGEKVANTLSTIRSSYFHTIDPIKLVGMSIDECWFIMKPHNLENCQFPDLDHIGKEIAQRCSGVPLVAKALGYVMQRHCTREEWLEIQNSNILDTAKDDDEGILKGLLLSYYHMPPQLKLCFMYCSMFPKSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVDELLGMSFLTILTSPTVLAARMIFKPTLRLHMHDMVHELARHVAGDEFSYTNGTENRNPKRDKLNRHYHLLLNQNDTSSAYKSLATKVRALHFMVCDKMHLPKQAFSHTLCLRVLDLGGCQVSELPSSVYKLNLLRYLDASSLCISNLPKSLNRLLNLQTLILSNTPLKTLPTNIGCLQKLQYFDLSGCANLNVLPTSFGDLSDLLFLNLASCHELEALPMSFGDLNRLQFLSLSDCYKLNSLPESSCQLHDLAHLDLSDCHNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCARLDHLPSCIGDLKLQSLDIQGSIFLEDLPDSIFNMSTLKNVEGAFTSISDEVEKLRKSLKLEGFCKLDGGSVDLCSRITELKKTHCHELEVQGLENFKHSEGIEHAILLNSLKLTKLILSWQLKQYTNETAHDKMLLGMLVPPRSLHHLTIKGYCGIEFPKWMLEIRSYLPHLTTIHLFFLMECNRLPPLGCLPNLRALLMTKMPKIKSIGPEFYGDYGSCQKLRMIALFSMNNLEE >KN538890.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538890.1:75842:76743:1 gene:KN538890.1_FG031 transcript:KN538890.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNDQGLAQKSTVPTGFFRVPGLFVRLSSKGLNAVDPDSAWSPTSPLDFKNLSSSTGSTNLKSSGLLGVEADLKFRTSPPRVGLGLVGALTADESSSCLGVTSSFLDSIRPFLELGLPKAGSDAAMQKNGSGSVTLDEIADFALSEEYTCVIEHGPNPRTTHILGDETVEVCKGVPRSSKKPIFTIEPIGELPSTLAGAVSRSCCYCRKRLQQDRDIYMYLGEKAFCSNECRRDYIEEEIEEVEELMMLDSAGSSALLAW >KN538890.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538890.1:45814:46142:1 gene:KN538890.1_FG033 transcript:KN538890.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQALVLANFLAAALLHPLLCQGAAAASPASITGKLVMDGMAVDQGIDHLLVLAAIFVMCLFR >KN538890.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538890.1:79341:82573:-1 gene:KN538890.1_FG034 transcript:KN538890.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQLESTAVQHGQAKLNVEEHALVSLLSDEKYAAEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVCISFSLCNQYELENILTFDYVCRIGGRENFFHCSKCGIRIPLVPEPLIDGKRIPIDIMRDLVYATLPDRGCCYSTVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMACRLSNLKYHGELYLPHVRNLSLTCPNFIQMLSLLALANLVPLHYPEGAHIVQRLRGNIRGAVPFDCAQVPEVQVLQHPPDLKWRSFDVIHFVWEESPGGCSFCNTNPANASGNLMSSRSFSCSLDASAEANHSHLKFIYEVDAVRSCVQFITSPCRRLTVLLSSSFY >KN538890.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538890.1:35808:38600:-1 gene:KN538890.1_FG036 transcript:KN538890.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BRASSINOSTEROID INSENSITIVE 1 [Source:Projected from Arabidopsis thaliana (AT4G39400) UniProtKB/Swiss-Prot;Acc:O22476] MVDAGVGAVRWLDLALNRISGVPEFTNCSGLQYLDLSGNLIVGEVPGGALSDCRGLKVLNLSFNHLAGVFPPDIAGLTSLNALNLSNNNFSGELPGEAFAKLQQLTALSLSFNHFNGSIPDTVALLPELQQLDLSSNTFSGTIPSSLCQDPNSKLHLLYLQNNYLTGGIPDAVSNCTSLVSLDLSLNYINGSIPASLGDLGNLQDLILWQNELEGEIPASLSRIQGLEHLILDYNGLTGSIPPELAKCTKLNWISLASNRLSGPIPSWLGKLSYLAILKLSNNSFSGPIPPELGDCQSLVWLDLNSNQLNGSIPKELAKQSGKMNVGLIVGRPYVYLRNDELSSECRGKGSLLEFTSIRPDDLSRMPSKKLCNFTRMYVGSTEYTFNKNGSMIFLDLSYNQLDSAIPGELGDMFYLMIMNLGHNLLSGTIPSPLAEAKKLAVLDLSYNQLEGPIPNSFSALSLSEINLSNNQLNGTIPELGSLATFPKSQYENNTGLCGFPLPPCDHSSPRSSNDHQSHRRQASMASSIAMGLLFSLFCIIVIIIAIGSKRRRLKNEEASTSRDIYIDSRSHSATMNSDWRQNLSGTNLLSINLAAFEKPLQNLTLADLVEATNGFHIACQIGSGGFGDVYKAQLKDGKVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKAGEERLLVYDYMKFGSLEDVLHDRKKIGKKLNWEARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARLMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKQHTKLKITDVFDPELLKEDPSVELELLEHLKIACACLDDRPSRRPTMLKVMAMFKEIQAGSTVDSKTSSAAAGSIDEGGYGVLDMPLREAKEEKD >KN538890.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538890.1:101809:106133:1 gene:KN538890.1_FG039 transcript:KN538890.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVFGSSNNLAVGTVAAASLLLASIIETEVAADENPQLYLQLFYTAAFFTGLFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIILQQFKGLLGMKHFTTKTDIISVLHSTYHYRHEWKWQSAVLGICFLLFLLSSKHLRKKLPKLFWVSAIAPFMVVVIGGVFAFLVKGDEHGIPIVGNLNKGINPLSIAQLTFQSRHMKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLVKVKEFCHLYKVDKFDFCICMVAFLGVVFFTMVAGLSASVGLSVVRALLYVARPATCKLGNIAGSETFRDVKQYPQAKSIPGILVLQLGSPIYFVNAGYLRERILRWVEDEDNLCKSVGHDLQYLVLDLGGVTSVDNSGVGMLLEVHKSLERRGITIALTNPRLEVTEKLVLSGYVRDILGDEWVFLTVKDAITACRYALQISRSKGEDEVFVDRGNGEYSEEDGTSVRV >KN538890.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538890.1:57059:62414:1 gene:KN538890.1_FG040 transcript:KN538890.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSELLRPAFGEPSPSLGPFVVNPHSCSYRWWQKFLIVLVLYTAWASPFELAMEKSASAALAVTELVVDAFFAVDIAVSFFVAYRDASTGLLVTDRKKIATRHLARPCLALDVASTIPLQMIYRLVSGKRQALYGFLNLLRLWRIQRVSKLFARLEKDIRFSYLWTRLIKLLCVTLFAVHFASCIYLWMAFHHKAKELTWIGSQFHGFEDRSVWFCYTCAVYWSITTLATVGYGDLHAANTGEMLFSIAFMLFNMGLTSYIIGNITNLVVHETANTFKMIGDHGICVQRDMVQRTSVFGRTNRLPVAMREQMMESLQLRFRAEEQLQQEMLSELPKAVQSGIAQHMFRGAVESCYLFQGVSDKLILPLVAEMKAESFPPKADIILENEASTDCYIIVSGEVEALTTLEDGTEKQVMRMGPRGMAGEIGVMFNIPQPFTIRSRKLTQLVRISHSHMVQTIRPNTADGVVVFSNFVQYLESLKVKAKETDFVRDYLRNGYSTVLGSATMFDVDESKESAHKMLPCKEPKRVSIHEHLLNGTGTALNGSSGKLVILPDSMQDLMKLSEKKFGKAARGILTVGGAEVEDIEVIRDGDHLFFSRSYVSLYLRPHTPAHVDRAIQSVGFVGVGLALGVVQSVVLVAAGDNRVAMALTCVIAVFNAGVLALWAWLIAMYRRRRAGVSSSCGKQFYCS >KN538890.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538890.1:113:1549:1 gene:KN538890.1_FG041 transcript:KN538890.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding GNPLFLEPYWKRHAAASAVVFSGWHRLSYITTGGRFHSVELDRHIRLLHRAVGNAVVDDKYLVFGAGSMQLINALVYALSPDGNAASPPASVVATVPYYPAYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEPVLAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTASKLSGHAGSRFGWALIRDEKVAKRALSYVEQSIMGASRDTQLRMLKILKVILANLHGKEDIFAFGYDVMRSRWRRLNAVVSRSTRISLQKIPPQYCTYFNRIKEPSPAYAWVKCEWEEDVDCYETLLAAGITSRSGTLSEAGARYTRVSLLKAQDDFDVLLERITEFVDAEEHGRAPGGSSSM >KN538890.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538890.1:64429:64980:-1 gene:KN538890.1_FG044 transcript:KN538890.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAARRPDRPDRPSPSKWIYFDKMQSLMCPPPLPLQPPVVTRRRDTQPVPRQSWGLDAAEYVLGGCENAGTRDSRSGAELGEEHPNEAGAGKGKDFELLVESIRKLGDVYERVESSKRQHMAEVERMRRDLQRDLEARRREILEKAQAEIARLTEEDGEEGDLKEGEGDDNKRFGDDGGGEE >KN542423.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542423.1:270:6047:-1 gene:KN542423.1_FG001 transcript:KN542423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAPSAAGQLLGRALASTAAHTSAGSKKIVELEKHIEDAHVVITTPFHPAYITAERIKKAKNLELLLTAGVGAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLMYHDRLKIDPELEKEIGAKYEEDLDAMLPKCDVVVINMPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACASGHVAGYGGDVWFPQPAPRDHPWRYMPNHAMTPHCSGTTIDGQLRYAAGVKDMLDRYFKGEDFPAQNYIVKAGQLASQYQ >KN545479.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545479.1:571:1377:1 gene:KN545479.1_FG001 transcript:KN545479.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SYYRCAVDFCRAQHFRLFRSACGASSEAGYMEKARLRAFPREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFMEELYENLGGQASITAIGHISHSKKMIFTKFVTGCRAWTIVFVA >AMDW01024838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024838.1:175:297:-1 gene:AMDW01024838.1_FG001 transcript:AMDW01024838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQ >AMDW01021914.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021914.1:9:267:-1 gene:AMDW01021914.1_FG001 transcript:AMDW01021914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLW >KN540847.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540847.1:7397:9938:-1 gene:KN540847.1_FG001 transcript:KN540847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVESVGSCSPCNSMIHNESFVHTEDQTQHFQGSPELKTSRGKMTMALLLVSYGLANFAFFGVAVGLVVFLRQVLHQENAEAANSVSMWMGTVYIFSLFCAFLSDSYMGRYITCIMSQFIFIVGLMLLSLSSWFLLVEPPGCGDGGGLRQCAAPSRHGVAFDDADAGERRRKQAFFCLFYLSLSVGSFFSNSVLVFFEDRGRWVAGFWVSTAAAALALALFLLGTPRYRRVRPAGNPLTRIAQVFVAAYRKRDIVPPPGDHLHEVDGEGSAIRGVGKLAHRDQLRFLDKAATATEEDYHDGNAKNPWRLCTVTQVEEAKCVVSMIPIWICTVVYSVEFTQMSSLFVEQGAAMDTDILGLFNAPAASMSVFDVAGVLAALAFSHYVLGIEPEVEGIGSSPEDDHIYIV >KN544911.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544911.1:912:2493:1 gene:KN544911.1_FG001 transcript:KN544911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGKNCSVCKEWQEHCYWSHMADHSKHFLKHMVGDFTESMTVPARFANNFNGHISEEVNLRSPSGETWSIGVANSDAGELVLRSGWKEFVDANGVQENDCLLFRYSGVSSFDVLIFDPSGCEKASPHFVGSHGFGGAENSAGAEQGGGNGRRTPPIVDGDNGHRHHLEMTLHRNSGRSIPRACKRNLFSDETERGGREAKENEDEDEEEDVVAATEGGCYGEYYFSRHGRVAEYNLREEEREEISRVPVPIEPGNPVFVQVIHSSHVRSSKYCIV >AMDW01029342.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029342.1:3:374:1 gene:AMDW01029342.1_FG001 transcript:AMDW01029342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFLASFTAHPSLRAAVVADLLAARSRDPACVGFSQCLLNFKGFLAIQAHRVSHVLWAQQRRPLALALQSRVAD >AMDW01040386.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040386.1:330:1406:-1 gene:AMDW01040386.1_FG001 transcript:AMDW01040386.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGGIDAPGEFLDGFHEPPQWLKEAAARTRPALPWLPLDWHSVKVGVCSGRYGFDRVGLDSLVLEKDEDSEMQEAECVPSPSPPAALGTLSVQRALVLQKEILMAESILVAQCVAKDLQQLCVESGNAEAVLSIVQPWKADDDTVRVLLSSLVLDGDGMHRKGPALMLCSLFLPKLLEIQRPVSSVLLSAALDLCKRHPAAALKAILLPLVLRKEGLNVPQCDVLTRIVKDCMHPLHVTAFCHRLLSEDEREWRPVCMPEHRGNISSNLVWTESLFALLYSILNQDICLTSSSTENLVSVIDEMASKLPRSLKFGNFLLCFISKCWRESKIHSVLLERAAEKTDTFLTKAILAKLRTAN >KN539935.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539935.1:39055:45532:1 gene:KN539935.1_FG001 transcript:KN539935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERNAKLQCKRSKENYLLDIVACQNHCALVVHLSRRHYYEEAGTGKPSRCNPKQSKSAQQKAISKTAVELQFTFYTDCPVYVSTGRAGLGVWDAVRGHLQTEFADIPPVEVGGGGARPGAKRGHLALDYTCMKWVQLSSKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRVTDCHPGGVTAVAYSKHGRSLYTGGTDGMVCRINASDGSVVEKFKSSSKAISALAVSPDGEILATAAGQLRTFDASDNKKIQKFSGHPVAVRSMVFSGDSQYVLSSGVGERYVAIWKLGSGKTQSSSCILSMEHPAIFVDCKCSDINGTEGEIHVLAISEIGVCYFWSGTNMDDLRNKKPTKIVLSDSSLSRSKQGFAIFAAKLQGIDGPNSAHVLLAYGSVVKPSFDKLLVRYGMDISLGVSDDGVLLPMIQPTKPQKGQSAKKQGIITALDRANAEDAILPLPQLHTLEKKRKHSATESSGDIQYAPHSDLSTATKLIEKRAPVQRIEDDSVCIEDMMRKCGVIDSRIDQGMEGHPSIPTSILSDLFGSSSKIDANLPNKKIRAHLRSLKPGDACELLEKLVSSWKTRSGSAEVVLRWIYCLLIIHGRFIPFEKSKKIISDLEKMCGERYKAAEDLLKLSGRLRLLMAQIVKDPNDVSELPSEEMQDSAAAQSDEEEEDDEIDETVYGEDADSSQTSDDDAE >KN539935.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539935.1:27132:29734:1 gene:KN539935.1_FG002 transcript:KN539935.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCNRMHELDIASADAITRSLGTTRKLLILSLTLILSFRFEAFKTKVPRNANMAKLQAGYLFPEIARRRAAHLLKFPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTVDGYSGYGAEQGEKKLRAAIAATYYADLGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQEDVQKYGNIEYMKCSPENGFFPDLSSVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLGCLSPEGLKAMSDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >KN539935.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539935.1:46531:47242:1 gene:KN539935.1_FG003 transcript:KN539935.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAAPRLFRAAVMDWHTLAPKIASHIVASAHPVDGDGSVGSVRQFNFTSAMPFSHMKERLEFLDVDKCECKSTLVEGGGIGKAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVKDEITKAKESLTGIFKTAEAYLIANPDAYN >KN539935.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539935.1:59939:64754:-1 gene:KN539935.1_FG004 transcript:KN539935.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLDRISEQLKALPLLSQVVEGHQDRLEELSKQIAVAAALVEDVKKEQAAAASRAARIDGDSGGFFRLAKLKFPTFSGTFPRLWITKCTRYFEFYGMPMEMWVSWASMHMEGMAELWMMTYEKRHELDWGRFCEAVEERFGPYDHKQKLTALLELRQEGIMTVSEYRDQFEERLYHAKLFDRVTSNCFYVALFIRGLREEIRDRMWQQTPATVDAAAQSALVQEALYNLEMQRAQRDPFLYRNYLRQKWHPDRCVSDPGEANRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSGDGVGGRVPSDGTRRTRVAPYPAQSRR >KN539935.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539935.1:12551:13862:-1 gene:KN539935.1_FG005 transcript:KN539935.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWLAFVAAGLLMLFMLMTGILDSAIPDDERRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPGAGADREEIRKVYCKDGAPRPHDRAHMLVVVVLLHVTCLAQYFCCALFWSYARKERPDWALNIGYGLGTGCPVIAGLYAAYGPLGRKQHEDSDEESAAAQAGGGNRPAENDREVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSMRKRYKLPASGCGCGCEGHACRAAVSDCAKWLFCWSCALAQEVRTANLYDVEDDVLYAKGGDEEAAMAPLEREGCAAASTATAHSRSMSCPPKIDAVAALSGASPLGVQMAAINMERSATYSGDHHPAAMRPPVPPLMQMDQE >KN539935.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539935.1:49540:51237:-1 gene:KN539935.1_FG006 transcript:KN539935.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKNGSSNAKEDGELSTSVKSIKSFCQPVDYRETCETTLEQTAGNATNPTDLAKAIFKATSERIEKAVRESAVLNDLKNDPRTSDALKDCEELLDYAIDDLNTTFDKLGGFQTSNFKRAVDDVKTWLSSALTYQETCIDGFENSTSTEASEKMRKALKSSQELTENILAIVDQFADTLANLDITGFSRRLLGDDGVPVWMSAAKRRLLEATPGSKEFKPDVTVAADGSGDFKTINEALAKVPAKSTATYVMYVKAGTYKEYVSVARNVTNLVMIGDGATKTIITGNKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGAKNHQAVALRVQSDQSAFYECQFDGHQDTLYTHTSRQYYRDCTITGTIDFIFGNAQVVLQNCRIQVRRCMDNQQNIVTAQGRKEKHSAGGTVIHNCTIEPHEDFKADAAKFKTFLGRPWKEYSRTLYIQSDIGGFIDPQGWLPWLGDFGLNTCYYAEVENRGDGADMSKRAKWRGVKTVTYQQAQQKYTVERFIQGQTWLPKFGVPFIPGLLPQEQSGRIH >KN539935.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539935.1:3171:5478:-1 gene:KN539935.1_FG007 transcript:KN539935.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMRWRWPRTRCSCARFLDFVSNTGRSGGRSEPKRGSRQELANHRQGTAAAAREASASVSELAELDGARDQSEEQADAKFLVLRLYEALNSGDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADHGESRFLFSPRSVDAFGSTVIAEGTDDTRQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSASAAKTTAAISSSNSNHASSSAPPPPPPPSKPKCLWQSRRADRAHKSLPGLVLAI >KN539935.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539935.1:31393:32193:-1 gene:KN539935.1_FG008 transcript:KN539935.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDAEMAGFWAKLPLLRALLLAHPEIEFLWWMDSDAMFSDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDFLDTWAPMGPKGPVRIEAGKVLTKYLKDRPVFEADDQSAMVYILATEREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMERAFNFGDNQILQMYGFTHKSLGSRKVKRIRNETSNPLDVKDELGLLHPAFKAMKTTST >KN539935.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539935.1:69570:72142:1 gene:KN539935.1_FG009 transcript:KN539935.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPAEAIRSSQFDSHVRFPGQIAGGARGLAFRRAPAFRNAADCGNATGNVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHATCPESVFFHFLVSDPALGDLVRAVFPQLQFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTDRFWSDKQFAGTFAGRRPCYFNTGVMVLDLARWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNIVLVGISDLFFHFF >KN539935.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539935.1:36848:38551:-1 gene:KN539935.1_FG010 transcript:KN539935.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPVCHWCGTWKGDKICGSCKKARYCSEKHQEKFHLFAVPASKSWPEYEIAIDYEGAFDSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERVSRAPKQVLRYCREENAKPLWALSAGCPSNADIPSCSYCRGPLCYEFQIMPQLLYYFGVKNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTTTRP >KN544819.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544819.1:370:1026:-1 gene:KN544819.1_FG001 transcript:KN544819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEISSVTTTHAQGPKLFRGKILLPMGPPDVVPSENVEFDFSDVFGPTAVQTPTDLSILTPDSPAPLTESSEGIYNDPLVIVKRSHSLVGPSSLVSQSLPLSKLTLHESDSALDLLECTKEKKSNQEALSDEEFDDTKNENGVVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQ >AMDW01011386.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011386.1:45:230:1 gene:AMDW01011386.1_FG001 transcript:AMDW01011386.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TLGPKLSETVRGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLF >KN539175.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539175.1:38862:42728:1 gene:KN539175.1_FG001 transcript:KN539175.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MGVKNLWDILESCKKKLPLHHLQNKKVCVDLSCWLVQMYSANRSPAFAKDKVYLKNLFHRIRALLALNCTLLFVTGNPIFLFYFSFLAAKESDQPNSHPSISLRRNKGSEFSCMIKEAKRLGMALGIPCLDGLEEAEAQCASLDLESLCDGCFTSDSDAFLFGARTVYRDVFIGDGGYVICYEMEDIEKTLGFGRNSLISLAVLLGSDYSNGVNGFGPETACRLVKSVGDNLILDQILSNGVKATRKCKGKNSGNKVDDMCPKASSCEVGMTQDSDGQFRDVINAYLEPKCHSPDSEAVQRVCGQHPFLRPQLQKICKEYFDWSPEKTDQYILPKIAERELRRFSDLRSASSALGIKPLLSEIPVPCPVLAIVKQRKVHGNECYEVSWRNIDGLQVSVVPGDLVKSACPEKITEFLEKKGEEKKQKRRARPKKSGQAAVKDVDERLQELLLGIEADSGGILGATASVCQTLTAAYTVAVEDVVDLSSPSPPLRKLSKSQKKMMTEDVNVAGMNMNKMESESSFSTQSSTSDVDNQLIDLSSPLPGGDNALRQMKQEEEEEEVVAAKTVLHWSAMEPPSTYLLRRRP >KN539175.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539175.1:67627:71678:-1 gene:KN539175.1_FG002 transcript:KN539175.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSTAMTLYQGVPFHQQQNQIEIESMLSFQSSSIPPPPTMLLAPSSSSSDFRNYKFVTCSPADWPHHDLAILNDGLLRYAHEPNIIKYTKIAAMLPTRTIRDVALRCRWTKDNESRRGKLDQVYTGKMIRGLKDNMVSSTLAANIQIQNPNNMVPFSISMHYQGQNSLLHKEVPVLDSATQHLLEENNQLLSQIAENIETFKMVENMDLFLRTNNNIRTVLKRMSETPGIMGQMPPLPVPVNEGSLNTLLQMDRMVGAYGIP >KN539175.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539175.1:21055:21833:-1 gene:KN539175.1_FG003 transcript:KN539175.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDTLGSVHVDEHGKASSSSYDGAGAPFQGHRKAGSGSSDVPFLLRSGGSGGDGLRRCLGLIDFVLRVAAFGPTLAAAISIGTSDERLSVFTNYFQFRARFDDFPAFDFFIVANAIGAGYMVLSLPFSAATIMSSKATGVKLLLLICDTIMVGLLTAAASAAAAMVYVAHEGNLRANWVPICLQFHGFCQRTSGAVIASFLAVFVLMVLIVMAAFTMPRRTHHTAS >KN539175.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539175.1:42934:44310:-1 gene:KN539175.1_FG004 transcript:KN539175.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) UniProtKB/Swiss-Prot;Acc:Q9SL95] MAGVGVVVDPEAVGGDGDGRRKEGLARRAVENLRFRSVWGEVNGAMGDLGTYIPIVLSLALSRQLDLGTTLVFTGIYNAITGLLYGVPMPVQPMKSIAAAALADPSFAIPEIMAAGILTAAFVLFLGLTRLMDLVYRFVPLSVVRGIQLAQGLNFAMAAVKYIRYEQDLGKGKSLGRRPWVGLDGLVLAIAAVCFIVLVNGAGEEQEQRRGALPQSQQEQEQQQQQWWRRRLAGSVPSAVVVFVVGVAFAVARHPAAVRELRAGPSRMRVVHISREAWKQGFIKGALPQIPLSVLNSVVAVCKLTRDLFPERKESASPTSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAALGGLKLALGLLLGGSMLRVLVQFPVGLLGALLLFAGVELAAAARDMSTRAEAFVMLLCTAVSLVGSSAALGFLCGMLAHALLYLRERIVSSQTDL >KN539175.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539175.1:58063:59041:1 gene:KN539175.1_FG005 transcript:KN539175.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 7 [Source:Projected from Arabidopsis thaliana (AT5G23420) UniProtKB/Swiss-Prot;Acc:Q8LDF9] MAGKSGARTRKRVKATDSAVLKRARDGSAFTRCEACGKSVSVVLIDMHNCSLDDKIRISLEAQVVEQAVEVAASKKKSGKNNNNNGEGAKKGKRPPTAFFLFMSDFRKEYKAEHPDNKSVSAVAKEGGERWKSMSDEDKKPYLDKAAELKAEYHNGERSDENNVGGNAGEQEVDQPPKKGTDEDDQEDEDGAEEEEKNELDDDI >KN539175.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539175.1:72454:76255:-1 gene:KN539175.1_FG006 transcript:KN539175.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKPSKISIFGAKAGFLIPKNKLPGSLVARSSSNAKNESPTASSSSAASSNSKSKEDDNNNNNSTQRNTKWGPDLTLDPAVRKSRASAYQSRLEQITKELISGSLEISENEGSISTARGSNSDGANNEKENVGTVELLELERREIIGEILHLNPGYKAPEDYKPLLKETKIPLPTKTYPGHNIIGVLMGPESNAQKRLQEETGAKIRVYGIKRTNGEKSEIRQADIGEVQGAYEDLYINVSADTHDKVDAATALIELLLTPVSINSRAIEANATVSSAVSSGGADLADLRQVQNNSSQPGFLQYQSHDAHWLSTSQANAAPIPSSEPPPSALPHNSFPLQPPGGSLSTLSYTGPPPLMNIMPRNPLPIPGPQPLVSNAQQPPLQFQANPSIGPPFGRPPGIVSPQLTPSSTLPRSVRPLQTPHASGGWLNFSSVPVQSQRPSPAFMPVRPPISVSPLVSSPQLEGAAVPSFPRQSNISTSYGTQHHPSGASFAPSATMPSIPPGAPQLSPQGPSSMPVLSSPAGLTTQPPYPLPMQMRPPMATPAQMRGPPSPFPQTGPTPGMPPAGGFGVPGSGNMTAMRPPRPSSGDFTFRPLVSASPTPELGVSGSQVPLFHPGNQRPPMARVEGFQRPIDMGHQGRAYATGPPHPHPHQHPHPHPHPHPHPHAHFGGGGFLPRNPAASALQSAGTRGFVGTFPLPPAAVEAQRPFHVLAQAQQKPIYDPFVPSGAVMSGGPGGGGGRKRKTEDDKAEYEDLMASVGVK >KN539175.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539175.1:20350:20523:1 gene:KN539175.1_FG007 transcript:KN539175.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVADLRGKGVSNIALYAEPRVVGFYRLLGFAMDPDAIRGMAFYRSRQQIQNTSS >KN539175.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539175.1:101215:108107:1 gene:KN539175.1_FG008 transcript:KN539175.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLGSETAISPAYGEEEEEQCRICRVPAEAGRPLRHPCACRGSIRFVHDDCLLRWLATRRTSHCEVCKRLISTCPLYAANAPARLPLSEFMLGLANKLMGWFFLLLSLLAAMYIWEFVMPFTTLWIWRLALARSFARVRHLLSLRIFAHAHGHGAPLYGLMPSPDAVFACVSIRRAFLRDLPHFRDLNPLARFAAHALAPFALWIARLETRLDRRFGGLDSLQVIALHTVEASLMVVLLDVMLACVFGFIPFSLGRIILFCTSCFSFGNMDRVHSYTSTSSILLVGYGFIFSLGVLFTGFHTFDQYSRGERLTIAVFFKVLTNGMYRLFSPLRRLPGIHVMVQMALSFLRLFFRGIINLVTVANISVNLINVIAICPLFFGWSVDICASQLFGETIYQKLELLFASSFASTALHWLIGCIYLMLLSIFSSPLCLVLGPGVTIPFVHFSGEESLIQLFREPFYKFSLKLLPGLFVSAVDVAMVILVPVQIAGQLAPKVFPLDITYFDPPTKGSAFWQAPRNYAELLSGALLLRFLICNTLKYLQPGPLLQKLLLYWSATTRRVLGLLDLLIAWSAGDGECEDGNGSTRKFHHGSTYKRRFAAVRLILLVVLSSSTLVIFNSAVLIVPVSIGRALLFVIPKLPIAGGLKYNDLFAFAIGFCIISTIIAASRDLFVYMASGRTHLLASVIYKWGITALKGSPLLFIWIVIIPLLIGLLVNFLLISPFLVTANGMFVIDLFCTWFLGLLLLKFWVKLVHWTTVTPFLVYFIDERWDWKLTRAREDGFSGLRALWVLQDVLMPITLKLLTALCVPYALAKGVFPNFGYPDAVNLTVYRFAWLGGFALCVLYDLAKVFCKVLVKLHDSIRDERYLIGQRLQNYVDNS >KN539175.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539175.1:90879:98214:-1 gene:KN539175.1_FG009 transcript:KN539175.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIFHCIACVFQFYLFSLWGFSAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNFNTSGLGSGTEVDIKDGSRDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDRTRRSGSLLSPLARLHSQGSEPASGSTQLSAVDTAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMAASSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENTKAKTKSGTQITATVESRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPESDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLEDEPNLHRRVDLLFLIDSITQCSHSQKGVAGVSYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQAIAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDDPEVFLVNNKLILMQSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMQSGNFSPDDQRNTWLPGARALSCAEGSFMQDGYPRSNIDRSSMNPMTHQRPVLNRMPSGAPVPGYWFVESLHLLNNFILKAMDMLFLRCCRLDLIFTHLIAGGLRNEISQLNSLLVESSLQVICLRVLHRFSHGKLGLPEAVSIQLATRGAYASLFCDEDALLLMKA >KN539175.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539175.1:46256:51837:-1 gene:KN539175.1_FG010 transcript:KN539175.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGDEAQLKASEETLSASASPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRAKPAAKAASSGASSSLDFDVDWLAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTSVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKYSKLLASLQTFRCTYNFEISFSLCHYGSLMVNACTKECSLEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRAPYSFPFPRRILIPWLAGSYICDYLQQSETTEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >KN539175.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539175.1:23624:28742:-1 gene:KN539175.1_FG011 transcript:KN539175.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSNNHKSSSEEEGVALVQVAEEDETTTKQQQPDDSNKAEEEEEEEAGYGGYSNWWSTWVSSAVKKRVRAPGRVGIVVVVGGFVLLALLAAVATTTTTTWPQLVDFTGAVAHFRLLVLGGRAYVETYRRAFQTRDVFTQWGILQLLRRYPGRVPDLDLMFNCDDMPEVRAAAYPDRAAAPPLFRYCKDPSTLDVLFPDWSFWGWPEVNIRPWAPLLAEMAEEKARLPWSRREPYAYWKGNPDVSPLRQELLRCNHSVPPDDTVRLYRQDWGFANRNAFRDSNLARQCRHRYKLYVQGRSWSVSRKYILACDSPVLAVATPYQDFFSRGLAAGKHYWPIDPSRSKLCRDIRFAVRWGNAHPAQAQRMGLAGSAFATDDMAMDYVYDYMLHVLTRYASLLRYKPTVPERAVELCPESMACPRRGRERDFMMQSREQYVADYQPCTIPPPPLTADDATNMAHRDAEVLSNIDKMIITEDKHN >KN539175.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539175.1:13251:17454:1 gene:KN539175.1_FG012 transcript:KN539175.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLITCWRIYVKLPLQVSQPQQQQEGNTRIRNPAYFSVSGMFYKLVVSTPEPRKVASPTISNIQGWLPGLKGEGETEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVVLLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTNKWLRSFDQRVRESIDYAICLNSVGSWDNELWMHVSKPPENPYIKQIFEDFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSELSSPPEFLESTGGLYDTRESADAESVIRTVRLVSESLARHIYGLKGKNIDVFADNSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQSDVLDGMFTFYDATKATLNVYQVASVTFDLLFLLVIGSYLIILFSFLVITTRGLDDLINIFRRPPSRKVKGA >KN539175.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539175.1:62784:66638:1 gene:KN539175.1_FG013 transcript:KN539175.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAEPPHLHNHNHNHNHNHNTHNSQNHNHNHNAAPHPCHTPTPTPTPRGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPRDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFGRTWTHHKLAIQEKLKVSSIFISLVATITWTKIKEAHAAWTCLTLNE >KN539175.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539175.1:110537:114165:1 gene:KN539175.1_FG014 transcript:KN539175.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVAVALALSLIGGLSTSLGALLAILNCAPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIAEVFPEPDCNLADDNDKHLENKTGNNNIAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTATFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPIAFEYAGRKDAVKAVFVGMAFMSMSKKYLAEIGYNKILRSKFGLWHRGCTFAKAGMSA >KN539175.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539175.1:81178:86483:-1 gene:KN539175.1_FG015 transcript:KN539175.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYAPFPFPKDCTYFRTDAKMLPGHGIASATDGVVNRRSPRLFGRSSERKNPLNVQFERQVARLESRQQHQRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLDNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDSTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPACSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSLNGVDKKLPNAMHGIKSNCCEMRLDSASETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSRQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVFADAHLAAGHPITDLDTFIYSATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLELFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQGMRLSESFSSRQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGKLVPQKGSPDLTGLGSRIVSPVFGLQSYNDKGEQWFQLRRPDSKQLQIDGESSKGSRAEVLKERLRTLQRGALAAARAVVPKGGGESVNCHPDYEFFLSRCT >KN539175.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539175.1:33759:34484:1 gene:KN539175.1_FG016 transcript:KN539175.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNAVIKGGDGGGGGFMVVVAHVLAVAATAMVLVWCVHFRGGLALRSHNKQLIFNTHPVLMLLGLVVLAAEAILSYRSRSLSIIVSSRDARKKVHLGLHAVGLALGGLGIYAVFKYHAEADIPNLYSLHSWIGIATISLYALHWLLAFLTFFFPGASHDTRRSAVPWHALLGLLVFALAVANAQLGFLEKLTFLQAPPLRLSRYGPEALLVNFTALVVLLLGVAVVLATANVDSTKYTAM >KN538767.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538767.1:115314:115544:1 gene:KN538767.1_FG025 transcript:KN538767.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSLLLLLALVLPASGDDAAAAAAGGASFNVTEILGRFPEFGLFSYLISKTHVDRDINSRNTVTVLVDNSAVD >KN538767.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538767.1:31720:34714:1 gene:KN538767.1_FG027 transcript:KN538767.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKRYRRFTVRNRPLNRPQQCRTVQTVHQTVLNSADSVNKSLANSLLGCETAGPSWSRATVTRDRRPAFRSCGTVAVPRFCSAERITFYHLYVLSIEMALSIVSVSLLAVENLSDVSPLFLTGLLEAVVAALFMNIYIVGLYQLFDIEIDKPTLPLASVEYSPATGVALVSAFAAMSFGLGWAVGSQPLFRLFSLALFL >KN538767.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538767.1:126863:129433:-1 gene:KN538767.1_FG028 transcript:KN538767.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAPVEPPLPGAGAPLIVSRARADALRGSVRRPRRDQARRDKHGLRHPQGAPPPQELGRNVLVRARPRRSAGSSPRNTLFASARPRNDVMLKKLGGISPVRLFCDKANMRSAGRRDKPSGMELSRRFWSNSSCTIFVRFASDGGMWPESELWLSRSTVRFGNASSHRGTPPTIEVVVVERERLAVETVKLFSPGMNQQDRSFWSYLIGYNCGALIILGNANRELDQRAKALAEEREAL >KN538767.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538767.1:141722:145183:-1 gene:KN538767.1_FG029 transcript:KN538767.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMEVAAARAAEAKTEGLLRGACALLAAAAALLVGLNTQTETVLFIRKKATVKDVQALWVLAMAAAAAAGYHLLQLLRCFYLSRFADGKPCRHRRAIAWLCFLLDKGCAYMTFATTVAAAQACVVALYGTHALQWTKLCNIYTRFCEQVAGSLVCGMLAAVGTALLSVVSARNLFRLYPSMLSPPPSSFVG >KN538767.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538767.1:20583:27037:-1 gene:KN538767.1_FG030 transcript:KN538767.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYHGNSPLFFPKANKLNKSENEAIMKDYSEASLAIISEIEPKDAIMQLLKQETYSRSECNALVKIIQERVVDSNLNGVDAGGLALPINWKTGRQANIGYSSLSPKGLLPATSIPPVQDHVFDNSAGAGASTTIAHDRGPFAHATDKIQSVLKRSYSVAKDTPEDSRRVRPKINGNSLEISKFKQVDVIRTHSGDDNKLSDVPLFGTNNLIYSNIVSIVGSADEKIGIPNKPSAGDDNKNYDSEFLNPCTNKDLKNSFPLKVEPLDVCIPFEQQMMDLSHQKHEHAACDDSCSVSKLMFKEDIETALSLPVGVPLENGSKNRRRRAPNTQRITPARSPAKGSRRKNNDVTVKSETDFLEQSKGSHDVTVKSEIDLLEQSKLVLMEQSPDLGDIPGTFDKAPDLVWIRSFFDRLDEALHRRLPLFDRTKNGYAMDVNTYKASTISSLMLAVMSRSGCTPNRVTCRLEQNAGRLQEAGYGGYVTQSRLNPILIIYNSLMDMYAKSNESWEAEKILKQLESSQVETDVASYNTVVVNGFCLRGLIKYAQRILSEMIADGIGSMCCNFMNDASHARGRIC >KN538767.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538767.1:191704:194822:1 gene:KN538767.1_FG031 transcript:KN538767.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVAQADASLKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFDDETFANMKKGVRIINVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHEHVTVTPHLGASTSEAQ >KN538767.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538767.1:132769:139014:1 gene:KN538767.1_FG032 transcript:KN538767.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNCPEFAFTFLGAARLGAATTTANPFYTPHEIHRQASAAGARVIVTEACAVEKVRGFAAERGIPVVAVDGDFDGCVGFGEAMLDASIEPLAADEEVHPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFRREDVVLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLTRRHGVTVAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGATLGRNQSGEICIRGEQIMKGYLNDPESTKNTIDKGGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVPMIDEIAGEVPVAFIVRIEGSAISENEIKQFVAKEVVFYKRLNKVFFADSIPKSPSGKILRKDLRAKLAAGIPTNDNTQSKS >KN538767.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538767.1:46228:54035:1 gene:KN538767.1_FG033 transcript:KN538767.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAGVGDPARRTAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVANYETELEEMKSMTRQEFVLSLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGSVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVGSILNSDLPVGGGAATRASKFPSDPSLPLPSPAMPPSEKDYWSLLALHYHHQQQQQQFPASAFDTYGCSSGVNVDITMGTSSHSGSNSNSSSSSSAIWGTAAGAAMGRQQNGGSSNRQSNSYSGNNIPYAAAAAMASGSALYGGSTGSNGTWVASNTSTAPHFYNYFVWDGAARDPDGRDEVLGMVPEIQEWHGRNGTVAYRPQCWAGPLLQKSPVTAAQQSYSFRTKQPRWPPGMKSIKESSNQEVRKVLTYGFHDSRVSKHAKQANQNIVLTDTPT >KN538767.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538767.1:9414:13344:-1 gene:KN538767.1_FG034 transcript:KN538767.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDQFLCPAKGPKVLPSINVRNSLVGNPPTTGYHIDQQLVLLMLRDNRYNLQLKHKIIKYMEANIIFSGCILQALSIVSVSLLAVENLSDVSPLFLTGLLEAVVAALFMNIYIVGLYQLFDIEIDKPTLPLASGEYSPATGVALVSAFAAMTFVFRRPAVFTRPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIKSFSVRLGQKKVVGHAILAAILWNRSRSIDLTSKTAITSFYMFIWKLFYAEYLLIPLVR >KN538767.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538767.1:60504:63596:-1 gene:KN538767.1_FG036 transcript:KN538767.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAELGDPARRTAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVANYETELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVGSILNSDLPVGGGAATRASKFPSDPSLPLPSPAMPPSEKDYWSLLALHYHQQQQQQQFPASAFDTYGCSSGVNVDITMGTSSHSGSNSNSSSSSSAIWGTAAGAAMGRQQNGGSSNRQSNSYSGNNIPYAAAAAMASGSALYGGSTGSNGTWVASNTSTAPHFYNYLFGME >AMDW01029676.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029676.1:19:393:1 gene:AMDW01029676.1_FG001 transcript:AMDW01029676.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLKGGVPHMVVYTAFFYFSLACFAIGIALNIWFLYHPMVGVPRSSLTAYLRDWKGRDLALVAGMVCGLGNAFQFMAGQAAGYAAADAVQ >AMDW01038063.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038063.1:30:524:1 gene:AMDW01038063.1_FG001 transcript:AMDW01038063.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDKLVAGAAQSAPLVPLQEQGVSSLGESFDTTSSQELIAASHNIVDDGPSSTCPSRIDKPVADATLSTSLQDMALSLLDEIFDDNPWTAPDNTTTDGYLQSASSSVGSSILVRSFVNCYQLFYIRIDPRGSCWTYPDVGGPFQRVDEADDAIKCFLDELQHGAR >KN541115.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541115.1:348:572:1 gene:KN541115.1_FG001 transcript:KN541115.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PRARDIGEEIRASRRRRGVPEQPPNEGEEQQDNRSAGVTAQISTQTRTPRGANTILPAATTHAAKIVIIPSGDR >KN541115.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541115.1:11559:23202:1 gene:KN541115.1_FG002 transcript:KN541115.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWYCRISGQLLEEGENFVLDTQDGSDDDQIEFIPDSDDEGIEYCFSSDQEFVPETKFQNCGEVEEKSGGIQDCGEVNEKGGGIQDCGEAKENGGEIQDCGEVEKGGGICGGSNIVHSNDECKQPAQMWCGQRVRGKWFHRESGRCLEEGKNVIFDTQDGPDMDEYEFWPDLDDEGGDFVFEDWFVDVVPKKKMHDGVAMEKKQGGQIGKLMSSNVTYGVGRPSSTITKASFSSNASYPQGGDLWQGTMANHSAEPSKRFVVESSNISEQSKEVSIQFMPNEEEIPSEEKMPSDKENSDGKFVGVDLWVDSQEEEYELIDDTKLEMLRLLIPGYTECFQLYLSSSAVQVIFFRSTGILCLGLGAGVKDASQFKFHNFQVEIKSSQVEIKRMCNLIISMASNSSKDLSLRTLEEHMEGFLVIAATGALKSVLVKLAAMAGDTRSNGVRGQISFLADELAAMHTFLLRMSESEEGNADPQDKAWMREVRELSYDIEDSLDEFMLHLDGESANPDGFIDKCRNLLAKIVARHRIPKMIGEFKAQIKQVGERNARYRSNGGISLRTANATVDQRALTIFQDVSSLVGVDQPKKELIDLLMKDDGHVASEHLRIISIVGIGGLGKTTIANLVFEELREQFDCSAFVSVSRNPDISGILRIILSEVSAEPYGNTESGDIQQLIRKITTSLKNKRYLIVIDDLWNIESWKIIRCAFSRSITGSRIITTTRINEVAESCCFPHVHRVYKLRPLHFVDSKRLFLKRIFGLEECPSHLTEVCCNILAKCDGLPLATIAISGLLATKAPTEDQWNQVEKSIGYALERNEDVNGMIRILSLSYFDLPHNLRTCLLYLCTFPEDYIIHKKRLVRRWIAEGFIHEEHGHTLYELGQRCFNELINRSLIEPRYIGKFGEVKSCRVHDTILDFIRSKSIEENFVTLLGIPNVPIDRQKKVRRLSLLVNTEEYYSSLEENNIKYVMDKKEDDSNEQEDNSNGFHILKKLNLCNARLLTIFPHSVKVPSLLQFRRLRVLDFEGCEQLENHHLANIENLLHLKYLSIKDTIVNVLPTQITRLRYLQTLNVDVRGAINIPTHIYRLRQLTYLLVDMRCQLPTKIGNMQALQELKVVNVLAQSLNTLQGLGKLTNLRKLSIFMPGHHADAAERYKGHMKAMISSICKLGRDNLHCLTIHISSVSADDFIQEPWCPPPLSLQELVINQAPMSRVPRWIGSLVNLQRLGLYLKELSQEDVSTLGGLPALLSLILYVEEVITEEGGRLRISSSYGFPSLERIRLGGESCRLELTFEVGCLPKLQQLNLECMVTEENHTSSSNVVFGIEHLSRLTSIYCCIHYKYETRLAKVAMLAALERSSAIPTNPHLQRRNMVIL >KN541115.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541115.1:6310:8717:1 gene:KN541115.1_FG003 transcript:KN541115.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPQYDTCAHKVRSDFMARYKWPDDQPDLKEKWITTLEKCCEKLATQEIYQERTYGRSFSIIEAYAVHMGASKQAVAEGQGNDLPPIPDERAQENLDKYRDGMKAAHGPNVIWVRAPLDAQVMYECTGHKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTVRPSQIEVELRQELANFKRQRQDDCQSIRNALSEFNNQIMENATKNSSRNVFNQIDGNNSGSCNQQDAGLSNNGQGDMGNNSENVVLQRMDGSTFRYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPDPFFSLFGI >AMDW01016527.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016527.1:1:234:1 gene:AMDW01016527.1_FG001 transcript:AMDW01016527.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HCSSFTARLAAPPDQITPSYRNLLNYRCSRGGGADPAVVNNARDEDLATVARFMPAFVGKLRPVSALDNTYYRNNLDK >KN540544.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540544.1:46210:47886:-1 gene:KN540544.1_FG001 transcript:KN540544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVASCAPTEEQAYSCGDEDITQEEKMLLQSFPIHESDDYEHEEVNCELAKSGDQICSVPYGLYDLPELNDILSLETWNLCLTEDDRFRLAAYLPDMDQHDFFVTMKELFSGSDMFFGSPVKSFFHRLNGGFYSPEVSQARELLMIFERRRYYHFLKSHHDGMIFKFASMDKVGGRCGARPGLQGKVNSWNDRRHEDPLTGVDISSSPFNRSLSIANEVKDATLPPLKRTKRMDGTVTTHCSAKRKGIVYRDKSMEMSSLKSPVFHVPGELTTCIRLPKGVLKIKTDCASLIDHNEGIHRTPEPMLADQLGIQVSSLPCTSAMDVHGFAMNSAYYYHINTSKSTLRNLHVRPYQREGTLDTYPHSVESPFGVQIMVPEELKKGYYSRMPNSFHQSTTKHSPEYCNEAPHEKNLLKNFGQQNAVIPESSPDPFTRNTDCHQTNGYMTHGLKTAESISEVLTLGTDTAAPYKHLLEQSETLRYPEGLKLKTPASQSVTEVEEGHRYPFTYKRRKLQKRLDLVDPVKKSTMVDSEPLSALASVANVKIKGNQALKIGS >KN540544.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540544.1:4014:12188:-1 gene:KN540544.1_FG002 transcript:KN540544.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSSSARWDAGTPGGGNGAGNKDNAGRKGIVACGKRTDFGYDKDFEARWFFLLPSKTKSWKNSQMFMKSVEVYLSITIKCLSELFMDLAKLKEGTVQANEDLTLLHVFDRLCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKHFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPCAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASDIPLDISVLHNMRQFVKYSRFKQFALRALASTLNAEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRMQTGLKGSIDPLLEEADIDRDGKISLDEFRRLLKTASMSSRNVQTPRSVHRS >KN540544.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540544.1:40064:42869:1 gene:KN540544.1_FG003 transcript:KN540544.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >KN540544.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540544.1:31090:34443:-1 gene:KN540544.1_FG004 transcript:KN540544.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSDPHSVLTLKEAAISDNMGEEISLRLRTDDLKGFRKYESIKRTLLHELAHMVHSEHDAKFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFVLEPDSVVVGHKLGGGSSSLASARVLSGSAAYKRFLNASADLESSKDSVTISGNEILVPGTKFELDPDDVGQDFSQENAKVEPDPDDNDDMNVDVGTGASWSSVSRSFTEQDTISHSEPDPDDIRQQSTAGCLEPDPDDSSNVDILSWELRIDGKQNGEPDPDDNGTSKFIPEPVNKMEVETELGSNFAVLNSEPDPDDSSNAILNKKLGIDRSDETTCEAVVEECGNKMEVETEQSRNSTISKSEPDPDDHAANSNIIELQRIEEPVAALCARLQKAIEMLRSQATPAEATSALQTLFKIIKNVIEHPHDIKYRRLRKSNPQFQRSVANYKAAMEVLELIGFSEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVSMA >KN540544.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540544.1:23845:27190:1 gene:KN540544.1_FG005 transcript:KN540544.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVVSSRRVHVQLLILQLLAAVVAAADEPAVPPAKKIKSCTTRCGNISIEYPFGVEAGCYHAFGFNLTCNHSYHPPRLFLGDGTVQVLDISIPSGTVRINSDRINLEDNGHGSANRTWGGGLPDGGPFFLSESESSLLLMDCDSQVDVRELGGDRTLVASCTSVCPSPHPNSFIMSGRCSGTGCCQTNIFLGYSSYLIHIHNLNQKVHAKFSNIYMVDQGFNYTDFMLSNSTEYPPRALPALLDWVISNSTRNCPRNSSAPECRSAHSSCQDTDAETHGGYRCECSDGYQGNPYIIDGCKGLKIALIVTGGSIVLILVLATPLVARVVKQRRDKKLKEKFFKQNHGLLLQQLISKNTDFGERMIITLEELQKATNNFDRSRQVGDGGHGVMFKGILDLNVVAIKKSKIIVQREIGEFINEVAILSQINHRNVVKLQGCCLETEVPLLVYEFISHGTLYHHLHVDGPISLSWDDRLRISLEVARALSYLHSASSMPIYHRDIKSSNILLDDSLTAKVSDFGASKYTPIDRSEITTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPVVDTFDGDSLVSHFVMLLSEGNLIDIIDPQVKEEEGGEVHEVAALAALCTKLKGEDRPSMREVEMALENIFSKKGPFHKVNRESSRPSKNPISALYISIEGVATEASRQSMEEEMLSFPR >KN540544.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540544.1:43701:45014:-1 gene:KN540544.1_FG006 transcript:KN540544.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAFRDAASMREVFGSCAGVADVVSWNTVLGGYVKCGDLENAKRVFDGMPRRNGVSWSTMVGGYAGAGALDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPIRNLVSWNAMLRGYSVNSDMDGARELFDVMPEKDVVSWTCMISGYAQAGRYQDTLELFRAMQTESNVQPNEVTMVSVLSACTNLTALEEGRWVHAFIDKHKMVLNNEYNLGAALIDMYAKCGRIDIAVKIFHSLDRKNVSAWNALITGLAMNGNARDSVDAFEQMKTTGEKPNDITFVGVLTACAHGGLVDEGRRCFQSMASTCGVQPEVKHYGCMVDMLGRAGLLEEAEELIRSMPMAPDAMVLGALLGACRMHKRFDVAERVQSEIHSLNLNAQQSGCHVLISDIYAAAGKWVDVLEAREVVQRSGIRKWTHWVKFVAEA >AMDW01029345.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029345.1:31:384:-1 gene:AMDW01029345.1_FG001 transcript:AMDW01029345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLMLSAIYSVDLDPESTAVFRSVVEEAMLLIGTANLSDLFPAMAALDLQGVRRRVAELFTITYRQYDEQVARRRPERDAGEAGKNDLLNVVLDMEREWQQKGSVLSHDAMRVLFT >KN542301.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542301.1:4125:6245:-1 gene:KN542301.1_FG001 transcript:KN542301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDYFRPIVSGIVCRNLVLVISVIIAAVLVGAGSFSRRYWRHGSIRLLFLGAYTLFLPLVSYIVSRIKENYKMENGIIMVECSDVSVVYLLMWASLLQIVVANYCTAIATHDDEHRNNGPTVQLLLGAIWILFLVVRDFWQYTYSSTTLNWLIAIPCALNIAKILAKLCAHEMSRRSFEVGGRNTQLITGYMEGDKHGIPLILMGEDKQKVEKGPRGYRFTDDSANSSTLVTMDSVAYMASTKDEIVSNLKSVVTHLERGIVFPRYNRLLSYPDISVLLSEAFEIISYTCSNWTKVNLIYCYIAKISRQVSPLVKRLIRCMLRLRCKALNHSYKMGQTSIMDTNMKIVKAVRCLLQLSDQKMEYVEIPPEVNTAILAKFRDSNWRLPTVNASLQQSRIGNDILWACNGKGTSDVILVWHIATCIFQIKHPYEGPNAPAITASQLSRYCAYLLSSAPELLPDDKAWSKKLYKSVKKITEPIFSKSNTRPVQYEHILQKLDEKSNDNTELKNGVALGKQLVDATQGAEQEGWEILAGFWSAMVLYIAPSDNVGAHREAIARGGELITILWAMLTHAGIISRPRTGHAV >AMDW01040219.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040219.1:337:1155:-1 gene:AMDW01040219.1_FG001 transcript:AMDW01040219.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYTADEADRMGLVNVVVPLADLERETVKWCRQILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >AMDW01040143.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040143.1:185:701:1 gene:AMDW01040143.1_FG001 transcript:AMDW01040143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NRPQSTASVTEDLSRCIIRDNNLKSQDSASVGASRIPQGAAARPGKAVGSVLRYGNCSTSAAEQQYEQRRVVRNPAIAPNSSVPLGSSYPRRNQTCKSETGDVERIDSSQAGPPKPYVANKLPATVDGRSGHW >AMDW01041761.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01041761.1:44:949:1 gene:AMDW01041761.1_FG001 transcript:AMDW01041761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KKARYLGDGACFLVNPFSREVVNLPPPFVSTHLVDVYTRSLPIINGSGVVDCTIHAAQYVMSFCKVILSSPPGSGSAYTVAAISVHRNGAKLALWRPGMTSWCICYGGCISKFSDVAFYQGKFYILSKLTTNLFAFEITEDDCGMMVSRVERCVTELPQVKDSYGQRWNMVEWHGKLLLVVRYIGGSEGWHNICKVSVFVMDVSTNPFRFTEINSLDGDCIFISPCSSMSFLACQYDGIEDDLVYFIDGYLFPAKNGPPFDRFVYNMRDCTLAPFAADISDDNFRAPDGRLMSPTWFFPSE >AMDW01040585.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040585.1:36:1367:1 gene:AMDW01040585.1_FG001 transcript:AMDW01040585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPQWLMKVGENKEPSLLGVRSDGSKIPGNDEDTSFSVKRKDVFRASVLDGETGRRERWRDDEREPNSAPRWNRWRETDKEHGDTRKLERWSDDSSKYSVDGRRPPQERWSDSSNKEGNYDQRRDNKWSARRGPNDKESENWRDRWGDSGKDGDAAREKGFSHYIAHGKDSNSHEKDAERDDNISRSWKSSYPVGRGRGDSSHHPSQNTQKSSATYGYGRGKPDNEIPSFPGSRGKFTSGSTNTASSGSSRPFHLGLLSDRPGGTSGDRTAFRYSRMKLLDIYRTSHVTDFKMPLGGCEELSAFMQEETLEPLALSAPTADEAAILKAIDKGDIINSGVHQASKDGPVGKSNPEFPH >KN540097.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540097.1:55547:58506:-1 gene:KN540097.1_FG001 transcript:KN540097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGFRPFSALMSAPSTAQQHAGSSSSTALLIQVDGNICVADDDGYYWRMTGQNTIQGGSSPTILSHYQCAQANCAVQKTVAHSADVEILYKGRHSHPRQSDRLEPMSQVGVLVEASDAAGAAVGPSVPGTGNGDDQSSGSSDRDEDDEGDVEMDGDAAAGDSNAMQRRKLKSKVWKEFKPVCKDGKLHIGICNHCKGRLVGKTNNGTSHLRRHLKICPAKAGTSRVQKKQRSSTSQPDLPVLENLVNDEENPTENLESGQENPLEEFMRAIVLRLSPFSAMHSASIASFLAGRNPAPYMVRQTTDEEKFHSVYENEKLKLKEKIIATPGGVFLSLEVCYWYRYITARIVCLAVHFIDEDWKINRKTIRCSLSEYGQSLRFYPHWESKVADVKDHDKMVLKEVVRDWCLEQKLLGMALDKSVDETTTASLEDDLTTGRNYLVAKCKLLTIPCMVDAIHGLLLCCTFWGKESVWSRYMTSSPERKLKYQEVLSQLPLECPSPGSQTWYLRYCLSEAALQFVKSFPLPDASADDELDFSDSSDPGDPSFDDPSYDDLEAAENFCNIARPVYHAIKTVSNPYNVTCSYFHVIWSLRTTLQELPSIKNIESVLEVEAMQRRFEKHWNKWYLWLSIAVVLDPRYKFDYIDRCFRQAFGHDAMIYISEVRVKLYEVYIQYFYVSERSNEMLSRRNNGSDTQTSVPLHNHSQNNTTAQTVDEEVKELVTYIGYFQVLFSFHNPLRL >KN540097.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540097.1:60:1893:1 gene:KN540097.1_FG002 transcript:KN540097.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDGEGEEDYTTSDVQTWIRAAIKRRARVIQLTDHPMDEAFFNLGFVPIISCHLKHLKLSGSLLWNTTLMQLSSQCPALEILELKKCSLHGREISSTSLKSLTMVKCRIMADLIIVAPNLVSLRCIKPYHRAPLFEDIGSLTLATGTIVLDDSLLCVDFNYQYKDFDEDAIDGSNSDDGEGCTSDSDNDDSDANTCEYSEIADDYDDEKQRQEHGGGHNQIEDDYDDENQHEEHGEEHNQIGHDEVLGGHNVLHILSNTEILELLADGGEVILNRELETCPTFRNLKTLSLGEWCMGADFDPLVTFLQHSPNLERLFLELKLGFPPDHSNVKLEGGCQGAAPVSSEVQASALIMLEVQHMSCFFRVHWNYEYGYLNMRMT >KN540097.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540097.1:38984:40750:1 gene:KN540097.1_FG003 transcript:KN540097.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEAARETKGSLAAAREPGVLVLLAAPRRADVKAALRCRLISNSATSAVVDGHVSHAFKRAGESSAWVLILRRSALEASGAIVDDAFTVITELPDNVAAAAAANVLPPFSGHGLSLSHHLGELLRRGTGADVTLVVSGKCFPAHRAILASRSPVFMASLFGDMKEKSSRSVEIRDIEPQVFGAMLGFIYTDSVPELDQQDGVVVAQHLLAAADMCGLDGLKIMCEEKLIAGATVETAATTLALAEQHG >KN540097.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540097.1:35096:35656:-1 gene:KN540097.1_FG004 transcript:KN540097.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRFRSWLTEQLNMQQQNAGCSSNPAPAVMQMPALPSHTDYGGIYPNPTEYDGYNWRICGQKLVQGGCHQKFYYECSQANCGAEKSVTRSADGQIKKTVCKGSHNHPRSSERVFGDGSATLDAIPVGEILQAAGVIRPSVAMPRNEEEDELQSGSSDSEEDDDSEARADGDGAAGDANAIRCSI >KN540097.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540097.1:2660:27736:-1 gene:KN540097.1_FG005 transcript:KN540097.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANWKSYFNAKDSSYYDSEGTDFAVIKVKEAVQDWNLEHKLLGIALRSNLGNDVILDLDESMAGAVQNYLLAKHKLLAVPCMIDALHGLFGYDLGQFVQKTIKEWFEYMTCSELRLEKYKEILSGLHPIRPSFGSQQWHLTFYLFEAALQFNKALPNPEEMDLESKAVSYLITGAIIYRWIDTISLSIEPSTWMIWKERSARIIENMSRPLLTLCCESDAQLNMQQQNAGCSSNPAPAVIQMPALPSHTDYGGIYPNPTEYDGYNWRICGQKVVQGGCHQKFYYECAHANCDAEKSVTRSADGQIKKTVCKGSHNHPRSSERVFGDGSATLDAIPVGEILQAAGVIRPSVAMPRNEEEDELQSGSSDSEEDDASEARVDGDGAAVEQITLDFFMPCTPNVLAFQPGRKEMENQSGQAPDGMADQRFRSWLTEQLNMQQQNAGCSSNPAPAVIQMPALPSHTDYGGIYPNPTEYDGYNWRICGQKVVQGGCHQKFYYECAHANCDAEKSVTRSADGQIKKTVCKGSHNHPRSSERVFGDGSATLDAIPVGEILQAAGVIRPSVAMPRNEEEDELQSGSSDSEEDDASEARVDGDGAGADANNAIERHGAAQEITAQTATEVDVTGNDCQQRKNYCRSGNRRSKSKVWEEFTAVFSGGKIQNAECKHCKKCLSGKTSGGTSHLRRHLKICPAQCRNTRVQQNWSSSRLDSSVANNWKFHQETSLELLTRALVSNLCPFSVTSGANFRKFLTGISPAYSIVPQASVEAKFLSIFQNEKVKLKEAIALTPGGVFLSVTRCACSGIDVCEAEHYLSVLPNWKSYSNIRMAKYVLGGTLKTNKALIKEAAQDWNLEKKLLGIAFPMNLGNEVILDLEETMIGIGAAQNYLLAKYKLLTVPCMIDALHGFFGYSLENFVLETSREWFEYMTCSALRLEKYKEILSRLHLNRPSFGSKQWYLTFYLFEATLQFNKELPNPEEMDLQNYPLKPSFQRLEAAKNFCDLARPIYHAVDVLSRHNATFNSHFHAIWNLGTALRESSVKFNIERIINVDDMKKKFDNLWRKCNLWLSMAVFLDPRFKLRYLEHCFKQVFGTGAKLILEVRAKIYELFLQYSCNADQQSDELLNHWNTDLQMGRHETGDENGGS >KN540097.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540097.1:41626:50724:-1 gene:KN540097.1_FG006 transcript:KN540097.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGFRPFSALMSAPSTAQQHVGSSFSTAVVQVAAAQQSHTDHGNICLADDDGYYWRMTGQSTTQGESSPTMLSHYQCAQANCVVQKTVAHTADVETFYRGRHNHLRQSDRLEPMSQVGVLVEASDAAGAAAGPSVPETENGDDQSSGSRNEDDAGDVEMDEDAAAGDPNAMQRRKLKSKVWKEFKLVFKDGKLHTAICNHCKLRLVAETRNGTSHLRRHLKICPEKAGTSRVQKKRRSSTSQSQPDLPVSENLENVEDKFISVYEKEKLKLKEKIIATPGGVFLSVNKWYSGSYETGIVCLTVHFIDEEWKINRKTIRCCLSESDGLDLNLFPHWQSEIANYEDDDKMVLKKVVRDWCLEPKLLGVTLEGSVDKKATISLEDDLTTGKNYLVAKCKLLTIPCMVDGLDDLMQYTVGREVRSMWSRYMTNTPERKLKCQEVVSQLQLDRPSFGSKYRYLTFYWCEAALQFIKSFPLSNGSERPSLDDLEATENFCKIARAIYHATKAFYEPYNLTFNSYFHVIWSLRATLQELPRIKNIERVIKVKNMQEKFDNHWKKWYLWLSIAVVLDPRYKLAFIELRFREAFSQDAGTYISEVRAKFYELYIQYSHVNEQSNEILNQGNNGSGTADADNGNNITLS >KN542776.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542776.1:998:2136:1 gene:KN542776.1_FG001 transcript:KN542776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPATSDAATGDVPEPSRSSSVVRAMSGYHVLKMEGYAAGVKGLGVGKFIDSGSFDVGGHRWCIRYYPKRSPASPGDGDWISIYLNLCSTAASIGDANASFTISLLDQDDDEHQPVAAHSRSCSSTVTFSSAATKAWGFPRFVERKTLEESPYLRDDSLVLRCDVTVFKETIIEPAAPTPPVAVPPPDMHRHLGSLLSGGHGADVTLQAGDETFAAHRCVLAARSPVFMAELFGPMGQNSKETIHVHDMEPRVFEAMLHFIYNDSLPKVDDDEVVAMAQHLLVAADR >AMDW01038658.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038658.1:289:819:-1 gene:AMDW01038658.1_FG001 transcript:AMDW01038658.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPQQPKQQQVPVQQQPPQKQAPTVLRRSASIAARQAAMAQQSQDAKTVPSSPKIKRQASTKAAPVASTKLTGAAAPSTPKSDADAPKKNEAAPASLQVPTPATKADVPASEPSAPLPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >AMDW01039888.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039888.1:58:768:1 gene:AMDW01039888.1_FG001 transcript:AMDW01039888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESRRCIASPPSLDALVSTLSHGEQVTRVSAVVVLREIASSCDNQCLEAMSTANAMYDALVNLVAKPVSPQATKAALVTAYYLVKNDIEHAASRLVDLGTVELLVELLADADKGTTEKALAVLDTVLVAAKARDRAYAHALAVPVLAKRTMHVSDMATEFAVSALWRLCKNSPADGGCKAEALQVGAFQKLLLLLQVGCDGVTKERASELLRLLNASRDSTECIETADFKGLKRPFI >KN543124.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543124.1:8:313:-1 gene:KN543124.1_FG001 transcript:KN543124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGVMTSVIIKLSALLGEEYTKLKGLQKEVEFMKDELRSMNALLHRLADKDSDLDVQTVEWRNQVREMSYDIEDCIDDFTHRLGTHIGMAETAGPVQR >AMDW01011033.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011033.1:1:225:1 gene:AMDW01011033.1_FG001 transcript:AMDW01011033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PLTAEASFVKRLYYVEATNIARLHEEARATSVQAVSAYLWKKLAAVLSSSASIAKSDTAARRCSMGYWVDLRWRV >KN545196.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545196.1:437:613:-1 gene:KN545196.1_FG001 transcript:KN545196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLRLPESSSSLTAAMTSSQAYIDQRVVVDILAAALTETEAIRLYLHMPIDFRRWS >AMDW01038183.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038183.1:84:650:-1 gene:AMDW01038183.1_FG001 transcript:AMDW01038183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TPRVIENAEGARTTPSIVAKNQNGDLLVGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAFLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFE >AMDW01032344.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032344.1:1:459:1 gene:AMDW01032344.1_FG001 transcript:AMDW01032344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAQLQTKIVEALRREVSGHAARGDAVVFRHAVLDSILSLLLGVLYSTDLEREERAMFRDLIEEIVGMLGTANVSDVFPPVAALDLQGLRRRMTDLLTIMYRHFDDQVALRRRSRDAGEARKNDVLDTVLDKEESEWKQEGSLLSHDVMRVLLS >KN543827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543827.1:2697:2831:1 gene:KN543827.1_FG001 transcript:KN543827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYEYLSDDGKDEDDGLQAALLLQQSTDVEGKNAVSGQMAMR >KN538884.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538884.1:55189:61335:-1 gene:KN538884.1_FG013 transcript:KN538884.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAAVFQLTPTRTRFDLVVIVNGRKEKIASGLLNPFLAHLKVAQDQIAKGGYSITLEPSSGVGAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDNLGLRSKGDAFLFAIWKVEDHGGKLTESNEGTRANHSPDADKAIVIYQPGSQPTPAVHDETTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGEANGEDASKADHKSGQQMGYQAAYPPWAMHPPPYHMQGMPYYPGPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKESEVLDEGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGLSESESQSSSEDGSQDSDDSHSKKRHGKHKSSGSKKKEGAKTNFDSGDDYNNKDESSYGQDADQGNWNAFQSFLMRAEEKTRSNDADMFSGEKAPPSRKKNNVNTSDPILLAGGDSGDVYEQRGAGFDPVNGRSRAIRLQSNDELMMSGEGGRYMDGEIKEIEAGGGRYRRGTSEDFMLYGQERSMDRRSALDPLAEARYRNPNQVDKNGYVAADESFIIPLRSGSQDNVGPEYRAAIDIDVELPTNTKKTSDGKAGTQLFYEPDELMPERGSEDASLGYDPAMDYESNMMVRAVKVEDGNDEDVSHSNDGDVKKPEKEKIRSTKDGLDKRKKDAILRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSIGGADHLSNPKSATTDHSRRRSMVACNSCKPVFVHGTYYIVDVFRGGIGHCV >KN538884.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538884.1:17155:19176:1 gene:KN538884.1_FG014 transcript:KN538884.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGIKDEFPQNGKSSRIIVTTSIHSVAAECSRGRGFVHPMQCLGKTESEDILWEMVGKNNRSPVLINAPDDIILKCGGGVTVELCRRVSRELGDKILQGREAEFERINRALQHCYSQLPDYTHRNCLLYASVFPKGRPISSKVLIRRLIAEGLVAGHSTITDEQVAAGCLYQFSDRSIVEPLAINNAEVAMFRVYSIILEFIICKAISENFVALVQKGDNGETVYNRGRKGPIIDFKVRRLSVQDGSKEAVKKVTEDIELRYMRSLTVCKSDLLHKLGIKVCKLLRVLDLGGCKGVNDIFTQVICKLHCLKYLSLRGTDVVSLPPDIRHLTKLQTLDIRDTCVKTLHIEVIKLPLLAHLFGRFELPSYGVTVERSERSKLQTLAGVCITQGEDKSFENIILHARNLRKAPSAITSIKLRGNLEKLPPAATLKHLIGLHKLILISTGLKVEDLSALQNLHYLQYLKLEEDRSGCRRWEGGTFVVHKGGFPSLTRLCFEAPKLPEIIFQRGSMQTLAILELLSTPFSSQTCDDQYESWFGVQGILHLGNLDEVILHHSTDNAKMQDWKEAALWHENKPSVKRQPQPQ >KN538884.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538884.1:74977:76505:1 gene:KN538884.1_FG015 transcript:KN538884.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLQGIKNVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYASDPSKYEQLHSMVKVEISSKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMSQACSDAYSKTLKKWHGWLASSSFSEYLTIPEFQVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLAENHRFLVAVYNRFVYFQASVGMDDLKAS >KN538884.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538884.1:93769:100395:-1 gene:KN538884.1_FG016 transcript:KN538884.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELVYQQWIDKEGKTPLMVASMRPDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREARNQTRPLKLELAIYPELQCVLYLFILSKRKKSAQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKGTSVRYNLIKMNTSVCVLKYKSCMLSTSFSHFMSSSHVEILLHIGAGLHITSSPSELTSSSINEGPKNNLRRSFIITIIIVIKSVKVRRTACEGPSFIVNVSKSVKGRRTVCEGTEVHFIDEGMDFFLIIIIQDFYASTTSSSMDYRFGTRYKLLPVCEGDKQQLQWFYSACCGIPQVASMVPAQPVNAPLPNPSSASSAPSVISTPSKEDAELAMAINASIQSAIAEGVPDVQPIASTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQVPPSSSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVGTFYDGPIQYPSIDSTPVDVTMPSADGGTAVSSAKPAENEGDAKPAESDANASNSGNTPPGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIVRLYAV >KN538884.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538884.1:6538:7414:1 gene:KN538884.1_FG017 transcript:KN538884.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding METQPLRLLCTLQQKIAAVIQENKKLNGQVKRSLERISLEMNMAAKDIESSNDMTRAGPAHEAKIVLLNELAMDVEDFIDLNRVPTEKSGFFHTAVGLDPRPEIVENLDKIKEGIQKVREWKPDDGVGSSQQLGVGDRRGAAPWPAPADHPDFHESSRDELLRWLRRPEAQGHPQELKVISIVGCRGMGKTTLAWAVYQHCTLHQPHEYDFISWVAASECKNRDDLLTKVVLEHNSGSTSRIEQHSLREFLWDKRRILETQLEKSLSVGIIRLSI >KN538884.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538884.1:80655:81150:1 gene:KN538884.1_FG018 transcript:KN538884.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGILRPFRYISNMMDGKEAAQDMQIGFPTDVKHVAHIGWDGPSVPNNNNNTAGAPSWRQEM >KN538884.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538884.1:599:4439:-1 gene:KN538884.1_FG019 transcript:KN538884.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVPDPLPMMSSTTGAIISLLHKLMALEAQHPTLGGLRENLESLLNGDMLTLATRRRRDALIGEWMLQVRGLIYDMEDWVDGCLTRPPSMPVADFWCSDIAVQVEEFLADIEDAQDRCRRYHLLSRDPTPDAVPDADADADPDADADAEPSKENTIDGGAKLLYGEAPCLVAIDEPKRVIVEHMMDEKQDHRKVVSILGTRGIGKTALATEVYRELLLHGKFDCGAFVYLGRNPSAKTIIMSVLDQVEPNWSYVVDSQGESSNFCVTRSWEEQEVIAKLWAVLQRRSAFPENNIIKKDCLIWRWIAEGFIPKRDNESLWETAERYFEELIARRLVQPAFDDDDDQPIGCTVHGAVLDYMVSLSAQENFITVGAELKSRLLPCDRARRFCLVSSDENSSCIDDELSRSHLSRVRSLAFSGDATRMPDVRDFLLVRVLDLEGTKGLEKRQLESIGCLSLLRYLGLRGTEVTSLPQELMALEHLSFLDLQGTTVKRVAEWTKLVTLLADNLVIPREIEGMPNLEEVSKVLQGHDGSLPHEVAGFVNKWRQLRVLGVKFGRLNHHHETDRHGVKHFLEEVVKSNLQSILLDNYLHHLLDILVDSWPITGHIT >KN538884.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538884.1:21467:29564:-1 gene:KN538884.1_FG020 transcript:KN538884.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNMFYRRKRSWRAGDLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGKSNDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTDTEYVKPERILTDKMPLSPVPSLTFFFYGYEIADSEHWYSYHCRTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDQDNFSAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQNPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLIADADEMLNSRLSEDNDLPLHHSSRNSAVPLIGFTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFAKAKPVVEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDDQAFTTAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPRVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNPSNCEC >AMDW01033858.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033858.1:8:214:-1 gene:AMDW01033858.1_FG001 transcript:AMDW01033858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGWWWVERYGPCVGMVMVQWFYAAVDMALKAAYGMGMRPIVFVAYRQGVAAATLLLASLAAR >KN538884.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538884.1:45671:50369:1 gene:KN538884.1_FG021 transcript:KN538884.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MATILAALAPTVATLLGNLVADEVGLYAGLNHDVRKLRRRIEDMRAVLEEYESAAAAAQDADTKNWLKRWKDIMYDTDDIIDRCRIEAERRGGGATTWPHFRLERQVTTVLNCKVIDVDCKIARAIRKLNMSSEELSRRKPMLRDAGDERTAGTTRSYLYSAPEILPVTVGADIEKSTRNLIDLLMREDRSRLSLFAVVGTIGVGKSTFVGKIYHNQNICDAFPTRVWICPSRHSNDITIWKGSTRAAGDEELRSIIDGQKFLLVIDDLWTPNCWYNLSPRVRQGNPGSRVIITTRYKNLAKRIGVPGRHIYQVNKLDEDDGWSLLQNLVSDIHVTKDMQDTGRRIVQKCDGLPLTICSIAEALREHDRRAGWENVYKKDFLTCSTNIQGAINLSYKDLGPYQKQCFLYCGLFPAGFVFEKNYITQQWISEGFIEVTSNSTPEELAEVYFEELIMKGLLQKIEETDTIKRAQMPHILQSFAQGLSKDDSYTGDIEHLNDAFETRHFRFTSEIMPAPNEISKLTKLRTLLLFKNKYKPGGLEEHLRKLTLLRVLDLHATPVESLPPTLGRLVHLRYLNLSETKIEELPETIKYLNSLRYLILKGCPLSTLPKGIGQLKKLRSLDISRTKLNTAEFSFDQLNELNCLYGFLVTTKACEPDGWPLQELNSLSKLTSLHILRIEKCLKSEDARDVLSTNCQLKELELCCSSVGQPMEVPQEVVRIEGIFQELRPPTCIESLKLENYYGRQYPLWMPVLTNLQQLGLHSCINCDTLPTALGELPQLRFLTITGCNALRTIGTEFRGYQQIAFPALEYMQIERMLNFKSWSGLQANDMPVLQKFVIKCCPSLNCLPSVIVHSTALTVLHIEEYDHLEKVENLPALKELTVVNNRNLKELSNLPELQILEVNNCRELNSVNGATSLRHLQIEGRHLEKLPAWLAHHTSRLQRLEMIGTWNLLVRCFDEESTDRPLIEGIPYVCGRTLDNSTFPLSYMRSTSMAIDPTDGPMMQETQNSSTPGWHLNYGTLLTILVICVCQYLINMAVPSIK >KN539147.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539147.1:49628:54222:1 gene:KN539147.1_FG001 transcript:KN539147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGGCGANEDCYRVMMFCRPDDTPWDGGFSLPVCQHGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKEQKKNSRDTSHDANDTKMEFIIPPDDAFVFCLFKVGFLKLK >KN539147.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539147.1:108048:112513:-1 gene:KN539147.1_FG002 transcript:KN539147.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAAAAVAAAARRGVLGFGRLGLSLQAPSCARRGARTITTGADPPSEFSRISQDEMYRMEVKKIEFKTDVLRAQFHSLESHVREKIAVGNNDTMKIATVTYLFFFGSMIAALSGYLCSADPSKNADKVSGRTASS >KN539147.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539147.1:29227:32222:1 gene:KN539147.1_FG003 transcript:KN539147.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKHIASETFSVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSILVPDSDIGYHFGTLLDNHEGVDVVLNVGGERFHAHKLVLAARSTVFRSKFFDDEDGEKNEPGENDDVQEIVIDDMEPKVFKAMLHFIYRDTLVDDNELGGSSSESSIFDTLAAKLLAAADKYDLARLRLLCESYLCKAISVATVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTLAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRT >KN539147.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539147.1:55317:58423:-1 gene:KN539147.1_FG004 transcript:KN539147.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSLSLASNNLSGNLPDMSGAKNLQVIDLANNSLGPEFPRLGRKVASVVLAGNRFSDGLPPELASFYLLERLDVSRNRSFSLVELEAATNDFEVSNMMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTAIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKLDIFDFGVILLEVISGRPITSIYEVEIMKEQLQSALTAEGPAKRRSFVDPAVSKGCSDESVKTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRDLEDDQ >KN539147.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539147.1:85731:88557:-1 gene:KN539147.1_FG005 transcript:KN539147.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRTFKTGLLRRFRVSKDVCYRSGCHFMSTDAKATMSRDELHEKQLMHLNQQYELLKEEFEVYSAATRAGVEQRNTRTSNIIFQLQTVTVALIMCLMECKVDILPPLPSSSGSADMMDFSDAWDWIDID >KN539147.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539147.1:26044:27049:-1 gene:KN539147.1_FG006 transcript:KN539147.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAFFTAATAAAEAVVAITRNWVFYFCMRTIVIGGGLLALFIGYVMAAYSKTWLDSLSLLPLLFAAVILPVYAAVAIQDARRLERERVVWIVRKALEIEGL >KN539147.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539147.1:128944:129692:1 gene:KN539147.1_FG007 transcript:KN539147.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFVFRKLASHLSSKSSTRLFPGAAETSRNFNTLPTTGLSSVHHTNNRVINTYACVRGANTNALFSTTAKVDRLAATSDFTP >KN539147.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539147.1:68920:71003:1 gene:KN539147.1_FG008 transcript:KN539147.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHATTMCKRNDVHANMTNATMTDALQLLPSASRNFNTLPTTGLSSVHHTNNRVINTYACVRGANTNALFSTTAKVDRLAATSDFTP >KN539147.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539147.1:32851:42191:-1 gene:KN539147.1_FG009 transcript:KN539147.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSGGYTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDALVDFQLPLDISEVTSQDTVPFGYGFIQFLDVSLAAETCEELFTANAPHIDLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLACGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCKPFQSGMVPTGPVEVMYHRPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVVKDLENGDEQVKADAMRIGQYKDGEFPKDSRELAKRLFYTVYMGTENSSEGTRSRAKMLAEEIGSFHLDVPIDSIVSALLSLFERLTGKRPRYKAIKTRLSNNNEMQNIPMYSKNMIQGNEDWSGRNLPVDGGSDTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLHYSSLAEVEAAPPTAELEPIRADYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGTLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQDMDKDGKWVNSTEGELRRRKGVRSAEGGGMGVVAVGSANPSAGS >KN539147.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539147.1:438:10388:1 gene:KN539147.1_FG010 transcript:KN539147.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03710) UniProtKB/Swiss-Prot;Acc:Q8GZQ3] ILVETGHIGRQASASVMVTDGETVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDSLAITAAGVAICSCSYLPQSFLCRALSEVPNKQTIAGVRIGMINDQFVVNPTTEQMEDSELDLVMAGTDSAILMIEGYCDFLTEEKLLQAVETGQKCGKKKMFDAIDLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEEKVITILSEQGYVAKDESSGVSENLADVIEEEDEDEVIVDGEVDEGEVHIKPVSRKPPRQLFSEVDVKLVFKEVSSKFLRRRIVEGGKRSDGRSPCELRPINSQCGLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDNLVDTEESKSFYLQYTFPPSSVGEVGRIGAPNRREIGHGMLAERALEPILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTLEFGGDGKPLILSDITGAEDASGDMDFKVAGNENGISAFQMDIKSATLFGRHIIDNLSVLAMMWQVVGITLPIMEHALLQARDGRKHILNEMSKCSPPPAKVLSPYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKAIIANLTMVPKVGEIYRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDANQESSSKQQAGGSTREKTPQKDNLVKMTTRRPRRKKQAEPSTAENNATASPKDLASQGSEMGTE >KN539147.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539147.1:94015:100491:-1 gene:KN539147.1_FG011 transcript:KN539147.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLLRAGEAAARHACAVRSCRGSSIGKNLERVEEISATPSCGHGPRQRALSLGANGQNVIGDEGYTRRSEEWRTFLQSSGQGGDGHVLSMLAPSCARRGARTITTGADPPSEFSRISQDEMYRMEFFNLLPSMLRVSGNDLLDQQVYKAYHKINLSREEFKSLKTLVRTHIEYGNSEAFKQAITGHSVFLASVSAMLAGYTMFSDTEATVKNPTASTSTEQQEQGERTFFLDAFHAEVLACAAGIKSANECGMQRVVAETDSLMLKMAMEDNSYALSALGGIICEMKNFVNTNFRSFSVKYCPRGCNKVAHALAALGCNDHLQNTLSWDEVPLEVAELVTSDITESIS >KN539147.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539147.1:130970:135806:-1 gene:KN539147.1_FG012 transcript:KN539147.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAIVSAVALLPAMVSATDYTVGDGHGWTLEYPSTNWADGKSFQIGDKLVFTYTKGKHTVTEVDGAAFHACNRQGNTLMTWNSGNDTVALDKAGKRTDRGSIKQEQ >KN539147.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539147.1:44090:47500:-1 gene:KN539147.1_FG013 transcript:KN539147.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAGHLDCHLVLPLLEFLQERHLYPEEEILEAKIRLLRGTNMVDYAMDIHKSRYGTDDVPEDMVKRRAEVVSRLTSLGEAIDNIHQNQQVLSSVVVCRWRCEGWGIGLVCSLVGGTVECVMWGIGMQIGPDQIETLYQYAKFQFDCGNYSFAAQYLHQYRALCTNIERSLSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFYNHENGRNGIIDLFFQERYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELVKVIQQEQHSYKDPITEFLECLFVNYDFDGAQQKLIECEEVILNDPFLGKRIEEGNSITVPLRDEFLENARLFIFETYCRIHRSIDIGMLSQKLNMRYDEGELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQFIESLKNLNMRTSMLAKNIVEPAQATQQATR >AMDW01030701.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030701.1:9:324:-1 gene:AMDW01030701.1_FG001 transcript:AMDW01030701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVQGATKLLDGSLERCFVDGLQHRDDKVIYNCLRAYAAIDNTSSAEEIFRTTVVSPLLQKIVPQNYAKVVAGVSSDDLENDYEQIMQCVEKDCKFILEISSL >KN545926.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545926.1:122:1181:1 gene:KN545926.1_FG001 transcript:KN545926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TIDSIISAYAKQTIPHFIGAGHVILDVIPGDMVVNAMLVAMAVHWSERGQVIIHVTSSQQNPLSTSTMLDLMYRYFTANPQTMGKNGKVVKTKRLNITNKTGFRAYMFLKYKLPLE >KN540336.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540336.1:54012:55433:1 gene:KN540336.1_FG001 transcript:KN540336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVGGSMHVVMLPWLAFGHILPFAEFAKRVARQGHRVTLFSTPRNTRRLIDEPPALAGRIRVVDIPLPRVEHLPEHAEATIDLPSDDLRPYLRRAYDEAFSRELSRLLQETGPSRPDWVLADYAAYWAPAAAARHGVPCAFLSLFGAAALCFFGPAETLQGRGPYAKTEPAHLTAVPEYVPFPTTVAFRGYEARELFKPGLIPDESGVSESYRFSQSIEGCQLAAVRSNQEFEPEWLELLGELYQKPVIPIGMFPPPPPQDVAGHEETLRWLDRQEPNSVVYAAFGSEVKLTAEQLQRIALGLETSGLPFIWAFRAPPDAGDGDGLPGGFKERVNGRGVVCRGWVPQVKFLAHASVGGFLTHAGWNSIAEGLANGVRLVLLPLMFEQGLNARQLAEKKIAVEVARDEDDGSFAANDIADALRRVMVGEEGEEFGVKVKELAKVFGDDEVNDRYVRDFLKCLSEYKMQRQG >KN540336.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540336.1:1190:1600:-1 gene:KN540336.1_FG002 transcript:KN540336.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTTLCAIHAKRVTIMPKDIQLARRIRGERA >KN540336.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540336.1:33461:34039:1 gene:KN540336.1_FG003 transcript:KN540336.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVAAAADGSTIDLAMLYAKFLNHPPTDAGLGAVTPESAGNVDEAFDTFSASSDLSPGILAAASAQFDPSQDGFGEWSSPASGNDPTSTATTATTSMLCTDASVQAALGELNFAMDQSCFDSLGLPTDVAGAGSLSSWCSIVPSLSTWEEPKYDSLDSFPDDAMSLHECMIGAPDHDWSVDCQGLEALYMP >KN540336.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540336.1:6943:8322:1 gene:KN540336.1_FG004 transcript:KN540336.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEEGKESATTDQPPVGDAVPGGALGADGGRPKGATVQDDGAGSSGSGDRVAEMMARLHLTAAEARAVVIDDEEEAGLVDPARALVAERDEEGNLPYAAKHLCVAEDVSKKANSGKSGNASSSMGANTTGFDSRGSSGGSTRPANSRSRSKKDLSSEGDGKGAEVTSPMKTAGRGRGAGWGGNRAPRGRGRNRANDPCKELFPDNVNPNLVTGQKRKSGKDPLLLGPSAAPATSVPPLALVPSSKGTSSSSLGPEQELGGGSDPNKKQRMVHP >KN540336.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540336.1:2663:4571:-1 gene:KN540336.1_FG005 transcript:KN540336.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQSSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >KN540336.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540336.1:44662:49814:-1 gene:KN540336.1_FG006 transcript:KN540336.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLILAGAEFELHFRFWLLCGRKLVWGDGHYERAAGAPSISGFEAMDLLLKEKAAALRSGTGRGGSGGGGGEGHAADGAAVHGQDRVDALVHKAMAQQVHVMGEGVIGQAALTGLHRWIVHDIVDECEEEDEVLLEMKDQFCAGIQTIAVIPVLPRGVIQLGSTKMVMEEAAFIDHVRSLFQQLGSSTAVVPCGSFVQDSIMRTPFHKSLGVPTSSHLEDLAGGGNTYNDDMINHQFRHQKAPASTIQSFNPVQQFYAGPTFSRPVTIASRCDLFQPDHGSTFTFNSQSEDNRSIALPKNNVSHSKTSNDAFSHAFNPFNEPNVSISGRRECVSIEQHGSCCNGEMEITKGRTASSSCTGKTDILNKVDALLSQDCLVDCQTSNATSVNRKFQTMSIVDNTKLQDGSYSIPNAALVDSTRYSDCFQSLLGTIQGSSSSNSNTIHVGTYHNAVPGESNFCPLGDRNAANSSDLPELLASPIPLGLTGGNDLFDVLQLQQKPSGSNNSEANNRQSMPYGSEQAVKSLIGCVDDDFTGLITEADPDQLLDAIVSKIITGHKQNVDTSASCSTTVASFDRQLHSDSHLYTTGPSSGPIFCNFASVSPAAIKTEGPAAGSRQSSSSIDKSTGYSQTQESYKSQIRLWVENNHSVGSDSLSTGQASDNISAGQCKRSDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDALLEKTIKHMLFLQNVAKHADKLKESGEPKMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAVRSFSSVQQVPPE >KN544870.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544870.1:94:2741:1 gene:KN544870.1_FG001 transcript:KN544870.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHRTSSLDDTPFNYEINKIKGYWTEIQSAKICYLYGQGRMLALILLKRARDAIGLASTIMTGAQLRAQTPSEISLNTIDQTVRMYVSTFVKTAEDTYHRKVDKATVLSFLCALQGLAAVSRILFEDALASVRSIQPDYSPKRDVEAINRDYQQEIQCLINKFGEASTTEALEILHCTVNDLMQKVSSYVTIMTTLRTSTLAHVP >KN538931.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538931.1:80946:85520:1 gene:KN538931.1_FG001 transcript:KN538931.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSNTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKTSRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKALLTVYLFADNDDERHVHFVLGSSSNTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPSNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIGLPVYEKVASLSGGSEKTVNQPTSQDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNSKQKQQPAVMCIDILKKNHPPEPTKDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADSISPQIRTPSQESKDNVAPFIEESKACETKNSEQALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKDIVYKTGGRNRQIMVQQVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGPQN >KN538931.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538931.1:152286:157343:1 gene:KN538931.1_FG002 transcript:KN538931.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALHPERGAVESKKPDIKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIEAFDCSKYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEVMNELDKSRCGVLVGSAMGGMKIFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLDVMLCGGSDAPIIPIGLGGFVACRALSQRNNDPAKASRPWDVDRDGFVMGEGAGVLLLEELEHAKTCNMDIHYLQQRGAQIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADAGVAKEDINYLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWVHPNINLDNPEKNVDVSILVGSQKERCDVKVALSNSFGFGGHNSSVLFAPFK >KN538931.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538931.1:29292:30045:-1 gene:KN538931.1_FG003 transcript:KN538931.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTTIISCLLAFLAAASTGDGATTTTHLHFYIHETFSGNNATTASLAPSPLAVAGSNSSSSFGSVGALDDELREGSDAASRYLGRVEGLVVQADLGNPAAAWTLLTLAFAGGDYNGSTLVLDGRVDFGAGGDMERAVYSSSSKE >KN538931.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538931.1:91056:93360:-1 gene:KN538931.1_FG004 transcript:KN538931.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFESKDLQGAKKFALKAQALFPGLEGIVQMITTLDLYLASEAPAPPPAKPPTFWTSCNKCKMNYEYLKVYLNHNLLCPTCREPFLAKEVPMPPTESVHAVHDPNISGANQNTNGSKNFQWGPFSRTAGAASATASSAAAAQAANVVHHTYEKVRREREEAQAAARREEALRRKFNPPKRQANISENLNFGTGGNSSKKMRTTGNDVGIGSSSILSGSGANYFGVPGGNISFSTNSGAHHFQGVNGGFSWKPRPPTRISLVKTFTQFDVRGILMEKAKSDLKDKLKEMQTKRSQVAANGKKNKKNMFKESGGDDESLASDDSTARQAAHVDPEDNASVNSTDADDENDDPLSYNVPDPDFHDFDKDRTEECFQSDQIWATYDDEDGMPRYYAFIQKVLSLEPFQLKISFLTSRTNSEFGSLNWVSSGFTKTCGDFRICRYETCDILNMFSHQIKWEKGPRGVIKIYPQKGNIWAVYRNWSPDWDEDTPDKVLHAYDVVEVLDDYDEDLGISVIPLVKVSGFRTVFQRNQDLNAIKKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQEITETVESSKATSEC >KN538931.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538931.1:135393:140169:-1 gene:KN538931.1_FG005 transcript:KN538931.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALELPHRGLRSEKHSVTCKIGNMYDYEVKQRENAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQDLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >KN538931.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538931.1:18495:19313:-1 gene:KN538931.1_FG006 transcript:KN538931.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYCSDRSSGSSHPTNRAPIPTRVGPYDYQPAVMCQCGAKAARWISWSVDNPGQRYYRCRNRGVGCNFFDWYDPATSSFLRELLNDLREAVLSLRREKNELQRCVEELRPKVQEQCIELGVAMNEVAQLRLVAAENEANMAAMRAIIAVTLHIFELILQWLAEL >KN538931.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538931.1:97772:103301:-1 gene:KN538931.1_FG007 transcript:KN538931.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKNEVLLTNIFTFTNGHGAI >KN538931.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538931.1:44178:47290:-1 gene:KN538931.1_FG008 transcript:KN538931.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLVDGCCRARDFELGLRVFNAMLASGHCPQPRTFRHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCVRSTQASEIKLYLFYQQPAAQLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRAVALKEFKTFEDKLAAINHETGVSEAFATMIQKYTAPLQKLPVGNDNYRDIIEKRLQIPCMSGPHVDKLMCGLKIQMRCLVPGENSELIKEDRFPMSAGMTFLLNRHKFGVHPDMLVTKLIIEKAGVVFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAVFKLMPVCFFQLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDHEIGPDGKEIHPAEVASESGDVSNMVIEKLGARIQQDAFPFANIYQL >KN538931.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538931.1:5947:6819:1 gene:KN538931.1_FG009 transcript:KN538931.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVKLGAWGGDHGGKEYDVTVAPQRLEGFWLRYGKITFEPSEYVKEVHGSVGPIGDYTHVVTSLKLVTNQRTIGPFGNGAGTPFAVPVLNNGSVVGFFARAGPCLESIGIYVHPF >KN538931.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538931.1:142162:144882:1 gene:KN538931.1_FG010 transcript:KN538931.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEAVVGPEYAAVAEYGAAPTDNWGDQQWGGEVDLFFYRDPEEAKEQEEEEAAVGPEYAAVAEYGAAPTDNWGDQQWGGEVQPPALPAAPTGEWGAAPGWLAALIFNNLNFIPT >KN538931.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538931.1:53347:55718:-1 gene:KN538931.1_FG011 transcript:KN538931.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHQILKTFFHALIIAEVILGQSDREVLLELKNFLQFQNPINHGGYNSWPESGTSPCHWQGVGCDASGRVNFLDLSNSNISGPAFQNLSRLSGLTHLDLSANSITGELHDDLKNCLHLQYLNLSYNLISGILDVSSLANLQTLDVSQNRFEGGISANFPAICRNLSAINLSSNNLTGSISRLFNNCLKLQDVDLSWNSFTGNVWNGIARLRQFKAGKNNFAGIISSRIFSTGCKLQSLDLSSNHFYGNFPSSIANCAGLTYLSIWDNHFNGSIPPGIGSIHGLEELVLMSNHFDREIPLELMNCTSLKYLDISDNNFGGEVQQVLGKLTSLTSLVLQENNYSGGIVSSGILGLPKLALLDLSFNNFNGKLPTEIASMGSIKALMLAENNFSGTIPPSYGQLVNLQALDLSYNSLSGEIPPSIGNLTSLLLLMLAGNQLSGEIPREIGNCTSLLWLNLVGNRLSGQIPPEMAGMGRNPSSTFAKNQKNPLLMKSVTSKCLAVYRWVPSSYPEFDYVQSMMFSHKNCRTIWNRLLMGYDILPASSPLRTALGYVQLSGNLMSGQIPSAIGAMKNISLLLLDGNRLSGHLPSEIGSLQLVALNASNNSISGEIPFEIGNLGSIESLDLSCNNFSGSLPSSLEKLSKLSQFNVSYNPLLTGEVPSSGQLSTFSELSFLGDPLLSWRSAAGHPRPKNGTGFFFNGTEYPTKEDISVSVIAFLVFFSVTFVIRELQIIIFLYNIIMYKLTDHRTPLQ >AMDW01034530.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034530.1:126:540:-1 gene:AMDW01034530.1_FG001 transcript:AMDW01034530.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EETGNDNQVVQGNEIVPSNEEAQAEEVQGDELVLAEDLAQGDEVQVNGLVSAELSTPPTSRRRRKKSLVWEHFTIEAVSGGATRACCKLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKNQEHKLALTPAGGTDND >AMDW01031762.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031762.1:72:209:-1 gene:AMDW01031762.1_FG001 transcript:AMDW01031762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHKEEVIGKLNVRVVRGSNLIIADPLTHTSDPYVVLAYGPQ >KN540212.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540212.1:14294:15917:-1 gene:KN540212.1_FG001 transcript:KN540212.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPLLRFFIVAVLLSSVAAWVTAATTLHERDAAALRDVRAGLRDLPGSRFFESWDDATDPCDYAGVVCEPDEDDPAALRVSVLTLGTGLADSPGLAGTLPASLASLTALTDFVLYPGRVAGAIPADIGSGLRRLRLLSMSGNQLTGQIPESLAGLPDLHTLDLGNNHLDGSIPSGLLLPSSQSLKVLILANNGGLSGQIPDQFSSSQLFHVDLSRNSITGSLPPLAQTVRYFSVAANSMQGSLDGAFGNGSAPTDLAFLDLSMNNFSGSIPRELFALPSASSLLLSRNNFTGSLAVPAFASERAATPPWSVVDVSHNGITGEVPEELAAVESLYVNNNRMYGEVPEAVARSVFAGRMTTFYAQHNFLTGFPLAVSI >KN540307.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540307.1:866:1752:-1 gene:KN540307.1_FG001 transcript:KN540307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAACCGDFRKLVDEAPVCLCYAMEGGDIDEMMPEPINVARLMSSLPTACGVPLPVDTLAKCKTEPVPPLTTVPFAPTHP >KN540307.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540307.1:23112:24304:-1 gene:KN540307.1_FG002 transcript:KN540307.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVGASQSAIKSVVGKLGSLLAQEYSLISGVRGEIQDISQELASMQAFLRDLNAADVSGGRSEQTKDWMRQVSDMTMDIEDCVDHFSHHLCHEPRGDGCVVAARRSVYELLSWRLRRDIATKVAELKARAERVGERRKRYGVNLPPVVLQARDPKNKTKNQDAGGHRLCPWASPQLYTMKLNMVGIDGPISHIREWMMNIGTNALSVMVIVGMRGLGKTTVASAMYQEFGSEFDLCASVAVSQLLDLSAILRSILCQVMEVSNDQEQQPAGNLTKKKQAASTLRSLFPRPVRHDHGPHLTRDGRLEKKTAEIYTMDENGLLNELTTCFRNKRYELPSCSTTLNFSLYLLDGYGRLRLNYALEFERTTVFKNEHEASTSSKKSIPC >KN540307.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540307.1:10828:12711:-1 gene:KN540307.1_FG003 transcript:KN540307.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVSSVVEVVSTCNNLFQIRWVFYELCSRNVKDSMRLFLESLSDDVARQQHFAERHIVPYNVCNMCCGLPTIIIAMAGLVSCNPEITKDKWIEICETLSHSSEDYPFMSILKYCYNDMPDNLKTCSLYLSMFPRGCKISRTRLTRRWIAEGFVSEKNGLNLEQVAEAYFNELVKRKIIQPVEHSSGGKVKFCRVESMIHDYIFSMSSEENFTVGLGGNWLMAAPSNKVRRLSIHSTEDAKATMENMYLSHVRSLTVFGGLNIPVKFGILQVLDLNGCQGFKKHHIDGISKMHLLKFLSLRGTDIKELPSNIGNMKYLETLDVRETKVNKLPKTIVLLDRIRNIHGGNKRTRKALELPEDIKRKPMRSLRLLSGIEIIEGSNVVTALRAMRWLNKLGIYRLSLKENGTSSKELLSSIDYLASRELHSLTIDEESSIFLNSLDSLLDPFANLKALKLHGKLLKLPTWITKLCSVSKLTLSTTVLRAETLELLGNVQSLFSLTFSLAAATYDAEVESILENNKSESGGKIAIQEGWFLTLSLLRFSAPSLPSISFSEGAMRSLERLELQFKTLEGLEGVENLAKLDQLHLSVDGQATQEMRAKVHELAGKTRELPTGPRLFIHKCQLY >KN540307.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540307.1:7119:7534:-1 gene:KN540307.1_FG004 transcript:KN540307.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSTMMAASFLLFLFLLSGIVTATTDNNIRLLSNGAAGETDGNKQVKSRPWECCDNIEMFVLKIYPPRWRCNDEPLDATMRSSTLRMARYVRN >KN540307.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540307.1:32651:32959:-1 gene:KN540307.1_FG005 transcript:KN540307.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPTFSDHKLGISTGVSVAPSDIDTHTNDLFVTIISIYTLKLDTTTDVIRAFADDLFMVTMNILDLWHHYRHCHLIPTPANAMLVPTTSTIFTSIDEAE >AMDW01018560.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018560.1:36:116:-1 gene:AMDW01018560.1_FG001 transcript:AMDW01018560.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKETIERYKKANSDTSNASTVAEINAQ >AMDW01038896.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038896.1:84:874:1 gene:AMDW01038896.1_FG001 transcript:AMDW01038896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTSDQDLELKLLVEAGLDNYSRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLK >AMDW01067361.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067361.1:13:1259:-1 gene:AMDW01067361.1_FG001 transcript:AMDW01067361.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLSIAVAAAAVVLLLLVRGADAEIRTTLIVSDARPLILFEQFGFERGGKATISIRRSFWNLRRGSRRTAVDPSLMGFVLISGTQFPKINNASAYAAADPGDNGDDGGGSYCVLTSEYALPVLRLGDVPPGGVTTTVSIDDPDQYAVVFSNCQDGVEVTVDVYTEMYNVRDGISDGPRDYLPVGLRPLPTIYTVVSEVYFAFLALWACVCVRHRATVERIHAVMGALLLFKALKMACAAEDSWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIILIGTGWSILKPYLQEREKNVLMIVIPLQVVENLLLVVIGETGPTGQDWVVWNQVFLLVDVICCCAVFFPIIWSIRSMREASKTDGKAALNLQKLTLFKRFYLVV >KN541841.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541841.1:5559:9817:1 gene:KN541841.1_FG001 transcript:KN541841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRRHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPASLHNYTPKKVPNSSGIAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTRPATARHYFSVTSERTHKVEGLPLKSKTERSSVTKSSGPTIGKDMVPPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSGTAQRNPERCFSGNISSVKPPSLPVQPATSDSTPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTQLSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQMPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDIEDKIEFDTKLSSSEDASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPDHFLPLLVTKVKLSRLIDEEPFLVMVLQVKRKAQDVGPFDCTKYSKNVRTSD >KN541841.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541841.1:18027:21334:1 gene:KN541841.1_FG002 transcript:KN541841.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAAAALVLLFLAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQKANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVRRANYLVDVAPGTERISTTKPIFVSTNEEPPQRVMQTAVVGKNGSLTYRIDLEDFPGNAWGVSYFAEIEDLAPNQTRKFKLVIPGKPEFSKPTVDVEENAQGKYRLYEPGYTNIPLPFVFSFGFKKTNDSSEGPILNAMEIYKYIEISVGSQDANIMASLVSRYPEAGWAQEGGDPCLPASWSWVQCSSEAAPRIFSISLSGKNITGSIPVELTKLSGLVELKLDGNSFTGQIPDFTGCHDLQYIHLEDNQLTGALPPSLGELPNLKEFKYEFVLLFCSYDPQDLNMHHFTALFVVLKRKYLTDPSLHSFSGNSDLRMGHSNTGRTIVIIVCAVVGAILILVAAIVCYLFTCKRKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEPSAQKHMSITFEPKSHK >KN541841.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541841.1:10235:10822:-1 gene:KN541841.1_FG003 transcript:KN541841.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFAASGQPKLAHKVFEEMEKDPRVAVDRAAWNMLVEAYCRLGLLEPAKKVVERMKARGAQPDVATYGSLAKGISVAGRPGEALLLWEEIKTKDEVDGEVVEALADVCVRAALFRKALEMVARMEEMGVEPNKAKYKRMYVDLHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYATDWRLQDDGLN >AMDW01119211.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01119211.1:737:2772:-1 gene:AMDW01119211.1_FG001 transcript:AMDW01119211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 3 [Source:Projected from Arabidopsis thaliana (AT5G62540) UniProtKB/Swiss-Prot;Acc:P42746] GEYVDASKEEVDEGFQTTDAGSSSWHKWGPTGQQYNALECCNFWVSPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQ >KN542110.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542110.1:8816:9454:-1 gene:KN542110.1_FG001 transcript:KN542110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIMCALVLGLVLQLEKIHVEAKSCCPSTSARNIYNSCRFAGGSREICAKLSTCKHSDGSCQPPYDHLTLHSDSEKSDVLDFCKLGCTSSVCSKINTFAANEGVNAAVERCEDACDRFCTKEAQTVTVVS >KN540963.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540963.1:3862:4255:-1 gene:KN540963.1_FG001 transcript:KN540963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLPLVAAVLALFLMAATVQSIRLDAESHSAFSKQTVNNTSGDNVVAVVVAKTDGEPSGEMEKAISEEKSRVGHELPEIHVDYYGPRGHNPRHH >KN541431.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541431.1:6986:7348:-1 gene:KN541431.1_FG001 transcript:KN541431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGFKSSGSHNNNGKFGGAAGSESNGDYSSGGRGIEPPRTLWTVDLTVETTLATMDPAASGNDVAGTVGSTTTFLRSGEPSSPASADDDRSDGEEIQGGGFGGFWWVARLGDNDGLQR >KN541028.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541028.1:4186:4440:-1 gene:KN541028.1_FG001 transcript:KN541028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSSKDAARNMGALKFHLSCIAGLSQCTAGKLPNGSSTAVGIRQGSDAAPRVNQQAAVAQQVLRLRYRHMRVIERIDGACMD >KN540963.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540963.1:21787:23852:-1 gene:KN540963.1_FG002 transcript:KN540963.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGATSAALGAAYFLGVHSLAFFLAAQVASGIVQSAGWPCVVAVVGNWFGHASSRGTIMGVWNSHTSVGNIAGSVLAAAVLEFGWGWSFLVPAFVIAALGVVVLVFLIAHPMDAGLDIESMEVEMNGGSGEEVELLGEDKKEDEDALEVEAVAELPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSVVFDIGGVLGGISAGLLSDKIGARAVTSALFLFLSIPALILYRTYGSISMHHNIGLMFLAGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRLAKDEIVSKISARH >KN540963.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540963.1:15758:19802:-1 gene:KN540963.1_FG003 transcript:KN540963.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCIWCCLVLLTLVVCDSCLHEERRHLMDIYDTFQWLDGWVPYWSSRDCCRWERVTCSSITGRVTALDLSADYSRWYGLLNCSMFLPFRELQNLSLRNAGITGCMPGAGFEVWSNLRQLEILDLSENELNDSSIMPLVGLASLRSLFLGGNVIKNDFIVQRLSKMKLDILDLSWNGIFGNISRVLNDNNISGEIPTSICTNMNLGVVDFSNNKFTGSIPNCIDSNYLLFILNLRGNHLTGSIPTSLSSFLSLQFLDLSKNHLSGPVPALPNLTYLHLSENELNGTFPLVWSFSTNLKTMDLRYNQFSGAIPSCIDETFPELRILLLNGNMFEGMVPNQVCHLRYLRLLDLSNNMLSGLIPSCLSNMGLYGEFFSFQYGDIVVNVSAHLSNTLHEIFQIGFYREFNSTMLELDREEFTTKGRQDYYKGNLLSYMSGLDFSSNQLEGPIPESIGDMAWLRALNFSNNSFSGPVPISLSNLSNLESLDLSHNRLSGQLSPQLAGLKSLEVFSVAYNNLSGPTLGTKGQFITFGQSSYEGNPYLCGPPLLKSCSTVSTPSIPQHEQDEDDDDKVGDVVLFCGTALFYVVGFWTSLAVLFFKRSWRCALFLAVDRFSDPLMFRLAMLSRRIHSTN >KN540963.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540963.1:5346:8769:-1 gene:KN540963.1_FG004 transcript:KN540963.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEESEGAERLDFGEPPAAAADAGKSKSKSPDELPSPRLVLIVPRLIVCVEELSFLHLLAAKFGGLMERVCENTTAADFKQNKSGNFVPNIRSGDWSDIGGRQYMEDTHVCIADLAKNFGCQSVDNEAISFYGVFDGHGGKDAAHFVRDNLPRIIVEDADFPLELEKVVRRSFVHADNQFAKTTLSSGTTALTAMIFGRTLLIANAGDCRAVLSRCGTAIEMSVDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEAGNPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNVVDFARRRLQEHNDVKSCCREIVEEAIKRGATDNLTAVLVSFHLEAPPQIRVSRPGRVARSISAEGLNSLRTLLRNQ >KN541431.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541431.1:19134:21215:1 gene:KN541431.1_FG002 transcript:KN541431.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTWRRGGACLVVVALLLLVAIVSPSEATSTSLRRRQVRSLLKRLNKPPLATFQSPDGDTIDCVHISRQPAFDHPLLKNHTIQIATSGDFSLAQLWITADSYANKDLNTIEAGWQVYPAMYGDDKTRLFIYWTRDAYNRTGCYNLACSGFIQTNPQFVIGGSISMSPSMVAHNMSMII >KN541028.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541028.1:28135:28434:-1 gene:KN541028.1_FG002 transcript:KN541028.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLVHPSASSSSSSTRAEVEVVGGVFVCSDSCGGNIARNLIVDYGSGKIALTAAYIHFCVPGTALNLSFRRCHSGPINTCSAAADDRGRSRFHHCH >KN540963.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540963.1:26232:28842:-1 gene:KN540963.1_FG005 transcript:KN540963.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTRILHSGKPDAFVDEIPTFVADPLPAGTDQSSCLHLRDTLFSIGLGHLFSGFKMQTYKKKPDHLIVKPIPNLSRDGRSAAFPFFYIEPKKYENVLRKFFPEHEGPITKIDPIGNSPVIARKESLARIAPTWMNISIAMKKDPQTDKAFGWVLEMYAYAVASALHGVGNILHKEFMIQPPWDLEIGDAFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYDSKPPPRNLPLPPNGVPQSVVTLVKMVNEATANIPNWDSYAAA >KN541028.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541028.1:16529:21940:1 gene:KN541028.1_FG003 transcript:KN541028.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVSSLLATPTPTFRPRPVSTTTAPFSVNLSTAAARAPRLLLLSRRPRPAAAALGVSDDTGVKMAGSDIVGKNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTASTDHHNELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDVSVWWYFANEYASGNICLWVIAHLCQLAEALCATPMWTATEVEFEGALGRCGWSSSARLMPMNVKLKLDLEYRQGLEEIKRIAMSGTSQALRRGLFIAHDELKQEVATVKDAASLAIAIMKKGHRGRIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKKMENSRTRSEIGWEPKYPSFTEFLGLDS >KN541431.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541431.1:8672:11193:1 gene:KN541431.1_FG003 transcript:KN541431.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKACLVVALLLLVAIFSPSEATSTSLRKRQVRSLLKRLNKPPLATFQSPDGDIIDCVHISKQPAFDHPLLKDHTIQMRPSIQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHGGSPHPHSHLGGVTDGHHYGVAYATGDANYYGTKATINVWQPTIATFGDFSLSQLWITAGSYENNDLNTIEAGWQVYPAMYGDDKTRLFIYWTRDAYNTTGCYNLACSGFIQTNPQFVIGGSLSPVSIYGGTQYEYDYLVWKDPAGGNWWLQLQGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQITAPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPNGVGLIAPLPNCYNIMTGSSSTTSWGTYIYYGGPGCPQNSQIEVM >KN541028.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541028.1:7462:11698:1 gene:KN541028.1_FG004 transcript:KN541028.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPDRSLEGACGDPGPLFGDHDGSLLEHMGFHGDPQHVSPQLNEGLLVDSTDQISYLAADSPSFMNDQIPCNTMKSASTSPASPLKQADDHHVHIDSDMENDAAEQNLHDSYSEAQTTSLGYGIHRRTEVVDASQPTELHESNGNNDTSNFQEETTQSDTYLGDAMLNENGSRDYQLNNSGADDDEIPNSPALQMEDVDTRALHETSHDEKYEAEDDQMNGRNSSPCDEHDEDNCNSVIEPSYLDVMEHENPGTKNGMLTPSNQWDSPPESSARLEKGTPSPDRMVSLTVGRSPRTHSPKELDSPRPENEKKGFAQEERLTKERLSIKEKGLTKEGLPIKEKRLTKEGLPIKEKGLTKEGLPIKEKGLTKEGIPIKEKRFTKEGLPIKEKGLTKEVLPIKEKGLTKKGLPIKEEGLPTKEAQAYFSSMDIHFNYALLLYAYVRFDIVEGIHHVADHLLPVIVIIHLEGRGFSYATTERDLEKKFSKYGRVTSARVVRDKRSGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPTCLFQGPAADKRELIPFNVCNLCAQDVMPFQICTRRSSSSSWSPADLAKWLSSTALRGMNGIQICEALILNRLVDLPIIYSNVGSNHHVYICTFVR >KN542500.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542500.1:11277:15250:1 gene:KN542500.1_FG001 transcript:KN542500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAFVGVRSATAGSRSVFPVGKLRGLRFMCTFRFKMWWMTQRMGTSGRDIPFETQFLLVEAAAAAAGGGDPAVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFTHREKKKMPDMLNWFGWCTWDAFYTDVTSEGVMEGLQSLGKGGTGPKFVIIDDGWQSVNMDPAGIASLADNSANFANRLTHIKENHKFQLNGRKGHREENPANGLAHIVNEIKGKHQLKYVYVWHAITGYWGGVRPGADGMEHYESKMQYPVSSPGVQKNEPCDALNSITTNGLGLVNPDRVFSFYDELHAYLSSAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFRDNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSILKIWNLNEHTGVIGAFNCQGAGWCRVGKKNLVHDEQPATVTGVIRAQDVHHLATVAADGWNGDVIVYSHIGGEVTCLPKNASLPVTLKTREYEVFTVVPLKKLDNGVSFAPVGLIGMFNSGGAVTAVRYVEDAGVEVRVRGSGTVGAYSSARPARVLVDSEAAEFSYDDGCGLVTFELAVPEQELYSWTISIEY >KN539425.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539425.1:60002:67622:1 gene:KN539425.1_FG001 transcript:KN539425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MRLAKAIGWADVADALREHLQLCCISLGLLLIAAACPHIPVLNSVRRLQDALIAVAFPLVGVSAALDALVNIADGKINIHVLMALAAFASIFMGNSLEGAEEHFTSKSMIDVRELKENHPEFALLLEACGDQSAQFANLCYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKLLVTNLLFSLKYSGKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVVLSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHSDVTNDFSDQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPLVAVESFECLPGRGVVATLSGVKAGNNEDELSKASIGSVEYISSLYRCSGESEQIKEAVKASAFGPEFVQAALTVDKKITLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVKQSVALALSCIVFAALPSVLGFLPLWLTVYAQL >KN539391.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539391.1:75227:76950:1 gene:KN539391.1_FG001 transcript:KN539391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMALTRLPDEVIQDILARLPPRTIVRCLAVCKAWRSAVSAPTFHRAHAAHRPAAVLKVTSKLACFGKPWRYSKRGSGSTARLNVLVFDTARERFRLMEAPPWDDDSRGGGEKKGLAMSRVVALSGAGKLCAVAVSPATSTMEIFLDPFKLIESVFPGIIPE >KN539425.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539425.1:25372:26842:-1 gene:KN539425.1_FG002 transcript:KN539425.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRVAAGLVVALLLAGDASAATLALYNRFSGSFGYNVRRSGGLPLVGRERRRSEFFDQWFLMIRTSGSVALLLVAWWLWAVATGQSLVGTQR >KN539425.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539425.1:74718:75194:-1 gene:KN539425.1_FG003 transcript:KN539425.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEEEQEQDAEMEELVVDYYADEPMEEDGKNSNNKWKYREDPGFMEAYLKVMQLVEEDDRIEDEESAAAAEAAKPGRKRAHARKAGELDDVETTKRYKCNYWADDDPAYRGKRRLENTSQLLARTAALMNRAERETAAMMARWELEDSQLIKHLAS >KN539425.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539425.1:83843:85078:-1 gene:KN539425.1_FG004 transcript:KN539425.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRHDRRKGNVSNSKPLPPPKKAVEKREDVISKLPDDILVHILTMCPYSDAVRTAAVSRRWQHLHTQLPGVLLRMSVLGLLNSSLGEPSEQRVQSMERTLRRRLHDGNHHTIELLRILYRKDVPFECKYVNKFIALANAPRLELHVQCAKGLLDEDAGEWSLELPPATTQLKLRPYWYAVRPPRLHGPAVNSLRQLILNGMVVLRQEFLDSVFLPSLEELHIVKCTLPASIEITSGGMPRLKHLRVSNVAVMSDTTKAGIAVLADELTTLHVTCSCQTEPMSSDPGWFISPSRFRAVFTRYSCFRLRAPKLRVFDWHCCYTDEMKGILRELMPGLRPRKWNYIERKCVKRDERWLCFEISSTTPKYD >KN539425.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539425.1:15758:20948:1 gene:KN539425.1_FG005 transcript:KN539425.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGHELAVVVLVLLLLVSATSCTFLEEDVILGTVEEAKEAVEWEHKSKNPGKMHACGHDAHVAMLLGAAKILKAREHHLRGTVRLLFQPAEESGAGAKRMIEGGALEDVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAIAERYLANHSPSSSSDSDDPDVELEAS >KN539391.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539391.1:101343:104869:-1 gene:KN539391.1_FG002 transcript:KN539391.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEETGEKRTPPTQTASSRGGEDPSCLVESELADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAVSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDTWLHCESKQPFFYWLDVGEGKEINLEGKCSRSKLLSQCIKYLGPKEREDYEVILEDGKFLYKKSRQILDTSCGPRDAKWIFVLSTSKSLYVGQKKKGKFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFEEFKSFLNDNSVDLTDVKMSPAEEDEEFWGSLKRISSESYPKNTVTENSEDQAAEAEAEETGNSQMPRVSDEPTCAEIDGCDEPAATRRVDSSSAVAAAAAENTEAEEEDQEGGEQAPVPREKILERINSKKGMRSYQLGKQLSFRVDLVEQVIYINTYTLI >KN539425.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539425.1:77393:81933:1 gene:KN539425.1_FG006 transcript:KN539425.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADELRAAQHEIDMYIRLIPLIALVDSSSNRRVKAMEGAATAVKYSSNRHIRFPARVSGFTEMHVQGDTKFCKAGEQPLGLQPGAWHNMISFIRSPFIIKKILDIEELVELCTRTEESCPGFSKFDFSQILHATDNFSENSNIGRGGFATVYKLARVQHNNLVKLLGWCIHGKERILVYEFADRGSLHHYIFDKMRKSLLDWPQRLNIIKGVAEGLVYLHKLSMLWIVHRDLKPDNILLDYNMNPKISDFGSSSSLSSEVAEEHTRRVVAFGFKAPEYASRGVYSVKTDVFSFGILVLVIISGRKNSILYKQRDAIGDLVRDAWHMWRDGRLHELVDPSLGHGYELDQIMRCTQVALLCTQEDPTVRPTMPDVAAMLSSGSVILLDDPKKPSDLSNEVEKGGDETSTCMDQSSQTIDITITSSAPVSTRVRIVIGQEVI >KN539425.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539425.1:7232:8056:-1 gene:KN539425.1_FG007 transcript:KN539425.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGILRRWKRFFPAFASIHAAIEAAEPGISRREFRDATDKVVAMLCGATDDEAVAEELRVVLDEMMVEALLTLELVPAMPKTLASTDLAQDVGALRNHPSERVRGLATGIVRAWRASVKDELLKAAAAMEKLSQALEPDEADDHHAKILQPSPPKKTANSSQPSFPKKQSAPVAGGSHVTMAKMEPPREKLPAAVGSFRRESAASCGTDEKAMNAAKRKLREGYQEAEDAKRQRTIKVIEAPKQQQRKRHPIVQERNRSRVASHTSSLRRRF >KN539391.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539391.1:58338:59143:-1 gene:KN539391.1_FG003 transcript:KN539391.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIVIKVDFIRAKCKAVAMTVVAKIPGVKSLAADDEKGTMTVVGEVDVVQVVGELRKAKFAAEVELQVLF >KN539391.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539391.1:81895:82600:1 gene:KN539391.1_FG004 transcript:KN539391.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAGLMRVGPRGGEAEVLATEADGVPFNFVNGVDVDQDTGDVYFTDSSTTYQERIMRNRNATGRLMMQYDTKKTKLAGDRAQGQVTLPYANGVAVSHESTTGGRYLVVAHTGPAQMFRHWLKGANAGEYELFGADLPGYPDNVRRDAKGVYWWVALNREKIHSLTRRRRRSSTWSASGSTATASRSRS >KN539425.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539425.1:39154:50685:1 gene:KN539425.1_FG008 transcript:KN539425.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFADVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSFSSPSLTNNLLLEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDKDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASAGIAGNMLIARLATRSAKPNGQCFISSEKITPGDPAFSKKAHKQGSVTTKQTKKKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKELKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIISEMNDMYKGELHGFLGITSGDKAKESTTKSLVFPAVDQNLVPVLDTKLHGDGKHKDYIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNVLPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHL >KN539391.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539391.1:88492:92040:-1 gene:KN539391.1_FG005 transcript:KN539391.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQLEIQNTHTRPPTVLLDPDRSEEPKKRTKRLRYVENELLKKRGKKVDVKDKEEKDQVDELYTVPDHLKVRKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAGKAKSDANIPSSYNADFFHRGKDYTEKLRREHPELYKDQGSQANGTGGKSMGGNHPDGAGAGRREAATDELLLERFRKREKFRVMRR >KN539391.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539391.1:49518:56449:1 gene:KN539391.1_FG006 transcript:KN539391.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAHVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNAKISDGGALQVQMDLNTSGQRDLVLQASSNQKLNAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNVSKLSPEGRKGRSIFNMQSGTTEESSRDIAELSRSSMAATGECLDDFENDKHSSLGDGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNLNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSVEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMMLQKGMYKSDTSLFPRELKSLIMNE >KN539425.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539425.1:104416:106956:-1 gene:KN539425.1_FG009 transcript:KN539425.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYGLFAAVEMEGVLELQPIRGFDGAATSYFLELRCTICREATGKPICLYPGSSPCPFYLRSKNGCVYNPNCFPKCKNSGHIVRKCKLCSGLGSVALVPVNGKPLKAKGEKELIMVVDTSGYEMLSFSLGSKWVATKLNGENVEIDCDEDNGCIDGADFVVENTSKVMYSLSKMKKTDLS >KN539391.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539391.1:86355:86846:1 gene:KN539391.1_FG007 transcript:KN539391.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETARISVLPAAAGKLCVFTVEYRGTTVEAVQGSSEEEGDEVFVHTRGQVNAYSLRRGRWRGANDVARSVAGKVHVSMVRHEHGVLPLPHEVSFGAASRVLSRSRSLHAWGQCCYRL >KN539425.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539425.1:53201:55801:-1 gene:KN539425.1_FG010 transcript:KN539425.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAEAIQAIRRTGGARLALSPKCYNFALRSLARFDITEYMGRVYSQLVQDGLLPDTVTYNTMIKSYCKEGDLTTAHRYFRLLLEGGLEPETFTCNALVLGYCRTGELRKACWLFLMMPLMGCHRNEYSYTILIQGLCEAKCVREALVLFLMMKRDGCSPNVRAFTFLISGLCKSGRVDDARLLFDAMPQNGVVPSVMTYNAMIVGYSKLGRMNDALKIKELMEKSGCHPDDWTYNTLIYGLCDQKTEEAEELLNNAVREGFTPTVVTFTNLINGYCMAEKIDDALRMKNKMMSSKCKLDIQVFGKLINSLIKKDRLKEAKELLNEISANGLVPNVITYTSIIDGYCKSGKVDIALEVLKMMERDGCQPNAWTYNSLMYGLVKDKKLHKAMALLTKMQKDGIIPNVITYTTLLQGQCDEHDFDNAFRLFEMMEQNGFKPDEHAYAVLTDAMCKAGRAEEAYSFLVRKGVVLTKVHYTTLIDGFSKAGNTDFAATLIERMIDEGCTPDSYTYSVLLHALCKQKRLNEALPILDQMSLRGIKCTIFAYTILIDEMLREGKHDHAKRMYNEMTSSGHKPSATTYTVFINSYCKEGRLEDAEDLILKMEREGVAPDVVTYNIFIDGCGHMGYIDRAFTTLKRMVGASCEPNYWTYCLLLKHLLKGNMAYVHSVDTSGMWNLMELDITWQLLERMVKHGLNPTITTYSSLIAGFCKAGRLEEACLLLDHMCGKGLSPNEDIYTLLIKCCCDTKFFEKALSFVSTMSECGFQPQLESYRLLMVGLCNEGDFEKVKSLFCDLLELGYNHDEVAWKILNDGLLKAGYVDICFQMLSIMEKRYCCISSQTYALVTSKTHEVSSSLVSEVREEAS >KN539391.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539391.1:61474:64803:1 gene:KN539391.1_FG008 transcript:KN539391.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRKPRNFATFRLFPRAGAADPNDRVFVRIDSNDYTVPGFGDDDAFDSSSLSLTGDAAGDRFSSASGPLPDHVRREILELGLPDDGYNYLHHLRELRPSAAASAASSFAPNQAAPPLPLDVKAYDASRVRIASGDVEDEMDEGRTMCKVAAKTAPVRRIERAVDPDIARLLDETDVSHGGSEDEGLEEDFVIMANRAEGDEEEDDDDEEEEVVDGVFLSDVEEEEEFEDDEGEPKPRVRRLLDEQFDLLALEEYGDSDDDDDPGVRNGECELPTEVIDELKLFHSQNVSVTEEYRTPADFVRRKLDSSTAEEVDESANVIQKCAEYAERYLNETAEEEEVVLVSESSDESEVWDCETIVSTYSNLDNHPGKIQTPGNPKNRLPKVFPGETATTKDIIRLQGKERLPVEYLPQRKRNGEKEKKAKPTETPSAESFKKGAQKETKEEKKARKAAVKEEKREARKAKKELKGLYKFETQKAQKVAAVTGPASIRLM >KN539391.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539391.1:14290:14594:-1 gene:KN539391.1_FG009 transcript:KN539391.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAVVYSYC >KN539391.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539391.1:97981:100807:1 gene:KN539391.1_FG010 transcript:KN539391.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRAVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGWAAHVGLPFASGPHRLSQGEQ >KN539391.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539391.1:72536:73201:1 gene:KN539391.1_FG011 transcript:KN539391.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MHGARSVALHGNLHWLVQRGGSAGPGRLQVLVFEPARERFRLMEAPPRRHGEEQDLARSRIVVLSNGKLCAVAVRPATSTMDMWVLDDSSSSSSSSSDAPRRWRLDETISAVTRDGRDLSRAFTPETQVEAAHGDREGEEVFVRHDDGRVDAYSLRRRKWTRVCAARPRSGSVGVALLPHRESVADDQPSFGEASRLLDHTIDVDVDDQYLSMNPITLFCY >AMDW01052677.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01052677.1:566:1208:-1 gene:AMDW01052677.1_FG001 transcript:AMDW01052677.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STEDPPRDQSELENALQTRQNHGDVLQIVENRQFTYSELEKVTNKFERHIGQGGFGPVYFGCLEDNTKVAVKMRSELSCHGLDEFFAEVQSLTKVHHRNLVSLIGYCWEKDHLALVYEYMAQGSICDRLRVSCP >KN546028.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546028.1:257:439:1 gene:KN546028.1_FG001 transcript:KN546028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVKRMYAIIDEQIERRMHGRTAGEPRKNDLLDVMLDKEGESKEDSNEINRDAIRGLFT >AMDW01040155.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040155.1:177:1208:-1 gene:AMDW01040155.1_FG001 transcript:AMDW01040155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TGLSLVGKQRCIRGVSNFRSNTGSTTENDYICSDSRVFNHRKSSGNSTRCSAGEQLKNGMGSCHSQHSVLAELMHFDAAKAETSFSSSRRSKFSYNRKLLHGSSTTSSYGSPCQPMFNLSKHSTNPKPPPPLKNSTRMSNFSYQLVRSAESPKNAKYSLSEKMSHLLKPPYSSSRQNGNFTVGALKRRHNIAHFGGAINKLLKIELHKQPTPSEGRHWQTLMDNALIRHNKLYCSEPRNEESTEQSWSSTDSESEKAVCFSSSGSIADLHASVSTDTSDSSDHSMSSLCLSVNDRWKMTFQK >KN541039.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541039.1:6587:8959:1 gene:KN541039.1_FG001 transcript:KN541039.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37320 [Source:Projected from Arabidopsis thaliana (AT2G37320) UniProtKB/Swiss-Prot;Acc:Q9ZUT4] MRARLIPRCGGRLSSKHVWGSTGTPWHMLSALDRLLFSAPQSYSLAHTWTASVMHNRLVRGCSSSLSAAEASAIRVPVASYGTSAAQSTSKDQHGSNINSALKVLNLVPRKADYDKVGGLCHHRLIHDCMNDILGVQSNHAIHKGNGVTFNSCSNPAQAKFDSFVSNNGSALRSRTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHGLLVKVGCDSTVFIGSSLITLYSRCSQLESSYLVFQTMPTKNTVSWTAMISGFALHNRVEPCLHLFASMRLSSCKPNDITFATLFSVCTNHALLALGKSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQSIFGCIACKDLVSWNAMIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLGVLSSCRHARLVEEGRHCFKTMIERGIKPGLDHYSCMVDLLGRAGLLEEAWDLIQTMSIPPNAVIWGSLLGSCRVHGNISIGIQAAEHRLKLEPGCAATHIQLANLYATIGCWSDVARVRMAMKARGLKTNIGCSWIEVGDKVYSFTAENRSKSHQVNNVMAILDCLQAHMECKYDMLTESLE >KN541039.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541039.1:27035:29992:1 gene:KN541039.1_FG002 transcript:KN541039.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGASLGGDGVGVGGGLEGQWQMVVVVVITHSSRNRRGAGQIADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDD >KN541039.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541039.1:17852:25794:1 gene:KN541039.1_FG003 transcript:KN541039.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGGAATSADPLRLPCLDNPPELAADGDGEAGVVIDDLAGFPAYVTGDVHSGRAIILASDIYGFEAPLLRENADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITAHSPVKAAEDAKSIFSALKTEGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTELFASALIRTAQLRRELENEAAVEEQHLREAVAVVVQDGRALAVAAASTLLDGPNCVHEVGERDGGCSLEEAPLVLLHGMELVPTAVVSKRRY >KN541039.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541039.1:11259:13391:1 gene:KN541039.1_FG004 transcript:KN541039.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTCEKDNRKIADKVGEAGYYVVVPDFFQGRPYNGDPSINITQWIMAHSPVKAAEDSKPIFAALKREGKSVVGVGGYCWGGKLAVEVAKTNEVGAIVISHPSSVTADDMKDVKCPIEILGAENDAVTPPRLVYQFVNALRQRPEVSSC >AMDW01040395.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040395.1:1205:1453:1 gene:AMDW01040395.1_FG001 transcript:AMDW01040395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWVMGIIWHVLVLARNTIVDVWGGWSMEILLGASFLMQLVLAFSAGFRWRGDSPTLRNVIWLFYVSGDFVATLALGHLS >AMDW01049187.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01049187.1:55:2164:1 gene:AMDW01049187.1_FG001 transcript:AMDW01049187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMYAVYHGPEGLKAIADRVHGLAGTFAQGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLAPEVSSSIPSSLVRKSPYLTHPIFNIVKDKTELMLWASRYHTEHELLRYLYKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQ >AMDW01030100.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030100.1:116:355:-1 gene:AMDW01030100.1_FG001 transcript:AMDW01030100.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLFRRRTKVTETDHPLRKITRAQCLIFDLPALQEATDNFSDNNKLGEGGYGVVYK >KN542136.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542136.1:8326:12177:1 gene:KN542136.1_FG001 transcript:KN542136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFASSLGDILIETMKEEASLMLGVSDEIRKLYDTLNSLKKFLQDAEKKHITSSYAQDWVRKLKGAMYEASDIADLVQIKAEERRISMDTSSGCFHSLLLCLQDPLFAHRIGSQIKSVNQKMDDLCKQAAQLNFITNLTDGNGKHKVIDKTAPGLVPRDAVGKKLEQDTRMLVEVLTKEEKTSGGESNNVHAVANSDAESNNVTVVAILGIGGIGKTTLAKKIYSDQAVEDSFNTKIWLSVTQDFNEVDLLRTAIVAAGGDHCGAQEKSLLEPILVSALTAKKFLLVMDDIWNQKPWEKVLRVPTIKAGARGSRVLITTRNEGVAREMNAVHLHHVSKLGPQDAWAMLKEQLDLSGPETKRLKESGMKIVEKCDGLPLAIKVVGGVLCKRNKTENDWEKVLGNQVWSKIGLPDELNKAIYLSYEDLVPNLKQCFVYYSLFPKDEIIGPDKVVAMWTAEGFLGNDGNSTQLGMDYYKELIMRNLLEPHDDYYNQEYCLMHDVVRSFAQYVARDEALVVGDTENMTNLTLSNFFRLSISANEIEWSNLQKQHSLRTLLLFGNIKFKPGNSLSNLPFLRTIHIRDARCATLIGSLCHLKHLRYLELGYTNISALPQNIGKMKFLEHIGLRGCHSLAELPSSITELPKLRHLSIDETKINAIPRGFRRLENLEMLWGFPVHIIIENTGEYRCSLEELGPLSKLRKLKLIGLENVPYSSMATLAKLKTKENLICLELWCTNGVTVSGRVKESIAMADQEQIVDVFDKLCPPLCLEELTIGGYFGDKLPSWIMMPAKFLKNMRRLDLQDMANCAHLPSGLGQLQDLDCLVINRAPQIEQVGYDFFLQGGQRKTDNRNPSHAVFFPKLHELCLQGMIKWKEWTWEKHVEAMPVLSVLNIRNCKLHYLPPGLTYHAKALRRLSIANVQHLNSVENFSSVIKLDAYDNPDLERIANLPNMQNLTVVGCPKLMICNNVKSLRSIQLGMHEMENLPAYLQHTKLEQLEIACSLKLLKLMVKKESWSEWEKISNIMHVKGFASENGRRWYVSYTKYPFSFDTNIESTLALPEETGYEANVAMEKI >KN542136.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542136.1:18097:18507:-1 gene:KN542136.1_FG002 transcript:KN542136.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding KVAAIVQSPEKPTSEIPSPQVPDSPPFMKGPPGPSVPVRWYYADDDPVQHNHAQHILESAFHRRSNEQEEKDASLPDQSLPPPPPLEQPITKGGVVHKREDDLDASPLTKILRQSISFSSSSIGATKGESSTQETR >KN542136.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542136.1:13755:14699:-1 gene:KN542136.1_FG003 transcript:KN542136.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGKDAPTDQSKHKTSPNHRLPGPDPPKFMDGPPPPTTAVRDSMKGPSPSPVARDSMKGKGGGLQEQEEGEERGVRPRKEEDLCAPPLPANSPHHYPPPHQSHPPLEQPKGRDDLGASLPSSFEQPRGRVLHKKEDDLNASLPAKVLHQSAPPLEQPRGGVSHKKEEGLHAASLPAKKPQHSVPPPLEQPKGRVLRKKEDDLDDSLPAKILHHSLPPPSEQPTRRGVLHKKEEGLHASSLPAKMSYHSLPTPTEQEQGRMPAAMPHNFSPQQQERQRISSQSKISHTPAPPPREHRKEGGAHKLLPAKMHV >KN541147.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541147.1:11862:13130:-1 gene:KN541147.1_FG001 transcript:KN541147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKMPMDTERKKRKAFKAAVGMTGVTSASLDGDKLLVIGDGVDPIALTTMLRRSLGHAELLSVSSGDDKKMGGGGGGHGGMGMGMGMGFGGGHGGMGEVLKIWDLSCHLVYIGFGCF >KN539592.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539592.1:62665:64767:1 gene:KN539592.1_FG001 transcript:KN539592.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHARLVLRFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGDVSNMSLHGDGNGK >KN541147.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541147.1:28398:29213:-1 gene:KN541147.1_FG002 transcript:KN541147.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTMGHSNLLEESKEPVKHTKGKQEDSFGETAKNDHVDHSDQESSNLPDLGKKNVPKDLEALAGAKKDVHEEVEFIEMNSNDLDNKMGRNIGKRNRQDDNGSKTKKSSNRNVQGHVFSLLYV >KN541147.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541147.1:17764:18185:1 gene:KN541147.1_FG003 transcript:KN541147.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAWWAGVLRRLSLGGSVTYGAWGWLSLGGSVTYGAWGWPAVMTPEVEACNGDNVWAMLACGEHWSCSCISIGADDRQKHSSVLLAENNGDLGVVFNLGGIVETSLYLSNGATG >KN539592.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539592.1:87816:90274:1 gene:KN539592.1_FG002 transcript:KN539592.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAGWSRSSGSVSSARSIPELYEERGASSLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVETKRFSRIIDIRLRDNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPGKPTSDDVAVAAARRRMLHLAALGENANNIARRRFMLMRAAAAPTPT >KN539592.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539592.1:32782:36300:1 gene:KN539592.1_FG003 transcript:KN539592.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPLQLPCHPKLLQMPFDQEDQPGIHGVMLSSDHCGLYPLPALPLSNSAAAAATVALGKHSAAAGSMPNIGGAEEVATSVTKAGNESTTCNGSTTWWRGSTMAAAGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLGTDCRMVITTYEGRHTHSPCDDNSSGEHTNCFSSF >KN539592.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539592.1:66637:70238:1 gene:KN539592.1_FG004 transcript:KN539592.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEKAMGSPAATELEKTPPPPATDGPSGDIMQRQYKKDADATYGTLVSDDADEARRLFLADVVERLDASQGQIDRCREHGKCRQDRLRVTSRGRRSSWASWGELACGIDTIKVESVWHRVPSNPASSQIPPSPLVLADGAAADPYRLPAGQEARSEDPRDSGEAMDALVANYASDSDSDGDAPAATAGEAAPEPPEPSAFLPPPPLDLLQPPNFVGMMIPYFFTLLILLLVPFLNFSYSEPPRGVASGASHMWKATMRYMFTSLVNVNYWSFIARCMLPRFRKISRAVVIPSDAKKHLALVMRRAVSFVPDLYAVDADYALSELCKDEQKLEKVLLSREFHVHQIESLVAMLRQKFRSQQRYWMDFNKWEHFVNDDCTRSFLSLEVTNTGLPEISKQITMVDDVYRLHGLPEFYKNPRPHISLAWALGDVSCKLKQAIKEIEKSQSSLGTSQKSNLRSR >KN539592.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539592.1:74808:81020:-1 gene:KN539592.1_FG005 transcript:KN539592.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLLTAEVLSTTQPPLRWLPTNDEIIDVDSGSDKGVRVKEEPRSGSDSDYNGARGRARARARAPVAATAAKKKRKRGKEAPSRTQESREVVRVKEEPNSDGNGAGGRARARARARAPVAAAAKQRKRGGREAPSRAQESRVAVEIKEEPYSGSDSDGNVAGGRAVVPVAAAKQGKRGKKTPSRGKGRRVVVRETSTPAAPSNVAPSAGRGKGRGPGRGRQRSKGAIRGRATPVNRVSTGVGSRTRSRLAEQGRAFAQEEEEQVEEREEEEEEEEEQGKSFAQVKEEQVEEQEEEEEEEGEEEMEMEVEVRSDDNDHGNGGIREEGRCTDVVAEIEEEELGTDEDETSDDSDENFSDEEGEEEEEEEEDDDDDEEEEEPGDAADQPGEAGEESPPRSRIMAMPLMGKRMFEGFSFLQQVDTSTGRDIRARTRSNFKRKKLLDKKLLKRGTFAKPYCIDVSSSGSEEDVPQPEQSAYGGDCADDDGGSDGNEEHRAVKRRKLNRRQRAHSDNEEDTTFVCDVKEGSGSRRGQEGAPRRQVNKDGSNKKKDGSTPQCVRNNGPKVGRQTNGLNGQGGVSFKRNVKIAQRRKRGRATADQEKYGHLLDPMFDEIESNQYEPVPEEQIDRRLPLVFAFGDDDKLEEKSKHDKLQDEDELWREFDFALESINVCSHNCEEGEKEDEQEIPADKAASCIQGKHELIIDEQIGLRCKHCNFVDLEIRFVLPSMVKSCTERDMRKDHELDLFFDDILTSAGYEGPRDFGGKKTGLVWDLVPGVREDMFPHQQEGFEFMWRKLAGGTSIEQLRNNANTIEGGCVISHAPGTGKTRLAITFVQSYFAFFPECCPVIIAPRGMLATWEQEFRKWKETSEPEQHGWEHEGEEILLMSGNVLVQNREALMEAFNDMKSNAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKEPHAAGFNLSQEVIFNDKILEAMTSHRELKDMFVKILHSH >KN539592.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539592.1:18266:21468:1 gene:KN539592.1_FG006 transcript:KN539592.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPELWLVVARKLIMVFVLLLLLLRALVAAAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRLEVQYDSSNSDHQEALKDLWCASFPGAELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLVYFSKNFPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQSVKPRSFIGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYKLLARYLVTEP >KN539592.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539592.1:92181:92429:-1 gene:KN539592.1_FG007 transcript:KN539592.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARSLTKGRPVDVALDAAVGGELKRSHRACNAAPVVARDWEEGKPRATWAEALASCSMQCPSLPLVHVPVGGIKRGGVVK >KN539592.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539592.1:70471:73033:-1 gene:KN539592.1_FG008 transcript:KN539592.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLQKSLLIPLREIQVECTIPKDDGTLATFVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCTPGELSRSELERLTRVFTQKIHDLIGVNTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGHGVMYATEALLTEYGESISGSTFVIQGLGNVGSWAAKLIHQKGGKIVAVGDVTGAIRNKSGIDIPALLKHRSEGGSLEDFYGAEVMDAAELLVHECDVLVPCALGGVLNRENAAEVKARFIIEGANHPTDTEADEILAKKGVIVLPDIYANSGGVVVSYFEWVQNIQGFMWDEDKVNRELQKYMKNSFQNIKDMCKSQNCNLRMGAFTLGVNRVAKATLLRGWEA >KN539592.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539592.1:39764:41596:1 gene:KN539592.1_FG009 transcript:KN539592.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGRRRLALALAVDSYSVHRLLITAVLSAVKFMDDIELNFNTPETECYNNAYFAKVGGISLAEMNYLEVDFLFGVGFDLNVTPETFADYCAVLQSEMLCAAAPPPRLHYCCLSEDDAGMQQQQQQLAA >KN539592.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539592.1:667:8542:1 gene:KN539592.1_FG010 transcript:KN539592.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATAAISGALLPHAVACHRLPPRPQLLAVSSPFRRLSLTASSRRTSHLILNKQFIEEAEKVKTLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVIPCLIVGKMIGNLICQTKQVQFSSWKERPDFTLNEDNEGKGVAMGGGGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVLPRRAAVLSNVVLTGLERGWRGSPAAPEVDEAAAAAEPARITDIQDTFPWDPCDWAGKSPSCELTNAKKIDPWKFSPWTSFLGFVRDLELPKEPSRCQNNITEEFNKEVDKVIEEIKLKVVYTVPSGSSDDSGITSLGSRSFKSLSDDFTMLKNASIEEV >KN539592.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539592.1:84666:85022:-1 gene:KN539592.1_FG011 transcript:KN539592.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCTEVVVTVVSLVVIVVVIMRVYVVESLWELYKGDHVVVLSAAVAFNHEANDGAEGLRVVYVMAIVWVEACWRARWRHTVVASQRPPHALHIINLHHVDLQPHLLIALANPPLVTH >AMDW01024336.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024336.1:105:275:-1 gene:AMDW01024336.1_FG001 transcript:AMDW01024336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLF >KN539592.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539592.1:57425:57907:1 gene:KN539592.1_FG012 transcript:KN539592.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREILPITSPLAMSTASWDHHVVWVVQSRGNDAGRWGDEKEAAANAMHVKADAGYMSQMIHDSLEFDGGGAGGKVRSRSTMHHPHELVVVVGDRWHGRRSEPCRGGAAGIAVVAARPDTKAAGRVILQRGLQRRQRGHVGSHRIRLGSGDGHETVRPS >KN546693.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546693.1:1:636:1 gene:KN546693.1_FG001 transcript:KN546693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRGSGEAAPNVMEAFQYTMFCLLVLMCFGERLDEPAVRDIEVAERAWLLYISRRMSVFFFFPWITKHLFRGRLEAAHALRRRQKELFVPLIEARREYKRLASQGLPPARETTFQHSYIPEEGNRSLTDGEIVTLCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLYAEIKATCGGDGELLERSVRDKDNKMPYLNAV >AMDW01008417.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008417.1:36:194:-1 gene:AMDW01008417.1_FG001 transcript:AMDW01008417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVVAQTDGNRMSIVSFYNPGSDAVISPAPALVKEEEAGETYPKFVFEDYMK >AMDW01040399.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040399.1:430:1371:-1 gene:AMDW01040399.1_FG001 transcript:AMDW01040399.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGCSPDAAVYNWVISMLCDFQLVQEALGFLDHMLENGPRPTARSFTAILRAYCEQGRFFDAGRLVDTMIQNGCPPDVVSYTVLIEGLCRVGEFSTVEMILGESESQGWMPTAVTYNIYMSGLCRMGFLDEAFRQVDIMRYRGLSVTAETVHILFDCLCRNAMFSEAVCLLEHSEELGWDVDVFCYNTLMSRLCDIDDFARVLKLLVDLLKKGIGPDKFSFTIAIRSLCRAGKLRLAKCLIENKGIKYDVVAFNTLIHGFCIAGDLDRVQQTRTDMINRDVIPNNFTDAMLIDSLCKERKFGEAKRFVLDSL >AMDW01039016.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039016.1:259:730:1 gene:AMDW01039016.1_FG001 transcript:AMDW01039016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >KN543887.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543887.1:1549:3964:1 gene:KN543887.1_FG001 transcript:KN543887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNCSVEFFWSPFLVTLETKQDRTRALKLDQLPATLEKLRGADVLVFNTGHWWTHTGNLRAWDHLETDGKPVDMGGEEAFNRALRTWASWVDQNVDSARTRVFFRSISPEHKSENWCYNQTSPITDETKIVPWFPRSLVSIVERNIRSTRTPVTYLNITRLSELRVDAHPSVYTITREGKPLSTEQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPVNVH >KN539204.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539204.1:84361:86137:-1 gene:KN539204.1_FG001 transcript:KN539204.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCDGSLAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQCTGVFVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPETYQQQFQQSEQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEERSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYAALQEQEQGQVQSRERYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNTQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRARVQVVNNNGKTVFNGELRRGQLLIVPQHHVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPIQYKSYQDVYNVAESS >KN539204.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539204.1:54886:55418:-1 gene:KN539204.1_FG002 transcript:KN539204.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVEAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFEVASDMRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >KN539204.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539204.1:88605:90035:-1 gene:KN539204.1_FG003 transcript:KN539204.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] MEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAAVRPDEVTMIAAVSGCGQLRDLELGRRLHGFVESNGVRCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARRVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHCLVFSVALGTSLIDMYAKCGNIERAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFTVKDKSHTDSHAIYDCLHEITLQIKHTADLLSISAAGAV >KN539204.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539204.1:124595:129221:-1 gene:KN539204.1_FG004 transcript:KN539204.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACLLAHLNSGGEVPDSRSYASSLRVTHDELEAVIKSLSAFRIVDTTDITKETWVLTDEAKGYVAGGSPEAQLVAAIPPEGASKDVLREKLGSVFDIGMKTAAKNKWIGFEKGNKDLVLRKVDTIKDDLQEQLKSLESGEVLSDKVIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPIAIGYVQPLLEVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLEAPAATKQLPEDYLEKVKEVHQRGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >KN539204.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539204.1:44615:49332:1 gene:KN539204.1_FG005 transcript:KN539204.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSKMGITSTFVDLDDDMEALECVLDESEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTLALRVQAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVGLEVASDMRGAMRFVDALELPLIATSLGGCESLVQQPAIMSYWGKCDEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALGKI >KN539204.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539204.1:111477:113005:-1 gene:KN539204.1_FG006 transcript:KN539204.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDAPQPLDPDTFAADSSAVVDFLAGYYRDVDKYPVRAADLEPGRLRRLLPEAAPEFGEPAERILDDVRRDVLPGLTHWQSPSFFAYFPMNASAAGFAGEMLSVGLNVVPFLWVASPAAVELEAVVVDWMARLGKFVIRLAVGGAMTEMRHVRDAWELVQRTAEQLLQRR >KN539204.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539204.1:24812:25072:-1 gene:KN539204.1_FG007 transcript:KN539204.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGADPAITTMLSLVFTEEGPYAGSTLQVFGRAVLGTVMERPVVGGTGKFRMARGYTLSRRVNSSDPDNLLVIEYDAYVTTSPI >KN539204.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539204.1:71766:72478:1 gene:KN539204.1_FG008 transcript:KN539204.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >KN539204.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539204.1:78708:83167:1 gene:KN539204.1_FG009 transcript:KN539204.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MWREQFDVGHGSGELVRCKKDVVPCRATEPNGTGYGSVVPDSPDVDSHALTQSLAETVGKLIDQYIDAMDKVKIKQGLKFAMAISSEGNAYLQESQFWKLYKQDPASCATVMKTSVGLVYLLACLLEPFMPTFSKDVLQQLNLSPEEHLSFCDEKGEVEKAKRPWDLIPSGHRIGKPAPLFKGLENEAVKGLREKFAGSQAERKLRTQVAAQLETTNI >AMDW01040653.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040653.1:258:1565:1 gene:AMDW01040653.1_FG001 transcript:AMDW01040653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLHVKPGKGAAFVRTKMRNYITGNTVDKTFRAGSTIPEASISKETKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >AMDW01124880.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01124880.1:96:413:1 gene:AMDW01124880.1_FG001 transcript:AMDW01124880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVA >AMDW01021665.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021665.1:153:275:-1 gene:AMDW01021665.1_FG001 transcript:AMDW01021665.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHEL >AMDW01028883.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028883.1:23:349:1 gene:AMDW01028883.1_FG001 transcript:AMDW01028883.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLPNLFGKIDEIKDYYAVEDEVKRVERYNLDVDESISSNPRQIDEIDDDISPVLRGEANSLVGINVPCEVITQLLMEDMEGESGQHPKVLSIVGLGGLGKSTLAIQ >KN538799.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538799.1:189332:191008:1 gene:KN538799.1_FG027 transcript:KN538799.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQVKDMLKLIEDEGDSFAKKAEMYFERRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLSISESDSETQSAPPTPKPDSEERTPKQKRKPRAAGFDVFLGSGGSSDVSKKGSDGSSSSSSESDSEVDESREENGDGSPFALNERIAELEDELQEAREKLEALEEKNTRCQCEKLEEKLKDSHSEISSLQKELEGQLAHHDHEIEKCKKELEHVHKKYSHDKSTLETEITKLQDIVKNFEGDLAKMSQEKLQLEAQVKELEQASRSLDDSSAQIMKLQEIIKDLQRRLDNDSNEKKMLEERSIEFEQVCRELEGSRTEVAELQATINNLKADLGRALEEKSQLESRIKDLEQAIAYNLDEFSQEKSSLGAEIQKLKEANASLEGKLTSTESQLQQLHAEKSEASISSEKQISDLNQAIADLETKLELLSSEKTTVDDKVTSLLTDVTARDEKIREMDSHLHQLHLEHAKLIAEADAAKKAVSELRARVSELEEEVEEQKLMVSDGAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHHKRPLVMAN >KN538799.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538799.1:220762:223326:1 gene:KN538799.1_FG028 transcript:KN538799.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSYQMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQLNQVKESESDPLISDSLSTAENGGNAGDDEALKSSA >KN538799.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538799.1:194817:196662:1 gene:KN538799.1_FG030 transcript:KN538799.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDNQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLVTHVENFYRMYRALAERYDNVTGELRKNIPTRLQYQGSLAGSDSELQRSPSPSPEPQKSWTREQSPRAAGFDVFLSNKSNGSPSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNTVNQKLHDANEKLEVLEEKSLRCHCDSKENGNGADQSAINEKLQSSQEKINSLKNSLEVLSEEHSRLLGQNKKLEAEIVNLKEEIASDRQQFEEKLSRSDAEIDKCRKELADASEKLLQEKSSNSSVTAELQETIESIRIKLEEVSEEKLLVENKFKQLEEANSEAEKYNQELSHATEKLSEEKFRHEAEILALNQAIEDLKSKLESIAKEKSLLKSWFADLEQVVERGSRIFPE >KN538799.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538799.1:203565:213028:1 gene:KN538799.1_FG031 transcript:KN538799.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDGVMPGPQMRAAIAAMREAGHAEGTEDFVEELSPNERIGYAVDDVEGEGDSDEEEDDDDGAASRDKGSGRATLKPWLDPRELARALEGWEPREVAELEAAGIVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGGYAHDRHTVARMVAILARAGHVELVERLLGKVRADGIVLPFATVRLVVDFYGLSKKADAAIRVFREADSICGAVSRPNLALLCSSLLRTLAKCRRAPDAMELLEEMMSRGVLPDLQTFSGLMEHLAGAGELTGCELQPDGYMYSVLIKAYCKKERAALALKLFDEMRGAGVAPDAPTKALLVKSLWREGKLREAAQVEERCEEAAGDGLPEASPGHVWTASAADLKKVADIYYGCFTQPAAQTSRKSTQSRQRQYFEQKKRQQQRPGVQSQVDVAGTGSQAYHDQAPRSLDVINLNNLATPISHSSGPETVDNVVPPLDCTLLSASPIEGLKKITSACSNLKEPCSQPRLSSSSDHQDGAASANPYKEPLGCKIPPPKSNSVKKQNPNVELNNEISLFDLVSDEGPNNKSTARPAREAHVSFSVKGLGHVKMETPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPQAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSGITATHDLFSDQSMMDNGNDTVLFDWESIYLVLFCQFKVMKDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHRKGRTGISNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVLHRPDNAIFDDDIHLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNRNADMLLPNKSVSSVRQNVGGQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIGSFHSIARENPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKSV >KN539510.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539510.1:19390:24376:1 gene:KN539510.1_FG001 transcript:KN539510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGVSLLVAGLGCALLVTPSESAADDVSALLAFKKAIFEDPLAKLSDWNSKDENPCGWTGVGCSPFDSRVVTVILDHNTLMGPIPKGIGKLRNLIMLNLSTNQLDGPIPIEIGDMPKISKIDLRANRLDGAIPPEIGNLTSLTELQLSNNSLTGTIPGSNDSSMVSTNRDGQIGLCRLTQLTDMDLSYNYLAGDVPTCFTQIRRLSLVGNCFENNDTTNRPDNQCENSQKGNESSRVDGNQQKSFQQPLWLLILEVITAISLLTVLTLCTIAGLRRCKARSSRNSGTWTRAISWKENTVISIDDDLLANVPKISRQELAEACEDFSNIIGSTRDTVVYKGTMKDGSEIAVVSLSASVHYWTSYVELYFQKEARRTLHLVTRNFKRCSYLVVEMARLSHENVAKMVGYSKESDPFSRMLVFQYPPNGTLYEHLHDGEGYQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIIDSRHRRFMDIQANTFAFGVILLELISGRAPVSKDTGDLVDWARKHLDQTEEFIKLVDPKLMNANHENLGIVCNVVNLCIDAEPCRRPSMNMITAILEEGIDTSPATVLRDSSLAWAEAEIAIS >KN538799.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538799.1:234506:236671:1 gene:KN538799.1_FG033 transcript:KN538799.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHLNLSSCYFTGQLPTISISRLTDLVSLDLSTRFKVTEMTQGSLSLSLDSNCKPNMLKEPNFETLIANHKNLRELRLGAVDLSDNGMTWCDALASSTPNLQVLSLPISWLSSPICGSLSTMHSHSLVVIDLRFNSLSGPIPNFATFPSLRVLQLGYNYLEGYVSPLIFQHKKLVTIDLRYNYDLSSSLPNFSVASNLENVLVTGTSFSGEIPSSIGNLKYLKNLGLGASQFSGELPSSIGWLKSLNSLEISGTTIVGSIPSWITNLTSLTALQISRCGLTGSIPSFLGKLTKLRKLVLYKCNFSGKLPQNISNFTELSTLFLNSNNLVGTVKLASLWGLQQLLYLDISDNNLVVVDGKVNSSLAHIPKLQTLSLSGCKITKFPDFLRSQDELHWLDLSKNQIHGAIPSWAWESWNDAGINVLNLADNKFTSVGSSPFIPYQMEWLFLNDNMFEGTIPIPQGSAGILDYSNNKFSSIPFNFTAHLSHVTIFNGCGNNFSGEIPPSICSATKLQVLDLSNNNFSGSIPSCLIENVNGIQILNLSANQLNGEFPDTIKEGCSFHALYFNGNKIEGQLPRSLAACQNLEILDVGNNQINDIFPCWMSTLRRLQVLILQSNKLFGQIIQSLDDEESTCAFPDAIIIDLSSNNFSGPLPKDKWFKKLESMLHRDTNKSLVLDHAVTYDGLYRYTAKITYKGHDTTFDQIPRTLVFIDLLISRIQW >KN538799.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538799.1:247259:250880:1 gene:KN538799.1_FG034 transcript:KN538799.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein [Source:Projected from Arabidopsis thaliana (AT2G40550) UniProtKB/Swiss-Prot;Acc:Q501D5] MVGPQYDLVGNPLGAVRSTFERAAAESGGHEPVAAFRGKDWGATEVFRSFLFEQGGLDKVPVLDESNLGLIKPNTLVRFRGMVQDMLGNEFYVGAFKDGSTWRTNKFSDSSPFSMPHPCDSHLWERHLFHCVPVPGQNSWTRESSPGPDLRRMASCLTSEQREKRKRGADNDAMDVSESGSGETSSSSKKTLADWESDLNCLTFPQKDDGVKISNSSVEMSMNEEHHVPEMNGGDHHIPGSSFSCLVKVYDMPESQVKLNDVSEFIGVYTFDPELAAPSDNSDDIMFDLMEDVTAQLPPSKVPRLHCLVWRNLSAHDFLPRPPAVEPSPILLRGIRQSLLSHLTLLRNKVDVVTVGRLSLNFTGFNRESVSIFGNQLNNLFQRLLPYSQVIPLSIEYLNTATLQPRKDNQSGRLVTGVLQLPQGTHLTFDETLLQSGSLTSKGVENTMLLKNLMESQKVEYDFEFYKLEMATDVQLLTLSEGKSNILPSDLIVPFRPSSVPAVNASSEELESWRWYLATVRSLPQSTETDTYQMIQDEMVNAMRDDRSLGCSELSRWLTMAQIMAASFGEKSLSMEHWQMVKELERLRKERLQ >KN539510.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539510.1:57992:58447:-1 gene:KN539510.1_FG002 transcript:KN539510.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSGTTFTMLPSDTFARVADEFARAMAAARFTRAEGAEAQTGLAPCYHYSPSDRAVPPMALHFRGNATVALPRRNYFMGFKSEEGRSVGCLMLMNVGGNNDDGEDGGGPAGTLGNFQQQGFEVVYDVDAGRVGSARRRCTDLWDTLSRR >KN538799.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538799.1:198599:201674:1 gene:KN538799.1_FG035 transcript:KN538799.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFVRVYSGGELVKGPNGVEFGNLAEEGLWFKAKPAFDELIDAVYKKLGWVPTTHSIRAQGRLNVGGGAHRHFIMVPIDDDMSWSSYVKAVFNGTEWNCLEIFVQAEICSLTEGISSERALMAIEPLYAQRRNGQPQNPEQDMSFVIPSTVNVSPLNGHPQNMRQRKRRKSTRTFSDNGCPDQNGASEAVDTTSYDLIGQYDADHRARALASGQHLTELNPRTREPLRFDKREERMKELQLAPICTQDSHLWLTRAPLLYFFMVEIYMPERVMRQFGLHQVCPPPLRDTSAELHWCRRGRVHNDWAQKHKSFVDMWEAKEQDVVMEDRPYDHSSYMDYLRWYRRSTRIRLCTPKRISNGHKGGASGGSAIADSEDHFRASQLRYTPRAHLIHSVTDKLTVLAKEAASQKGCSRGECRAFVDQVTRTCVEVIGELGGSSLCDIVDLVPCSSTAATTAAEPEAEQQRDKGEDIHHSMAPDQEAESGGLGSEKRSRSRTRRTQADRTVQTRSTGKRKRGRSGSR >KN539510.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539510.1:4642:5213:-1 gene:KN539510.1_FG003 transcript:KN539510.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVILKVSSMSDTKVKQKAMETVADIYGIDSIAADHKDQKMTVIGEVDTVKIAKKLKKFGKVDIISVGPAKEEKKDDKKGDKK >KN538799.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538799.1:174107:176728:1 gene:KN538799.1_FG036 transcript:KN538799.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYTRARFTINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQKTLHDCMCYLKKTNTEISTHLRQLEAIHFYNKSPDLILISLQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDEAREREEAERRQQEEEAEALRREEERLREEYEERKRREAENLEKTT >KN539510.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539510.1:25141:28256:1 gene:KN539510.1_FG004 transcript:KN539510.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEIGASEEHGCAAGGEPMNDYERQRLARIRENEARLQALGIRRLAASPLLHSPASSAGGKGKRKTRAADADEEYLPSDGGEDDDEEESSSTSDQDGEEEEDAKASSRSRKKGKKKVLNSGKSSKVTPRKENAHLADSVDDDATLQQAIALSLAESLENSVPAMSGETPSTGMKGSGSTLCKKNNTIPIQDSAKTMRIKKQGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATVHDFIWTDSEISKMIHCFDTDRDGKINLEDFRTIVSRCNMLQEPEK >KN538799.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538799.1:214529:214789:-1 gene:KN538799.1_FG038 transcript:KN538799.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAAVRAMNGYGRGYYEPVGHSKSWRFLVAKKIARLLELEPRLYAWLMKITLNRLLNR >KN539510.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539510.1:36189:36857:1 gene:KN539510.1_FG005 transcript:KN539510.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) UniProtKB/Swiss-Prot;Acc:Q9STY0] MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSSPGLAGRAKNWETSAGLSRKAFRTGRFLTGLNGLRRAPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLDAWLARRMSFISAFGESVGYVFFIAMDLIMIRRGLRQERKLLREGGKDKDKEVKKIRMDRVMRLMATAANVADLVIGIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >KN538799.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538799.1:243538:246060:1 gene:KN538799.1_FG039 transcript:KN538799.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRDTIFLSADSTYHEPGTLKVSNFETLMANHKNLRELRLGAVDLSDSNLAWCDALALSTPNLQVLSLPLSQLSGPICISLSSMQSLAVIDLRFNGLSGPVPNFATFSSLRVLQLGDNYLQGQVSPLIFQHKKLVTIDLSYNAELSGSLPNFSAASNLENILVTGTSFSGEIPSSIGNLKYLKNLGLGASQFSGELPSSIGWLKSLISLEISGTSIVGTIPSWITNLTSLTALQFSRCDLTGSIPSFLGKLTRLRKLALSQCNFSGKLPQHISNFTELRALFLYSNNLVGTVKLASLWGLQHLRYLDISDNNLVVVDGKVNSSLAHIPKLQTLSLSGCKITKFPDFLRSQDELFLLDLSRNQIHGAIPSWAWKSWNDAGIEGLNLAHNKLTGVGSSPSIPFQMDRLRLNNNMFEGTIPIPQGYARVLDYSNNKFSSFPFNFTAHLSHVTVFNAQGNNFSGEILPSFCTATKLQVLDLSNNNFSGSIPSCLIKNVNNIQILNLNANQLNGEFPDTIKEGCSFHALYFNGNKIEGQLPRSLAACQNLEILDVGNNQINDIFPCWMSTLRRLQVLILQSNKLFGQIIQSLDDEESTCAFPDAIIIDLSSNNFSGPLPKDKWFKKLEWMLQRDTNTSLVMDHAVPSLGLYKYTASLTYKGHDTTFDQIPRTLVFIDFSNNAFNGSIPGIVGELVLLHELNMSHNFLTGPIPSQLGGLKQLEALDLSSNQLSGAIPQELASLDFLEMLNLSYNKLEGKIPESLHFSTFTNNSFLGNNDLCGPPLSKGCSNMTVLNVIPSKKKSVDIVLFLFSGLGFGLGFAIAIVVSWGIPIRKRSTVRQRAL >KN539510.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539510.1:2391:3706:1 gene:KN539510.1_FG006 transcript:KN539510.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLQVAWIAGSDAITEQSNFIFSPMCLRAGLALLATGADGETLRHMLAFLGSEHIHQLNATSAGLLAEMQAWPQLVFAAGIFVDRSLRLRPEFQSTAAAAHGAFTRSVDFRNQADAVAAEVNGFISQTTNGRLTNVIPPGTLKSDTMCVLANAMHFKAKWARTFESWNTTQAMFHRLDDTRVRVPFLSDPGMHYAAKFEFHGLEFKALQLFYKMVGRDGQVDFGFGAPCFCTLVFLPIKRDGLRDVLRMAATEPDFVMRCVPRSRQIVTPCKVPKFKFSSLLDAGGALAQLGLGAPFDPHAADLSRMAVKTPPAGLYVSAMRQKCAVEVDEEGTTAVEAMYSPSSPGYSPGYQPPRPPPMSFVAEHPFMFAIVEYKKAQILFLGHVMDPSKEDQ >KN538799.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538799.1:178209:180267:1 gene:KN538799.1_FG040 transcript:KN538799.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAWRFSFLLLFFWPRNSVNSFPSGLHTSSLDEVVVLKIDVDTKEKNRCLEVVSWVDDKMERSDEEDKIDFKGGNVHVISSKENWDQKIAEANKDGKIVIANFSATWCGPCRVIAPVYAEISQTYPQFMFLTIDVDELMDFSSSWDIRATPTFFFLKNGEQVDKLVGANKPELEKKVAALADSA >KN539510.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539510.1:64865:67001:-1 gene:KN539510.1_FG007 transcript:KN539510.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional adenosine 5'-phosphosulfate phosphorylase/adenylylsulfatase HINT4 [Source:Projected from Arabidopsis thaliana (AT4G16566) UniProtKB/Swiss-Prot;Acc:Q84VV6] MKKLFCFRGGTAARRSERAPMAEWMTGSWRSRTSTRRLSAITTLRVQIMVYCGSWLQHYLVIPIEHIPTVNNLQRTTEDHQLVSHMLAVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAESLLERIRP >KN539510.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539510.1:87512:89592:-1 gene:KN539510.1_FG008 transcript:KN539510.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPESFSLDHLSQHQQQQQPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAASTANQLPFGQALLSPTSPHGLLDEVPSFQAPASLMTEQASPNVSSITSSNSSCANNAPATSMASAANKATQREPQQPKNAPSANRTYSTVYINQIRIYSVSSLCMHQWAHFPHIHFGLMPDQGLKKTGIQSQDGAGECMLFKDGLYAAAAAAAAATAASSMGVTPF >KN539510.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539510.1:95028:96218:-1 gene:KN539510.1_FG009 transcript:KN539510.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDIDLYKIEPWDLQEQCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETNEYEAPQEEGWVVCRVFKKRVATVQRAAGDGGDSPFWFNEHVAFMAPAPGLDSPYHGHRQSHPCKLEVEYHHHHLLPQEAAPFMHLPRLESPKLPAADIIGATAASSSALQPCGHTTAQQLQLQIEPVYVTADASAADWRDLDKLVASQFGHGDSTAKEPSYCNPVQVFQVEGKQEDSLDYVSTSASCGGEEDLWK >KN539510.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539510.1:29107:33683:-1 gene:KN539510.1_FG010 transcript:KN539510.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASLICSQIKLSKAHIRNRRQIHENGQIRLPKSLYLKPLHSGHFENIVLRCTQNLSWEASLPYASAEDGANIIKGTEVVEPIDTEEAPEIPILQSDQDFVEVIKEPSMQLTTFKLPMWLLGPSILLVTSIVPTLWLPLSSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPEANSSELIKQIPTSYRFWNLAASIVGFLVPLALFLASHKGTLQPHIPFIPFAVLLGPYLLLLSVQVLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASTSRFTCFAHASAICTKCCSGAIPGTVWGISQMGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSSPRASLSEGGNLNYQDATRAHKDTEDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVVALLGILLGYIMKR >KN539510.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539510.1:6751:9737:1 gene:KN539510.1_FG011 transcript:KN539510.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKVGRLTRSSLQAASCPAVNGGVVVFFAAVVAGALVSASWMSTGARLLRRYQGRVPDLDLMFDCQDLPVVNAGDRRGRTSSSPPPLFGYCGSEPTLDIAFPDWSFWGWPELNIKPWETLRGEIADGNAAVNWTGRAPYAYWKGNPTVGADRRNLLRCNASGKRDWNARIYEQDWRKEVRDGFRESDLAKQCTHRACSSVCRYKIYIEGRGWSVSEKYILACDSVALIVRPRYHDFFSRGLMPLQHYWPIPGGGRGMCRWIKFAVDWGNAHADKAQEIAGNATRFIQEDLTMDRVYDYMFHLLTEYAKLLKYKPTVPDRAVEVTMESMTRGRRGRERQFMVDTMVEAGSGTGEPCELPPPFSTEELETLRRRQADAEEELIINVTIEVTDRRRPSALHNDTRPFDRMGWVNAHQEFLVTVPPELSLSLSSCHSLHRRATTVLKLPSSSELGRTVGSVAFTFAVEPPPARG >KN539510.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539510.1:7:420:1 gene:KN539510.1_FG012 transcript:KN539510.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVPRSEQEVSPCMVPKFKFSSELDARGALAKLGLGAPFDPLAADLSRMAVSVNTPPERLYVSAMRQKCAVEVDEEGTTAVEATYSCCSPTYSGPESPKPRPMSFVAEHPFMFAIVEYEKAQVLFLGHVMDPSNEE >KN539510.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539510.1:46982:50030:1 gene:KN539510.1_FG013 transcript:KN539510.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKEAIKTVYREVGGECKDGSTIGIDMNSHNTSVKERVNSVFYDILPKLMPILRKDNVQDFLRFFHRNMDVMGRDLVTPETFFYIIMVNSVRCAKVVLEGQAPELEGLRAYPNCVTQYGFFPLHQAAEIFSVDMIKLLIRHGALVNLRTIGGKVIEGLLPLHVAVENTCMHKYLDDNLFPDELNPDYSKADTNDIYKLIHLLCLPEMKIFMDTTRLLAKHTNDLVGEICNYIKHGKLLQTAVLLLAAQEHIRGSKRNGNSKEDGFGDIIKYISEHTSSIKLDVGRKNQAQLKEKYISSTLWLVQAISRAGTTVATHLHAGKEKGTESTDFVPSGLDS >KN539510.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539510.1:74119:80185:1 gene:KN539510.1_FG014 transcript:KN539510.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGAAPLLPETSPESRQQRDPERGKRRTSVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTAEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPSNNWMNGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRSPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGEADTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQVSMIILILNANENKGEKMAGYENILELYQKEFGWESIPRTVMLDTKTGSNLLQWPVVEVENLRMCGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVAEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATDVRVTAKSLKIWELNSAYIRPYVD >KN539510.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539510.1:13533:15758:1 gene:KN539510.1_FG015 transcript:KN539510.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCADWPVVRTHLYRGKHAAFMPPLFSYCGDDKTLDIVFPDWSFWGWPEINIKPWDALRQDLKDGNNRVKWLDREPYAYWKGNPAVAVTRQELVNCNVSTTKDWNARIYKQDWFRESKEGYKDSNLGSQCTHRYKIYIEGSAWSVSQKYILACDSMTLLVTPRYYDFFSRLLMPIQHYWPVHNDNKCDSIKYAVDWGNSHKQLAQRIGKQASDFIQEDVNMDRVYDYMLHLLTEYAKLLRFRPIKPPEAVEICPDSLACQAEGLEKKFLMESMVKSARDAGPCDLPPPFNPQELTMIKRRKENSIKQIQTWERISGRA >KN542629.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542629.1:4168:4497:1 gene:KN542629.1_FG001 transcript:KN542629.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVARLDQADGAASKIATARALGAFGLATASLAINLAATRGPPSCFGDSAYYHLALVGSFLSGVTQVGAAVWVADDPRGRHAVGKKIMHTSIAPLLVAVGLTGAALLW >KN538996.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538996.1:47812:50044:1 gene:KN538996.1_FG001 transcript:KN538996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQSGLNYGRRRRPRCHSYRMPQPSVADSRAIEGRKDDATSEYLTGRWEYPHYLLYFWVNNNATRGTLGIKKGTVNEWVRCHQGDLPYDEDIVNGIEYHRKVASLNYRTLVYSGDHDAVLPFLSTQAWVRSLSDHIVDDWRAWHLDGQSAGFTMTYGNNVTFATVKF >KN538996.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538996.1:31751:33789:1 gene:KN538996.1_FG002 transcript:KN538996.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWFIILLVSFFSGFAAATTGETTSTSNPKPTYITSLPGLDGALPSLLETGYVTVDEENGAELFYYFVESEGDPGRDPVLLWLTGGHRCSVLSGLVFEIGPVELVREPYDGISLPRLRRNPNSWTKVASILFVDSPVGAGFSFSRDPNGYDVGDVSASLQLIEFLYKWFSAHEDYLANPFYLGGGSYAAKLVPFITQKISEGIEAGVRPIINLKGYTVGNPLTGDSIDFDSRVPYCHGVGVISDQLYKTIMDNCHGKGYSNPRTFICAKAMSKFNEPHKVAARKKRARKTDHPGLSPSS >KN538996.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538996.1:68172:73806:1 gene:KN538996.1_FG003 transcript:KN538996.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHVLLSEAQEHAPRARRRSEALLRSLRSLQGLATDADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVSLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRCFSYCALFPKGHRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVSADECHMIDGFNSSGIAQSTIQHLSINTRYAYKWDVYSQKFYSKDDFQRKLTYVGETVQTRNLSTLMLFGKYDADFSETFSHIFKEVQYLRVLRLPTLTYSIDYLLSNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRFEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDWTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCASPSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCPKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLRTLHITGCTQLLSPMITENKRSNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKVLFTIATTVVFRTGLVISEL >KN538996.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538996.1:125825:127871:-1 gene:KN538996.1_FG004 transcript:KN538996.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNREIYQELANQLVALREDFDWRRKNLGHRKHPLPDQQAIVGGGIVKAFEFDTPVNEDGMTTAHGTFWFDVGYGEKLEHDATFIFLGQHLGDTCYDIVDKTSQLDHEAVLEAYFTAYCEKIGMWVVAYERFNSFLIDTIENKPAELKGFFKDNLRLELRKFWRTTVRNLIRVLALAKIKGVVIGSLGNRNGYVILPSTEIKVFGIGGSNDLNDLV >KN538996.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538996.1:23353:26393:-1 gene:KN538996.1_FG005 transcript:KN538996.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGAVGCGTCSVQAKPERLLMIRSEVMVTVQGWTIFEILWDMPEHNWSAYEEALKINPVLAKKMISGVVCSLGDWNAQNTVKGIEQNNPVGLEVHLHITEALCPALSEPGIWFAGLDRGDVDPKAQQLVEAAGFSLVSIVDHIFLCIKDAGKLKNSSY >KN538996.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538996.1:94024:94853:-1 gene:KN538996.1_FG006 transcript:KN538996.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSVGLVVLGLLALAFSTTVLAETHVVGDSNGWDFSVSFDSWADGKVFAAGDTLVFNYKPGAHNVLAVDAATYRSCKVGSSADSVAAATGTASFLLKKGVNYYICGVPGHCAAGMKLRVVAN >KN538996.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538996.1:1436:6490:1 gene:KN538996.1_FG007 transcript:KN538996.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding LLDEVSKPHILYRKCIYLSPRPNLESIHRKVLKEEFRVLKHPPPRPSIQCITYANYLSYFWANNNNTQETLGIKKGSVNEWVRCHNNDLPYTEDITTSIKYHRNVTLKGYRALVYSGDHDSVVPFLGTQTWVRLLGYPIVDDWRAWHVDGQSAGFTIAYGNNLTFATVKKPS >KN538996.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538996.1:36304:36750:-1 gene:KN538996.1_FG008 transcript:KN538996.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPQVAQFLSRNIRTRMRVKNMMRLICSKCATSARKRMATPEQPKSALQVVANVLERNHKKSVFLRNVGMHTKRSRMSAQLEAEKRENAKLRLIVSI >KN538996.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538996.1:39701:40121:1 gene:KN538996.1_FG009 transcript:KN538996.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEGMLVGIFGRGAAAVEEDASRVVGGGEGNNGGCRIWHPHMQIQRALDQIRRGGGMDGVEVA >AMDW01035930.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035930.1:69:441:-1 gene:AMDW01035930.1_FG001 transcript:AMDW01035930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHKYSDTAARLVRAGYAVYGIDNEGHGRSSGQRCYIPNFGDIVTDCANYFTSICEKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCK >AMDW01040778.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040778.1:98:2226:1 gene:AMDW01040778.1_FG001 transcript:AMDW01040778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TSRKGFQKLYLPTNSIIVYTYVLFVINAAHYLCKAIPSLQTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQ >KN542494.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542494.1:10448:10911:1 gene:KN542494.1_FG001 transcript:KN542494.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGGRPWVIVLFSLPLLLVFLLSADRRVLIASESQVLRLIKGRGNYKSEIGIKVFLH >AMDW01029454.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029454.1:185:367:1 gene:AMDW01029454.1_FG001 transcript:AMDW01029454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESQDHSSHSDESINSEKLEDMMWEEIIDPTEVQLEAQLEATLLASLDGTSNQSGGCNR >KN540866.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540866.1:9616:13795:-1 gene:KN540866.1_FG001 transcript:KN540866.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAQSTNVARVLLCLEEVGAEYEVVNVDFTVMEHKSPEHLKRNPFGQIPAFQDGDLYLFESRAIGKYILRKYKTREADLLREGNLREAAMVDVWTEVETHQYNSAISPIVYECIINPAMRGIPTNQKVVDESVEKLKKVLEYILRKYKTREADLLREGNLREAAMVDVWTEVETHQYNSAISPIVYECIINPAMRGIPTNQKVVDESVEKLKKVLEVYEARLSQSAYLAGDFVSFADLNHFPYTFYFMGTPCASLFDSYPHVKACSWRTIVSELICEEVVTRDMTAEGGEEEGEGVRRQWD >KN540866.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540866.1:23565:26374:1 gene:KN540866.1_FG002 transcript:KN540866.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVYGPAMSTNVMRILVCLEEVGAEYEVVPVDMSTGEHKRPPHISRNPFGQVPAFEDGDLTLFESRAISKYILRKHGSDLLRESNLSESAMVDVWLEVESSHFDGAMSPIIFQCFIVPMFMGGATDMGVVNESLEKLKKALEVYQAQLSKSKYLAGDFISLADISHFPTVYYLLASAHASVLEAYPRVKAWIDDIMQRPSVKKVTEALKMPSA >KN540866.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540866.1:22777:23142:1 gene:KN540866.1_FG003 transcript:KN540866.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWLEVESQTFDTAMSAITFQCLTIPIFMGGIADDKIVEENLGKLKKALEVYEARLGRFRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPAVKRVRELMEAS >KN538687.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538687.1:567324:567884:-1 gene:KN538687.1_FG087 transcript:KN538687.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVFFAAALMAAMVAISGAQLSESEMRFRDRQCQREVQDSPLDACRQCCQQLQDVSRECRCAAIRRMVRSYEESMPMPLEQGWSPSSSEYYGGEGSSSSEQGYYGEGSSEEGYYGEQQQQQPGMTRVRLTRARQYAAQLPSMCRVEPQQCSIFAAGQY >KN538687.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538687.1:542968:544482:-1 gene:KN538687.1_FG089 transcript:KN538687.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATKWDRRGLNNLRGADGDLCDRYTVSADAEISALKAELLQAHNRIHELEAESRSAKKKLDHLVRNLAEEKASWRSKENDKVRNILDAVKEELNRERKNRQRAEIMNSKLVSELSELKSAAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEEKKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSKLQSDLEAFLSFHQGNGVNKEALRDGERLREAICSMKFHDIKEFSYKPPPPSEDIFAVFEELRERDDANEKEIGQCNGGTPKKHATKIHTVSPETDIFLEKPLNKYSNQPCDRNEEEDDSGWETVSHVDEQGSSNSPDGSEPSVNGFCGGNDASVSGTDWDDNRSNSEISEVCSTTAEKYRKKGSSFGRLWRSSNGDGHKKTGSELLNGRLSSGRMSNAALSPSLKNGEACTVSPSVGEWSPDLLNPHVVRAMKGCIEWPRGAQKQNTHNLKSKLLEAKLDGHKVQLRQALKQKI >KN538687.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538687.1:467422:467589:1 gene:KN538687.1_FG090 transcript:KN538687.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFANAAGGDGARSTIDEESESLCAKAATVTGAGAILSMRQPEAAAAAAACPKK >KN538687.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538687.1:444882:453144:1 gene:KN538687.1_FG092 transcript:KN538687.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding LSGRFKLTIDLFYLKKSLYFFIHSASARCQSWHSSMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGYNRHPYGVIFYGFALHNFQVGHFQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLEVTSAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPRLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKIMKEKHDGARAELTAAQNQMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPLEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQASELQQKIENAGGQVLKDQKLKVANIQSILCVNRQQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQLNEACDMRKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVSYKTQN >KN538687.1_FGP094 pep scaffold:O_longistaminata_v1.0:KN538687.1:589713:591167:1 gene:KN538687.1_FG094 transcript:KN538687.1_FGT094 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERSKKLRVLLMPFFATSHIGPCTDLAVRLAAARPDVVEPTLAVTPANVSVVRSALRRHGSAASTVVSIATYPFPEAAGLPPGVENLSTAGDERWRVDAAAYNEAMTRPAQEALIKEQSPDVLITDFHFSWNVGIAEELAMPCVQLNVIGLFSTLAVYLAAAVVNDSDSEELTVAGFPGPELRIPRSELPDFLTAHRNLDLVDNMRKLVQVNTRCHGFAVNSFLFLDKPYCEKFMCNGFAKRGYYVGPLCLPQPPAVASVGEPTCISWLDSKPSRSVVYICFGTFAPVSEEQLDELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSVLEGVTAGVPFLTWPLVFEQFITERLVMDVLRIGERVWDGARSVRYKEAALVPAAAVARAVARFLEPGGAGDAARIRAQELAAEAHAAVADGGSSYGDLRRLIDDLVEARAAAGESALQPL >KN538687.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538687.1:576488:577857:1 gene:KN538687.1_FG095 transcript:KN538687.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELELLLLFLLALRASGEVVAGSATAEAWPGLQVGFYHAKCPVAEDVVLGEMRMILEEDATLAPSLLRMHYHDCFVQSKGPWYDVETGRRDGDVSVAEYAENDLAPPDSNIVDVKTFFSVKSLNAKDIAKRLYNFTGRMDQDPSLDAGYAAQLKKLCPPGHGHEHDHGHGGGGGAAKVPMDPGSGFTFDLSYYRHVLDTGGLFQSDGSLRDDPVTRGYVEKLANASSPEEYFADFAAAMVKMGRTDVLTGDLGAVRPTCDSLVD >KN538687.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538687.1:526039:539837:1 gene:KN538687.1_FG096 transcript:KN538687.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MDEEEEEEMSEREVDSQLSSCRHQLYCFYGTFPSPERHTFYFYLMRMQRHAQIWFRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVPISMFLWFYFGNKLESYLCRIVGWDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGKRLLRFAKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLVKDGLCEELDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTSEQSTFMIDLHQVGTMLRWYWSAWRNYQPFYRLRLSSKGPFVNTSDRDFHRKLPATGLHCAQLAGVPSEVVQRAVTVLGDVHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKDPFAEANAEDSGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKDNIKIHGF >KN538687.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538687.1:488216:491730:-1 gene:KN538687.1_FG097 transcript:KN538687.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAWWSMMGFIWLAAWLSGPFTNFPFSLPNFSTIFSTNHAVTPQPSHMVSQRLTPQHYSAKAVPNIPTSQGFVGVIAAEKKPALQLRGDERYQKKAGNDPVPPTIDDTSKTGGLHLHGGHVSQSPPDSNALSSQRFGSSSPGGDMKNKTRKRTCTFYAQGRCKNGKSCTFLHEGEVSGSDNQVYGNHGGTGEGSEIQHPSSSKERQFKNSAGSSQHEIYRTLVHAYGEDNRGLTHPVVKHSCHMLKASHGFKIGGSLTANPTNEVVQLPVVQEKNHEPYFMGHQISLGTNSCLNDMGAYSRLRLDGGKLQFEVAKGDSPRDSHLSRSYLEKNPLKPDYRYQPFDSTISLDPHQYSKKSSAYGGATENLPHKHQEEKSSSHVSYSLNSYTGFRKQGHDSSDFFLVNQSLRATSHHGTLPLRQLTPDKDASHHQGADFDKGGTSRSTLLVSSSSQPVVASAGKLSPIKDEVWITSVPFVPSFNFPDFPGSTSPSKSQYDPLVDSIDPPKVESLNNLKTSNISCSISSQHVDTNVIRGGSLEKPLTFADKLARNVSAKGSNDFGLISYDRGHSSSLDGDNRVKTCERKNDASLNNEKSDFRFHLVEHVKELVKPIWKEGNLSKEAHKLIVKKSVDKIFASLEPNQMPETEKAITTYITASAPKIEKLVKAYVDRHRTS >KN538687.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538687.1:554276:556504:-1 gene:KN538687.1_FG098 transcript:KN538687.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEINKSKAERTNELDYARMNTIPDVKQWDKVSYHQDESKMDHLNLGLMNLDLKMNDIRMNDAAMKNPFRGMAYNMNQLYPKGGNGNVNSFKMNVGVNKYLHSPNGKDVNGKNSGGNSNGSNSSGNNSNNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAIIPGLPLFLYNYTTHQLHGVFEASSFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAEVVHYVLMFVKRKLLVAPARTWYLKASNTCACLYCAVRNLEDVYVLLFMYFGRVHPEGNPKMGGYCNAS >KN538687.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538687.1:572751:574044:1 gene:KN538687.1_FG099 transcript:KN538687.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVILALLLAAAAVMASSAQLDEKFYSKSCPSVEAVVRKEMVRALAAAPSLAGPLLRMHFHDCFVRSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTELTQMFAAKNLDLKDLVVLSAGHTIGTSHCFSFTDRLYNFTGLDNAHDIDPTLELQYMARLKSKCRSLDDNTTLVEMDPGSFKTFDLGYFKNVAKRRGLFHSDGELLTNGFTRAYVQRHAGGGYKDEFFADFAASMVKMGGVEVLTGSQGEIRKKCNVVN >KN538687.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538687.1:578583:580331:-1 gene:KN538687.1_FG101 transcript:KN538687.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQWCHLIIVFICFEDHFEKDLGENVIVAQGKLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAKEHSSVVAVVGKGHVSGIKKNWEQPIEIESLLVLPVTKQGASKMKILASIGALGGVVIATGIYIWSRK >KN538687.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538687.1:583837:586060:1 gene:KN538687.1_FG102 transcript:KN538687.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDRSKKLRVLLIPFFATSHIGPFTDLAVRLVTARPDAVEPTIAVTPANVSVVRSALERHGSAASSVVSIATYPFPEVTGLPRGVENLSTAGADGWRIDVAATNEALTRPAQEALISGQSPDALITDAHFFWNAGLAEELGVPCVSFSVIGLFSGLAMRFVTAAAAAANDDSDSAPELTLAGFPGAELRFPKSELPDFLIRQGNLDGIDPNKIPQGQRMCHGLAVNAFLGMEQPYRERFLRDGLAKRVYLVGPLSLPPQPPAEANAGEASCIGWLDSKPSRSVLYVCFGTFAPVSEEQLDELALGLEASEIVDDLNSYSSPFLTISKGSLTTLGTSQVTPMKIAEKLA >KN538687.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538687.1:553315:553572:1 gene:KN538687.1_FG103 transcript:KN538687.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAAAPSDDGELGSPEREEMTGVEVRIRISKRQLQELLEMASMTAAGDEKVLAGIINAGEVVDHHQQRHWQPTLQSIPEAGEP >KN538687.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538687.1:496042:505521:1 gene:KN538687.1_FG104 transcript:KN538687.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPAPEAASAPAGGDGHVEGGDSDAEEEEEEGGVGGGGGGGADEDRDSPSSESDGDMDEFILVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEIQASIAETFRRQSEALVKKRSVAKATSSTITRRTRGNMRAKRRGRTSSPDIVATDNEDEDRDENGNEGSKESSSVDDRSPDVRQKRVRRWPVPRSSPAKSIGGIDSSFEDIDDLGSGRDIMSTSPLRGEMLAWGKNGTRSQTRHGNSGGSSGRMAKGGRVTKLVEYLRNTDEFDNKFNLYLVLLPLNGQSMPKLEKPYLSCQPTFSVRHLCQFVALQLSRHAKEVEIFIRKNPSNGCFSSIDTSADEIKLNHDALERLEEEKSLSELYPSLASGHGDLMVGCRKNREVIESLLTGAGRTVCHAMLPLKLADWPSTLTQSRCQSNWPMGLEVLSESLLCFGATSVTVDDIAAAGNLDEITITSIFAHGEDVGSSVSSAASSAGLEYNPVYESSVGKQCDWVTVVQETYESTKVIDGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERFLDYGTGTGVLGIAALKMDAALSTGIDIDPQAVTSACENMILNGIDSNKMLVYLVPTNAQSACFPSNIDKSEDNKPAGNLELKSSKGSYDIVAANILLNPLLELVEDIVGYAKSGGIVAFSGILSEQVPKVEEAYSRYLENISVSEIDGWACLRGNRRA >KN538687.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538687.1:563355:566022:-1 gene:KN538687.1_FG105 transcript:KN538687.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSASRSCCCCSWDTAASDAKKRKKRKWVVRGVFGKASREAEEPLTLETMKKRKSAATSPELEKNKWGTKKNWKKKKGKTQPTGLASLVKEISLENSTRNRAAAGEILRIGNHNIPSRVFTFRQLADATGSFSPENLLGEGGFGRVYKGFIPDTKEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECDQRILVYEFMPLGSLQDHLLGSTMSIAVGAARGMEYLHEIANPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLEIITGRRSIDTTKPTREQILVHWAAPLFRDKKKFVKMADPLLDMKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDIEPLPIKAPNLDTENSQKEAEGGDNDSDEEGED >KN538687.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538687.1:506418:511352:-1 gene:KN538687.1_FG107 transcript:KN538687.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREVAAAARGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRNIGNGKTGAPRWLSARLLAILYFSQQDSTVGLLAHCPMKNDKNFGKFGLFVRNIVDVQASADSVLSLLQHEGSLTRSSSWDVCWSLADVNQKQVDNDARYSLESNRKNAYAESTELPMLAKSATRIAILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGMEGAPVFDKNSCLVGMLMKPLRQRGSSTEVQIVITWDAICNAWNSDKLERIGHPPSELVDEKSSDCKYKESCVADKHRRFVPNSANNLNQYDVSPSLTEAISSVVLVTVGETSWASGIILNKNGLIMTNAHLLEPWRFGRTSSLGLQNKIASFSEHICGGENNLLQPQQCKVSNEDAVKHELSLFNFGFKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSPPFFSTSPENSRGGKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >KN541970.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541970.1:1969:4272:1 gene:KN541970.1_FG001 transcript:KN541970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GNFGRLVRASPVGCAMGMANHFAHHGSLCNSFLLTGMVEQAVLEYIGFEAGEATIDEKAEYSSVTAAVLGVIFGITNTYRPFSNDIFRYDLKEPFKLEYGWLLWAGIGFFAAIISIALAGAALSFLGGETPERETDSLVLLLPLIGSSNISTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPISVLITASVFAFAHLTPGEFPQLFVLGIVLGFSYAQTRNLLTPIIIHAMWNSGVILLLTVLQGYNIKELLLAS >KN541970.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541970.1:12547:14090:-1 gene:KN541970.1_FG002 transcript:KN541970.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAK >KN541970.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541970.1:9603:11195:1 gene:KN541970.1_FG003 transcript:KN541970.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNDVNMDKSDEILLPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSARPNRVTAAGFWKATGTDRPIYSTEGTKCIGLKKSLVFYKGRAARGIKTDWMMHEFRLPTLTDPSLPKKPIDKNIPLNDSWTICRIFKKTSSMAQRALCQTWGAQLPGTIDPDIFSTLQSVQASQFALESSSCSLQAAATAAHQITSKYALQGNNNNQHQQQQHKPSNPLDGSSCKVINFNCSQSAEVQNSQIILPFEAHTSQKTATPLLFDTQFGQPDQISRFVVDSSVNANGGGISNKSQDPSARKPGSGFSMNSDWDGVARINFPFDLGADSSEDWRSSIPWESFLSPTTVHAEMPH >AMDW01039726.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039726.1:20:1069:-1 gene:AMDW01039726.1_FG001 transcript:AMDW01039726.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTTAAKRANWRNKKKLSKLTLLWTIPCSADDFENVETFLEVLVPPENLEVLEIDGYMGTRFPSWMMKSMESWLPNLVSLDLSNIPNCSCLPPLGHIPYLQSLHLRYMAGVHSMSSEILVKRQKCVLYQSLKELHFEDMPNLETWPTSAATDDRATQPEGSMFPVLKTVTATGCPKLRPKPCLPDAITDLSVSDSSAILSVRKMFESSSSTSASLLRRLWIRKSDVSSSEWKLLQHRPKLEELTIEYCEMLRVLPEPIRYLTTLRKLKISNCTELDALPEWIGDLVALESLQISCCPKLVSIPKGLQHLTALEEMTVTACSSELNENCRKDTGKDWFKICHIPNIVIS >KN542089.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542089.1:4887:6157:1 gene:KN542089.1_FG001 transcript:KN542089.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >KN542089.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542089.1:7136:9648:-1 gene:KN542089.1_FG002 transcript:KN542089.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLVLAECALWMPTRSGSALQLSHTIYNSAAIGSVVPINLPIVSKVFNSNRVVKISHTSPLASITADKSRYVPPEVVAIRVPLLHLTNFQINDWPELSAKSFAVMVLMLPPDSAREWRPHERELVEVVADQVVNLIKPVAACKRLSVMVTLAPELPPHAIGDQKRLMQIILNVAGNSIKFTKEGHVSITASMARPDALRGPHEPDYHPVVSDGFFYLAVQVKDTGCGISPQDMPHTFRKFAHPENAGKWNNGSGLGLALSRRFVSLMEGNIWLESEGVGKGCTATFFVKLGMPKKPNANLRRMAPHPLQPNQGAGGPDALSISIMDSNPRVPRWRYLGHAGISFIGILDCSCEGDWVALSGDNWES >KN539707.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539707.1:45754:47650:-1 gene:KN539707.1_FG001 transcript:KN539707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHSVRDRSLSHATNLQDRVGAARPFSVTEPVPTGLAIHRGAAQLPCRSATDPHALYAGPLWSHSSNLRDRSGHRSRLPNLRLRVAPASPHRGLGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >KN539707.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539707.1:70726:74142:-1 gene:KN539707.1_FG002 transcript:KN539707.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G27740) UniProtKB/Swiss-Prot;Acc:Q8VXX4] MARFGHCFFFFFARPKTLDKVTVHDQVAQNLKKLVAEQDCPHLLFYGPSGSGKKTLVMALIKQMFGAGADKVKMENKTWKIDTGSRNIEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKGSTIVQVLEFIGKKENLQLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIAADIMKEQSPKRLFAVRQKFYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVSTFG >KN539707.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539707.1:22912:23421:1 gene:KN539707.1_FG003 transcript:KN539707.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIMLELAAVVVVVVVVAAAVAGSLPATTASATAYRVGDDSGWDNGVDYDAWAHGKRFKVGDTLDVEFLYAEGAHNVVVVEDEGSFEACVAPANAPTLSSGDDTVALNQAGRWLFICSFDGHCQSGMKLAVTVTH >KN539707.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539707.1:53714:56065:-1 gene:KN539707.1_FG004 transcript:KN539707.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPRRERDWSNLTDGPAGLIAERVLAGDVADYVHFRACIAVDLPEIEGHRSFGPTAEGLLVLVDDRTLFVRVLNPFTRRLTELPSLATLLPRDRYLVGGDLSVCGAGLAGGDGCLIALYFSNTRKLAVAKPGDERVLPMMDTLHLVDNGGDLMLVHRKHRCISASCIIGGDDDESYYETWKWKYEVYIVDLDARKTTLVHGLNGRALFVGLSRALSVCPKVFPSISADTIYPGFELDDKEETEAYHLTDATTEPSTLYNDPLTGGLGAEPHSGPCGPWTTVKSGPNVYYPCSDPNIEKNHAIVSSVDLPYQVVVSASVLTSSHPDYAINCVSIAFVTQRLNAWDWGPVSNGGN >KN539707.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539707.1:63945:64334:1 gene:KN539707.1_FG005 transcript:KN539707.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMW >KN539707.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539707.1:4970:12110:-1 gene:KN539707.1_FG006 transcript:KN539707.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAARPVVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADRCSSRPAPPPGFSKLTTAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGADDERADVGKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGGAPAVAVTGDGWFHPKSIEEFYRLFDSHMFDERSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSKGVEIGAVVSISKAIEILSDGGAVFRKIADHLSKVASPFVRNTATIGGNIIMAQRLSFPSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFESFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGAFGAEHAIRAREVEEFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLSSLANGLDEPENANVPNGSCTNGTANGSANSSPEKHSNVDSSDLPIKSRQEMVFSDEYKPVGKPIEKTGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKDINFRSSLASQKVITVITAKDIPTGGENVGSCFPMLGDEALFVDPVSEFAGQNIGVVIAETQKYAYIAAKQAVIEYSTENLQPPILTIEDAVQHNSYFPVPPFLAPTPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITLYVSAQLPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKAMKTMHVAAACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITGLHFDLGMNGGISPDCSPALPVAIVGALKKYNWGALSFDIKVCKTNVSSKSAMRAPGDVQGSFIAEAIVEHIASTLSVDTNAIRRKNLHDFESLKVFYGESAGDPSTYSLVTIFDKLASSPEYQQRAAMVERFNAGNRWKKRGISCVPITYDVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGESLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSLIAQASMASVKLTEHAYWTPDPTFTSYLNYGAAISEVEVDVLTGETTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGIGFFTNEEYATNSDGLMGSVGVGSD >KN539707.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539707.1:78335:80497:1 gene:KN539707.1_FG007 transcript:KN539707.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLRWWLGAMVAMAAAASWSGGVLPAAEALGMNWGTQASHPLPPKIVAQLLQDNGIKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYSFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNDAGLGDSIKATVPLNADVYDSPKDQQVPSAGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGATPVVDNGISYTNVFDANFDTLVAADWGISTIQNHRAGAGAFHNSEPHSLGGISTNTSLAQKTVGWPTDGDKHATATYAQRFYNGLLKRLAANAGTPARPGQYIEVYLFGLLDEDAKSVAPGDFERHWGILRFDGQPKYAVDLTGQGQNTMLVPAKGVAYLPRTCILGGVSKLVKPLYWRYVFSIYILR >KN539707.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539707.1:57614:62193:1 gene:KN539707.1_FG008 transcript:KN539707.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MRSERTRSGSFSSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKENLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTMFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQLYVSKVLVCNSYPSNGLKLIYRAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRATLIVSVFLVVLTLIPLWDELAEDLGVGLVTTF >KN539707.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539707.1:29437:31292:-1 gene:KN539707.1_FG009 transcript:KN539707.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFQYPYVLYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDHVTEMSTRLTSDTRIYADKAKDLNRQHVLCALISIKYDIKIAFTFRI >KN539707.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539707.1:305:3375:-1 gene:KN539707.1_FG010 transcript:KN539707.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAARAVVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACAVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADKASGRPAPPTGFSKITAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGVDDERADVNKLPAYSGGAAVCTFPEFLKSEIRSSMGQAN >KN539707.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539707.1:65641:69917:1 gene:KN539707.1_FG011 transcript:KN539707.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGAGGGGIGGDASPAHVGSAKEAGVGRDIVPSPTSSRSVMQTVNGSHMFVIQGYSLAKGMGIGKYIASETFTVGGCQWAIYFYPDGKNPEDNSAYISIFIALISDGIDARVLFELKLLDQSGKAKHKGHSQFDRSIESSPYTLKNRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFSAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >KN539707.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539707.1:81569:82420:-1 gene:KN539707.1_FG012 transcript:KN539707.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCHRQGSSSQLLLPLGGQMQWSFISTILCDLEPYWQVQLVFLTMAQESWKKEAEETGVHTPEAPILCVNNCGFFGSRMTENMCSKCYRDTVKAKTVATVVEKKPFASLSSTPLVAEVTDGGSGSVADGKQVMEEDPPKPPSNRCLSCRKKVGLTGFKCRCGGTFCSMHRYADSHKCTFDYKQAGREQIAKQNPLVKADKITKI >KN539707.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539707.1:41454:45133:1 gene:KN539707.1_FG013 transcript:KN539707.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASHHLVVFLAALLALLPGSSQLQYSQTWTLFKIQQMLNHPPRAALPQLAVLSLRNNSLGGAVPASVGRMESLRSLVLASNNLTGNLPDMSGLTNLQVIDVGDNWLGPAFPALGRKVVTVVLSRNRFTGGLPGEITSFYLLERLDVSWNRFVGPFMPALLSLPAIRYLNVAGNRFTGVLSDKVACGDNLQFVDLSSNLLTGSEPACLRPDKKPATVVLVNANCLEATGGDASQHPSPFCQNQALAVGITHGGKTVKLGALGIPPYRTFSLVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQSSLSFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWAQRISAAIGIANGIQFLHAGMMPGLFGNNLKINNILLDQNHVAKISSYNIPILGEAMKSEKCYDVCQSKKGGPGGKHHTESPLLNDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELMLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAVQRPSIEDVLWNLQFAAQVQDDWEGDNRSSDGSMVSSSSRITKSSRFQHEQTRSGREKECEDSSARGSVWLQAATEDGNFETACNTGRRQAEDERY >KN538707.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538707.1:88421:90143:1 gene:KN538707.1_FG023 transcript:KN538707.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEANTIQDDALAAHDSLGIGAVLRECGDLLRGVASLTDCAGGDGATARAIAAAFPHIECTVLDLPKVIDKAPVDDGVVNYVAGDLFHAVPPAQAVLLKLVLHFWSDDDCVKILSQCKKAIPSRKEGGKVIVIDILIEPLLGPAMLEAQLLMDMAMMVNTRGRQRDESE >KN538707.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538707.1:168142:169450:-1 gene:KN538707.1_FG024 transcript:KN538707.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLNPGRFLEAGQHVQDGSPNRLPRADITVPAPQKQHEAYMLAEIEPQVHEDEWDVHRLQIRNHLQNELGIMVTHCSPHPLGVGLFAVRTTLIRDTLVAAFGFHYNGGHVVRFVNHDRGTNWRAAHADRVGWIMFLGYPLDFRSTSYISRAVGLFGKLDYWQELPNQGGQGESWTFGVFVLNNEFADLQPQDEDLPHVGAPPPPPDPPHHHGPAWDEINHHHGDEGLSSQGASANLQIVIAASTDSSPLFTISPEMQGKIDEFVLRMKLLKSLQNKTHIPLPIQNAAPFTQLLYPKRKALSVYSQLVEPVVKRANLNESSSWAMEKSLSIDEFTWIQISFSWQALRFLLAGMSQFLRLLQAMML >KN538707.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538707.1:54387:61002:1 gene:KN538707.1_FG025 transcript:KN538707.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNEWINGYLEAILDAGVKLREQRGAAAVVAMRNSQERNNRLENLCWRIWNVARRKKQQPPPQHQLSRFARINSDPRIVSDEEEEVTTDRNLYIVLISIHGLVRGENMELGRDSDTGGQEIEEQWGLYDGFDLKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLAADGAGGAGDAADLQLLINPNKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAAAITAALLSLLADKSRLSESAVPRLGKADGAQEADLAVDAAACSVHCHAYAAKDASKVKKVDWIRQALRMRGFRCNLVYTRACTRLNVVPLSASRPRALRYLSIQWGIDLSKVAVLVGDKGDTDRERLLPGLHRTVILPGMVAAGSEELLRDEDGFTTEDVVAMDSPNIVTLADGQDIAAAAAADLLKAI >KN538707.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538707.1:104877:107047:-1 gene:KN538707.1_FG026 transcript:KN538707.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTNTARNAAMSAAAGAMTACVKTGARGCATGLAEGARRTLGVIRMKTMTAMKWNATTVKPWMTARIAHAEEEEGAGDSIMITPGPIKAGVAGIGMMTAMIRDAISGVAAASNAPTFKTSVASARAPLASATEADLAAMEAGATTPSSPTPSPRAISPTHAYNQSFSLCSSRSSKPRYASFGALAATTPSPGDQLRRLAAIADKTKVTAPIPVAVDDPANRSSPGTEAWYGNTVWAPVPATRVFDRIKEALPIDPLGTVAPTTCQQIEEALLRLELAATSADSPGEGVILPQPKSPASHAASLPCQLEVLPDHAMAGNDSMTCELPAPPSLVAPLSPQALNPAPASPPPQYRASRIATLTMSVPKVRGCRTD >KN538707.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538707.1:119158:119745:1 gene:KN538707.1_FG027 transcript:KN538707.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATGVDTGITPLQRIGVGLFAVTFSMVAAALVEAHRRRHAGDGLLSIFWIAPQFLVFGVSEMFTAVGLIEFFYKQSLSGMQAFLTSMTYCSYSFGFYLSSLLVSLVNKVTSGDGAGAGGGGWLSDNDLNKDRLDLFYWLLAGLRLLNFFNYLFWSRWYSKSVETTVQVAGVGGEGGGGEQQEEKGVDEVNMGH >KN538707.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538707.1:25760:25990:1 gene:KN538707.1_FG028 transcript:KN538707.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTVTFGRGVKLLLLAVLLLAAMHCGCAAVAAQGGGGGRWRRVLRRGLSRPGSPMPNGNPQTIRPTPICCGGNR >KN538707.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538707.1:172833:177325:-1 gene:KN538707.1_FG029 transcript:KN538707.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEYLSLNGNNLQGAIPPELGNLTSLRELYLGYYNVFDGGIPPELGRLRNLTMLDISNCGLSGRIPPELGALAALDTLFLHTNQLSGAIPPELGNLTALTALDLSNNALTGEVPASLASLTSLRLLNLFLNRLHGPVPDFVAALPRLETVQLFMNNLTGRVPAGLGANAALRLVDISSNRLTGMVPEMLCASGELHTAILMNNFLFGPIPASLGSCSSLTRVRLGQNYLNGTIPAGLLYLPRLNLLELQNNLLSGEVPANPSPAMATASQSSQLAQLNLSSNQLSGPLPSSIANLTALQTLLVSNNRLAGAVPPEVGELQRLVKLDLSGNALSGTIPAAIGRCGELTYLDLSKNNLSGAIPEAIAGIRVLNYLNLSRNQLEESIPAAIGAMSSLTAADFSYNDLSSNVLVYEYMANGSLGEVLHGKGGGFLSWDRRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLGDNFEAHVADFGLAKFLRSGAGAGAAASSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWTKRVTDGRRESVHRIVDRRISTVPMDEVAHIFFVSMLCVQENSVERPTMREVVQMLSEFPRHGSDQPSPSSSAPETGEEESSPEKEPNCYKLFPDLLT >KN538707.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538707.1:115283:116115:1 gene:KN538707.1_FG030 transcript:KN538707.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEVSVDWRGRPCGSRKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFNEMHYPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSVHCIPSHDHIHGDN >KN538707.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538707.1:62988:66066:1 gene:KN538707.1_FG031 transcript:KN538707.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLCFTIGRDLQRMSGNSTAKVGYWSSDEIKALSTRFQLSKTVQNQEVPKAQDVLALISQSVRQVILDVKVGPPSFEKDLAEDVLSIIGRTQCKNCLVWAKSDNVGRDVIKLSKDITVGYIVMVDKSTGRTTELVRITGSKVAGVYHRLIHEKLMKVMHRNDKKVYAWTVDDADSMKRMLYEHVDAIVTSNPSLLQQLMQETRTECMEDGFALP >KN538707.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538707.1:13299:21318:-1 gene:KN538707.1_FG032 transcript:KN538707.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQCITARSSTALASLSPTSQKEPESPKCEKRKFINPLKRPAEKSAREFAGGGLRVIMGSLAGPLNHLISKLTDLICKEYAKLKGVQKKARSLTKELISIDIALDEYTKMEEPDMQVKAWMKEVQELAYDIEDCIDIFTYRINHETSSEATSMMGLLRKNIRKVKKLHYKHKFADQIQELKTLANEVYERRIKYRLDECTTFPMHKEVDPRLAFLYVEEDKLVGIESPTEEIISRIIEKRNRPLKQCRVVSVVGPGGLGKTTLANQVYQQIKGQFDCTAFVSVSRKPDMNRLLWGMLSEVDSTGQLPGLYNDRQLINRLRECLVNKRYLIVIDDIWSKSAWETIQCAFPKNACGSIIIMTTRINTVAKCCCSSDEDFVYKMQHLNKRDSKSLFLKRTFGSKDKCPLQLEQIMDEILQKCDGLPLAIITIASLLADKPKTKAEWTRVRNSIGSMREKDIELEVIDKIISLSYCDLPCNIKTCLLYLSIFPEDSEISRDCLIWRWIAEGFIVAKHGYSLKELGESYFNELINRSMIQPVHMEFGGMATSCRVHDIILDFIITKSTEENLVTILDGQDFSTSSSGKIRRLSIRKKKKIVDPGIVKTIFTNDNDMVSFFWDTEFDEGTLLQETMSFSHLRSLTLFGPVNWMPPLLDRHVLRVLDLHGCRHMMNDHIEDIGNLCQLRYLGLGRTYIKILPVQIRKLEFLQTIDIRGTCVQELPGSITELKQLMRLESDSIELPDGFANMAALQELSWLHVCKISRNFAQDLGNLSNLRVLKIILHPQFLSYCQEIYQESLVSSLCKLGEHNLRYLHIKHNAGEIDFLVDSWWPPPRLLQKFVMNGFCYFSRFPKWINSSLSELSYLDIDVKVIAEEELNMLGGLPSLRVLRLFLNRIPEEGFTVSSGGFQYLSEFHLHNGYGPGIKYEAGAMPNVQVLVCSFHALESVRVYGDFDFGVQHLSSLGRINVEICYTDERVVFLLEVAESAIKNEIKLLPKHPMFMIKRKFNRNSCMYYA >KN538707.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538707.1:154093:157136:1 gene:KN538707.1_FG033 transcript:KN538707.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWHVGFPTAGGEAVAVMEVVEEEVARARRVYCEHCTVAGWSKHPVCSKRYHFIIRNENEIGTSKTCRRCGFMVALQETRCPSCNHVGLSRDDPEDWDYVQLESPRHLLHGIVHENGFGHLVRINGREGGSSVMTGSQLMNFWDRLCRYLRVRKVSVIDVSKKYGADYRSLHAVATGCSWYGLWGFKLSSGSFGITPQDYSKAVESLSSVPLSNFFPHTRSPRNQLQNTIAFYQSLSRHPLTTIRELFAYIMELATGKSVHKEKMAYDANYQEEFPEEELQRATNIALKILRAADRWVATRTLKAVTSHPIGSPQLVDYCIKTLGGTRTDDGMVVAIRCNSEMNTVEYRLTTETLFPPNNVCTLTQDHLFRDIKFLYDALLYPYTMHPYMPEENYQHAKRSAMILLDCKQFIKHYDLEEDFLPQNPSQLHIWCQVELADQVDDPPCIPAELITLPQAATIADLKGEAARAFRDIYLMLQSFVADQLLDCATASDTTQIKLLFGAKGAVHIKGRCIGGERRFAIYRMERGVDKWTVKCSCGATDDDGERMLSCDTCHMWMHTRCAGISDFDRVPKRYVCKSCKLTHKPKSSGPRLMYSSAPNKRCKTGTGSFSNAAEGLLWPHIS >KN538707.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538707.1:201836:202264:1 gene:KN538707.1_FG034 transcript:KN538707.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTALKTRARRRGRGSGWVGVGKLRPLTMSSPLPMLCMRRGLLLHPASCCIACRVVVCAVLPFHRACVRLCAVHGGLFAELLEDSVVTSLLLLDSLHSDPPPRSPSSPCIAPPSGAAGGKVKIFLGKQSMIVSVTKQMFS >KN538707.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538707.1:76334:77659:1 gene:KN538707.1_FG035 transcript:KN538707.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAKAMLSSDGDKHNEFDLEFLGNVTGEPYLVQTNMYIGGVGNREQRIDPTADFHTYVVLWNPSQVVFLIDDTPIRVYKNKNATAIKLMSVYSSIWNADDWAMQGGRVKTDRSHAPFVAMVREVCVEGRVCSERHRLGCRRASVHAAVLREAKQTSAEMIWEERGGPAEGIKWTCVVEWTGLAERRMVQL >AMDW01132456.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132456.1:142:3682:1 gene:AMDW01132456.1_FG001 transcript:AMDW01132456.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSSSVDMYNFLLDSGMDPVSADLSAASSSSPSSSNAQLMKYSTYLSSQAADSGSNTIDGIMNGVIKEKLKIIPKNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQELSEQQEIRRLQEPSTLTWLVIAHMVKLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAS >KN540556.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540556.1:40838:41284:1 gene:KN540556.1_FG001 transcript:KN540556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSATRDDNGAAGDGSEPSRPLVAVPPPDMHRHLGSLLSGGHGADITVQVGDETFAAHRCVLAARSPVFMAELFGPMGQNNKETIHVHVRGHAPLHLQRLVAGGRRRRGGGHGAASARRGGQVWHGEADADLRGHSVQPRGRQHG >AMDW01033855.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033855.1:155:456:-1 gene:AMDW01033855.1_FG001 transcript:AMDW01033855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEE >KN541356.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541356.1:38:2714:1 gene:KN541356.1_FG001 transcript:KN541356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPSFSAPNSSGTGSKNSSKNGADSSTFGTKASAASSSVPPTPRSETEILQSSNLRKFTFGELKGSTRNFRPDSLLGEGGFGSVFKGWIDERTLTPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCFEDEQRLLVYEFMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHSDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKIAGLAVQCLSMDARCRPGMDQVVTALEQLQGAKKAAK >KN540556.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540556.1:6748:9882:1 gene:KN540556.1_FG002 transcript:KN540556.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILGSLVGSCAKKLQEIITDEAILILGVRKELEELQRRADIIKCSLNDAEARRMEDTAVEMWLGQLRDVMYDVDDTIDLSRFKGSMLLSDHPSASSSSNKSTSCGGLSLLSCFSNTGTRHELAVKIKSLNKKIKNIVNDEVFLRLESTPFTGKGSVTPQERSSKLVEPNLVGRDVVHACRKLVDLVIKHKEKTADIENKEKKADIEHKKKEPYKLAIVGTGGIGKTTLAQKIYNDKKVEGNFDKRIWVCVSKEYLGTSLLKEVLRGMDVQYEADESLGELQTKLNSAVSEKSFLLVLDDVWQSDVWTNLLRIPLHAASTGVILVTTRLDIVAREIGADHTHQVDLMSDDVGWELLWKSMNVIEEKQVQNLRDIGMEIVRKCYGLPLAIKVISRVLISKDKSEKEWKKILNKNSWKTNNFPSEIIGALYLSYDELPQHLKQCFLYCAIYPENSTINRDDITRMWIAEGFIDEQESSTDEQKHQLLEDTAVEYYYELIHRNLLQPDGSHFDHIRCKIHDLLRQLAFHLSRQECFVGDPETQGGNKMSVVRRISVVTGKDMVVLPRMDKEEYKVRTYRTSYHKSLKVDSSLFRRLKYLRVLDLTKSYVQSIPDSIGDLIHLRLLDLDSTDISCLPESLGSLKNLQILNLQWCVALHRLPLAITKLCSLRRLGIDGTPINEVPMGIGGLKFLNDLEGFPIDMPNWEEWSFVEDDDEAAATAEPVANEGEANDASAKPKGEAPVRRLQLLPCLKKLHLRNCPKLRAFPRQLGKVATSLKVLTIGEARCLKVVEDFPFLSDNLSIIGCKGLKRISNLPQLRDLRVARCPNLRCVKEFGCLQQLWLGVGMQDVSSVWVAGLQGQHRQDHGDDLDVYTWRLRVG >KN540556.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540556.1:34932:36017:1 gene:KN540556.1_FG003 transcript:KN540556.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPATSDAATGDVPEPSRSSSVVRAMSGYHVLKMEGYAAGVKGLGVGKFIDSGSFDVGGHRCLLSGGHGADVTLQAGDETFAAHRCVLAARSPVFMAELFGPMGQNSKETIHVHDMEPRVFEAMLHFIYNDSLPKVDDDEVVAMAQHLLVAADRYGMERLKLMCEDTLCSHVDASTAATALTLAEQHHCEGLKDACFKFMADPDNLKVVMESDGYLHLTRSCSYVLKKLAT >KN540466.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540466.1:44502:47897:-1 gene:KN540466.1_FG001 transcript:KN540466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-1 chain [Source:Projected from Arabidopsis thaliana (AT1G64740) UniProtKB/Swiss-Prot;Acc:P11139] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPRDAICESVIPKPVALRVESLCLFCEANFISRRILVCDLLRCLVAPSLRYPNPWSYLTLACSDTTPGIARDAFNTFFSETSSGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISYKEDAANNFARGHYTVGREVVDLCLDRLRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQYFFMSSSCMHIMSDTQISQCKISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAFHEQHSVPEITNSVFEPASVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTRRTVQFVDWCPTGFKCGINYQPPTAVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDDEEQGEY >KN540556.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540556.1:22174:23184:1 gene:KN540556.1_FG004 transcript:KN540556.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIKSSLTDSWLGIDGTPINEVPKGIGGLKFLNDLEGFPIGGGEYLTLRWCKSCVCLPTIGQLHNLKYLRIEGAIAVTKIGPEFLGCSMGKHRTIEETVAFSRLELLTFTDMPNWEEWSFVEDNDEAAATAEPVANEGEANDAAAKPKIEAPVRRLQLLPCLKKLHLRNCPKLRAFLRQLGKVATSLKVLTIGEARCLKVVEDFPFLCDNLSIIGCNSLKRISNLP >KN540556.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540556.1:11842:12507:-1 gene:KN540556.1_FG005 transcript:KN540556.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVRYVKAAETILEGHPPVPQGKIRLSQETIDAILARKTEPYPGDSLRYYRSLKDNPAFPPEFSDDLMRTILSCAREHDRLQRQILRRQAWIRNELEEKGYVDIDEDKAANFTAQNQESNDVSVG >KN540556.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540556.1:38903:39148:-1 gene:KN540556.1_FG006 transcript:KN540556.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEGTVAAEFWLPPSEVAGAACFLDIAACGSRTIGNRLSVFSSIIWLPQHPDTQEPRLSGRLQVRFVESCCNHGIDSIWF >KN541356.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541356.1:13369:16780:-1 gene:KN541356.1_FG002 transcript:KN541356.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGSHVAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDEGEGSLGNASSGTPGVDASPKDVRVKQEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQRPVNHHGRKGEDPEGSHAVIEDPKAKSEALDKESSMISCTKAELGCNFAAIADRWSPESVESGAFTGDAAAIAMPATTSGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGNKIRRSTSFPRVPKGGAGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSGEHCEIPFTFSFFEEHCIDGTHIQTCLLYSYLSSLILLDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSAQVSEEYAKANTKFAARDSHTVSLEANKGTNSTAFQKSQESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLHVGVLHHGKKVRDDNKTLMQAGISHDEVLDNLGFSLEPNCAPHPSQLSPPEDNEFMETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGSDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >KN541356.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541356.1:3381:8565:-1 gene:KN541356.1_FG003 transcript:KN541356.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTGLIGVKLSDPPQHGPKPSAPRVRMRRQGQGQYGGGADINSMVAAQLHHYQTQQRVQQHPDNNYPGRDPGKAAEEQQYSAPKVRQSQWDRGGPNAPNQIPSYAYNEGQSAQGAQTFYDGQRSDLKVGLEKQPNKESRDRPRNDRFEARREDYNLPRTFEGLEQNFHEDIVILSKELHDAEDAENARHRERLNEINAQYQEKLLALRARQATYREEFLRKESQARQQQYQQASMSSYANNVRPGETHGYTPIAAKPPPPPPAAAATAGGTYGEAHRGYTSAQYDNFRERPDYPEFRGRGRGEGHGLEHRGQFPGGRAYNSGGRRF >KN540466.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540466.1:92:4181:1 gene:KN540466.1_FG002 transcript:KN540466.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEVAPDPETKARAAAVDHRKQIESSGHAKEKGPERGEVKKVESGVRGDLGTHGKGVAGSSAARNAGREEGKIKDSMIAEAGTVTHTRHEKSTSDAAVSTGRGHEVQGQDEAANALNQVGQCVSSSGSHKVPQEETMIRDEAANVVDAIGQSTLSDIQQEAVCEKAAVRDETANAAEEAGQRTSSSVHEEAIHEQATIRNETASDVDEAGQSTSSNIRKDAIQQKVTIRDKTTNAVDEAGNGTSSSNQEAAIQEKVPVQDETTNAVDESGLGTSSSIHQEGLQEEAMALHEAVDAADVVRKVSLCGMHQEALLGKTRDQTANDVDRVEYGTSSGLLQVTRQEGMASVDGTANAVEPEKIDSDMLKETIEGELVLDGTADVEGEGNSPSTVKEAMHGKITAEDGCPSSALEIAEKCKQSAITEELVHEKVTTSPSQGAPEMKINEKGTISSKKMSESFEPDASQHVEEALPMDGRENIVALSDTEVPEQEAAAENKTIEKEVKGFCLEENSVGANVFLQPSKECNGDRKEEGTALNLIMGKPSAEDKGKGIAFDVLSKEEDIGVGSSVGRSFDLALQPDIDRTEVLKSSGTVSVKQEDDTPKIGRLDLSLSLSGCLQNPEFKCSVPRSESLDLATCSQMLPSSSFRTNSEGFTGSVSLTNSQTFVHNPSCSLTQQSLDNYEHSVGSKPLFKGVDKLSDSTRWQAQLSNESTKKREPTAVLQNTVKYGNLPDKTFLGVNVQNNGISKDIHRRAGISGVLSPTHCRDSHDSGFEQSRHRRQLTRERSSSSLTRGERQDGQQLVLNGAGVIERIVSKIVSEPLHHTGRMLDEMTSNSVTYLREAISDIIADADKRGQVVALQEALKKRSDLNSEMLQRCPRVLLEILVAIRTGLPDFMKKSNSIGTCDLVDIFLYLKCRNLSCKSILPVDDCDCKVCQRKTGFCSSCMCIVCSNFDMASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGSGSRAYSTNEMQFHCAACGHPSEMFGFVKEVFRTCAMQWRMETLVRELQYVERIFSSSDDARGKRVRDFVKQMIIKLENRAYHPEVVKYIMAFFSDDNSNAGSGTSVPLKGIPCNIAERIDGIPSSSRKAPSWLPSVTLEGVPFLEKQGVISTTGSPSTLRKFGGTDFQTVDNKPTVDELDALIRLKQAEANMYQQRANDARKEAETLKHVTMVKHAQIEEHYATQIGELHINELQEQRKRKIEELQVIERTHHRFLSMKTRMEGSIRELLLKMEATKQNFST >KN540466.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540466.1:34888:37615:1 gene:KN540466.1_FG003 transcript:KN540466.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKVDAKEREKIEAVRKTLRKQAPLTAKQAMYCNDACVERFLRARGESVKKAAKHLRAVLSWRDTIGADHIIADEFSAELADGVAYVAGHDDEARPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVSCMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAALLATGTKGIGVGSASSRFSVTVSHDNTLKPWYLSTTTPSSSPSMLFAFKKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLIAIVDEKSKQHKTVQPPLRRHAGLHHQQLYQLHHHQRI >KN540466.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540466.1:15732:17468:-1 gene:KN540466.1_FG004 transcript:KN540466.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQLYTVASDSETTGEDKSQMSFPDVAIGIDIGTSNCSVAVWTGHQVELLKNTRNQKGMRSYVMFKDDSLSAGVTGGASREHGHEEMDILSGSAIFNMKRLIGRMDTDEVVQASKCLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAIALLYAQQQQQLLHNNMGSGIEKIALIFNMGAGYCDAAVTATAGGVSQIRALSGSTVGGEDILQNVMRHLIPDFDSLYAGQTMDRIKSIGLLRMATQDAIHKLATQEHVEINVDLGDGHKVSKILGRGEFEKVNQSIFEKCEGIIKQCLADAKLTPEDINDVILVGGCSRIPKIRSVVLGLCKKDDSYSGIDPLEAAVSGAALEGAIASGVSDPSGSLDLLTIQATPMNLGIRADGRNFAAIIPRNTAVPARRDMLFTTTHDNQTEALIAVYEGEGNQAEDNHLLGYFKITGIPAAAKGTVEINVCMDIDAGNVLRVFAGVVKPQGQAVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDLATVPKKLHP >KN540466.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540466.1:49541:51344:-1 gene:KN540466.1_FG005 transcript:KN540466.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding PLPPPLLAQKVQVWPKPTSISWPSAVYAPLSPSFSVRAVLSHPSLRQAVAFYTRLIRAERHAPLVPPANYTLSRVPVRTLTLSVSDPDVPLGPAVDESYTLSVLPDSGSADISAATPWGAIRGLETFSQLAWAGGGAASGGQPIVPSGIEISDRPHFTHRGILLDTARNFYPVRDILHTLRAMAFNKLNVFHWHITDAQSFPIVLPTIPNLANSGSYSPTMRYTENDVRHIVSFAASFGIRVIPEIDMPGHTGSWAGAYPEIVTCANRFWAPHAEPALAAEPGTGQLNPLNPKTYRVAQDVLRDMELNRTVVYWEDVLLGPKVTVGPTILPRETTILQTWNDGPENTKRVVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTDDEAQLVLGGEVALWSEQSDETVLDGRLGPRAAAAAETLWSGNKGSNGKKRYANATDRLNDWRHRMVGRGIRAEPIQPLWCSLHPGMCNLSQ >KN540466.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540466.1:5614:9964:-1 gene:KN540466.1_FG006 transcript:KN540466.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 13 [Source:Projected from Arabidopsis thaliana (AT5G26340) UniProtKB/Swiss-Prot;Acc:Q94AZ2] MAGGFSVSGSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPTVLKKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQASNFPIILSLQAYSIISFHIISYGAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIHPWGWRLSLSLAGIPAALLTLGALFVVDTPNSLIERGRLEEGKAVLRKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKTDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDRSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFAFFSAWVVVMSLFVLFFLPETKNIPIEEMTERVWKQHWFWKRFMDGADKHHVVPNGGKSNGATV >KN540466.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540466.1:40564:43840:-1 gene:KN540466.1_FG007 transcript:KN540466.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAGGLKGVALIGGGGGNSAVAGALHFFQDPSTGYTEVRGRVTGLAPGLHGFHIHSFGDTTNGCNSTGCEIDGGFGHLEHCSIRSCIAIVNSDTPILGPHFNPHNKSHGAPSDDERHVGDLGNIVANKDGVADIFIKDLQISLSGPHSILGRAVVVHADSDDLGRELKRSPFLHYIGPLILENIEFTIERLWLIWDYSVFQSCLRFGSSTLSVTTSKNHIHKTGQKSNCQSLFLCCIYARFVVVMNSVKQQEMQEQELDALTSDAALNLAISQCPLWYY >KN541883.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541883.1:659:1064:1 gene:KN541883.1_FG001 transcript:KN541883.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >KN541883.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541883.1:11383:15635:-1 gene:KN541883.1_FG002 transcript:KN541883.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNNYLRVCVRGVFVYEGAVKEDGRGPTIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALEDKYTGWLDRQITNDYAVYAETCFKAFGDRVKHWITFNEPHTVAVQAYDSGMHAPGRCSVLLHLYCKKGNSGTEPYIVAHNMILSHATVSDIYRKKYKASQNGELGISFDVIWYEPMSNSTADIEAAKRAQEFQLGWFADPFFFGDYPATMRSRVGSRLPKFTEKEAALVNGSLDFMGINHYTTFYTKDDQSTVIEKLLNNTLADTATISVPFRNGQPIGDRANSIWLYIVPRSMRILMNYVKDRYNKPTVYITENGKCTYVICDLFLPFISLKNALKDDKRTKYHNDYLTNLADSIREDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYYVDYKNQKRYPKNSVQWFKNLLASTS >KN541883.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541883.1:9654:10252:-1 gene:KN541883.1_FG003 transcript:KN541883.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >KN538777.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538777.1:194365:197000:1 gene:KN538777.1_FG047 transcript:KN538777.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVRVTGLGRPDAGVSRTKKQQQQGLPSQHQQQQEAGGGGRAGSDHAHHLFDELLRRDTTSIFDLNRALSAVARESPAVAVSLFNRMPRPDLCTYSIVIGCCSRVGHLDLAFAALGRVIRTGWTAQAVTFSPLLKGLCHDKRTSDAMDIALRRMPALGCTPNAFSYNILLKGLCDENRSQQALDLLHTMMMADDTRGGCPPDVNRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYWLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRMLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVFLVSSGKYDQHINSIPEKYRPTAKSRAV >KN538777.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538777.1:220463:230636:-1 gene:KN538777.1_FG048 transcript:KN538777.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLLLEDAIEHCAARRKYLARTKSPSDGEDVRWYSCKLPLADKALSASVPRTEIVGKGDYFRFSMRDSLALEASFLEVDLMRRHCFPVYWNGKNRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSHIFYSLYKIEDLSLKQVSNQLNQLYTKFIKRNPAYSGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIVKSPDTVTAHDSVMKEQDTSSTSGHSCADNVNDVVDEGSTRTGPSSTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVLDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSASHAVNLSSGKNRIGQGSMSESYTPHIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVARQFKSLKEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVGWNLLNFASLDE >KN538777.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538777.1:198391:199224:-1 gene:KN538777.1_FG050 transcript:KN538777.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLVSTRAKPLEVEIAEEDERMSSSADNTVYCCIAKGKKIIYCYNSKDGDPHMETTAALCLENAPSYHRHYIHTAGSRSYGYLMADGHTFFAIIDPSVGNVGALQFLERVREVFRTVNRNSFHDSLVPAVQRLVASLEKMPHATFVLEESVEKGEPSDSSSCTSSKVPLLGRSGSRKDKKKAKEKAASAAVCEDEQHGTRGVRIDVPPEEVGGMSLERSASQSRLRRQQSSRSLWVRHVKIIIVVDAIICILLFAAWLAICKGFQCVSS >KN538777.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538777.1:212385:216060:1 gene:KN538777.1_FG051 transcript:KN538777.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDSTLKGDKYAGLMENFSPRTLLNSILRITVLTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDLCESPEEQLNEVLRELGTAINRASGLIGNWQQTTNKIYFIWQIESVISDIQGCSLQLCQLVNSLLPSLTGRACTCIEKLQDINYENMFDLVKEASLELAETDTTSPENLSRLSSSLSLSTNLELYMEAVSLENLRARAMRSENREEMDLADKMIPLVNYMHDHLLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPLAASALQDSSTTGSSPLHPTVAAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNRAGHEASIKQSSENANGSASDVSRLSLAGSETRESSLEERNAGSIGQTSEQSIEEAFQASNLDRDSHDHVGSSSVNGSLPNSGQLDAECDNGPSERTNYSSDASGEVTDGPSASSAPQREHLIPSRLADVRSRGQFVRRPSERGFPRIISSSSMDTRSDLSAIENQVRKLVDDLRSDSVDVQRSATSDIRLLAKHNMENRIIIANCGAINLLVGLLHSPDSKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKVSHFSSFPLSIRNAAKHILNISAKARHSGHNVLNMLLGLAGTGSSQLLSQPKAREFSKEMTFSEFFVGVH >KN538777.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538777.1:232286:236927:1 gene:KN538777.1_FG052 transcript:KN538777.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEKPAAEAAVAEAPPQEGGGAQGQETSRIINVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDAEADANAPTRSVELVGTLESIDKAEQLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKTLQTRSGARIQLIPQHPPEGVTLTERTVRITGNKKQIEAAKDMIKQAMSQTFSRHGAQSGGYGQQNYRPQGHGAASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGPGYGDPRYNAPAPNQQYYGQPPAGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGTYQAPPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPAGPGYAQQGAQQGGYAQYPQSQPAYGDQAAQNNANYGYQGAPADPNYGNAYPQAGYGSTPASGQAGYAAAPAAGQPGYGQPGYTQPPTNPPAYDQSAQPPAQSGYAAPPANPQPAVAKGVSPQPAGYGGQWTA >KN538777.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538777.1:263469:264878:1 gene:KN538777.1_FG054 transcript:KN538777.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGGAGAGVLVVSRRMIRPEFTELPPEHETTVHLTPWDLRLLTVENIQKGILLPKPPIGGETLVEHLASSFARALGRFYPFAGRLVVEEVDDGASPESSISVSLRCNDEGAEFVHAAAPDAAVADIAASLHIPRVVWSFFPLNGVVAAHAATESLPVLSTQVTELSDGVFIAMSVNHVVGDGTNFWEFMNTWSEINRSSSGELDISPSASTPTSSPLVVKRWFLDNCTVPIPLPFAKLEHIIPRSEHQAPVQECFFAFSAASIRKLKAKANDEIAGAAVAISSLQALLAQVWRSVSRARGLAPRQETAYVVVVGCRGRVGGISSGYMGNAVVPGAVRLTAGEIMERGLGWTAWQLNKYVASFDEAAMRGALASWPRRPDFFSVLSLLGGVAIITGSSPRFDVFGNDFGWGRPVSVRSGGANKFDGKVTVYEGPDGAGSMSLEVCLTPAALAKLVADEEFMGAVTTP >KN538777.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538777.1:253333:261043:1 gene:KN538777.1_FG055 transcript:KN538777.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEEQRGGEGKPRRRPSSNSLRRLVSYNSSKRHEHFEEEDEEGVVVSATSSSAGHRVGNDVSTARLIRKPPAPVVEAVAVPVAALPDEAASVAVSVVDAERPVAAPANWRRAPNGVAEQEPRSGGTRSEAKPRITDGVPNGVQGGHAAAGWPRWLTEVAAEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHNRGVLHRDIKGANLLIDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVNDVYKDFPPPALALLDCLLAVEPQNRGTAASALGSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHESEAGRRKQLPAPNGNNELQQRRVQLNPKSSSNKFIPKEDAVTGFPIDPPGRAGDNVYPQRAPLMHAGRSSSTLGRSSGTDQNAQRFYTSQIAAAEMSTATGGQRGNATKLSSNLGESARRQYLREHRSSSRYSQLAAAEPSDRPEWTHQFQERPSSSHRKDDGAANKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAVRRARLDMGKGKNHVERDQSESLLYTTQNGRPLASFYLALADLPPARAAVEQAAGKARAFPWNLLIWGYAGRGLWEDVILAHEKMVAWGVAADRFTYPSVLRACGELREVTIGRNIEQRIRRCRYGLDMYVWNALVGMYAKCGELEDARRVFDGMAVRDVVSWNTMVSAYASTGKWSKAFELLQQVPGANVVTWNAVAAGNLKAGNYDEVIRLVSQVRGYHGIGVDSVTIVIGLKACGRTGYLSVGRELHGVAVRLCFDSLECVVNSLITMYSRCGMMSSACLLFRACSVRSITTWNSLLAGFAFMDQIEEASLIFREMIDFGVCPNGVTVLTMLSLGARFGHLYHGRELHGYILKHELDSSKLLENSLVDMYSKCRQMVVAQRVFELMQFRDKHAYTSLVLGYGLQREGHVSLKLFDEMIVNSIEPDHITFVAVLSACSHSGLVTQGQLLFAKMVSIFGIAPRVEHFSCMVDLYCREGLLKMAEEIINKMPFQPTAAMLATLIEACRIHGNTETGDRAAKKLLAMRTNNPGHYRLIANMYIAAKCWPELAKVRSLMSTLELNMIPSHSLLESEYDMCPVEQDNFLNHVTYGLSSDHLTDTDSSSSEEVKCSEAFGG >KN538777.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538777.1:205268:206959:-1 gene:KN538777.1_FG056 transcript:KN538777.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVIGLSASANVSRYYYNSHTELFFPSNTFGACERADCAPTFKSFVHPPHLAHSMSDPELFWRASLVPVADDFPFQRVPKVAFLFMTRGPLPFAPLWDRFFRGHHGLYSVYVHTLPDYKLNVSKNSVFFGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILPSQWRKGSEWFELNRELAVRIIADNKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGAANISEEFIQAIRNNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTSTILDF >KN538777.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538777.1:135925:137499:1 gene:KN538777.1_FG058 transcript:KN538777.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVVVVLLVHGLVWECTLGAWLPGKGRQPWSKLTRGLMNVLSKGKRNRKEAEGAIIAIIMQKENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKVKLCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >KN538777.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538777.1:216875:218100:-1 gene:KN538777.1_FG059 transcript:KN538777.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLHTLQLCKEQQQDDASGTSGVRCGEVLGAVDVDPKGAIVVVTNVFQEERTSWSSSSGHGGGSRVCSYGQVVGKGRSMVLPIGHGGMEVTKLGLGRRVGGGGSSGAHLQWQRQQQKKKLVSTPKRTFLVCDGSS >KN538777.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538777.1:267380:268171:-1 gene:KN538777.1_FG060 transcript:KN538777.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAPAISPFAFALSFLMLFAEKAKKHSCNGGGGWSRRGMMCSSLANGRGIGTGQVSRNNLFSLHYQRWRRRRRDVQFAAASTDLRPCVHEFPEIGAVADDMVERHGDEDAVGELGDLRGKNRQGFRRSDSRKQSGQREEGPHHPRDVQRGGDVGDGDVGRRGVDELSAFVVAAQEHGDAARRRRSVLHLGHGEAAGEGVEAAECASERRRQVFDEVLAACGWLGQEDALLDVLRGEQREIPRRQVHRRLMLRRQLRPDHAP >KN538777.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538777.1:237709:243413:-1 gene:KN538777.1_FG061 transcript:KN538777.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELEETKAVLHMDCAKPPAISWERTFDDEGKKVAMFSMTLNDMMAIVPLMIKMLGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADVTLLFTWANSVGGKSELTGNHTNSRMTARDGVHGVLLHHRTADGRPPVTFAIASREADGVRVTGCPRFTMGPSNSGDVTAKDMWDQINKNGSFVGDGNAAAATGASRPGSSIGAAVAATTTVAAGGARVVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDRDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTAMGTALLRDGEENVGQVLYLEGMEYNMWNTYDVHFYASFALLSLFPELELNLQRDFIRGVLLHDPRLRRTLDGATVARKVLGAVPHDMGLNDPWLEVNAYMLHDPVRWKDLNPKFVLQVYRDVVATGNAGFAEAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQPAAAMAGIVGDAAAEAYFRGRYHRARRVYTDELWNGGYFNYDNSGGATSSSIQADQLAGQWYARACGLEPIEKFDKVASMLRLPEQQQQHKGILRALYDTLRQLLLPS >AMDW01040065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040065.1:777:1136:1 gene:AMDW01040065.1_FG001 transcript:AMDW01040065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PGIAARASGVGPTGEDSTSDKKRKRASALNEGEVALISNMTDSVNNMASAIGATAHTEVHPDLCNTVMDLPGFSEDQLDLVLAYLTKEKAESLVYIQKNEARRARWVRKFLNEHHPESI >AMDW01033004.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033004.1:96:380:-1 gene:AMDW01033004.1_FG001 transcript:AMDW01033004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGLDWEKEAINDLIEKAVCEADKNGAKVVSLGLLNQGKGLVIKVGK >KN540736.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540736.1:2561:8376:1 gene:KN540736.1_FG001 transcript:KN540736.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPDVGIVLVLTVVASGHRGRANVGGRESRRDESIPRGMGGAIVWFSDPTAQAAVELQLHNNKKVLEAWRMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSLADSCFPINQGQSASRLHEFFLRQFCDAHTCKNGSKPFPKGGRFKVIILSYSIYTLNMPNKQTNRFYLALCALTIVLLPLFYLIVFLHQSSSLEIWVYMTVYRQSHETICTLKNENVYPIFSRL >KN540736.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540736.1:28528:31543:-1 gene:KN540736.1_FG002 transcript:KN540736.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADAPTKTSKASAPQEQQPPASSSTATPAVYPDWANFQGYPPIPPHGFFPSPVASSPQGHPYMWGAQDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMPSSGPVPAPTTNLNIGMDYWANTASSTPAIHGKATPTAAPGSMVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSGDDSQKKGN >KN540736.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540736.1:26482:26811:1 gene:KN540736.1_FG003 transcript:KN540736.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAASLLIALVVSGSNVAATPSPSAVVGNGDIASTAQEMQRARYFTFVMLIRMVQEKIPHSTTFLMPNDRMLSTATIPESQVMEFLSRHSILAPLMFDDLIKLTQR >KN540736.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540736.1:13981:24048:1 gene:KN540736.1_FG004 transcript:KN540736.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAG2-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT5G24350) UniProtKB/Swiss-Prot;Acc:Q9FIN7] MDNDRMGFFTNGAWLEAQGILGVVDDLNTLHLIKENGEALTRRTSNQLKLSYPIVNIVVHDGSSSERPGFYIFTSDGMVHKFDYTQDHEANLQKVAILIQDAVSAKTPQLPHSLSCVDYHQDHSLVVLVGNPNAFLSSNGSSGACFLYVLHFNGNLEFSLSFPSLQLEGTFFPPKDQATFVSSAKVRISPQSKHIATLDLNGSVNIFVLANDKRSASLHPPRNGTQLSDVKDISWWTDNILMVVKEKGSINMYSISGNRVVSEDGHVLSTPQLEKARAVEGYTFILQSSRNEGNTTFEEVDSNSMPNLQNVSRNNQRSEMDKIIWSLISFSKITVPEKYSILIRGNRYKEALDFACKHNLDKDEVLKAQWLSSDGDVHDIDTYLANIKDQVFVLSECLNKVGPTEIALKALLSFGLRITDRFKFSMLDNSIDTSAWDSRIIRLRLLCYNDLLETFLGINMGRFSAVEYRKFRLMPLVETAVALAESGKIGALNLLFKRHPYTISFDILHILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECEQMASFINTCSDQLEKNGEFKTELLLKHSAGFSWPSIAELCEWYKSRARDIDCLSGQLENCLAMIELGCQKGIVELEPFFDDIKCLYEVVYSDELSEFIMNLAMWEDLPNYEKFKIILKGAKEGTVVQRLEEKAIPFMKKRSHLICLSNEEKHRESYLIRWLKEVASQNELSICLAVFENGCGDSPIHGLFKDIAEMIETAVHCIYLCSATNQWNTMSSILSKLHHKMKREKSMLASEEDYNFKDAKQALGTCVVSFDDMQYVCTRILSGLSSPGDSYSHDSINYQLDNIKSLDMLEKKLKVAEGHVEVGRLFAYYQVPKPIHFFLSTHLDEKNAKQIIRLLLSKFGRRQPVRSDNEWANMWRDLKHFQEKAFPFLDSEFMLVEFIRGLLKAGKFSLARNYLGGTSAVSLSIEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLKNEEEIAAVEEAIAREAVVNGDLQLAFDICLTLTKKGHGAVWDLCAAIARGPQLDNLDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDISDDRGIDHVGIVKQMLSKVCTDLSNEDAYRWESTLAESRKLLSFSALELPWLLKLSNDEEHDGKKQSLKTDHPIRRYQFSTKVKATNCIISWLAVSGFSPNDDLVMSLAKSVIEPPLDEEDYVLGCSILLNLMDPFNGVKIIEEELKKRECFQEISSIMNLGMTYSSLNSLKKECSTPEQRRNLLLEKFHEKFTSVESDELDQIDEANATFWREWKAKLEEERRLADQAMMLKQVLPDVDTSRFLSGDVNYIKNVLFSFIDSVKLEKKHILKEAVLLRFLGCALLSASWDNNDILSEISEFRDDIVNSAKGVIDMIHSDVYPEINGYNKQRLSYIYDILSACHSYLKRSSEIELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVRALADMVQALVSMYVDVLAKGLISQQGVYKHYVLGLLASLEGRTEARSDSIDSEKLQAVLSEIELNYDSCKEYIQVLPATDISHIIRRYCMLCFPCDLTRNHPQEPSWKKPLDVLVMLWIKLIDDILVESMERPYGRTEYLDSNRLSHCMRAFRQLLVDDKITVCQGWDAISMYVKIGLGDEIPMEISYFCRSMILSGCAFESVAQVYHGGQEQLENESVDPSNPLDLLELYSATLDDCLSDLIKSPSESQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKLISFSENMQLESQLRVYALQLMQCITGRNLKSLRNELVSQVEPWELWYEPGTGSSVADDNNSPSSSITGTLVALRSTQMITTVLPNANITPDNLGTLDSAVSCFLHLSESASSVETIAVMEAVLEEWEQLFSSKEEYVPPQESPKETNDWSDDWDDGWEALPEELESPTKKHGSTSLSVDPLHSCWMEIIRKLVELGEPHKVIELLDRASSRNSVLIEDDEANRLLELISAMEPLMALKIMLLLPYETTRLWCLQMVEAKMREGTVSTSSNADDHELLALVLSSGVLQRIVTEVEYSKLFSHICHLVGHLARSSQNDLLVKWNDEANAPGTSKTNKSLLFARVLFPSFISELVLRGQYLLAGFVISRWMHTHPSLGLMDVAEASVRRYLNGQIVQAQQLGGTDVFLTDNELSVSHALSTLRSNLVSLVQAALATLPNQDL >KN545840.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545840.1:1110:1259:1 gene:KN545840.1_FG001 transcript:KN545840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAQSTNVARVLLCLEEVGAEYEVVNVDFTVMEHKSPEHLKRN >AMDW01039821.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039821.1:120:906:1 gene:AMDW01039821.1_FG001 transcript:AMDW01039821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTLQNRFAAAEVETVAAEKKKVERETLAEKMLNEQELILDATKERSKMLEQQVQENAKLRELLMDRGQVVDALQGEMLGIFDKISQLQLRVDKQLPEPLLSSMSSSVNSADNIAQLQRRVDDPEHSVDDSLQLASPRLSSSFKSTDSTAQAHCRVDEPQISVDESLLPVDECEQLQLISPRLSSSVMSAQSQCRVDEPLLPVDE >KN540736.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540736.1:39649:39801:-1 gene:KN540736.1_FG005 transcript:KN540736.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGYDAENTRRWVEAKGDGRRWRTKIEQDFLKGDRGWEGVHEIQGDRV >AMDW01037133.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037133.1:204:680:-1 gene:AMDW01037133.1_FG001 transcript:AMDW01037133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDDDVELPESPAARNGSEEEGSYSDGGRSGSGSDRVYTIDKVHQGATAPAARVLENYQDGEVEPDIKKLYMRLEALEADRESMRQALVAMHSEKAQLVLLREIAQQLAKEATPANTGGFGVVPTVHRFPGKQDGLRDQRFRENRKMAIAKRLSMVALCK >AMDW01040765.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040765.1:8:2052:-1 gene:AMDW01040765.1_FG001 transcript:AMDW01040765.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VANYCRKRIHAVLTEELRRAEDDACGSDLSGLESKKLWEKAFVDCFSRVDAEVGGNAASGALPVAPDTVGSTAVVAVVCSSHVIVANCGDSRAVLCRGKQPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNAATASTSSAQISGDSSDPAAQAAADYLSKLALQRGSKDNITVVVIDLKAHR >AMDW01031181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031181.1:15:203:1 gene:AMDW01031181.1_FG001 transcript:AMDW01031181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKIESSEAAAAACNMLCARGTYITCCNRPGEALYGCACKCAPPGGKDCVVHYADGSTSKC >KN539637.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539637.1:38153:39545:1 gene:KN539637.1_FG001 transcript:KN539637.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAHAHLLPPRNDTQVERATAFGKEAIGITGSAIVAGVSGYKDIGRGAATALLFKAGGFSLLVTFVSAVVLMHFQMHQPPAAPRSRCADLSSAVLVSLTGVLLVATNGMFVALMDRDNDSVLVILVLPVVLVLGMLAGADLPPTEGAGTAAAVAQDEAYEEAMKSSAELATFGATAAFAIEGALILGYLNHFCHRFQYMHDETQNGPGFK >KN539637.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539637.1:21327:21638:-1 gene:KN539637.1_FG002 transcript:KN539637.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPFLAIVVRGPNTFTAGYSSLSIPPVARHLEDGKKGVDGEGCAAVWEGEGVYSGTGRAYGDRDGAGADCGNAVADALKSRASVCCRCSVAAGSQVCVLCAA >KN539637.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539637.1:41913:46565:-1 gene:KN539637.1_FG003 transcript:KN539637.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHSLDLARRVIRSPAEYMQPASDAVTEVARNLDPKFLKEGQRVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGGTLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDIIVEDDLVDSCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETEGLSDFLWLGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKTGYAEEDDGDVNAAIFVKYDRMLHGQDRRRGKKSKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDGGANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKTDVEAALQVLNFAIYHKELTEMEDREQREMEMKQQADHDAGASGGNADEHRSSGNDPMDVDVGNASNDQDVPAERIEAFEAILGQHVLANHLDQISIDEIEQTVNREAAAPYNRRQVEFILERMQDANRIMIRDGIVRII >KN539637.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539637.1:56242:58386:1 gene:KN539637.1_FG004 transcript:KN539637.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPQSPLRITHDGEFYARLLTKESSQGNPSFRYYGAGTGAVPFVWESHPGTPKNHQLVHINHHVDVNVHTYDSP >KN539637.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539637.1:9229:16249:-1 gene:KN539637.1_FG005 transcript:KN539637.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MANARNAAAASPPPSSSSSYSSSASDGEILRSLHRLARDLAAAEAPAPFLETVFAAVSRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQADEVAARVRELQHDLATLLDLLPVPELGLADDVVDLLALASRQCRRSSPSADAAEHELKAGVLALIQEVEREIVPERERLEGILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTAATPRPMDTKTYDRESITRWFGSGKSTCPKTGQVLANLELVPNKALKNLISRWCRENGVAMESSEPSKPEPAPVVTANKAALEAARMTASFLVKKLSVSFSPAAANRVVHEIRQLARSGNDTRAFIGEAGAVPLLVPLLHSDDTATQLNAVTALLNLSILDANKKRIMHAEGAVEAICHAMGSGATWRAKENAAAAVLSLAWPVVTDQLRKETCSAPLSSGIPIKLDPADHASGRFLMTISDFKLPYANKLIKIITRPRASHGWNTEYRCRGTKTGEDINDVIVDQLPNTLKFGEVSSSSPTAHHQGRTNIATPATAPVKSNRENGICTKRRISSRIAEGEAAS >KN539637.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539637.1:46921:49324:-1 gene:KN539637.1_FG006 transcript:KN539637.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSHVVQSFSDCQEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKQVADNLKLQLEKAASESAASARGQSDAVKVHPLPDAERKCSYNTEQPVESAKGNQSALTTLIKLNQAKAFLNMDTVMTIKRQIEEEKGSIEKTRERLQLNRAKASSLEADLNKIVSQLQAERGPKPTLEPSDIWLQMKQLNSEKEKHRKIADDSKNEIGELTATIEHTKSRTKTLQFRIVMAEKLKEASRRGEALALAERKNLSNGEHEASTASDVTLSVEEHSVLVQKAEEADAASRKRIDAAMQELDQANRGKLELLERVEEAMAAVETSRKTLEEALKREESASKAKLVAEESLRKLRSEQIIQNWRPTGNGSIKFKTSATTTTAVVAAHRRAGSGIYDVNGLSLVTATPKSTKAMSIGQILSMKLDHRELEVAGKGGGAKKKVSLGQILSQKYDALSPLRIDHGGASRRQFHPRRRKLGFNVGRETQKAHA >KN539637.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539637.1:4611:5531:-1 gene:KN539637.1_FG007 transcript:KN539637.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPPPPPPRGVDDQFPSLTLSSPLPTRNSRRRHRVGRLGSTEMDGGELVLAPSDDHDGCGHQEPPVADASGSSRRRRDMFIGRDGGRGVEFRRRATTVDGPEEEDAVDVKVITSDADIIIDLGADDDDDDDTPERVLRPVVTRTARRELDWCEPAEVKHVDLAELMTPRESSASASSEKSISTGKPRRSSVSSRRRLKTRTNSPRLAACRKGKPTARATTPTPTQPPLAHSFAVVKTSSDPRRDFLESMEEMIAENGVRDAGDLEDLLACYLSLNSGEYHDLIVEVFEQVWTGLAAACGVMP >KN539637.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539637.1:29844:31184:1 gene:KN539637.1_FG008 transcript:KN539637.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRPRRWSGGSKLAVACLAAVAVTSLQLCCRSGCFIAACGGAGRDDDDVRRYSDHFGRLEGAGAHSGDLLEGGGHYHVGLGRRLLSGGPGSHPPRCTSKCGSCSPCSPVHVSVPPGVLVTTEYYPEAWRCKCRNRLYMP >KN539637.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539637.1:77652:80649:-1 gene:KN539637.1_FG009 transcript:KN539637.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSVWLQTINGPNADFPVYSSQLKEVKGISQVQLNFLAFASDAGKLFGWFAGVAALYLPLWLVAVVGASFGLVGYGVQFLFLERPGLAYWHLFLLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLATSYLGLSAKLYTTMAEKMPRGATARYSKEKVYLLLNAVVPMLVTLVAAPSLRVVELTSRRRTDPAFLAMFAITLATGACAVVGSIGSKSIGLSTSEHMISLYILLALPVLIPAALKVRESMDKLREAKRENRVHDVTAATDVPETAVSVLEVAEAAENKEEDEDAAAAGESGGQDEVGGIRLLRRLDFWLYFLSYMFSGTLGLVFLNNLGQIAESRGLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAALMAPMAGAFFLLLDPRDMFLYTSTAVVGTCTGAITSVAVSATGELFGRKNFGVLYLHHFVCETEYVWLEAEDNI >KN539637.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539637.1:31985:36970:1 gene:KN539637.1_FG010 transcript:KN539637.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLQTFGALLKYPKVEGPIRKNASAPASACSSPHRRGDPLDADGRRLARGGSRSAEFGAEALILAEGEQASRRVMQGSILVKTESDSPGNAEICAKRSVLMERYVIGRQLGQGTFGKVYYARNLSSGQSVAIKMIDKEKILKVGLMEQIKREISIMRLVRHPNVLQLFEVMATKSKIYFALEYGKGGELFHKMARAKLNEESARNYFQQLISAMDYCHSRGVYHRDLKPENLLLDENDTLKVSDFGLSALAESRRQDGLLHTACGTPAYVAPEVLSRKGYSGSKADVWSCGVILFVLVANYLPFHDRNIIQMYRKIAKAEYRCPRHFSAELKELLYGILDPDPSTRMSISRIKRSAWYRKPIAISALNNETGKKSCTSEAPFFGPTICISSERNQEPPNLHNLNAFDIISLSTGFDLSGLFGERYGRRESRFTSRKPAAAVLVKLKELAKALNLKVTKTDNGVLKLATTKEGRKGRLELDAEVSEVAPSFLLVELKKTNGDTLEYQRMMKEDIRPSLKDIIWTWQGNSIELPNDELTVQCSEGEAQKKSRLPSIKEVVLKIAAISTEAANVTNVKFDNGMLAFSQQIGWVTVTYSTSRTSWSWTHGHENCSKNASKNGIKGEGCDADCVSRRSIAMLINYRVVTMMTGISCGLGLHGCFVKLSLSV >KN538789.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538789.1:172258:176232:-1 gene:KN538789.1_FG001 transcript:KN538789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIAVQGLLDPALATRRDFPHEPGKKFCYELPVDRNRRYLLRPTFFYGASSPPPPVFDLIVDGTFWTAVNTTDDVLAGSASYYEAVFGASGRNMSFCLGVNPDYTSAGPFINALQVIQLHDSVYNATNFTASAMGLIARTKFGSTDGVEKYPNDTFNRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDSPLVLQWPPIPLQNDSYYVALYFADTISESSRTFNVYINDYSFYEGLTVTSAGLSVFATQWILSGLTRVILAPVSGLPPLINAGEVFGLFPLGRYTFPRDARALEAIKRSLQNIPDDWNGDPCMPDGYAWTGVTCDKGLKPRVISLNFSTMGLSGYLSSDIASLTALTDISFANNSLSGPIPNLSNLRNLTRLHLQDNKLNGTVPQTLGRITSLRELSFFLCVFLPSYPPYTSSFSFSNSKIGAIGANYLFTVHFLLILNCSKRMVLFNTVALIHNLMFLKSENGVIK >KN538789.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538789.1:235053:244105:1 gene:KN538789.1_FG002 transcript:KN538789.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGTDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIETWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDDPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQMLQQPSPAVDVRNHRQPTRFLSVLIMIVLKVYTDVLDVVKNDGILVVPKKENDARCKWVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKCEAHVSSNFQIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKATVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSGIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >KN538789.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538789.1:140502:142127:1 gene:KN538789.1_FG003 transcript:KN538789.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFASLAIAPSYNWGRDRHPRLPLEKLVVYRANVALFTKDRSSGLPDDAAGTFTGLSAKVEHFRSLGVNAILLEPVFPFHQVKGPYFPYHFFSPMNLYSSKGLSVSAIKSMKDMVRVMHRNAIEVLLEVVFTHTAEGESECQTISMRGIDNSSYYIANGIAGCKASILNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVRGPGGEYLSRPPLLEAIAFDPVLSMTKIIADPWSPLDISNVQFPFPHWKRWAEVNTRFSIDVRKFLKREALISDLATRLCGSGDLFSTRGPAFSFNHVSRNSGLSLVDLVSFSNDDLLSESSWNCGEEGPSENSAVLQTRLRQIRNFLFILFVSLGVPVLNMGDECGHSAAGSVSYKDRGPLNWRGMKTTFVKEVTGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLCEPGWDDPTSNFLCMHINAEVDEMAADSVRGDLYICFNANEESVSAALPALAEGSVWLRLVDTSLAFPGFFATESNPKVQQVPGLSSYHVEAHTCVLFESKSALA >KN538789.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538789.1:186889:190184:1 gene:KN538789.1_FG004 transcript:KN538789.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESVAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQKLIQRQRRKNAESGGGSSPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERPGKSKSPKTMLGALHAMWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYLFVSQKRIKSA >KN538789.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538789.1:209497:217155:-1 gene:KN538789.1_FG005 transcript:KN538789.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDLILAVLSEDMVKDGYEEVVNVDISSVVIEQMREKHVDIPQLTYFQMDVRDMSLFGDGTFDCVLDKGTLDAMMCGDDAPLGASKMLAEVARILRPGGIYMLVHPLNLNNTKETLNNGEIQLDPDKIYCYHFAATPGYQLKWSKGVSLAQPIMEEVSLTVDGQLPPDYVLKDPESHFIYICKKPDAANEANSVTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDSNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTEEHPSRARHMDDMSSQSSRIPMSVDVGAIFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE >KN538789.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538789.1:131129:136430:-1 gene:KN538789.1_FG006 transcript:KN538789.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSMGTVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWASGTLEKEKSLSYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFFVLYREYKVVTTMRLRFLANQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVEQKKGLQNWLVYYENQHAKNPAKKPTMKTGLWGLWGKRVDAIEHYTTAIEELCKQEDEERHKVITDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVFWPNLAIPFVELSVRRLIMAVALFFLTFFFMIPIAIVQSMANLDDIERMLPFLKPIIERNSLKSIVQGFLPGIALKIFLILLPTFLVMMSKIEGHTSLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKYAQSVIASLLWMWVRGIPEIVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTERDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVQRRLVIALIVSQILLLGLLSTQEAEKSTAALLPLPVLSIWFHYVCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYVHPVFQKNDIYEFAGIDEEEKNPMVATKRQSRMNTPVDSKFNSSSGTNEGEFSRMAPT >KN538789.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538789.1:200168:204643:-1 gene:KN538789.1_FG007 transcript:KN538789.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVAGGDLIEEEHCGNGEASVAGGDRIEEHCGNVEASVANSNRDGGEIIAGEGTEDRGNTELAVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERKKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >KN538789.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538789.1:159469:164398:-1 gene:KN538789.1_FG008 transcript:KN538789.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MAAAAPALPAFASLLLPSSSSPPRRLPWPRPLPSRHRPVKLLPAISAVEKGNGAAAAAEAKEVELEGMPPEYYDEEWQARQREKTKEWNAYRKKEEAEEERLTNEYREIGMRLKAYPQEEVRKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILRSEATPAMRLLNDLLNLHDGSDDDKWLKKCKKHMLEVFPREDPFTMVFPAGFNMEEHQGQIKLPPQDDDVLLRVDFIREVDELLKEVQAAHENNKVPTGNDPEAVATMLKYQEKLRTIRQVESLLELASSLKW >KN538789.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538789.1:129388:130560:1 gene:KN538789.1_FG009 transcript:KN538789.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGVAPDVVTYSTLISGLCSAGQVAEALGVLDLMLEEGCQPNAHTYTPIMHAYCMGGRIHEAKMLLDTMIASGFAPSTATYNVLVEALCKVGAFEEVDALLEESTAKGWTPDVITYSSYMDGLCKAGRIDKSFALVDKMLSNGLQPNEVTLNILLDGVCRSSTAWAAKRLLECSAELGWDANVVNYNTVMRRLCDERRWLSVVKLFTDMAKKGIAPNSWTFNIVVHSLCKLGRLHKALCLLRSKEFVATVITYNTLIRHLSISGEGNEVCLLLYQMIEGGIAPNDITYSLVIDCLCREEKFLVALCCFYQSLEDDFFPSAFLSIVRGLIVGGMLGQLHTLIGCVLGQGFIIEVYIYQELIKALCKNGYCQSVEMYKVCHILERMLRLR >KN538789.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538789.1:221840:228393:-1 gene:KN538789.1_FG010 transcript:KN538789.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIPSCRAHIGSDLKPGSKSDLKPGSKPSQKPSEPSSLPLIRAAGGYGDEERGEGDVEEEEEEEEEERDLFGSDNEDYVKTPARSNYLVPVLPSVRNTNNHSRGGYGGRNGRGPPLLPRPGGHPGRHNFGYGGRFSHGNGRNVEGFVSEMKLNKSEETLSRKFVAFQAPNPFLFMQPSEIACYSRVEGGDVYFDDRSLRLFKRNICDYVGENLNKGFESFIEKRDLGSEGFGDLLACIRNSTVPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEVERRRCYWGYSFENLATENSIDEDGRGIDANVEFCAVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSREIQSFIAGVPYVVVGFRNDAGVLIRTERLRTKEITQKVKAKNYWQGGVCLAFADEVLCWLYGTVRENEDYILQFVHPFNRLELLRAQSPCPDAITHHVEQLSGTAG >KN538789.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538789.1:168299:169270:-1 gene:KN538789.1_FG011 transcript:KN538789.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPIAKLEGWGVPKSKRRSKRYHYHPGSSGPKPNPIFG >AMDW01037590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037590.1:286:666:-1 gene:AMDW01037590.1_FG001 transcript:AMDW01037590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CTLPLLLALWLDGEEQKGFLKETKENLSLLEAQLEGKRFFAGDAVGYLDVAAGGMAHWIGVLEEVTGVSVIGSEDDDEYPSLQRWIKEYANIDAVKLSLPDREELVAFYTRNKDKYKMMFRAMVHQ >AMDW01029123.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029123.1:34:177:-1 gene:AMDW01029123.1_FG001 transcript:AMDW01029123.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASTIVRQVLTKFGSSVWDELALLCTFRADLAAMEAQFATIRA >AMDW01133223.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01133223.1:415:630:-1 gene:AMDW01133223.1_FG001 transcript:AMDW01133223.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MISVLMALTAAIRLDVPAKLWAGGANAPFLTSHPNPFVLERLLRLLTSCSVFCEHKGSPRHFALTTGDDES >KN540565.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540565.1:578:2181:-1 gene:KN540565.1_FG001 transcript:KN540565.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVASVLAVLTLLVGLLAPSIQTVQSIGVCYGTHGDNLPAPGDVVQLYQSNHIDAMRIYLADATILHALRGTNIAVIVDAPDVRSLASDDATNASSSAAQAWVQANVRPYYPDVSIKYIAVGNEVEGDDRHKILPAMNNIKDALSAAGLGGHIKVSTSVKMNVIASSPLPSKGAFAEPSVMGPIVKFLASNGSPLLANVYPYHAYKNNDYIDLNFTLFLPSSTTMDDNGLTYTNLFDAMVDSIYSAMEKEGGPDVAVVVSETGWSSADGRGASKDNAMVYNQNLISHVGKGTPKRPVALEAYMFAMFDENQKTGDPIEKHFGLFNPDKSPVYCINFSGTSDYSCPRSMGLASRPVYYAMVIVCLNLVLLFWPANRL >KN540565.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540565.1:42957:44378:-1 gene:KN540565.1_FG002 transcript:KN540565.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAESALCVVAAVTAQGGSLRGGTGVEEAADSDIGLARQSLGGTDLGDSCVGYGDGDGDPDQRRRTCGADEGCSDGGVGVDRGERLRKRRWTIGGGGGGRTAEARWQRGERSRKEMGVRGNCEITDEEITRGERGEVGATGW >KN540565.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540565.1:37228:40937:-1 gene:KN540565.1_FG003 transcript:KN540565.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATGGEAPASAQECTSVGLGARLVRLDGNAITTVADLQLSGPIPSPPPQDIDTATIIYGEDIRPGTYLVIHAGVDILDIARLTFLEELPVAARKGYPTEAEEEKEAKAVALAGRKGTPTETGEEEKGVVATPHQEKNMEKLEEKNKEAERLGMEELREEKPWHNQLWGETVREEKNWHNQLWGESVEEEDHWHNQLWGKTVREGKHWHNQLWGKSLQEEEHWHSQLRGKSLREEEHWHSQLRGRSLREEEHWHNQLWGERLPKKKHCHHQLSLRLWPGFSRAVLVLHCQGSWKATKSYSLQWLRYDNKEALFGFINKEQNRNIKDAEILLSTMTFNHWKTGLMCKLELEGHLPNLFDSMGMGLNPSMDNFKINKLLGKGRYGEVYECTYSNGQYAVKTIDVTNYFDHTEPREVSIMSCLQDANIVTFYQAWCENKKEENKFHGFGVHEPKYIYIHMEACARTLYDFLCGNNEGTIQDRWSLFERIVKGVRCIHATGIIHRDLKPWNIFLGPCGAVRIGDLGHGCWSKSYCDGRRGSPDCGTMLYSAPELRNGLLVTDKVDVYSLGVIYLEIFMPAAVSVNNRVDALIDLMERRYKPEWTALVHRYGILKGPNCFKPM >KN540565.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540565.1:31197:32672:1 gene:KN540565.1_FG004 transcript:KN540565.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLGPCASPSWSDLPIDLLLIILERLELPHALAFAAVCTTWSSAATAAGVPRSRTPWIMSWGNHVDKRLDERRRSAVTCNLYHPGDAVDKIYSVSFPKGSFVACYGASHGWLVLANDLSNLVLHNPVTLAMIPLPPITDFACVEAVYGSEGGNLEHYLLETNSRFEAYRLGIWFYQKAVLSCSPSRGGDYVVMIIHNNGERLSFAKAGQSRWQVASTLSGGDRYLDCAYHKGRFHAVTLHGMVEKWDLDGASNGPTREVFYAARPYGGLGLSSPGIWCRRHGVISCKFVRFSRITTQMV >AMDW01060971.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060971.1:1150:1863:-1 gene:AMDW01060971.1_FG001 transcript:AMDW01060971.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SIPADGEEELYGTLRHYSIPATHLWHKEITAPDNLIIALTPKNNRWKIKVKVIRLWDAVNPTMADDFYGIQMIVLDAEGNSIRVKVK >KN539125.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539125.1:89601:92769:1 gene:KN539125.1_FG001 transcript:KN539125.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKESKLMKGLKPTQGGQVTAAGVEAWPGKQMHSHNYRVPEPFHDQVVIIIGASASAVDISRDLAGVAKEVHVADRSAPACTCKRQPGYDNMWLHSMDDSAITVDDNCVDPLYKHVFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVAGVLSGRVKLPSRKEMMKDVKAFHSKMEVRGWPKRYAHNFSDCQFEYDDWLAEQCGHPPIEQWRKLMYAANSENKAARPESYRDEWDDDHLVAEAAEDFKKYL >KN539125.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539125.1:57337:61931:1 gene:KN539125.1_FG002 transcript:KN539125.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFLLCLLGGFLADSFLGRYLTIAVFALVQSIGTALLAASTLLSHLRPPPGEQPTPVQMAVLYVCLYLIALGTGGLKSSVSGFGSDQFDESDADGERKKMMRFFNWFYFFVSLGALLAVTVLVRYRFKKLVGSPLTQVAAVTAAAWSKRSLPLPSDPDMLYDVDDAAAAGHDVKGKQRLPHSKECRFLDHAAIIDRSAAESPATASKWRLCTRTDVEEVTGHGARGGGWLADNLDDGRLDYFYWLLAVISAINLAFFTVAARGYVYKEKRLADAGIELADEETIAVGH >KN539125.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539125.1:18303:21503:1 gene:KN539125.1_FG003 transcript:KN539125.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKEADELIVGLPVSADRSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHGTTIDALEFMISRGVKRSARDVKSDAYSAMMILERYFSSSGQGAKIVLPKQPQLLSKLLEKSRQDAQV >KN539125.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539125.1:131542:133718:-1 gene:KN539125.1_FG004 transcript:KN539125.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEKVFVALPAEAKSGRSTLSWALGHFRDAGATVVVVVAHVHVPPQMIPVMGVKFHASKLNPEQVSLFRMAERDKVDKQLDHYVNQCLSMKMKCEKLVIENENVVDGLVELINLHGVTKLVISAAPDRNYSRKMDKPASRTATEIMQRADPSCKICGMEVEIAPGHTPFIPDTGHDALQLTLHQEQDDNNESELGFYDEIKEACKAAENLMTRALRESYRRQKADEEVVSSLQKAKEYEELYLEEVKKRKELEEALLRASEEIAQLKQERDLPKNDQNTTMEEQKEVISDNLILEASGQIIKPLQEYLDHDENCVREPETLLIQRKLAASFSPSSVMQSPFDEDCCTPSYFICPILQEVMREPCIASDGFTYETDAIRSWLDGGRRVSPITGQPIVHQQLIPNLSLRSVIQDHARRNQYSLS >KN539125.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539125.1:13508:14469:1 gene:KN539125.1_FG005 transcript:KN539125.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASTSSTEIEMDEKKEMTKKTEQEKTLSSYVLRENPKRSYKALGGHKRAHMPSGGARPSPSPSPAKCGESSGSIDLNMPATMEDDFELSAVYDAEFASTRQ >KN539125.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539125.1:137801:138211:1 gene:KN539125.1_FG006 transcript:KN539125.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVAPLIALSLLLFAVAAVHGCEPYCGHGGPVIPTPPVVVPTPPSYHRHGRCPIDALKLRVCANVLNGLIGVKIGAGPDDCCPLLSGLADLDAAVCLCTAVKANVLGIKLNLAVDLSLILNKCGKICPSDFTC >KN539125.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539125.1:121927:122282:1 gene:KN539125.1_FG007 transcript:KN539125.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAVASACTYCPAKIGAVAPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGLNLNIPIDLSLILNNCGKICPSDYQCA >KN539125.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539125.1:105455:112536:1 gene:KN539125.1_FG008 transcript:KN539125.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQYEPEQNPIVYLLALVLLVTVLTTTLRRVLLMDLKTSNSPVIADPLPKLALPSAVMTYTTPTSFPSTGLYLNTPKKKPLPGKIEEVRAAGWLDLMLASSPPRKRQTKDFANDVQADELDLLYRNWVVNHPSALTSFEDIANLARGKRLALFLDYDGTLSPIVDNPENAVMSDEMRSAVKHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRKFDSSGQHVECIRSTDSEGKEVNLFQPASEFLPMISEVYKKLSESIKDIDGARMEDNKFCVSVHYRNVAPHDYGEVHQRVTAVLKNYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLCGKEDVLPIYVGDDKTDEDAFKVLKANNIGFGILVSSVPKDTDAFYSVRDPAEVLVEGKASARAAVLNRPGHLNALTTTMGARLNKFYMSWEDNPDIGFVMMKGSGRAFCAGGDVVRLHQLISEGKLDECKDFFKTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLNGVDMIALGLATHYSMSDRLNLVDERLATLLTDDPSVIDTSLTHYGDLVYPDKSSIVHRLEVIDKCFSLETVEEIVDAMESEAARLNEDWSTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISEKFSHEFREVYRILFIDDQGVRARLVEKDLAPKWDPPALEYVSADMVDSYFAPLGEFEPELTLPTESREAFV >KN539125.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539125.1:41903:43680:1 gene:KN539125.1_FG009 transcript:KN539125.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGFALSGRVFAGDPRTFPGHREVLAFLDAFAAESGVAGHVRLSAEVVRVGPLAGHRERWTVAWRCEGGVVVVEEEVFDAIVVCNGHCTVPLVPKLRGIGNWKGKQMHSHNYRTPEPFQDQIVVVVGLGASGVDIAREISSVATEVHIASRYTEDRLGKVDCIQDDGQVRFSEGSAVAADTILYCTGYRYHFPFLDVEGVTVDGNRVGPLYKHVFPPKHAPNLSFVGLPVKARRREMYEKALRCIWSLDDSYRDSWEEEEEEENR >KN539125.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539125.1:22120:25110:-1 gene:KN539125.1_FG010 transcript:KN539125.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMGTPRDYEFYVAVRVMMRSLARIGADADRVLIASADVPADWVRAMREEDGMRVVLVENMKNPYESNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQKTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRANSDGADQGFLVGCYPDLLDRPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEILMYAVIITITRLAKPGMTKLCYNRRPEKQNAMVQGLIKMSAIVAMLIAYAIPFFIIPRTVHPFMGWSMYLFGALALGVLVSNAFLLPLLAVLTPWLAIIGMFFVMAFPWYHGGIVRVLAIFGYAFCSAPFLWASLVRVMDSLQTMLEREPFFPRLGEPAQETEFSKLF >KN539125.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539125.1:76048:77572:1 gene:KN539125.1_FG011 transcript:KN539125.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVRSLSCRSYGVADAVVAPSPSKKLQSPPVSCAAAKDDVRDSVAFVRHSAKKPRREEERPRRGPRARPKKKKPKQHQHGHSRHASDYDSVDMGNGELVVLDSRAVLPCFLIIYKVGYKRMLATS >KN539125.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539125.1:94148:94330:-1 gene:KN539125.1_FG012 transcript:KN539125.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVLYRRHGSTAELSETAKDAVDLAVDGALRRIRRRVRALDDGEPERIYSLEDDAVES >KN539125.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539125.1:115428:115811:1 gene:KN539125.1_FG013 transcript:KN539125.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAVAVACPDCPAPRPKPPTPHYGGGSSCPRDALKLHVCANVLGLVKAKVGAVSPYEPCCSLLEGLVDLDAAVCLCTAIKANVLGIKLNLPIDLSLILNNCGKICPSDYQCVH >KN539125.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539125.1:118325:118642:1 gene:KN539125.1_FG014 transcript:KN539125.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAAKVGAVAPYEPCCSLLDGLVDLDAAVCLCTAVKANVLGIKLDLPVDLSLILNNCGKICPSDFKCVH >KN539125.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539125.1:64102:67935:-1 gene:KN539125.1_FG015 transcript:KN539125.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLGWHCMSISSFSESKSDDKRFYIITPTKTLQLRTGSAKDRVAWIEALVSARSEYSLNGGVPCDQNEGSFSTEKLRNRLHAEGVGEATIKDCEQIIHSEFSQYHTQMKQRCEDYLNFIGSLPRELEVVNSGDASAIEKPQSELFKHDCSSSGKCSEYSNTESSDDAGKQEVGQLSDEDEFHFYDTRQSFSDTAASPDLKMRCSNSGSGAHKFGELLAIDKTNEYLLSSSKRRSELPVPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSNLLDRAYEYGSRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLQFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGLVTDANGTKVAFLMGKWDESMSCIIGDDASKVNSRNANQSMGATLLWEKNVPPANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMARKMQESGWKPRWFQRDTEDGTFRYVGGYWEAREQRKWVGCNDIFGNNVSTLCTSASI >KN539125.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539125.1:35574:37053:1 gene:KN539125.1_FG016 transcript:KN539125.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKEEPEKEEAAHRARMLAARLLQWRFANARMEKAMARATAAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLSLLASWDSLAKPHADAVDDLAAVLAAACTALPLADGAQGDMESLHEAMFACVGTVNDIEANADMFFATAGVTSSTLEELSTTIKQEVEGLQEAMKLARIVTSLQVQEVSLRANLIQIQAKQKVDMGASVPAIATSGWCF >KN539125.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539125.1:82441:85994:-1 gene:KN539125.1_FG017 transcript:KN539125.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRSGLGSPDASSNSSKRKRGSCFAERDMDGRNSIRIKPGVGVGVVGAQEKCGGGSGHRYVIDLEKPATSDDDVEFVSYAGFGDRSQDRRYASAENCSTAGSRQLCVERNASRVSPGSVGSSDTPDCQSPIKPDNSESRHLLIDLNVPQEESLHVFYAPSQITCPTLVNSSSSHPGEFWNGSSNVYKKECGSGVGSSRGSSITVVAPSSAPDSSREVVAAYQFHDPKNLQGNMHARENSQHEHAVDKLCGSSSQYFLPQQRFSVSSCGRNDSSSALQKSGDNHVACQSGQPPLAVHTELQHDASIVISSGEEKVLFDLNVPAESIDMESTITSNSFRDKLVKNDGSEETVTDHSFSKRNGVHAETSIEERTVGEHYISVSKDGNTTFFQESRNNEIDKAQSSDLISVSSKHLIAETPHVDNIVCPELRASPDGASSPQETLIGNCDKMVCIAAETLVSIFSSSACTTDCPGTDRQTAAEDVNDEPQHSLDSYEEIVLNVEEIRDDGESIPVIPPDKDGPSCGIKLRRGRGLRNFLREIMPGLVSLSRHEICDDLHAIGYEPRKTRSRKTFGAQGSSSTRGRPPKHRPTARK >KN539125.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539125.1:142787:143185:1 gene:KN539125.1_FG018 transcript:KN539125.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVVAPLLALSLLILAVAAHGCEPNCSGSGGPVIPTPTTPSYDRHGHCPIDALKLRVCANVLNGLVGVKIGAGPNECCSLLQGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCSKIYPSGFTC >KN539125.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539125.1:38656:39181:-1 gene:KN539125.1_FG019 transcript:KN539125.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASASCALFLALNLLLFAAKAGVPATEPCCPLLNGLVDLEAAVCLCTAIKANVLGINLNLPIHLSLILNFCGKGVPTGFMCS >KN542208.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542208.1:15982:16479:-1 gene:KN542208.1_FG001 transcript:KN542208.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAHDRWVRTVVDELRRFRALRPRPGRVPRFTPMPPDVRARMNKTLGALWPLAQSSRDDVVFRVAQMISGVRGPPPRPHAFTPEPEASRIAVAAEAEGFAAASAYAAGKSVATDEERSDLYRKYVKEVWGSVHRYVASRPQAAGTSRSVPSASAPAAPVE >KN541298.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541298.1:2904:6796:-1 gene:KN541298.1_FG001 transcript:KN541298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVARSFSAPSKGFREEFSGAAKPKTFGSRFWALGDVSDATDSESEGEKDAATSVEEAFPEACFVRRALAEGFTVDEVLKAGEHLLLNPAATSGSCTKSTNLKGNGLLARRIVDSVAKRRKSSVKPWKGPVPRARISQPLTIGDKLDEAFTAKLSNSVKFRKAWVPVATRQENEATLSRERDESCAVLREEDDKEVFLATEEERRELIFGSTTGQLETRQPRGVEEFGRFLASRFKLKKDSGPSKQFYLGSGHKVQIKFHFGAGQLLSWAGQHERVKKRLGFNREGGGRGWMSQGFRPRGARSFGTRRGGFAGRPGRSGAHHAGRSNLPSYGQRAMDSGTGRAEKTTTGSGSNKGGTLVSRWDKSAEGSRKVLGGKKEAWQNKAGDLGASVAGKEGRGKNVVGEVVSEGDAKDQEFDRPLFEDRNNKGEGVKGAAKKVCSKCFEKGHVADDCVVEVYCDMCDSFDHVNHKCPVLKLPKPVVQAVGMVEGLGFCHIPHQPLQRSKKGTKLALVHVVGGSLSKERLVAQLQRLCPAKWKWEPVEQGKDSFVVLFPSKGELQRAINFGGAEVKEGGVATGIRMEFREWYEKEEGYLLPKVWVKVFGLRKKLREYLTLWAVGSLLGATQMVDMKTTRKNDFGRIFIAVLDPKIIPRNIDVVIGDHYFELRFEVEKKGFDDNGDEVEFHFEKEDGDGDDGGLDDMEDGKENGNEKEGDHMRDMNSDMILDGQDGGSKENGDKMTEQEARVEEEEEELKKMADQIIDVVVEDMLGEIYDRVAREGEEQDLGNRQGQEMGDGLQEKVVLMANVEEVIVTPVRSSKRLANGEGIHLLEKAEKRKARKNLDFCSGYGRGDG >KN541298.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541298.1:16592:18754:-1 gene:KN541298.1_FG002 transcript:KN541298.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEDACDPDLLDFAFKQIRVRVLRTNVVLVLSVIMVAILVGAGSFSRRYRRHGSMIRLLFLGAYTMFLPLVSYVVSGVDKENCALPDGISECTDDGTRYLLLWPSLVQIVGANYCIATAPYDDQRRNISRTVQLLFGAIWTLFLVIQHFLGYLYEDIVYWAVSIPCALSIAKILTKLYTYEKAWNSLELGGRNPRLIAGYMKQLTLSQQGDKHEIPLILMGEDKQKVKEGPGGFLFTNDSVHSTTLVTLERVTNMMSTDDSIFKRSGQPFEDLCLSFSLFKLLRLRFTSCPVADADQWSVPNFMSKLQHGNPQDILGLIGDELSFACDFYYSYLPVSYSTWWLPFLNVLFSFLVIAYCLAGGISLLVHEAYWIPTESQMTCTLTCGRDRGFGYILIVEVLTLFLGVPVLLSETWEIISYTCSNWTKVKLISYYVTKSSWQRSPLLQRLICCMLRFKCKILNNSYKMGQTSIMDTSMAIVIAVRRLLRLPDQMKYVKIPPQVNTAIVNTFRSSNYRVPPDIASLQRRQIGNNTLPAYSGTGTSDVILVWHIATCIFEIRHPQEPSTACAVNDRITASHLSRYCAYLLSSAPELLPDDKAWSKRLYKSVKKITKPLFSKSDGPMEYECLLQQLAGSSNSNTELKNGVALGVQLVDETQDAEEGWRVLAGFWSDMVLYIAPSDNEGAHGEAIARGGELITILWAMLTHAGIISRPRTDNAV >KN541298.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541298.1:11412:12942:1 gene:KN541298.1_FG003 transcript:KN541298.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRQGDVAGSVAEFDRAIELNQRQKQCLKKVQSSLGWMLIAANPNDTEESIWCFLCEAQLYGVGLDSRPVMREAYALFKDGGDPENVVILFEEN >KN541014.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541014.1:7321:8566:-1 gene:KN541014.1_FG001 transcript:KN541014.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSASAVVDATQACGGESIPLACSPHAPPSILARASSCGNGQRWREESHQGVQAGGVEEPPLFEAECVHGRIEMRAKKRKRERELLRIALEGAIVESPQAVPISVRGADLESGEGSSDSVTSDAGSAKAAADDVVHIAHALCKVCAKSPKAVNEFVRRVSPATVGHSIDWDLVRDNDSSKVL >KN541014.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541014.1:34777:36282:-1 gene:KN541014.1_FG002 transcript:KN541014.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERPETSTIQIHESDDVQEQEITEEIVDQAQEEAAGQAPEIAVEVAPEIIIVAVEAPETTINTTIVRLPMYMQEANKGLFEPRVVSIGPYHFGQRSTLDMEAHKDRFHRDFFLRLGNHVNHQDLTALCTKRAMQCYSGSVSRLYTSEKLMRDGCFIIELLIQWEEGEKAHVDDHMRLMSNSIYYDLLIVDNQVPFFLLTRIYEEFKRYNRENPVVLNNPRLVNLIINFFNHNGQFSWAYSYPPDQYPSNADHRHLLEIQYSLVIRWNISSNNNNDEQAHYASCLCGLCSNNTCRKSPMPLGIPGANELQDYGVTFHLKENQQTDMFDVTFSCKTMSIPHFKINFGSKILLANLFAYDQIASQSAAGVRTIGPPGSNNNVGAVTSYVALMNALINTKKDVMVLQREGILDNLLSNEEEVASFFNRLGRCALVDVSNHRYTGMFEDVNRYWRYGCCCKHFVTFRMKHCRNPWTCLSLMGAILLLCISLISMMYTILQYYNRRH >KN541014.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541014.1:20936:21859:-1 gene:KN541014.1_FG003 transcript:KN541014.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMTNTTIVRLPAYMREANKGLFEPRVISIGPYHRSNESTHDMEAYKERFLRECFAPPLGHMNLEQCIQDIARNSLAEALENYSGNVGDYTAEMLTLDGCFIIELLIRWNMGRLNHDSYVRSMRNSIYYDLLLVDNQIPFFILSRLFHKLKGDEELDNADVENELLTLAKKFFNHEGQFSWAKSPGLLDLSNASEVRHLLDLQYKLIISTNDTTISIDQTDNSYLRGIPGANELEDYGVKFYQDEDEHTKMFDVKFEGTNMMIPRFEINFGSKILLANLFAYDQSRDNDLIKGTKLRTNRTTQLDS >KN541014.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541014.1:12412:13686:-1 gene:KN541014.1_FG004 transcript:KN541014.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNLVQLPTYMREANRGLFEPRVVSIGPYHHGNKSTSNMEVHKNRFCRSFLQRLGNVSHQDAIDHCIEGAFRCYSGNVGLYTADMLTRDGCFIVELLLQWREGDHAHVDNHMRLMSNSIYYDLLLVDNQIPFFVLDRLFNEFKRHMGANPVFNNDSQLVDLVIKFFNNKGQFSWANLDHLSLPDANQQIRHLLDLQYKLVINNNMGIEPNNRNCPFSLCINICPNTIVPRGIPAASELQDNGVRFRVRGLSEQVKMFDATFQGKIIRIPRFQINFGSKILLANLFAYDQIKGEPADNGAAVGPVTSYVVLMNALINTREDVAVLQRKGILDNLLSNEDEVASFFNELGRCALVDVSNHRYTSMFEDVNRYWRNGFCCKYFAICCMRHCKNPLTCLSLLAAFLLLSFSCTSMVFAILKYYTRG >KN541699.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541699.1:10779:11135:-1 gene:KN541699.1_FG001 transcript:KN541699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGMQLAWLLVATLLLASSTCSWSRPLADGADGVGGEKMASAARRSLGSRTPPAPPAPLPNKTKSYVMPVPGSPPAV >KN541699.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541699.1:19223:19714:-1 gene:KN541699.1_FG002 transcript:KN541699.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLLVALILLSSYTVVSVRSSRPIVGEVDQTNWSTAEVATATVKADGGRRRGVVARYLVAERSIGGMVPSREPAIMVRRSPWKPPSPIGHVPVAWEKGKPPCLGVGCY >KN541699.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541699.1:16342:16959:-1 gene:KN541699.1_FG003 transcript:KN541699.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLAFLLLSSYAIVSVCSSRPTASGVETIWSAGAATTAMEADGGQSHRRRGGGTSVVARYLVARRTVMGMVVPSRESTMMRRLPNREPAL >KN541699.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541699.1:13822:14046:-1 gene:KN541699.1_FG004 transcript:KN541699.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRHRCKGGGGGGAAVARSSLPERSMIAVLPRRQPVRAPPSPKPSMAMTSFMPPCSGGVPGCRTPRMDGLN >KN541699.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541699.1:23405:24300:-1 gene:KN541699.1_FG005 transcript:KN541699.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding VNWFLPDTCLVRVATVGQPGAMRRITVHYVNLPPVAGAGEAHVDGAANYSGKASQHGKHQAEQSQQWRGEIDGDGGDGGAEGGVLEERGDAAADAVEPAAFSVSASKSISEPC >AMDW01040843.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040843.1:289:1547:1 gene:AMDW01040843.1_FG001 transcript:AMDW01040843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDLLSRINHKNFINLLGYCEEENPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGVAYCIQHMHELNPSITHPDLQSSAILLSEDGAAKVADMSVWQEVISKGKMPKNDDIVDHHEPVSADPAGNVCSFGLLMLEIISGRPPYSEQKGSLANLAMECIKDDRNISCLLDPTLKTHKENELEIICELIQECIQSDPKKRPGMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >KN545097.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545097.1:2023:2415:-1 gene:KN545097.1_FG001 transcript:KN545097.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDFEALLRYMYTDSLPEPETKKGGGDAAAMLPDLVAAASRYKMERLRLVCEHKLCEYVNGRTVVAMLAFAREHRCDGLKEKCLRFLDDPVKVREIVKAEGLDNLSKSYTSILTDLIAKLAAVPASQT >AMDW01030201.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030201.1:26:328:1 gene:AMDW01030201.1_FG001 transcript:AMDW01030201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKARKPYTITKPRERWSEEEHERFLDALIMYGRDWKKIEEHVGTKTTIQIRSHAQKYFLK >KN540746.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540746.1:42062:42367:-1 gene:KN540746.1_FG001 transcript:KN540746.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEKEIAPVPRLTRFSSRKIGFSASLANMIMVSHRKNRVLTCKATSSLAAVMAQMLSHRATHLWVVEDGDADKGAVLVGMIGYMEILRAVTRGVVVPPA >KN540746.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540746.1:29780:33165:-1 gene:KN540746.1_FG002 transcript:KN540746.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVAPELAGDRDGVAAGDVQDWHGDVHVQHGVVHGAAGEGDSVRGGADGAGDGAAAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYAVLGFV >KN540746.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540746.1:16891:19724:-1 gene:KN540746.1_FG003 transcript:KN540746.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWCFCSGGAKLERIKNSVLAAKGAAVAAVSFPAGGGGGGRGGSGFLIHRALLLTTHGTIPSAAAAAAAEVRLSHGRLPARLVPQRFFITSPILDLTIVGLDVVNDELNSHGQQPHFLKTCLNPSLDLGSTVLLLGHTKRKDLTIGEGKVIITTDNLIKFSTDEVAWYPGSAGFDMHGNLAFMVCDPMKLAPSTPTGYASASSAALLSAKKDVPTQFGMPIPAVCGWLKQHWNGNLEDVSKPMMPPARLISTGQRSECSSVGRLNYIKTMEREGGDGMSSSQIPPRLTQHHGSCSSASAKISCGENDSVDSHSIHEQQDLTSQMHEPKIEQSASLMDNNFPPGHPRSIRLPLPLKHMMSHENKIKPNPSFSHEARLANVRINCGTLHNVAYQENCWSEAQSSSSPPDISELGDERGGFSSGEETMYSAETRESRNIPSPKEKNPKMVGRSQSFVNHSKWDSPKSVESSKGAPSKSHTFIPLRKPHLQAAAISQKSQDFFSPTVSSSMKKRNLSQIPMKPRQSAQVTSKWII >KN540746.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540746.1:2769:3290:-1 gene:KN540746.1_FG004 transcript:KN540746.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDSNQARVVSNESKAPIVEKKKKTKMVRYTQEQIEYCIANPEELRDKKVIKLTELLSKECLARMGQEQVDRLYAMERAEEEQIVEWKKLQEVLRNERENIYKIPDKPKDVLKQYYAKGYAEYEVAVDDGDVDEDEEVPARVAHPGRRRFRNGIVMKKNQSGGGSISMDPS >KN540746.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540746.1:12226:14313:-1 gene:KN540746.1_FG005 transcript:KN540746.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKILKRNNAIVSSSLEKVIKPNIAMLRECGLSVCDIVQMSQTAARVLTFSPERLKVIVQQAEKLRMPGCSWAFKNVVGAVARSNEGIVNARMEFLSSSLGCSMEKLRSAVCKCPQILGLSESKLHSKIEFLVGKVGLEPDYILQRPVLLTYSLEKRLVPRHYVVEVLLVKGLIKRTVDFYGCVCVSNEDFVASTTTNNLITHNARQVSVIDITQQLDCFNVAALTSHFMDRYFNSYFPAQKDKEFLVTEVGLHGEACNALVQPGDDALVQPREVVGAKA >KN540746.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540746.1:39746:41523:1 gene:KN540746.1_FG006 transcript:KN540746.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEAYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDSSKIAHLYVFQALLPTYLLTFDDLYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSQSDAVDLVKDVFASATERDIYTGDKLEIVVINSSGSHREFIELRKD >KN540746.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540746.1:4640:6915:-1 gene:KN540746.1_FG007 transcript:KN540746.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >KN540746.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540746.1:22572:25605:1 gene:KN540746.1_FG008 transcript:KN540746.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNHPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHPPIIPQQMPPPSYVRTGRPRLSEFHDRSFEGDYGREGDEIGNGISESGGMRGKLIKRNKKLSAESEMYGGHRINVEAIGTLGEGDSRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHHSRVRKYLSPEDWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >KN538703.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538703.1:389444:397701:-1 gene:KN538703.1_FG045 transcript:KN538703.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGWRAEAAERTLPVYSPALGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPHRVRWIRALYSNFTEFTADQERLISLQHGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAVTDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRGKGMWDPRSSVVTPEEDVFYLVAFLRSAVPGSTDPAQSLEALERQNREILEFCDEAGIGAKQYLPNHKAQREWEAHFGARFLAP >KN538703.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538703.1:457243:463075:1 gene:KN538703.1_FG048 transcript:KN538703.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRSESGFFLVRTCFVYLSPPCMSQGCQAQLPNASIAWIPCTTTSSTTEYVDNDHAEAQLVEAFRPQIALRARERLADRRLSVVAHADALAAAASIDAPSLTPTQALQLFLSSRRAWISQALTTLASDLTSYSSVLCDVAKIVRVTLGHVGQLFALALNDLPLFFKTVLDLPPPSQLFGGIPDPVEETRLWKEHWDQLEATMVLLEPDAVARTCTDWLKGCCDEIFGVIAGGQRLVDAIESGEGLGSVQRLVREALDGREGLEGTLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVHLEFGSLDDSVNIKKSIDGIGANADPKDAGDFMVYLRKVSTGGGVWFSESKIKKGGILAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKTILEDLLSFVDSHNSAPRLKELVPYLQEKCYKTISGILNGLEAELGKLSASLRTKKGESNMHAASVIVERSLFIGRLMFALRYHSSHVPLILGSPRQWVKEAGGAAFMRLSSPSPRHSRASFDTAMPFTPRRHTQSSPRSPGRQFSDNPRRQTIAAAASLFGADDSSNPRLDELNKTLQALCIAAHGLWITWLSTELSQLLSYDLNKDDSLSLSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDKSILQNFAWDLLQKVIDIYESFLVSIESGKSLVSEKGVLQILLDLRFIGDVLSGGKSSSTKTTETQRTHDSSPSAIAKTSFRRKQSQLQADSATIEPINKLINKFSQRLDPIDWATYEPYLWENEKQSYKRYAVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPALSSRAHKSSLQSTSDDSTSRSPWKSYSNGERSTASEFDDNVSLGGAAPLLKSFVTQISNPNHEW >KN538703.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538703.1:466133:467724:-1 gene:KN538703.1_FG050 transcript:KN538703.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRCMAVWASEARRGEARHVKGWGEDQGLNSDYGSYRAPDVKDEKNPAAGPGRMSLSLPTCPSEIMDPHLEVFSPNLLPT >KN538703.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538703.1:452232:454238:-1 gene:KN538703.1_FG051 transcript:KN538703.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVEAAGPECIVPGQQAPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSMSNK >KN538703.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538703.1:436029:439663:-1 gene:KN538703.1_FG052 transcript:KN538703.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGTPIMGFVFIQLLYILRSPSRDTLHCELNSPRVSSVMEGGLLLLPMLHSPRRIAGVLARLDLRRNSGPLLRNLSISSKQSDPERHVRFAEPAYSFVGMHCIFDDCKASVTILKFGRASSDLLAYGASDGSLTVCQVSDPPTVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDVDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKSKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFRASVLLSVHSFPSKKENSLLLLVGYLNLKAVDNPNSHF >KN538703.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538703.1:370806:379671:-1 gene:KN538703.1_FG055 transcript:KN538703.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAHISLFLYTDPKSGSVRFYDYLMEHDMTVEDFIRTNGLGASGLIETNNQGVSTSSVSDCRSCEHVENGSPSTAPPFWDSDGEDDDPVTSGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKCFRAFWLAIDQSTRHRMSTEKSDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKSKSKKGIIDSVDFVELPVPMVHVDVDMFVLAGDVIALLERAALEPLPCQPVSPKDDKCSQSRTKDGSSGEVNKISIEREERRLTELGQKIIETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLENEMKGKRGSTTEKDKRAKKKQAKQKKNNRKVKDKDREEKSDSNFPERSQDENTVHDREGSKQAGQISMKVDTSEEGASDVSDNLDGSIEIQKKHSTMENKSLSCSSESATMNNAQGKRNNLLESKDQISRNRLAILMIDLATINNMKEIEGRKLLQAHLEKKAAAESATGSSSSLSSNSLEETPEVLKSPDQSSVTISDADINASPSKFGASKEVTPVTPTTILSTEPVPTVASTLSKDEPVLCEDHVSCSTPQIDTPITSNPPQVDKTVTLPSGMLLVGHAIQAPSRSPAPQVDRVSKAIAAPTKSPAPQVDKVSIAVPTPSKSPATQGEKVAKAILVPPKSLAPQVGKVAKTIPTPKQPAPLVDKVTSLDPVSKQMPSTSNSEAREAILPKKAAILSVSQTPAISRPSSAPLFQVPRSTLPPTPAVQVPPMLSRSMTLAGRSRNEPSPSVPSYTAQTYRNAIIGKSNLDTASASLDHSTSFGQNVALSQPLSSYASAASAMVPPVGRNGQLPGKQGFMFGQGKSEAIDNWNPWKGDSNANKYMWKDDSPYHQMTKGDAHTRSWRDNSYQQAGCSGTGEQGEFGGLQHRQFQREIPTNLVSYQLPGPVGEEFPHLDIINDLLEEEQSSGSMAESTLHGYHTFGLPYSSRGNLVDSEVTSISSSGRLNLADHYYDEGYPMAYDRLNALYRLREGQNSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNQNGFSQQMGNYTNLGSGRVNGEHLYRHANGQW >KN538703.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538703.1:418229:426272:-1 gene:KN538703.1_FG056 transcript:KN538703.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPRLYENVVGFLGAHLISAVAGGGGQRLLVKKDWEFVDKVLAVQKDQYFNDESVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLELPVDYTVDVDKRKAIFSFVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANRPAYSSLERVIQQATVVRQYLQQEVGKRYRQFESICCALITMPDAWSLQTIGVFYGRPFHHIDCSIQPKDKRKADFRGFNGKNPILVGPWGGLGGTPWDDGVHSTVRQIVITHGAAIDSIKIEYDLKGKSVWSEKHGGDGGTKTDQVKLDYPQEILTSVSGYYGSLGGCIVVRSLTFGSNLSKYGPFGSEEGTPFSLPVAVTGKVIGFHGKSGWFLDSIGCHFKKEKNVTPSSNAPSALRSITRPHDKNGNRYADSNAGYDMVLAVRDRGDSYSVLTSNNPKEQYPNQSQDATLWNKMVSLPSFYSDNGTMTISTPVRFGPWGGNGGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGSSGAARAEKVVFDFPSEILTHITGYFSSTMIMGSTVIKSLTFHTTKKSHGPFGDETGTFFSSCLTEGRIVGFHGRDGWYIDSIGVHVLEGKVLSQRADRSLTETSPSRHTDMLAVAQREIGDEVTYGVVKEPIPVGPGPWGGEGGKPWDDGVYTGVKQIYIMRADFIGSVQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLNCIYGYYNTCPDEGPRVLRSITLVSNRGKYGPFGEEVGTYFSSATTEGKVVGFHGRSGLYLDAIGVHMQHWLGDRNRTAAPSSNKYYISKYLF >KN538703.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538703.1:441350:445294:1 gene:KN538703.1_FG057 transcript:KN538703.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNVHEVLPNHVMKYLSGSKVVAVGRDISGKLITTDGMLISDSNGSEDTEEFMLSTCKISEGWEGGALFDSDGNLIGMNLFFLMGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMSKSLLEDVCDEDQFECLDSMGYPMPSISMANDGMVLVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRVANVVLILFCDPCSSKIEVLLPNKKRTEGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQESLCEDVLAVGRCFESDIVMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGTPFLSCTVILHVLSRFDEERTINKGCNGDTSSGVLDWTMTGDSSVRPNSWPVPKPFWCHPDDLPRNETRTRHKYGYYNGQKFNYMC >KN538703.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538703.1:446243:449731:-1 gene:KN538703.1_FG058 transcript:KN538703.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT2G16430) UniProtKB/Swiss-Prot;Acc:Q9SIV9] MVDRIGAAWWCACAVGMLVVGACLAGETSEYRRQLGSAVDMPLDADVFRAPPGRNAPQQVHITQGNHDGTAMIISWVTTIEPGSSTVLYGTSEDNLNFSADGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSYDSNITLAHYESNSKAQAVLFVGDLCYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSYRYPTPYKASGSTAPFWYSVKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLLHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGQCTPVHDQSAPVYITIGDGGNQEGLATNMTAPQPGYSAFRESSFGHAILDIKNRTHAYYTWHRNQDGNAVAADSMWFTNRYWQPTDESLDDSQ >KN538703.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538703.1:367004:370032:1 gene:KN538703.1_FG059 transcript:KN538703.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSVLLEWILMLLLFIDAVYCFLVTRFARLCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCRLHQKLAGAQSMCERCSDSLVENNDDGTDEPTMAATLPDSNQGLHSHDTRICSCCAQHYTQQRPTMFSRMITELEPAEAVCSPKICTDYSILHQVDKSLDKDICHQSDHSIHERYSVLQMTSGSEDEAPCADDGKISHHHKTNCMEEDLNEDATAEKFAASSTELVRPLEMNVPMETDVGDSYDISSPYVLVDDHPDSIIGEGQMEAKDTSLEKQTCQHDPLAVKEESGLTDVNVPQVPVASSVESPQNLGYNEACHGASESTIDPCSSQSTTLEQNIAVSEHNSTKDDLEGHRSEITVTSSREFHQKSALVDDDPVNFRDDHVSQVNSSSEAVDEAEDYAKEAEQTCDMVTHEAALKDPSNTNSKDPTAKGFVEEALISPQAIRPNSEVSQGLNVIEEHPQTSATIGERRPSLSTQISMNEAYKLAIGAKSSLPSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLEAPWIDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSIDDKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIQELEAELENYRMQFAGGPTEKQSNQVSFNEENIAETLLDETGLEAPAITTASGINSLVSFEEERAYIADCLTKLEQKLQSYSNNSTSIHLSNSDVIEDYLSNKMHVVDDGSLQCQESSREAQEPVFLAKEAHSSTVSRKTDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRNGKEGVMFIQEIASNLRELRAIAGSK >KN538703.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538703.1:384036:386456:-1 gene:KN538703.1_FG061 transcript:KN538703.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIMLDSEDTIEIFDAGQVDGCCVLGNLRSRYDAGVRIRRIRTQLTEISRRRTAYTVERPTEASDRWIHGLLASSPLIHDKDIVGLNRDLASLLRFILYDSMDLSVVSLVGMGGVGKTTLAKKVYNHPDVRKHFDCCSWIYVSNAMELGSVLRELAKGLMRIPSGEVSSLNERQLQELLFSGLHNKRFFVVFDDVWDTGLWDVIKLVLPRNDCGSKVLVTTRNAVVVDSVVDAKSHVHRLQPLSSEDSWNLFCKKAFLQDEMCPDGLKETAKDIVKKCNGLPLAIIAAGSMMSRRERTDIAWRHVLGNIQKDLSNGKMEVQQTLLLSYMDLPRPLKPCFLLLSVIPYDSEISRKKLIRLWIAEGFVKENADETLEMSAEKYLMELIDRSMVEMAIVSNSGRVKACRVHDLLHDLAIALSKNDRFSTICHDKDASVSDRRISLQTSGVSFSNKKKKRLRSVFMFSNRAPDVLECKTVARIFRLVRVLDLEDCNVLKLPKAIGSLLHLRYLGLRGTKLKKLPRTLHKLHQLQTLDIRRTHIKKITFEVKGLRNLRHLEMKQGDRSIHVPIGLDLLYNLQVLTGLQASATVVLEIAHLTQLKKLSIEDLKNEDAQKLCSSLNNLKELLYLSIFPGDGTECLDLAILKPSSCLQKLHLAGSLRALPDWFSQLHNLTKLRLSFSQLQDDPLPVLVRLPNLLFLQLNRAYNGKAMCCCPGFSKLKIFIITELEELEEWDVEEGTMPCIQEVWIMLCPKLATVPSGFQSLATLQRLRLVGMPTSFLCRIGEHEDDFIRVRHVPSIQIIQQFG >AMDW01044962.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044962.1:446:887:1 gene:AMDW01044962.1_FG001 transcript:AMDW01044962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRALLLLPLLLLFLLSRSLSLRADPDPAVSRIAFGSCANQSAPQPVWEAVVGFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWRNVPRFYPSTEAELRRRYEMAKAKP >AMDW01039286.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039286.1:42:869:1 gene:AMDW01039286.1_FG001 transcript:AMDW01039286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HVLEHGRPEERSSIIQKLSGQVVNLSQQKYASNVVEKCLSFGTPDEREGLIREIVSSGQTFQGLMKDQFGNYVVQRILQTCDDKFLVVILSSIKMHLNELKNYTFGKHIVARVEKLIITGENRVRMGSKTSQCQQSLSCTDVDANPF >AMDW01039476.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039476.1:3:962:1 gene:AMDW01039476.1_FG001 transcript:AMDW01039476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CCASPLVRVADAVAALSCEAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVALATQLARPVQAMLKLSVARARLCVGRIDDAELRKKLTDGVEIDDLKGMLDKVTIDSDAVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQAGGENEAGSSTENPQATGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHATNGKEILSVNSALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPK >KN539387.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539387.1:56520:59501:-1 gene:KN539387.1_FG001 transcript:KN539387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQTYQLVLIVFPQPPQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRGAVELLGGGSLQLHISAERCAEVADDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQRSG >KN539387.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539387.1:92354:95330:1 gene:KN539387.1_FG002 transcript:KN539387.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVVVFSAIHARQRQQWQKLILVEMKTMTKLIVDGGKAGGTCIGFFQVGFLSFLNFFHNSTAFSNLTGCCLFILPSYLVGYYIEHHHLVSPFIPESQYICCISSIIKPGLSSSVAHLLVCLSSCLQQTMDADRDPVFPVQQMPSLLFPPPPPRPLALDSTSSASSSFVPHHPSITSFPILVLTVLGILTTSVLLLTYYIFVIRCCLNWHSSSSSDTQTAGLISRRRRGAASSSLPAVAEPRGLEEAAIQSLPAFRYRKAIKKDTTADSSECAVCISEFQEEERVRLLPSCLHVFHVDCIDTWLQGNANCPLCRTAIATNDSQRPLDQFMRPEEVVIQVITSAEEGAQAPQQETNTAASDPAVDATSTNQQVSSKKTKNQNAWHVSISKGDECIAVRRDRNVLPLRRSFSMDSLGGAGEVHLQIQNILQRSTHFHGDISDSSSSTGTL >KN539387.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539387.1:33599:38917:1 gene:KN539387.1_FG003 transcript:KN539387.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGGAAAAAASPAVVGAVSVLALVYYSTVFVFLDHWLGLGNAAGAAHAAFFSLVVAACFFSFVCAAAADPGSVPASFAPDAEDPQRQGLKSRYCDKCCMYKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKSFIICVLNATIGSLYSLVVFLFDLFQTQHEYDVPYVKVIHMEFRISSGVTKMVWINMSRRYAFALSFSSVVLVGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREATRAKWLAQKSGQKYRHRFDLGTRKNIQMFGVEFGSILNEIGGHLFGARFGDCSFGASDLIRIRFYCVDVNAVPQKLVNRAGVTSTISMMDPSFIWPRCYLVVQQYSLGNLLDPKYILIYTSNAFFCPIYVICYAELVQKMPSIQLWSDSQKQAEVIGGHKSWLVIDDVRRMIDQEE >KN539387.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539387.1:68947:71378:-1 gene:KN539387.1_FG004 transcript:KN539387.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGDSKEEDPVNKSASVRSLSTTSTELDVRSGSDFNSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMGQKEWLTELNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDAARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWGYGVLLYELITGRRHIDRNRPKGEQKLLDWVKPYISDIKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRLPKSRPKMSEVYEMVQKIVASIETGTPQPPLHYHGSVSEPGAKRPKKGSLKRRFQEFKFGCRQIVWRGWKPEIIKTC >KN539387.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539387.1:84331:84552:1 gene:KN539387.1_FG005 transcript:KN539387.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVDRRLRDSFPVEAAEAMTAVALRCVARDAAARPDMSWVAAKVSKLFLEAQDWSDKFRIPTDISISIAPR >KN539387.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539387.1:9867:13824:1 gene:KN539387.1_FG006 transcript:KN539387.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKSGGGGGGEKKATTTEVVLTVAMHCKCNGCKDKIRNGVKELALVPVSIQYELLYLYPDEYRSVVDRAGEYSSYSRGRGIEAKMNRIDHGGGCGWQPHLNPTTTTAAPGTDDKDASTKADDPKKDAKEKEKKPAALPVVTAVLKVDMHCDGCAKRIRASIRHYPGVEGVAMEVDKGTMTVVGRFDAKKLRDRVANKTKKKVDLLPNNKKAGDDNDNKNNKANECDGKPADKKKQQEDDGDEAGKEDKKKKTKEEEQDDQKKKKAKDNKKPVVPVPGTVVLKIGAVGLHCDGCMNRIRTKLFHIQGVEQVAMEMAKNQVTVTGTMDSKALPEKLRKKLRRPVDVVPPGKQKDKDGGKDKEKEKQDGGGKDGGVGKDATAKALTAEKEAWKAAFYDQQALLATEFMLSDENPNACSIA >KN539387.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539387.1:96273:98479:-1 gene:KN539387.1_FG007 transcript:KN539387.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKAALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAITVLRNVAKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAIPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIVLPEPLEKEVDSDSTRVAQQ >KN539387.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539387.1:107141:108969:-1 gene:KN539387.1_FG008 transcript:KN539387.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding METDRASSEQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQARAQLACGIQSSSHSPMQQHCSKAVDAGELQYLAQAMMRSTSNYSQ >KN539387.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539387.1:110120:111741:-1 gene:KN539387.1_FG009 transcript:KN539387.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding PEKRLRFRTTVDASEMQVIDAMHKITSHIRNPSKFSKASKLALQLIEAGSVKPGTINHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTECFNQQQKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPIATVDDDNDEAAALAAVESNNADDNPQAAASNSLPDDSTHAAASNSSEESSDPFGLDGLIEHKSKKSEKAREKTVAALNRKADEDESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNMNRTQTAIDIFAKHAYDNMNRFTTQQRDAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >KN539387.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539387.1:77979:79044:-1 gene:KN539387.1_FG010 transcript:KN539387.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLPLVRRGLAGVLNQSPAPASTRGFLFPSPVTAGIRSLQTIMEASNNASDDRNQDIEDSKTDTAPATVPSSDSGFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKESYEKGVVVARCGGCNNFHLIADRLGWFGEPGSIEDFLAEQGEEVKKGSTDTLNFTLEDLVGSQANDKGPSDKK >KN539387.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539387.1:41455:45882:1 gene:KN539387.1_FG011 transcript:KN539387.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MAPSPAAAAGPTFEDLERDLQAVLMDQNHSATADELSMFRSGSAPPTVQGARTAVGSLFSAAPVHVDSFVDPSNGGVGDVLSDEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRVAQRVQAVSGGVGDRRRRPSDVGGGSSLFSVQPGARHGNGEDLLVNDRMGGGERNGLTRQQSSEWLGSGADGLIGLSDSSGLGSRRKSFADALQENISRPASAASHLSRSNSRNAFDSPNPIRPDSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGSTDKKVDGSAVASLNHDTADIAAALSSMNLSGSKMASLEAEVQNRVYQNFGDQTDVLFSVPKERRQLSQQKLAQNADEESINALEYAAFPNGSSNFNNSNMSKLSVDSRSKFPIQSPHGNANNKGSLVSPTGSVSLYQNLNGDNSNIDVSVRNNKIRSSSFGSSMLNSQLNADGEYVNLLSNQGGSGFQGQPMESMYAPYLQANSDSPLGAATNLNPFQGSSFSGSVPLDSPGYQKAYIASLLAQQKLQYGVPYLGKSGSLSPNIYGSDPAFGMGGMAYLSSSTSTPFISSPQGHVRQGDRLARISSMGKTTTGGPMGSWNSDNGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSTHPYGCRVIQRVLEHCDDENTQSTMMEEIMQSVVLLTLDQYGNYVIQHVLQHGKPDERSAIIKQLAGQIVKMSQQKFASNVVEKCLSFGSPEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRSGVSSSSS >KN539387.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539387.1:1241:4711:1 gene:KN539387.1_FG012 transcript:KN539387.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKLRRGGRAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLRPHLRSGNPSASLRLFLRVLRDRRPCPVDSQEDVPDSRSFSAALAACSRHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARNLFDEMPARDTVSFNTLISSYVQSCCINDAFEVFRIMVESGLRPDGWTVTALLGACAELQDLRAVKAVHGVARRMLEPQVFHSGEVATSLVDAYVKCRGMELARQVFDLAEDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEEAGFVADEATIVTVLSACVGYGNIDLAKRLHCLVGRDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDEMGSLGLCPDKITFVGVLSACRHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMVDLLARDGQLDNAYIFIQNMPIKANSVMWSSLVRACMLHGNIKVRKLAEEQLLRLDPNYKPENLPLSNLFSEGKRKERTARMRKFLNHKPVGHGMSGDNTVHHIEMVAIAFGFLFLRTCDEFIRWKERCKANGLKTFVFSVDMHRKCNDCIKKINDGVEWADLMVDKAEVEVVGTTDPEKLCCLLREVTKKHVKIRTENTVSEAGSATSQQTKGLLVGQVSRD >KN539387.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539387.1:86090:89132:-1 gene:KN539387.1_FG013 transcript:KN539387.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLHQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKRLRLTGIFAYSSGP >KN539387.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539387.1:101623:103063:-1 gene:KN539387.1_FG014 transcript:KN539387.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVDKLTVAASPPAAGGVLPLTFFDVPWIFTGPVERVFFYTYPHAVEHFAAHLLPSLASSLSAALHRFYPLLGRVRPCSSGGGGGGVQSLFSLGVIL >KN539387.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539387.1:47069:51443:-1 gene:KN539387.1_FG015 transcript:KN539387.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCVDVVTPAAARAPPSPCPCRRNAPPQNPLLLLLLLIPTSTSLMGCHSRKYLAIDLSWSWVPTRKRVFNVMRSEFLDASKSDTADNEENAPSLVKDVEMLKPKILEATLSSIPFELYKTQTTIVVSREKFLSVVCDALSSYKYVGPNQKADFLLACRIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSPNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >AMDW01033740.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033740.1:32:376:-1 gene:AMDW01033740.1_FG001 transcript:AMDW01033740.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLAKGYPQRTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHGAFRDHAARGSLPNYAVVEQHYMDSKSHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNQTLM >KN540671.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540671.1:16484:16996:1 gene:KN540671.1_FG001 transcript:KN540671.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILGATLAANSAGILGQQQQLAGVDLSRLGQYNGNYDNLPPLTNDSCTQPAMSSMSPDSLLNRISSGISGDMLSSPELCHGGDGLSSPELGQGGPSASNMTTSPMAAPPPMVAADDHQCNTNTPGGGGDGMSCEQTPASSTFDGLNLDDIDINDMEGCWAMTDILLAE >KN540671.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540671.1:24292:45530:-1 gene:KN540671.1_FG002 transcript:KN540671.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding CEQAYTMAQNLDPDSDGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYQQYKSRRRVDDMQREQERLRESGTFSTDMGSRAVEMKKIYATLRALLDVLEILIGQSPSDRLGRQILDEIRRIKRSDAALRGELMPYNIVPLDAPSSVANTIGFFPEVRAAIAAIQNCEDLPRFPSDALQLQLRHKDVFDLLQFVFGFQMAKELDGILDSSEAERAKSCTITNDSASYLEKIITPIYQTMEAEAQNNNNGKAAHSAWRNYDDFNEYFWSRSCFNLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQCLTIIAFHHGKIDIGTIKILLSAGPAFFILNFIECCLDVLLMFGAYKTARGFALSRLVIRFIWLTAVSTFVTYLYLKVLDEKNARSSDSTYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSNFSDGSQFFQFFKWIYQERYYIGRGLYESIGEYTRYVVFWLVILACKFTFAYFLQIRPLVDPTNVIVTLRNLRYSWHDLVSSGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKTLSPLRISNGPVAQGPEITKMHASIFSPFWNDIIKSLREEDYISNSIMTKFSFREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWDRISRDEYMAYAVKECYFSAERILHSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRAAGVTKALRELYEVVTYEFLAPNLREQFDTWQLLLRARNEGRLFSRIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWNNFLERIGRGELSEDDFKESPSDMLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTQGYEVSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEEDVSSDGRKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLRTIHAFHRVAAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTRGGSSSFILLTISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKITSHNTSLAVYGFSWIVLLVLVLLFKLFTATPKKSTALPTFVRFLQGLLAIGMIAGIALLIALTKFTIADLFASALAFVATGWCVLCLAVTWKRLVKFVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >KN540620.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540620.1:44747:46165:1 gene:KN540620.1_FG001 transcript:KN540620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAHSSQSPLHVVIFPWLAFGHLLPCLDLAERLAARGHRVSFVSTPRNLARLPPVRPELAELVDLVALPLPRVDGLPDGAEATSDVPFDKFELHRKAFDGLAAPFSAFLDTACAGGKRPDWVLADLMHHWVALASQERGVPCAMILPCSAAVVASSAPLTESSADQREAVARSMGTAAPSFEAKRATEEFATEGASGVSIMTRFSLTLQRSKLVAMRSCPELEPGAFTILTRFYGKPVVPFGLLPPRPDGARGVSKNGEHGAIMQWLDAQPAKSVVYVALGSEAPMSADLLRELAHGLDLAGARFLWAMRKPAGVDADSVLPAGFLGRTGERGLVTTRWAPQVSILAHAAVCAFLTHCGWGSVVEGLQFGHPLIMLPILGDQGPNARILEGRRLGVAVPRNDEDGSFDRGGVAGAVRAVVVEEEGKTFAANARKLQEIVADREREERCIDEFVQHLTSWNELKNNSDGQYP >KN540620.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540620.1:38803:40235:-1 gene:KN540620.1_FG002 transcript:KN540620.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVICPWLAFGHLLPCLDLAQRLASRGHRVSFVSTPRNISRLPPVRPSLAPLVSFVALPLPRVEGLPDGAESTNDVPHDRPDMVELHRRAFDGLAAPFSEFLGTACADWVIVDVFHHWAAAAALEHKVPCAMMLLGSAHMIASIADRRLERAETESPASAGQGRPAAAPTFEVARMKLIRTKGSSGMSLAERFSLTLSRSSLVVVRSCAEFEPETVPLLSTLRGKPITFLGLMPPLHEGRREDGEDATVRWLDAQPAKSVVYVALGSEVPLGVEQVHELALGLELAGTRFLWALRKPTGVSDADLLPAGFEERTRGRGVVATRWVPQMSILAHGAVGAFLTHCGWNSTIEGLMFGHPLIMLPIFGDQGPNARLMEAKNAGLQVARNDGDGSFDREGVAAAIRAVAVEEESSKVFQAKAKKLQEIVADMACHERYIDGFIQQLRSYKD >KN540620.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540620.1:25241:28921:-1 gene:KN540620.1_FG003 transcript:KN540620.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEKTAEEIRRELQELQRQHREAVDLVDQSAPKRRILSAVVKELDMSLPEPLPREFPKDEDQSLVKRNKRMLGKLLVGTLEKFQQEDKKLSNTEAYMRRSEVQRKADQKAREESERLRQQEREQAIEKRKRDMMLRARVAAKAEEKRLELLYMQWAEHHKKLSNFLRTTAEPPIYYMPAKPIIDDPAIAEENKEKAFQEWKSERRAELTQFQKQVEEQYMSNVERQLERMQNARNARRGNGPSNMQEMDKELDTHRAEHGPKTRRVLEGGNDDEDDMDDMAAEDELMDEVLGVNEPISDEQTKPSEEAADGVPVSEEVQ >KN540620.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540620.1:3721:5872:-1 gene:KN540620.1_FG004 transcript:KN540620.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAELHNRLVAAVNNASFLAQLEKVRDESMHQQSELSPDNMTDIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTTFIARCILEDPKSKSISPVELQKAVATALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >KN540620.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540620.1:18666:24721:1 gene:KN540620.1_FG005 transcript:KN540620.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHVVSFLVVLLLQLRSSGMHLVASELFWGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDGFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQFLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSVSGIAQENNWVKQAQGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRSVALHHEETSSSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDGNVEVENGDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >KN540620.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540620.1:40937:41335:-1 gene:KN540620.1_FG006 transcript:KN540620.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGYSSAAAAAGGMHVVICPLLAFGHLLPCLDLAQRLASRGHRVSFVSTPRNISRLPPVRPSLAPLVSFVALPLPRVEGLPNGAESTHDVPHDRPDMVELHLRAFDGLAAPFSEFLGTACTDRVIADVVRT >KN540620.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540620.1:7967:11664:-1 gene:KN540620.1_FG007 transcript:KN540620.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEQLDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGGTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSTVSINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRTPDFHPAASDDNFYLKVQIKDTGCGISPQDLPHVFTKFAQSQPGGNRGYSGSGLGLAICKRFVTLMGGHIWLDSEGTGRGCTVTFVIQLGICDNTNAYQQKLIPLVWPSSGDADFVGPVPNAPNEEKGKQSLI >KN540620.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540620.1:35383:36168:-1 gene:KN540620.1_FG008 transcript:KN540620.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPERFSLTLSRCSLVVVRSCVEFEPETVPLLSTLRGKPVAFLGLMPPSPDGRREDGEDDSVRWLGAQPAKSVVYVALGSEVPLGVEQVHELALGLELAGTRFLWALRKPTGVSDADLLPAGFEERARGRGVVATRWVPQISILAHAAVGAFLTHCGWNSTIEGLMFGHPLIMLPISGDQGSNARLIESKNAGLQVPRNDGDGSFDREGVAAAVRAVVVEEESSRMFEANAKKLQEIVIDMACHERYIDGLVQQLKSYKD >KN539590.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539590.1:18307:22077:1 gene:KN539590.1_FG001 transcript:KN539590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRISFANSQNECEVVLPQTLASGGASSSRAVGEAAQSKPKRRRRATSAGEGPSGDEPSDTKGLTRCSAALAAQACRALSTVHHEKLEEIGLDVVACMSLESLEQPDLIRWLMDRTDPDTMCISIDDDRKIQITPRTVRLVLGTPLGGNDIVLPSHKARLSAKQLIEVIKSQKDDPRAVRYFIMVLYLDNILPPRDIGLDLTFTPRIQMFTKDIVDKLVAADQEAGGDGRPPFGNLPLRPLESTCYANKPAGRAKGPMVEDIRAPAYTFPNMSTIIGPHLAGLPSDQRFGLLESLAEYDKQAKESALEIERQFRLLLAETNDDTDDVNSTPWSQPKWFIQKPTSVKLLEIDSSVVYGNDVLKSFSNWNVTEGLFIDAFSSILFKDDMRNMPDTFGKRIFFPTSISIMVPVLHNDHWSLYAINIAHRWVNIMDSNNYNLIGTLESDHHRVLSKRIVKRLSDALHELAPKSFCRFGGFRKNMMKCPKMKICSNDCAFYIMRFMEAYDGNRESIETLSIPTNSSIVRSSILHQLMFSEYN >KN539590.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539590.1:40144:40926:1 gene:KN539590.1_FG002 transcript:KN539590.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAFVHVQLEKIPAAYILKRYTMKAKSDVPFDRRDRLTTGPDGVQESYRTNMMMIEAFGVSRAACKSKVAFDRAMAVLKGLRNQVEEIPRDSIATVDTNTQNGVAGRVENTEISREPPQKSRTKGRTRDPDEEVQLGAKGKKMCTRECGWWHLRDGHYANTCPKNPANFDKVMKAANRVKGKRGRPRGSGRGRGRGTNAGCKVSTAVHRTTRRSTREGPSLRRCLDDKWAEDAAESAGYTYDDQTNADDDIGIYESDSA >KN539590.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539590.1:23542:24635:1 gene:KN539590.1_FG003 transcript:KN539590.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPPVTPRSSTGCAPASPPHQQCTPTRQAGDSHSRWTPPCLDDNDIATPTPNRVYSHGPNVPPRMVPTEGMAFPTYDDAYNFYQRYACHAGFDIKKSRTLKAFREVCCTREGKHASKVTDGDRQRRRPSKKMGCKAYVKLRRRRHALIGGV >AMDW01025024.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025024.1:40:291:1 gene:AMDW01025024.1_FG001 transcript:AMDW01025024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEDACAEICICDWLPNWRNENILLECLEEVTILYYRGEDDELDLLKLLVRGATDLRRIRIARYCSVADWEIEMLRADLRAYAEE >KN540941.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540941.1:3996:5567:1 gene:KN540941.1_FG001 transcript:KN540941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASPAHAVFFPYPVQGHVASALHLAKLLHARGGVRVTFVHSERNRRRVIRSHGAGALAGAPGFRFAAVPDGLPSDDDDDGPSDPRDLLFSVGACVPHLKKILDEAAASGAPATCVVSDVDHVLLAAREMGLPAVAFWTTSACGLMASLQCKELIDRGIIPLKVLLSILSLRADAEQLSNGYLDSTVVDWVPGMPVDMRLRDFFSFVRTTDPDDPVLAVVVSSMECLRTATSAVILNTFDALEGEVVAAMSRILPPIYTVGPLPQLTAASHVVASGADPPDTPALSAASLCPEDGGCLEWLGRKRPRSVLYVNFGSIVYLTSTQLVELAWGLADSGHDFLWVIRDDQAKVTGGDGPTGVLPAEFVEKTKGKGYLTSWCPQEAVLRHDAIGAFLTHCGWNSVLEGISNGVGDDIEREEVARMVREVMGEEIKGKEVRQRATEWKERAAMAVVPGGTSWVNLDRLVNEVFSPRNNV >KN540941.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540941.1:34277:38076:-1 gene:KN540941.1_FG002 transcript:KN540941.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding DAVHYTGTLLDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELISWESVKDICKDGGILKKVLAEGTKWENPRDRDEVFVKYEVRLEDGTVVAESDGVEFTVKDGTMLSIFEHLYYKVLKGHFCPAISKAVKTMKKNEKSLLTVKPQYGLGEQGRPAARDEAAIPPNATLHINLELVSWKAVTEIGNDKKILKKILHEGEGYERPSDCTLVRVKLIGKLEDGTIFVTRGHDGDEPLEFKTDEDQVVEGLDKAVLGMKKGEVALVTIPPEYAFGSDETRQDLSVVPPNSTVYYEVELVSFDKEKESWDMKENTEKIEAAAKKKDEGNAWFKMEKYARASKRYGKALNFIEYDSSFSEEEKQLSKPLKVLELDSMNVKAFYRRAQAHMYLVDFDLAELDIKKALEIDPDNRDVKMGYRRLKEKVKEQKRKETKLYGNMISKLSKLEDSETEGGTTQAPSKKHGLWPLTALLRRLFTRSDGSKESMLWLVLRLLIPVVLLVAVCVAFYMRSGPPEVDCINC >KN540941.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540941.1:28403:29812:1 gene:KN540941.1_FG003 transcript:KN540941.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDEVQDCVSQLISCKGYFGRGGCCGKDRLRALSSCCIESEALETVECLIKSTVNELSEPVDRDPGIFVLDEPAAATATTTTTLPLPRYCHVASPPPPVHAGVAGLGDEQQLEQLARVLSSLWYNEMASAAPLLANSTLLAAWPGSITVFAAPDVFLRASCPMCSRRHVLLEHIALGYFPYTELAAASTGKLPSASPGLCLNLASDHGPFAIHHVRLYVDGVEFAELERMANMTVFALDDQAIFVGGGHDYVSAVRFHVVPGHRLTHADLQRLHPGTMLPTLAGEGQNLVVTQGASGSGSGPRDVRINYIPIKDPDVVINSRIALHGVYVPFPRLHLANLAAAVALASSNQINATCGVFGDCASAAATSTTVPAAHRYGEGQ >KN540941.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540941.1:18046:18447:1 gene:KN540941.1_FG004 transcript:KN540941.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRATCNVEPQDSEQNKHPFTRIPKASAWRRMLTMSRPLPPTEEESAPGTAPASALQVTGVWEVTTATPSSAAHTPMPADATTSASATEGDGNHSDANHDVPTDSTANTGAGRDTMAAVAPSTVKSPSPPR >AMDW01026198.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026198.1:12:317:-1 gene:AMDW01026198.1_FG001 transcript:AMDW01026198.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALATGLFTHIHVRVFGGGDDASCTTRHRASWERWAAAYPGSLVYLGVVASPEQDANAYLPRKVLFSDVLSHIVEKPNYGGLMIWDRYYDKKTGYSAGKVL >AMDW01022905.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022905.1:45:260:1 gene:AMDW01022905.1_FG001 transcript:AMDW01022905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEKAAREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSDDQKLDWCNMLALGVEPAFIRRPNLWPTTPANF >AMDW01038567.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038567.1:78:482:1 gene:AMDW01038567.1_FG001 transcript:AMDW01038567.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SNTVCPNGVVRSNRQTNSKHDWLLNELRCIYQEQTASLPATSKDSSRFVSDPKLKVGAASSALWELLGKSKEKTSLPVVLDEPKVNLGISAPSADGILGGCDKVGVGGGSGRDIEVFGTGNVKAKPLPVLLSKT >AMDW01078306.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078306.1:264:324:1 gene:AMDW01078306.1_FG001 transcript:AMDW01078306.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLMLLLLLLLPAAAVSG >AMDW01044472.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01044472.1:281:508:-1 gene:AMDW01044472.1_FG001 transcript:AMDW01044472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EILSEDDGKLCELKEEHGEEICAMVTKALLELNEYNPSGRYPVPELWNFKENRKATLKEVVQYVLNQWKKNKRKR >KN543850.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543850.1:392:1366:-1 gene:KN543850.1_FG001 transcript:KN543850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTLLHLQVLALIIFLVCAAAVTPAALAQQQLLPGCPDKCGDISIPYPFGIGARCARDPYFELECNRTYSPPRLIVFTHRQHMLINLSLVDGEAIALINARRQCYNSTEGLIGDANNYVNKDITLVGSNAYRFSAARNRFVALGCPNMGYFVDTYGYYVSGCTSFCRPSQGNGASGASTGVCTGEGCCQSRIPTNTDYYELNIQTFKAGEGDPILRGGTTACRYVFLAEDKWIDTTYRDRPDFNRTDDFAVPVVLDWAIRNVGNCSAARRNTTDYACRSVNSGCVDSIDGPGYRCNCSQGYEGNPYLDGGCQGTKVNTPPMI >KN540084.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540084.1:10269:13617:-1 gene:KN540084.1_FG001 transcript:KN540084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLCLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGTMESSKANRKTAAEATNILTNAYQSSTYSEKRANEIVEMKGMGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLVIGICLGTIFYQVGYGYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >KN539341.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539341.1:46342:48501:-1 gene:KN539341.1_FG001 transcript:KN539341.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDSTSKSSSSSISSSTQESEEEVSITIGSLLAQAKNNSGHSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIAPRDVTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >KN539341.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539341.1:89298:92057:1 gene:KN539341.1_FG002 transcript:KN539341.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNLTEAKSYEYEFKVNGYSATKAGSDGGEFPSRRLTVGGYAWEIRYTPRCHAVGEFWVAFKLVFLGPAAAAPEDLAGGGSGGGGVVKVSPRCLLVDLRISGMSAPAAAVASGRVTASLRCKLIDQSNSKVNWRDARGNIHVCEEMSLPYVFITSTSGSLSPWLKLLSRHDFERPTNNRYGIIRDDTFTALCSITVLKDAQ >KN539341.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539341.1:17712:20214:-1 gene:KN539341.1_FG003 transcript:KN539341.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFERRGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSGSSNSNNPVSLQKLTSATVNNISKREFVNSEDCCIYASGDRNTASSDKNTYTILRCARSSMPSIEEIFRNWEQTRGRLLPWNSNVITTEQATRASRQTTNYSKPLKQLTDCDLTLSIGQLWEDAAGSDADGSSTISEEVAAPSRDEAFVSSADDHFAAAAAKKESNMLTTDLNLDLTISSSWLS >KN539341.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539341.1:52655:64983:1 gene:KN539341.1_FG004 transcript:KN539341.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEERKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSPAAISQPPPLGEQGCVMSMDTSPVIRNTNASAVVPSWDNSIAQPLSASRTQGTGAVATNNCSSSIESPSTTWPTSEAVEQENMLRPLRAMPDFAQVYSFLGSIFDPDTSGHLQTLKAMDPIDVETVLLLMRNLSMNLTSPNFAAHAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKPTNFGWKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRAKRVEMEKYGGESINSLSFASWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFISRVNLATGDAVPETRSVAKGILDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDLVVLLNTLKAIYSPYETFKARYGQMERALLFAEMAGIDIRGAIARGVGAQGIELSETVRRMEESIPQIIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRIVCGVDNTAHSDSSKKEAGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSISGFGSSLDKSTAANGDENAEVPITGRAALDIAAIRLTDLPDKSKKLFTSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGSEAGNEEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRISSSD >KN539341.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539341.1:72847:79982:1 gene:KN539341.1_FG005 transcript:KN539341.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQEIVYLKSNISNRSGDAIFFTKLMSASTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGGSRKGKKKSSSSKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHISTEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCRDSSISLNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLSQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECDTPVSSFNLLKKIMPSIDFDEL >KN539341.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539341.1:5644:13748:-1 gene:KN539341.1_FG006 transcript:KN539341.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDLCPLTTKNFLKLCKMKYYNGCLFHKIEKDFLAQTGDSTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKMGTIAMASAGENCNASQFYITLRDGVDYLNDKHTVFGMVAEGFDTITKINETYVDDKGRPFKDIRIRHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDNWVPLDETVAPEELEDTIRSKEAHTNAVILQSLGDIPDAEIKPQDNVLFVRELNKDEDLYTIFSRFGSVTSAEIIRDYKTGDSLCFAFIEFEKKEACESAFFEMDNCLIDDRRIRVDFSQSVSKQWRQFRQSKSNANKDGCFKCGALDLIARDCDQRAEQKNKGPNYILKDENTQRGGNKRRSYDLVFEDGENCNGQQDLGSADRRKIHKIDDRRSGLPPRGDRDRISRERTHTDENGKEGNRDRGNQKHEDYNRYCKPGERSPSRHDDRGYSKHESRSKYRDGDDDYRRQSGGSRYGRDKCDGERRYRDDGHGRSNRHTRDESDNRKRSPDTGSRHRR >KN539341.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539341.1:109758:110663:1 gene:KN539341.1_FG007 transcript:KN539341.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSDLTQAACAVHKFTVNGYSATKAMAKTGHVASKRLTVADYVWEIHYTPCHDAHWHYWVAFKLAFLGDGDDIDGGDVKASLSCRLVDRSNSRDSSEFEEKSKSHAFRSANESSPWVLLVKRRELEKSRFISGDSFAVRCTITVLSKNTINSAEPSPDLHLQLSELLRSGRFADVEFIVSGVSIAAHRCVLAARSPFLAAAVLKGGTRKEEDGSVRVEIKHDMEADVFRALLHFIHTDTLIELGWGEDDSDPLPSRSPRTMVMRLNEAAGRYGLKRLKRICENKLGLDDACSADCDVM >KN539341.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539341.1:115747:116844:1 gene:KN539341.1_FG008 transcript:KN539341.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTDVTRSNDIQLKIVGHSLTMAMDDGEFFSSRRYCVGGHDWEIRLRPKDPWVGRRDRPLTLKLVLRSAPRTGSGSVKAQLSCCLVDPTQKLRPSEMKTVSHKFHKPGDYSPRAVFMARDELQVSGYLTDDSYIVQCAITVLREQPEIAAAAAAEAGDSPNAVVAPSSELHAHLGALLESKTGADVTFVVSGESFAAHKAILASRSPVFMAELFGAMKVKASESVEVKDMEAPVFKAILHFVYTDTVPELDHRDGEETEAAATATATAQHLLAGADRYGLERLKLICASKLAERIDVDTVSTTLALAEQHGCSHLKAKCVEFIAAGTGENLDAVLATDGYKHLEASCPSVLTDIVKVARGRKN >KN539341.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539341.1:66524:69244:1 gene:KN539341.1_FG009 transcript:KN539341.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSMSLAAKTPLPFSTLPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLGAKRALAEEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYQIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRVPPATPAALPA >KN539341.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539341.1:32830:36038:1 gene:KN539341.1_FG010 transcript:KN539341.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAAIARAVVEFLDAVLVGFFLSFFSPHPRDRDRDDSPGSGRRDSLAHKDRLGELLSDDDELGLGGRGGSREDLADDCGSDEELRSEANFLKYCGTLSETPAELHNISYQINMESYIEHDKIPTNVLAVEATPAFESKSSGGFEYGEDHILTPQLNTEDTEHLPLVKSVYQSAIRGNSPFQNIKSINDGSSDSPFHTPLVLRDDMQTPRTVYTSHKGSSGKRVRTRKQFAYPIFRPTENKLQKMQLSDSAKMTQQISSDSVVKGESLNSSHFSLEVSKYQLDRQRLLDAGERSKSNSDENIEVCSLSRWLKSSPAGNTNQMYDENNLIEEGHAFMTSEDNVDVDNHTPRLFKAWDCHCIPNTTRKYGEDQHVSWHSTPFEERLIKVLSDEEETCPWKASLS >KN539341.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539341.1:433:1906:-1 gene:KN539341.1_FG011 transcript:KN539341.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVAPEELEDMIRSKEAHTNAVILESVGDIPDAEIRPPDNVLFVCKLNPDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKYCLYL >KN539341.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539341.1:83431:83877:1 gene:KN539341.1_FG012 transcript:KN539341.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSWNLTEATSAVHDFTVSGYSATKSPAVKTTSRPGDSPWVVTTYEWEIRYYPKVVFVTYGDYRVAFKLVFLGPAGARGVKASFSCRLMEPSSSWTARWRDASGNLHDCKAETVSRSFLQAKEGSDWVKLIKQYDLERSSNILASE >KN539341.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539341.1:112907:113618:1 gene:KN539341.1_FG013 transcript:KN539341.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTSPLCFGSKSDLEALGYLKDDSLTVECTITVLKELPEPEISLVDDQVRVPSSDLHQHLGELLQKETATDVTFVVSDHGDASADRYGLERLKLICEGKLSGGIDVDTAATTLALAEQHGCSLLKARCVEFIIRTPANLDAVMETEGYKHLETICPLVLSDLLRAARGRRN >KN539341.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539341.1:37220:43704:-1 gene:KN539341.1_FG014 transcript:KN539341.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase [Source:Projected from Arabidopsis thaliana (AT1G16540) UniProtKB/Swiss-Prot;Acc:Q9C5X8] MEVSKGEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGAGMAYLDHAGATLYSEAQMADVLKDLTSNVLCASFPEQLLPENSEFRLPHGNGSCMNCFDSKVLQQGACTATSLYPVMRMQISRYALSKGATVLAVDVEEGADLAKDNGSYSLYKISRRSNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESISDLNSRLNSGKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAERWLQVGQQVYPSTE >KN542342.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542342.1:1114:12783:1 gene:KN542342.1_FG001 transcript:KN542342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGRLQELALGQARALVGVNADIQKLKDKLMWLQAFLREADAKRRAVSDEVTKVWVLQTRDAVFDAEDALDHYYLQLDKSRYPMWIRPTAKFVMTFTTQAHIRHKLSRKIKAINSRLEDIIENKHKYKMEEANMNTNVTWKASTSISYSHRKLEYLHESDKTIHVEEREVLQKVLDTKPEDLQGKDQHYPVIISVFGKSGVGKTTLVRKIFKEMEKQKHFDIQAMECFAPYLTATNIIQQIVQQLTDDNQNCPRNEVSTMLKKKLENKKYLLVIDGEVSSTELNNVFEYLPIGDGGSRIVHITESKPEEPPSNYHHDSIELKTVDKNTAKNMFLLRMDVQNPNIENHEEDIFQITGGLPLAIALLSGLMKTKESPGEWQKVFEYLNSKQSKQLDDLLSICFDDLPHELKCCFLYLAAFPANVSIEARSLVSMWAAEGFLRSKVGKSMEEIGYYYLKELSARNLVNLVQMDDDSNVSNMFVTIQNKVHEFLQFEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAVLANPLPKLRSIFSQFEQEPKDDLETMAKSIQAYVCCSHQQSAASVRKKNIKSHIKELLHGSEFLRVINIQGIEIGDRLTRAIGKAVHLQYLGITSCSLEKIPSSIGNLTSLQTLDVRETKVRKLPNAFWMIKTLRHVFGFVLKLPKQTVNLKQLLTLDSIMLEDVEHSLTKTLGEMIHLECLVIWNLKEDNVEALLSALKKLESLRTLNLHGDNIPSSVFTTLSLRRLKFMVLDGKFHYSHEQLNGGLALPNLAMLSLVNTKVTQEFISKLAKLPSLATLALCHGSYEDKELVFSSNKFRCLKKLKVNAEELNKVEIKLSMLPKLKKLEIQSHDTHHYREHEVTPEEHGQKMLISWEKGNHILVK >AMDW01052214.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01052214.1:25:633:-1 gene:AMDW01052214.1_FG001 transcript:AMDW01052214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHTNIFANGVGGREQQFRLWFDPTADFHTYSIVWNPKHIIILVDGVPIRDYRNTAARGGPAFPTWQKMRAHGSLWNADDWATQGGRVKTDWSEAPFFAYYRGLRVTPCAPSPGVAWCGDEPPESPWFDQQETDAAALSKARREHLLYDYCEDTKRFKDTGLPVECTIN >KN539076.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539076.1:110062:121140:-1 gene:KN539076.1_FG001 transcript:KN539076.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPQLKWPPSTRAAAFREHGGAGIGGLRTVRLHCAVSTTALVEAESSDSLAPGARRLVVYDGTVAPPPLPGGFGEAILNQEAVVAAAAAEAVALARAAAEVAGEVARMSQTEQQNRPDFVTTHDTGDNYLAREILRAETGLGARYADAYLSEDAGFSSIFSDESEVDDDEQCVQGVAVRSVRQSERRARRVRAAMKAAKSFSGRNPVAASSSSRKKRLKGCRSPLGCFYKMTGRRLLTAKQEVEFSQGIQDLLKLEAIQKELAHYNGGEPTFSQWAEAAGTDENTLRKRLNYGISCKNTMVKSNVRLVISIAREFEGPGMEFSDLIQEGIQGLVRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECRRRLRRQLKRLPTNEEIASDTGMPLRRVEAAMSLPKYTVSLTSKVGCTDMTYQEITPDTSTETAEEMLHRWLMKEDVDRALDGLSPRERQVIRYRFGMDDGRLRTLHDIGRLMGVSRERIRQIELVAFRKLRGRKKVQSLQHYLQPVESWYKISELKFFDRAAGGGGAFTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPDNVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRNKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGIDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVRNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLPQRYTGSDQRQMCPAKTSSWMRQLTSSSRQGHHGDVLRLFFTGVRLQAGSRGTVDPWPGAVPTALRACAHLADVASGGLIHALVLTRPALASDAVAATALLDMYSKCGLVASARKVFDEMASRGDPVVWNALLACYARHGLPEHALALVVKMRGIGLCPDLVTWNIVVSGFALAGDDLMAGDLVGAMKDDGFQPNVVTWTSRVSGSVLNFQYGRARALFRAMMVAGGRVLPSSATISTILPAFANVADVKHGKEVHGYSVVAGVEQELTVSSALVDMYAKCGLVLEARRLFDKMPQRSTVTWNSMIFGLANSGHCQEAVGLFDRMLRDGAKPDHLTFTAVLTACSYGGMVEVGKILYRAMQVEYGVEPRLEHYACMVHLLGRAGRLDEAYGFIRAMPLEPDRFVWGALLGACRSHGNIELAELAASRLLAVEPDNAASCLLLSSALANAGKQDDVFKIKRLVKRRRLKKLDSCSWVETSL >KN539076.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539076.1:92079:93386:-1 gene:KN539076.1_FG002 transcript:KN539076.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLVLLCLCVFLASGGEGRSPAGTVLPLRVRVQEVELEAPAANRLRFRHNTGTRRFAYCIAPGEGPGVLLLGDDGGVAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGCALLPIPKSVLTPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFTSQARLLLAPLGEPGFVFQGAFDACFRGPEARVAAASGLLPEVGLVLRGAEVAVSGEKLLYMVPGERRGEAGAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATQRLGAGA >KN539076.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539076.1:25923:27566:-1 gene:KN539076.1_FG003 transcript:KN539076.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGSRAFDERCVRNERGNDAVYNTLKMMIKLLVHHDLKIMEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIEKLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDPVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNPPCPSPPCDGEASIFDIAGHFSRLGAGADELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >KN539076.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539076.1:149452:151309:-1 gene:KN539076.1_FG004 transcript:KN539076.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHGDTVPGPYGTLPGEMIRRDEVRDTRVGATVVVCVYGRMAKTLVFDLKCAGGGGESPGRNWDAMGLSGQPNPGDVLTATSLPSDEPGGAGVRGRYDALLPCMTACGMRHACPDLSGGNHNSFATARPKIGPGLQDAEQSRGRAIIRRSATQSPD >KN539076.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539076.1:8205:16284:-1 gene:KN539076.1_FG005 transcript:KN539076.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGWRQVQLERGSQVCKYSRNKTTFDVFRMESMVALGQQPHLLDIRHFGLVHHRLKLLVIAHRSLRVDHRRERPKLGVLNSSSTCTFIGCVLSSVVKGLFLAGKTVCHAFCNEQLCAVHRLVGYEGLEVINPDGGTEDAEAEALRGRWKQECYILVFMFSKAFVRQMLDMLDKIVLCLALVDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECKDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGISFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSLEVYPLGRTRVSLKKSGVKLELVPPLTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLLFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNQSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNRTDEIAPTPWGELEVYEYNGKYTEHRAAIDSSSVADDDTDVTSIEFNPWQYSSSSSQ >KN539076.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539076.1:142777:144492:1 gene:KN539076.1_FG006 transcript:KN539076.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGMIQNSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSRSQHSGKETRRKQNNQLSESSEDERDGRRMKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGMASKKYPAKIDDDSESEDGSPFRKDKRKAHGNNNIDSGSSGSEESGKHRSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADARKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >KN539076.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539076.1:133813:134580:1 gene:KN539076.1_FG007 transcript:KN539076.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLWSVAAHKVSKLMAKSVLAVLARISITFGAYVPGLPLLTVGRAWALRRTSGPLQQAASPAAAIRHSAPIFRQKDTAFSASESIKPPASTVGGSGMELRYANMIVCAEMLLRQLWPTIHSDEVDAGMDLSKRDELYKMLPVTIRTAVKAKLRESWRGQPVDEAAAAASMDAVDRMLRWLGPMAHDTVRWHDERSMERAQRVSMRPRVLMVQTLHFADRHKAEDAIVEVLIGLSCVCWYDDERRRPADWDDDD >KN539076.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539076.1:84899:87914:-1 gene:KN539076.1_FG008 transcript:KN539076.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/Swiss-Prot;Acc:Q9M2S6] MSFVFRGSRGDIEAGGFPGFAPERRAMRIHAGGRPVNSNLAFLVTVLMLFMVLNSHQMSPNFLVWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMNEEEINALPVFKYKVQAHQGSASFRKSDGPSQPSVSSTESGNEKKQDRFKADATDNTLEDELTCSVCLEQVVVGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHGEADASNMVVIFLVDLYPVQYELAYNPFYSVSLGKLAYYGCLG >KN539076.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539076.1:55171:57404:1 gene:KN539076.1_FG009 transcript:KN539076.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCLALLLLHGTLLLLLLLPQLPLAGAATRYYTFNVKLQNVTRLCNTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNIKDNITIHWHGVRQMRTGWSDGPAYVTQCPIQTGQSYVYNFTINGQRGTLFWHAHVSWLRSTLYGPIIILPKAGLPLPFTEPHKDVPIIFGEWFNADPEAIVAQALQTGGGPNVSDAYTINGLPGPLYNCSSKGADTFRLKVQPGKMYLLRLINAALNDELFFSVANHTLTVVDVDASYVKPFDTDVVLITPGQTTNVLLRAKPTAEAGAATHLMMARPYATGRPGTYDNTTVAAVLEYAPPGHIKSRPLLRPSLPALNDTAFAAGFAARLRSLACPDYPSNVPRRVDKPFFFAVGLGTTPCPGRNNQTCQGPTNTTKFTASINNVSFDMPTTALLQAHYTGQSAGVYTADFPAAPLEPFNYTGTPPNNTNVSNGTRVVVLPYNASVEVVLQDTSILGAESHPLHLHGFDFFVVGQGTGNYDPSKHPAEFNLLDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTTWGLKMAWVVNDGPLPEQKLMPPPSDLPMC >KN539076.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539076.1:105457:105816:-1 gene:KN539076.1_FG010 transcript:KN539076.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEAMMRVAADDDSVTATVVSVLLTVAFVGLSILTIGVIYLSVTDFLQKREREKFEREEAERLKEEARKKRAKARGRKRKF >KN539076.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539076.1:125461:128151:-1 gene:KN539076.1_FG011 transcript:KN539076.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPSMLPRRRLARRRRRWPDSAPNHHNRIGYMALYALCSCSWNNLLCFNFNLLCCFFGQIEVPANYMKGGKRIVPAARIPDGNASTSRGNAHQSGSNSNQNVALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLFSAMDLKTAGKDHNMPYLSTTYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQAYVEIPDALDEGFSISPFGNNAPATEVDKPLFNVKVTTSPKKSADQLSNDSPHNVVHLDIFKGTKGGDLSEDEGVVKDCHPFRKAMDEISLKPIEVRKKVQPGQSSSSDAEIEYRRARSLREANGVLSWRSTLARQLQ >KN539076.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539076.1:139197:141298:1 gene:KN539076.1_FG012 transcript:KN539076.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIAARVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMDGDAGNSRLGPDRADAEEEKELDSKHSSRTKSRICS >KN539076.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539076.1:141915:142313:-1 gene:KN539076.1_FG013 transcript:KN539076.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding METVAYVFLVKGTVAYVVRVKGTVAYVVRVKGTEAYVFLEMETNACLEMTCAEEIYDVQEMVILVHDLETGDGVEMVISDDQKEISCEYEIYDEVTEIDVLVLKVIFWNTVISASTSKGYFLENAFVEKKNA >KN539076.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539076.1:100782:101255:-1 gene:KN539076.1_FG014 transcript:KN539076.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTVAGSGAHCAVCQEAFEPGASAREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAAAPESEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGEGHVDGGEGRIRRVFRNLFGCFGRSSRPESSSSQSRSG >KN539076.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539076.1:65104:66183:1 gene:KN539076.1_FG015 transcript:KN539076.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDDKWKFSKKSRNNGSRRVAGGSGAGGDPFLKRSASSRDQDTLPKLREIQLNTRGNGMDRDVDDGEDRRRAKKKKRRRSCGGEGNALG >KN539076.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539076.1:106437:109351:1 gene:KN539076.1_FG016 transcript:KN539076.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLSWSGLLKWSLSYADGTRPSRAIGAGWGVWVVVRGSEEERRWLAEAVERHMMVDVVSRMREIALLMSTPPSVLEAHGITPDDIEGLLAELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFDPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLRDALTSESARFQRKALNLTNYLLSESHSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLGSRSLLADHDRLRRLLQARIERIRMMAPVDLDAAREERQLVDSLWITCYHEPSTLHVEGLLVLPGEECFEQPPDVAGRFFEPLRRSSARRAPSNERSDPGDGTGGGMMLLLGPSPGSRSNSGSN >KN539076.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539076.1:77496:80998:-1 gene:KN539076.1_FG017 transcript:KN539076.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCHYGSKATGQVWQNHMQLLKPKELVFVSYLTNLENATNLTTQDHVCDIIATVCSSFSNSEKFYHIESPHKKRKSQYELSDTRVSSLKYKFRNRLAWQEDESSRTESLGCNSIFVNRNYDMDMANRVEELESCDNTQSLIGGCIEVDSINGIESHKMLKVQAFSSSSSSNNISSDAFTSSRSNGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEEAYDVLGQYDVVMKNDLASGDVDGSAAGIIDEKLYSNGIEDLLILPRGQNSIHVVYANIVCHLLLQKKTKG >AMDW01039909.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039909.1:169:1149:1 gene:AMDW01039909.1_FG001 transcript:AMDW01039909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHVASITLFAVSHKRGRYNATDVKITYILLCFTAVLDISAFFFRGLIHLVMFVAKVPSLCEWIAQYNLIDAALRRLQPTGWLIKCATRIGCYEGYFDTKHDKLYSKVAGYLVFDLLRSDQIEGLDLGSYRNLDSEMNNWILSHDLRRRACGEGTEVRSTLLGSFDRSVLFWHIATDLCFTCKPPTFPAHPREVITEAISNYMAHLLNFRPDMLLTGSRQHLFAEAMQQVEAILKLRAGRHFKRPSIQDDKAMVDTIFMRSDSGPGPNEYPLVHEACRLTQELLLLDDETRYELMYHVWVGMLFYSAAMCRGYLHAKSLGEGGEFLSF >KN542476.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542476.1:4480:5401:-1 gene:KN542476.1_FG001 transcript:KN542476.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRMSFDANFSLKIQRGIWVQDLKGVKVARQIVVRERRIEVRIKCFECGREGHHQATCPNPHLCYSCHNTGHISSHCPLLLGKKGIKLCGFGIPGQGFYSLQVEISDIELARAPVKGILTVILGEASVGKVVAELKHLFVGLNWEWKVKQLNAKEFLINFPSDEVRSKISTYKSFDFETSLIKASVVETGMTKEAVDELVAVWVKIYGIPKLARIEDSIKVIVELVGEFEMLDMTSLRRDGLCEGEACLWEPKGHIPYDNRHDPPEDGDDKDDKDDEGKEMI >KN542476.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542476.1:13115:15287:1 gene:KN542476.1_FG002 transcript:KN542476.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGDHSPGPADLSPDCFDPEAPDDPATCFAYDEKDLESEEAVWALYQRWCSFHDIERDRDDMVRRFVYFKDRAHKIIEFNKSGKSYTWGLNIFGDMTPQEQSELERPPLHRRI >AMDW01034004.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034004.1:39:476:-1 gene:AMDW01034004.1_FG001 transcript:AMDW01034004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAESSTSIWPNESIAVQNIASKSSLGCLSRMLAESIHADVTINTTDGVLKAHKAILASCSPVFESMFLHDLKEKESSTININDMCLESCSALIGFIYGTIKLDQFWKHRLSLLAAANKYSISDIKDCCEESLLEDINSSNVLERLH >AMDW01038132.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038132.1:109:399:1 gene:AMDW01038132.1_FG001 transcript:AMDW01038132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LQLTSTLHICKGRMTSGMEPVDDSEWEEVSSINNYALFMGHMSMAVKGLGYLLVLWTTVILLGGFVSILGKKDFWCLTIITLVQTAGLVSSQCSIS >AMDW01040762.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040762.1:164:2126:-1 gene:AMDW01040762.1_FG001 transcript:AMDW01040762.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAFFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALVVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIAIRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQ >AMDW01014292.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014292.1:19:114:-1 gene:AMDW01014292.1_FG001 transcript:AMDW01014292.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVSVPGSFEIPVAAQKLGKSGKFDAILCIGAV >KN539621.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539621.1:50378:51595:-1 gene:KN539621.1_FG001 transcript:KN539621.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVMVHYMRVMNLMYIRYLTGPLLYLSAQFRGVQLPARNFSLIRGEIEKNGFDVNKFVKNATEGCFLQQHYGKIVEEFEVKFEVDSKLVDHLNGLVNFAVSSQAKIVGF >KN539621.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539621.1:79092:81821:-1 gene:KN539621.1_FG002 transcript:KN539621.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGLVDRPLNGDPLYWGKAAEGLAGSHLDEVKRMVVEYRAPLVKIDGAMLSVAKVAAVAGEAARVQVVLDESARPRLEASREWVFDSTMNGTDTYGVTTGFGGAAHRRTKEFAALQKELIRYLNAGVFGASRSDGYTLPTEVTRASMLVRINTLTQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSAAVAPDGSKVDAAEAFRIAGIEHGFFALQPKEGLAIVNGTAVGSGLAAIVLFEANVLAVLAEVLSAVYCEVMAGNPEYTDHLIHALKHHPGQIEAAAIMEHILEGSSYMKLAKEQGELDRLTKLKQDRYAIRTAPQWLGPQVEVIRFATKSIEREINSVNDNPVIDVARRKALHGGNFQGTPIGVSMDNTRLAIAAIGRLMFSQFSELVSSFYSNGLPSNLSGGRNPSLDYGFNGAEVAMASYCSELQFLANPVTNHVQTAEQHNQSVNSLGLISSRMTAEAVTILKLMSSTFLIALCQAVDLRQLEESIKAAVKKCVTNVAKKSLAMDDDDLLALLGAAIDRVAVFTYAEDPCRSSLPLMQKLRAVLMDHALANGDNQLSKVAEFEQQLRAVLPDEVEAARAAVESGTAPNRITQCRSYPLYRFVRKELGAEYLTGEKTRSPGEEVDKVVIAMNQHKHINPLLECLSEWKGAPLPLN >KN539621.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539621.1:71797:72354:-1 gene:KN539621.1_FG003 transcript:KN539621.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDFKNMVPQHILNLINHHLTETLLLLRGRGGLNTNHCNIFIAPGRFLLKTEVSALKPVNPKFLKLQGWREERNWIGVYNRHCRTMRRKNLNKVVEKLRLLQGRRRVTLRIVTNNFFPPFRANRNRLGSFGPPNLGLFSVPAGSGPAVQFKARGTLNPINTLRFKMPLLQATFTEERSFRTAQN >AMDW01036426.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036426.1:130:548:1 gene:AMDW01036426.1_FG001 transcript:AMDW01036426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPITAIREIKILKKLHHQNVIQLKEIVTSPVEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIK >KN538796.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538796.1:92005:102076:-1 gene:KN538796.1_FG017 transcript:KN538796.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MKELADAAEACLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSDEDDAKQPNGKLENGYLKMNGNVEGEQKGNKVLENGAAAPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYVPEPKSEKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQAHIIGEWGLAGWDMGGWIEEKVIIRWLCTHEEAHNLRARRIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKAGDIDHLAATFLFCHNISHGINLRKSPVLQYLYYLGQAHWIGKNYYKRGPTGNDIHKTNVPHIRVQFRDLIWRDEMRLVYLNNVILPDEVDQ >KN538796.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538796.1:66752:68742:-1 gene:KN538796.1_FG018 transcript:KN538796.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLRFALACFIERLVVEGDDLVLVKLIRGEETETRIPAAMQEEILNLLRCFSEVDVRHIYREGNSVAHTLCRQAYVCPGIWSDRGGVMPAAVWEKCHSGARLSVRRLRRSQAAVVHTERLCADYGGVGFAIALLRGKAKGSAEGSAAEAAQRDLCLQRSRAGCRPDSTRCGKEVAHTDTSGAQQDGHWISTRADTCSSILVLPSMVPLFLHRDEVSFFAVIAGDEQGDAPVEVTHGDEQGEGGIANSSGSIILEHEGATDQDEGRAIV >KN538796.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538796.1:36826:37152:1 gene:KN538796.1_FG019 transcript:KN538796.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSSGRADLAPVGLGRADPPPSGLGSGVSITGSPQELRFLYQPATTFLVESGLPSSPTSALPPVGGSPNGESVGDELHRGGFGASKSHRRASSFTLSQRATGISRC >KN538796.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538796.1:169205:175054:1 gene:KN538796.1_FG020 transcript:KN538796.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRTTTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSRAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMAEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSIGKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENAPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPGSANSSPFWRQVEPFFSYLTTEDIAYLSQQIHLSDDSTASRSIEGDESRKYKGSLEYISQPSTPAGSNKDDHSALQNGYTLNEIDNDVGIAWETSCIEPILDQLVQGIGARGGASVGQRLMQALIDEDKVDNITNNIYRSETYPFDTHEIHFEEGGWKSHSQGYKLESLMNFEAAGKGSNGLMLDSDWKYHDELSHKGNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESQHREFSRRAMERLLLIAYEKYM >KN538796.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538796.1:14336:16523:-1 gene:KN538796.1_FG021 transcript:KN538796.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGERLARFLRWRVQVMERGVRALHLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEVSNFNLLGSPLPNPNAIKDLQHYQHSAALPFLSVSVSLSVYSNGSGAGALNGVHVSSLAMWIELPKPMSMKETVEKFFFYDEIMPMVLECRQQQQCSFCSFAFVYGSRHGASFHALSPLTLTA >KN538796.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538796.1:124916:130098:1 gene:KN538796.1_FG023 transcript:KN538796.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLLAASSSAASAFRPPLLGLRSPPPSSLRLNRRRHFQVVRAAETDKETKVNAPEKAPAGGSSFNQLLGIKGAKQENDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENERLRSFDAMPNDVARCNCLNNHCVMQNVSHVFTDGNDFIQVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLFSSGKPYYALALLGLTIPQVVFQASAQPFFVLGLLVTALATSH >KN538796.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538796.1:61799:62506:-1 gene:KN538796.1_FG024 transcript:KN538796.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLYCTPPSLLLPSPSFFLPPPPAPRCSTALSPSSPPFHPTRLRIRWAPPPVGWCKLNFDGSVFNDGSRRASIGGVIRGCDGGVVLAFAETTEHWTVGVVEARALIKGLKLALACFVERIVVEGDDLVLVQLLRGEDPGGHARGDPLPAAPLHRVRGAAHISRGELGGPHTVPAGVPGPWIVDGESADAGRRPGEDRRGLSRGAARAPVQEEEVTASMIGISRTWTRSMRRQSE >KN538796.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538796.1:43118:45394:1 gene:KN538796.1_FG025 transcript:KN538796.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MGANDHGDWRQFEHYEEYRVTAYLLMSAVSAAIPITNRMREGADNVFTDSSAASISMAFFAFLCLALSALVSGFKLAKQTYI >KN538796.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538796.1:80269:80549:-1 gene:KN538796.1_FG026 transcript:KN538796.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATYDAFNREKLSPHAGLSRFAIRRFFEWAQLRGHAAAYRFTRFLYATSCVAVPVMATTRTVLEIRC >KN538796.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538796.1:117907:119328:-1 gene:KN538796.1_FG027 transcript:KN538796.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPTSRKRVAGTQINKDNPEPDDDSTEQEMGTFKRASEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPSDTSAQATIPVSEPQPSDVITANAKDSSSEKADEGSNGSGKDALPVTDKSAGSSEVAETEKDGSALKGSDEKAKSSDSTEPPSQPVEITDEAKDLGGGSVVAGEAKEDNSKASDIEDKTAKEGDAEEEDGANEAGAEDKISKGDDEKKDGDESETKDGASEEQKDADNKGQSSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGLKTDGSSFPSFSIGASNNGSSSPALATSAEAPKKFAMPEGPVETGEENEKAIFTADSALYEYLDGGWKERGKGELKLNIPVSGGERSRLVMRTKGNYRLVLNASLYEDMSLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTSIREEFKAAVEMHKAKKASGTLKTPENSPKASDD >KN538796.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538796.1:77768:78427:-1 gene:KN538796.1_FG030 transcript:KN538796.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLYCTPPSLLLPSPSFFLPPPPAPRCSTALSPSSPPFHPTRLRIRWAPPPVGWCKLNFDGSVFNDGSRRASIGGVIRGCDGGVVLAFAETTEHWTVGVVEARALIKGLKLALACFVERIVVEGDDLVLVQLLRGEETQTRIPAAMHEEILSLLRRFTEFEVRHIYREGNSVAHTLCRQAYQGPGLWTERVPMPGAVREKIDEDCRGVLHERLCKKNK >KN538796.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538796.1:53405:54109:1 gene:KN538796.1_FG031 transcript:KN538796.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARGGGKLNPWAEPFVPAGWSATYWRCGGVAAVEPAVAEVDDFSPEWWRLVGSSPAFRDRWLRDYSALGLLDDDNDNDVGDDLEGFLLPDDLFSSTPDLGEAAEEKEGKGLGGGGGKKVKGGSAEVVAWGIDKWWRSHSSPPEVARIM >KN538796.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538796.1:87723:88073:-1 gene:KN538796.1_FG032 transcript:KN538796.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLSLALSCGVERLVVEGDDLVLVELLRGEKPHTRIPAAMHEEILSLLRRFTEVEVRHIYREGNSVAHTLCRQAYVCPGIWSEGGGGMPAAVWDRVEDDRRGVVHERLRKKKTK >KN538796.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538796.1:57801:58447:-1 gene:KN538796.1_FG033 transcript:KN538796.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAFLGPDSPIQETSHTAYVSPTPQDPRPHSAGVAVAAMGVILGKEVHGFSVRVVDAFPLPRCAGGGAFIQAINLRYIEGMLAMLNKTNRIFLVKSWNHASG >AMDW01029980.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029980.1:75:410:1 gene:AMDW01029980.1_FG001 transcript:AMDW01029980.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLLLLIASFLELPALASSRCSPTSSCGNLTISYPFWLEESGRAQCGSPPFQLKCNDSRAYLTRTIYEAYRVVQIFTETNSFHVVDENLPLATGCPAPPFNISLGIWQA >AMDW01037939.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037939.1:10:585:-1 gene:AMDW01037939.1_FG001 transcript:AMDW01037939.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGWRVAFHIVALISVVVGALVRLFAVDPHFCSNIQDDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATN >KN539338.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539338.1:9213:14098:1 gene:KN539338.1_FG001 transcript:KN539338.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELLAFPGVAVPLISQYLCIFILVPSLAHQPRKESMDVLQLVEWYLLGEIVLLPASIATASLPGAQMERWLLEAAMVGTATSMKEMAAQDPNLLLGTTPQGNTCLNISSIHGHEGFCEDVLTLNNSLLTVANMDGETPLLAAVTNGHMSLASILLECCCTLGLTEAILQQDRNGCNALHHAIHCGHKDLALELIEKEPALSKAVKKYSESPMFIAVMRDFTDVSEKLLEIPGSSHVGTYGHNALHATVRNGNAGYECYDDAGSLSPLLVAAAYRGHVDVAQELLNHCPDAPFCDRNGWTCLHEAVKEGCAATTTAVAHLSVVGYVAGDHPTLYSLSPFSSFFAALDLEAFRFSSQPALISIVPRASRAEQRRFLSSSVVASTTMAGFKASLKGSGQIRSKKVLKRIEEYGEELPVSNELDGNVVYKTFGGLNHGRFAMGNGSFKKGEVLAAVKHKKSRVSTTSYNAVVRENAQLRREVIENRRMLMMSYESDVEEASDGSEEHETSYGSKEHEIEHELAAELGPDNY >KN539338.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539338.1:58228:58671:-1 gene:KN539338.1_FG002 transcript:KN539338.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLFVCNPATRRWERLPDLAGENARKICAYIAFDPAMSPHYEVFVIGAVPEKPKIRRAEDDEDELAFSLFEDQPSEKDDPCCLMEWPPSPWTSKVFSSRTGQWEERAMVREGEPAGMVEDMRLDPFEPTCLAPHRRYAVCWQRAL >KN539338.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539338.1:18546:20404:1 gene:KN539338.1_FG003 transcript:KN539338.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERYSISCFPDKSYVEEFFRQTILKTKNEVSMLMIKADPEAATTLHRQFTKKRLTDELARDVKSLTQTYTSNTSLVAILMATITFAAAFTLPGGYSNDSGSEGLPVMARKLAFQAFLISDTLAMCSSLAVAFVCILARWEDLEFLLYYRSFTKKLMWFAYMATTIAFATGLYTVLAPRMLWLAVGISFFSVLLPILTKLLGQWPVVKLRFRLGKTFKSDLLDMV >KN539338.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539338.1:15830:16160:-1 gene:KN539338.1_FG004 transcript:KN539338.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRYMLYFVEDGHNHSNAKKLGLDTSEMLPHVFTALTDELCEFILTRVIDLTDYYNMNKQPPQVQSSTPPPQNQNTKKSAPKKRKTK >KN539338.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539338.1:111856:114664:-1 gene:KN539338.1_FG005 transcript:KN539338.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQQGVRRMAISLLQLLELKEKVRITAMEHEALREVLEKAKYELVELEKKAEKSVALVAPGPRPFPFA >AMDW01032678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032678.1:129:449:1 gene:AMDW01032678.1_FG001 transcript:AMDW01032678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KQIGSLQTARGITDIAHINWRKAGLRNRHNFYRLTYQKQEAAFGEALAQTNRPLSMEKQPEVHGPEKAPEK >AMDW01039834.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039834.1:100:844:-1 gene:AMDW01039834.1_FG001 transcript:AMDW01039834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTGSLEAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPANVGVKVLDSVNITLGAEDELKNAVGLVRPVSVAFQVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMC >KN538795.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538795.1:104895:106520:1 gene:KN538795.1_FG029 transcript:KN538795.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDQQLQVLNALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPASPTPGSLPPNIAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVTLAMSAGFQAAFPAPAYEVNAAASTVPQADYVWRIILMLGALPAILTYYWRMKMPETARYTALVAKDAKQASSDMAKVLQVEIEVEEEKLQNITRGRDYGLFSARFAKRHGAHLLGTAATWFLVDTLIALCGTVPGYWFTVFLIDIIGRFKIQLLGFAGMTAFMLGLAIPYHHWTMPGNQVIFVVLYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQPQDKAHVDAGYKPGIGVRNALFVLAGCNLVGLLMTWMLVPESKGKSLEEMSGEADDEEASANGGGTAVNSSGVEMV >KN538795.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538795.1:49631:52708:-1 gene:KN538795.1_FG031 transcript:KN538795.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFSEFGADGGPAAKVLRPKYNVFTKNISAHLGVAVPHVELKHIVAATIGLKGLGGLLFILSSSFGAYLLLIYLAFITPVVYDFYNYDMEKSEFVQLFMKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKSKTN >KN538795.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538795.1:9831:10523:-1 gene:KN538795.1_FG032 transcript:KN538795.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRALACSFACLEEPQPPPDGERRAQRSNAEEEAGESRAGVAESRNGEHLADASGEGRHLSGRRNCSDTGPEMTMSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKDTRRGRNGETGDMDCEIQPGRNGHGSVRASQGSFRSSQAVANGDVHNFRTDWLRNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSARTANRGRRRNSRLFSRGLFGFI >KN538795.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538795.1:6658:7584:-1 gene:KN538795.1_FG034 transcript:KN538795.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAWRRALVLLAGVLLCAAVETAVAAKRVSIPDDLRDVVDDEEDDDWRHWGAAAPPRDDGPPPDLSGMDPAALQAELLRRHAGPSFGFVKLRLGVRRSQEEVMGIATRWTNVLRTGSVAAKFVAVDFGTLMFTMDRGQDILEVKEFILSQPEAYEFKIGNQAFRRPGDPPLDEVVEMLQKQKSTMLSQDPGSQQYKSKVEL >KN538795.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538795.1:112082:114117:1 gene:KN538795.1_FG037 transcript:KN538795.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTGAERCGDAASHLHCRSEEAVTGGDAKRLRNGSGDDDHHQYMDDDDDYAHLDALDSTVAAAIRARFIVVEFDFDAMEIAVGGAEILPMRNTDGPIWPCSSWPMNLLQIFSVKVVEVMGDLQWPLDVYGVVADSSSLVLTGPSRAVAVLDPVVFEVDLKVKGRVPASQDKVLSYHAFVYAYLTNNGFARREVESTEHSTLEFTFAHLAYAVEATIIIHVVQGSTDFRARFRARTAGIDEDVVLLDSGDRKVVVADDGLVVLQRRVVVVEEKGKLNLRVEASEKGSDTVVGKQMSFSASPALRSEGRFVLGFCTMSVIVAWSVLP >KN538795.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538795.1:34109:34739:1 gene:KN538795.1_FG038 transcript:KN538795.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVGGVPGSPCGACKFLRRKCAAECVFAPYFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPGDRSEVAATVTYEAQARLRDPVYGCVAHIFALQQQVQVAQAKTQVAQTLAAAGMLTAGNPLLQHQQQQAWQMEHESTMTSTQSSGCYSAPRSDGSTSLQDMYCFGEQEEGSYSR >KN538795.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538795.1:19560:21328:1 gene:KN538795.1_FG039 transcript:KN538795.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMKGLYNPMHRNSSGKEIPGSARFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLIVVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYIPNGNLREHLDCVNGKILEFSLRLDIAIDVAHAVTYLHTYSDHPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELITGRRPIEPRRAIVERVTAKWAMEKFVEGNAIQTLDPNLEATDAINLAVEKTYELALQCLATTKRNRPSMRRCAEILWSIRKDFRELDIPTASLN >KN538795.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538795.1:67286:69507:1 gene:KN538795.1_FG040 transcript:KN538795.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWDAASDLMRALELKAVFLEKGSLELGNAYKVVAEAYRGVLACDKALPLCLEALEIARNHFEGDSQEVAKVRQLLATIYAGSDRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIARGILDTKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQPESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >KN538795.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538795.1:108344:109907:-1 gene:KN538795.1_FG041 transcript:KN538795.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLSTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDDSKDTPGALPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGSSAKGVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALAVSAGFRHAYPAPSYSDNHAASLVPQADYSAARAETVAVGGESWGLFSRQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRIARAQALIALCGTIPGYWFTVAFIEIMGRFWIQIMGFAMMTAFMLGLAIPYHHWTTRGHHTGFIVMYGFTFFFANFGPNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDQHKPEPGYPRGIGIKNALFVLAGTNFLGTIMTLLVPESKGMSLEVISQEVADGDDEEAAYPK >KN538795.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538795.1:123823:125409:-1 gene:KN538795.1_FG043 transcript:KN538795.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQLNVLVKLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRLYYTDITKPGPGTLPPNVSSAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSIASGLSFGHTPKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRHAYPAPSYAQNPAASLAPQSDYAWRIILMFGTIPAALTYYWRMKMPETARYTALVARNAKQAAADMSKVLNAEIEEQPEVVESQVVAGETWGLFSRQFMQRHGMHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIDIVGRFWIQIMGFAMMTVFMLALGAPYEHWTKPAHHTGFVVLYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQDQHNPDAGYSRGIGIRNALFVLAGTNFLGMLMTLLVPESKGLSLEEMSKDNVVDETAQETIAQA >KN538795.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538795.1:59771:62057:-1 gene:KN538795.1_FG045 transcript:KN538795.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVLPPMGDLWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPFIYVTYRHLVVAVFLAPLAYYQEKKLRPRMTLMLFLEIFVLSLLGVSLTLNMYFASLMYTSPTFVTSVVNTVASITFVIAIVVRMEIVDVRSIRGLAKIAGTVVSFAGVTTMTLYKGTAISSPWKAPISIHGGGGGGVHESWLKGSFLAVASCICWSIWYILQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFVQHKPEDWRIGFGLKFWCIIYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFMFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYSANKEQESDLDCEKQARITDFSAAQNDQEEPRRMKK >KN538795.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538795.1:24509:27136:-1 gene:KN538795.1_FG046 transcript:KN538795.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVAPDAIKQKGHIAGRAPEPELRSDPRVGLAERTPVPEDQGRDIDDDPPAKRSLTFTDAAARLEGSGNVGAGVATEPAARVGAPVANGAVSGQEDRAATCLADESAAELIATGVTSLVTGSVTAEPEVLKGASFANANVTEPRVSERVPLVREAATEPEAEVSVPEVTEGALPDGEDAARLEITRGLSQESADKMEVTKGISLVTEASADSELAQRVPTEPGVELSLPEVTERVPVVTEDFTELGDTFSALHITGFASLDNEGSVEQEVTGSGSLVNEATEMEVKEGTCIFTRAAAELGDTGRVFACSGDGDIALDESRPPDCVSEVANVNIGSAGEAVASKVHPFRDNAGSVGGSISSTGNGHVSSKSPTADEAAALGGCTDTPSVSCLSDIVARSIGKSGRTDIICYVRRRGKRKLEMVEVKEENVEMDDSAICDQYDDKVASERTGPCESVTSTAVSVEIKIADIKRELEDNSTASKGKKKRAKRFQCEIDYCRMAFKNRAELSVHKKNTCTVKSCGRHFRSHKYLRRHQSIHNDDMPYKCPWEGCSMAFKWSWDRGEHFQVHAGKRPYKCTTPGCSKIYKFVSDFTRHKRRCKPQR >KN538795.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538795.1:102285:102710:1 gene:KN538795.1_FG047 transcript:KN538795.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSKEGKSSSSYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPGDHHHGAASRMTSTGSSSSSFTTPPPANSSAAAGRGGSDRTTDKVELECLDDKVLEDLLAEANYRDKNY >KN538795.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538795.1:28742:29338:-1 gene:KN538795.1_FG049 transcript:KN538795.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSSPGQQEAVALPTAAPAAARPYYGCVFCKRGFTTAQALGGHMNIHRRHRHRAMPSRRPTATGTTSTVSRDDVDCYNQHRYLEYSPPPPTPAPPPVTSPPMSSSFAAASYAGGTATVAGVDGEAMRAAGSSARHIRELSLLGGADSSTDRDHDLHLRLGRHGRGGDGSPRTPEGSPERKPDLDLELRLGRCPRH >AMDW01019412.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019412.1:157:252:-1 gene:AMDW01019412.1_FG001 transcript:AMDW01019412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMPRMRGYGVAEGGKLVAGNLTIGMYETMW >AMDW01030531.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030531.1:8:427:-1 gene:AMDW01030531.1_FG001 transcript:AMDW01030531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PIEVSWKIKKWLNVGTERRLRKAIADVHAFAMDIVRARRQSASVNDRDDVLSRFVASDEHSDEVLRDIVLSFLIAGRETTASGLSWFFWLLSSRPDVAARIADEVRAVREATGTRPGEPFRFDALREMHYLHAALTESMR >KN539763.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539763.1:32125:38141:-1 gene:KN539763.1_FG001 transcript:KN539763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLSPPTAAAAGPAPAPVAVSLPPPSRLRASHLLFAFPRLRKYGRRDREPVATSLGELEEEDEDDEEEEDEEVEVEVDEDEFLKNRPKPVGFGAGKTYSTDIEEQLLREMGLGGGRRSSGSGSGPTPAKNRAAANSAKATGEDLNDGGVCVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAATRFVELYSQKAVSFGKVQKPIRCCVVDAQSSVDSQNQPSSGQAIRQPGLKAKNLVAAS >KN539763.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539763.1:16435:17746:-1 gene:KN539763.1_FG002 transcript:KN539763.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLGGSWSPGATHGHSSTATLRHQDSSSAPSWEDRQGPPVPYRKWLPDGEIVKCRCNVEVVQLTSWTPMNPGRGFIRCKNYKMPGGCYFFKWMEPEDSDFKKRMMLDLKAEIYRLRKENELSLHGVTADEDLVANEDSDVVAEAFHRNCRLEDVANGRADPSEGWSPYDCDCD >KN539763.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539763.1:38444:39352:1 gene:KN539763.1_FG003 transcript:KN539763.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLLRLHPCPLLFPPPPPHPHLRRQLAVYSIPKSSFRSAAAAARARNPPRLAAVGGAERRRVGDDYDEEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTAVATVLLLFTLSGPVKASTYLASTSILHFDSCVSGRRFHLSLQSFKNLSNCIFILKLTAIDVTINMETFLPYKVKVEISILSLRQR >KN539763.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539763.1:59675:63806:-1 gene:KN539763.1_FG004 transcript:KN539763.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S protease regulatory subunit 6B homolog [Source:Projected from Arabidopsis thaliana (AT5G58290) UniProtKB/Swiss-Prot;Acc:Q9SEI4] MEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADPMSEDCSAEDTFDAIVTCGTSVLLHMHIYSLLSFCSRNTIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >KN539763.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539763.1:5660:8359:1 gene:KN539763.1_FG005 transcript:KN539763.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQHITVVQIIVTDPKTPRLQYYTKSVLDKFVDLLEDNTRIRTVYAHKGIPEVQPDQIEYTVVYKDNVKKRSRSGKSGIGKTVEEEDCKKKGKSEKNKRPFQEEDDSFVLVPCMNKFRDDCLEEITSDKLIEANKIIEKAGLSWVRRVQSVSNTEMERCASELKKLLKFDNNLMAKDNNAIADSPVKSDGNTAKEISNNDNNNDAIADSPVKSDGNTDDKANEISSNDNNAIADNPVKSDGLLISSNDDKPATADSSLEKHTALMEENSPLNSTSGLENSGISKEPEEESEEARTVEQSAPMRHRKKRPVTVSRYTKVFKKRSTLYDLICSEDSKYKQDQIIKIGEISITGADMADFLSGGAVLNSDILRAFILCLKQLWNRTAINLRKTLSRRCPNHFGLIIIWDVTCAEYEGSIRTSDKVGLLAMQFLENFSSMPFMGKCTSL >KN539763.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539763.1:51560:53241:-1 gene:KN539763.1_FG006 transcript:KN539763.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHADRDGARRRCREYLLALEEERRKIQVFQRELPLCFDLVTQTIEGMRSQMDGAGSEETVSDQGPPPVLEEFIPLKPSLSLSSSEEESTHADAAKSGKKEEAETSDRHSSPPPPPPVEAKKVTPDWLQSVQLWSQEESQQPSSPSPTPTKDLPCKPVALNARKVGGAFQPFEKEKRAELPASSTTAAASSTVVGDSGDKPTDDDTEKHMETDKDIDKDSKDKDKEGQSQPHRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQFVVVGSIWVPPPEYAAAAAAQQHVQLAAAGNNASGSANPVYAPVAMLPAGLQPHSHRQQQQQGQRHSGSEGRRSGDAGDGSSSSPAVSSSSQTTSA >KN539763.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539763.1:4267:5108:-1 gene:KN539763.1_FG007 transcript:KN539763.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFLACWCYPLTPLVAQYSANLAFQKKSGYVRLDIDKVADKFRLYEQKWKKLGLKDKASSELFGMLFSGPSTGSKSSGSMGPMGVTSFSGPLAISSGPMGVTSSGPIHVRNSGSMDVSTMPIKKRMLREEDTEIKCKTSALEYMLQHMEQKYPSPWDDDRWEEYHAYARALQDQAVLLGLLH >KN539763.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539763.1:20062:25633:1 gene:KN539763.1_FG008 transcript:KN539763.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSIRLRSVPLFSLALLETRWQPSRRARKSRLESGGQTKKPKKRKVFSPFVAGGDSGGSGLGLGGGRGGPKANIHHWTDKEELALFEEAETLLQTQMYSRQTKRNTELAARLNTRFPEAAVKFTPGTVKNKLSTFKQRWSGGRKLATCEGTLITCSATVLAILFTETITASESTNSSAADSGAAVSTPVGPMSGKSYVNYGIIGASSIKKCIDLVESIEDLLDQEKADGARLMKSEVNREIFLNFTSPRVRLLWIKGEIAKQAKKRSRLHEDDSVVIVPSMKRLLGSSLKEIPIDMVDRANNIIEKFRSSWVESVQRITQTEVMNCNRQLEELFGLGSKQTEESELDRFYEEHVLFKINLTGKDKSDIVLNIGSFLVPDYMFVEVFQPGGQMSNWVAQGLANVWNEKWSGVHVMLDVFPVCYFLKTDNNTGLIRNLQRKIVLNKTKQVSEVDEYLKSLGLAEFNYKNLSVNQQNN >KN539763.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539763.1:25915:28179:1 gene:KN539763.1_FG009 transcript:KN539763.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVFTYKEELTKLDLDDATYKFFEESNRKGNKKSDDGPVEPDDDEPVDHETQREGTNTQQEKMKSVASVDSGTITYQMTRRKIKSMEGSPRGSSASGKNAEAAGDVQENTKTGGTKTASGENAEAAGISLKDAGKEERASQKGGKNKGKKKGQNSKPELVSSCMHAQETWKLH >AMDW01027007.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027007.1:6:235:-1 gene:AMDW01027007.1_FG001 transcript:AMDW01027007.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQSKVQRLYDACDAVFSSGSKAGLPTLKQIRWLQDLLDGMEAAD >KN543869.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543869.1:3523:4852:-1 gene:KN543869.1_FG001 transcript:KN543869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSFAVHRREAVLVVPSAPTPCETKRLSDIDDQESLRYHVPGLLVYRGGQPPPCVRDNDPSGIIRAALSRALVHYYPLAGRLREVEGRKLLVPDVLLSSGRGGCPLVGIQVTRLLCGGFIVGTAVNHSVCDAMGIVQFLNAVADIAGGLPAPAVHATWSRELLDARSPPAPAFPHREYDMIDILIPRGGREADNMVRSFLFSSTDIAALKDEALRHTVLPPPTSFEVLAAFLWRARTAALEIPADEEVRLVAVVGFSRIAALGLPSGYYGNTCAYPTVVMTAGELLRGCTLGDVVRLVQEAKATVTAEYVRSAAEHLVLRGRPRLARRNLFVVTDVRRVGFDRVDFGWGDPVYGGPARALPTVSLLVNVKGSSNVVGAVVSLPSLAMGRFSAQLENFLST >KN538732.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538732.1:194947:199078:1 gene:KN538732.1_FG047 transcript:KN538732.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEEGSHSTPAKRPLDDSSLYSSPSGKIIQSGSSDFHGSFEHDGRFAKVQRIEPRDDKRPSLAHRMPIGPSNFVDHSISSDGRLESKQNKDPRDTKVDVREAKADTRDVYSDPRVEFPSNKVEADVKTDNRADDNEIRADRRIHADYKGDAKLDKDGHPTAISNIAWKDNKEHRGKRNIEQPSDNADWRFPRPGLQGTDESSKGPLPADERSKDAHESTGENKTEPKTEDKFRDKDRKKKDEKHRDFSTRDNDRNDRRIGIQLGGNSVERRENQREDRDAEKWDRERKDSQKDKEGNDREKDSAKESSVATEKENAILEKTASDGAVKSAEHENKTAEQKAIKDDAWKSHDRDPKDKKREKDMDAGERHDQRSKYNDKESDDTCPEGDIEKDKEALGSVQRKRMARSRGGSQASQREPRFRSRMRDGEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPEAKSAESVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELLPLTIWPSPEVIFLTSVEHSSFISPPPVTASFHRYELCFSGEKARLVGSSSNAADTETEKHQNSSHHHSQNGDRASSEHELRDLFRWSRCKKAMPESSMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSSN >KN538692.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538692.1:218435:219765:-1 gene:KN538692.1_FG103 transcript:KN538692.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKQCAFLLMPFTSWQHRSTFLLLLNEAVAFIVIEFVIRH >KN538732.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538732.1:182720:183286:-1 gene:KN538732.1_FG048 transcript:KN538732.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSRTCGDVDFLLVMGDESDATRELCRREGITAVPHFTFYKGAEKVHEEEGIGPDQLAGDVLYYGDHHSAVVQLHSRADVESLISDHRGDGGKLVVLDVGLKRCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIRDGDIVGRYVGSGRGELIGEILRYNGVKVT >KN538732.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538732.1:158979:173077:-1 gene:KN538732.1_FG049 transcript:KN538732.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIDQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAQQKEVHFKEATQCYNRASRIDETEPSTWIGRGQLCVVKHDLQMASDSFKIVLDEDGSNFPALLGQASVYFLMGDSEQQHKKALDYYRNSLDLYKLDPENIDALVALAIMDLQTNEAGGIRRGMEKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFDYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENDKAIETFKKVTRIDPKDHQAFMELGELLVQSDWATAMEYLKTVRLFTSLEVYSVSLYQILPWISSQARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGSVGSSVVNWSIQYRDQSFFQQLEEEGTPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDAYLRLAAIAKEKNNLQLSIELIGDALKIDDKYPNALSMLGSLELQGDETWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNNWCSQFMMMSKDIIYNMFLGFKKMVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHIEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEEGGSEKRRKKGGRRRKDQKTKAHYGEEEEDEYRDEPEAEDDYANTARSNDGGDSEKAPGHLLAAAGLEDSDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPSAGENDLSD >KN538692.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538692.1:224719:225021:-1 gene:KN538692.1_FG106 transcript:KN538692.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLDTERSDLKVGDDRSGAGVGVGAQTEAAPDRSYARTTVIGGGGGGGGGDDRWWGRPKTVVVGRSWPQEALPDPWQALPDPEPNGGAGDKRRDRGRA >KN538692.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538692.1:259464:269652:-1 gene:KN538692.1_FG107 transcript:KN538692.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQREQKCTAMVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVLVNGAADERSRAIRELGRACEDWGFFMVTNHGVPEALREAIMDACKELFRLPLEEKKEYMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLREIATEYATCTRALLLELTKAISESLGLAGGRLSEALNLESCFQILVGNHYPACSRPDEQAMGLSAHSDHGLLTLLFQNGVDGLQVKHDGEWLLAKPLPGSFFVIAGDQLEIVTNGKYKGVLHRAVVGGEQSRMSFVSLIGPCMDTVVEPLPEMAADGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVMHQGDMLTCQGGSASSSVEMLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDTYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAFNEVFRQLHLEAEARSEEMKKNKQLTGSRPTKATTKTNRGDTQGSGGSRKKGDSGKDDSDGDSGKEHTLPNGNSKMQENGLKDNSHARSVVVKGKENGDPNDGAFDVNKLQKMRNKGNKKNEVASNVAKNTSKANSKKNFKKNRVWDDTPDDKKKLDFTDPADERGDEVIDQVVVKQGESMMDKDDVVSSDSDEEEEDGEENAGSSQKKKGWFSSMFKSIAGNNVLEKSDIQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVSLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >KN538732.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538732.1:188456:192828:1 gene:KN538732.1_FG052 transcript:KN538732.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKAPTRISYSTIVTWNLIVILVVLSLYATYRHWHHSFTCFLTSFTDEIPHRPMLETEMDLPRAEHVGRSEDSTKTSRPSYAVIDTAKGSITIEIYKDASADVVDRFVSLCKSNHFKGMPFRHVIKNFVIQGGDFDFNGAAQEWILKAKASGENALSPKHEAFMIGTTKNPNNKGFDLFITTAPIPDLNDKLVVFGQVINGQDIVQEIEEVDTDEHYQPKTPIGILNITLKQQALS >KN538732.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538732.1:178959:181410:1 gene:KN538732.1_FG054 transcript:KN538732.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDISIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEIRFLVSSIKYPPIPVEQKETDRAFAPMQIIGSIKGDGLGLLAWWAADEEEGEAEAEAEE >KN538692.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538692.1:254226:258597:1 gene:KN538692.1_FG112 transcript:KN538692.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEATSVAAPLLRPRGGGVGGAEAVKQQRWPGGARVAGEWWVESKKLWRVVGPAIFQRIALYGINVVSQAFIGHMGDLELAAFSIASTVVAGFNFGFLLLFFMFVPVRVPTLTPPRTAAATNLWPPAYWSLGLANDSIRLSRDETTLAVNSTLGMASALETLCGQAFGAKKYHMLGVYLQRSWLVLLMFAVALTPTYVLMEDLLLLIGQPADLASLAGKMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAVTAGVALALHLVITYLLVNTLHLGLLGAVAAANVAWWIVVLGQLVYVVGGWCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLNNAEIAVDALSICLTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIVVSVTTSVAIGLVFWCLIIAYNDKIALLFSSSKVVLDAVSDLSVLLAFTVLLNSVQPVLSGKPKASLPQVSHCIHGWKFGEPWGIWSGLIGGTAVQTLILAYLTIRCKESKYKDGSMGQLKMKQPSTNSVLVRFLGHTKGALQWAAEEPQLLLSTKRQTIRIDQEDENILEGIAGVSHIDIFTLP >KN538692.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538692.1:203320:205463:1 gene:KN538692.1_FG113 transcript:KN538692.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPQSEECVASLVEREQAHMPRADYGERLRGGGGGGDVDLRVRSEAIGWIWEVYTYYNFSSVTAYLAVNYLDRFLSHYQLPEGRDWMTQLLSVACLSLAAKMEETVVPQCLDLQIGESRLLFEVETIHRMELLVLTNLNWRMQAVTPFSYIDYFLRKLNGGNATPRSWLLRSSELILRIVAGTGFLEFRPSEIAAAVAATVAGEATGVVEEDIAEACTHVDKERVLQCQEAIQDHHYSMATINTVQPKPASTRRGSGSASSSVPESPVAVLDAGCLSYKSDDTDAATIASHGGGRRKSCFDSSPVTSKKRRKLSR >KN538692.1_FGP115 pep scaffold:O_longistaminata_v1.0:KN538692.1:272275:273772:-1 gene:KN538692.1_FG115 transcript:KN538692.1_FGT115 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPPHIMVLSKMQRLYDACDMIFSSPASAAPTIGEIRWLQHLLDGMEAADVGIDDGESPPSSSSSSCSSSDDEVSSKDGRLLPARAFTRITYVHIHQCADFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVKSYDWVTPPPPCSRKSFAFLEETDLPEDFSVAGAPYVGPELELVVDMDDDDDDYDDYDE >KN538692.1_FGP116 pep scaffold:O_longistaminata_v1.0:KN538692.1:328904:335593:-1 gene:KN538692.1_FG116 transcript:KN538692.1_FGT116 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRLSLELGKADSPAPAGAKLAPLLVSLAVGLAVRFLAPRPPEVSPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDRSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASLPAIISLLATPYLLYKIFPPEIKDTPEAPAIAAQKLKNMGPVTRNEWVMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGTFWWKFLGLY >KN538692.1_FGP118 pep scaffold:O_longistaminata_v1.0:KN538692.1:209421:211664:-1 gene:KN538692.1_FG118 transcript:KN538692.1_FGT118 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLFGWARRSGPPAVMMARASSFDWPDRVCRAESSMSSAAALLYPLVQPIMRFNPPLYPDLGFSCGGSVSMAPFSTSHYWSAICPSTIMRGWRRKAEGQLRSRDMAVGSILLINSDSDSKARAEVKGEGKEPISPAHQLRVRLRKQGWRQKARGRNLAIRSIG >KN541426.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541426.1:7829:8209:-1 gene:KN541426.1_FG001 transcript:KN541426.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGIDNLNLELGMVGAQVAGTPPETTVKALRGVGFLTLVISVGTLVYKPPHGLLFQLHVLAYYLTLVGIFFAGVVEVWTAFWVSEAGVGGGRRAFGRAVLWASVVPLAAALGIGGYTVLANVPS >KN541426.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541426.1:26288:26751:-1 gene:KN541426.1_FG002 transcript:KN541426.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQADSNNLEIGAADEAQDAGEEELGSVRKKLNGVGFVTLAMAVSTLVHKPADHGELFQGHAIAYYLTLMVIFLAGVVEVWTALWVSNAGESGRRRRAFGRVVLCASVVPLAAVAGIGGYTVMWPF >KN541426.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541426.1:14543:15428:-1 gene:KN541426.1_FG003 transcript:KN541426.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGPDELLKSHHILAKRREIRKREMEGVVVFADENSILRTELFDEVQKGK >AMDW01038731.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038731.1:101:796:1 gene:AMDW01038731.1_FG001 transcript:AMDW01038731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGEFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELAKRCLEMCGENRPSMKEVAEKLDSLRKVLRHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >KN542978.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542978.1:6419:7468:-1 gene:KN542978.1_FG001 transcript:KN542978.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSHRPVLPCLAVECSCCKPNTTFISAAEKKPVAHGLPELLNVKATVCPTPLGWILVRESASCSTYLLDPQSHQDDKIPLPPLTGTDDDVLMDCNCLLSNQPTAQAAAGCVVLLVELQDTVIWYHHIGAGAGGEWTRHEYDIGIQGDKYGFTEKIHIVPVAACRGKFYFNSFFTGISVLEFCGPAGSPQFSSIRLAGVAPGDWGRGAFHVFLLESDGELYMVRLKTTLGSQSSPASSSSSSSPSPLQVGVYKMDFSERRWCRADDLGDRAFFVAPFYFGASCLAGGKYGIQKNCVYSVRYLGDKSFTVSNVEDGTSHVHSIHGAEASPDSILRTLWMLPTDQKP >KN542978.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542978.1:7704:11098:-1 gene:KN542978.1_FG002 transcript:KN542978.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGMSAVEAEDGRTPSAGVSAVARSGWGRRGASEQKRGAQGAVEAEDAVRRPPSARLRWGGSSSANPRPVAPTFSILIPQSRQDKIPLPPLTRIDDDVLMDCNCLLSNQPTAPAAAGCVVPLVEPQDTVIWYHHIGAGAGGEWTRHEYDIGIQSDKYGFTEKVHIVPIAACCGKFYFNSFFTEISVLEFSGPAGPPQFSSIKLAGSVPGDWGRGACHVFLLESDGQLYMVCLKTPGSSSSSLSSLQVGVYKMDFSERRWCRADDLGDRAFFVAPFYFGVSCLAGGMYGIQKNCVYSVPYLDQSCHTQTADRRAREGTSMCHYPPITDERWEKRRRDKGGADSIAKYGHYPSIV >AMDW01028938.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028938.1:50:383:-1 gene:AMDW01028938.1_FG001 transcript:AMDW01028938.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVK >AMDW01076440.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01076440.1:138:263:1 gene:AMDW01076440.1_FG001 transcript:AMDW01076440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSSSEVGLVPDAICGGGGGIIELDFAQSKAAYLPYASTPSHS >KN539181.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539181.1:38522:41312:-1 gene:KN539181.1_FG001 transcript:KN539181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFMFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSRRDQLRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQVVPMNYRQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNVPGLRST >KN543486.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543486.1:216:3369:-1 gene:KN543486.1_FG001 transcript:KN543486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPVILLLDRGECYFALKAWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDKISIPSALVNRAFGESLKKMSSSPEAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKAL >AMDW01035269.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035269.1:12:473:-1 gene:AMDW01035269.1_FG001 transcript:AMDW01035269.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSLSGILEMKAVNYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTWNSFIKNNIVPKRNTGVRANGGAPTPRRSYWTVLYEVAETEAEGQVNINNAPADLLFVNPSKKTKIGNEVGYRLIPSGATATSLLADDDYPERRASYTKKQ >KN539181.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539181.1:74312:75959:1 gene:KN539181.1_FG002 transcript:KN539181.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKVLLDLPSEPKPPSLIESILVGRKEQQQQQHKEGKRKAGPPADPLPKSQGILLLLAAAAAVQLELESVQNKRPEEYDIEALTGNEKEYIEMDLLLGVADLHSEKAVEVAEATMNGFPPSGRSFACSSSDSEDDSDDSDEDSGDETNMSSKDADEPEAQASKGKKPNKRQKIVVLN >KN539181.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539181.1:13448:21180:1 gene:KN539181.1_FG003 transcript:KN539181.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNGFRAQRTDRRTREKAPMEDTLMLTLPLNTWKPASDDEGHHDIDFGLRWGPEDVIKRFEQRSHAVDSRGVAEYLRALILTNGIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSTRYAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKELNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVSSDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESKKACLFKLLYFLLRELILTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQLSFQEEDFALT >KN539181.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539181.1:44059:47749:1 gene:KN539181.1_FG004 transcript:KN539181.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAANERSIAIPGPGDDEPAAQPAALAGGGDEDEGAWKTAQKWLNRFVRVVAFVERTGNAVGTLAFTWATVVVLGGYSTDLREDFCSWSFMGESEIYYEFVLVPNLVVYNAANRVNGGLRVFSRQNKSDDKFLFKTTGGIRVLKLSSTLELLYFLNAVIVMLCLSVILVVVLTHVFPKKRQVPHHLAPQTSEQTRVRAPRRRDSTAADPAGRDTGLGLLTGAAVRRSAGDGAGIRVAALHDGRSVPAVDSRAGEDQETGAPAAPDGCRVDRVSTGNVNLVPSLKIFYGLVLAQGALYIVACLTDPFSVLLRRWLARRCRLGTRSVDLYYEHAYDAWMEDGLLAMEDADIVSFAIDSLGSGEASRSRERVLAGVTVLHCFLRQGGGSKARLASSKIITSTNAIATLIGMLGWGAEEDRQIRLFAAKVIGEVAGELRIARFPGTVQLISSLLDAPSCSKKERDSGGSTHTEAAAGNINTTDSTCCCCFPKPSFPRQIKNLWSAPDEEPLDDDEDALPIMGMLILEKLASDPENCAEIWRATNLVSKVIGFIACSSNAASGEAQRNRRPITASSLKLVAKLAGAKGEIGVTLRRKISDHPFLVSSLAGILEDDGAGTEEWAPAMDILAKLCVNADTRQEVGEIAAIITRLVQEFFPSQREQQASSTQDDRQLRLAAGEALAMLAMENPGNCSAILKEFKGKYCDLVNDLKNMISARDEDGCRCAASLLQNLCAHSGDELRHLGFNDHLASALKVILDKILDTKGKQLEVLIGLAAQIHNAIPACFKDALESLADNTAEALVQKMVDTLNSSKKPSPECPRMRRAIVELAISIVETHTLPYGYAADFRKKGMVEALSKVKKTPSKVERYRLFFGDAGVVLERGLPLPDMVATAKGLIETASPSPGV >KN539181.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539181.1:62603:64803:1 gene:KN539181.1_FG005 transcript:KN539181.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAPLAPMPRVKLGTQGLEVSKLGFGCMGLTGAYNSPLDDDAGAAVVAHAFRRGVTFFDTSDVYGPLTNEILLGKALKQLPREQVQVATKFGIRRGADGVRAVCGRPEYVRACCEASLGRLGVDYIDLYYQHRVDTTIPIEDTIGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPITAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTEQLSGESNLQGHPRFSAENLEKNKQLYLKTEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTDDDLKEISSQVREEDVAGGRQYTSFAHYTWKYADTPKK >KN539181.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539181.1:4747:7035:-1 gene:KN539181.1_FG006 transcript:KN539181.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLARGAVIRATQDTSSWPLIEPLPSYGRGRELPGGRYMSLIHGNGLQDVVITGDNGTIDGQGSAWWDMWKKGTLPFTRPHLLELMNSSDVVVSNVVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGVAYGRPSSHITIRRITGSSPFAGFAVGSETSGGVEHVVAEHLNFFSSGFGIHIKTNTGRGGFIRNVTVSDVTLDSVRYGLRITGDVGGHPDDRYDRNALPVVDGLTIKNVQGQSIREAGSIKGIATSAFSRICLSNVKLNGGAPVRPWKCEAVSGAALDVQPSPCTELTSTSGMSFCTNSL >KN539181.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539181.1:77846:84881:-1 gene:KN539181.1_FG007 transcript:KN539181.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPTNKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIRRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPSDTPASNITEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSEFVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNTTSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHCGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDVEMRVLLGKRVLDEAHEYGQNLCSIGLVKDLYMGVYMEEYITGGNQTFRFGP >KN539181.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539181.1:101616:114610:1 gene:KN539181.1_FG008 transcript:KN539181.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVAHHLSSNSSKAIEVLEAYEGTLEDDYPPENERYEHSEMLLYKISLFEECGMLDRALEEMQKKESKIVDKLSFKEQMACILLKLGRFEEAEKTYRSLLFMNPDNYKYFIAVQKCLGLYSENGQYSTDDVDRLCALYSSLKEEYGWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQPGKANILEELFLKLERSIRTSGCFPGSLHTEPPSTLLWTLFLISQHYDRRGQYDIALDKIDEAISHTPTVIDLYSIKGKILQHAGNFLAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPSKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDEATSSHTSKSGKKQNARPVDLDPHGHLTAAQALDMVTSQDYVLIDVRTEKDKAKAGHCGRAERTLLAAGWKARCAEYFPYSTYFEGIKSASAAYCSVANSLEDSSENGIVANAQMKSADGETCTLNGTVHIVDELSNLSIR >KN539181.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539181.1:54245:56896:-1 gene:KN539181.1_FG009 transcript:KN539181.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYDIKSSKVDDKQINLFGIFDGHGGSHAAEHLKKHLFENLLKHPSFITDTKSAISETYRKTDSDFLDAETNINREDGSTASTAIFVGNHIYVANVGDSRTVMSKAGKAIALSSDHKPNRKDERKRIENAGGVVTWSGTWRVGGVLAMSRAFGNRFLKRFVVAEPEVQEQEIDDDLEFLILASDGLWDVVSNEHAVAFVKAEEGPEAAARKLAEIAFARGSTDNITCIVVKFLHAKMAVDSASSGERS >KN539181.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539181.1:24575:29519:-1 gene:KN539181.1_FG010 transcript:KN539181.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARSHDDQPRPQVRGRRLGARRRTPHLQHDGSRWPDDDDDDDVYHLDGDDGLHDGCGVNYEDDDEQVDDRWDRESFSKLLARAPLGEARLFAQLAFLCNMAYVIPEIKETGVLVHRGIYEAAKGIYEQLMPEIAAHLAAHGERARLRLTGHSLGGSLALLQSWWPGIAGTVFPAAAPVSVRNKELVSEA >KN539181.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539181.1:209:4316:1 gene:KN539181.1_FG011 transcript:KN539181.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding ADQNQAIAMISYFASSAEPAQVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSMEGVLPDAVSIDVLHLVFSAFGFVQKIATFEKASGYQALIQFCDTETASSAKAALDGRCIPSYLLPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPTSNQPSILGPQPVYSGAYNNAPSSATGAVVPPGTTLTPPGAPSHPYTSSEPLPQTPAVPSGGAPLYTSQGILQGPPVSPRLSSSSNASTTSSPGKPADAWPNEPSTTSRIVYALPWRRRPPSSRRTWATSNAVPWPWRRAASPSRLWWPTAVSARSKATYAAAAVSDVR >KN539181.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539181.1:70406:71613:-1 gene:KN539181.1_FG012 transcript:KN539181.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVFLCLVEHKIFQISHPLWFVIKADWELYTADARQRIVSERVDMIHNVVISVSIGPPNSRFPPSKDKSKWDPKDVADWILAEKSSLKVTTGQRMTESSVLDAHSSDVDGEPYWYYEYLVRKSPTQSAPEPNLFRHNIACTAERDGYLYSLNASTLSKQWESLQ >KN539181.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539181.1:95534:96022:1 gene:KN539181.1_FG013 transcript:KN539181.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELFEPNEIYAARRKLEELLMLNPQKANLDRMFTSMGRGWDELGGGRRGGAELREEFLGEASDRRTNTKADGEYGGDSGDDSGVESLYIE >KN539181.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539181.1:34723:37384:-1 gene:KN539181.1_FG014 transcript:KN539181.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVNQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >AMDW01039114.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039114.1:7:573:-1 gene:AMDW01039114.1_FG001 transcript:AMDW01039114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NILSFLVILAPVPTFYRVYKKKSTESFQSVPYAVALLSAMLWLYYALLTSDLLLLSINSVGCLVESLYLTVYLLYAPRRAMAFTLKLVGAMNLALFAAVVATLQLLVKAADRRVTLAGGIGASFALAVFVAPLTII >AMDW01078106.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01078106.1:121:502:1 gene:AMDW01078106.1_FG001 transcript:AMDW01078106.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEVNCLKIQAYDELDNIVFDHEMKDNYPVPLSSTLNTGINPPDLHVTCQVQDTAAVETAHHATFADANQLPQTFLNNNSACGFIIGSEQIPVDPSFFNGYR >AMDW01038295.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038295.1:25:654:-1 gene:AMDW01038295.1_FG001 transcript:AMDW01038295.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAELLDVSLQPIIEVYLERQITQFRCNSQRAAIQGKNNKQRAAVRGKRCYPRRPSHLKLGVLFLPHSSSNDLLPAAESSAVEVINGEEQPWYHRNITLEQLDKVMLPKAIGSINQNSEATAHQLLWKSKHEAAFFHLGKTRMNMPSTLSTAREATVSRRQELDLESRADVISEFLKLWVERAPVKMQRSIVDWIQKEKEVQLAPTPF >KN542052.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542052.1:2917:9736:1 gene:KN542052.1_FG001 transcript:KN542052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSVGKSVLGGALGYAQSAFAEEVALQLGIQRGHAFIRDELHMMQAFLMAAHDERDEHKVVKAWVQQVRNVAYDVEDCLQDLAVRVGKPSWWRKCSPSMLLERRSVAKKMKELRAKVEDVSQRSNRYRLIDGSGSKAGSDTLQHTINGCVTIMSETEETRKEQERAKKDLVGLINTNDKDLRVIGVWRKTGVLDDKSIIKRAYDDLKMKRFVCYAWIKLNSLCNQTWLLQSIARQLYENSLQHTREVKMEATDLVDQILQKMGNMAEDDLVNAFKGYLNEKSYFIVLTDLSSVEEWNKIKTCFPSNDRGSRIIVCTEQVEVARLCVPQDNVPPEHKKLSDDQTLYAFYQKGSQDGTHSLEPSSSSNVTTATLNNNATAPKSFSRTETMIATLEESQLIIGRENEKSSIINLIINRASSQQSQIISVWGMGGLGKTTLVQDIYRSQDVRETFVMRACLTVLRPFNCAQLIKSLAKQFGDEKETDLTKLLEGKRYLVVLDDLWNTKEWDDIMHCLPNNTGSCIIVTTREESIAEHCSKERNNAYTHQLGRLENDQARDLFTKKVFKEANWEEKYPELVGLIEPTLKKCGGLPLAIVTIRGFLASQPKSALAWRKLNEHINAELEMNPKLETIKTVLLKSYDGLPFHLKSCFLYLSIFPEDYKVNRKRLMQRWTAEGYTVEMRGKPIKEIAHDIFMELISRSMILPAQESIKVRQGIDYCQLHDLMREISITKSMEENLVFRLEEGCSSNNQGTTRHLAIISNWEGDKHEFESLVDLSRLRSLTVFGEWKPFFISKRMRMLRVLDLEDTDNLVDHHLEHIGKLIHLRYLSLRQCRNICHLPDSLCDLTQLETLDIRSTRIAMLPKTIVKLRKLKYLHAGTVTTIENQQSIAERSLWLLANGPWLCGACCVPSLLGHINTYGLINTYGFNMRDACNYSCCIQPCVLMMDLDGRFPMLPRGSRKLKGLHTLRHVHLAWGNTVIQEIERLTQLRKLGVVGINKKNGPSFYSAISKLSQLESLSVLGGRNQGLRGCLDHGTSSSSSTSSPPENLQSLKLEGALGKLPRWIGKLQNLVKLRLWWTQLVDADAAIKVLGALPSLAILRLWLSSFDDDVVCLNFRQEQQEGTAVVLFPSLRVLQLRWIGGGLKSVQFGGGATPKLELLQFNDNPDSRGVGLLSGLEELENLREFMLSDF >KN542052.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542052.1:11262:14278:-1 gene:KN542052.1_FG002 transcript:KN542052.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >AMDW01032310.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032310.1:29:382:-1 gene:AMDW01032310.1_FG001 transcript:AMDW01032310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQTVLVVAYLCLAYTLGPAVAYACMAAVGLCYGVQFSVMIPTTSELFGLKNFGLFYNLMSLANPLGAALFSGELAGWLYDEEAARQQHSGGVCLGPGCFRAAFVVLAGACSVGTA >KN540675.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540675.1:2920:6702:1 gene:KN540675.1_FG001 transcript:KN540675.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLAFARVLVPPSPRTALASACSHSIKMCASSASPSESKKTVWVWTTNRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLEIFDEKKQKIAVISEVSSPGELELIQPDNVEVENIVIDFRGGWQVIPAENIVAAFQGCRGTVLAVSTNSTEAQVFLEALEQGLDGVILKVEDMDDIIKLKDYFDRRNEAKSQLMLTKATVSKVEVVGMGDRVCVDLCSMMRPELVKIIPRECVIVPPNRTALAFFLSQASPVCLYMMFCFQVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVAVPGGRTSYLSELQSGREVIVIDQNGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >KN540675.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540675.1:34472:40587:-1 gene:KN540675.1_FG002 transcript:KN540675.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICLAVIVIGFSADIGYAMGDTKEDCSVYHGSRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMAMGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVIFLSLCLVITLIFAKEVPFKGNAALPTKSNEPAEPEATGPLAVLKGFRNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDPQIEAFNQGVRAGAFGLLLNSIVLGFSSFLIEPMCRKVGPRVVWVTSNFLVCIAMAATALISFWSLKDFHGTVQKAITADKSIKAVCLVLFAFLGVPLAVLYSVPFAVTAQLAATRGGGQGLCTGVLNISIVVPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGVAGIFLLPKISKRHVLGN >KN540675.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540675.1:24184:26387:-1 gene:KN540675.1_FG003 transcript:KN540675.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLLHLEAPTQGDAGEKKRRLNVEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKAENDALLSHNKKLQAEICVIVDACCVNLAMINFPGGFPSSMIGTEIHVGFSVGRKVVGIGAFLTTTNSGAEGEGGGVGADKPEQGDGGVVQQPQRE >KN540675.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540675.1:8645:9596:-1 gene:KN540675.1_FG004 transcript:KN540675.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCTDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATG >AMDW01040801.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040801.1:224:2296:-1 gene:AMDW01040801.1_FG001 transcript:AMDW01040801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RDAAGGSSDEEDDETRGRIAMYAEKSDSQGSTKGVFGVINNRGPAASLGVINDGFREVEDEKDDDEDEEERKWEEEQFRKGLGRRVDDASAQRAANGGPAPVQVQPQPSGYSIDPHYQPSFSGVLPGTSIFASGSAEFLSIAQQADVASKALQENIRKLKVTHKTTVDALVKTDTHLTEALSEISNLESGLQDAERKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRVTAVSERRAADLADESSVIEAAVNAAVSVLSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREEDRRRRKIRSESKRLSSERRSANNEHIEGELSTDESDSESSAYLSSRDELLKTADLVFSDAAEEYSSLRIVKDKFEGWKTQYPQAYRDAHVALSAPSVFTPYVRLELLKWDPLHETTDFFGMEWHKILFDYGEQNSESGSDPNNVDKDLIPVLVEKVALPILHHRIMHCWDILSTHRTKNAVDAINMVISYLPTSSKALHQLLAAVNSRLTEAIADISVPAWGSMVTRTVPGASQYAAHRFGVAIRLLKNVCLWKDIFAKPVLEKLALEELLKGKILPHMKSIILDVHDAIARAERISALLKGVWSSPSQKLQPFVDLVVELGNKLERRHMSGISEEETRGLARRLKDILVELNEYDKARAILKTFQIREAL >KN540591.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540591.1:4048:8945:-1 gene:KN540591.1_FG001 transcript:KN540591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMVVSASMGVMKPLLAKLTALMGDEYKKLKGVRKQVSFLKDELTTMSAFLEKLAFMDDDGELDPLVRNWRNHVREMTYDIEDCIDDFMHQLDGADASGFIQKTARRLKTLRVRHQIANQIDEIKARVIEVYDKIGQQFECKAFVSVSQRPDIARILSTIQSKLNIQKSSQAREVQDIIEDIRYCLENKRYLIVVDDLWKQEAWDIISCAFPKNGNGSRVIVTTRVEDVACWACRNHQYIHRMKSLSSVNSKRLFFQRVFGSEDGCPSQYEELSAEILKKCGGLPLAIITIASLLACRPARIMQEWERIRNSLGTPFGTNPSLEGMRQILNLSYKNLPLHLRTCLLYLGNYPEDYEIRRDAVVRQWIAEGFNYYRELTGCRVHDMMLDLILSKCKEDNFISVLYSGEDYVSIAGQHGYSCNKLNRLPNSKSLRTLRIWPPPDMDFKALGELTNLRDLRLHFEDGKESSTASNLDSLGSSVGKLQNLRYLEIHFSYDGISADGLMGSLSDFPHSIEILNLQTCCISRVPRWINVALVNLRRLHLFVSQTCTDEVSILGELPSLVSLELELKLERKGTIMFGGGGGSFPALEHLILICVGDVASQSRLGFLAGVMPKLQRLVLHFWFCELGIDTAPVGMEHLSSLQLIHVVIHVAPEKRNVYPRDAAEHLFREAAQAHPNQPAFIFGSW >KN540827.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540827.1:1092:3090:1 gene:KN540827.1_FG001 transcript:KN540827.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPSGRSKAAIGFLRRGGGFSSRNQSTEERTIQNYDGPGITTRLNPMKTRLSDNQERPRYLRDSFRSSTSMAIHGSSSKVPLRKFGDEKRRQSLLAGVDIAESSSRNAWGKHLEGSNKRIVVEDRSSDVLHTETEDLATEQGQLIEPNAGVSDSASSSDISEHAVESLVRSAAPSSRTRRLKDKELNLGQSGACSSSCTNRPTISRYAPADVKRPCNHASGVQRHGHNNPGCTSVPNFLPSGCSSGSVYSRRFDAMRKRTSDGGSFSRSRGLSGTASLDDSPPAYPAIAGPRIRTTTSEQAVSQQNAPRSRRNFQDSAVSVRTRRPPWGARFRISEEREDGMISQRDSSIGNQQSDQVHSSSEEASTESSSRPFSAELPHAIYSSRREGSNTFTARRRRSSSLYEERPPQTFHDLFRERNGRRHISIEGIAEVLLALDRIEQEAELTYEQLMVLETNILLGAFTSHDQHSDMRMDIDNMSYEELLALGDRIGSVSTALSEEQFVKCLRRSIYIPVATKANAQVVDDIKCSICQGVYYY >KN540591.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540591.1:29703:32280:1 gene:KN540591.1_FG002 transcript:KN540591.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MAPALSIAADLGFPAPPAQEDQGSSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPQWREGSMLDSWKQVDETIPESDGLDAINQRRLSWPSSIKRDQ >KN540827.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540827.1:19912:24002:1 gene:KN540827.1_FG002 transcript:KN540827.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLLRRCLSAAAASAAAPARLAVRHAHGDLEVSGCSAHIRELGRLGRVGEAREVFDAMPRRDIIAWNSMISAYCQNGMPDAARDLYDAISGGNMRTGAILLSGYGRLGRVLEARRVFDGMLERNTVAWNAMISCYVQNGDITMARRLFDAMPSRDVSSWNSMLTGYCHSLQMVDARNLFEKMPERNLVSWTVMISGYGRIENHGKAWDIFCKMHREGLLPDQSNFASALSAVKGLGNLDVLESLRVLALKTGFERDVVIGTAILNVYSRDTSALDTAIKFFESMIERNEYTWSTMIAALSHGGRIDAAIAVYERDPVKSIACRTALITGLAQCELIVANALISMYFKCGCADSRRIFDLMEERDIFTWNTIITGYAQHGLGREAIKMYQHMESAGVLPNEVTFVGLLNACSHAGLVDEGWKFFKSMSQDYGLTPLPEHYACMVDLLGRTGDVQGAEQFIYDMPIEPDTVIWSALLGACKIHKNAEIGKRAAEKLFRIEPSNAGNYVMLSNIYSSLGMWGEVAEVRKIMKQQGVRKEPGCSWTQIKDKMHSFVTGDKQHEQIEEIVATLEELYTLLKATGYVPDTEFNTEQIAFWVKCSNGEQFAMSPDEMKACIVTSGDLCLEPNTIIREFVAFQTCTVPIDSCDTAVLIAHGLICLYSCTPCQIRAIGDLSTRLEKVMVQVNEWMKTLTNDKYVSEFPVSELM >KN540591.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540591.1:24423:24815:1 gene:KN540591.1_FG003 transcript:KN540591.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAKVAARISGVMRRLAADNEELLRSAVDYHEMAATATSRWRRPDRGSLPETELRVISWLHLPLYDMDFGWGFPRMMSRAESVRGGFVHVMSGRPADGGGVRVLACLEAENMDEFERLLSAKFTYARI >KN540591.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540591.1:16041:19394:-1 gene:KN540591.1_FG004 transcript:KN540591.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAGIVVSASMGVMKPLLAKLTTLMGDEYKKLKGARKQVSFLKDELNTMSAFLEKLAFMDDDDGGLDPLAKDWRNHVREMTYDIEDCIDDFMRRLGGADASGFLQKIKTLRTRHQIANQIDEIKTRVVEANQRRKRYELDGCSNSRAYDSVAVDPRITALYQKADSLVGIDGPTDELVQLLTNAEHQQLTVVSIVGFGGLGKTTLAKRVYDKIGQQFHCKAFISVSQRPDIARLLCTIQSKLNIQESSQAREVQEIIDGLRDYLGNKRYLIVVDDLWKREAWDIISCAFPENANGSRVIVTTRVEDVACWACSNHRYIHRMKTLNNEDSKRLFFKRVFGSEDGCPSRCKEDNFISVVYSGEDYVSIARQHGYSCNKVHRLSLQSKAAESDCTVLLESRTIPAHLAQVRSVSLFGKSTSGLPLLLQFKYLRVLHIMLGHGCEQADLTAISQLLQLRCLMFVGKLCYVELPFRICDLVHLETLETDSAAVISIPFDIVSLPRLSNLRLPKAMLFNRLPNMKSLRTLHIWPAPDMYFKALGELTNLRELHLYFDRRLSSIASDLYPSLGSSVGKLQNLIYLEIYFPWGISDDGLMGSLSDFPRSIEILNLGNCYFSRVPRWINAALINLSRLHLLVSETCTDEVGVLGELPSLIHLRLKLKLKSKDTIMFGGGGGSFPALVHLFLSCVGDVGSQPRLGFQAGVMPMLQMLELWFDRCELDIDTAPVGMEHLSSLQFMDVHIYVPRERRNVYPRDTAEHVFKEAAQAHPNQPAFKFDFFVV >KN540827.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540827.1:12992:14861:-1 gene:KN540827.1_FG003 transcript:KN540827.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWCERGRFSLEDTLGSIRPASWKFSMGSGMVKKKVVKAGSFDLDVKLDKSWMEDITCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRQRGDVIGWVVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKSFCRSSGGRSRARARERRSSGRRSSNRSSQESFTIEVPSGSVDVREIRFDEIDDEYIVTGAMPGIAASRRIASHYRGYIAKRTYTSALKRLDRSRKLI >KN540827.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540827.1:9090:11336:1 gene:KN540827.1_FG004 transcript:KN540827.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLSQLLVIEKYVLSLVLADMIHLMQFLKAFFELPCKLVPISLLCRGPFAKRRHPLVDTTVVTDIRRCLEEGTVFQGDLLNFRKDGSPFMAKLQLTPIYGDDETITHYMGMQFFNDSNVDLGPLSVSTTKEIVRSTLITPDNTIRPSPMGKGFCSEHSDLFLLSDEVLCQKILSRLSPRDIASVNSVCKRLYHLTRNDDLWRMVCQNAWGSEATQVLETVAGTRSLAWGRLARELTTLEAVTWRKLTVGGAVEPSRCNFSACAAGNRVVLFGGEGVNMQPMNDTFVLDLNASKPEWRHINVRSAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFMLDLDAQQPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREIPASWTPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSNDVFTLGLSENKPCWRCITGSGMPGASNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASKLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTELHELSLMFPTLNQKDLELYSWKL >KN540827.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540827.1:24672:37672:-1 gene:KN540827.1_FG005 transcript:KN540827.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGRFVVAAAVVAVSLAMAAAAAHDYGEALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVLEYGEEIAAAGELGHALHAIKWGTDYFIKAHTHPNVLWTQVGDGDSDHYCWQRPEDMTTSRHAYKVDAENPGSELLVEEKHLSSQQREVLEKYRSKAEYYVCSCMGRNPGGAAHNAGRTPAGLLFIRPWNNLQSLRPSSRRVEISGHSSTNSVNWMVITGRLRPAASSERRLRCLDVVGGGRGTPPATRRDGPLSGCAGSPKSPRASSPSSGSDNIEYYGEKIKVMECQELSWTWNGISFCSGSGSTQWKATADSQYSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPLLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQLCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSIIRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTGFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSKNGSLSLRVGYNAKLCGNNTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIANFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGRGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSMNNLGEESALEVEEQCGEISRVSPGPAVR >AMDW01069789.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069789.1:171:509:1 gene:AMDW01069789.1_FG001 transcript:AMDW01069789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCAQKNMCKYNVRGKQVSGGK >AMDW01039544.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039544.1:155:846:1 gene:AMDW01039544.1_FG001 transcript:AMDW01039544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLILSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVA >KN539259.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539259.1:115390:116177:-1 gene:KN539259.1_FG001 transcript:KN539259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRALVSKLRVPAAASRRALPPFRSFSAASQDKVGGTTARAAAKEGTPISDNSRKVEKFYRKLRWYQALGNFLGFNTSVYLFYRYHYT >KN539259.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539259.1:84842:88379:-1 gene:KN539259.1_FG002 transcript:KN539259.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASLALKPSASPLLERSKLRGKGTMARPSLIIVAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFSNKYGANVDGYSPIYTPEEWSSTGDVYVGDANPSHPTIIKAILVQSNGQKGKEDPDFSAFRPIYNPEEWSASGDVYTGGKTGLLLWAVTLSGILLAGALLVYNTSALAS >KN539259.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539259.1:52805:54100:1 gene:KN539259.1_FG003 transcript:KN539259.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVALLLLLCVYYGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIGDSFSFSLVLVAIYLALCLGYVSLQLLFLNFKHMIAHLSEVSVTFIGTSRQVSAQDHRYTYQISNMSIRHKIEELRPNWILASCNDSTHGKIYQT >KN539259.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539259.1:17865:19107:-1 gene:KN539259.1_FG004 transcript:KN539259.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTLVSKLRIPAAASRRTPPTFRSFSSASQELGSTAARATAKVVYPYVGHKYFPFYFGIN >KN539259.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539259.1:32696:33275:-1 gene:KN539259.1_FG005 transcript:KN539259.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAALKRVHKLLFGDGSVRLMPPQRPLPSSATNRFAEELRAAGVMDLNGKVNQGEALGAAVGKIVEQHSAYMMKYHQRAGAKDMVLHTLFFVAQILLMTYSSLSELLEDVTGLKTWESLSIQVQQKLHWVKDRTGEATVDQMRDNPFLYCFFLLDDPFA >KN539259.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539259.1:108897:109232:-1 gene:KN539259.1_FG006 transcript:KN539259.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLASSSDSGGSPHGWIQRWWRSSRPNLVVVASSGGGATAAVLPDAGRACDGCGIRGRSSDGNDARERSSNVAALRIGVALTGGAATATAPTLPGSVMAAAVLTSTQEKV >KN539365.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539365.1:82691:85868:-1 gene:KN539365.1_FG001 transcript:KN539365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKRAEPKGLLLRKAVIVSLLEDIANRKASKDHGYYIAVNELKAISEGKVRELTGDVLFPVTFTCITQKPTKGEILVGSVDKILKHGVFLKSGPIESIFLSEKTMSDYKYIGGENPMFMNDHSKLEKDTVVRFKFDVMMMIRPVAVMLAVDKRWKKAEPQQDWEMKCMPLSFVYKDLYNATSRFSDKMLLGKGGFGRVYRGFLPASKQNVAIKRISPESKQGMKEFMSEVAILGNVRHRSLVQLLGYCRNKHELLLVYDYMPNGSLDKYSYGQHKLALDWSQRFHIIKGVAHGLSYLHEEWERVIIHRDIKSSNVLLDEEMKGRLGDFGLARLHDHGVDAHTTHVAGTCGYIAPELARLGKATKGTDVFAFGVFMMEVACGKRPIEVNSCGEPQALADHVLNAWQRSSIINSIDPSLEDHVAEEVELVLKLGLLCSHSSPKVRPSMRLVMQYLEREATLQDFAFSFFSINEANNEVYGQHVVSNPSVATTITTLSGGR >KN539259.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539259.1:20826:21735:-1 gene:KN539259.1_FG007 transcript:KN539259.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATRGRHGCGGGGGGSERLALVAPAGSCGRPTTAAAGGLDRCGTSSNGKSACRDKAGPVAVVESLDSKGLGPPFPFTEMKKDYLSIKSFEVPRQQSTESST >KN539259.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539259.1:80222:84087:1 gene:KN539259.1_FG008 transcript:KN539259.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTWTTNELWQFTAKAQYVFTKLAKRFIKGCQAQLVLLNWHFIKQDAGEGTCIPGRAGSGWLCCPIVDWNQRGAQGGGKGAIWDVGCKGDNPDRSMKNEDVTLLVNYYRVEMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYYRRQQLTQSSDVYSFGIVLFEVLCARPVINPTLPRDQINLAEWVLKWQKQKLLETIIDPRLEGNYTLVSIRKFSEIAEKCLADEGRSRPSIG >KN539365.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539365.1:20219:20509:1 gene:KN539365.1_FG002 transcript:KN539365.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGGNPLGAGDENPRGGGGENTMGTSGGNPRGYGGRGPRGCCGESSRGYSNSKPTGTVEGWVAAAVMTATEGWVVVAAITTKAMEGWVLVAAA >KN539365.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539365.1:92658:92852:1 gene:KN539365.1_FG003 transcript:KN539365.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGENAMAARDGVALIRATAMVEKERRRWSHLNSCRLDPAAMVGKEPRSALISSPSAYELVD >KN539365.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539365.1:110807:111926:-1 gene:KN539365.1_FG004 transcript:KN539365.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLRKLPAFLPGGLRASSQVKVKCSSQLLLVLFNLLSFYCIILFIRITDVSLSYATKAMFKEIKNLFNIFDFVQKIATFQKTYGYQHSFLNIIKELKMYILNPVTFLNACSVTYTYFFMLIIWDEVLISGFGHKGHAVGDIRGVRFEVVKFYSRRSRGLRSSWLASFEYIIHGIFNCHLNCDSVDKNHGFIIIFILLHGITKFCSSTSAFGFYKF >KN539365.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539365.1:8435:9196:1 gene:KN539365.1_FG005 transcript:KN539365.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPCLAIAVLLLGVVVVAIGAFADEGAASGWGLGHGARFLSRRGRAAYEKSSEQEAKPASQQEPKSDSYSQAKPGPKAEPKPEPEALSASQPESKPATYSESKPEPKEEPESKPEPKAESTPEPEAKSEPKSEPKPKSEPYAEPKSEPKSEPQSEPNPETKAEPKSEPKYEPKSEPTPQTEPKAESQPEPKTEPKSESKAEPKPERKSYSKSKPEPESKPEAKAEPQPESKPKPKPESAPKKETPSSGYP >KN539365.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539365.1:99876:100624:-1 gene:KN539365.1_FG006 transcript:KN539365.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTFDEGEKTTAEAAGGDGVGEKKLPLPQGYLDYILRTGSRTAASRNYKTRSAAKLSYMVDKTYFTIQAELEAHFKEVWAKIDWSGIETADWDDFNGPNYLFSGECSMLMSCSRAVYFKDVV >KN539365.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539365.1:4423:5046:1 gene:KN539365.1_FG007 transcript:KN539365.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGGNPLGAGDENPRGGGGGNTMGAGDGNARGYGREGPRGCRGERPRGYSNSKPTGVVKGWVAAAAMTATEGWVVVAAMMTMAAKGWVLMVAAYAGGWLVAMMTAVGCKVPPRCKGKNFVLNTQDWYNNEQFSSDQELVPETEFQDCGEVEEKGGRIQDCGEVEEKGGVSRLWGNRGECRWDSRL >KN539365.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539365.1:54078:54200:1 gene:KN539365.1_FG008 transcript:KN539365.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARCVSAEAANCQVSEVADDGQGDAANGSGRALAHGSSGG >KN539365.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539365.1:113706:113873:-1 gene:KN539365.1_FG009 transcript:KN539365.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding IAWDSVMGRSRGFGFVNFEDSESVNAAIQGMNGQDIGGRNVTVAQANTRPRRWRA >KN539365.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539365.1:68555:81763:1 gene:KN539365.1_FG010 transcript:KN539365.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MESPLPASVASSEANLDGRMLDCTLNSVPSPPLSSPYEVGLGAEDPVKDSSPAVAWGTAMEAEDPEVDATCANGTALRRSRRIVKIKSAASSMPLNQNGDSSRVSKRRVADSRKSRSSEGSKLPVFTGPISVNTVDLINGVKVQGLQEIIAVENVSSSYDNNQKAGGLYNQVVVALPAANNSLLKDKGAFVLPRRKTRLASKVLVNSDRVSAISPVVNGGPPVQKSDVCIPTKKHKLAVEECLTSLDGVDGGGIVLCNSKLKSAKSRVVSKTPQGRGRRSPQPPKTERARTLSVKYLEKLKRAENNNNNGSMSKSPRVPMIPENNGSMSKSPRVPIIPELSTKHELVLDKHMIDSVMLETDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKDKRTSSQTFAKLVTVSFCGVFMYVYLQMLDFRGPEGKPNYIGRLLEFFKTKTGECYFRVQWFFTAEDTVIGEQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSVDGKSKSVPSSDYYYDMKYSIDYSTFSTIEMEDTDDLMQSCYTSRINDKMKKIDVNKKHKSPVLEKMELSLLDLYCGCGGMSTGLCLGALGGGVNLSARWAIDDDEIACESFRNNHPETRVRNETTDDFLELLKEWEKLCKTYVKHSRTKACVDSTTESNNETPDCSTVPPEEFEVWKLVDICFGDPNKVSKHGLYFKVRWKGYGPHHDTWEPVEGLRNCKEAIRDFVIEGHRQRILPRPGDVDVVCGGPPCQGISGYNRNREFEAPFKCEKNKQIIVFMDVVQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNDTPMQLARPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNVEVHGPRAHVTKDSKSSKLYDHRPLVLDNDNYQRVLQIPKRKGANFRDLSGVIVGPDNVARLDPMKERVLLPSGRPLVLDCILAYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDNYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAYLKKSGDDPLMLLPPNFAFSHDIRGFA >KN539588.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539588.1:46109:46351:-1 gene:KN539588.1_FG001 transcript:KN539588.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDDLSAWASQPSASGPATASSAGAGFDLNSQAPAAEGFPGLEMYGAFLQGNDDELLTTHMNAFRDELAQALFYGM >KN539588.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539588.1:85208:85336:1 gene:KN539588.1_FG002 transcript:KN539588.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPKVMGGFSHGDGVASNGASPVPTITVDLPSYTDQGIGT >KN539588.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539588.1:25726:27426:1 gene:KN539588.1_FG003 transcript:KN539588.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGIENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFKGEVVENLNLAGVDRVFVCTAISPTTVYMMHCALRLKRSGTSIPRIELVEVGPSMDLVVRRHRYPVESLKKEAMRTADHAKKMKNVTKDPVHGKLGKVYIPDQQIAKMSLSNDVKGLKRERREAKKNKDHSKKQKINPE >KN539588.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539588.1:48640:57154:1 gene:KN539588.1_FG004 transcript:KN539588.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MSEVRGGSGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGRVIISGFQHILKNEGLPGLYRGLSPTIVALFPTWAAKYCFMIDVCLVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLPH >KN539588.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539588.1:74217:75326:1 gene:KN539588.1_FG005 transcript:KN539588.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVVGEHHQACLHVPSARWTLNQVKVYQSMLVQSSLPAPLSHGKALSFVPIAATRRMPWKMHKVNIILGHRYLSRRSPVAREEMTRPPLSPTSPLRLAVARAAARTVAAGTGDGGGRGELRWVELWVMAAGMATAMEWRW >KN539588.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539588.1:5573:10525:-1 gene:KN539588.1_FG006 transcript:KN539588.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRPPSTPASKIERTPMSTPTPGGSTRVKEEKIFVTVRVRPLSKKELALKDQVAWECGDNQTILYKGPPQDRAAPTSYNFVTLLINDSISQSYKVFGPASQTEVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLRTKETIMKYIFIGNISRLTLNFQCSSDKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVMIVYLAHIIFVHAKVLELESNEGKTNDMEEDSEENAGSLQDGPDSWDRLFKEQMHHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLW >KN539588.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539588.1:90434:91735:1 gene:KN539588.1_FG007 transcript:KN539588.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKRVTAPPSSDHGSRENLAAGSPSNEVPAPQGDDAAQEDALPESPPKSKKNRKKKKKALRAGDSGKIAAPDDPAEPTRPQQDVGEVDGRLAESEQEVPPNAGKAKKKASAQARKKPSAKQHAAAQEEEDGDLMAEAEEEVAPRQGDEEDGKGPLPQRKSKRVAALSGSICPPDPKRAKIVDAQKPGFRRKWNGNDEIMILEALVDQIRSGGNVPQEPGHPLFHELVQRLEGRTFNHSDVREKVRSLKRRYNDVVLSGLAITKDHDLQLHELSCEIWGRSVAHAGDKQRSLARDEQSSLARDEQKSFAGDEEKSLARDEQSSLARDEQKSFARDEEKSLARDEKSLASDGQRSFDDMCKQFPLLAKEIKVLMEGQPAIMELFPRLDGDQVVAIEKKLENLRWIDMKRKKKMAVKMAKVRKGLIYKLEELRS >KN539588.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539588.1:66850:70281:1 gene:KN539588.1_FG008 transcript:KN539588.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWYASSLYGFRHASGTLEIATTHVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDMIPPDQTIRHPSPPKKINKLKSLIFRKKTKDHPNKLTKQLSAAGMVEELFEEGSAMLSERSMKYKAIYHSTTHI >KN539588.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539588.1:31283:33735:-1 gene:KN539588.1_FG009 transcript:KN539588.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGEDVHGGAAIAGCGAGAVDAGKERSIGASEEGEERPAAAIAEVSKLWTKLNIIARPQKWDMWHNKDIYRELPLKVSYQCFDILIKVLIEKLTPA >KN539588.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539588.1:312:1887:1 gene:KN539588.1_FG010 transcript:KN539588.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding WWMLKAEIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKQGKTKSLERDAKELEQDIQMLPKKDTMLSTQKKKVVVKVSQQQTEENLMEE >KN539588.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539588.1:78284:79023:-1 gene:KN539588.1_FG011 transcript:KN539588.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMELAPGDARFAKLAAKELDDKAPRKAAAAAAAAPPASSSEDQAAASSGKPKHRHDFYNCASEVVVTVFAKSVAAEHVSADFAEQMLSVSVEVVPAKCRYSILPSKIEVRLAKADEQVTWTSLEYTSKANNKLAATATTTTKKKVDWDKLEAEVKKEEEEEEVDTATPVVNRFFQQMYGNGDEDMRRAIMKSYVESYVLSTDWKDVGSKKIEASAPEGMELHKWEY >KN539588.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539588.1:37119:39891:-1 gene:KN539588.1_FG012 transcript:KN539588.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQRAARGWRGCGVDAEREEAPHFFLRDVWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIQLYGDPAVLRLSSGPRHAIDLIWKYNPETHLTFIIVNICMRCYRNHLVCSISSLSARFPGLRTLRSCDLSPRSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLSTGPEEDNGGAVKYWGPGSKPTIPLSVFGMASYKFSNSIWSSTDGDRQLASFLQQAASDWLRDSRTSHPDYQFFASRGAYHR >KN538706.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538706.1:307980:311842:-1 gene:KN538706.1_FG049 transcript:KN538706.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAANKIFLQSLKLNIPYSMLHVFLLDKDLLSLTPWLSSQVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >KN538706.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538706.1:155571:155784:1 gene:KN538706.1_FG055 transcript:KN538706.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding PTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >KN538706.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538706.1:220599:221273:1 gene:KN538706.1_FG056 transcript:KN538706.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPAHAASFQLLLILATCTTTTILATNGIPPSPAPALSPASSATAKEFLRSTCTSKSELPELCFDILLPYASSFNGSQGKVVRASAAVAIERHRGFLDELRGMKPGPGDVGAERRMLVMLLSDCVREFDATYMFADDTLAMIDFLVSGRGSEEQRASDKFNANVWLSSAMGSGVSCTDWFNEEGSHGDPASSPVGKKVIAGCATTTQYMSIALELLVNCIIT >KN538706.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538706.1:356926:360554:1 gene:KN538706.1_FG057 transcript:KN538706.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMGPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISLLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMHLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKENTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEVLHIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSERAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNLEAHYAELEKRHSDLQEEKDSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQEGWNREEQLEEEEQNIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVSKVLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNTLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNHKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVMSKDILSVVFRSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGRGYPVGRDTDLLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQSEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDCLQSNKLRMEV >KN538706.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538706.1:255408:269532:-1 gene:KN538706.1_FG058 transcript:KN538706.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWRKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPRKRGRAKFQSVSKASDTQHPDQLQSQPASSSYGCLSLLKKKRSGGNKPRAVGKRTPRVPVASMYQRDEKIGPSNRQAKPDGNGDDEGAHVAALALAEVFQRGGSPQDSQTPGRSGDRMFLSPVKSTDRKNADSEMGSSKLHGFQVDADFPEGSLGSREAETGDYPKYASYLMNNEGSASGKSQQKVKRTQRRRKKAARKTDDQLEDDREACSGTEEGHSAKKSKDESEVNGLGRKGRWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIVESVLLILPPMTFPESSAQIKDENKDNDSDEKPSMPAAVSVLEKKDKSKSTVKKVKRQSELASADMVARKKARIAKVPNRDGIAISETKQLDSKFGVQTEKKKRKPSAAKISKDEKSALKDVEKTEVSAEEGKVSSNKAMDTVDTTQGATTQQADLASKGRSRRKIGILKALAPECRPTDGADDLRSDKFSYPVNNVIDLKDSLSHCLSSRLLRRWCTFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNRCRVQFDRPELGVEFVTDIDCMPLHPLENFPESLRRQNIVNKYYNSFSEAKFEDRSKELGTGGPTGFTSNVCFDGGDATSNIPSNYPINTLMKQAKEALLVELRHMNEEVYGRQKDGEAFRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPAQSYPKPMENGALTGTPDLYNLFGYINQESGSQVMEVIETSRSRAKLMVDVAIQAMCSVSEGEDAYAKVGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHQDNTTSGHFDPATNNISSPRLPNGCDSEPQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG >AMDW01031946.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031946.1:217:334:-1 gene:AMDW01031946.1_FG001 transcript:AMDW01031946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLIRVLALAKIKGVVIGSLGNRNGYVILPSTEIKVFGIG >KN542245.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542245.1:4899:8270:1 gene:KN542245.1_FG001 transcript:KN542245.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFDYTPPPYDGPRAEEISRKRAEFLSPSLFHFYDRPWAYRHPRFGSYRDYHKLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAVYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGVELVTDHQKKTPAKVEIGHVMNHMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDSDFFIEAMDISLSKL >AMDW01035966.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035966.1:22:482:-1 gene:AMDW01035966.1_FG001 transcript:AMDW01035966.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KNGLMKEKAFNKEMKRKLEELKATTSSLRTQKTDLKTKIKGLEATATTLKNREKELEAALAEKNSRVSQMEATLTDKNSHIRQMEEKAAGTNPDQMAALMELLQQKEAELEEIK >KN542245.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542245.1:8885:11908:-1 gene:KN542245.1_FG002 transcript:KN542245.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRQTKDVVKSVKKRLQHKDPRVQFFTLTLLETMMKNCGEYVHFEVVEQHILQEMVRIVQKKHDTQVRDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGIMFPRRPVDAPPIFTPPATHHTQSYGSPTYPAGSLNERMTSDVETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIISELVTQCRSNQQKLMRFVSSTGNEELLKQGLEINDRLQSVLAKHDAIASGAPLPVETPRKDEIPREDPKIKPSAPPIAPPSAPPVEEDEDDEFAQIARRKNKSVISSDEASSSAGDQALVPVDPVTSEASSSVASNAVVPVDSSPASGTRTKEQDMIDLLSLTLYSPPEASTDSSSQNQNGTQQSVTSNGPELPPNYQPAASNGSHYSSNQQAYPTNQAYTPYNNYVVPWAQAGQGTQAGGAYQTQPMQQYGSSYPAPPWAMPASVNSTNPFQPATYQMQNPPVASVAPTNTYPAPSSPYAARPRQQVPSPATKPMQQYNSFVSQTRSGPAMAQDVRMNGNPRPSETTAAAAKPYYMPDNLFGDLIDVKSYGGGKMSRTASMPSPNGSGQPLLGGKK >KN540849.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540849.1:15016:18447:-1 gene:KN540849.1_FG001 transcript:KN540849.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMEIRSSLTSAQSEPPVSWSGRGDCCSWERIRCNNSTRRISHLDLFDIHQPVQTTDDGGGTTSVSVSESGSCWISLNLTILSSFRELQLLDFSSNDACIQNFEGLEGLSKLQYLNLSGNNLSRSIPGSISKLVSLKVLNLDRNNISGALQNKENLFQGFIPVNSSWNCSSFFQTLRLSGNDLSGKFEFFWLRYYGKLQRIDLSGNANLVVQMKFPGWVPQFQLKTLRLSGCKLDKSIIAEPHFLRTQSRLEFLDLSNNNLPGRMPNWLLTDEATIVYLDISNNMLDGSLDLMLQQQFNLQLLNISMNSIIGELPANISSVFPNLRILDVSHNIISGVIPLSLCSIHNIELLDLSNNKFTGEVPACLFTDCSELKILKVSNNNLGGMILSGASNLSFLWAIYLDNNKFEGSLPINLSSNVYIMDLHGNNISGRLDISFWNLSLLEALSLAGNSLTGEIRYEFCNLTNVKLLDLSDNSFAGPLPNCSTTLPLSFLNMSGNALSGSPDAFFNPSYIEALDLSYNQFTGNLIWTRELSQFSHLLLRRNKFEGQISSDLCHLKYMRIVDFLHNILSGSVPPCIGHIPFEVLLEYLDLRPTTGSSFFGEGFETMDLYDSSYMYTSHYDMQGFTFTTKGNPYTYGQNIFLFLSGLDFSANMLSGEIPQELGNLSHIKSLNLSYNFFTGQIPPTFANMSEIESLDLSHNRLIGPIPWQLTRLWSLEVFSVAYNNLSGCVPNTGQFGSLQMDSYEGNTNLHKASQGSCLGVGSVVASFSNMATTDDMSGLAFGSS >KN540849.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540849.1:35921:38283:-1 gene:KN540849.1_FG002 transcript:KN540849.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDPVQRARAREISPRNPGRKKKGGTPEASDAAAAAPARTLGRGVGSATAALPFSRGGRGVGLAPPPRSLGRGGGPAAAAIPPGGFPSYSASMDGFPFPPPFDGSYGGGFPSSSAWLDASGGDESSPGSWDKDVHPRGGFMSYFGNHAQNSHLVGAPIYIADASSPPEVEILQGNDDGNGNGNVRTEKRILWTEEEDIRLMNKGGGQYWGEVVESYNKTTPPLRKRNLKQCKDRWHKINRWTDLFECAYVKARRIFTSGYSNQMWIDAAHKFYVDDNKEAKLGPFVLMEVWKICREVSKWKTYNENLRNACKRKSFHLEGDSEEADDTFDEMPKRPMGQKAAKKAALDAKIKSNGSGSSDDGHSKESPIQLDKFDRYSKFQEENNDKRMKLLDRQEKISSEKLEATKIAHLTAQEYKEGRKLEKGSKMMETYNSLISQDTSSMSAEEEAQRVSMMKCLMKTLFPESD >KN540849.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540849.1:21910:26254:-1 gene:KN540849.1_FG003 transcript:KN540849.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGNLRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADITIVSTPRNVEGLRLRSSSQTRYLRFHALPFVPAEHGLPGDAESTDAVPPLHFITLFEATESRSLQDSFDGFVRDLIADAGADAARVCVIADPFLAWTTEIARLRGVAHAIFVSCGAFGSVVYHSLWNHLPHLRAPGDDAFCLPDHPERWLDTQEERSVLYISFGSYNSLRPDQMVDLAMALELTGRPFIWAIRPPFGFDIETTNGREFSAEWLPEGFEERMRAKNIGLLIHGWAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGNWPDSPALEREWVVEVMEMVMGITAKADKIRQSVKEIQGMIGRTLEDGGHISAFLSLAALLHRAQPTADITFVSTPRNVEGLRRRTSSLTQYLRFHPLPFTPAEHGLPADVLSPSTAPRSTNSWISSRPRTHSRKASMASSAAAPPQVGRTARVSASFVADPLLASTTSVTRRHGATHAFFVSCSAFGTAVYHSLWNHLPHLRALGDDAICLPDHPEVTVHWSQLPVQLLYADGTDRSSAYHLRQISAAYDTDAVLINTVEELEPAGLHMIRKTIGVLVYPIGPLVRCNHASDNDDDYINRCLTPKQRAGPQTSGSRKGSRRGCEPRTRGSSFTGWLPQVAILAHSSTGAFLSHCGWNSVLESTAHGVPVVAWPLNAEVLEEWGSCVELCRGNAPDSPALERERAAEVVEMVIGSTEMAAKTRQHVKKI >KN539336.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539336.1:61495:61704:-1 gene:KN539336.1_FG001 transcript:KN539336.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVAFNCSGKARMVEVEVNYDDGSYATCGRHQPHGQIRPNPTPIKTSTGKEDLKLYGSLNELNPYTSS >KN539336.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539336.1:97658:97897:1 gene:KN539336.1_FG002 transcript:KN539336.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGEEATQGGGQRWRINPMRGGGAEEIGEGGGDRGSGEEADGRGGGDAAAGRGGNAAAWGGDRSERGEERSRDGRGD >KN539336.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539336.1:42927:46410:1 gene:KN539336.1_FG003 transcript:KN539336.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLKFSLVPQESYAAYCASRFGRLINRPSILDADNEEYAADHCQDVWAARYPSEPFDLEDNETEGNSSNDKSADEIIEMVQRYTGLAARFASPFISEGVYHVAARRRYMRFLELIKKIVSTTQGNTRLVPSLDILLMWLAHQSFPVSYDADMAAMAIKDNVAKIVVGYGEVVSEDMVDRTRVLWEEAFDEPYDTAGSEIDKAEVDAAREAFYWETAASEEDANRLYKGLQPRFIMEVYVFLKGEFDSEHTSKEFLRLRTQRCYRSLKLNKPLFNLSCKNWQKTWHLYCEFSTRGLIVEVRRSTSGCFRNSKLIKNISFSWSDMLHEKSLTLTEELDVRMKAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRTKGYRPQEGRWLTRTVLDHSGKECFVIRMRIGRGFWRRGAETPIAVKWEDRIIEIREGSWSYIANASSIGYAPGQMLIAFTLWCREGGGNSNPRKRSTGQQGGLALFNGRCTDGDRATMLLNWKLLVVEFLPEEDAVFALLLCMAIARTMTEIRREDVSGLLVRRRICEMKVGQRDWGSVMLPNSPSLDPHLQPWYRNAAHVLSSAETASNGVMLMKYSPADGKDELYRKALIP >KN539336.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539336.1:70510:71742:-1 gene:KN539336.1_FG004 transcript:KN539336.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVYLYPVTLVPAVVGRFSILASPLLKEFEEHNLQFTSIIPLFFFYSYISKFLSDLQGQPLPLVKLYARALHRFTSCSSSKSRASLRIYMDTAVVVVLSYLSLLKINLSYIWLAVFPTLTLAFIAALFNEELRGDRRAAVAEEDDGRRRKDHLLELKAMAVVPYWVLCAVGQFQGGDSFAVSQFLLFLGSTLGALALMAARLSQLAGAAPGLAPASELLRRAALVVLLWPGQAETAGSTAAALIEVVRVLKFWGNTLLAAAAMLLLLALLTAFRLCLQEPILPTVAKCFSDYIDKVPQMLV >KN539336.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539336.1:88149:88469:1 gene:KN539336.1_FG005 transcript:KN539336.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLGVVDATHAEGRRWLLILVAREIATDIVGEAIYQTQRYTKLIEGHSAIDAHRALPLSAPAALALHSIRALPLSAPATLALHSIRQLQQAATIGDRHKLLCSA >KN539336.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539336.1:36062:37312:1 gene:KN539336.1_FG006 transcript:KN539336.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAVFCLGMITLVIGNGMRFRILQPKQQYGSGVALHITTAGIVLNSYVFLLLINTRYISLAVFPAIMIVFIGALCRKFWVEETCRRQLRHDSPGDSKASSVLRKSEEQLTLVVAVLPFWLQLPGVMLARGTSWQQDRVLVSHFLVFISSAMVALATLIARTVPAGIYPCVSRVLPVMHRTCIALILVSVHTMAGEWFGTKSMALACTPELVALLIWFSVHYAHGHDARACKIICLFAVSLLWAWAATYDEMTILQGYWRSSFWGISGLSGGLCYFSSWILKQWPKDSFRPTSDHHALLLLQLLRISAEISLFTSVPSIALQLPRWVRRISAQIRLLASVPITALQLARWVPSKHESLMSVSTISVNVLMYCVCFLSLNNVDKYHPGMSTASISRQVLQPMLFVLPSILISHFTGK >KN539336.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539336.1:105972:108838:-1 gene:KN539336.1_FG007 transcript:KN539336.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAIASRLPRSGFRINLKIRLVKASVLLNMVATTSPYSPLLQIIPAAGCFLNLLAADKEYRDRPDIVKIIPFVILLLHILSYVGFVLVQNHKYSNIRVYIDTAIVVVASYLSLLMVNAGYIVLAIFPFIALGFIVVLCRALVGRGNGAADADQAGSSTGTGGEAINIDIGKKAMREAEQLKAISIGPYLLLGVMGRLSPENLAVSRFLLFLSTTLGTLMLMMIRLPAGVVAPGVKQASDLLRKTSLVVLLVTVHTMAAELLGENVVLFLMPELVPALLWFSLNIDRGSPVITVDTIESCKNGLIFLGTPAAICLVAYLAKSMEESGVSGCVMTSVSCGVSGLLVYYVVFMLRQWPAAQSTATGTSGVYSLGEFWAIFLLVAAAALLLSASVAAVRLGLHEQAVPALLKFLKEYYV >KN539336.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539336.1:74049:75685:-1 gene:KN539336.1_FG008 transcript:KN539336.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEALMNRSRAFAEAVVIMVCPVLLAVALKKVDLKSQEHGRAAAVPIFMLVMAAFTLAFGTVPYLALSFSKRFSDHRWRLPAKATTWLASSSCACLVGLACWIIHLILSSRWAYAFPAMGAVFGLCIVVRTVSYCCRARGDPANLVAADERELPITRTALEDAMELERKLDESLELLAGVTALLFLALEGLALEGQINGGQRRLAAPMGVCFFACLFGVCFMLVETIPPPTPSRNDTGCRASIVRNLTEICDVFMALAIAAGNLEGYFDRQKLITL >KN539336.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539336.1:47291:51878:-1 gene:KN539336.1_FG009 transcript:KN539336.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHLSLSPSLLPSLSPLLPLPFPQTSPICNPARLHTISRRNLNPLGFPAVALEYGRGIRGIRILLPLLLLRFVTLDLGFLQGGFEEWMALVRKRNGSGTPSSSSPQQPRPGGFAAQSSVSSEGLELGHPYSDAIDYSLSYQLPTTSLWDRLGRVTMMDIESSDFNWSSLSSLHHTKHTDTSTEPYEDDTSRSFEVTVNSGGVVFIALFKTSEYDDLPSKETAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNFSSEWHQMKDAVENARDAAISSCDELEEMICTEMLEALELSRCLFLMNYVHGSPLLENTMPFDSHDSAEKTAEALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVADRNAYANLDSLDDVYDSAIIRYKPEIIRSPQNQKPRRAVSISGSIGSDTSDLMLPDNPSQPEISSFHIIAIDSGVPRRPPACKRAKDQESYPKLVELTLNNWDYSSNLLFELSFGKLGIPGPEEFDVSSDLSYHSPLSESDMVAAVNSFRGGFRSALRDLQRFHIFLVTLYQKLDGLLKIFFNLMYKVLNEYDREDAGSSDSPCSTETHMDSNDSEVPKHMRRPSRTLSRDNFDLSSPSSRESFMIKNFKGSNDASRGLRLTMKLRDFNKYAKADGELCKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTYTLKHLGITHVLCLCANEIGQAESQQPDRFDYRNFSINDDENADISDVFQDASDFIDYVQHLHGKVLVHCFEGKSRSATVVLAYLMLRKKFTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWHHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMTLEIQKAVEAIKAGRGGGDSPTHNAEKVTS >KN539336.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539336.1:28272:30337:-1 gene:KN539336.1_FG010 transcript:KN539336.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYYSVRGGGQSWISTVCPFIWIVYPIGFLLPSLAEDLDSSTGWPNSSSMSYFRNVSKDCICSVPLKMDCKDSLKNRIRIFTEAVVVMVCPVLLSFALKKVDLTANRSKQIVDSISPIGALTLEAGILPFLGLCLSTVLGERLAWLVLASKLLIHLCVILLMALAFVILLLISESNLVYICILIPFVPFILWLCYNSVKYEEHEKDGDATKSADHRKLENSVDFSASVTALLFLGLEGLALEGQTSGIKGLDAHLALSLIVSFVTCVVGVVVMLVAMVPPMVRFLVDYYALVILDFILAIAVGAIVLLITMARLREAAWSVFTPWLLSFMVWLFKSLYNNKAGEDKDVKPVSLELTKAAFTGFLAVSIPSFSNISSTSGYTHAFVLLTGSAVLAGLAWRLTTHEKEPMLATAWAGNVASLCAHMCVAVAVVPFACMSMAVLRSQES >KN539336.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539336.1:92230:92583:-1 gene:KN539336.1_FG011 transcript:KN539336.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAKAQLSRGGGNACTDAFDKLWEKKKADAEKKKREERHQQSYELDKERLELDRKKVENDKKKLENDSDKTQLERMLEEERIMTMDISSKPLSQQLFYKSLQDEIIARCVNSSG >KN539336.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539336.1:114497:115642:-1 gene:KN539336.1_FG012 transcript:KN539336.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRSFAEAVVIMVCPVLLAIALKKVDLKSQEHGPAIPIAMLVMAAITLISGTFPFLALCFSKRFVANGAWRLPTTATNCLAPFSCACLIVLACWVIRLILSERWANVFPAIGGVLVLCILVRTVVYCRARVDPVDDGELDGKLDNSLEFLAGVTALLFLGLEGLALEGQSNGGQGGGQHRLAAPLGVSFVACVFGVSLMLVETIPPLPDEEAHGNDVGVHVVIVSNLTVIFDIVMALAVSAVMWSVMHAIVELRALLLVLPLFLILLVRAYDVVVGAGGGGGGGVGGGEDEKPASMELTKVTFTGFLAVSIPAVRTGSLCSSTDWFLIFAASAIVSGFAWRLLTHAKMGTTANFASFCTHFCIAVATVPFTVMAVKALH >KN539336.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539336.1:56843:59958:-1 gene:KN539336.1_FG013 transcript:KN539336.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHGFQTRFHLHHRAFIEPRAISTYSYVRCNILLYVQYCFEKNVIMFAIKLAISFCGTVYHLEQQREAMEQTLDVHRSLRPPMPMAQQQMDEFWRDRQKEIEMTKDFSEHMIPMARLKKIVSSQKGNMMITFDMPAFLSKMCELFVQELAVRAWACAQSHNRCIILDTDIAEAVASTESYDFLVDILHNHSVKQKSTPCSSTKCCRLVDQPSASHIPHQHLLPQFAPTYTSAIPITPSLMPLISQCTPSSFPSLPQEMFPLMAPTPIVNRSMLFIDNIARGLGLQGNNINAVTNNNILDNIVGCSSPAVLASMMNPALLGPLGAPLNPPNSQSYNCTMDIINSNDACGSNNSSVIVADQANIAPSGHFYPITLQSSCPTFLHSNNNDTITAIPEGVDISDIMHVTSNVDAATKVFSGQEEQHEKETNIEWHHQNEIYESIDIGIINATTRDGNKCSISWDELGMADDSLLDNFLEELQVRKDDVSDTRIAFNKDPFLDDVVLSNPSTSNSNK >KN542927.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542927.1:7822:8849:-1 gene:KN542927.1_FG001 transcript:KN542927.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENNAPVGGKKKARMESDSPIPPTPNCVAGHLACGVCHGKLADVHCQACGDGGAAYAHNPALDAIARSTKIRCPNDRMLHGASMEMPLRVRIDKLGAGATNRSAIATQTKK >KN542927.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542927.1:10077:10751:-1 gene:KN542927.1_FG002 transcript:KN542927.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding CDIGHVVCSACLGKIGENCHMCCKTTGYSRCFALEQFIDAIKVACSNAKYGCDEFLPYYQKEKHENECIRVPCFCPVHGCSFRGSTGSLLDHLVNKHEWSPTNLEYNKPLKISMAQDRQFALFVGEDLSMFLLANILTDIGNALTIVCIGSHDSGSSYSSKISVVDRVARDKGKFVFLMDPLVATSTLLGGVQLGKFFLLVPPELLDESTHELTINIRIDKINP >KN542773.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542773.1:1210:5103:-1 gene:KN542773.1_FG001 transcript:KN542773.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESAGMNINMTMNTIITTIITMTMNTNMIVSQNRLISLEGFQTLSTILNMLGVHDHHAHDHTHDPGVSSVSIVCEGEMDLEKGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >KN539652.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539652.1:3265:5970:-1 gene:KN539652.1_FG001 transcript:KN539652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALIPVLLQKIATTLSGAAQNAISSQLGKEDTILFDVENSMREIESEFDVMQAFISQVYPYGMKNEIFQSWLKQIKKVALEVENIVDEYAFLRGKMNGTESFLRKTFYKTKKFKVWHNVASQLKHVKSKLQSITNMKERYGIKISDKDGTSSSHNISRQIYSSDSSYLNDDDNAMVGQEDNLQNLTNFLNVADMNRAVITIRGMGGSGKTTLARSIYRKQDITKNFNCHAWIVVSRNDQIEDLLRSIMEKLNIQCQTNSRGEMVLKIHSYLENKRYLIVLDDMWDKGSWLSCLDDAFSKRSQGSKVIITTRNEDVAKLAESPEHIVSLNTLQPTDSWDLFCRKAFSKLPEATCPEGLIKRAEEILEKCQGLPLAIVTIGSHLSYRGIEERDWASFYYQLNWQLTNNPELSRVSNVLSSSLNDLPTHLTNCFLYCAMYPENIRIRRKWIIRMWIAEGFVEDRGTETTIEEVAEEYLKELTQRSLVQVVEKNEFGRARRFKFHNMVREIIRMASQRQRFALTCDNADVTSLGDAVRRVSVHTGGQNFQPGVAWRQLRTFLLFDNRVSITWISTASTNFRLLRVLSLRYSKLEDFPSAILGLFNLYYLDLSRSTKLKKMPKSVARLRNLQTLHLRRTSVSKLPREITLLTSLRHLSVSRDLYGTSIEGNISRLKSLHTLKDVKASKNVVQNLNNLTQLRSLSLTDVLASDGDVLWSSIGKLKFLTRLAVASRYEDEDFDLESFTPPQYLEKFYLDAKLENGIVFRKPERFQNLKLLVMRFSGLVEDPLGSLSQMPNLVYLELNNAYDGKELEFCSEWFPKLKQLCLENLKNLDSIKIIEGTMENLTDLKLTELGNLNAVPEGLKHLKMLQRLFARNMPRDFTDGLEEQRRGILMHVASIECV >KN539652.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539652.1:51915:58493:-1 gene:KN539652.1_FG002 transcript:KN539652.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLARICLILLLSAVVLTAASGARLPPRHQQRVRRLSSAASDRGRNRPPTVLALVVGITVLLCSFAAIAVAAVAVASFFRKLFPCLHKLMDEVDDPFRVAGGMVSSECAVPNRFKGYGAVSSSSVRPDGALIGKGVAGAAFRGTIMGHDGLQTPVAIKRFHATICKEMMQSVRSDLAGQPLRHRNLVSLVVHQKDSHFSYLLGGYCLENQTMFLVYDLMDNGSLEKHLFTTERHCLSWSHRFNIIKGVALGLQHLHKNGSIHGSVKASNVFLEEGDLTPRLGDFGYSMLEPSTLEPPRDDIRAVYRLPEAAECVVPNCSAKATREADVFYFGALVMEVVCGRRFVPRGAAEHRSFLVDSVWILHGAGCIIDAVDTALRTADGGGGDLNRAQAERLLLVGLACSYRDPKQRPDMDTIVKILQSDSVPPPVVPKTKPEEYYVPVPPEHHHASKAAPPRKSIAAAMGPCPLW >KN539652.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539652.1:42257:42742:-1 gene:KN539652.1_FG003 transcript:KN539652.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQERTQRGTLYRILGSMQPSTSTSTSTAHRRGTERPAAGTKRTQTSQKNSRQYAYEHDELDKATNRFVHMVGEGRAGKVYRGNLMSNEANSIGVAVKRFHSTLVSDLAVEAVKYRVKNLVENRPHQNIVRVHE >KN543600.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543600.1:1178:6075:-1 gene:KN543600.1_FG001 transcript:KN543600.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVTASVGALNPLLTKLSTLIEGEYKLLKSVKKDIIFLRNEFSSISVLLEHLSNKEDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLACGDANANFVRKIGSKIKKLWGKHQITEFIQDLKNRVMEEDQRRKRYQIDDFISKPSVVEIDPRLPALYEEVERLVGIDGPREKIIKWIMNKDSMYPEDYVIDRQELIWRWIAEGFIIEAKGQTREQIGENYFNELINRSLIQPVYIQYDGRAKYCRVHDIVLDLIISLSTGQNFVTIVHEQQHWSSFKKIRRTWFPSNGTDNRIVKEITNNCSHVRSLFFDNPQPEQIPQFKKCHALRVLVLDGCMSLESQHINSLTYLFQLKYLKLNVANVTELSKDIGRLQQLETLIIRGGYVDEINIPSSVCRLHKLEHLIVGGRTRLPDEIGVLQALQVLSLSCSHYSTKCLQGLRRLTRLRQLHIRCPGIHHFGGDVGMFQRYKEAVYLALNELGKNGLQSLHVVSNVEFLDTLMDACCSSAPDLRELFIEGVGISKLSERVISLSNLAYLNIFCNTRSIDQKDINLLGCIPKLLYLQVLFSQGLGDGLTVGCGGFPCLKELNFDNSRLHWLLFEPGAMPKLQRLRIDLFAQKAASNIGFGQSYVHLSSLQHIIVDLNCSDATTRDVKALEDAIRNVASIQTRCLTLEILRRYEDEMVKEDEEEQLKGSTEGGGAEDHHIQ >KN538801.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538801.1:102096:106732:1 gene:KN538801.1_FG015 transcript:KN538801.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGDADRNAPLLAGGRKVYHERCPGCRQQRKVQANDRLPYLDFLYTWIACLCAALPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESVFGRFPYFLPCFVISVLAAGACVACIWLPETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKVLINIASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSVIGFILTFKPFFALPDMR >KN538801.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538801.1:59570:62720:1 gene:KN538801.1_FG016 transcript:KN538801.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEERRGTRGMWAGPISLGGCRKLLLYSDQTRLWDKFLLFAMMWINLKVPFQEVFGGVRCNLKEAVQLSGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQSAPQSITCESSPAHSPYPNQSHHKPMEVMGSPVQVNPYAGITVKKPMYCHCGVLSQIKVTYRPGPMHGRYFYGCGNWTSTRGANCDYWVWLS >KN538801.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538801.1:4559:6592:-1 gene:KN538801.1_FG017 transcript:KN538801.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHSDTFRARRTHSSTKILYPLKIVSSSYLTGLKNIDRYPPHGRRTVVLEDGVHWDRVRAPPVDTHAHDLHVSDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDEISKWRQLWPTDILE >KN538801.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538801.1:209:2938:1 gene:KN538801.1_FG019 transcript:KN538801.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding FVFFGSWFVVVVVAYTMSSMACHFLPDSGSNLSAADELFQNQRSEQGIYWTLWDSRLSDDLNTTTVYSDNHGSNGGGAQSFDTSEHCSTVPSDSDEQPGYPSQFEPLHMEQTNDMFLSQFSDEEVRRMDAPFQALDMFPDSMHRLMSYEHMLSRALVSDSKNQELCHESFLCSSMWNLMLGFDVAFSTFL >KN538801.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538801.1:111467:116922:-1 gene:KN538801.1_FG022 transcript:KN538801.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G26990) UniProtKB/Swiss-Prot;Acc:Q8W207] MAIGIYSRGLPMPKSDDSLTLLHDSLIKSHSRAVASRRVGGLRRMLAEAYLALAIYVVIQDMLAVHGEHLGGLLGHLAVSRDCVREGALMSVHGLWWSRYRERKVKRRKNRRREGMVETDPEGALAGFDQVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYTKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQVILVQLKSCALNRTDPTLFLMEELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYQAIDKWNTQLKNIYQTVSNRVG >KN538801.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538801.1:56339:56956:-1 gene:KN538801.1_FG023 transcript:KN538801.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHVEASVPFQTRAAAEHAWKLNGRAIYDGCCWLNIQWAQPSSSTLAAATPLVGIAKELIANVLELKAMLKESNASKEVEDGRTEQKVAAVDLAAMAPVAMPLTQTSPPVDSLVEQEMATQQKIVEGTTRTLPAVVPSSQFPPSEAGFSNSKEQEAAPAWSSEAGGMAWREGGSAFCAKLEHSDGACCAQPGYEAIVWQCDFLF >KN538801.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538801.1:37573:39065:1 gene:KN538801.1_FG024 transcript:KN538801.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVRFENSSEIGVFARLTNAYCVLPDGGAENFFSVFESELADVVPVVRTSIGGTRIIGRMCVGNKNGLLLPHTTTDQELQHLKNSLPDQGTEEIISDVLGVEVFRQTIAGNILVGSFCAFSNKGGLVHPQTSVEDQAELSTLLEVPLAAGSINRGSEVVAAGMAVNDWAAFCGADTTATELSVVESVFRLRDGRPGALGADMSRSLVVSSYL >KN538801.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538801.1:79356:81598:1 gene:KN538801.1_FG025 transcript:KN538801.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLPYKRYILLWLPMFLVQFIVFVHLNILLILSNNCVSCRDLGNSNLSGHLVPELGHLEHLQYLELYKNNIQGTIPAELGSLKNLISLDLYNNNITGTIPKELGKLSSLVFLRLNDNSLNGPIPRDLAKISSLKVIDVSNNDLCGTIPTSGPFEHIPLNNFDKNPRLEGPELQGLATYDTNC >KN538801.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538801.1:107357:108770:-1 gene:KN538801.1_FG026 transcript:KN538801.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAKCNFVGIDMFQTEILDIQRHPML >KN538801.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538801.1:121582:123283:-1 gene:KN538801.1_FG027 transcript:KN538801.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MERLFNAEINKQLNQEEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTTFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDKMVEINKKIIAIGESDDIPLVKNLKRIPHVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >KN538801.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538801.1:64478:69577:-1 gene:KN538801.1_FG029 transcript:KN538801.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALVAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKIHGKWKSFAKILWGITCKNRLGMGAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >KN538801.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538801.1:39843:41323:-1 gene:KN538801.1_FG030 transcript:KN538801.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEYVEESKLFDLGERDYASRVDLVAKVHGIYRAEKYIENVPASHRGEVVYRTLLANCVAIANVKKTEQVFNKMKDLGFPVTVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLFQATIARHYIFGGYREKAEAILEQIEGDDINENRSACKFVLPLYAFLGKKADVERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFENMFKTWKTLSFKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPSTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPLYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >KN538801.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538801.1:82845:85115:-1 gene:KN538801.1_FG031 transcript:KN538801.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium-dependent phosphate transport protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29650) UniProtKB/Swiss-Prot;Acc:O82390] MSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPFAAKLGLPFLLVTRAFMGVGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHNFGWPSVFYSFGSLGIFWFSTWASKAYSSPLEDPGISAEEKKLITSQTTGGEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVSANFGGWIADTLVSRGLSVTTVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQHGLA >KN538801.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538801.1:53044:54996:1 gene:KN538801.1_FG032 transcript:KN538801.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNKEAPLGCLKPISQYNLQEQRSNGFPENSEKKNDSIATEKVLEASPLPNQGFFRPVQRPEYYAYPFIYADYQMPGQPQPYNLDNQFYQINRDHSFPIENRVQYLPFKMPPQGYPPDAQLQEFQYFVVIDFEATCDKENNPHPQEIIEFPSVLVNSATGQLEASFQTYVRPAYNQLLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEEKGIKLKNFAVVTWSNWDCRVMLESECRLKRIRKPPYFNR >AMDW01017261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017261.1:53:244:1 gene:AMDW01017261.1_FG001 transcript:AMDW01017261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITGFASLTIENVVDPSLIAGFVVCYGPGESHVIDLSVKGKLAMLKNRVDSFDQTIAHPHQ >AMDW01040393.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040393.1:386:1417:-1 gene:AMDW01040393.1_FG001 transcript:AMDW01040393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LVEKKAYVLPLQDLPNRHIIGSCYGWIVTADERSELHLVNPITGEQIALPSVTTIKQVKPIYDDDVAAAKRYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPYCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGEIHMFDLTDPKVAPKIVMGKVKDFLYENIYIVEASCGNLLQIWRSNDLPKGDAPEGDEDDDDHSFDPESEFDSQSYVHDTNTIKVHKVSLTEGKIVEISSLDENLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFEYKDNHRDIGVLDLENFRREEIVSPQIWSNWPPPIWLIPNPRRMKLASH >AMDW01029343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029343.1:1:357:1 gene:AMDW01029343.1_FG001 transcript:AMDW01029343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGARALKDQGNALFRLARHSDAAAKYALAVDNLRSVSVDVPLSRSLRAVCGVNLMACHLKTGRHAECVELGSEVLGLDPGNVKAHYRRGQAYRELGRMEEAVADLRRAHELSPEEDAIA >AMDW01035134.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035134.1:6:478:-1 gene:AMDW01035134.1_FG001 transcript:AMDW01035134.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KATKSFYSLPRMCNMTSAQKAEVDALEKRIKPQIPFYITVMDKTSATDGLLAISKDYAVSYLLDKNETIKLCHSGRSMTWDISLDIDTDDQYALSTG >AMDW01068821.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068821.1:358:543:-1 gene:AMDW01068821.1_FG001 transcript:AMDW01068821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFGTKRWMQREWKEEKDDEVVKEEKTEGDGYSLGLHAPGFFDKVLHVEKCLLQSEPADK >AMDW01118110.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01118110.1:516:953:1 gene:AMDW01118110.1_FG001 transcript:AMDW01118110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SQSFIGINYGDVADNLPPPASTAHLLQSTTITKVRLYGTDPAVISAFAGTGISLLLGAANGDIPNFASSPAAAAAWVAAHLPSTSSPAISAVSVGNEVLFADTSLASQLVPALQNIYAALPPNSSVKVSTVHAMDVLASSDPPSSG >KN539457.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539457.1:58299:58904:1 gene:KN539457.1_FG001 transcript:KN539457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASMFLKQMVSTIVAVVKAKSTAVRAKTSAMKTRLLIFGVLRNRKLLATAINHKIHAIMGGAAAQDTTNDGGVAGVEDDDGGGRKKAVVLYNTAPSFLTERGYYDHAGEEEEEEEDSDEYLTHSLFQEEDDDDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >KN539457.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539457.1:34859:40162:-1 gene:KN539457.1_FG002 transcript:KN539457.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFAPPVSKGSRRSRSKILEADVKGSWHGGGHGHWLSSADVMSNATAMEIVTCSQDDVSRCPQEKTVNLHDLHNSLIASKELVRVLAHIWGPGELNPSTTSLISALRSEIDLARSHVRKLIKEQKSEGNEIESLKKQLVQEMESWKSKQKEKVANALQYIVSELDSEKKSRRRAERINKKLGMALANTEASLQAATKELERERKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAINQLHDELLAYLDTKKEYEQSNDQMTLLRASENGREIADNFQKNNGELSDEDEDDDDDSASEGSDMHSIELNVDGNSKSYTWSYTPTSKDRKRSASFSQRGMDSGSSCGFDRKFQETGEELLEGDWAEGCSNGMLNFEHDEERYQAIKNLREQMLAGSGFIVSQGREHAESEFCGL >AMDW01040796.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040796.1:50:2217:-1 gene:AMDW01040796.1_FG001 transcript:AMDW01040796.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELRQILVFIWTKILSLDKFILFLKIQSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIDVCLRHLQPENPNDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEIVICLLSEPQPEVRASAVFALGNLVDIGSPSLNGADDESDDDEKVRAEINVVRSLLQISSDGSPLVRSEVAVALTRFAMGHNKHIKSVAAEYWKPQTNSLLKSLPSLANINSSNVYSPSSLIQGSSGLASHIGPVLRVGSDNSATARDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYSRSRPIDNGIYSQFIATMCNVAKDPYPRIASIGKRALSLIGVEQVSMRNSRLSNGGAHPGETSVPPSSNFGMARSSSWFDMNSGNFSMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHVLNSPDGLADPLLSSSAAPSNMGLDILPQSLIYRWSCGHFSRPLLTGSDDNEEANARREERERIAMDCIAKCQRSSCKMTSQIASWDTRFELGTKASLLLPFSPIVVAADENEQI >KN539457.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539457.1:63604:70072:1 gene:KN539457.1_FG003 transcript:KN539457.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIAVASFPRPLGSQVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLYQSEAAANGTAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKFLCVCADIIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLYQSEAAANGTAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLQEQHEASPLLGSVITCRRDDEVKDIILKLDSEKIHRIYVIDDKGSTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTV >KN539457.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539457.1:78839:89283:-1 gene:KN539457.1_FG004 transcript:KN539457.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFFDSGVFADVGGDWMEDLMHLGELFGVGDDDNGGADGGVGGGDDRMREWRNNCEGGGSPEYHQPSCGDGDGDGDGDVSPRDGELGDGDGDNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLKEEVSEAEEDVSTISECTVKLWVMAALLKEGFRPQPTLLEFESRVDAALHRKKVDIQEALKSPPALQRTLRIYVFNTFANQAPRTIPPPKNAEPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFSANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRSRVIAALWQYIKAKKLQNPTDPSFFMCDPQLKKVFGEDKLRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVIVDVPFPLQKEMSAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLIAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPASGNEGPGGGAGGS >KN539457.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539457.1:31379:31780:-1 gene:KN539457.1_FG005 transcript:KN539457.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAATACSTLLLLIAAATALLAPAASAAKLVVAGKDAATATAAEAALGSTVAPWVEADGGGVVGGMMMVAAGSVEYGHGGVHHRRVLQAQGGGNANPSLVADRQRCIGSCPARGGSYTGRGNQCYYHNQSC >KN539457.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539457.1:73840:75429:-1 gene:KN539457.1_FG006 transcript:KN539457.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARMEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPTTPHSLEGGPDTARSASLVGNPFDDQVFDLVRPEAIDDLRSIAQRMDRAGYASELEQVYCGVRRDLLDECLAVLGVERLSIDEVQRMEWKLLNDKMKKWVHGVKMVVRSLLTGERRICDQVLAVSDELRDECFVESTKGCIMQILNFGDAVAVCSRSPEKLSRILDMYEALAEVIPELKELFFGNSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDKLLGDDSAGDVDHSDTHRGGDDEEEYLESLSPLGRHLVKLISYLEANLEEKSKLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRISWTKVLSFLKDDAHGGRSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENQLSDLFEGSLGPANHSRRR >KN539457.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539457.1:1996:2706:-1 gene:KN539457.1_FG007 transcript:KN539457.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSKQALATPLSLFLLHLLLAALALRLVAVASASVVVSVAGEAENNATAPWTTEERLVVVVELGSAAARQLQLGGGGVELHHRRRELAGKIPFGPLRPDGSACRPHCPAKSGLPYTRDCKFQWAISTPRHQSWHFLGSIYLSSDIYFPLIY >KN539457.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539457.1:44274:49919:-1 gene:KN539457.1_FG008 transcript:KN539457.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 11 homolog [Source:Projected from Arabidopsis thaliana (AT2G05170) UniProtKB/Swiss-Prot;Acc:Q9SJ40] MDKIFSPLNKAQLYGPYIWAGMGPVREQQRNVLITVGDDDQPSSQSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPQAKITSFVVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFMLQVEDGTSLPITGLGFRVEGQSHQLFAVTPSSITLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEIDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVSEWGYIILIMSDKRILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDGGDPMTRRGSNSMHLLMIPSPMDFVNIFVHSPKHLMEFLENYTKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFEEQNSKERKGKEVANGYKSGPREKGNLGKEDMNVAKDIVDRQRKGLALLKSAWTSEMDDPLYDVDLALIICNANAFKDGLLFLYEKLKLFKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVTMVMVFILAVLALTRN >KN539457.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539457.1:102379:103653:-1 gene:KN539457.1_FG009 transcript:KN539457.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding NSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVSFYLRWDIDMVNPAILTMKTYTSCFRYKTGVMGVKQAEPRLQLKKHRRVSRKKINQSILDDIDDELDEDNWFDVKQRSRK >KN539457.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539457.1:55298:55612:1 gene:KN539457.1_FG010 transcript:KN539457.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHHRLDHACLEGLRAVGDVGLGGVEEEEIGDGGVEARMASGCKSTLRNWHVDVLLTTEPSMTTLASSVDRPRKRVGRWRHKLRARGSSFSRLDTATAVKTIM >KN541120.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541120.1:2273:4657:1 gene:KN541120.1_FG001 transcript:KN541120.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKIQKLGLDLEPFFRKALVDVDNNPLQVHLLHMSSTTTSHHHLPMIKKLEVLVLDGDFSHGDEGWSSDEFSGAIVREREGRRPLLVGTLNVAMADDHLGVAFIDDVAFTDNSSWTRSRRFRIGVRAVAVAGSGDGGPRIREAVSESFMVKDHRGESYKKHFPPRPDDEVWRLKNIRKDGPIHKRLESERVRNVQGFLNLHATNPEKLRKLVVMSDRLWKATLHHAKTCDFGAAEMMQVKQSSIEAYQNWDQLDEAETNKTASGNLDQAHQGSLQADEIGTVSGPHFQSRNQMDSEDSLSAAAVVTEDADDALWSPCMTSDGHGLIMWKNNTSVWDQMN >KN541120.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541120.1:23538:24491:-1 gene:KN541120.1_FG002 transcript:KN541120.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSRKVRVFCSDPDATDSSDEDDQNKKERRFTREILIPMENSKTSKPVKTLVQCGTKTVKDSEKEPTSKYRGVRRRAWGKWAAEIRDPVRKSRKWIGTFNSEEEAAAAYLAQSNQFHEELMALKIQSSVSEREDLSSSVTISCVSSSQSCDQKIQARPQEHKRVSVVINHETVEQKFKAQPQAQKIKAQPEVQNRVSVKISHETEDEHLLNLPSMPKGKEILMGAVLGRIDEIPVSNCVGHIDEFPPDDFTRLADAFPVSDFIGMADVPLGDDYIGLADISHLPLPITDPKFDLDAELNWDGFDFASLEQELNCL >KN541120.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541120.1:22289:22480:-1 gene:KN541120.1_FG003 transcript:KN541120.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLALAVLRHHRHRRLVELAQRHRRVGAGEGPEAAGLVCQVELRPAEHLGVGLRCHESEQ >KN540584.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540584.1:10359:11149:1 gene:KN540584.1_FG001 transcript:KN540584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLFPATVIACVGVVVVVAGGASAAPLGRVFVVGGDGPRGWSQPTGTDETYNHWASRNRFHIGDFLDFKYAKNDSVVVVSRADYKLCSADKPVQRNIKPAT >KN540584.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540584.1:15785:29321:-1 gene:KN540584.1_FG002 transcript:KN540584.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEQLKELGEKLEAAPPDPADDLAKLLEVRTCDRSLGGWFCGGLTFCTEEEKNLIFGHQFKQAAECLHGVEQSPGPSVMETIQPCLKAVARDEFLKHHDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVDTFSGLNDVNGKSFGRRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISDNHEPNIVNSMQSVMALIIDESEDIEESLLNVLLSALGRKKTGVSLPARKLARHVIEHSAGKLEPYIRKFLTSSLDGDSTSTNNNIDHHEVIFDLYQCAPKVLKVVVPYITGELLADEVETRSKAVEILGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAQEIIKALCDRLLDYEENVSVKCYTMERLADIYKFYCQSGSDSSVNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPEYPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQTSQVDLLTKLGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDSGDVSAHKSEWSDSTQSCLLKIYGIKTLVKSCQPCKDAQAHPGIEKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQIYGFFYYQDDVPQFKHNIIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHALSHDPSCPNIEEHEDVEAFGPIYWRLHLLLSILLGEEGLQHSVPGMKKESFTTIVSIFKSIKYSQDVVDVNKTKTLHAICDLGILIGKKLCQEQINISEAQTVSLPSQLYAPVQKDQNENSVESDEQIWPGCENVLAHFEALMTAKSAEVESPKDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGRKQKTKSGSINMEKDDDVLGLVREINLDNQENLGESEKSKPKKKRMDAKESNDKPVDFSTPKRKRSVSKSRPHSTKGNKNSDELLLQSVDPDETINSFENKVEGAKKRDDSVDTELVTSPASVKTPVSKGKKGAKKPHAEIMSSSPKKSDEAGSSKRTVDSGSLNGSLKRQKPKLVSGLAKCTTHDTGSADLIGKRIKVWWPLDKKFYEGVVESFDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKARKKDHSGRNQGRAQDKSITSSKQTPPPEQEKSKKRPSPPKRKGKPKGLPKNKRRKIGGKNSVDAAGDANIDSDSSSSLAHSDSDNDKKSDGRNEKEVVVAKKAKAEKVSGKGDEPKEEEPDDHNLNSKEESDNETLSVWKKRTAKAT >KN540584.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540584.1:12154:14245:1 gene:KN540584.1_FG003 transcript:KN540584.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA oxidative demethylase ALKBH2 [Source:Projected from Arabidopsis thaliana (AT2G22260) UniProtKB/Swiss-Prot;Acc:Q9SIE0] MASRSRLRLAAAGENPIPQSKSGGEGGTERKPEEARRREVTDLGSGSEVVHVPRFVPREAAWGWFDYLDKRIPWTRPSIRVFGRSAVQPRDTCYVADEGLTDLRYSGHQPHAHSWDEFPVLKDILKAVHEALPGSHFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTLGCEREFLLRKKPTKSQASLGSGEVAPKRLKVSAPQQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRRVL >AMDW01030979.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030979.1:279:386:1 gene:AMDW01030979.1_FG001 transcript:AMDW01030979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACCSSLLRLMILPVAFAVLVIALVAHGAVVEHTFN >AMDW01019769.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019769.1:11:286:-1 gene:AMDW01019769.1_FG001 transcript:AMDW01019769.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEELVVDEASSTWSWRKLVGRLLGVVGGGAPSNSVAAQPKKKKDKTVRAPEPYNLYEQGTGFRNAYGSSVAVDKHDYEPLGHSDIGVYL >AMDW01040823.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040823.1:151:2538:1 gene:AMDW01040823.1_FG001 transcript:AMDW01040823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQYMLIEQVVGRKFLLVLDDVWNERKDIWDALLSAMSPAQSSIILVTTRNTSVSTIVQTMHPYNVSCLPFEESWQLFKQMAFLHQDESMKTDFEVIGRKIVQKCAGLPLAVKAIASALRFEENEEKWNDILESEQWELPTTEDIVLPALKLSYDQMPIHLKRCFVFFALFPKRHVFLKENVVYLWISLGFLKRTSQTNLETIARCLNDLMQRTMVQKILFDGGHDCFTMHDLVHDLAASISYEDILRIDTQHMKSLNEASGSLRYLSLVVSSSDHANLDLRTLPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRCFSKLFSHHINLTIDNELWSSFRHLRTLDLSRSSMTALPDSIRELKLLRYLSIFQTRISKLPESICDLLNLKILDARTNFLEELPQGIQKLVNLQHLNLVLWSPLCMPKGIGNLTKLQTLTRYSVGSGNWHCNIAELHYLVNIHGELTITGLGRVTKVDDSQTANLINKEHVQTLRLDWSDGFYSSECDHNSSHIDVKATPELAEEVFESLKPTSNLEELEVADYFGYKYPSWFGGSAYSQLAKITLWKQGCKFLPTLGQLPQLRKLVVIRMEEVERIGQEFHGENSTNRFPVLEELEFENVPKWVEWTGVFDGDFPSLRELKIKDSGELRTLPHKLSSSLKKLVIKKCEKLTRLPTIPNLTTLLLKGNLSEEIHNSLDFPMLQILKVCFSQKLVCLELDNKNLPVLEALAIGGCRGLFSVVGLFSLESLKLLKIKDCPNLQCPLQPLQQQLQQCIITNCPQLQEWIEWQQSLIDKEDK >AMDW01010988.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010988.1:57:194:1 gene:AMDW01010988.1_FG001 transcript:AMDW01010988.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALARMVPSFATLNWNLEVAKQRVEAADAAVEAIPDVAGSWRDDVQ >AMDW01040509.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040509.1:115:1572:-1 gene:AMDW01040509.1_FG001 transcript:AMDW01040509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELVAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGVLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSTVLEHESLRSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKVLMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFEDGYDSFESMVPVSNRVSRRKNL >KN538929.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538929.1:135161:135427:1 gene:KN538929.1_FG001 transcript:KN538929.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPRFSEKQSSLEEKKEYMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLRYIHDHTTNRKNSSPMTSSTGVM >KN538929.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538929.1:55813:63546:-1 gene:KN538929.1_FG002 transcript:KN538929.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKDVNLLSKMERLPPDRAGGRNPAWNAAPLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDGAVPAKFVSYQVRKISSGKPQGVLNFSYKIGEQQAVKPAKKNNFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFDDGGLENCDGHLAQLLLNSDGVLIQVITKGGRITLQNDAKESGDDSSSSVSSASSTSPTAAAAAAAGGGGGGERAAAHPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLGGAGDNGVGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVYLNPIKSIWNTQGQIPESRIVHSVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPQ >KN538929.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538929.1:21027:26734:1 gene:KN538929.1_FG003 transcript:KN538929.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDLYLWRGRTVGVLTTTTELVLGIGGGDFPGDRAPRLRKRGGYSVEARRRKEEEEAEGFGSGAFSDVVVEAMGATYRLHRLIISRSAYFRNMLHGPWREAGAPTVVLHIDDPNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLPDVEVSGNENLNLTSSSANSDPSMRKGKSPMNEAGEEQLMGSELQNLKLHDNTETTSAHNTSDIPDMNPEASRRKMLLCHRVQAIVADTCTNCCLTGNSCACKQAHLSSHHHYRQEHDRSSASGTVGNIYLTDAHGEGNGVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDESEPRVDYNGDISGDGLTALVNLSQESSASHHQTESIFETGIQVRYSGAASVSTPGGSSLQMQESKEHELGSNLETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKANMYVDHREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLV >KN538929.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538929.1:179078:179784:-1 gene:KN538929.1_FG004 transcript:KN538929.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPDKVAAAVFLAACMPAAGKHMGITLEEVRQRDRLLHARLAQLHHFSELDQTSFMRRIKPDFFMDSKTIVLNTNQEPRTAVLLGPKLLAEKLYNRSPPEDLTLATMLVRPGTNYIDDPIMKDETLLTEGNYGSVKRVFLVAMDDASSDEEMQRWTIDLSPGVEVEELAGADHMAMCSKPRELCDLLLRIAAKYD >KN538929.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538929.1:8992:13161:-1 gene:KN538929.1_FG005 transcript:KN538929.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYQSLGIVYGDLGTSPLYVFPSVVLPDADATDFLGILSLIIWTLTLMSLVKYALIVLKADDHGEGGTFALYSLLRQHVNFKGNIPVPLTRLESDVHLKFHSKRRSRPSRLQLFLENSPKAQLAITIIVLIGTCMLIGDGALTPAISEHVVVLSAVILVLLFLVQRFGTSRVSFTFSPIMLLWFASIAGIGVYNIFMHYPPVLKAVSPHYIYYYFAKNKRVGWEQLGAVILCITGAEAMFADMGHFNKSSIQVAFSTAVFPSLILAYSGQAAYLIKNPGDLSTAFYSSVPAPLFWPMFVVSTLAAIVASQSLISASYSIIRQSIALGCFPRTTVKHTSDKYEGQVYCPEINYVLMVVCVLITVGFQGGPEIGRAFGVAVIWVMLLTTTLMTVVMVVIWEVNGALAGGFFVFYLAIEGTYMTSLMTKVPQGGWVPFAITGVFLSVTLSWTYGRKKKREYEARHAVGDGEFAGIVSRCARVPGMCLFCTDLMDGVPPIVRHYAANTGSLRELLLFVTFRTLPVRTVLAGERFLVAREGARAGVYRCIAQYGYMDEQDMVGDDFVRAAVAALVEVAAAAAEADSGEEEAEMIGRAPASGVSYVIGRTVLRMRRRARNWPKRFVINELYRFLQKNFRSNVSTLKLDHAKTLQVGMIYEI >KN538929.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538929.1:183554:184159:-1 gene:KN538929.1_FG006 transcript:KN538929.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRDSFVTHRAFCDALAQENNKLAQPMNMAAVTSALQGQQQAHHLGQTATQLVGFDLGGLLPGQLYGGGGAMTRAIGSLMHGGDQHAGVVDRRRGEGVRVVDYMGVDDDDHGSFDGVGPFGPHIGPWA >KN538929.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538929.1:175404:175950:-1 gene:KN538929.1_FG007 transcript:KN538929.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVAINNSQGSGVAINLGPTFLAHKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDESLLTNGNYGSVKKVYVIAKADSSSTEEMQRWMVAMSPGTDVEEIAGADHAVMNSKPRELCDILIKIAYKYE >KN538929.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538929.1:155500:168036:1 gene:KN538929.1_FG008 transcript:KN538929.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPFKPEAGALVESGGGAHGDSIPAMVAAQQELLHAQVDQLQLLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKSMQSLFAMKDTIGKKETREISASFGVTVTQVREFFASQRTRVRKFVRLSREKALRIESSKAPDIVCSISTEQTPVDIEAHAQVIEPLRTLEPLEAQQISLPHLVVPQISLQLPVVPQSCAIPVAPTGVMQPTEAKTNPDPIQKETKQEEVAGVESEDKKFLESIFVLMRKENTFSGQVKLMESILQINNVTVLSWFLTMGGLAIVSTWLGQAVTEEQTTVILVVFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKARNLLSRLSKVLVRSQALKKSQKDLICKQRISEILRDESWKSEVDITEDILALTDDASESRMPEPRKTPLLLTASADESNKKSSLQTKSKEKRKVLLVEHPNRKAAGKNVNPVRSTSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVTEKPHMMEIQKPSGLVDSNVPLVPRTPLTSIIKQPVDPSPSTSKQSTLSPPDKPEIAVSSKLNVTAKENFIEKLDSKRVIWQIPPAWNKHPAIKLLEEQGMAIFCSDMRIEKLPVIPHKQTWGSYYHNFRQFIATVPLFVGRLAKVWIDPAWSLGAGENSKEFEVQTQRNRREKETFYASLKDIPLNPKGPWDVEMDFDDSLTPEIPIEQPPDADAMETDSVSTAPPNIVVPVVDKQIGSTSSVSPAVAAGANGAASEPDLELLAVLLKNPQLVFALTSNQGGTLPSEQTVALLDMLKQTGLGLSELVNSLANNSGVQKEPESGPEAIPASLPSPTPPKDLIARDGWSSEFPSQVRTQNLQHAHLPNRANAPPVASSVQQSFSNVVSSLPSQPYASASALPAQTRTNMTSLPQSMISVNPSTQHVAPMNNLLSRATVHQHTQSYTLTSDPVAVAVHHQPAVNKLAHEVQSISHPAVSHSSVAESHASYTSYTWQSSVATIAATGRNATPDRWAAPARTTNSFNAAPSNSNPVTYPNQNAYSNHSTQATTYNSYGSAPVSSHSLHPGQGLDRNGYTHAAEYQTTTARDALRRNSRSPELGAGAVYGSSSQGYVPEPSRQWNYGQQSYNPEPSSRQWSSGQQSYNTVTAEPSRQWSSGQQSYSNPAEPSRQWSSSAHAQPSYNPEPSRPWNSGHQSQNPEASRQWSHHHGKQERYNPTDEFIYPASWVGDQTLLYRGAKRAELQRSLDPPPLANGRSPSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIAKTKRGADINATLLDAALREDPANNVKYYKLEFRVESPSFRRRNVAVCCAKDGKLYTLNAQAPESAWKAVRKEFLAMADSFSLVADV >KN538929.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538929.1:52184:53388:-1 gene:KN538929.1_FG009 transcript:KN538929.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGKLRVYGMALSANVVRVATVLNEKGLDFDLVPVDLRTAAHKQPHFLALNPFGQIPVLQDGDEVLYESRAINRYIATKYKAEGADLLPAEASPAKLEVWLEVESHHFYPAISGLVFQLLIKPLLGGATDTAAVDEHATALARVLDVYDAHLAGSRYLAGDRFSLADANHMSYLLFLSKTPMAELVASRPHVKAWWDNISARPAWKKTAAAIPFPPAA >KN538929.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538929.1:28656:29121:1 gene:KN538929.1_FG010 transcript:KN538929.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGRKMTTVLAIALLMAILFASLSGTEAIICKARSKMYRGKCRGNRNCAMICVHEEYTGGYCSKGVLSKCMCTKRCGGGGGGGGGGGGGGGDEPPSREARVNRSSPPLEPK >KN538929.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538929.1:107642:111828:-1 gene:KN538929.1_FG011 transcript:KN538929.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSGRLTPPEGFGLVRVKVEPGTEGNSVGGSAMTTTGEGNSRGLRAAAAAPGGRTSIRTPLSAVARSGRASSSRVGSRYNTPTSGIVGPSQPQPTTPSATIGDTDSDSNDSSGGLPFVRKFKHKEIEAATNGFNTILETGPRGRAAYGARFADGLDVTVWRAGNGDQGREAFYRELQLLARLNHVGATSSGSMASLTATPVFAFSICCFRFLVFDQMENRTLKECLHGKFARPFLGRILQKEYLYYCDPLVFHVSVNSSNVMMGANFVAKVSFTITIVHTCLKHIDRPTEACYTERHASPFKILGEMEP >KN538929.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538929.1:149953:153493:1 gene:KN538929.1_FG012 transcript:KN538929.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLLSTLGFCKQVKIFSERKGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSKTEDHLHLSPYLSYVSGAIAGCAATVGSYPFDLLRTILASQGEPKVYPDMRSACLDIIRTRGFRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNSGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >KN538929.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538929.1:170790:171760:-1 gene:KN538929.1_FG013 transcript:KN538929.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEGHRVTALDLAASGVHPARIDEVHSFEDYSRPLLDAVAVAPAGERVILVGHSFGGLSIALAMERFPDKIAVAVFAASSMPCVGKHMGIVREDLTLAKLLMTPGSQFQDDPMMKDDKLLTSANYGSVKRVCLIGMGDDIKELHRYLITLSPGTEVEEIAGADHNIMCSKPRELCDLLAKISSKYD >KN538929.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538929.1:173646:174646:-1 gene:KN538929.1_FG014 transcript:KN538929.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSKHFILVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDEVHSFEEYSQPLLDAVAEAPAGERLILVGHSFGGLSVALAMERFPEKIAVAVFVATAVPCVGKHIGIIPEDLTLAKLLVRPTSQFVDDPTMKDDRLLTSANYGSVKRVCLMAMEDDLKEVHRYMIALSPGVEVEEISGADHAVMCSRPRELSDLLAKIGSKYD >KN538929.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538929.1:102225:102841:-1 gene:KN538929.1_FG015 transcript:KN538929.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSLSSSQQAYVSPIPYRVGPFEYEPAMLCRCRVKAARWISWSVGNPGRRYYKCRNARTEGLTARVLTHRMGGCNFFAWHDGLTSSFMREVLNDLRGVVHSLRREKADVVKEIEEICVKAEEQCKEVVLVRRELASVRELVLLGKN >KN538929.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538929.1:35333:36937:-1 gene:KN538929.1_FG016 transcript:KN538929.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEPIECQVLVLRVSIHCEGCKKKVKKVLQHVPGVFRCDVDARSNKVIVTASRNMDANILVAKLRKSGKQAEPWPEEPKQQQPPPPPAESQSQETKNQNDESSKPSDQPVEKPSPDKAEGSAEEPNNPQPSPEPTKSTDETPKPNQEIQEPSNAKANTDANASGNASDETKAAAATGQQPSEPKGKAKQHQERPIDARVTMEYGGGSHVNYMPQPQPVPVMSYNVVRPTASAAYYAAPPAPPPMSMPMPMSRPGPSSQGYIDEEYSPSYYNRSSPYEPYYYPQPSPYRYQHYQQSSADDYYYGAPQQRSAFSPPRDAYGEMFNDENANSCSVM >KN538929.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538929.1:130130:131066:-1 gene:KN538929.1_FG017 transcript:KN538929.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVTAVNACMLPPLRGNNTDVLHGACRTSSAATTLAKPPAPATSYYHSDSLLKLVSEFKRTVIFSGLASLTKNVDRRIEILSQQAKETERILERIGQEAAGGLKELKAHYYSTVVRTPPDE >KN538929.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538929.1:44705:44839:-1 gene:KN538929.1_FG018 transcript:KN538929.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQFHKRSSVDLISLPKDFSQFGSVHMYDIVVKNRDAFRVIDV >AMDW01040333.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040333.1:34:1068:1 gene:AMDW01040333.1_FG001 transcript:AMDW01040333.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SKLEFFLRLQEFVELVKAKNFMHAIAYARKYLSPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDSLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCFDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDVCNYTDLVKAYIS >KN544500.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544500.1:32:355:-1 gene:KN544500.1_FG001 transcript:KN544500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLKQLAGAACVDVLIIYLCRFLLLRGIIFSDDDKLRFRVKVAIGFLYISLSAILFYLSAAVMALPPWGAVAMWGMALVATELAYAFLCPYSCRCIGEDDEEISPV >KN544500.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544500.1:2063:2335:1 gene:KN544500.1_FG002 transcript:KN544500.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVSPPLSLLSSPLLPSSGPRLIYLRTG >AMDW01023615.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023615.1:49:234:-1 gene:AMDW01023615.1_FG001 transcript:AMDW01023615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGTTGEEVKKTIDLVSNDGERFEVARDAALLCKTLRWMIKGGYGRIPLPNVASPILAR >AMDW01040867.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040867.1:16:2506:1 gene:AMDW01040867.1_FG001 transcript:AMDW01040867.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRHSRPPGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQNANGKSIGLDPIEGVSQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNAIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRRSSNGWRYGIPSFA >KN542200.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542200.1:1540:2241:-1 gene:KN542200.1_FG001 transcript:KN542200.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDLIIRSLINELDSMATIEESNMNVVGLKENGEFLADTPEGDPLLSFLVELGKRMADASDSVACRTSRAIRRMMLQELLESVTSAWDSTIQFVDHPAAPPAVDDPALELAGDGEPAPAAPPEIVGDAVLQLSDAEDPGEDSPTSEEKTTAEDSAMPRIRVKPDHASDEEISKVRITAEDHLSDNHSAEDSATSGNPSQLKPEGSDDNHTALKLNLADQPQKKQKLNTNDG >KN542200.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542200.1:16368:17899:-1 gene:KN542200.1_FG002 transcript:KN542200.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GDLSGEVVELGGGVSGFKPGDKVVSMSFPNCGGLTEDALADAGGVVVDLTPGVAATVRSFLHRVTFSKKRLVPLILMPKKEEMEWLVDMAKQGKLKTTIDSKYPLSRAQEAWAKSMEGHATGKIVVEMGGTE >KN542200.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542200.1:10685:13972:-1 gene:KN542200.1_FG003 transcript:KN542200.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDLLLKIQSDAQKWWEESKVFEAEPGNKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYQRLRGSNVLLPFAFHCTGMPIKASADKLSREVQQYGYPPVFPEVEDDSSAEVSDGDQADNAASVVPDKFKSKKSKAASKVGMQKFQWEIMRGFGLPDEEIAKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPKLKTMEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFIVTSRAALNLAYQNLSRVPEKPTCLMELSGGDLIGLPLKSPLAFNDIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVAKPALRQKYGVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVQCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEISSIRPEQMTDEVWDYVFCDGPAPNSDIPPSLLRKMKLEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTTLLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRAGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRELLWWFMEVQTRLITPICPHYAEHVWRNILRKEGFAIKAGWPIAGTPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGTAPPPSEQNKLTVGLVFVNEHYYGWKEQCLRVLQSKFDSQARSFAPDEEINEALKNCSIGQETNFKQVQKLCMPFIKSKKDEARSVGPHALNLQLPFGEMSVLEENLELIKRQVGLEHAEVLSASNEAARAKAGMHASMLDKTPPSPGEPVAIFMSKLDFEARQ >KN542200.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542200.1:6985:8566:1 gene:KN542200.1_FG004 transcript:KN542200.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDAARAACVSHSFLRSWRCYPYLMFSEELLRLLESAFSDDERTRNLISKVNHILQNHSGIGVKKLELVFLDSTDVDFSYIDSWLHKAVTRGIEELTLILPINSNAEYSFPCSLLSDGNGNSIQYLHLSRCAIRPTADLGCLRTLTTLHLSYVRITGFELECLLSNSPALEWLIMMDCKEIVQLKIPSLLKRLHTLFVSRCQMLKVVESYAPNIATFDFSGHVHAVRMLGLLQVKDLEMSCSDQSSILCYALTNLLSIAPNVEKLRISSQTQIVSTQTVPGKYLRLKHLHISLNRSPNFDYLSLVSFLDASPSLETFILHIWDIYIPLGHMGTLGDSEQLRQMPGHRHDSLKKFEVVGSCYAKSLVELTCHILETTSSLDRIKLDTCDYVGLCASGSGRCYPHYSEQIMEACNSVLAIRTYIMGKVPPTVKFELVEPCSRCPVQ >KN542761.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542761.1:250:3467:-1 gene:KN542761.1_FG001 transcript:KN542761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVATRSICEEVISAAPWHAGTDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFK >KN542761.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542761.1:5326:7673:-1 gene:KN542761.1_FG002 transcript:KN542761.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEVIYKKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFGSSEELKESSRNIISGDMSGEPIVDISSCIEVAKEVSSCNYESGTRWGLEVGWAYGSMTEDILTGQRIHAAGWRSAKLETEPPAFLGCAPTGGPACLTQFKRWATGLFEILISQNNPLLLSIFKHLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYNTYNFVEYMECGLSTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERLTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLKASLLVALFLFCSNRN >AMDW01036110.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036110.1:83:460:-1 gene:AMDW01036110.1_FG001 transcript:AMDW01036110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLDLVGFGVALASGMQYLDNSPGSVDKSMLIPLMFEDKRTGEASQKGFYKYEGNRKAIPDPDIFKYVEKSRSMAGTVPDLE >KN540612.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540612.1:1546:16852:1 gene:KN540612.1_FG001 transcript:KN540612.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKIFQGYYLFQTIMFREHSIIKDDQVNGIEADNSSTTFLSANDQSVINSYKDHKEMLLQILHDAQRYSAFRKGLAMIDICQLKNDPEEVLKWLKFSTGWSVLHNSELRIGLKRHMDLLKEHEGNKMNPLSMPEDENLVLEKINAELCSELEKMIGTFDWALMSQYYYKARFSNFARQCAIQARASGVILVKQALPIRETWKEQKQSTSPKVSKLSLEDCLGSLRRPLRRINEQFGRGAARGKPWLLAAFASIATAATVGMSSTR >KN540612.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540612.1:33812:37204:-1 gene:KN540612.1_FG002 transcript:KN540612.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMAFVRMLSVVVVEVMNLCDFLRGWRRQDNGGKVPQVVESESGRFTLSYVTLAKLKSYVPYAWGLQHIETVLVNVWQLENLQNQREDIMSAGRRAGLEILQLIDEPIAAALSSTTIKEGVVVVFGMGAGSYSVAVLHVSGMNIEMRAQCGDSCISGDQFDNILVDYCVTQMIKLHSVDVRGDKCAMRQLVEVAEQAKVKLSSQPTATISIPYLTSSGQGHGPAHLNITISRQEFEKLVNNLTEQIQEKCQIILKEAKIAAKDVDELVLFGGMTRVPKIQRIIYEVFGKHQSAKVNPEEALVIGSAMQAALIVEDQQEMSKDMIPLSIGIECEEGIFTKVIPRHTRIPTKRMVKIPAWCAQGECLHIRIFLGEHVIVDHNTLLGEVELINNRRSYEGGVDYELTFEVSRNYLVEVSVSNADDGSKTIKAFPIDEKVICKHNVNRAVRNALRDWSMYAAEIYADMRNLARHTINTLSDALSARKDELPKDLYEDAVTALDDLLKAMGKDVSVLHDKIRAAMSVEVTILNWRPPSESHPDGAFLQR >AMDW01024814.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024814.1:78:317:-1 gene:AMDW01024814.1_FG001 transcript:AMDW01024814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAGIFIPEFLTKIGILNTPSWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDV >KN541069.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541069.1:11131:13744:1 gene:KN541069.1_FG001 transcript:KN541069.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVCFAVFVLAAVHGAVGFLSIDCGLEANSSGYKDSDIVYVPDGPYVDSGENHRVAVDQQKGNLRPYLTVRSFPSGARNCYSLPTVAGAKYLVRVIAFYGNYDGMNSSSTLQFDLYIGVNYWNTVHADGDEVYEAMFVAWASWAPVCLVNTGSGTPFVSTVELRTLGSVLYPALTANQSMSLAKRVNAGTNKSVTRYPDDPYDRHWWQLLSDPTRKNISTTLTVQPDYNYAVPSPIMQTAIEAASNTTAIKLALTDKTSHEYMLFVHFADFQKSQLRQFNVSFSDGEP >KN541069.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541069.1:15367:21299:1 gene:KN541069.1_FG002 transcript:KN541069.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKHEYGIRKNWMGDPCFFPTDIAWDGVKCSNESGNTRRIISLNLSGNQLDGQIEPVCKNNTGSFVLSYDGYVCNKIIYPDGLDTSPSKNKAVILAPSVVVPVVAIGVLILVYVLWRQRRKPNISTNYPPREVELENPPDDGRKNHGDALQKVENRRFTYKELEKLTNKFERFIGQGGFGPVYYGCLEDSTEVAVKMRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCWEKDHLALVYEYMARGSLYDHLRGLDYLHKGCSLPIIHRDVKTQNILLSQNLQAKIADFGLSKTYLSDTQTHISVSPAGSAGYMDPEYYYTGRLTESSDVYSFGVVLLEIATGESPVLPGQGHIVQRVKRKIDAGDIRLVADARLRGVYQASSMWKVVDTALLCTADVAVQRPTMAAVVVQLKESLALEESAHANSGFRGSIGTASETSVSTSTFGPSAR >KN544304.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544304.1:2083:3478:-1 gene:KN544304.1_FG001 transcript:KN544304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTSDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >KN540373.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540373.1:10312:13430:1 gene:KN540373.1_FG001 transcript:KN540373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSHPSERSKDDAEPLEFQLRKYLLLLAIMVATVTYTAGFNPPGGVWQDTEAGHLAGDSIIRDTHYPAAFAMSIVVIIIIFILALIHDTKKLWISMIPLRMAMVLDLLGLVGAYAAGTSRNVLKTRNVCVLVAIFVYMAVQIVLTSFPGIVLKCKRNASGIQIVFRCIGCKEVPNNQPSQPGDNKEEEKQRHRKLLLLLATFVMSITYLAGLSAPGGYWDSRKEGHEAGNPVMREHHSIRLKAFFLLNATAFVMSLLTIMLLLDKRLIIPLLHDKVPSTTRPVRTIVLKAYISIALVGLAGAYATGSSRESDTTIYVGSLVFAVLACIIVLKAIIFHQSDSSDRSSNGTSRRNDEAQANPSSRREQTGTSHGGAKLPTSNGVPPTTRNAGVQMDTSNGGADTNTSNADILEKAQSLVVLLSTLVATVTYQAGLVPPGGVWQDNWNGHEAGDPILLSMQPERYKVFFYCNSMAFAASLVIIILVQYKPMLKRRILQFAMILDLFGLIGAYSAGSCRDVTTSIYVIALAGAVLVYVIIHVLFVTLEDEDIGKKGGDKDRKLEDKRRKRLLLFAVLGATLTYQAGLTPPGGFRLKDDEFGHNAGDPVIFYNYPRRYKAFFYCNSAGLNPPGGVWQGNSNGHAAGDPVMHDNRRCRYLIFFYSNSFSFTASIVVIILLLPEKLLRENRSFKVMHLTMVMNLLGLLLAYMAGSRMRTESSGYFMEFVITTLCFAALHKILSSEKEQQNDQPSQVDQQGYSQVS >KN540373.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540373.1:46852:49795:1 gene:KN540373.1_FG002 transcript:KN540373.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANGHSTEDQEKVEEKPLELLLRKYLLQLAIMAATVTYAAGFNPPGGVWQDTEAGHLAGDSIIRDTYYPRYLVFFYCNAAAFVLSIIVIILILSLAIAQEKKNFWIPMLPLRVAMVLDLLGLVGAYAAGTSRAVLKPRNAWVLAVIFVYMVIQLVLTSLSSCTGDGKKEEAKEQLQTADGKEEKINKLSQSGHKKEEEKERRRKLLLLLATFVMSVTYLAGLSAPGGYWDSSKEGHNAGDLVMREHHAIRLKAFFVFNAAAFVMSLLIIMLLLDKQLVIPLFQDQDQSMISRVRTRFLKAYIIIALVGLVGAYATGSSRNSDTTIYVGCLVLAVLACILFLKVIISPHPQGSASDSNGRPSDGVKKNAGNGGVQTNTSNADILEKAQSLVVLLSTLVTTVTYQAGLIPPGGVWQENWKEHEAGNPILLSIQPERYKVFFYCNSIAFAVSLVIIILVQYKPILKLRVLQFAMILDLFGLIGAYSAGSCRDVTTSIYVIALAGVVLVYVVIHVIFITLDDDMGKKDGDKDKKDEGKRRKRLLLFAVLCTTLTYQAGLTPPGGFWLKDDEFGHHAGEPVLSYNYPRRYKAFLYCNSMSFMSSIAVIILLVNPNLYKPAIRSYALSVCTAVGMFALMCAYAAGSTQHLKTSIYIFGLVALVLFIMIVVLICFVKKEMKSANSQDEEERVVQKSPPAQDEDVIEQETQTLKLSETMSADEIKEDDRTTKSADIENAGEAKNNTSNETNEKEKQERAATESNEKEDESKKHAKRKYFMLLGVLAASVTYQAGLNPPGGVWQGNSNGRAAGNPVMHDNKRYRYLIFFYSNSASFVASVVVIILLLKEKLLREDWLFKVMNITIVLNLLGLLLAYMAGSRMRLESSGYFIAFVIAALGIAAIHKIWSRNRESK >KN540373.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540373.1:34147:36211:1 gene:KN540373.1_FG003 transcript:KN540373.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFKVTQQHCHSKSAIKVSVCMCMVVFFSVNLVIFFPIKLSKLNECKDIFEALYSFIYDLRTYLKPHDQAWAADGNGGILKTTICEKICVHDKAANNIAGNPYSINIKTSTFSTPQNTGNGTYISNLVLNVFDGLTIM >KN538749.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538749.1:224711:228711:-1 gene:KN538749.1_FG033 transcript:KN538749.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGTETSRRNDSVGQDIRAGFGFLLILRVILDRAALLILTTLQNDPSCLEKDKPMRVPPSSVEHSIIQHLVTGASIYRQIPVTNFMCKSEDIKPLSKRYFKFDEELLSPSVRRAERTTTSGSSGDGSMINSSPSVDTVIEDLVTCLHTVQDVLSSMETQVAGPIFCYQPILEQQDGNLLMIAMGIERNLDMLEYHQVKKDENTSIRIFNHLKHLLQSILPVLSTTFEEYLVSMNLKRATSVLQGPGGLTAPIMPNLDNIRSQLATASPSVEMQNQSGMEETIETELVEKGQDGIYLLSFLLSNEAEDFVIPIKNAYKNSRVKGHFDVRVWVNVSCKSTMIVPSKVSLSVPCCINELMNAHVMNSDNRLPPVHSDEFTNWIIQNLFSVSGGSRKAYDHTMKDLEKVIQCGLSGKKFLLVLHGISEDQMAQWDHLFRSIKSGCKGSKIIVVTTSANVEKSVRNVNILQSDEDENNVSWRFFRNYAFDSFNIDGYQVDLGIEMATLLRRFPIAMKMIGCLLKNNSDKKFWESVYRNIVQIVIDGKEADDPYQLLSLLKLCYDQLPAPVGLCFSYCSLYPKGWRFTAQSLIHFWMSDGITEDIGRGYFYCLHSRGFLELLKLRGRQSASCYVMHSAIHRLAEAVSAKWFRRVENNYLPPNPRLMTHMSITSRFLPTLRKLRWKIHELYLRTLIVFGPCKHTEPISKEILDRILKGQKYLRALDLTGCEMQKLPELSDESRHHLRYLCLQDTGIATFENLDKFYNLLVLNIQGCQLISLPDRTSENLLGIRHIIGPSSVVSSIRHIGNLKNLQELQEFRAHKLYGYGVQELRYMSLTGSLSIANLENVTVATKADKVNLRSKTCLDSLKLEWNSTNETSQPLSAGILEWLQPPESLNELEINGYPGIISPTWFTEDHLINVKKVTLRNCSFVSVVAPLAKFPSLEELILERFSMLERISESEESDWTHYFKYPFRLLGFPAETSRRFPRLVKLRIEDMPVLEEWTEQQPCFPCLEELTVRNCPKLAVLPPLHHAKVNRMHIEGLPLISFDSPRMGSVVPFGAFLDVPNRCPNRVEARALQPSRVFILRHCPNLSTFTITADNSSSSHGFGPLLQLEITDCKKLKSIQGAFAFVEKLYIEKCHSSLKLPNGNAMRSLHTLHIDSVSTRMDPFLLGLRALRILIIKDREELNSLDVLLESDHLPDTLEQLQLINCNIIKSLPWNMDRVLVLESLQLINCPNMQFLPCLPNNLTELRISGCPILKEKYGEYGPEWDNISHVPYVSVD >KN540373.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540373.1:25831:28887:1 gene:KN540373.1_FG004 transcript:KN540373.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQSLEESKDEHRPLEYCLRKYLLLLAIMVATVTYAAGFNPPGGVWQNTEAGHLAGESIIRDTYYPRYLVFFYCNAAAFALSIVVIILILILAVVHEKKGLWISMIPLRVAMVLDLLGLVGAYAAGTSRGVLKAKNACVLVAIFVYMAVQVVLTSFHGNLEVFSCTGVKKKEAKGQLESADGKGEINKEEEKERRRKLLLLLATFVMSITYLAGLSAPGGYWDSSKEGHIAGDPVMREHHSIRLKAFFTFNAIAFVMSLLIIMLLLDKQLVIPLLKGKNQNKTSPVRTFVLKAYIFIALVGLAGAYATGSSRECDTTIYVGSLVLAVLACIIVLKAIISCQAYSNDRSNNVEEQTSTGNCRAQINTSNGGAESLSSNGGAESVSSNARAQPTTTNSGEETKTSNAGAQKNTRNADFMDQAQSLVVLLSTLVATVAYQAGLVPPGGVWQDNWNGHEAGDSILLSMQPERYRVFFYCNSIAFAASLVIIILVQYKPILKLRVLQFAMILDLFGLIGAYSSGSCRDGTTSIYVIALAGAVLIYVVIHVLFVTLEDEDIRKEGREKDRKLEDKRRKRLLLFAVLCVTLTYQAGLTPPGGFWLMDDEFGHHAGDPVLFYNYPRRYKAFFYCNSMSFMSSIALIILLVNPNLYRPAIRSYALSVCTAVGMFALLCAYAAGSTQHLKTSIYIFGLVVLVFFIMIVLLIYSYWRQKRIMSHNKEDTEKGKSPGTQNEDITKQDSKTVKPTGTKSDVEMEEDDITAKSTKLKENDSTGKSSEIEDEGEAKQNRLEHSIERAKQETATESPKKEDKSKKKHATRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSNDHAAGNPVMHDKKRYRYLIFFYSNSTSFVASIVVIILLLPEKLLGEAWSLNVMNITIVLDLLGLLLAYMAGSRMRLQSSGYFVVFVIGALGFAAIHKIWSYLQRKRNDQHRLLDQPR >KN538749.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538749.1:275998:277101:1 gene:KN538749.1_FG034 transcript:KN538749.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYVSDLLLQVAKDEVGMLLGVSDEITKLDEKLQFLKDYLADAEKKRITDKHVDGWVRKLKGIMYDATDILELCQLKAMEQGSSVDLGCCNPLLFCLRNPLFAHDIGSRIKKLNQSLDSICKTGAEFSFMKLEAYQDRRTASPLISRTTSPVLERSGVVGDQIEEDTSALVKLLTDDKETIHAENNSLLLAIVGVGGIGKTTLAKNIFNDDAIQEKFDKKIWLSVTQKFNEPDLLRSAIIATGGDHRSSHDRSVLELSLLNAIKGKNFILVLDDMWTERAWNDFLRIPFSHGGRGSRVVVTTRDERIATGVKAKYLHHVNKLGSDDAWSLLKKQVPLFPFCIQRTVLSLVIDQSLAHLS >KN538749.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538749.1:235811:238995:1 gene:KN538749.1_FG036 transcript:KN538749.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSDMIHCSRPVETAAIAGVTKSGIDVAQGPVKSMGSYLWARVTHLVKCEAEVDKMKVKVDSLLRDKTDMETIIEHANYECKVASEATKQWILDVEEIATQAKDLVVECKGKNPARHDLHDADATQKARKKIEVMNPIRRLQIGALAIKLLARAEELLKHRNDLFLLVPCRRPPNTLMLRNDVMEFGSRNEIVSQIINALKEDKVHIKMKTQKEFDEVITVDLREKPGLEEIKNSIAKQLGMIYSAKLNAHRAAFLAEKLKEKKSILFLDNAWESLDLWKMGIPVEECKVIVTTQKIEVCKYMGAQVEISVDFLTEKESWELFKFKAGVPDISGTETVEGKIAKRCGRLPLALDVIGTVLCGKDKRYWECALSELESSYPLEKAEVLQKIYMPLESSYNHLEGDEKKSLFLLCSLFPGGHKISKNELTSYWTGEDIFNEFNTLEETRRKLHMRITDIEDSFLLLPINDTKCVMMHDIVRDVAVFIASRFCEQFAAPYEIAEDKINEKFKTCKRVSFINTSIEKLTAPVCEHLQLLLLRNNSSLHELPENFFQSMQQLAVLDMSNSSIHSLPLSTKDLAAVRTLCLNDSKVSRGIWLVSSLENLRVLSLAGCSIDSLPEQLGNLKKLRLLDLSSMESLEIPEGLISKLRYLEELYVDTSKVTAYLMIEIDDLLRLRCLQLFIKDVSVLSLNDQIFRIDFVRKLKSYIIYTELQWITLVKSHRKNLYLKGVTTIGDWVVDALLGETENLILDSCFEEESTMLHFTALSCISTFRVLKILRLTNCNGLTHLVWCDDQKQFAFHNLEELHITKCDSLRSVLHFQSTSKNLSAFPCLKIIQLINLQETVSIWSWEGNPPPQHICPNLKELNVQRCRKLDFVFVARVAAMLSNLERLTLKSNVALKEIVANDYRMEEIVAKHVEMEETVGNEIVSADTRYPAHPADVGALLDPEAFPSLTHLSLVDLPEMEYFYKVRDEIMRFSWKSLVSLKMGGCNSLKGFPIHGKSAPGLKNVELVHDSYKSWYQTLISQDASLAERFKTAQG >KN538749.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538749.1:254895:257885:-1 gene:KN538749.1_FG037 transcript:KN538749.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVAAGSDDLGEKSEVQQFHISELELSFTQGRWNYEQWGGYDPMSTNYAKPPGVELWAAFDLPLDEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQSFGIIIYQTLTVVLQPNTFRGQQLHSTDGQLQPSWSMKDLFNRKLSGKCRVSKSSRVFLEIEKDIVDKSGTEASWTNELFVLSTAPDRVLKELINMDAQSSSLYEYDVSNYNNDKPLDVGITWKLPLIWSCTPAPYHANRFLMGSGNERGSIALSFRSTNLHKQLFGSSNDCSIKAVVFQVVPCKAISEVVDKIHVTPSEDKHLPGTLEMLLRLPCSMESATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSNRSYPETDTLFVSPLLQTFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAVFSKSSFVLAFGGSS >KN538749.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538749.1:281335:283582:1 gene:KN538749.1_FG039 transcript:KN538749.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGKCDGLPLAIKVVGGLLCRRDKNHGVWSEILSNSTWSTDGMPQDLNYALHLSYEDLSPHLKQCFLHYSLIPKNAVLGSDAIIGMWISEGLVLQCTKGLEELGEDYYKELIMRNLLEPSIEYVDQWHCTMHDVVRSFAHYVARDEALVVQGRQIDISNLYSQKFYRLSIQTDDEVEWNLLKEQMSLRMLISVSDIKLRPGDSLGNFSGLRILYIDSSNFLPLVDSLCQLKHLRYLSLATDDISRLPDDIGKMKFLMYIDINECGNLVQLPKSILKLRQLRYLNLGDTHINVIPEGFRILSSIRKLYGFPAHMGTGGVSRKENWCSLEELECLSELRDLKLNCLENVSASSYAAKASLCTKEHLIYLNLRCTSRLGDDGLLKEEGLSEMEQRLVEEVFNELCPPRCLCNLEIFGYFGCSLPNWMMSPISRTPLTSLRYLFLKDLACCTQLPDILSQLLHLFMLQIVRAPAIKRVGSEFLLCHDHGHHSLTAKAFPRLQVLFFVGMVEWEEWEWEEQVQAMAVLEELLLERCKLRCLPPGLAFHARALKKLWICEVQNLKSLDNFACVVELSVDDNPDLQRISNFPKLRKLDIMFCPKMEVLENVPELRILTLEDYSIETLPDYLQQVSMRNLLVDCSFELLSSIAMGDTGPEWNKISHIQQVKANADDGDDETMCFAASEPNDEK >KN538749.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538749.1:299545:299892:1 gene:KN538749.1_FG040 transcript:KN538749.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGASRPECRCPSLSSPGVLLPVGVYCPLPPSDLIARRPGPLDPATPASPTADLLPTMRVAGGRGAVGGRGEGSGMVAARPSSSASRQLHPPRAETSDGSDRLSYGSQRPPIPS >KN538749.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538749.1:243634:247758:-1 gene:KN538749.1_FG041 transcript:KN538749.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRRLACLGVPDGGAAVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYKDEFLLNPSEKIRRFEESKLLRKKELNSDKIGLLPRAKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKANQESLRRILIVFSKLNPTIRYVQGMNEVLAPLFYVFKNDPDTSNSASAEADTFFCFVELLSGFKDNYCKHLDNSQVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPPTNIDHLLHIANRLRGSVAS >KN538749.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538749.1:155689:162175:-1 gene:KN538749.1_FG043 transcript:KN538749.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFGSQQQQPFGGAPQFGLPRPGAQPPFPAQSAPLSQQAPFMGPPRGSAPAFGAAPWQSQGAGSGAMQPPMRMPGMPPNTLGQGMPPTMPTMPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPASSSEFIVKDTGNCSPRLMRCTVNQIPCAGDLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRRFICNLCGFSNDTPREYICNLGPDGRRRDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAIQTGSTAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQKDLILPVSECHENLEQLLESIPSMFENNRVADSAFGAAMKAGFLAMKSTGGKLLVFQSVLPSLGIGSLSAREAEGRANVSTGDK >KN538749.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538749.1:265506:266921:1 gene:KN538749.1_FG044 transcript:KN538749.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFGATSSNIVKLRQLRYLRLTDTGTNVIPKGFRDLASIRQLYGFQAHMGIGGVSPKENWCSLEELEPLSMLRSLSLYGLENVSAGSYAAKASLSTKEHLTDLKLVCTSKLGDDGLLREEDVSKMEQRLIEEVFNELCPPQCLNYLDISGYFGHSPPTWMMSSISVMPLKSLSLIRWRTLTWRRISNLPKLQKLTIESCPKMQVLEGVPELRSLTLKDYRIQTLPRYLKYVIMRNLHVDCSFELQFHILG >KN538749.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538749.1:291706:292577:-1 gene:KN538749.1_FG045 transcript:KN538749.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLNLLIALLFIVVSVQGTNGADRCAASSSIDVQTINTGEAAAGGGDTVFEVQC >KN538749.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538749.1:217479:222719:1 gene:KN538749.1_FG046 transcript:KN538749.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHAEALLLRRRRGPARLWVAVLALLAGTLWLLSSSAGLGLGLARSSYGLQDFFIWKPFLDSGDVQMLDSQFKVLTLTSVLLLKDVDVNKLWRTADSNGWRASSAPRTYWPPPPIESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFLGIYDVLHFIKTLKYDVRIAMVIPEITTNGKTKKLKAHQIRPPRDAPVMWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRTEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKEHFAEKELIYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGDLFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRAARFEERVRQVMFNTHFGGPHKRVHPESFYTNSWPECFCQPNPRNRADKCPPDNIYEVLESQFQSVEGEEDIEEVKSTNQTDSTSQIEELVV >AMDW01010707.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010707.1:40:195:1 gene:AMDW01010707.1_FG001 transcript:AMDW01010707.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSLEPLVGALAAGNAVALKPSELAPATAKFLGDNVGKYMDATAVKVIQGGPE >KN542964.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542964.1:102:530:-1 gene:KN542964.1_FG001 transcript:KN542964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTAAHDDDDVPFSDEDLESEQSMWNLYERWRAVYASSSSSHLGDMESRFEAFKANARYVSEFNKKEGMTYKLGLNKFADMTLQEFVAMYAGAKVDTGAFASISEAEEEEEVVGDVPTAWDWRQRGAVTPVKDQGQCGTY >KN542964.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542964.1:3672:6220:-1 gene:KN542964.1_FG002 transcript:KN542964.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAEQVPFTDKDLETEESMWNLYERWRAVYASPSGDLADKISRFEVFKKNARYVSESNKKKGMTYKLGLNKFSDMTMEEFTAKYTGARPGPLAGLERTTTSTASRASVASDVPSSWDWRDYGAVTPVKDQKNCSCCWAFSVVAAVESINKIKTGELLTLSEQQVLDCSGAGDCKWGYPKSAFDYAIKTGITEDSNGQPPYYPPYKAWKDVCKFDPTKTPIVKITGQSKVAYGDEEALMNAVYHQPVAVLIEASDAFRSYTGGVFNGPCGTATNHAVLVVGYGKVHNGPRYWIVKNSWNTTWGENGYIRMIRDIEADEGICGITTWALYPTGVAPSTTAATVAAY >KN539549.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539549.1:46304:46772:-1 gene:KN539549.1_FG001 transcript:KN539549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKWRVKVLSEFSPKNPRLLGLNVGGGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELCHIERGPHDAQFYKLWDELRKMS >KN539549.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539549.1:21906:23561:1 gene:KN539549.1_FG002 transcript:KN539549.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVESSTAPGVAVAAAVVLVVLTWLWTALVQLVWRPYAVARAFGRQRIRGPAYRLFVGSDGEANAMRAATRGDVLDRRCHDIVPRVMPHYRAWMSRYGKVFVSWTGPFPALCVGDYAMVEVGEQFQELTADVISHTAFGSSYREGKEVFVAQRELQSIAFSTINSTRFPGSQYIPTKTNLRRRHLAKKVRGTLMAIIRERQAAASKEDSGYGNDLLGLMLEANAAADSENSMTMNEIIDECKTFFFAGHDTTSHLLTWAMFLLGTHHEWQQRLREEVVRECGGDTEALPSGDALNKLKLMTMVLYETLRLYGPVSLMARTATADAELGGVRVPKGTMTMIPMAILHRDADAWGAGAGEFDPLRFRGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMVLRRFAFEVSPEYVHAPLDYLTLQPQCGLPIILKLLD >KN539549.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539549.1:58936:66300:1 gene:KN539549.1_FG003 transcript:KN539549.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGLFGSRREAASSAATCSRRRSLNVVFFFFFPLLIVAVATALGKKSMLSMEMALEDVYAAHPEFATRVALRARDSRGDVVAAASAAIDLIRNENVAIVIGPQSTLQAEFVTYLANKTKVPVITFSATGDAVTRYHVPYFIRACSKDSYQVASIAAFVKAYEWRNVVLVYEDNNYGVGILPSITDALQGVGVNVINRSAFPAYSPNNHIDVELYKLMTMQTRVFIVHMLPARASRLFARAKALGMMTKGYVWIVTDSIGIVLDVLPQHSIESMEGIVGFRPYIADSTRITDFSSRFTTLFRTKYHPNTDIRMAKPTIFQLWAYDVAWAVATATEKVHRTRSLNPTFHPPGNIGKNLVDDLPALPAGPELLNSILQGEFDGLAGQFRLIDRHLQVPTYEIVNVIGEKTRVIRFWSPDSGLTMSMNSTTIHGDAKFSTSSSELKNIIWPGDSTTVPKGWDFPVNAKILRIGVPLRHDFKTFVNVEINPNTNRSTVSGYSIDMFEAAVKKLPYALRYEYIPYDCAVSYDQLVSQVFYKKFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLSKSDDEPTTWIFLQPLAKDLWIATMIFIFFTGLVVWVIERPINRDFQGSKWKQCITAFYFAFSTLTFSHGQKIQSIQSKIVVSYTASLSSMLTAERLQPSVTDLKQLLANGDFVGYQSGSFVHSMLKKLKFDDHKIKVYSTQEEYAKALRMGSKHGGVSAIFDEIPYLNSFCSKYGREFQMVGPIDRTSGFGFVLPKGSPLVPDLSEAILSLTEEPERLKIEKTWFMDSSLDYYGSHSKGSSRISFQSFQGLFIIVGCLLGAVLLINFSKFLYDKCKEMRGFGSDRVHRGERVVCFGEAQPQPPQIVMVDRQSCAC >KN539549.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539549.1:83115:87352:1 gene:KN539549.1_FG004 transcript:KN539549.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRRLAMAAAFVILLTVWSSPAMAMAAAEATEVHSLGLNCNETERINAGVRRNLGSLPEVYHKKLKIAVPLKHGFRAFVNVTDQGVTGYCIDLFEAAVNKLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLVLAENESESKIEWVFLKPLTKELWFATVIFFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVSYTASFSSILTVRRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFSAEAVSPSSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTV >KN538761.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538761.1:87568:105464:-1 gene:KN538761.1_FG029 transcript:KN538761.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGGHGGGGGDDIFAGAGGGAMIRYELVSDGFPLGFDRSRNHDQYMEGVLHVLPAHVDELLRRVVGDGDAAAATCLVADTFFVWPATLARKLGVPYVSFWTEPAIIFSLYYHMDLLTKNGHFNCKEPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVFHLTVESRGLSRLNGMVLFFQPPQSASVIQLEDEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELICNPLGSCSLSLERTVSTIKYLQKAGAKVLLVTSWTPILQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFKPEGWMQNDIILFENLLNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLMPLYKKVLWIGPTCFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARDWEGSNFLGLEMNKKMSLCYQVPTPYCVNNKQGYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNREQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLKMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVSCKIT >KN539549.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539549.1:16279:17235:1 gene:KN539549.1_FG005 transcript:KN539549.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRAMERGALADMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGSAVLPELNRLRALGEDNMQFCGAIRGGVGGGLYSSSLLSTPSRSQAAEFMGDSQYPRSVFSSRTGESPMPPRRSNV >KN539549.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539549.1:67653:72530:1 gene:KN539549.1_FG006 transcript:KN539549.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRLAMAAVVPVLLVVWSSPAAAAAGGDVSVELEAYRLDPNGSGSSTGAITAAHGDETKRTNAGVRRDLGALPRGYGKELKIAVPWKPGFKAFLNVTDRSVGGYCIDVFEAAVKKLPHHLSYKFVVFNGSYDELVQRVSSGNYDAAVGDVTITAERTVHADFTMPYTESGVSMLVLMENDSKSTIEWVFLKPLTRELWVATVIFFLFTGIVIWMIERPRNLEYQGSSSRQFSTALYFSFSTLTFSHGHIIKSPLSKIVVSYTASLSSILTAKKLRPSETDLEQILFDGDYVGYQRGSFVESFLIKQGFSKRRLRPYTKKQEYAEALRKGSMNGGVSAIVDEIPYLTSFLSDRRYEKEFQMLSRIYKTPGFGFAFPPGFPLVHNLSTAILDVTGGDEGSRIEAKWFGTTAAPPSYAIPNTDSTPLTLQSFSGLFIITGCISALMLMISISKSVLANYTRIRDSEVRSPDADGGNGGREERNSAQNVMGDGYVDDRPHHEIRIDSSQDIHGISVERADGEEPGPIQNGSVPANSSQTR >KN539549.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539549.1:7453:10170:1 gene:KN539549.1_FG007 transcript:KN539549.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLYLPFRCFCTRKDIQCKDVLLDDHDVAKSITEFCAVAAIEKLVVGATARGGFRFKADIPTTISKGAPDFCTVYVINKGKVSSKWSSSSRGHDHLETPKVDSYIRSPFARGPMGGATRKSYADLSHLSMPDSADISFVSSGRRSVEHNPVPARLSAASAESYDHSFETSRTPWGGDSFGGNDHTSFSQSSTSSFCSIGMDDVETEMKRLRLELKQTMDMYSTACKEALNAKQKAMELQRWKAEEEQRTHDARLTEESAMALIEREKAKAKAAMDAAEASQRIAELEVQKRITAEKKLLKEAEDRKNRGGGGGGMSTTTLAWKDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFEAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEMVTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPAGGDSVTQYRLTATAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRAMERGALADMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGSAVLPELNRLRALGEDNMQFCGAIRGGVGGGLYSSSLLSTPSRSQAAEFMGDSQYPRSVFSSRTGESPMPPRRSNV >KN539549.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539549.1:26517:27917:-1 gene:KN539549.1_FG008 transcript:KN539549.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYGKVFVSWTGPFPALCVGDYAMAKEILADRSGLYAKPDPGASILALFGNGLAFVNGDDWARHRRVVHPAFAMDKLKMMTKTMAECARKVIRAWEARAAAAADGERMVQVEVGEQFQELTADVISQTAFGSSYREGKEVFVAQRELQSIAMSALNSVRIPGSQYIPTKANIRRRQLAKKMTMMLYETLRLYGPVSMLVRMATADAELGGVRVPKGTLTMIPVAILHRDADVWGADAGEFDPLRFRGGVNRAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMVLRRFAFEVSPEYVHAPLDFLTLQPQFGLPVVLKLLDQ >KN539549.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539549.1:90084:98254:1 gene:KN539549.1_FG009 transcript:KN539549.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQRRRLLAVAAAFLSLLALRNAAAAASPALVRVGVVVDMTSGEGRRSLAGISMAVEDFHRRRHRPGSAAVVELRVRDSCGDDDAAAARAAEDLIKNAQKFSAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFVPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVFPLGSPLVHDLSTAILNLTGETEGSKIEEKWFGSSEQSTGGDANPSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISVANRVICAKCAKEARVHDVEHGGSTSSSATEQSRPLQIVIDSNPEPDQAVQEDGNDGFQGAQPMQGSVGDERPNPVQNCRHNGTVPEHDAQMEMNTG >KN538761.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538761.1:44614:46527:1 gene:KN538761.1_FG030 transcript:KN538761.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MGVETVATDTAGNKVVVAGAADAAELKERIEARTKKAVQIVSAGAGPPPKKDKEEKKEKDKDKKGGGDDKKADKEKGGGGDKKAEKEKGGGGDKPKEEKKPKEPKEETVTLKIRLHCEGCIDRIKRRIYKIKGVKDVAVDAAKDLVKVTGTMDAAALPGYLKDKLSRQVEVVAPGKKDGAGGGDKKDGGGGGDKKDKKEGGAVAGEVIDDDGDKFDRQPAIPSSGGFETLLALAAAVGRQD >KN539549.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539549.1:39329:40102:-1 gene:KN539549.1_FG010 transcript:KN539549.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHRGTGKEYALKVLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHGMYERGGELQILLEYMDGGSLDGRRIADERFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGKFPFGENLGKQGDWAALMCAICYSDPPEPPAAVSPEFRSFVGYCLQKNPAKRPSAAQLMQHPFVAGPQPQPLAAPPPSS >KN538761.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538761.1:14418:18257:-1 gene:KN538761.1_FG031 transcript:KN538761.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPPAAAVVRRMKLGSQGMEVLVGKAVAAAAATEEEVQVQVATKFGITPAWEVRGDPAYVRAACEGSLRRLGVGCIDLYYQHRIDSTVPVEITMGELKKLVEEGKIKYIGLSEASASTIRRAHVVHPITAVQIEWSLWSRDVEEDIVPTCRELGIGIVAYSPLGRGFFSSGAKLVDELPDDDFRKSLPRFQPENLEKNAAIFEKVNAMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIHNFDQNVGALSVKLTPDEMSELESYASADVVQGDRYHGTFLNTWKNSETPPLSS >KN538761.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538761.1:7642:12199:1 gene:KN538761.1_FG033 transcript:KN538761.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGILERMNLVDTIQHLGIDHLFQEEIDSALKDIHENDLASSKLHEVALRFRLLREHGFWVSPAHLFIHGEPELEEAISFARHHLESLNRRNVLKAPLADQVKRALHLPLPRTHRRVEMVSYMFEYGREDGHNPVILELAKLDFNLLQRVHLKELREISRWWKDVSGYMGINHIRDRVIECYTWSYAVYHEEEMSFARMLFAKIVVIIALLDDTYDVHGYTSIQECRMLNAAIQGWDDSAVLLVPEYLRKFYEFILRCFREFEDQVPSNQKYLIAFSKTELQRLSSYYLEGAEWSHRKHMPSFSEQVALATMTTGTRPLAAGLMVGMSESMTTKQAYEWAVNSTDAIISCGKTGRFMNDIAGFKLGSQNKADMPCSVESYINEHKVTADVAIAKINELVEDEWKTTNQARIDHRDVLPVVQRLINITMAIPLYYSDGIDGFTFGEGIQEVLEKLYVKPIPL >KN538761.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538761.1:120461:121624:1 gene:KN538761.1_FG036 transcript:KN538761.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08305 [Source:Projected from Arabidopsis thaliana (AT5G08305) UniProtKB/Swiss-Prot;Acc:P0C8Q7] MYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFQAVPVENTDVLIWNAVISGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWSFFRSLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHLHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGICRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDVTVPDYTCMYC >KN538761.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538761.1:38951:42194:1 gene:KN538761.1_FG037 transcript:KN538761.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATVILEMEVHCNGCARKIEKTIKKISASREKERNFHVVWMQNFGNKQNREGKKNIYTIDMDCWGHSKMVRKLVMDYPGVDKVTVDIPARRVMVAGKFDVQCLELLLQVRSKKKVTIINAPALAIAGQPWR >KN538761.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538761.1:22482:23608:1 gene:KN538761.1_FG038 transcript:KN538761.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPSLPSQLTNRLCRWQRGMGRGERVAAVVAAARRWNGMTGHVRGFASCPKRVMEEMIWSWRGDLDRTASSTRRLAAEPYSCNDWGEWCEVDDGYGDTVSRPTSSDCYQLGFVEKARLGKLYGVGLYSKRRRTPRVRQKVYKERERRGKGEKAEFFLGGYIRLSGGA >KN538761.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538761.1:74463:78430:1 gene:KN538761.1_FG039 transcript:KN538761.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABF1 [Source:Projected from Arabidopsis thaliana (AT3G54840) UniProtKB/Swiss-Prot;Acc:Q9CB01] MLLLVGTTVSSESSEEAVGLTVLTVRISGWRANNAGVVGTISNENSATDPKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQESICVAIRYQRNQENWSIFRGAGAAIVVYDITSPESFNKAQYWVKELQKHGSPDMIMALVGNKADLHYNRSVPSQDAQEYAERNNMFFIETSAKTADNINQLFELGQVS >KN538761.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538761.1:111952:118211:1 gene:KN538761.1_FG040 transcript:KN538761.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQEPIYVLEFLQTVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRSKENPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERTEAGAGAAADPFASAAAVADDDDLYS >KN538761.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538761.1:122987:125112:-1 gene:KN538761.1_FG042 transcript:KN538761.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAMQCFGFADWERDERRGRSSAVASAAAATTRSLSARSNSSTSTDRDARRSGSECSLNELKSATRGFNRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSPRPASWAMRLRVALDTARGLKYLHEESEIKIIFRDLKPSNILIDENWNAKLSDFGLARLVSQDGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIEWVKPYSTDSKKLEIIMDPRLEGSYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIVDSTDLGTPEHPLISKSRELTRDEKKRKGLDLKRRFADIKAGGDQRWFTWQRWRPKLVRTQ >KN538761.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538761.1:130690:138164:1 gene:KN538761.1_FG043 transcript:KN538761.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALLVPPARCQQQQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCGKAEIEIYVKSLGKKPSTRGITVQQQPKNLTVLRGTIAVKAPQRNISVFGKTHVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESDQLAASSNDARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHAAPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREESFGDQSENADSVHQNVREPYNLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGVLFRFIALLSLLKLK >KN538761.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538761.1:66815:67301:1 gene:KN538761.1_FG044 transcript:KN538761.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKPWIPSDVASCPGLRSFSAANVHFAGGFPNYFGNATLFPELESLSLARNLLWGEIAPEFGKNSKIRGGLSFVSGMANLVEAHLEGNVFKGPLPDATSLANLHVFDASDNDLCGVAKFPAGVTVNVAGNPGVGTPCPS >KN538761.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538761.1:25460:28579:-1 gene:KN538761.1_FG045 transcript:KN538761.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLLLLLALAVSVLVAVVISKLVSYATKPRLNLPPGPWTLPVIGSIHHLVGSHPIHRSMRALAEKHGRDLMQEEVARLMRDLSAAATAGAAVNLSEMVTRMVNDTVLRCSVGSRCEHSGEYLAALHAVVRLTSGLSVADLFPSSRLAAMVAAAPRAAIANRDKMVRIIEQIIRERKAQIEADDRAADSKSCACSLDDLLRLQKEGGSPIPITNEVIVMLLMDMFAGGTDTSSTTLIWAMAELIRSPRVMAKVQSEMRQIFHGKNTITEDDLVQLSYLKMVIKETLRLHCPLPLLAPRKCRETCKIMGYDVPKGTSAFMNVWAICRDSKYWEDAEEFKPERFENNDIEFKGSNFEFLPFGSGRRVCPGINLGLANMEFALANLLYHFDWKLPNGMLHKDLDMREAPGLLVYKHTSLNVCPVTHIASSCA >AMDW01040329.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040329.1:325:1375:-1 gene:AMDW01040329.1_FG001 transcript:AMDW01040329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHILSNHPVPFYYCVVKCSLLPFIM >KN538761.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538761.1:83157:87481:1 gene:KN538761.1_FG046 transcript:KN538761.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQYLGMFNASGNGDARNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSASATAGYYDDATAAFQNLSLISRQPMQVWVDYDAAAAEKPLLSTAVNLSTVVADAAYVGFSSASSIVLCKHYVLSWSFRLGGGAAPALDYAKLPKLPRIGPKPRSKALTVALPIVTTAIVLTAVAVGFLLLRRRLRYAELREDWEVEFGPHRFSFKDLYDATGGFKDKRLLGAGGFGRVYKGVLPRSRTEVAVKRVSHESRQGMREFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGCDEKPILDWAQRIYIIKGVASGLLYMHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPEMVRSGKATTRSDVFAFGAFLLEVTCGRRPIEEEEVAGAGADDDARFVLVDWVLGHWREGAITDAVDAKLGGEYDAAEAELVLRLGLTCLHPSPAARPSMRQIRNGTKKYKNVKFFENHRVFAARNVGGNYGHAAGGGDDAVDVTGVGAEGWTQGGGGGGRPGFGAHGGGLGWPGSGAQGGGLGCPGSGVQEGGLGLSRLGVQRPVFGAHGGGSGWLGSGVLGGYSSWSGSRVQGGHLGWPGFGAQGGGSSWPGFGVHGVGLGWPGSGGQGGRSGLPGFEVQRLAFGAQGGGSGWPGYGVQRPGFRAQGGGSGLSGSGAQGGPSMGGPGQGNLE >KN538761.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538761.1:30815:35084:-1 gene:KN538761.1_FG048 transcript:KN538761.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MVADELVAEFADPNNNFASPDPDNPNTPQFDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSMSDVEELKTEIIGLKGRIDKKNAYLQELEDQFVGLQNLAQRNEQLYGSGNAPSGGVALPFILVQHWGSPAKNQMIRKPGLEMEVSAQPHLSIINHPKLRGQTELDYQHRPLFPVYLKGVSSMNIRGYYDLLMV >AMDW01083220.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083220.1:201:353:-1 gene:AMDW01083220.1_FG001 transcript:AMDW01083220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRVKGIGGLPGGK >KN541133.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541133.1:9895:11574:1 gene:KN541133.1_FG001 transcript:KN541133.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSISDVEIEELGNSMKDELKRYMSSDIVHVDGSDFCLIPRIHEHIRMIDRDSYEPLILSVGPYHNGSSALSSMEREKWNCLDYILKLNCQKGLKDYLTVIRRLENRARLCYSGDIKMNKRKFLQTLLLDSCFVLVSLRKYNAFLWPSSRKLKRSSSHDKIFEGASSPGHQKATVRNAEQLINKGKQSVMKSTQLDHDIVNSKHSMEEYSVSEIELSSEISGHQERHYQYQDNTQLIGKWYDIFVPHDLLLLENQIPFFVIQGIHEVLVSEHENKMATATMFTSSIAQCIEKFVPCYPKSIGECNRPKNFDHLLHLCHMYIRPSPNLDEHHDHTANYIHHLLQLGWDYINLTSKQETAHLGLSQNGHFPYRWRRALQYHEAGIEFRSRAYSEHNPHSLLDIKLRDAVLEIPFFPVDESTAILFRNFIALEQTCPKVGNDVTAYVIFMAKLMNMPDDVTLLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGHYYLMPLSLALEAHYQNRLHRWFAWLRHNHFSNPWLAVAGLAGIIVLFCTVAQTVLTVLSYVDPR >KN541133.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541133.1:23956:25803:-1 gene:KN541133.1_FG002 transcript:KN541133.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAPETLAGDWLAGTVGEPRWTSDADCLLILVSPDMLAEQYDSTDYVDIDELVDTMRADLNRRAIIDEYRRSGNSCGLICKIVYRIRHLDMNAYEPSVLSIGPYHHSKPPLLAMETEKWICVDYILKLNRDVSLREYLSLISGLEKQVRGCYSEYIDMDSREFLQMLLLDSCFILVYLGGMHGLCRAKDTIHASVDDQGTQEDNDTAQWYNSSAVYDLLILENQIPFFVVRTIYQLFSRDTIATNPLLTSDISEFMEGILYHFPKLITEANRPGDFYHLLHLCHMYLKPGHKREDKQLYGHADKTHYFNYISDFCRKVFCFGREQNMLQELNKSNSPRQVNRWRRAVDYHEAGIEFKKREFNEEDPHSLLDIRFRKGVMEIPCLPIDDKSSLLFRNLVALEQTCPQVGDDITAYIVLMAEFVSTAADVALLAQKGIIVHQMESDEEVSTLFTKLFEYVAFNFSQHYLKSLFCAMEAHYQSRLNRWNAWLWHNHFGNPWLGFAAITSAFIVLCSIMQTILAFLSYMG >KN541133.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541133.1:22079:22453:1 gene:KN541133.1_FG003 transcript:KN541133.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVALVLAVSFLLFADLMIPTALGRDVPGLPKDSNTIYSASSMNGPKPGFSEAKGFIALEPISHPIYIPPCSSRASLRGSRGERLC >KN541133.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541133.1:16581:17357:1 gene:KN541133.1_FG004 transcript:KN541133.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGRQPCKRELELGWESFHPSIDHQLFDEMSSPLEVFEEDVLLVTRDKSNQSEGDKRSNESEEFSASIKELTPTTEAAAFQPPQASPSLAPTKCSTICFGLDTMSDLNMAAAVVCATSSLASVELVAGGNTICEPYVDIPSHPKETHAKCSMVGLDVKGSTDHTKITCQTMMGVPDSVLVPDASSKVFSPWLIAEMDLIPLLPTVCSMKRIKTRRSF >KN543728.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543728.1:2747:5426:1 gene:KN543728.1_FG001 transcript:KN543728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKDLISQLPDDILVHILSMVRYKEASKQRVESMARTLRRRCAGPDHDTVERLRLSYRKDVPMECRYADEFIALAAASSLVLFLNCPKNLRNNDTGPWSLHLPAATACLSMESCWYSVRPPHVHGPGASALKSLIFKDSFMVLHPGYLQDTAFPSLEELHISGCTLSGCTEITSATMPRLKHLRIADVSVMSLGTEAAIAVLADELATLREFHRT >AMDW01027250.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027250.1:25:270:-1 gene:AMDW01027250.1_FG001 transcript:AMDW01027250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATAQLVCYGDKVLNMTSTATDKNGYFLVMVYRLDVFRRSRCRVYLGSSPSPLCAAPFIPSNKWLGLTLERERVASLPKGVRG >AMDW01040733.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040733.1:33:1014:1 gene:AMDW01040733.1_FG001 transcript:AMDW01040733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FRTQNAWSKEAWTNIVCRLNTKFGTSFTTNQVKQKEQDLKKDYRSVKDLLDQSGFGWDSDRMMVSAPQSVWDTFADRKNKDAIHWRDKSFPYFDDLAPLYDGRYAEGRTRHGMDHYARKTKNAPAHSTQEANAVDTYQSPSPNSNAPGESGLQFPFGEEVETANFDFSQHSPTPVHLTKVPPSSAQTPSKVPESRPGKKQKIKSVSPDDGFHERYLKLKKEEIDRFAAIEEKKLEDPYSINKCITVLEGLHGLQIGDILVAADIFKGKDNREVFLSFSSDALRLAWIRKEIAALE >AMDW01028748.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028748.1:24:183:-1 gene:AMDW01028748.1_FG001 transcript:AMDW01028748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QTFLKTDADFLQSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGK >KN540571.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540571.1:9466:9903:-1 gene:KN540571.1_FG001 transcript:KN540571.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIAGVVILVLVICPTITSATETRGGRDDDLSDDKIWTSLIAFVGRYMLTHYPGLVVPPICIEDIAKKCGWNNLTPACVMEEMDSCH >KN540571.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540571.1:43838:45086:1 gene:KN540571.1_FG002 transcript:KN540571.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCTLVLLLVALVGLSLLVSPIACSRKLSKPKPKPKPSMKKPVVRAHNNYTGSPSVTVTTGWAAAGATYYGAPNGDGSDACSGQPATVVITDECPGGICLAGAAHFDMSGTSMGAMAKPGMADKLRAAGILQVQYRRVPCKYSGVNIAFRVDQGANPFYFEVLIEFEDGDGDLNAVDLMEAGCGWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPASWKPGVTYRSLVNYS >KN540571.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540571.1:30872:32255:1 gene:KN540571.1_FG003 transcript:KN540571.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKLLPSVVAFVALACCVLGSSVASVDHHRKLSGWSIGGATWYGPANGSGTDGGACGYQGDVGQPPFNSMIAAGSPSIYESGKGCGSCYQVKCTGNPYCSGKPVTVVLTDLCPGGACLEEPVHFDLSGTAFGAMAKPGQDDQLRNAGKLPVQYARVPCKWQGVDIAFRVDAGSNQYYLAVLVEDEDGDGDLSAVDLMQSGGGGSWAAMQQSWGAVWKYNSGAAPLQAPMSIRLTSGSGRTVVASNVIPAGWQPGGTYRSIVNFRRED >KN540571.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540571.1:18908:27723:-1 gene:KN540571.1_FG004 transcript:KN540571.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGRLRRRLVVRDWAFVTHRLHHRFPELADLDLFPASIVAPAVPSPTSPLLTCAEVSLTLDTGADPPLGACRFLADDVLDRGLVAVAASFPNLRRLSATAASESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRLVAASSALYGTSEDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIANHKMDNGWLAALAFCGNLKTLRLQGCSRIDDDPGPAEHLGACLTLESLQLQQCQLRDRRALHALFLVCEGARELLVQNCWGLEDDMFAMAGLCSVRRYNACKLQCMDPSMFGVSMPLLLLWKWRVKFLSLEGCSQLTTRGLESVITSWSDLQSLKVVSCDKIKDEEISPALSELFSTFKELKWRPDNKSRLAASLAGTGMGKKGRVLCKRQILPGHQRVKGTMLNYSTVLVQLRDREMKGREQRTDAVERTNPVPPRFIHKATPARSMRRARSSHNYHRRLGAMDAINEWRLPKVSEEEDEAADQTDWQADTLSSHISSARDWNFEAGGAYEGSDHNGGAFNHSDGENSPVAVQRMGRWPQGSAVKHKGNYVHAKLVAWKNAEIEKLIDKLRRKEADIDEWQMNQVTQAKEKMKRIEIKLEKKRARAAEKMQRAIKDAQKKADKKKIKEHAATDNQIASVERAMVKMSRTGKLPWFLEVLVCPSNCNQNNSSALSTIPMRSVQVKDEIARCLEARLSKGQMFRQCRRSKIDPGNRRDQGWDAHQAQAGAQHQNLQRFPPQQGGGNIGERQGQGQFRPGTPSYVQEQRPPPQSSSSHEALQAGVRQQANNSSSGSSRQQRQTDTATVASRREPMSK >KN545263.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545263.1:220:2039:1 gene:KN545263.1_FG001 transcript:KN545263.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYSDGGTGAGREKNHGAGVGREAIGTELGSSCLCGKLTNDRKLIFGSLLENEEIDTDDDGKDDIDGAQEDDEVALQSDGCGVDYIADGLRELDMENYDEEDGGIQNLCSGSSDLYYPSNDMDPYLKNKNNGLDEDEDDEKIEDKTVKPTDSMIVTAHSKDYNNYLKASIAATNKKCRYLKKWRMEIHICTLKMRFLFWVSHYALHGRTVVLRMVRK >KN542047.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542047.1:11939:12546:-1 gene:KN542047.1_FG001 transcript:KN542047.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRGHLTSGALSGSRQQHIRVPVAMDKTNTGARSEKINQSLQKYDHPRCSWHVLFGTRSELHNLHHARTASDFEDAWTAQVNEYGLQEENAYLHNAQMLIDDETKPNDSQEIDTDRKDVKREVEATKLLISHPSEEK >KN542983.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542983.1:5439:6473:-1 gene:KN542983.1_FG001 transcript:KN542983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNVGFTLYEREQIEAATNNFHKSHIIGEGGQGIVYMAEINNAIVALKRCKEIDESRKMDFMQELVILCRVNHPHIVKLLGCCLQFEASILVYEFVQNRTLQELLNLQRNGRFHVTLGTRLRIAAESAGALAHLHSLPHPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVPKGTPGYIDPDYLLEYQLTTKNDVYSFGVILLELLTGKKSLTKGMKSLTLMFQEAMADGTLVELLDSDIVDEASMRVIHQATVLASQCLVVPGTGRPPMMLVAEELRRLALTDEVQRYPQPPLVLEHLSVMDTGSTTSIWYNESKTSMVYCLENKAVVSTEFAR >KN540288.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540288.1:38871:39200:1 gene:KN540288.1_FG001 transcript:KN540288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNWLSIPWIFFRKASVVSVSTANWPAIIESDDSGMPSAVELHKAGINFKVSKVAGLGGADSFRGGVLISIPKIFLFDNTDSMLLNLMAFERLHPDAGNDAPLHGQPH >AMDW01037111.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037111.1:296:550:1 gene:AMDW01037111.1_FG001 transcript:AMDW01037111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KDFVDYADVCFREFGDRVKSWNTFNEPMIFCAGGYGSGTKAPGRCSPYVSKKCAPGDSGNEPYVAGHNLLLAHAEAVRLYRQKYQ >KN540288.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540288.1:7:980:-1 gene:KN540288.1_FG002 transcript:KN540288.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGEVSNSKGCVRSGLNKRARYGSFRLHRLPPDIICDIFSRLHFKEAARTSMVSRSWRRLWRCYPNLVFTRQTMLHGNITADDHLAATFISRVNSILWQFRSSSLENFIVKFPLHGRDDAHHIDGWVSFSAASRAGQIVLDLCPEDQEDTDMMNGMYSFPLHIFFSGDNCVRSLSLGFVSLTIPPDHLSGFTSLKKLGLHM >KN540288.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540288.1:29446:30012:1 gene:KN540288.1_FG003 transcript:KN540288.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKLKKPTTTTNESLVLDMDQMVMSETLSLSEDTAQWRQSIYRVPKWVKELSSNRSKAYQPQVVSLGPLHHGEAELQPMEEHKLRAAQNLAARSSKTKEQFAAAVNRVAPFLESAYGRDLDEKWRGERNRGKFVELMVKDGCFFLEVMRLNEAMDGDRKSVGTDFRPQRPCVQQARVHLPVPAYPD >KN540288.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540288.1:12373:20739:-1 gene:KN540288.1_FG004 transcript:KN540288.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQILFTAVKANFLIVGLALITMKEALLWTDGRYFLQAEQQLSDRWKLMRMGEDPPVEVWIADNLSDEAVVGINPWCISVDTAQRYEHAFSKKRQTLFQLSSDLIDEIWKDRPSAEALPVFVQPVEYAGRTVTEKLKELREKLLHEKARGIIIAALDEVAWLYNIRGDDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENGIDIKDYNMVQSDASLLASGQLKGSAVNGSSYGENDMNENSKVWIDSNSCCLALYSKLDQDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKGSQKKQHITSKYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDSAVFPNGTTGHALDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKYNFGDKGYLAFEHITWAPYQTKLIDTTLLTPAEIEWVNAYHADCRKILQPYLNEQEKEWLRKATEPIAGLDRPFVQKRQTICGVPCVDKWLSRNSIALQ >KN540288.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540288.1:5145:8021:1 gene:KN540288.1_FG005 transcript:KN540288.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVHGKATKSEGCARINLKKRRSQAFRLHRLPPDVLRLILSQLSFKEAARTSIVSRKWKRIWRCNPKLVLTGDMMLGSSSNAAGDHPTWNKTTFIRRANSIVRQLSSPSATLNKFIVKFPLLRSDADHIDRWVSLSVASRARRIVLDLCPELEKFGDKDQMYSFPLHLFSVGGNSCVKSLCLGFVSLNLLHQLPPAGTNTNRLTILKKLTLHKVSIAGDLQSLLLECDALEWLSLTFCSLQHRDLVIQHQQPLQRLRHLRVLHCRLQRLELQAPNLTEFEFANHQVPLVLGDCVNLSMASVGLLLPSDGFDYACTKLPVALPHVCDRLTLSMAIRTEAPLLMKGGSVFANLRHLILNVDIDGCPKASTGVLRLACLLELAPVLEELELHMYCANTPFYTSDLDAAATSPAVRLHERLRTVYMTGFYGIRGQLELAHRILRSTVALDRLIIDPTRKVDHNFRNQAYAGMGRTMATLCINKAQFPGTVITIL >KN540288.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540288.1:32523:33071:1 gene:KN540288.1_FG006 transcript:KN540288.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAGIQFKATNLNALNAPCDPERGIGFHGGVLSIPAYTMDNFSEKLLLNLMAFERLHAGAGESVTAYVVFMDNIIDTAQDVALLRAKGVLASELGSDEETADLINNRLSKGAAMSLSGMLTQVHEDVGAHCRKRRNIWRASLIHTYFRNPWVFTSLVAAFILLVATLLQTIYTIVSFHRQD >KN542154.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542154.1:1:3475:1 gene:KN542154.1_FG001 transcript:KN542154.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RCHDIAPRIKPFLHDTLGEHGKQRQPCITWFGPTPEVNITDPELAKVVLSNKFGHLERVRFKEVSKLLSQGLTYHEGEKWVKHRRIINPAFQLEKLKLMLPAFSACCEELISRWIGSIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRVFELQAEQFERAMKCMQKISIPGYMSLPIENNRKMHQINKEIESILRGIIGKKMQAMKEGESTKDDLLGILLESNTKHMEENGQSSQGLTMKDIVEECKLFYFAGAETTSVLLTWAMLLLSMHPEWQDRAREEILGLFRKNKPDYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDLEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTYTHAPHTMVTLHPMHGAQIKVRAI >AMDW01012462.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012462.1:69:171:-1 gene:AMDW01012462.1_FG001 transcript:AMDW01012462.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDD >KN542154.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542154.1:15267:18155:1 gene:KN542154.1_FG002 transcript:KN542154.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLQVSCVQLAILLFLLPQTECSSGTSAHHRNETGIITRCITTERSALLAFRAGLSDPANLLPSWEGDDCCRWKGVGCSNRTGRVIKLDLRGSDCYNSTIKQELGGNISDSLHDLHHLQYLDLSCNNFSGLQVPEFLSSLHSLRYLSLSGSGFIGTIPPQLGNLSNVRYFSIDSGFGDTYSTDISWLSRLSSLEYLDMSGVNLSTIVHWVPTVNMLQSLEFLSLSICELRTSPDSLLHSNLTSLETLEISGNPFNKHVSPNWFWDVTSLKHLDVSDCQFHGRFPDQLGNMTSIVHLDLSGNNLVGMIPSNLNNLCNLEELLLCEININGSIAEFFERLPDCSRSKLQILLLRMSNLTRSLPAKLEPFSNLTWLDLSGNKLTGPVPLWIGELTKLTILDLSSNNLDGIMNEDHFSRLVSLESLSLNDNSIAIVVNSTWVPPFSLTNVQLRSCILGPKFPMWLRWQTRILNLDISNTSISDKVPDWFWKMSSVQSLNIGNNQISGLLPSTMEFIAAKAMDFSSNQLSGPIPKLPINLTGLDLSRNRLSGPLPADFGAPGLGTLLLFDNYISGTIPSLCEFQFLRLVDISGNKLTGSIPDCSFNTSTKNTSLNIVNLSLGNNKLSGKFPSFLQNCHKLVFLDLANNQLSGPLPVWIGEKLPSLAFLRLRSNMFYGHIPVELTKLVNLQYLDLAYNNISGSLPESFVNFKGMVVTRNYNNDDKIPDPFASATLFDDNEMIDFTDNFKVVTKGQEQLYTGEIIYMVNLDLSCNNIIGKIPEEIGTLVALKNLNLSWNAFSGNIPDKIGALLQVESLDLSHNDLSGEIPDSLSALASLSHLNLSYNNLSGKIPSGNQLRTLDDQPSIYIGNPGLCGPPLSKSCSQTEPVPFVQKDQEDENEKVFFFLAMGIGYVLGIWTILCIFLFQRKWRAICFSFYDSMYDRVYVQVAVTWASFKFYKEKWAETN >KN542154.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542154.1:11953:12555:-1 gene:KN542154.1_FG003 transcript:KN542154.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMQSYHTMWSMSVRWRKLKLQVLKNQAQHHLGLKQREVLAIANSWPPTEWEECTRILRRLRDPLREPLRLELMHITSPDVRIMVDEQHGEFNADTSWA >KN542154.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542154.1:7455:10346:1 gene:KN542154.1_FG004 transcript:KN542154.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLHVSCIQLAILLFLLAQTECSNGTSAYNPNETVIITRCITTERSALLAFRAGLSDPANLLPSWEGDDCCRWKGVGCSNRTGRVIKLDLRGSDCYNSTIKQVLGGNISDSLLDLHHLQYLDLSCNWFSGQQVPEFFGSLQNLRYLDLSESSFSGRIPPQLGNLSNLRYFSIVSSLDDIYSTDISWLSLLSSLEYLDMSFLNLSTIVHWVPMVNMLRSLEFLSLKFCELRTSPDSLLHSNLTSLETLEISGNRFNKHVSPNWFWDVTSLKYLDVSFCHFHGRFPDQLGSMTSIVLLDLSGNNLVKMIPSNLKNLCNLEELLLSEININGSIAEFFERLPDCSRSKLRTLFLPMSNLKGSLPAKLEPFSNLTWLDLGDNNLTGPVPMWIGELTKLTDLELSSNNLDGIMNEEHLSGLVSLERLFLTGNSIAIVVTSTWVPSFSLTELELRSCILGPKFPMWLRWQTRIFNLDISNTSISDKVPDWFWKMSSSVDSLNIRNNQISGFLPSTMEFMAAQAMDFSYNQFSGPIPKLPINLTSLDLSRNRLSGPLPADFGAPSLEVLFLFDNYISGTIPSLCEFQFLWLVDISGNKLTGSIPDCSFNTSTKNTRLNIVNLCLGNNKLSGKFPSFLQNCHKLVFLDLANNQLSGPLPVWIGEKLPSLAFLRLRSNMFYGYIPVELTKLFNLQYLDLAYNNISGSLPVSFVNFKGMAVTRDYDHNDKNPDPFVSGMSFGDNEMMDFTDNFNVVTKGQEQLYTGEIIYMVNLDLSCNNIIGKIPEEIGTLVALKNLNLSWNAFSGNIPDKIGALLQVESLDLSHNDLSGEIPDSLSALASLSHLNLSYNNLSGKIPSGNQLQTLDDQPSIYIGNPGLCGPPLSKSCSPTELVPSAQKDHEDESEKVFFFLAMGIGYVMGIWTILCIFLFQRKWRAICFSFYDNMYDRVYVQVAITWASFKFYKEKWAGIN >AMDW01108086.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01108086.1:475:600:1 gene:AMDW01108086.1_FG001 transcript:AMDW01108086.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQHALLRRISLPKPPAATGIGCYYATEPEGRKPKTAPLQ >AMDW01037672.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037672.1:52:467:-1 gene:AMDW01037672.1_FG001 transcript:AMDW01037672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERESAPAAPPPPAMSAPLDSPRTMGEIRGPVYPPEPRGPAEGKKSF >KN545105.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545105.1:63:2273:-1 gene:KN545105.1_FG001 transcript:KN545105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LIDTVDAQVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLKIEDNSIDLIFSNWLLMYLSDEEVEKLVGRMVKWLKIFKECHSYDKDGGSYELSLETCKCIGAYVKSKKNQNQLCWLWEKVKSTEDRGFQRFLDNVQYKTTGILRYERVFGEGYVSTGGIETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKTYPPNTFDVIYSRDTILHIHDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEDAGFHHVIAEDRTDQ >AMDW01034259.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034259.1:101:467:1 gene:AMDW01034259.1_FG001 transcript:AMDW01034259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRKPQLMAKLQAEVRSVVPRGQEIVTEEQLGRMPYLKAVIKETLRLHLAGPLLVPHLSIAECDIEGYTIPSGTRVFVNAWALSRDPSFWENAEEFIPERFLNSTAPDYNGNNFHFLPF >AMDW01039823.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039823.1:5:1114:-1 gene:AMDW01039823.1_FG001 transcript:AMDW01039823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PITKDTKTGLHTLSISNKNYLLDLSGQLLWSPCSPSHPTVPCSSGECAAASGVHKSCNNGGRTCTARPTNPVTGERAVGDLTLADIVANATDGKTPTSEVTVRGVVSSCAPGSLLRSLPAMAAGDAGLSRGEVSLPTQLYSKLSLKRQFAVCLPSTAAAPGVAFFGSGPYNLMPPTLFDASTVLSYTDLARSPTNPSAYSIKLRGIAMNQEAVHLPPGALSRGGGVTLDTAAPYTVLRRDVYRPFVAAFARATARIPRMPSVAPFELCFNSSALGFTRVGYAVAPIDLVTSGGRNWTVFGSNSLAQVAGDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAASRLGFSGTLFFIRTTCGNFNFARN >KN540758.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540758.1:9323:13314:1 gene:KN540758.1_FG001 transcript:KN540758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDSGQSFLGLMASIQQGGLNFEPSNFKTIHPIGDVPKGGGGNLHSALSVAPEANKQIETPISTAPEAINMSSYSTGAQNTTKIVKACNETRQVFFEAMSKETEDDFTEKSTEETNSHVCSEYEAGLSDSDFMYDSSASSSSRRRKRKWAGTDLLMGNPNFAGAIEKAIRNADRRASANICQPYEGQCFDSLAEAYQFYNLHSWESGFSIRHGTSQTNTKKDQRNMQQFDCQRAGKPRKNQKKTTRCGCPARMRLLRCSTGGYYVKTLVAEHNHSLVESCGEKRHLFSHKSIDESTKQMVRHLRENNVSLSKYAKLTHMREEDDDEQQKNNSQQEIIPVLRYPIVKHAAQVYTNCVYDMFMEEVTKSTSYVVNTVEGNKLYQCTHTNSEEIESWCRTTYNVSVDNVTQKYNCECGLSNHFGILCCHAISVMLHLGVRSIPESHIMTRWTKKARDTLPDHLSKFPDAGGIAQAKVFRRNVLQSTANEIVRMGDSDNLAFEILLRYLGEAKKEILKVCSNRSDSSSVCQATDCTSIPNSSVEALGSQECCSNGFSLVDKSSNTVIPVDQIKAPESSRHFGRPSNQRYHSGVEGRITRVQKSSNGTIVGRGGKVKSGVKLPRYCRLCRKPNHDARTCPEKMKVKGIA >AMDW01035492.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035492.1:55:582:-1 gene:AMDW01035492.1_FG001 transcript:AMDW01035492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FFRYPGHGAAAELAHVRAWWSPTSHAAAADWDDGADWELPLQCNGLVLVFSMEKSLSSSLMFVCNPATKKLAVVPPGTPDVHGNQSVGFGADQSTGKIDMKVVRCFARPDESVGCEVFSLGSPAWRPVADSPCPVRAGAASPCILGAIYWITTAAPTPGMLRFDVRREVFDDFPSP >KN540758.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540758.1:35201:35929:1 gene:KN540758.1_FG002 transcript:KN540758.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVASRSARTGLIPNGAVPVFPCFNFLGTSTSSSSLSHLHQQSSSTRRQSNASTASSSGIGGGDDNKAPGNIVAQNVLNGGGNNNNGGNAPPDPPYPWATNEAAKHHSLAELTRRDIKTIRGEARCRRCDTHKMIEYDIATKFQEVSNYFRQNYQHMNDRAQARWMNLVVPNCDNCGHEKCMRPVIAAEKERINWLFLLLGETLGLRMLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCN >KN540758.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540758.1:29400:32607:1 gene:KN540758.1_FG003 transcript:KN540758.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPDGGEGRSVERTRKCLLGLVFQCCKESVKQEYQEDKKKDCTFPELETVLASGKKLMKLQSVLFGQGQLRIFLFELKTALLNVPLKAFYLESLLLQLVFQMTHSFLATVIGCNTAIYYHIINITILSHLHQQSSSTRRQSNASTASSSGIGGDDDDAAPGNIVAPNVANGGGNDNNGGNALPDPPYPWATTKVAKHHSLVELARRDIINIDGEARCRRCDTRKMIVYNIATKFREVSDYFRQNYQHMNDRAQARWMNPVVPNCDNCGHERCMRPVIAAEKERINWLFLLLGETLGLCTLEQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLAPGLITGHDQLRMR >KN540758.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540758.1:14320:29086:-1 gene:KN540758.1_FG004 transcript:KN540758.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKFHPRNPDSASSFHMDSLIKRRSKHASIEVPNDGNNPPPDGQVEEYIDEDEDADEEQIGIQTESGDVIRPTSRSSVKRLSALVSKFGKQKKDIVKSIGFGGLLLLPNINKVNRAFTFWLLNKVDCNDRKIRVPGRDDISLVDVDVERILGIPRGPKTVCGLGTDNPHLKFEFIQWCIGAEEDENNSLKAAKMNVEEEYEEEMTDREIACFKVSFVVFALGYFLAPTTKCNHGSDSFWGALKDPSTIGQYNWCQYVLDMLIDSARKAQFDIETKKRCSNVTGCPLLLQLMFLYNINLGILNRPRSLLPSVAGLTCEMMHKMISAATSRSPTAASIQASEDAKQQFTQNKTVCTKTAHATNHFIDGNQNPLLDLISSLSSAELSTKQIVALKWMNARILLHTNQLKAQMQKDAADLVRKLVIEPRLDLCLADQSYCKEVLPRKRGAEQCLSDPDTVSEGNEQTPFLFNSPINKTTNNIHLHTPIPRESYSSHTIPHDVPVLEAKRALHVADQFDSTMNTNETGCDKVGTSHNTQAFPTTQPQPHTPSSIPTNLPSSTVVKLMASTDETEAQKEVPACTTPFNPITQLTLQTPLQSHHFDEHAYTTPCHPITKLTSGQETTPLLKTPRNIITPHSPDTVIKMYNESTIFARLISAEEDDMNCHGQPITYDYTTSPIMRRALLEGRIARSPWSYGVQHPARDELQIEPFYNWLRTTDNCDISRPWIIHKSPRCATLTGTNCRDIANGVNNVNYDIVDLSIRRFRQLDYEMCYLHNIPRWRHFLESDFAVISLAGDDPTASKSIREQFIGHTMNYNIQCCRMIVIPTRTSSSWYCYFFDFKLRKVHVVDPCYDDNDAASFQSSHARTISILQESFKKCIEAFFNGWEPTFDQWETNFVYTQGNQTTGISSAFLALLAARDFDGAKICALDGSKDINSVVSKFLITLLYEAQMSGHDVFATPADYPMSAVDVDPRNGNTSRTAPDAQELDNQVSLEAVLNNHILDYNNMFNNANLNNEDGTRFLTDQINRVNISNQRILNHTDNFFQRVKEVIEEQIAVQAVNTIVTVKTVFDISGTMLRRQFIPGGPLYTDVCIGIIRLYQQLDTLMNTTNSTRRWRHFFPPQFAQKMWYERFEIQWTDELQSMFLGDHLGYSIEHCQMMGDVVTARQKHESIATAIHSGLLQCVNRYFIGWDLHPQKWKNLYHVKRSSRALRADSGWYTLFYAKEFNGTTLQRKIYTDRILVMRRELFYQMLTMPGNANSSSSGYRADNEPNPSSNNSFGPTLSANSSDEQPQQITNPPENIQLELNATEQIGFNATGAIQVMVAIEEVGDSDDNYNLDHADINVVPDESDAHSESPASDWEDEDGDVSIYILPAIHRAFLTHGRSIIDLSFVPYSFILLLATQDTNYLDTGDISCLESNEPPFSNRSSDLQRLRIPVLKMTDGILCQKVQEIFSSFRGEKSFILQTVGFGWLVKVPPLQPIDREFSLWLLENLDTDNMSICLSGGKPSIPFTDVDASLVLGLPYKGSFSPRIENSEDVTQALKNLLLMKEESCEITLDSLEHILRQDHSECMTEEDQIAFKVAAVLFSSAFWVGSWSTNNDIVAQLMINLIDPTKIEEINWATYVVRAIKRAALSVQNQLRSGSSEITFSCCLHCLVAFYIDNIESRTLYFRYQKTPRICRFYASFIRKKAFVVYLGFLRGWSKFQNTRIISQEQNHSLIQSKVSVNASPCTYLALPTNEETPATFKISFTGCSQDSGLVKSISVAKRSPGPIVPNAARRLRSSKRVEGARQLFTQFDECTDVSNNQIVQVNPGIDLQTELTTGLLDSNISYVDTNNDHNVLEGNQKKSAALNSMFCESPFDLEQNHEEPPIKESRSLLKKMTLSAHHGLDCVWFSHENPSKLEITGNELKNSFIRAGDFTTNVGDAVVRLFKSLDDKIYGAERTRWRHFLPTSWVEAVIQDEDFLDSKFVRDCFVGDDLSYDVENCRMIIVPVYNNNTWACYCWDFLKKMISVLDPNLMSGKSENVYLNHSHALDTLHNGMVQCIQKFFNGWEVDYSWRHRYTALLTKHCKKPNSSIYTFEYARGFDGIGVSSNFTKSKILDVRAKLLYQLLEMEENNAQYKEFLN >KN540758.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540758.1:38258:38629:1 gene:KN540758.1_FG005 transcript:KN540758.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAPSPPPPARDAGSSSGQKRKAFTMVDLDGGSEETWLKLSLGPVIYTDATNVDDSPVTATSPKELPTPPPAVGPPIFMASATTTSLIDHGKEAARMATSDALFNGDATGSIPNPTQLLGD >KN539881.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539881.1:26681:35567:1 gene:KN539881.1_FG001 transcript:KN539881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTTAVLHWQPPSPWNAPIRAQGTFFDILPETMFHYLYFFLPFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFASVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVGLLFSNTNCHIGVGRKVVSEAPESYCWLVLTKLGDSMIKQILGRFPKKPSKSGDKDPIGRSSPSVPNPPLGPRGAERSSNLSSQTPVISSSGLSYGSGMHVGNANSRVNGNSVQPTVELLPSFKDVPNTEKNNLFVKKLNLCCATFDFTDPTKSVKEKEVKRQTLLELVDYIASANGKFPEIIMQEITRMVSVNLFRTLTTPPRENKIEAFDVDDEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMGPIEILANHKQLQGGLVFGRVGRDIRGYTTCRVSEMHGSSFPSDCTLSKQFSLPEYKLRAGHSIIRLKNWYDGVLYVEIENNLTPYALQIDSRVAERALFLWNNDHIENLIKQNSRVILPIIFPALERNANGHWNQAVQSLTLNVRKLFSDHDVGVYDECQRKYEDEKAKEKETKLKQEVAWKRLEEMASAKATSGAAVLVSRTLPRQSSAV >KN539881.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539881.1:60120:63704:-1 gene:KN539881.1_FG002 transcript:KN539881.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFLFFFSIFFSCSWFWFWINFCVQHDLDLTLHCSCLFSVKGKNNSQENGSGGRNYDYDYYNRGYSNNNGGYGYQNYNYNGNNSHGYQNYNYNGNGQGHYNGGYQPIHQGNGQYQNNGSYHGDYGYDGQYAENYNNGQRQVYNGGQYRKKNLQYRPKEKQLSETASACSAENKSEDKLDSASETGKKESVAGDAVAKPVSGPEESTGDVAQDNSKKDGGCPEKKKVRNTLSGSAKRKLKKKQDSKVSGKTEKEAEKAEVLKEEERKDMTLEEYEKVLEEKRKALEDSKSEGRKVTAEVFEGMQLLEKKKLDDENASKKAENEQRKEPAKQVKAPKAINLNDLLKPADGQAYRPRPPPRHVQEDGFSQGYYSGGGGPGRGNGGFRQNSRDNSAEPHGNGRGNGGYQERRDVGYNGGYQGRGNGGYQQRGYNGNNNGGYQQRGYNGNNNGRFQQERAVNSGNGGYRQGGYRGDGGYQQGRGYSGNGNGGYQQGQCYSGNGGYQQGRGYSNNGGYRRGGNQGNGRNESDSILSPAHFPALTGTIPATAEKVQSQSQASSSPAPAQAQPQAQTQSSSSSSGAAPAPAQAKSQAPPPAPVQAKSQAPPAQAKSQAPAQVKSQAKAPAPAARTKAPSKAQA >KN539881.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539881.1:12208:14122:1 gene:KN539881.1_FG003 transcript:KN539881.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKNVVAWTSVMSGCTRNGRPEAALAMFADMVESGVAPNDFACNAALVACADLGALRAGEQVHSLAVRAGFAGDAWIGSCLIEMYSRCGSLPAAKEVFDRMDSPDVVGYTSLISAFCRNGEMMKQGLKPNEHTMTTILTACPRVLGQQIHGYLIKKIGLRSQSVYSSTALIDFYSRNGEFKLAKAVFDSLHCKNVVSWCSMMQLYIRDGRLEEALQVFGDMISEGVDPNEFALSIVLGACGSIGLGRQLHCSAIKHDLITDIRVSNALLSMYGRTGLVEELEAMLNKIENPDLVSWTTAISANFQNGFGEKAIALLCQMHSEGFTPNDYAFSSVLSSCADVASLDQGMQFHCLALKLGCDSEICTGNALINMYSKCGQMGSARLAFDVMHTHDVTSWNSLIHGYAQHGDANKALEVFSKMRSNGVKPDDSTFLGVLMGCNHSGMVEEGELFFRLMIDQYSFTPAPSHYACMIDMLGRNGRFDEALRMINDMPFEPDALIWKTLLASCKLHRNLDIGKLAADRLMELSDRDSASYVLMSNIYAMHGEWEDARKVRRRMDETGVKKDAGCSWIEINNEVHTFASRDMSHPNSDSIYQMLGELVAVMQDFDELEPFDVHIC >KN539881.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539881.1:36476:39790:-1 gene:KN539881.1_FG004 transcript:KN539881.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSDVILLLLLLAGVAVPAAAAFETVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPAVGDALFWVGEIGANDYAYSFMAATTIPQDQIRNMAVDRLTTFIEALLKKGAKYIIVQGLPLTGCLPLTMTLARPEDRDNISCAATVNQQSHAHNRRLQASLRRLRRQHPAAVIAYADYYAAHLAVMAAPARYGFTEPFKTCCGAGGGAYNFEIFSTCGSPEVTTACAQPAKYVNWDGVHMTEAMYRVVAGMFFQDGRYCHPPFSTLLAMRKKGN >KN539881.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539881.1:51818:56145:-1 gene:KN539881.1_FG005 transcript:KN539881.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFFLVDPKRPIQDVTKDLVGSSISVKVVEVNEEERKLVFSEKDASWFTHSSLVKIGAIYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVRDFLNEGDTVKVIVVNIDMEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPSAGIISSDSSPSEADLLPGLDGICNELLQEDGRLINHLVLGSYHLIHLLQKLIFFQDLMVPAKDNQFKLLARAGRQVQELYLTTSLDQEGIKKAPSCAAVSLSKYLQRKLWKRINGGKPRRKRRPEVRSASGGGEVPVSVELMTTSSWSSSTVRSPEAVVRVVMQGGVVEAYGGVVLACTVIRKHPPGLCLAYPDVFRNPHGARKFYLLPERTIERLQRQIPESSVGAFDNADEEEEEEEDTQDYSSGAASSSEEEEAACDYDDGDECAARRWCCAREYFEAKERWEECQFKKIVARGLAVEQNTEKETAMKKKKKNGRRRKKKKRNSAAVPSTGCRTSRAPATTRRTWEPSLPSVEEERESSPPSERG >KN539881.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539881.1:8815:10838:-1 gene:KN539881.1_FG006 transcript:KN539881.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKPLKLTLQIDIWEEEEIRFMINPELIVGMLFMVSMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLLREVNKAFCGFLDQSNHQLYAKLVQDLNTKDNCPSVIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSFINYYTFESESLKRLEEPLGLVQLSGKSERDVQLSDKTR >KN538764.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538764.1:207622:213003:1 gene:KN538764.1_FG001 transcript:KN538764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVLLGMGNPLLDISAVVDDAFLTKYDVKLNNAILAEEKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDEAAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPFVDYIFGNETEARIFAKVRGWETENVEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGQVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQQKSIEDCVKAGCYAANVIIQRSGCTYPEKPDFN >KN539881.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539881.1:66326:73932:-1 gene:KN539881.1_FG007 transcript:KN539881.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding LEHPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLRHSHEQGQQVVFETITPDDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYETLYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEAGISGGGSLPMHVDKFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRLGALIVPNYDEVLATAKRKSILDGNNELAKDKVLNLLYDELRTWTANCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKVTAKYHREIDALYK >KN539881.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539881.1:44260:49490:1 gene:KN539881.1_FG008 transcript:KN539881.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G24490) UniProtKB/Swiss-Prot;Acc:Q9FYL3] METVLKVLKDGLSALHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPPQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESTNLGKPEPAIKSDPLPKVGKPLASQEPEPSGPQRGERFRKLKEEESRRKVFLEKAEQTEQAGTQAGIVDGKQNSDASGDNIDEQKLKFSVLLTNSKQESHENEPIIANGNGGLSHSTNEMIPNGSMKEDIIQESTDSHSSVIDPTSHDAHKSRDEENEQDAV >KN539881.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539881.1:14444:17994:1 gene:KN539881.1_FG009 transcript:KN539881.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRKSKRTADHNLTRSSKNEGEISSTKSLISGDLNSGSNQDVWAILSVEVASNLSKSVVSLTLSDGNTLIYACSGIAIECQEGSGTIFLTSASLVTAFYDTEEVYDNLKIEVRHEGNEVLKGYLAKYDLDKNFAVVYTMESLDVHIVLAENSRDRYINKKLVAVGRDKHGVLMAKSVMVAGCRDSNRSEDSKEIRLISEDWEGGPLFDFDGKFVGMNRFSVMDRTSVLSWVSILIILKHYLPSLQNRILKRLQNVKRVRDGERPTGELPDYHPEAPVHRGGLNTEQFGYLNSMGYPKPPINVLDDGMILANSFEETFGDLCGEDLWSEINKKVPCDIHQNVVALASFKGKRRSFACTGFFIEWKGCTTILTSASLVRESDDGNKIDENLRIEVLLPNKQLREGTLQHYSLHYNVALVSVKDKDFHARPANIQLDHNHGPGVAAVGRCFESGKLMAARTDVVDWSGTLDCEMFLIRSSCKITKAGIGGPLVDLEGKVIGMNFYDKKIGTPCLPWNVILMVLACFEKESSGGEVGSGSDPCGAPGWKIPRDESVRLNRFRRQM >KN538764.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538764.1:272259:274395:1 gene:KN538764.1_FG002 transcript:KN538764.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESTWWWDVSDSWFHVSDEGNRLVVVGCNSLAYVTSVNETEYMTGCMATCPSVGRLENGSCSGMGCCEAAIPRGINSYVVGFEEKFNTTSGAVGRCSYAVVTEAASFEFRTTYVTTGDFVESTGGKVPLVLDWVVGKETCREARRNATGYMCVSGNSECVDSRNGPGYLCNCSAGFEGNPYLLDGCQDINECEDSRFKYPCSVPGTCINTPGGFRCSCPDKTTGNAYFGTCEAKKSQLGVHIAIGVSIGIALLVIIMSSAYMIQQKRRLATVKRRYFNQHGGLLLFEEMKSNQGLSFTVFTKDELEEATNKFDERNVLGKGGNGTVYRGTLKDGRVVAIKRCKLINERQKKEFGKEMLILSQINHRNIVKLHGCCLEVEVPMLVYEFIPNGTLYQLIHGGRHGSRISFAARLRIAHEAAEALAYLHSWASPPIIHGDVKSPNMLIDENYTVKVSDFGASTLAPTDEAQFVTLVQGTCGYLDPEYMQTCKLMDKSDVYSFGVVLLELLTCRKALNLQALEEEKNLSSHFLLAMSENRLEGILDSQIQSEQSIELIEQMADLAKQCLDMSSEKRPSMRQVAEELDRLRKLAEHPWGRQESEELEKLLVRGSPSTFSEIELSNGYVSLTDSAYLGIQSPR >KN538764.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538764.1:167383:171807:1 gene:KN538764.1_FG003 transcript:KN538764.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGFVRSLNGDGLCMSSVSAPPRADPLNWGKAADELAGSHLDEVKRMVAEYRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFESLPSSPRSCPPCSVSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALSAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNHMGGLHIARFCEKDLLTATDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHVRAALPKEVEAARAAVENGTPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >KN539881.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539881.1:5934:8207:1 gene:KN539881.1_FG010 transcript:KN539881.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVDVAGAGGQQALDGSNPAVARGYDVVALSGPGRFVVGLDICDTAIQKAKQLILLCSASVDEASMGEENGRPATTGRRAHHPHAEGQEAGPPFNTTVLDYKEVLNPLGLVITSIEDNEVAVEPRKARIAIQAQPVDPTRPQQTVFQSASNKQCTFFPGRFP >KN538764.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538764.1:233207:234688:-1 gene:KN538764.1_FG004 transcript:KN538764.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRTERLASCLAAVAAKPANFRRAHAILLTSGHLSSRYSVNCLIRAASAPSASALLLRFLLNHRLLPDHLSLSFSLHPCSRLPSHPVASVVHSLAVRLGHARDVYVVNAAASAYFAAADVASAERLFSEASRDVADVVTWTTMVTGHANAGDVERARWFFDAMPEKNVVSWNTMLGAYARAGMLPRARKLFDRMPSRNAATWGSMITGLLQSDHCEEALRVFSDMIASGVVPNEPALVSAVSACTQLRWMEHGAWVHGYAERELNGAMSAVLATAIVDMYGKCGSIRDAVRVFAAMPVRNIYSWNSMIAGLAMNGSERQALSLFWKMQLAGVRPNDITFIGLLGACSHSGLVDEGRWLFNRMVNHFGILPVPEHYGLMVDLLGRSGHVREAVDFVKSMPVEPHPGLWGALAGACKIHGEVELGEEVAKKLIELEPQHGSRYILLSNIYATSNRWDDMANVRRILKDRKVPKGTGNAIVGNDSQSSGYEINS >KN538764.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538764.1:247101:252175:-1 gene:KN538764.1_FG005 transcript:KN538764.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPQSTNSVDLLWACMDRRLCVRPTRFWGVYTKLKIFNMTSYKKVAYLDADTIVVKSIEDIFNCGKFCANLKHSERMNSGVMVVEPSETLFNDMMDKVNSLPSYTGGDQGFLNSYYADFANSRVYEPNKPTTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQDIRKNLEESLPGTGGGRNPHDQSVVKILFFLPLFMLICGYYGSCFQSHNGAHLKLPSYFGAITVLVCFISALISLAFAFIIIPRQVMPWTGLLLMLMCSPFPGHQRNTSDCDTDAAFYWSGMAILSIIALLSPTVLGITALFAKLGLMVAGGVVLASFMTYASEHLAISAFVKGQRDRNASRVLVILDLKA >KN539881.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539881.1:57364:58804:-1 gene:KN539881.1_FG011 transcript:KN539881.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSDAVAAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEVRMTKLLVVPFHLFFMPGAFTHQDFAGHKGTIGTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEAWNAFVYIIDGEGVFGREKASPATAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >KN539881.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539881.1:19125:23692:-1 gene:KN539881.1_FG012 transcript:KN539881.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDEEDDHGKERSNAQGNKMDAKQKSSKPPAGQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSQASRLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGLEYLHEKVPSLRIVALKCQRSGYPRLVCFHIFAVLVWLYSWARVRIPSGSYRIDISRAILCAPKIRTVYSQCLQSNTRGSGWLAALPTRATCMKPKMWRPWHNTVRLTDLNDNGPNSLTMVLILGVIMSFKVCQHNHYLWIVPYLFIFLGKPTYFGCEYAILEKETSLLFASLSRYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKSEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQKPAVPAASEPAPATEN >KN538764.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538764.1:161681:163822:1 gene:KN538764.1_FG006 transcript:KN538764.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACENGQVAADGINGLCMAAPRADPLNWGKAAEEMSGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTSTDGHVLSAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNASEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTFLVALCQAIDLRRIEENVKGAVKSCVMTVARKTLSTSATGGLHAARFCEKDLLQEIDREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFNAETSVFAKVAQFEEELRAALPAVVLAARAAVENGTAATPNRITECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGAPLPIC >KN538764.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538764.1:284496:287355:1 gene:KN538764.1_FG007 transcript:KN538764.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSETCLRRCGDVEIPYPFGVGSGCHLETGDWTFVLSCNRSADGRLRVYNYEIEVVDVSVRRGQLRIYSAINPWCYNGSASAMNGQSNWWYDMSITNFRINDALNRFTVVGCNSLAYILSAPTGTAGADRANSECVDSRYGRGRGYLCNCSAGYDGNPYLLDGCQDINECDESRFRYPCSVPGTCVNTPGGFTCTCPDKTIGNAYNGTCGDNKSQLGWKIAIGISGGVVILIVTASCVYMIHAKRRLAKIKREHFRQHGGLLLFEEMKSRQGLSFALFTQEELEQATNRFDERNVIGKGGNGTVYRGTIAKDNGAVVAIKRCRLATERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGGDGGGGASARRIPFAERVRIAHQAAEALAYLHSWASPPIIHGDVKTSNILLDEDYAAKVSDFGASTLAPADAAQFVTFVQGTCGYLDPEYMRTCRLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLAVGEGRLGDILDPQIKGEQSMEVLEQAAELAKQCLEISGEKRPSMREVAEELDRLGKLSLHPWGQPNSGELVALLGGSPSMAADSDQIELSTSTRNISFSDTAYIGIRSPR >KN538764.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538764.1:237915:243794:-1 gene:KN538764.1_FG008 transcript:KN538764.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-2 [Source:Projected from Arabidopsis thaliana (AT1G04400) UniProtKB/Swiss-Prot;Acc:Q96524] MAYSYPASPLINGHCCSWPHRSFDLRPWRVTSGVSGPRRPSRSVLLVLWHGRSGFFIARDVLDGMPVRGIRALRDLRIDDNPALAAAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLRQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDVSPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWCNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPISRKRSYCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNRVDEESKHPMKLPPQDL >KN538764.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538764.1:180397:185520:1 gene:KN538764.1_FG009 transcript:KN538764.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAISPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKSSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLLTAIDREAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEELRSALPREIEAARVAVANGTAPVANRILNCDSNSSSAQCIAFFGTQCLLIIASGFINQKTTGKNEEQVDRYGYLTRARKLCSLPTTVATPHQKQNENVPIDLNKNGDMGKTALKNIVV >KN538764.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538764.1:156211:158464:1 gene:KN538764.1_FG010 transcript:KN538764.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGRVSANGMSGLCVAAPRADPLNWGKAAEEMTGSHLDEVKRMVAEYRAPLVKIDGAMLSVAKVAAVAGEAARVQVVLDESARPRLEASREWVFDSTMNGTDTFLNAGAFGSGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENAVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHVARFCEKDLLKEIDREAVFAYADDPCSANYPLMKKLRNVLVERALASGAAEFNADTSVFAKVAQFEEELRATLPGAIEAARAAVENGTAAIPSRITECRSYPLYRFVREELGTKYLTGEKTRSPGEELNKVLVAINEGKHIDPLLECLKEWNGEPLPIC >KN538764.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538764.1:263730:265643:1 gene:KN538764.1_FG011 transcript:KN538764.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTYLVYRTINVMFQQCCARVAYFKRCWDGGGAVTRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPKPSSGREAAAPKRKAAKKAAAVAVAIDVPTTVPVSPEQSLSTTTTSAATTEEYSYSMASSADHNTTDSFTSEEEFQIDDSFWSETLAMTVDSTDSGMEMSGGDPLGAGGASPSSSNDDDMDDFWLKLFIQAGGMQNLPQI >KN538764.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538764.1:194213:197362:-1 gene:KN538764.1_FG012 transcript:KN538764.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSRSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKVSSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQEHLRSYEVQYTRVIPTIKRCRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKEKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETRAGKRSDTSEPILDNSKVLLEINVSTKDGASVGAAEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENASLLSRAKGRCPSSTYNVSLGSCSGSQRKLPFESASSPCETALLHKEAPCADHQISLNTVSPQEAPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEQSEDIRNEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTRSIFEQRPNEAGFQSDPVAVELSRLQMLRSLMAKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGLQILADKVYKQQTLAEGFQTMFVSHGSRARRSMPEPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSCMGSSPRHPFVTINHHSMDYLGRSATPLALSRGAGMGSGIAYHAPESHLHSVVNPLLASGLQLGIASLEQ >KN538896.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538896.1:55372:56850:-1 gene:KN538896.1_FG001 transcript:KN538896.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLLITLLLGALLCNNVAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDTFTHIPGKILNNDTGDVANDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINREGVAFYNSLINDVIAKARSQPM >KN538896.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538896.1:183971:185829:-1 gene:KN538896.1_FG002 transcript:KN538896.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIPLKYIPGATNGIGKETARVIALRGAEVILPARTLESGMKVKQSLAEEIPSSKLHVMEMDLSSLDSVRSFAKSFNSSYRHLNVLINNAGVMSCPFGLSKDGIELQFATNHVGHFLLTNLLLDKMKATAKETGLQGRIINVSSISHRGSDGSCFDLDKLNDKSKYRPFKAYGHSKLANILHANELSRRFQEEGCNLTANSLHPGVIATNLPRHILTNSLIISIFSVMKPFLKSIPQGAATNCYLALHPGLKDVSGKYFADCNEATPTAVARDSELAKKLWEFGEELTSGDQKLKEK >KN538896.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538896.1:33691:37023:-1 gene:KN538896.1_FG003 transcript:KN538896.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWAPIAGFLLVLPALLLPPPASSAAMVFPLHGNVYPSGRFFVTMNIGVPAKPYFLDIDTGSDLTWVDCGYNQGVGENFQQTSPVNGILGLDRGKVHTMSQC >KN538896.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538896.1:3483:3695:-1 gene:KN538896.1_FG004 transcript:KN538896.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEKVHLEQDDLPAHCLKVMLGAPCTNNWCKGACKYVGFNPGTSYCYNNNYCCCGGRSDATAPSSYVH >KN538896.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538896.1:122106:127540:1 gene:KN538896.1_FG005 transcript:KN538896.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHVVVQLAQHRYSGSPSADQPPAAAASGESTPRMEMCPSLYRAARSGRSEEVVALLLQQRHGAGSAAGHRHQVAGIIQHEQCNLLEVTAERNTVLHVAAEKGHVELIKELYHRFIKDNNFLSRRNSALNTPLHCAAREGHTGTVTTLVHLAQDRVENIMGCQNTAGDTALHLAARHGHGATVEALVAAHAKATELNKVGVSPLYLAVMSRSVPAVRAIVTTCSDASAVGPSSQNALHSAVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNSKIIRAIMATAPPGTVYMKDSDGLSALHVAAKLGHADVIKQLIGIRPDAVELRDSHGETFVHSAVREKRSSIVSLAIKKHKQVGGLLDAQDGDGNTPLHIAVVAGAPGIVNALLQKGKVQTDVLNDDGHTPLDLASTSPSLFNMVRFVMALVAFGAQCRPQRNDHLKPWSGHDNIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGGYTNDGSASLEGMSLFRWFVVLDAIAVASSVIAVILLVYGKASRSTGSWKSFVAALHCIWVSLVSLILAFFAASRAVMRTSTAESIVYIVIYVGLIVLSLFVAQWIGPVTTARAFWRFLWLSHRAHTVRRQYPFAVASIYNWLLFLHITYIMFAGLGVVHNHSNSDRGGLSSSWNPNHISPAPAPM >KN538896.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538896.1:186944:192311:-1 gene:KN538896.1_FG006 transcript:KN538896.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAAAVAWAPSPSPSTSTSSPPPFKVGIASPCGTAAHASSAPRLVAAATHRGRRRQQQVVKAIANPDPAVELPLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLSGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLSRIKKRNAGATNGIGRETARVLARRGAEVIIPARTMESGNAVKQSIAEEVPGSRLHVMEMDLASLDSVRRFATAFDSSHGHLNILINNAGIMGCPFKLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARKTGVQGRIVNVSSIAHKRSDGSCFDLNKLNDKSRYKPLIAYAHSKLANILHANELARRLQLLLPVPTSKSHILSEYFAGVMVSILSVGNLFLKNTQQGAATTCYLALHPELKDVSGKYFADCKEATPRPAARDAELAKRLWDFSEQLVDTNRRGEFNRQK >KN538896.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538896.1:97:393:-1 gene:KN538896.1_FG007 transcript:KN538896.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAMAATGPPLPGRCDDLGLPGPCTADECQLECRGMGGDSTRASCNSASKCCCPARNALVCERYDHCRDRIDGCRQKCEDDWALSPAGAYCKDGSGN >KN538896.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538896.1:144743:147919:1 gene:KN538896.1_FG008 transcript:KN538896.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGKNLMTVGKKSRRGLGEEEGHRCPSPAAERWLLGIIQHRQCNVLEVTAERNTILHVAAEKGHGELIQELYHRFIRDNSLLSRRNSAMDTPLHCAARAGHAGTVTILVNLAQDCEENILGCQNAAGDTALHLAARHGHGATVEALVVARAKATELNKAEMVHLLLQWKLELASQVDCNGSTPLHFAASDGNRKIVHAILAIVPTGTVYMKDSDGLSALHVAARLGHANVVKQLIGICPDAVELRDGHGETFLHTAVREKQSSIVSLAIKKHKQVGGLLDAQDGVGNTPLHIAVVAGSPDIVNALLHKGKVQSDVLNDDGHSPLDLASTSTNLFNMVRFVVILVAFGAQGRPQRNDHLKPWSGRDIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGSYGDDGTANLKGRLSFKWFMVLDTVAVAASVVAVILLVYGKASRSAGSWKSFVAALHFIWVSLVSLILAFFAAFRAAMRTSRAVSIVFMVIYVCLIVLAVNVGTWVEPVTTMRIFWRFVWRSHRTNAAKRQYPLAVATVYNCLLLSVINFIIFAGLGVVPSYLEWGGLSSWHLDNISSAPAPL >KN538896.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538896.1:66094:69817:-1 gene:KN538896.1_FG009 transcript:KN538896.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVGLLGLLLLPFAPAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLAVDMTNKWGKMVQHQQQQTACLGLVGDQLACSHSSSSKGSPRMNYYSPGSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLRKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMEIPPENYLIVTKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKSIMSSFP >KN538896.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538896.1:101437:117660:1 gene:KN538896.1_FG010 transcript:KN538896.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWWFRVSRLRDRSVPHCCSVRPPVPRNGFAGPKRFRITPCGIEVVHVLLQWKPELAVQVNCNGRTPLHFTVSDGNRKIVRAILATAPPGTAYMKDSDGLSALHVAARLGHGGVVEELTGFYPDAAELRDSRGETFLHAAARERRSSVVSLDIKNPIMMGGLVNAQDAGGNTPLHLAVVAGAPDIVEALLREGNAQTDVLNDDGHTPLDLASESNSLFNMAQPQRNDHLKPSSGHDMASGIEKTSDSLALMAVLIAAAVFAVGFNMPGGYGDDGTANLRDNIAFKYFMVLDTFAITTSVVAVILLVYGKTAAAAHLAVSWKSFVVTLQCIWVSLVSLILAFFSAIHAVVIATSSSRTVLITMFLVIYVCFNALILWIEKWIDPAATTYHAVWRFVWRGRHAHAIKRRYPFLGDSVYSLLIFSVIYTNIKTNEAKINIINGKIQDRTTYLLLTLLSIPDGQKRDVSDDYSLLLKKADRCWRNYQGCTSTIILCVVTNKSSFRLDLNIIEMQDIQLACCSSQHHGSEAAVTARGDSAGSLEMCPALYLAAYKGRAEEVMALLLQPRHGGVAQGHLDQVDGIDQHRQCTLLEVCAERNTLLHVTAEQGHGELIEELYHRFNKDKNFLSHRNSALDTPLHCAARAGRLNAVKVLLNLSRDSGESIINCKNEARDTALHLAARHGHGATVEALVAARASVSENAEMVQLLLQWKPALASQVDCNGSTPLHFAASHGNLSIVSAILLAAPPTTVYMKDSDGLSALHVAARLGHADVVKELIGVCPDASKLRDSHGETFLHAAVREKRSSVVSLAIKNPMLGGVLNAQDGHGNTPLHLAVAAGALRIVDALLRKGKVQTDVLNDDGLMPLDIVLKSTSLFTMINLVVTLVAFGAHGWPQRLDHLKPWSSRDIAQGIENASDSLAVVAVLIATVAFAAGFNMPGGYGNSGTANLEGALAFKYSMFLDTIAIVTSVIAVILLVYGKVSRSAGLQKSFIVALYFIWFSLVSLLLAFYTAFCAVTTSKVFLFVFMAMYLCLNFLTILIGRWFGPSTMTVSTLWRFAWRGHRSHAVKMQYPYAGTSVYNSLLFSGVVAVVFLGVGALSNLVLSSRAPALAPTPI >KN538896.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538896.1:194092:198381:-1 gene:KN538896.1_FG011 transcript:KN538896.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MVEEGRSLAETPTWSVATVTTLMVAACFLVERGISRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSSLFTSRFYICSETDYEDLVVGGKRSTMEMNQTVVPNGLFGIQSQNVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYTFVTVVLSMIKIYSWRKFETQACQLPTEQLQARRTKVMQRQSTFVFHHTSHPWSKNKILIWMYHKLPHSYNFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFVNIHGLNIYFWISFAPAILVLLVGTELQHVIAQLALEVVGATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQLELSAQSCFMKNHYMIVLRLTSGILVQFWCSYNTLPLNVIITQMGSKFKKSLVSESVRESLHSWCKRVKDKNRHNLASRSVCSLDTTYEETDHETATVGTLSRTVSATSLDEELTVATVEDNDEDDEEMSRIEQEIDRSL >KN538896.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538896.1:30019:32943:-1 gene:KN538896.1_FG012 transcript:KN538896.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKRNYYSPGSATLYFDRHSLGMNPMAVVFDSGSTYTYFTAQPYQATVYAIKGGLSSTSLKQVSDPSLPLCWKGQKAFESMFDVKKEFKSLQLNFGNNAVMEIPPENYLIVTEYGNVCLGILHGSRLNFNIIGDGSQEAPTQAPSAEEVVGAAARREASQATGSYLAPPLCIGTDIIGCKVWNIQMDIQGILKVVDTIVILLFLVSHLQNKNAVN >KN538896.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538896.1:5948:6250:-1 gene:KN538896.1_FG013 transcript:KN538896.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGSSCSSKKALVLVVAALVLIISAAAASADGELKKKKEPECRTVASCETGWCDGKCRAWGFTDPVGAYCTYGGTLTRCCCGSIGAAINASAGVRRR >KN538896.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538896.1:49218:49598:-1 gene:KN538896.1_FG014 transcript:KN538896.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMVVASLTMALLTMATTGTIQVGKCGDLSPAFPGNCAADVCQFRCAVMGGDREKAYCDAATGKCCCPPGSATLCRPLDGCRSRIPACRIKCKSVFRDPGRAFCQDGSPGFGDSCCCPPNNVEHP >KN538896.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538896.1:61030:61827:-1 gene:KN538896.1_FG015 transcript:KN538896.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MAMGSSDGDVLYARCLVTLYLLSPLNVFTLWFMSAPYGKLSRPGWGPTIPAALAWFLMESPTLWLPPLVLSTTTSLSTPTLRITILPAALYMLHYVHRTLVHPLRLLRLRRAPSPMPILIIMFGFGFNLLNAYIQSCSWVLKAVPPATATPLTVARCLVGLALFVWGMWTNIAADRELLRLKEAGKGYQIPKDGLFDMVTCPNYFGETVEWLGYALVAWTPAAWAFFLYTCVNLGPRARDQRQWYISKFGDKYPASRKAFVPYIY >KN538896.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538896.1:91269:98605:-1 gene:KN538896.1_FG016 transcript:KN538896.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTSDWFSPGIVHRLERQVVPQFFSGKSPGNTPEKYMLLRNKVIAKYLENPSKRLAFAECQGLVANTAELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCNLQAEDISSLASNSEVVDFDAGLAELDGKIRERLSESSCSYCLQPLTSLHYQSLKEADIALCSDCFHDARYITGHSSLDFQRIDGDNDRSENDGDSWTDQETLLLLEGIEKYNDNWNNIAEHVGTKSKAQCIYHFIRLPVEDGLLENIEVPDASVPFRAETNGYPHLDCNGSTSGNLPQKIPPDNQLPFINSSNPVMSLVGFLASAIGPRVAASCASAALSVLTVDDDSRVNSEGICSDSRGQGPHPNFRDHNGGVSSSISPEKVKHAAMCGLSAAATKAKLFADQEEREIQRLTATVINHQVLETYITQLPIIPGLCIIAIKKVGTEAEAVCRSRDLAFERMRASGENKAEDCI >KN538896.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538896.1:165156:166034:1 gene:KN538896.1_FG017 transcript:KN538896.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAAVDGHSMFHFLQTWAAFCRDGDAAVVELPCHDRALLRARPRQLAIHPDASSVFCPKLNLRPPSASGSGLISAKIFSISNDQLATLKRICGGGAISTFSAVTALVWQCACVARRLPLCSQTLIRFPVNIRRRMRPPLPDRYFGNALVEVFAAAAVVDIVSGTLAAIAARIKGVIGRLNDDEMLRSAIDYNETAGMPDRPDNGSLPETELRVVIWLGIPLYDAVDFGWGKPWAMSRAESLRGGFFYVMDGGAADGDGGGAAAVRVLMCMEAANVEEFERLLRAKFVYPRI >KN538896.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538896.1:74772:83364:-1 gene:KN538896.1_FG018 transcript:KN538896.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGAGNLVPVRPAGSVNAKGQGPREQRVKGSKLLSGRFLCIGGILKERCSDGSSRAMWRHREGGGAGEDGRGRWRWHWLGKKRGNRDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKPKSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQVPRPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPHGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILGTDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIQILASLCQDQPSLLGEHLNRSQGCIVSLASRVIESTNMEIRIGSAITLISAMRHSREHSIDVIEASGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDSMLVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLVDNPSKIILRSLFELEDVHTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLNAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASALFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAVAEAGGILVVQELLLSPNADIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKGGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDNM >KN538896.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538896.1:41132:46789:-1 gene:KN538896.1_FG019 transcript:KN538896.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLHGNVYPSCRFFVTMNIGVPAKPYFLDIDTGSDLTWVDCGYDQGVGENFQQTSKVNGILGLDRGKVSFVSQLKMLGIITNHVVGHCLSSRGGGFLFVGNDDGNMVPSSRVTWVPMSRSNSNTRNYYSPGSATLYFDRRSLGMNPMDVVFDSGSTCTYFTAEPYQATVSAIKDGLSSSSLEQVSDPDLPLCWKGQKAFESVFDVKEEFKSLQLNFGNNAVMEIPPENYLIVTENGNVCLGILHGSSELNFNIIGDITMQDQMVIYDNEREQLGWIRGSCGPGPTKSVMSFFP >KN538896.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538896.1:21566:25740:1 gene:KN538896.1_FG020 transcript:KN538896.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGALQLPPGFRFHPTDDELVMYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPERAMGGEKEWYFFSPRDRKYPNGQRPNRAAGTGYWKATGADKPVGSPRAVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKLSKSSHNALRLDDWVLCRIYNKKGVIERYDTVDAGEDVKAAGAAAKGGRIGGGGGTAAMKEILSESIDQSLTLRVDFDLTVTMTRVYIYYFADEKLYYCLIQSRLNILCLQETSKAPDKKSIRHTPPRRNK >KN538896.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538896.1:51475:52280:-1 gene:KN538896.1_FG021 transcript:KN538896.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWLGDRLPKFTPAQSAMVKGSYDFIGINYYTTYYAKSVPPPNSNELSYDVDSCANTTGFRNGKPISPQEFTPIFFNYPPGIREVLLYTKRRYNNPAIYITENGIDEGNNSTVLEALRDGHRIEFHSKHLQFVNHAIKNRVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLTRYRKDSSYWIEDFLRRQY >KN538896.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538896.1:151438:157080:1 gene:KN538896.1_FG022 transcript:KN538896.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSRGRSGRAGLKRRRGPGGGGGGSGSTAQALNDDTLRSVFSRLDDHFDLARCSAVCNSWNRVIDTAHLMRDLYYKRNPQARSSGSNTSIKSYFKELALDEHASSFSRGPAEVYQWIGHPNQATICRMKSGSILTGVGDKGYGQWPSTLAIQTLRLWSAESCKYMNEYIVPSSKMLVDFDFDENKVHFSPHDSGQHMGKCYADPEVIIGCEDGRAFVYDMYSRSCSSIYRLHSSPLTCLTITDDQLIAAGSTFGNVAIADQTSGQKLGVLKSAFAPTAIRCLSFSTSGHLIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSAHHLPGDTATLAVGGIDGVLRLICQRTGETIRSFIVNADRPAASSSHQQVEKKSVRQVAPNARLDNIPTRLRPQITCLAVGMKKIVTTHGENYIRVWKFRPKSS >KN538896.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538896.1:25934:28000:-1 gene:KN538896.1_FG023 transcript:KN538896.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLALLASLLLLLQLVPPSSAVVLELHGNVYPIGHFFITMNIGDPAKSYFLDIDTGSTLTWLQCDAPCTNCNIVPHVLYKPTPKKLVTCADSLCTDLYTDLGKPKRCGSQKQCDYVIQYVDSSSMGVLVIDRFSLSASNGTNPTTIAFGCGYDQGKKNRNVPIPVDGILGLSRGKVTLLSQLKSQGVITKHVLGHCISSKGGGFLFFGDAQVPTSGVTWTPMNREHKYYSPGHGTLHFDSNSKAISAAPMAVIFDSGATYTYFAAQPYQATLSVVKSTLNSECKFLTEVTEKDRALTVCWKGKDKIITIDEVKKCFRSLSLEFADGDKKATLEIPPEHYLIISQEGHVCLGILDGSKEHPSLAGTNLIGGITMLDQMVIYDSERSLLGWVNYECDRIPRSASAITSRL >AMDW01039410.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039410.1:204:423:1 gene:AMDW01039410.1_FG001 transcript:AMDW01039410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLLAAVLVAAEPAAAISMMPVELYFTPGELARIAGYGEEPVSTVVVSGQVACELCLCPGSGLLTFELP >KN541914.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541914.1:11828:16476:-1 gene:KN541914.1_FG001 transcript:KN541914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 3 [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/Swiss-Prot;Acc:Q8GXF0] MEIADLPIATSHRANLLAAGYSSLAALSAASPPRLARDLSIEVHEAEEILKVAVGANKSKGADGPSTSSVLKGAQNAWDMLSDEQSRRHINTGSADLNNILGGGIHCKEVTEIVTGLINTSSLIQVVSQESVKLNWGMLYTILNANSDEDFTTKDLTFVRIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPHCHDKAPAGQEKLKPESFLADIYYFRICSYTEQIAVINYLEKFLEEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALVIACSKQSCGVLLVVVHFENHDNAGDSWSHSCTNRLILYWNGNERYGYLDKSPSLPVASAPYAVTVKGVRDAVNSNSKRVRVM >KN545752.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545752.1:445:618:1 gene:KN545752.1_FG001 transcript:KN545752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVLAQNEAVILPSWPEKKKKKKKWEEEPEDERWEEKKKAAKQRKPWVIEQVAAK >KN547157.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547157.1:67:762:1 gene:KN547157.1_FG001 transcript:KN547157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPELMDDVVEEILLRLPLDDPSCAARASAVCKHWRHLLADAGFLRRYRCDHGDCHGGPFAVAFVANYDGEEEEITSAWLYSSETGTWSAPSTVHHDDADPYPQRSVLAGNAVYFLTWHHTNILRYDLRKLDLT >KN540250.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540250.1:4743:5251:1 gene:KN540250.1_FG001 transcript:KN540250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFDDATDKAYSMTMYDAGLVLLEKMQKIVNRSTAKSKALRSVFDNITEIEQIWWAMQRVDLHRLKEVKKGHHREREL >KN540250.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540250.1:50776:58393:1 gene:KN540250.1_FG002 transcript:KN540250.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGQPQKRVMMGSVGSSPVTPPPPPRPNPSPPATMITPPPPMPEPQMATTWDYFFGPTTTPPPTLEQPPEESWMDKREKESVPEMKAPIMKPAVDGSAAPMHVAEEQPPQTALEKEKAIEQLAANLPPSKPLVRKPPKAAPGPPAEVRYQHASSMGAVETRKGKTMSGHIDHSTKIMHVITWNRSFKNLPNQDDFGGNFEIDERFETHATVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTSPWRKSGMLESMGNKDNLFDIKNELHSLPIRSDCFQDFVMRYWEMFDELGSIQYLVNRDGSRVNKWDMKSDMRLSYPITHVEDRMANMWSSMHHHHKWQLVIISGIRNFEAPPVPRETTDQHYKQTCDLRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRLVEPPIKDLLYAWHDQLERLPIELAKTAIKSFAEVISNIVLLQEEEVNLRRRCEETRRDLNRKKAQFEEWHQRYMERKASQGEDANNSEVAEAVNSDPVADRKTAIEEVEIRLREEMGHHNRIARQVREKSLANLRMHLPELFRNMTDFAGFCYEMYSNLRKSAVLPKDEVQG >KN540250.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540250.1:23418:30255:-1 gene:KN540250.1_FG003 transcript:KN540250.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/Swiss-Prot;Acc:Q9M876] MRLRSGRLTPPEGFGLVRVKVEPGLEGDSVGGSVMTHGGVGAGEGSSRGLHATLGSASPAGRRTTRVPPSAVGPPGRATASRGGGGYSTPTSGIIGPSQPGPTSPSTTMDTSTEGSSDSSPLAMWTPQNALIAPLVLLDPFPLPSLASRRAVAQSVPGFGLLVVTNANANDFCMDLLIVVEVLRERGLVEATTSESLGSASASPRELKAYCGFDPTAESLHLGNLLGLVVLSWFRRCGHNAVALVGGATGRVGDPSGKSAERPELDLAAVETNSNAIKSLIGQILDRAPEPSQHSQSGKNFTLEQNEQALVKMGSFQILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDIDVIRFTKILTFMSLDEIQELEESMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATETLRPGAQTQLDSQTIEGIADDVPSCFLPYGQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEVLSGDDSTPLVVMTGLTSDFTASYDCFYCAGWTEDIHVVFQLPSLEVSTGSLVCCWGLREKHGKNCFGNITAHPKFSSESSLDYRKGGMRLW >KN540250.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540250.1:14610:19992:1 gene:KN540250.1_FG004 transcript:KN540250.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHVQQQQYVDPYRTMVLSPQPDHLNALQYNHQQQPQPPPQATPPPPQHHHASLASHFHLLHLTTRLADAIGKGTRDQNSDALVEDLTSQFARCQQLLNSISGTLSSKSIKRGEECEEKGEEKKDARVGCSELKVADKSRREGMDRGG >KN538943.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538943.1:58083:64582:1 gene:KN538943.1_FG001 transcript:KN538943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDTSPEGDELIVVHTVDGEPMYPGDTVQGLFEFSQEFDIKMKWAIANLTCQTASQRSTTYACISAHSECVNATHGKMSLGYRCKCSARFDGNPYVSDGCTDIDECSQPNSCNGICLNYPGGYNCTKCPHGKEFDQTKRKCVISAKKRNLLLGIAVGISCGLVSIALAFCATMLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEATNKFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHINATAKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGAKQSLSHYFVEGLQEGSLIEIMDPQVVEEANKDEINDIASLTEMRYVIKVWAAHGSRCILSNVSEIDMLVRDNLRYFIVFKGGVPTSSRPKPVLSYTGARRRLDCRFLQCEEALEAEVAGAAAPPRPPPPPRLPLEALANCLRRSAAAGDEGDGRRGDLLPSSSWGSRCAWVGSRGNRLMGFVRPTGPRTLTGQEEPGGLVDVLTSQSGRDAASGFRLIRFIGLGGYYPSDGCVEPTDLPEPDLVGKLMFGKHLYARVLDEPAPSAPTLLQSILKQDRCKEPSKCNKRYFANPTSSFLL >KN538943.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538943.1:23820:28045:-1 gene:KN538943.1_FG002 transcript:KN538943.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAVERIGLLKQPFTRQENTVIQTCVVSAYGIAFSGKRLRRFRLYSDFYCTTFVGLGLLVAASVLCLLMSTSELNGASGFGSYLFGMSETIAKQATEAKDAQNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFLFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADISDNSLHGLQAYRVFISIALILGDGLYNFLKVLIRTLAGFISMVQNNSKGMLPVSDNGMSMSTAEEVSFDDERRTEIFLKDQIPNNIGTSGTEYPAPYAIVYRNMAILGVDGFNSLPENCLTLCYIFFAAAIAINLIRDLAPHKVSRFIPLPMAMAIPFYIGSYFAIDMFLGSVILFVWEKLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRAANAKVDSFLAG >KN538943.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538943.1:2177:2674:-1 gene:KN538943.1_FG003 transcript:KN538943.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYQKHTGQEIDKIEQCMERDLFMDPEEARDWGLIDEVIENRPASLIPEGASGVDLPHHSAAGVGGRGRDVEEPSAVLPLVIQVADVFGSLHVVLIA >KN538943.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538943.1:151313:155216:1 gene:KN538943.1_FG004 transcript:KN538943.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSTNPNHYPVFPHSFCNQHVVSFQTSTVANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAAKKENTRRRKTDEHYLGKKTRDRKDKMVESSWATNRQVQTTDIRSPSTLACNTVRDNQFQSGASEIDRAMLNILEENARVLKQIGENIMTSQAQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLAQVFGSSHLRS >KN538943.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538943.1:509:1276:1 gene:KN538943.1_FG005 transcript:KN538943.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLHALMLRYPSTEREERSSMFRTMFSIRSRNLDNETCSSLKQSRDGMIVKRTSVRYNSMSHHPGWDEQVIVEPLLSRFIR >KN538943.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538943.1:157179:158312:-1 gene:KN538943.1_FG006 transcript:KN538943.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >KN538943.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538943.1:121091:134741:1 gene:KN538943.1_FG007 transcript:KN538943.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQDFPFAAPPPCGVTAVDSGKRALELLGSESSKLKKIPVVIMSSENVPTRISSTYSQACRAMCPYRRSNNTQNENSKEAKQDDETRQNKQDDEEARLEEYKKLIDQKTALRRSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLTQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDRETTQANLSLLSAFARQGRFFIGLQSHGQEAYDELFKDLNVTADQKKFFKKALNTYYDAVAELLQSEHASLRLMEAENAKVLTAKGELSDENTASYEKLRKSFDHLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGNDVAPSTAKELSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKLNEKGREQSEPVAEQDTEVHDNPQTSSITEYHLEGKADDGVKDSEEKDKDKGKGVDKEKSKEKDFDRKTEREKEKIRAVDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRTLFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVSVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDVQDLFAELRPNMARYSSVEELDAALLELEESERAASVEKPENEKLSDSESQKVQPHDTAFSANGRSSANGAEENGKDHEGADSESYSDSGSIDGHEDEEDLMFEDKSNDASENEGDDEDDGIPAGSDEDEGVEVRHKVVQVDPKELEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTKQMFVPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWGQGGSNTGSSIRSGGRGIWDGSIRGGRQRHHIAGGFYHSYGRRR >KN538943.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538943.1:86233:93699:-1 gene:KN538943.1_FG008 transcript:KN538943.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIKVWRTCGLRIMPDMEHQSEGRLCLLNQVDHMLSERLMQFIDVDLHGVKAREKFVSVRKGTRAEVVTGLEEDLHNAKSAFERCRFNLGYELLSQMEPFIHQVLTYAQQSKEMAINEQDKLAKRIQEYRTQEEIANLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENSREWKRRFFVLDSHGTLFYYGNKGQSQGAASQQTAGEGTGVFSRFRFLNQKAPTQGDNALSCHKIELRTSTIKIDAEENDLRFCFRVISPMKAYTLQAESGADQKDWIEKVTGVIASLLNLPFPRQVSYGNLEAEHHGSANSVDSLSLEENKSPEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHELGNDYTNSIWEAMLPKEDQGINEFNDAILFIEKPKPTDAFSIKERYIQTKYVDKLLIAKDTNQVTIDILEAVRTNDVRAAYRILVLTDVSPNMIYDELNNDVHHDPSVTDGKLFDPASCDVKDDSGKPEGCLQGCSLLHIACQYGHSIMAELLLLFGADINKQDFHGRTPLHHCVRRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVRYDQD >KN538943.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538943.1:19359:22998:1 gene:KN538943.1_FG009 transcript:KN538943.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGVGGGGNYDGGGGNASSLFGGGGFMPSQATNAAEGTSGGGGGGFPKSRNAQALLPLTVKQIMDASQTNDDKSNFAVNGMEVSTMLEEIVCCVLNFYHPGLQVRLVGRMLNKLDRVTDVSFTLDDGTGKVCFVLFARNGDYVIVNGGLKGFQGKRQVVAYSVRRITNFNDVTHHFLHCVHVHLELTRPKSQVNANTATGTPNQTMPRDSMAYNQSPLTNQASTLSAPQNTGTGTNMIDLVLNVFHDPAVMNDDHGVGVDYVSRRLNLPEETVGKIIIDQVDLGHLYATIDDHHYKSTMNG >KN538943.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538943.1:10201:11283:1 gene:KN538943.1_FG010 transcript:KN538943.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQLRLGFYRSIPLMFSPFFLETGIPILANGFNKNQGQVKANLQAEIISLFPEELELSTTDLPWLVGDAATQKSRFAFWLRTMERVKTLRCILVNSFPGEAIAAGADQQQPLPQDQQILQVGPLLATIVTDRAKDNNNNLRCSPMKTTKNDTSTCQADDRTSCMEWLDQQRPGSEDVLAHGAVGCYLTHCGWNSTVEAIRHGVRMLCYPVAGDQFINCAYIVRAWGVGIRLRSADRGEVVDCVGRIMEGEDGRRLREKLDELRERVMAGEALCVAKRNFEEFIRGITGQRLQ >KN539725.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539725.1:81801:82094:-1 gene:KN539725.1_FG001 transcript:KN539725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNAQAVLYVVATFALAAAVSPVYTLALRWWPRSAPAGIAAGVAYVLLLGAVEVFSVAAVTAYYFECREMKQVEEDMAAGHHHYTKLSNGDEANI >KN538943.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538943.1:36222:48147:1 gene:KN538943.1_FG011 transcript:KN538943.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFVSHRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKELEIRKDFIIIMSICTPLVAVIFHLVIYFVSQQVIKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINSQGPKGITLVELRLEAVVYLFEFYGNAHAIVSVIWTNIKNVTINQQDIPSRVTMKVALGFLKLLNKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSVLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKEMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQVLCVSSSQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRKLLMIYARSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARCLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALGEVLEFIRVEKMEQTKRVGSKNERNNDDNDVTKEVPNGQEQPVLGARATCASTAIKESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGGKHVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPAILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIQFVNLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLKEKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIHGQSPVGQKRSFTPAQDNRGSDCCHACEKHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDELAPSAPTLLQSILKQDRCKEPSKCNKRYFANPTSTFLL >KN538943.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538943.1:79629:82583:1 gene:KN538943.1_FG012 transcript:KN538943.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFNITVRPGTDTYNISWVAPTEGITISSYSTLYVIGCNFDATLFEYGTEDLIGSCMSRCDGEKAPIGGPCNGMGCCSIGLTRDLRGGFQSTILLRSDGIPAAQTDPVHPGIMTFMSGWDYTSNTSNLFSGWTNASNVGGALLRFAITDQPSCERARMNITDYACSPGSSCQNVSSGGYYCYCSYYNQGNPYLLDGCTGYKPQNKKQYCSTSCGDINIPFPFGVEEGCFADERFRLNCTEANLTVTEIGGMQYHVTSVSLEDGTLTVSNMLNDTNYGKEEIIRTTNDGSTFSGTLEDQYGLSIEYSVVQVKWAAANLTCETAVQKNTTYACRSIHSYCLNVTHGEIFMGYRCKCISGFHGNPYIQDGCTGKKPYPTDILSFPSELAIYKMIDDAIAGVGIEIGTGCGLGSIIIALSAAVLAKKWKKGVQKRTRRAYFKKNQGLLLEQLISDENATNKTKIFSLEELQEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDHLHTDVSVKCLLSWDDRIRIAVEAAGALSYLHSAAAIPIFHRDVKSSNILLDGSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLVRKKPIFINDAGVKQSLSHYFIEELQEGSLVEIIDPQVVEEANKEEIDDIASLTEACLKVKGGDRPTMKEVEMRLQFLKTKRLRKFQLIPGNDGEIEPLLSPNTSNSYAQNNYTNAGHLTSEGIPGSGCYSLEQELSSSISFPR >KN538943.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538943.1:179764:179971:1 gene:KN538943.1_FG013 transcript:KN538943.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYVHGPFSGSQSTRIDTLSSVNR >KN538943.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538943.1:98019:99079:1 gene:KN538943.1_FG014 transcript:KN538943.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSKEEDGALEPAKASGMCCHARGRGRRARESRRARVGCRRRWFVAEVEMHDLGGVGEGRRNGAGEEVVAGIECDEEEHDAVGNGGGDGAGEAVFEEVDATEVREEAEGVRDGTGDGVVMVDEAAELGQLANALKERAGEAAPGEGDGDDLALDALDTGPCAGVGTKAGVVLGAEALVVGLAADDDAVARDVEVVVVTVGGVGDLELFTEPWQACTGPLVEVPQQDEQVFYFVQGHLEQLQRADGPAMLAKQIKMFQVPYKILCRTTAERPSPLRPPPSSAADLAPV >KN539725.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539725.1:59672:59968:-1 gene:KN539725.1_FG002 transcript:KN539725.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIKSLERVAELGMGRVVVETDAANLKLAISSQEMDRSSDGALFKIIRALLITSFDQYLVSVCPRICNKVADRMASFGAVTVAPGLTCYWSQAPEFL >KN538943.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538943.1:106945:111803:-1 gene:KN538943.1_FG015 transcript:KN538943.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPMICLAAAASGQLAGGNGGLLHIPSNESLAHCPSSCGDVNISYPFGIGPGCFRQGFELTCDTTTQPPTLFLGSSTSTDVIGTYADILALDVSLIGFNITMAPGINTYIRSWEAPAKGFTIPNGSVFYVVGCGVEVHLLALDSNDTMGSCTTLCFHDPVDMEMQANGTCDGIGCCTIETTREVQGFRLRIVRQDGIGRVVPQEQSSVKAFLTYDYYYEFRTGDLSSPWINGSNLLNTTIYGAIMDQPNCHSASKNKAAYACSRNSQCFNADRGGYYCYCPDGNPNGIPYTQEGCTGYNPFPKGICNRFCGNTNVPFPFGLEEGCYALEKFRLNCRAGKLFLDRGDVDYLVRNISVDNGYMSVTNEQNNSTSNGTEIMVVARTMHGSSEDDPRLDLFDLTQENDMKMWWAVVSFTCQEATQDINNNSYACRSVHSECIDVNVTHGTQLGYRYVNECLLPNYCNGRCQNFIGGYNCTSCPRGKEFDPTRRECVTSAKLISMALGISCGLGFVMLALGATILITKWKRGIQRRIRRAYFKKNQGLLLEQLIIDENTKDKTRIFSLEELEKATDNFDATRVLGHGGHGTVYKGILSDQRVVAVKMSKIVEQAEIDQFVNEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLCELLHNDVSAKCLLSWDDRIRIAIEAAGALAYLHSAAAIPIFHRDVKSSNILLDDNFTAKVSDFGASRSIPLDQTHVVTMVQGTFGYLDPEYYNTSQLTGKSDVYSFGVILVELLTRKKPILINDVGTKQNLSQCFLEGLQQGVLMEILDSQVLEEAGQEEIDDIASIAQACLKAKGGERPTMKEVEMRLQFLRTTRLKKCQPISVMDEEIEPFICPKTISSDAQSSFIHTAGFTSEYSTRSYRLEQELSSSVGLPR >KN539725.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539725.1:28958:31898:1 gene:KN539725.1_FG003 transcript:KN539725.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MRRPASTSSCLVCGLHSCVLVLFGNWKVLESRHLKMSDLSTMLNAFWFCGRQVLVTKFRQSGARTPQCTLLGALTKPNDEYELKKLSTRWQKKFGEEIDQDLLYLISVDRILHIEDFNEDGMWVIPSEYSDAEPDPLRNFAESIVEEMNSKHAEDVHRIYSIYVESDFQATEVKMIWVDRLGFDLHVHSGESTFAARIPFTREVSDEKGVKSSFNMMSHHAWEVEKSYAAPEFEKVELLKKVR >KN538943.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538943.1:33648:35231:-1 gene:KN538943.1_FG016 transcript:KN538943.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDDDTERVRAIDDASLSASSTRHALSLAARRLSRSRRFADAEALLSSHIPASPTEPQLAAVLCSYAAASLPEKALAAFRSAAPSLPSPISPLPFNAVLSVFLRCRRHRRVPVLFDELSKEFSITPDASSYGILVKAYCMLGKDAKAHEVLDKMRGQGFTPTSSIYTTMIDSMYKQKKMEQAELLWKQMLESGRKPDQAVYNAKIMHHSLHGKTEDVLEVIAEMEAAGVKPDTITYNFLMTSYCKHGKMETAKELYRSLGEKGCSANAATYKHMMAQLCAHGDLDGALVIFKESYRSNKVPDFRTMSGLVEGLTKAGRVAEAKNIVAKMKKKFPEQLLSGWMKLEKELGLNSDSGDAGTRAECISEETPSEAEAAMPKTLELEDPSSDETECMADASTSEGMPRDSA >KN538943.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538943.1:12050:13267:1 gene:KN538943.1_FG017 transcript:KN538943.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTEVAGVQATASNDATRAKEGGKTAVSPRTQRASAAVILSLAGATLKYAYIGDSAFAVFRDGKLFFRSEAQVHSFNHPFQLSVKNGNSVTSAARGGVEVKEGDVVVAGTDGLFDNVTNEELQRIVAMGRALGLSPKQTADVVAGFAYEASTTMGRDTPFSLESRKLQRTTFRRGKRDDITVVVAYIV >KN538943.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538943.1:50455:53714:1 gene:KN538943.1_FG018 transcript:KN538943.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFVAACAISFVLVCSAATTPRALAAVYGDGGGLLSIPSNDSLAHCPSSCGDVDDIAYPFGIGPGCFREGFELKCNTSTKTPKLYMKDGTTQILYVGDDDLWAPMHFNITMKPGTDTYNISWVSPRKGVTISQRNTFYIIGCNIDVTLFEYGTRDAVGYCVSRCDGEKVPTEGPCNGKGCCSIKLSRDLRGFRSTLVQVDATAAQSYQLQLRHGVMAFMSYNDYYVHNATDLFLSWTNTSNIQEALVQFAIMDQPSCEIARMKNTSYACSTGSNCLNMSSGGYTYYNPKRKEHCRRSCGNMAIPFPFGLEEGCFASERFRLNCTTGNITLFNPRDARYNVTDVSIEEGTMVVSNLLNDTEYGGEDIISQVYGGREIDGPVEDRFDFSLQYNIVIKWAVANLTCDAAVKKDATYACRSIHSNCLNVTHGNIFMGYRCKCLPGFQGNPYIQDGCKGITTGISCGIGSIIIALGAIILANKWKKSIQKRIRRAYFKKNQGLLLEQLISDESATNKTRIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQSVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFIPNGTLHDRLHTDVSVKSSLSWGDRIRIASEAAGALAYLHSAAAIPIFHRDVKSSNILLDGNFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVDRLREGSLIEIIDSHVLEEAHREDIDDIASLTEACLKLRGGDRPTMKEVEMRLQFLRTKRLRKFQFLPVPGSVGEIQHLLSPDAGKSQAQNNYTSAGDLSYEGISSCYSLEQELSSSVSLPR >KN539725.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539725.1:150:599:1 gene:KN539725.1_FG004 transcript:KN539725.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQTVLVVAYLCLAYTLGPAVAYACTAAVGLCYGVQFSVMIPTTSELFGLKNFGLFYNLMSLANPLGAALFSGELAGWLYDEEAARQQHSGGACLGPGCFRTAFVVLAGACSVGTAVSLVLAARIRPVYMALYSGGSFRLPNASQQH >KN538943.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538943.1:162245:166090:-1 gene:KN538943.1_FG019 transcript:KN538943.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRALFAGRGDDYERWLQYLSKEEAAVHARMRRRTQFSRTAVRNLIVLSVLAEGCSSDLFVMKLTENGRSCFFCQAAVVAIVYAIMTTRNEDITWEMRAIRVLPMFVLPAVSSVIYSTVVKFTRMHRYSVSHKAMPIIDNYTLFTSYLYLFTVERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPKLDSAVARSSDVEILPSEGLRNRKQSNARGSRTGGTTAAQNPAQGAESSLTSSSGLEQPPMVVEHFQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSLGQHSGSNSGRLTPVAPADGISASSSVVESEVSNMTTIQELKNEENTEKQEVQAS >KN539725.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539725.1:15638:18499:-1 gene:KN539725.1_FG005 transcript:KN539725.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIVLKLKDFTRIWISREESMLDPGGNVVLIWNRVFLVSCVASHFIDPLFFFLPIVERRDRQLCMTMDHHLAIILTCLRSFLDIFFIAHIAISFSTAYVDPSSKVLGRGELVTDPKKIANRYIRTNFFIDLVAALPVPQVLVWIVMPSISFKHINAPFFLIILVQSAIRLYIVILLSLSIMEMVGFIAKNGWEGAIYSLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDRMAHKGLCDLHFLDCKYATSIHKIRKRNASQIHMRSLSKNTEDWRMWQTEMEDWMTDHQIPDELRYRISQFFKYKWIATQGVEEDSILRQLPADLHRDIKRYLCLDLVERVPFFSAMDHQLLDAICERMTYFLRTEGTYITREGDPVKVMLFIIRGKLESSTTDGGRTGFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVKTIAELEAFSLQADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAARFIQSAWRRRQNRQKMAEVGLSNRWKSFFSLVNDFNDTRCEDINGSSSTVSYRETVTVSKIASIFKKAQKERPEEPDFSADHHPE >KN538943.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538943.1:16463:16783:1 gene:KN538943.1_FG020 transcript:KN538943.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMVGEMKVRRGDVVVAGTDGLFDNMSDAELEKVVQIGTALGFSPKNMADIIGGTAYEMSRCLLKDSPFAVEWRKQHENEEEHFYGGKVDDITVVVACIVSSDS >KN539725.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539725.1:62209:62808:-1 gene:KN539725.1_FG006 transcript:KN539725.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFTGLAAAAAVSQASHDLLDALDVQVHALVSVLGAVAPWAVEPLHRPAAGAPVLHLPAEHEGGAALLQRDGHRHLGAARVQVQAAGGVVVVEPSHRAVAGVAEDGVTAGGGDVAEHHVALHQWVLQRGCREGAVRVEDEVSRGVPRAFAGLPAAFTVEDGRGVAVAVKNQRQGAPRPQAGVGDLSGKIISSSHDSSS >KN539725.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539725.1:3523:15084:1 gene:KN539725.1_FG007 transcript:KN539725.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/Swiss-Prot;Acc:Q38885] MATPSGGGKKGTVDEIVTRPKPRWGAVLDPKHMDSMQMVVVTQDAGKFSKEVEGNLQSSSGVKSFPENTMFEEAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >KN539725.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539725.1:53994:54740:-1 gene:KN539725.1_FG008 transcript:KN539725.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKAGIFLVLVLLLSTLAQHAYADHQPSEIINNGAGMKFLCHRSVPVSLASSIAGFSPKSTKPKSSLTSAWEKVTRSNVCANFVRMFSTTEDKILCYVPL >KN542359.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542359.1:10040:10501:-1 gene:KN542359.1_FG001 transcript:KN542359.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDHRLPVPHGLLHSPSHATGFHRLTTHGSAITTLRVSPATASPSSYPTVAPLPSTVLAHLATARVSVLPGLSDPELFRVASSFLLTSATSSRSTCHLDGFPNYRSSAGLRLFRFTKEEVPTTVATALLVLPGSLVGRCSPPSPPLVPLYG >KN542359.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542359.1:12641:16325:-1 gene:KN542359.1_FG002 transcript:KN542359.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNPDGIKRYTPPVHRSASFCSFFNPERLRELGGLVGDERNRANNRRKSGDRAEKASYLYNNDGEKSHAPSLKNLPPIIPHETFFSDPQNDYSQTRLIPLEGCCASEAAQLLNDRWAAAMNLYNDQSYDSPDKPVMYSGSSGSSWGHGHMKLPHQMNFSEELRRALDEQPVTGPSVNTWN >KN542085.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542085.1:3834:4511:-1 gene:KN542085.1_FG001 transcript:KN542085.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHVQMPAVDTEACCDDEKNPQPAREIISDHVAVRPTPAVDKTLSGASDLLKLLPTGTVLAFQALAPSFSNHGVFHAVANRYLVLALIGACAASCMLLSFTDSLIGHDGKLYYGVATLRGFRPFNFAGTREEHGTVFKDLSRFRITALDFVHAFFSAVVFLAVAFADAAVQTCLFPEAEADMRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >AMDW01038730.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038730.1:21:767:-1 gene:AMDW01038730.1_FG001 transcript:AMDW01038730.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQIPMELIQVQELFHFVNLCAKFPMPILNQDLGLNTADSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFGMGVGIHVKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDASYNPSMLPVVDGVTIKNVWGQNIRQAGLVRGIRDSVFSRICLSNVKLYGGDSVGPWKCRAVSGGALDVQPSPCAELTSTSGMSFCTN >KN542085.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542085.1:12200:12973:1 gene:KN542085.1_FG002 transcript:KN542085.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLETKLIDMPQPQETNGDGEAAPEADISMATTLMPNGVGERKATAAPVLPAARPPPEMTDKVMASTANLAQLLPTGMALAYQALSTSFTNHGQCYRSNRWLTAGLVAVLTASSIFFSLTDSVVGRGGKLYYGMATPRGFNVFNLSREEEEAQELSRTKLRELRLRPLDIVHAFFTAVVFLTVAFSDVGLTKCFFPDAGNDTKELLKNLPLGMAFMSTFVFLLFPTKRKGIGYTDTTPRPAPEMNKPTQVSDHMP >KN545019.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545019.1:697:1638:1 gene:KN545019.1_FG001 transcript:KN545019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVMLALLVLVSTAQVSMGARRRMELYKPDPADMLSYHSGAVLQGNIPVSIYWYGKFTPAQKSILFDFLLSLSVAPYAAAPSVAQWWSSIDELYLSKAVQTNSNGQSKKTQVLVASQVSDINCSMGKSLTLAQVAALAARAKPKKGGIALVFTAQDVTVEGFGMSRCGLHGSDAKSGTAYVWVGNPATQCPGECAWPFHQPMYGPQGAPLVAPNGDIGADGMVMNLASMLAGTVTNPFGDGYYQGSRDAPLEAATACPGVFGSGAYPGFAGELKVDQATGASYNANGANGRKYLLPALYNPSTGTCNTLV >AMDW01040029.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040029.1:285:1188:-1 gene:AMDW01040029.1_FG001 transcript:AMDW01040029.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEFEEFAEKAKTLPDTISNEDKLLLYGLYKQATVGPVTTGRPGIFNLKDRYKWDAWKAVEGKSKEEAMADYITKVKQLLEEASASTS >KN540104.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540104.1:2700:6769:1 gene:KN540104.1_FG001 transcript:KN540104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSACFTRDVTSSSSPLLSSSSHSTRPRTRRCSTPQQQAMEARAPPSPSTQRPASMGRGGGGGGGGGGGGGDASAALSFIYKGWREVRDSASADLRLMRARADSLRTLADRELEHLLVSASTTYAASGDWELGLGRRVLEGWVAPPRGATTARVDLSGITAIRNALVPEVAGGGGARTAWWSGDEMEEEEKEWEVVRMIRGGLKELERRSQSSGEILGGIPGPSELVEKFKSSLKSFNMEPLGSKEVPPLDLTEIMANLVRQSGPFLDQLGVRRGDGPLICCWYYGAELRDKLVETLYSRQNHSLSADSSLLGDDNSTDELDLRIASVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARSSKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLYLAQLVLVLRLSAATQDLPKSIVCNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRKSDLEGFKLDVYGSGEDSQEVQSTAMKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYRTPEEFVDRVNEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLSQPVVEGVHRSKTRRSIQSNLSDAMDGGLAFAHHCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >KN542826.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542826.1:833:11568:1 gene:KN542826.1_FG001 transcript:KN542826.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HASTY 1 [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/Swiss-Prot;Acc:Q0WP44] HLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGKRPLDVAIVEYDAAMSNIFQLLMNIAQEFLVRSKMQPNAIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKIIASQRPGITATSIVQRINIVFGDANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKCNSVNFFMTIVKTFKCKQGCVKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSAAAPQALATTVVTGNLHPMCSHLPWILPPLLRLLRCIHTLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNRLTKGQVTSADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITPALMENMQAMEFRHLRQLIHLVIIPLVKYCPPELWQMWISNLLQPLFVHCQQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEGLSVELNSITSSELVGLCREIYVYLSDRDPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNVITNVTTRNRSSAARHGLSAEEDDHIGLAALSS >KN540104.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540104.1:63298:63549:1 gene:KN540104.1_FG002 transcript:KN540104.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDRFAEDKGHYINDGHRRLLCSGQEEGSCSRDVVIPNNHDGEKDYHDDLDLDILFDGIVVPVPGGHLNSDDAVFVPITGGR >KN540104.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540104.1:47509:53428:-1 gene:KN540104.1_FG003 transcript:KN540104.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDCSDDGEDDVFFDAFDDDDDNDDNNRSSTEISTSEAGYELWAGEPMSVRERRHRFLKGMGFLEPGPTGTAFPQWLAEIATTDCCSFHDFEERISSICSSFRSCFSDSILAATDNTNDSADNCTRDVDYNSSGRRSTTSHDQGQHDVLSEIVEEAGISSDEMVTPNAPEIVPGFSKLMRKLLRIRFGHGPKRNEFKSLWEIFMRKKVSDHRVLSMDDVHVQPRGLNSGTLYRTKVHQQNKKWMDFTAVYMCQEIQAHEGLIRVMKFSSSGWHLASGGEDCVVRVWQIAEVESSPDLYGRDVPEDMNKKKDVKIKPLAIIPKKVFSITETPLHEFQGHTSDVLDLAWSKSDFLLSSSKDTTIRMWKVGCDDCLAVFRHGDYVTCVQFNPVDERYFISGSIDGKVRVWDVSDKRVVDWDDTKYIITAISHRPDGKGFVVGSVKGRCRFYDQSGLFPLGRNIERNKLMRIKRRRCAANKITNIQFSQGHPSRMIITSKDHKIRVSEGHKITQKFQGQWRSKVLVPPSLTPDGRYLISAGRDSKIRIWNFDGGGRRRRVVISIGYGLKMRWDWLRECGKNITCNFIGIKTNMVPENSRKALT >KN540104.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540104.1:35782:43670:1 gene:KN540104.1_FG004 transcript:KN540104.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVALASLSSLSPCGLARRRSSSSAASISCCAAPPPPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDREALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPNDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSTDLCIDERLRIASDGVIFISMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVSFVEDSEAKSSGKFGSFSAPRYSSRSSGRSLEESDKSRPENTEGEAKENLPDVLRTARDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKSKIGKISIVGKDSSDPSSAPVKSSKKNKWKPEEIKSLIQMRGEMNEQFQTVKGRMVLWEEISSSMLSQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >KN540706.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540706.1:5610:8687:-1 gene:KN540706.1_FG001 transcript:KN540706.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRCERCRRRDEQDYRNLDDCQKHFLLLMMGDFQHEIRGEQIVEQLTIPKEFVQRLKGDIPEEIQLETHNRNSHTVRVDKSQEKVIFAAGWAQFVKTFDLRMGDSMMFRFKGNSQFDVIIFDQVGREKDDYLDPNVQEGRTNATETLNSSRAHSQPMPMQSPATETLNSSRAHPQPMRMQLPTETVNHFHAPHYPMQMPIENMALSCTQAMPTQMQSPPTYRWTQVQRDNLRYSLPSEDQGCRVGVIPDPIIGRRTKLNPVQEKVVNFKIQHIHSEIPIFVAVIKRSNVSGVLSTLSVAKRYVDEYLGGERFISLSPLGGKWGIRLAGGGGSGTRMVGGWQKFVNENDLGVEDICLIELLKNHKGTMEVHIIKAKDIF >KN540706.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540706.1:21539:40118:-1 gene:KN540706.1_FG002 transcript:KN540706.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGVLHLADINRSMNVVRYLVETVRFDVDDLDKEADMLRVLVLLGGHKVWEFGSGNRAWGRGDCKMVKLLLAKGAYVDPLSDCGTPLHLAATEGQDGTMKILLDHNADYNKMVIDMTPLFVAINHASEKCAKLLVKAGADINGDYVLTALTDTSSPSSTQCLHCLLEGFTASHHVGDNGAPVSRSITELKSLGSMAFQSKNYLHAAGFYSKAMDLDPDDATLFSNRSLCWLRMGHGGKALLDAHACRKKQPDWPKACYRLGASLMSLKIYIRCIDSLVLNAWVVLDFIMEVGVVHKATMPRKIVEILSDDSDSSSEDSEYCVESDDNDVENEVFEGLNGAKLDKLLCKMNKKIIQDLKRKFSSSSSHLHKKTKGKKQKQIVKNDYSFTRFSVKYFCEVLSSLSEHQKKKIASYGFGSLLLFDSHAVPNKFASWIASKVDVTTSEIILRDKIIPVTKHSVHAVLDLPIGGLEFGRDRERGKQFILSKFGQLSIPSVKYFGDQFIQKKVMSDEDVIISFLIVALACFLCPNSSLTPSTKYLTIFEDVDSLRSYDWSKFVYDWLMYSVKKFKKRKSLGGCFYLWAVQYLDCVDFGERYIDQSIPRIAVWKKGMITTFSDLDKIDENTFGLRPVKDFSTTCYYKYTHSHSDSISFRDKLESAIGNALPDVLKDNICDMVVSYCSTNHISDSQPFQDIVISVLLMIAQSNTMDYVQADNELVDGFGVDNQVENDSHARIAGVHTGTPTNTIDNQADFDNNTTSKSNGKDCSSLERIAHLYGSVANADHQNISLNNVTVQHIATNQNGGNFAVSNFAQQSTGVLSSHNHAKLTSGDCQNLRSRGIGLDTNLLSPDVGYPSNHNTAVNESLKLQQQSMLLRLLLISSSPDYPSSTIMITQDFASFQSIDDSPVQITPMNWSHNMPVDKSTFQKNQNVNKRTLQDLTNSPDVIFLGESKFPEQCKKLCVKTEVLYNSNNNMSIGSRDSSSSGGKLPAHGPRRIIIPGRYASDPYVPQRPRFAVSDEDNLYFVAICCLADSSKWKSYEAVDIDNVKIKFYSFGHSMKRGGFISPYVISAFCRIMFHNNHPSKSKKNYFFPSIGEQLISQPISDDNLSHFEKVKNSFDGAAKARKLHLCDMLFFPIQFNQHWFLFIVDIKDRLLVFLDSLHTEGDEYFEPIFSLLLNNFQTAWDKFVGANIDFSTFTIKFPPVPHQEYRRTRCCKLKFKMQFSIGLIHETSTSTRKPIVC >KN540706.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540706.1:14928:21289:1 gene:KN540706.1_FG003 transcript:KN540706.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESTRKKRDKMKQIDQTQGDEQLVNQNQQISNTTTGAKIFQGAYGGGQMQYDEYDLLNSDTGLRGHISYTNLMQHVAQTPCIGLQSDNEGLQEYRKLLQTPLGFENSMILTTSRFTPEPTNEQMQSTETYHQKVSTTESYYKEFDEIYNNTFVEPLTENEKEKTMEELYKSNNKLFRLEVNPRYTAGDNLGESSIDEQQQWLNLYSNNSHTQVSNDGILTNDAVLDKSMDSTTAQEGRQETNENNTQMNDASEATNAEYLDEEDIDNFLKNEEEGHKEERKVWKIIRLELNHNHELSPNTEKKFLRSHKNMTEEEKKMIKTLKECNIPIRNMIAILSFLRGGTEYSKDHESRTKRPDILWCRNYIEEQALQLYNLSIFEKFQEELIESTRMEMNTIKKGKIYEVFIALNQTKKEWRPRKYVVITDLPQENYSCICGKFSKDGILCCHILKVMLALEIKKIPEKYIIERWRKKERKHTSTSDRPIYNGNSSMLRFNVLSRKSVNIASKASKRKETYECMLNEIENLDRKINAMLEDVPESSSHHQSNTVNNVPADETEEEITVEQEEIADPDIANTKGRKSVRQRRIVEKIIEKTKNHCSRCGKTNHTIENCPLMQSKSRKLLQQKTTGGIIQASQDPRKRQKTYQSEI >KN538964.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538964.1:87238:88830:-1 gene:KN538964.1_FG001 transcript:KN538964.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAVREICIDLHPQSMVIVDLGCSFGANTLLFVSEVITTICENRNSALEESTMEVQFFLNDLPGNDFNQIFQSLEQFEQLTKQHFTCRGLQPPPYYVAALAGSFYTRLFPSNTVHFFHSSMSVMWLSQVPGNLDGSMNEGNVHIGATTPPMVAKLYQNQFEKDFMQFLRMRCREIVHGGRMVLTVVGRKSKDVFDAGRTTTIFELLSQGLRTLVAEGRVEKEKLDSFNIPIYCPSVDELKQLVWRNNLLDISDVQLFEMDGNPMDDLEPIEGAAAAQATGQSMSATLRAAIESLIASHFGDSILDELFTVFAHNFTSYIESEVEKSTITVITLYLQAKH >KN538964.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538964.1:142330:144199:1 gene:KN538964.1_FG002 transcript:KN538964.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEHDLHMIKGDGDTSYAKNSSTQRKAILATKHMVEKAMKGVFMELKPQSMVIADLGCSFGTNTLLFISEMIAMISEENTSDNNIRECPMEVQFFLNDLPSNDFNHIFKFLGQFEQSIVQDCARRGLKPPPHYVAGVPGSFYTRLFPCNSIHIFHSSFSLMWLSQIPEHLDSTMNKGNIYIGVTTPPLVAKLYLDQFEKDFSRFLQFRCIELVSGGQMVLTFLGRKSNDVVHGGGMMNISIELLSQAVQTLVAEGRVEKEKLDSFNLPFYGPSVDELKQLVQQSELLDIIDIQAFELTFEPIDKSELKEGITTAPAIQDNVHEATGHNIAAGLRAVMEPLFASHFGESIIDDLFTLFACNVIRHLASAEEMSSVTAISLSLQAKVAR >KN538964.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538964.1:43425:45912:-1 gene:KN538964.1_FG003 transcript:KN538964.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALPPRDDSAASSLAGSSRVPAATALHRQCGAELVPRHRRTPPRPTLAGAVVVPLSPDQRLGVPSMEPRSFPAIATLHHGLPPPPPRWISPSARDCPPLRRGGLPFRWTRCSTRQVGLRVSSPPAPGGAEDSLPAWTEFFELGKAVYWRTMNGLPASVGVAPVPPTIGSLERICYFIAAAHHSSSSTDNHTYKLQLKHHIGQRRHHRSGLDSV >KN538964.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538964.1:97026:98649:-1 gene:KN538964.1_FG004 transcript:KN538964.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAVREMCRDLHPQSMTIVDLGCSFGANTLLFVSDVITTICENCNNAIEESTMEIQFFLNDLPSNDFNHIFQSLEQFEQLTKQHFTCRGLQPPPYYVAALAGSFYTRLFPSNSVHFFHSSMSVMSLSQVPENLDGSMNKGNVYIGATTPPMVAKLYRNQFEKDFLQFLRMRCKEIMPRGRMVLTLVGRRSKDVFDAGRTTIGFELLSQGLRTLVAEGRVEKEKLDSFNIPIYCPSVDELKQLVCHNDLLDISDIQLFEMDGNRMDDSEPVEGPAAAHAIGHSTSAALRAPTESLIASHFGDSILDELFTLFTRYFTSYIECEVEKSAITIITLYLQAKH >KN538964.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538964.1:116081:119978:1 gene:KN538964.1_FG005 transcript:KN538964.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVWKLVLEQFNDTLMRILLATAVVSFVLAQYDGAEGDEVRATAVVEPFVIYLILIVNVVAKVWQESNTEKVLEALKEIQSEDATVKLDARWSHGLPGRDLIVGDRGRDPHYTLDPITMARSLLLYCRL >KN538964.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538964.1:33893:34454:-1 gene:KN538964.1_FG006 transcript:KN538964.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKATASDDNMARVSETKNAWNANFLDMIGSSSLPEAELYPPGKVKAAAKFYDRGTSQTVQKYMQLPHIFTDMKTGNS >KN538964.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538964.1:155028:155243:-1 gene:KN538964.1_FG007 transcript:KN538964.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANGSSRRFRTKASDATHLGRCGSATKGLRSCSNGRSAAVGLGGADPPLEALGAADPPSLDLGGNDQPS >KN538964.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538964.1:178192:180112:-1 gene:KN538964.1_FG008 transcript:KN538964.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHASFSVLPASITDNPGCKIVYICRQPKDMLVSYWHFINRSKSNAMSFSDVWDSIHEGTYFGSPIWEHILEYWRASQAMPDRVLFLRYEDIQRDPVKNVEKIAEFIGQPFSDAEKEAGIVESIVDLCSLQRLKANSGAKNAGFRRVVNVEVPNESYFRKGAVGDWVNYVTPEMAESLDKFLTEKFRGSGFTFAE >KN538964.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538964.1:108153:110468:-1 gene:KN538964.1_FG009 transcript:KN538964.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDDEFSYAENSWMQKRVALAAKPIVEKAVREVCIDLHPQSMVIADPGCSFGANTLLFVSEAITTICEDHNNTIKESPMEIQFFLNDLPGNDFNHIFQSLEQFEQSTTQDCTCKGIQPPPHFVAGLPGSFYTRLFPYNSVHLFHSSMSVMWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSRFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGTTTLFELLSQGLHTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLLEIDGNAMDDSEQAEDISATHTAGKSMSASLRAAMESLISSHFGEGILEELFTVFARKFTSYIESDVEKSGITVITLYLQAKH >KN538964.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538964.1:71582:71812:1 gene:KN538964.1_FG010 transcript:KN538964.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAMATALMLLVILAAATAVAPCSIPFPDCGPMCNTPCFKFCFTRCFLFLNLGVELCHKQCTTFPIWCGGFLN >KN538964.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538964.1:29827:32823:1 gene:KN538964.1_FG011 transcript:KN538964.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARTTEGNTCLHISSMYGHERFCDTVLELDESLLTLVNSDGETPLLTAIKSGHASLASSLLRRCKKPGLTDSILRHDNNECNALHHAIRGGHKDLALELIKTKPALSEGVNKFNESPMYLALTRDYKDVFEELFAIPGSAHSGSYSYNVLHAAVKCGNTSENYMSAIQFAISYMQKKQITWLSRIVNQFPPILFSDIAKKIMESRPWLAKEKSDSGCTPMQMAVRWDKAEMLQILLEHDCSLGYVANSKKRPQLVSAAYQGHVSVARELLRHCPDAPYCLANGRTCLHEAIEHGHDEFVEFILGAPQLGKLINMRDREGKTALHYAVRKCNPKVVAALLRKDARIDCSMLDQNGDPAIWELSKVMQHAKTLNWVSLTTAVSAPVRSPTAPSPPKTLVKGWKELIRAGMRSSRPLPFFLNGSVLVSPPLLGVLNLDIVTVRREPTEQTWWHLALLPEFHRSSPSSAAQPQLRGSLTRPKQTPAPGSPPPPHNPILHASSMSPSHGSSSPLQGGLVGSGCDARFLISSSPIPPFSSYIHLITICENFAAAAARTPRLIHKRPAAVVTSALNQPSQLL >KN538964.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538964.1:121103:123380:-1 gene:KN538964.1_FG012 transcript:KN538964.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDIEKAVREDLHPQSMVIADLGCSFGANTLLFISEVITTICEDYNNTIKESPMEVQFFLNDLPSNDFNHIFQSLEQFEQLIMQDCACKGLQPPPHFVAGLPGSFYTRLFPCNSVHLFHSSMSVTWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSRFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGMTMIFDLLSQGLRTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLFEMDENRMHDSEQAEGTTAAHTAGQSMSATLRAATESLIASHFGEDILEEIFTVFACNFTSYIESEVEKSGITIITLYLQAKH >KN538964.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538964.1:160407:161765:1 gene:KN538964.1_FG013 transcript:KN538964.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKATKEICMDLQPRSMVVADLGCSSGANTLLFISEVIAIISEETLASNNNRECPMEVQFFLNDLPNNDFNHNFQLLEQFKQSIVRDCARKGLQHPPYYIAGVPGSFYTRLFPCNSVHIFHSSFSLMWLSQVPDLLDSSMNKGNIYIGVTTPPLVAKLYLHQFEKDFSQFLQMRFIELVRGGKMVLTFLGRKTNDVVHGGGMMNTSLELLSQAMRTLVVEGRVEKEKLDSFNLPMYGPSVDELKQLVQESQLFDIIDILAFDLTFDPIDKLELEESATTTTGRPYSIHEAIGNNHTTTLRAVMEPLLASHFRESIMDDLFTLFSCNVTRHLESCAWEESSITAISMSLDKKVQGS >KN538964.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538964.1:79200:82932:1 gene:KN538964.1_FG014 transcript:KN538964.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVATGVLSSVLGKLPALVERQCNNSFKGVNEEILTMKAELQSMNALLLKLADMDDLDIQVKEWKNQIRELSYDIEDCIDDFIHRIDGGSSRVHKGFFQKSIHKLRTLGARNEIADQILKLKARVDDASERQKRYNFNGAISSSIDVVPLDPRLPALFAEADALVGIDGPAEELINWLTEGGEKLESRLSVVSVVGLGGLGKTTLARQVYNKIGGQFDCQAFVSISQKPDMRKIFQKILNDITRTEHASLAWDEEQLMSRLRALDEEQLINKLRETLTGRRYFIVIDDLWSTLAWRTIRCAFPEIAKSCCYPDLNNVYELKPLSNSDANKLFMKRIFGSEDQCPSQLKLVSNGILRKCGGLPLAIINIASLLANKPCTKELWERYRNSIGSQFEKDPSVNDMQRILSLSYNDLPHYLKTCLLYLSIYPEDFVIRRTQLVVRWIAEGFITANGRQNLEEIAEYYFNELINRSMIIPVNIQYDGRVDACRVHDVIFDLIISKSAEENFITVFGYQNHAFGPQDKIRRLVHYHGQEEIMVMSNMNVLNVRSLTTYGSTENVLPISDFQALRTISIECNDQLENHHLNGIQKLFRLKYLRLNRVSISKLPEQIGELQELETIDLTQTMIKELPKSIVKLKRLLFLLADEVSLPAGVGNMKALQKLYHMKVDNSISSNTLHELQRLTELRYLGVIWCVNDMYAGGKTHIDNFVSSISTQCKLKLQYLHVQCCTEHGSSLDFLLNSWFSAPNPLRYFGMSSNYYFPRIPEWMAMLSSVTFLNINISHVGEEAFQILGKLPSLLALRIWTKGVAPNEKLIIRNRGFLYLKQFVFYSCNIEMNPLVFEAGAMQNLERFRFNLKARETRNPCRHFFLSIQQMSSLKHLLVLIDCRDANAHEVEAKEAAIREATNHLRNHINIEINTNWTWKMVKDDDDVGIKCKEEDSMKHPREWEKDV >KN541633.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541633.1:2626:10302:1 gene:KN541633.1_FG001 transcript:KN541633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEKKKEEDRTKCKQKVPDQLQTTKSTKGTKMNGQSIGINKKHNNRAEEFTVLHTKTSKVTVVSTVQKQYAKSNGGTQLQNKETEEIMKIKEQSGTGGHHLQGMKSDAKSNGGTQLQNKETEEIMKIKEQSGIVMYAESIEMIKMNNKRAEELTGTGGHHLQGEVQKINESDKSKIQTNNQQGSMNNTTYTGIITQGVPYSPQILHHELAMLNSHSPQISYIGLMHQVINSPRISLQPIPEGLQNFTNLLRTPTAYHNSMVLTTSRFSPDFTQQIQEAPSIDWNYQEFDEFYNNNCNSSEITEDKSIEDLYELKNTHFKSKEIANNMTNEGEQLDIWTDNEDDEERNTEEIHAQEQNQHSTTQETVEEMQIQPIEQQQKDSCSDPKSRKELNEEDIDNFLKDDEEGNTHIDKKHIPELGMKFKTDKEAHGFFNFYAYLAGFSTVITHHYKSTSKKRNAIPYSKKDVSNVGTAINSETRNNDMKQMIEKFSLQNIKYLKIMWKNIAQFVPVYFKCDFCPFIQSTALSEGTNSRFKRSVGPQHSVMSFMKEYENINDIIFVTEYSKGFESRSKKPKNLWFNYTIEEQASELYNLAIFKKFQKELKETLRLQTKVLTASKVYEVFVSPNSTQQEWRPRKHIVMIDLPNENFSCICGKFSKDGILCSHILKVMLELNVTKIPEKYIIERWRKKEIKEKKNIIMQTQSGENSVLMFNVLSRKGADLASKAAKRKRTYDYLLDELDKLDKSIDFMIQQEDGNQLSQDQSNTIQNTSVDQAEQITINEEMQIEDPNTANTKGRKTKRYKRIVENIIESSKKKIQVEESSTKTRKRKAEQDEDKGRSRNQKKHQAKSETGQATTNHSVASSRI >KN541633.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541633.1:21898:23875:1 gene:KN541633.1_FG002 transcript:KN541633.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQEVAFGVSLIGNGAGWAPLGSRTTLSEHVVEQDAAMLPAAVDGERQDRPSGRCVVAARRDGEEDGLRHAVLAGALVPRVTYRVSGWVAVQVRKRDVVLKFGVGAGVAASIVAGAAVRVLNYRDADALLDFCDQHGKPARGHCIFWAVDGDVQQWIKDLGRDDLAAAVQGRLNGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPGAQLFVNDYNVECANDPNATPEKYVELVDALRRGGAAVGGIGIQGHVSNPSGEVICDALDKLATTGLPVWITELDVGEPDVSLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTVNEAGQRLVDLRREWTSDARGTVDGDGNFRFRGFHGTYVVQVTTAAGKTIKTFTVDKGDTSLVVDMAN >KN541633.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541633.1:10706:16867:-1 gene:KN541633.1_FG003 transcript:KN541633.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFRIFLVMPPVGNDMLGKKPIKIDDSEEYIVHCEISSDSLDSDGNFSSSELDALILDIYKTETFVLNDKKAKISKKAVSFSRFSCKYFSEVVSSLSEHQKTVIRDYGFETPLLFDSSYVPKKFATWIARHVDYKSSEIILSQKVISFSKELVSSVFGLRVGGLEFGKDFEVGKQFILSKFGLSCLPTVRFFGDKIIKSEQMSDEEVIISFFIVAVACFLCPNSSLVPSTKYLTIFEDVGNLRNYDWSKFIYDWSLNYIRKFLKSKNLGGCLFLWAVVYLDHVDFGKKNVANSIPRFSVWVKDRIQKYSDFDKVDDDNYGLRPLRDFKFVCYYQPQPAADRVISFKEKLESAMGSVLLGHMIGKLCTLMRDHCSENQSNDSHCCEDILISALVMLAEDSVNQSENVQEFENAEGCFENAVNDTNAEVSNAPAHNCDDNVVISAPINPNGDASIPNVDVQPARFDNSAFVSPFRSNGENANTSDHAAHLMSSTNRHNGTSVVTPQNACVKKSKTYADENFNVSASATVAAIRNVAKKFKSRFPEFDNQSARNKFLNLSRPSFKLIDSDDDIGCSKNVSANKSYHKDSDDDVDITPSSSVSCISFRSVADTRETSNFNNIPSNQNTGRANQSSQNFSKRLFQDVTNSPEVVFLGEKLFPQTVKKSCVKAEDIYNARFQLSQYKHGMSSSGGKLPADGPRRIIVPARHACDPFVPSMKRRFPVSEQENRYYIAICRLADSTKWHSYDAVDFDNVRAKFSSFGHSLRKTGFVPPFVISVFCRYLFLNNHPSKSKKNYFFPSIGAQLILDSEIADLEKVEKSFNGAGNARPLHLCDMLFFPIEYLQHWFLFVVDIKDRMLVYLDSLHGNDDDYFEPIMPVMLKNLQTTWDNSDSSPIDFSTFKIKFPPVPQQEYSFDSGIYVMKFMEIWSPRIIVSNEFTSENIKNIRV >AMDW01037867.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037867.1:142:541:1 gene:AMDW01037867.1_FG001 transcript:AMDW01037867.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILEGRSYRLQQQWIGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLAHRMGSEHLAKMLSKHLETVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAG >AMDW01037570.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037570.1:42:626:-1 gene:AMDW01037570.1_FG001 transcript:AMDW01037570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMVLHHLTFHRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYEL >AMDW01040756.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040756.1:1487:2062:-1 gene:AMDW01040756.1_FG001 transcript:AMDW01040756.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EFNGRVGGLKVNAVDTTGAGDAFVAGILSQLSVDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVVDALTKVVA >KN539223.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539223.1:57070:59521:-1 gene:KN539223.1_FG001 transcript:KN539223.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHHKNGRGGGGKGKGKGKWKMPTSVARKQQAAMANVDQVTGDKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVSGPLGVTHFFILTNPKSSPHLRMAKTPQGPTYTFQIKEYALAADIANSQKRPRCPPEIFKNSPLTVLSGFGGLGEPFKSLVEYFRHMTPAIDPVTVKLSTCQRILLIHFDREKEMINFRHYSIKLQPVGVTRKIRKLMQNNQVPDLRDLNDVSDYVTKAGYGSESEVDDEAATVSLASDVDKLNRASRKSAIRLQEIGPRMKLHLVKVEAGLCSGDVLYPQPVGKEGVGKKGKEVEEETEGQEDDDLMESDDDPEDESEEE >KN539223.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539223.1:115116:120063:1 gene:KN539223.1_FG002 transcript:KN539223.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAFAVKQDPALAREVVAAVKADAAGTLSGFAVAVLLSVARVRRFNDAAVGVLRDAVITSRRDYRISRRCKWLPECLKGECAQAANCVEKALLKAVGESIAGREHVVPSIVQVGFLLLEASDSDRKEEVGSDEGVMNTEEVGVNMLKSLFDIHGMARTEIIEQCKFRILSAKPSQSLPVIRLLGGLVRTHPFQMLEHISHLKELLDYFAFLNDKISTGLINCILPLTKFSRDLKDYIILVIRKAMFKREDAVRIAATNAIVELIIAENKHKRTEANPFQDSSSQPSSSQQPETHLEIGGGLFQELSGLLRRCFMQQARVKEALYNGLIQIVTSDPSIAENVLDFLWPHFLNYYTEHAECPLKIDSCFKIENAKFNKIVNVNDHEIHIGSASGLLLRRIMSYCQVGRLSSSDLFVKALSNTQKYLRKCLAEDQRGQTQETCSLSSHLDTAHCHNFAMIGIIEVFIGFAASKLEKVADEQKEMLEKEILDLIDAHSSFERKKSKNKEKIAQRAGNSSDSTAKQTNGPKEYYSATLQKLNERRETFMDSSLYELVRVCVKQCDADNLEKCSQRPTQSKLNQCYSLLSFVLKACHRMFKSLAAKGSGATTGNVRTVLYEDVKKLVGPMMQLIWWIMLDSKQENGGTKRNLTQGKKHMDSKKDQLYLALTCLTEMSKLSVPEDRPGDIIDVLVSSAPPNIEDMVHCSQLLGRNDTDPNTGSVHVFLNILKMLYVRVISQSLPRESEAVTELILGVSRKLHHEQSHLVGHWAASLCQKTIVQNPSIAQEIVKLAIHLMIAPDDLVLVHEMTAELKLITTGEEDSRDSSETFPVINCKTKNSLAAVFLQMVESSLTELDWVIGKLKVMLALAYDSANIDEDDQPADERTQRLYLEEALYSRSTSVVHVLSSFAHTSLKDSQAEQFLKLTAKLYKLLARMAKSQIAPKGYKQVMPGLKFQKLAEVTCRTLTAPLYVFVALVQENQQASKRGILARIKRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFKIQSKDELERNSTAARAASSENMPEEDAEGPDAPLETNGDEDPQASARSDNTVEDSESDEEEERVLARRKRAKTNSIVQDSDEEAEDE >KN539223.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539223.1:29877:34145:1 gene:KN539223.1_FG003 transcript:KN539223.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLSSFRRGKPRHDDAGLGVYRGWVDGRSRDLSVANAMDDDDAGFGPRLVVRWRVGDPRKAALEAAAPRPRVKREPYYKEALEKARSHDKRLGELASQVNLQEEKLAELRKAAEPPKEDLSELFTPLTAEEENEVHKCLFGRGSSTEILALHEPSNIEVSREKFRCLRPTAWLNDEVINLYLELLKEREAREPKRFLKCHFFNTFFYKKLACEKNGYDYKSVKRWTTRRRLGYELIECDKIFVPVHKDVHWCLAVINMKERTFQYLDSLGCVDHHVSRVLARYIAEEVKDKSNKEIDTSTWHEELVDDIPLQQNGWDCGMFMLKYIDFHSRGLSMSFSQENMEYFRKRTVMEILRLRAD >KN539223.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539223.1:63784:64909:1 gene:KN539223.1_FG004 transcript:KN539223.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASLEKFLQERIKVAGGKAGNLGESVTVSRDKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >KN539223.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539223.1:87705:91699:1 gene:KN539223.1_FG005 transcript:KN539223.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAASTVLAVITVAAAAACAVASAAQGTKLGRLVVTGVVPCNTGTLIDAATSPPFPDAGVQMLCEGRVVGGATADGTGAFTINMGPLNATMLMAMAGNQCKVVVTTPLAACDASLAAVAGTLTAPVQLLGGTGGLGGLGGLITLITQILSGLLGEILNIIPLPFSLV >KN539223.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539223.1:9679:19819:1 gene:KN539223.1_FG006 transcript:KN539223.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLAVAAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPIFEQLPEEKSSTSPFSSTWCSVQPGQHSLIKDFKDIFSSKEAKVTSFYFGKSRPPLMPVEKDANVSIIAGSSSDPDLVSSLCKTMEDQFNSEENTPFAWCQLLKEDLKNATIDPSDISETFDSCPYYLSENTKSALQSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGVDSLCLDAPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQGMQDFDRGTDKNKETSKATKHLTKLFPNKVTIQPPKDEIERSKWNRMLDRDVEILKGNANISKIRSFLLKMGLESSDLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESLKHGVDMLENVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQVCASVSSDSTNMNELIQWNDLYGEGGSRKKTTLSYFM >KN539223.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539223.1:120948:123205:1 gene:KN539223.1_FG007 transcript:KN539223.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLIVKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >KN539223.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539223.1:66064:83253:-1 gene:KN539223.1_FG008 transcript:KN539223.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding METRELEHPLTEDAGMSKMPMSSSRRFLTPGQNPAQLAAAYPSRHSPSRKGRVKLPNYPYHYRTEKLGERERERVESRELTGAGERRDGRGGSRGGGRRRAGRAPRRRRLDALGPGTSRGSDEDEEAGSDDEGAHDVYEYEEGVPEEEAGKNGRYDAVEKYEYEFDSDASNADEDVPSDEGEDMEEDDAGEDEDEEKQIRILQETTGMPREAFDGGKRKKQPLELPFQPGVGDGPVTIHDLLNNIQGKPGYSKLRKRLQQQEKKPMVVQAPLAKVEREKLERGVVYQQSKKEVTKWEPLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKQMAEIMRSTEMMEAHKNDGVKILELNKIDMEDVRERQNRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKLKAASADFEADPEAAKEHAMKQEFKRAEERMRLKHKNTSKWAKRILKRGLDVQDESTRAAIAAQLQQNALLTRKMNSTKDDSSSSEESSDDEEDDNESEANILNKGKEKILKILGDDNEIPTSGVFSLPFMERAMKKHEEATYEEARLALEECDESLRKLEDGNTEENGDSVKVTGKRTFGPVKDTNKVTNKKQKLDDGDKNSDSEYESDSAQHLDDNEVHKIDDVQIGTALLDDDEPQDDLFKSFDDIIKNPGRKTTVEVGMLADNSWKKFKSSKGNDGSNTNGDIDKSTVKISYMADQKLKQLDHNSDSDSEDEMVEGLLTISDAKDNYKIPSQADLIRQAFAGDDVEAEFRKDKLDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMVKEHENAKRKREEALKRRKDAKLKHVIISEHVDKKAEKLLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVAALNRPAIVKKPGVVIKPIQYEEVDPHEKPDEPKRVIQRAVPNPKAKRTSAKQAKAIATNKRKPEVVIPELARAAGAEAVFAHGEVSRDECRAEEKVSKAVEKEGIEVKYFWGSTLYHLDDLPFRLEDMPSNYGGFREAVKGLDVRKVLDAPEEVKCVPMKNVLEPGEIPTLAELGLTAPPAMAHGSKPAVGSTLIGGEAEALERLKKFAAECCMQPNKVDKDNTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHASRAIPSGSTPKNGDGTSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSEVAPATGCTPTPALA >KN539223.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539223.1:36274:38345:1 gene:KN539223.1_FG009 transcript:KN539223.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDAATGDATTATTTVLVGVDYSEHSYRALEEAARLAAASFFEAHIHMDQSNWLVWWAALREALAQVEVKVEVKDGEAKRVLCDAVGEHGAGLLVVGSHGYGPVLRALLGSVSDHCCRHASCPVMVVKMP >KN539223.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539223.1:1443:4123:1 gene:KN539223.1_FG010 transcript:KN539223.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding VERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVRLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEEWDKVAGVRRPDIM >KN539223.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539223.1:108581:111577:-1 gene:KN539223.1_FG011 transcript:KN539223.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFIGIVGNVISILVFASPIATFRRIVRSKSTEEFRWLPYVTTLLSTSLWTFYGLLKPGGLLIVTVNGAGAALEAIYVTLYLAYAPKETKACRRASSAYPSMAPIDRQRERGVISSVVSGEDGEGGAGRERGRAGGGGGGGAGGAARRRAAVRGRRAVRGAHHRHRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDYFIGIPNAIGFALGTAQLALYMVYRRTKKPAGKGGGDDEEAQGVARLMGHQVEMAQLRKGLSLSLPKPAAPRHGGLDRIINVWGV >KN539223.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539223.1:125797:126605:-1 gene:KN539223.1_FG012 transcript:KN539223.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGQSQEVAAPLMWNFDDITAMPMNSFTRVQDIREKLNCHISNVMIEKKNVDDWSRSNRETVRPAQAFVQRRGCLLLMNAGGFGVLFF >KN539223.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539223.1:42627:46636:-1 gene:KN539223.1_FG013 transcript:KN539223.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEEACAGRDGYNFDGHRLRVEPAHGGRGNGGSSFDRPSNFGGGGRRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSRSLSKSPRTRRSASRSRSRSRSVSSRSRSASKGRSPSSIYFLGNFLLKYSFYYKLYELLSLICFVKTRLSLHFIWDCAAIWGLRIRILRMGFPQFALGCMRSPARSKSPNASAKSE >KN539303.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539303.1:98076:99158:1 gene:KN539303.1_FG001 transcript:KN539303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESISASTTLVQFTYDEIKAATGGFARESIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVAIRGYCIATTEREGHQRMIVCDLMHNGSLHDHLFGAGECQMTWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDDFEAMVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQNFVLSEWAWLLVRRGKTVDVIQEGMVEPGPTEVMEKYVLVAALCTHPQLHARPTMDQVVKILEADSASGPLIIPERPLPVVANLAEIERSASSSGSGQLFSPSGFRSFTHINEDAALESPKEE >KN539303.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539303.1:49476:55950:1 gene:KN539303.1_FG002 transcript:KN539303.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELSAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQSFCAHRATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >KN539303.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539303.1:25903:28089:-1 gene:KN539303.1_FG003 transcript:KN539303.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWFLLLPSAAAAQQVSAAAAAAAREQEQTLRLFLQDIERSIVFGICKNPETTEFFRDHRRRLDDYFAAAKNLLQMLEHPALASGDLHNRAKSLLVTAMGSLAVELCHLKIWKPDALASYLGCTPTSIWELARSSCRGGGGGSGSASSASWMSTSRSCSGGSSDPNGVSFDGYYMALSEERTVRSGQALSVTASHIDLKSVSTLNKIADFMIGVGHEQMLRGAFDQHSEHLVRYIEILDIDNILGNHMEESTELLLKVWTSTMRTVFSVLDEMRTQLNQKDHGTFSSLKEDYFSAIAKVGPNDPSCRDTKHYPSKMVNVLIMFQALEYAKMEILDLFLGQTKGPILMEIKRLTNGLSAVFLELLVELNGLLRTVHMMLNDNPDKFGQVVIQLISSLEFLLDMNSRSLALQGQQQVFLLNNINFVLEQANKYTDLKLILGESWCLQRHVQLDQFMASYVEASWTPVMSSFIITRIPKILWPQQLFDKFNSRFEMTYNVQKTWKVTDPVIRQKLREKITQKVIPLYRMYLESYSDKKQKSARFNVEHLEARLLEIFEG >KN539303.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539303.1:5437:6532:-1 gene:KN539303.1_FG004 transcript:KN539303.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKASPSSFSGDPPPAASPTTIDSFCDDVIAEFLVRLPSVPSLARAACAVKRWRRVASSPAFLRRFHALHPGQAQPLLGHYYYTECSSRPVFQPVQPTFSDPELSAVVRHGDFFLTPVTSMGRLQVEDCHQGRLLLRNCDTNELTVFDPVARRVLAKLTPPWHGNKRAFHHNSGSFLIPGRVGDQAASSSSFRVVTMQRSMHAPGRICWKRLRGGSPNSLVSLDAETMGFPEVVPPPGVLLGPSYAVGDTDDGSMCLVNMEERGTTRLVMLSKEKMAPQSLASWKVWMRRPRVGAAPRTGSIADDVDRRRC >KN539303.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539303.1:21049:23308:-1 gene:KN539303.1_FG005 transcript:KN539303.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEPLLARHRPPRHWLLLISHRHSSRCRLQRLSCHRCLGTAVRHRAWPWPLLVALQIAGGVLDDETKQAEASPMPSVAAATAEWYSRPKMENGLALRTVVLSACAHTRKVGECWEYFYSMKHKYGIEPCEEHYSCMVDVLARAKNFEKAEELTKEMPFNLSSIGWTSSLSACRTHGIMDLGARAAKEILNLTPYSASTHVVLSNIYATVGKWEEAAQIRKLLRNRGIRKKPGCSWIDLGRIVHIFVANDVSHPRIKDVYKFLEVMSEKMKLAGYVPDERWALAKDHAAGGETRLRHHSEKLALAFGLINTVEGEPILVMKNLRTRGDCHNAIIIISAITFRQITVRDAHRFHCFSDGSCSCGDYW >KN539303.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539303.1:76391:79909:1 gene:KN539303.1_FG006 transcript:KN539303.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRDESVYLTKNVLKRASEPAKGSRVYAYTKTADDLPHKHTLSISAMPAYISKSHEELRHEDYQRGDKGGDGLQKIMVNSAPVPPMPMLSSVGAPVNMINSSQPAFSLKSKTNFSTPFSPAATADQQSAQLQFSTQNHYYASNPFWPAPIGSEPTTSSLGPTSCPATRHGDAPSTGFACQENVFSNSAAYTSTINADVPTNTIDLLLPNNIRLVHCHHDAKTSETPISLCIYPGENQELIIKSMVQPAKSHTGKQSSPTGGHPDDQSDRSKVCNSAAGPPSSGPGENQKGNSSAGHKTPKSPLAAPRCEAIAESVLPRLYSADYYTVPSIVELAVRERDEPGYCSHVKGFTVGRHGYGSVKFDGETDVRKLDIGSIVEFNEREIIVYRDVSNTPPVGQELNKPAEVTLLNVKCVEQKNGLQFAEGPAVDKYKEILVQWTKDHGAEFVSFDAAKGEWKFRVKNFNM >KN539303.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539303.1:13029:16232:1 gene:KN539303.1_FG007 transcript:KN539303.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIILRKTNCCLYKSASQALMPANILLCKILKHEAGHFLIAYLLGVLPKGYTITSLDTFIKKGSLNVQAGTAFVDFEFLQEMLNKFSCIALAGVATEYLLYGYAEGGLADIGQLDGLLKGLGFTQKKADSQVRWAVLNTVLALRRHKKARSQLAEAMSSGKSVGSCIGVIEENINSEDI >KN539303.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539303.1:48010:48210:-1 gene:KN539303.1_FG008 transcript:KN539303.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRGEKARARGRTRRAYGAREFGAEGAEVSPHARGGGIGEEAKLPRKRKEQEKGGGDSWERREPV >KN539956.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539956.1:61656:64366:-1 gene:KN539956.1_FG001 transcript:KN539956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLRSPQWDILAEIGSVGVHFTIFTLVKIRLLEHGMIMMLSMTNSTLELFNARNMLEKLRNRRVVFVGDSIARNQWESLLCMLAAAVPDKSSIFEVNGNPITKHMGFLIFKFREYNCTVEYYRSPFIVLQGRAPAGAPAVVKYTIRVDVMDWMSGRGKWKDADLLIFNTGHWWNHEKTIKSGAYFQEGNEVKMEMTVRDAYQRSMQTLFHWLRDEVNTNKTQIIFRTYAPVHFRW >KN539956.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539956.1:53198:55813:-1 gene:KN539956.1_FG002 transcript:KN539956.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGEEEMVPVVEDGDEFGDDGWEFKAAPSSDGGRANGDGIETNCPHCDVAITANMISAAVFGTHGFIQWHCRDIVLCGNCLLKAFYQRQTGDKGKDVPKSMGSDQEDWSLFTSVDENLNHVRTTNHIETCKSSGPNNAAILNLYKETELVDAVHMTRSSSESAQRSMFSNNEMEICEKLPEGFCVEEHTAKDVFISELLDSAKEVHLKDFEEEYHLTEKDTDALEDMSLAVELYKHSVSTLHTLEQASKEEQRDYVRAWYRMLLFCAQELQHSVVLWQESCQSNVCNVVISQGGQFFIALGEIYRVAQILNLSLQSFKPWVIWDGDLPDIF >KN539956.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539956.1:43865:46251:1 gene:KN539956.1_FG003 transcript:KN539956.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXC1 [Source:Projected from Arabidopsis thaliana (AT2G36570) UniProtKB/Swiss-Prot;Acc:Q9SJQ1] MAMLLLLRSLEFLAIMAVASSLAMPVAAAAAVPQPEPELRALDLRGNRLNGTLDTLLLGVPNLKLLYLSHNDISGAIPDAIARLLRLLRLDLADNSLRGAIPVAALANLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGLAPPLPACSFMPREPAPTSPSVPSSPQSVVPSNPAASSSSVASSSPALATPESRDGPGKGGLSTGAIAGIVVGNALFLFAMLSLLVALGTVYRAVLSDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACVHREYRTSAIPHGNVKSTNVLLDKNGVACVADFGLALLLSPAHAIARLGGYIAPEQEDNKRLSQEADVYSFGVLVLEALTGKVPAQYPQPSPVVAADAAAADAQRKDKRCSTAVSLPEWVRSVVREEWTAEVFDVELLRYKDIEEEMVAMLHVALACVTPQPEQRPSMADVVRMIESIPVDQSPFPEEDRDISTMSPSIGITTDDGDGRLSC >KN539956.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539956.1:4420:7682:-1 gene:KN539956.1_FG004 transcript:KN539956.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQRQQATACLSEQQIVPWLKHNKKPSPQQQRRRKGEWYALSAGGSFTPHVIIVATGEDVAARIMSFSQKGPRSVCILAANGTISNVVLNQPGSSGSTFSYEGCFEILQLTGSFTIAEEGVRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVKKQQQQLKNLDKINRFFDNVIVGSFLPNNLKQHQRRMGLHPQPSAAPAFPAPMAPPHPPPVLTAAMPISQAAPGNNGCRSPQVSISSMPPQAHAGVEQSRGAMNLNSSSSSTGFAMVGWPAAASSQSMGHRPSPDINVCLTPQE >KN539956.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539956.1:27025:29339:1 gene:KN539956.1_FG005 transcript:KN539956.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPRHLLRPLLRGLHATAQSLARPEPHELSNPSEHLGSWGDPAGGVIVCESIHVPLYQCSYLLVVCVHDYGQPYLVFKDIYGWSHQFLQHSYFMMQ >KN539956.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539956.1:56794:59114:-1 gene:KN539956.1_FG006 transcript:KN539956.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHLENCASYDEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDKVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMTGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEVPRKLTDNVKLQGKARSDMTVEERIEAADRRKLEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLVKLFKRKDE >KN539956.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539956.1:24168:25184:1 gene:KN539956.1_FG007 transcript:KN539956.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEDAMVSLASTRLSWTNSFVGSVHSLVYPSDFAADTHTPRRSLLDAKSDKLSNGGEHVRRFLVSRSQSLRQLKWPMAGKHLIGCMVSGSRSPGSERWKIHGDGDLPLSFSYMSASNDDYSSINSPFQGDHTNSNLSLVFESSSHNWVMNAPAATTLGATALALHYANLIIFIEKLAVAPRHICPDERDALYNMLTDRIRASLRARLRPIAKNMAASSSSSSSACDPAMAAEWSDTVQRILGWLAPLAHNMLRWQSERNFEQRNVASSGTGVLLLQTLHFADQKKSEAAIVELLVGLNYLWRAGRELDAKAKMLASAGGGNRDDFTDYSSNRMECR >KN539956.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539956.1:48704:52349:1 gene:KN539956.1_FG008 transcript:KN539956.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKVAIKSTKKLCAVMLDTVGPELQVVNKSEASISLEENGTVILTPDQGQEASSQVLPINFAGLAKAVKPGDTIFVGQYLFTGSETTSVWLEVSQIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRKWGAPNKIDFLSLSYTRHVEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNNFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKVSGVIKSHDRVVVCQKVGDSSVVKIIELDD >KN538701.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538701.1:249923:259061:-1 gene:KN538701.1_FG089 transcript:KN538701.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVDGERPLLREAGEAAGEDGGAGGVPEEGDAKGDVMAAAAAQGGDGGEAVEAVDGDGSKEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLVASRSLAVEGDEEGYGSCADIGIEEDTEGDASRERLEEEAMAESIREYVTEAVLADHIQEQPEKSKSEKVSQHKSQTAKSREEGPKQSGGEPSVIVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVQQTRANGPVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCNILVLGKTGVGKSATINSIFDDARLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGQNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRRKIMKKMAAEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNGERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKNSLLSPSPESHNAAPPASPGTAARGVATGAHSGDGEHLRAHNYPEDVAGLVGGKFHASKLRPEQVSTYRKYEREQVEKNLDEYLEQCTKMKVKCEKIVIENEDIANGITELILLHGVSKLVMGAAADKQYSRKMKLPKSKTALSVMVKANPSCKIWFVCKEHLIYTRDFVAPISPNSQSPDTIKGSISNLAARGGTTNQYANNAVNGYVQRSMSEMVVPASSRESLSRLNMEGTSVDSWDSFRRGSFPSSYRASSTVTEEVLSDSSSSGIPRDGISTLAGCDFPNAALHHEQGDAGSNANLFDKLEEAFAEAEKYRKQAYDESLRRQKTELISYHQKARKSEDLFLNEAKQRKEVEETLAKANVGIQLLKEEMDALKHNRDDLSSKLSEVSEQKVTLEQQAVEYGSIIIDLKDTVAASQALIDSMQMEFEQLKHERDNALKHAEELHREKQNMVSSSDLEWSTEFSLLELQQATQNFSDAMKIGEGGFGCVYRGQLRNTTVAIKMLRSQNLQGQSQFQQEVAVLSRVRHPNLVTLVGYCSEASGLVYEFLPNGSLEDHLACENNTSPLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLDANLVSKLGDFGISRLLNRSSTVSTSFYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIIILRLVTGKPALGIAREVEVALDKGELELLVDRSAGDWPFVQAEKLMLLGLQCAELSRRKRPDRMNHVWSVVEPLVKSASLPVEPESIGHWVNKNRTPFYFICPISQEVMRDPHIAADGFSYEEEAIKGWLGSGHNTSPMTKSTLEHLQLIPNLALRSAIEEFMQQKQQQIPS >KN538701.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538701.1:219633:222300:-1 gene:KN538701.1_FG090 transcript:KN538701.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFNFNRNGPSGFSGASTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRADIPGAIVDVLEMDLSSMDSVRRFASEFDSLNLPLNILIFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLDTPSSQASNAELAKKLWEFSSNIVSAAKL >KN538701.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538701.1:317196:320862:1 gene:KN538701.1_FG095 transcript:KN538701.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDAKFLLDTKQVSRRHTFRELADGRHSVKNSSDFVETDDDDDNKEECASQKQTVKKLMEDELGKVNLLKKNPSNEIQRGLPDLGYDVSLDGGSEHTNKPVAALNQHTDIFTSYLSGSVYSQGSKSLNHSEEYDLEAVLANFLGEIYRCHGECPHGDCKNKSELCPSLKSLIHNKLNDLNNPHATHGNEQSQESKGEGLLGENSRSNSRAAQFKEFKDAVEILSSNNELFLKLLQKPNSHILDNIRKYQNSRLTTKLEPDKSLGRSSILEEKRGSNHELATKAQGKETKHVFFWRKDRSDRTQKPERTNRPQPVSKIVILKPNQGRRIDETETTSSRYLHQQPCTSQTPEFSGRESSKFSIKEVRRRFKIVTGDSKREKNAIPAENLPGDSHQLKDSVIEDKDPRHLTEGSLPDKAASNFKNGIKPSASSKQKQQNDSQSEISDHTTGASIFYEKAKKHLADMLKNNSQSASYPTAQVSKSLEGMLSQPHYNVSPPRSDHREKCHNAFSPEEPEVCLVKAVDVEEPAQERSQLHDNSESNAYSTSVAVDGQVAVLEECGIKEDTREGIIYATDEVDIVPVEGVGKLDCSKTICNIQCIPAEQYTDSPLPEILEGTEGKEHVQMFMSSPESMVEKLEQQDPKTPEPKSSPKLPDGCPEQSNEKKEQPSPVSVLDSFDEDDSSPECKTMEKYELHEVSCGTLYFPDNESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDRIDDMKILFDCICEALTEIQERYFRLSSWLSFVKHDIRTPPVGEKLISEVDKYVDGYLKCSFPSTLGQIIKRDLEVQAWMDIRSKTEGIVVEIWEFVLDELIDEAVFDLWI >KN538701.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538701.1:286132:290449:1 gene:KN538701.1_FG096 transcript:KN538701.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGAATCGALLAAAPLSGAWRSRLPLSLPPSPPFIQIQNRLYSMSSLPLKARGVRRCEASLASDYTKASEVADLDWENLGFGIVQTDYMYITKCGQDGNFSEGEMIPFGPIALNPSSGVLNYGQGLFEGLKAYRTTDDSILLFRPEENALRMRTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVKGTILPGITRKSIIDVALSKGFQFFVKSSINVFGFLKVEEQLVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRVEYAGNKGVGVVSQQLYTSLTSLQMGQAEDWLGWTVQLS >KN538701.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538701.1:213073:215493:-1 gene:KN538701.1_FG097 transcript:KN538701.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFNRKGPSGFSSASTAEEVTADVDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVAAGHRASEVIRAEIPGAGVHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVKYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQVWNILCVSNLMVLLYRVLQRHVMWHYILTSPG >KN538701.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538701.1:242975:244020:1 gene:KN538701.1_FG099 transcript:KN538701.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 32 [Source:Projected from Arabidopsis thaliana (AT1G11760) UniProtKB/Swiss-Prot;Acc:Q84VW5] MEATVDELSEAYQEFVAAAAAVVEARGQSGGEKNAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGASSSSAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGDF >KN538701.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538701.1:261255:285034:-1 gene:KN538701.1_FG100 transcript:KN538701.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MCNALSTKQVVSTLNLFLGLCFPCPEHLFQCNSVLGKKGWIFTLNKARGVATGGSGTLISIYPEDLTFLFTLQAQKECPPNMQCKDKFLIQSTKLVATSTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSFDDSSITSLGSMSFKLGSDDLTMLRNASIEEIVRAIVYFHCQIYETAIQFLEIKGWIFTLNKAKGVAMGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEIDKVIEEMKLKVVYTVPSGSFDDSSITSLGSMSFKLGSDDLTMLKNASIEEIQTIQRLKDERDTTLQQNQQMQRELLTRHVERARRRQQAEQPEITPDHPLFSSPPSSGEAGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSAPAPSQPEEEDGLADELSPEEVIDLDEIRRLQGLSVVSLADEEDEEANGGGGGVDYGDDGVPLDDDGEVFDVADEVGLEGARVRYPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLYVCVGEEGLAGLTEADKRGAVAVVADQTVDIEGTLACRALRLMATMLYNGAEAAVLEATTDGMPSSGVDSEIDYDIAVLTNVRHAGDEAGMTYEEYMNSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGQDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILASVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIVDHARTPESLSRLLDGVKELGPRRIVTVLCIEPPAMMFDVVIGCCGEKERGKRPVMTKVAAEKSDVVMLTSDNPANEDPLDILDDMLAGIGWTMEEYLKHGTNDYYPPLPNGHRIFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKKEFFDDREECREALQYVDQLHRAGIDTSEFPWRGQLSSMDPATCTAAVAAKEVEKVFVALPAEKGKTTLSWALGHFRGSGAKIVVTHVHVPPQTIPVMGVQFHVSNVSPEQVSLFRRTEREKVKCEKLVIEKEDVVAGLLELIASHGITKLVIAAAADKHYSRKMDRPKSKIATEIMHRADPSCQIWFNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEAITDKFILRQRTVDMKSDLGATGQVIKPQQEYLQLHPDHDNGVRQPETFLHRRGLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSVIQDYTRRKQYSFS >KN538701.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538701.1:292203:296762:1 gene:KN538701.1_FG101 transcript:KN538701.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDPDDGALARPRRQLSIASAADSLAVFALAKLIDGRATHQADPQLALALALAFAICARFQERSITFDSSSCHKLGDDSDAFTTKRADDFSGSYFAGLQTWDDPTGPSDEYTFKELRDEFIAACKNCNLPWAYKMPMPEHLERLREQNECKMLEIPLHLYAKQKNMQPAELEIMELKERSLFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVYLCCPLEENDNGHCFGCREWDVALQHPTSADYLGGHKDICEPYIDTDDEYFEFIGEEDPGLQLLDNYDLTRPSRFKNAQELRDAFVAACQRDAKPFAEKWRLPEDLERLRRQDHCKMLKIALRVYAKQKNIPPVELEIMELKEYTRFREHGKVYGHYNFVVKDSDGTLTLFFAEVDINCKEEKDVFLCCPLEANDNGQCFGCQRWELELKHPTYAKYLGGHSDVCYLPVGIDEEYECYM >KN538701.1_FGP102 pep scaffold:O_longistaminata_v1.0:KN538701.1:299954:310036:1 gene:KN538701.1_FG102 transcript:KN538701.1_FGT102 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPQPPSDGNPDDDAEGALATRPHRRPSTASSAATSLAVFAVAKLIDRAKRQPLPSTSTAPVDPRLALTLALAICERIQERSVTVYVTLDSSCRPKHRDFSEGDFAGLQIEDDPTPRLLMQYISDTGLYVTLMATYKSGVFPSSYDILFPEQQEEACKMVEIALHAYAKQKDMPPAKLEIMKVKERSLFEECGKVYAHFNFLVMNDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDNGSTLERCYNIVMYTERAISIDLDGYQVKDCIARFQDCEEEFNKLRDAFVAASKRHMRPLTLPKEMEVLKGKRDVKIAKIALHAYAKQNNIPAAELEFVELKGSSFFHEGRKEYRHYNFLVKYSDGTTTLFFAETCPCCEEDSGIYLCCPLEENDNAKEQAILMDLNSVPGSDSDTSIPKIDNDSGGEYVGLQPLDNRDSTRSSPYKNDQELADAFIAACERYAKPFAEKWRLPEDLEKRKRQDECKMLKIPLRVYAKQKNMPPAKLEIMELKEYTTFDEHGKVYAHYNFVVDLNCREEKDVYLCCPLEANDNGHCFGCQGWELELRHPTCAQYLGGHSDVCFPYVGLDDEYEYFM >KN538701.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538701.1:228859:242217:-1 gene:KN538701.1_FG103 transcript:KN538701.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDVRKVKAEKEVFFFTKIDEGLLHLIEIYGVTKLVMGAASDRHYKRKMKAPQSQTAISVMQRAHSYCNIWFICNGKLTCVREASCCPVKRSKSARLPSSVDSCKVDLQSLLEPNIEAKRLGCMYINEMELRKETEAKLSQEKEESESLKHATMVLQNDLDWLKYQLNEKANRLQDLNQQKHLLEHRISESDSVATYLEESMKVTESRVQSLKLEYSKMKRERDDAVKEARSMRIEKELTNSCAYGAISSEFSLMELEQATQNFSNALNIGQGGFGSVYKGSLRNTTVAIKMLSTDSLHGQSQFHQEVAILSRVRHPNLVTLIGACTEASALVYELLPNGSLEDRLNCVDNTLPLTWQVRIQIITEICSALIFLHKHRPHPVVHGDLKPGNILLDANLQSKLSDFGISRLLLESSVTGSDAHYTSRPMGTPAYMDPEFFATGELTPQSDTYSFGVTIMRLLTGRAPLRLIRTVREALNDDDLQSVLDHSAGDWPLVHVEQLAHIALQCTELSKQRRPDLEHDVWEVIEPMKKEAHSPLSQPFRSICSAIETPTPSYFLCPISQVLQVRKVIMRDPQMAADGFTYEADAIRDWLDKGHDRSPAAEANLRKQLEQTLAGEPSSPLHHYNLGVFLWDRAEAAAREEGEEEEEVRRLRAAAAERFLAAAKLDPNDGVPFRFLGHHYARAGDAQRAAKCYQRAATLNPDDAEAGEAVCDLLDLEGKESLEIALCKEAAGKSPRAFWAFRRLGYLQALGLAYHRLGMFTAAVKSYGRAVELDGSKVFALIESGNIQLMLGYFRKGVEQFRSALEMAPQNHSAYFGLASALLAWARQCVMTGAFGWAASLLKLALARCFPWDDGNIKGGMDDGTFRTTVLEWRNTCLLAANGAKFSYQRALHLTPWEANIHNDTAICLDLIYTIEENNSLDPITWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSFIRALHLDMSLSEAWAYLGKLPEFQIGLGTISACSGELLSPQVLMAVRQAVQRAPHYPESHNINGLVSEVRSDFQSAIASYRRAKFALDMMRNSKADCRCHIADISVNLARSLCKAGLATEAVRECEELKRQGFLNDDGLQIYALSLWKLGRHDEALSVSRNLAENLSSMKQESATAALGFICTLTYNISGKDSAAAVIHKLPGQLNYSTQLKFIISALDALQPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGNAIAGESDKFLRVDGGLSYLKKVLHMYPDCSLVRNQLGSLLLSSEDWMASHKAVRVTSLSRGYTSDRGLRSPHQIQACAAVSCYATCTSYPKFSFPTCEDQYLSGYNAICRLQRWVHLEPWNQDARRLLVLTLFQKAREEKYPKHICTILKRLILQVLSSGSNSQDNKVVQYGNYLLLLVASEVSLQSGDHGNCIAQATEALGVTSSSVDSFFAHLQLCRAYVIQGNLLNSRSEYMKCLQNRTDTEIGWVVLKQLASICSLEGTPDEIEIHLRVCVERKGSNASKWTSLFYLACAQCSVWNEDFASAEKAIAQACAEGDPDSCLLFLNGAICMDIAWRFAAPQFIARAASSLRKAQQKSLASLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELRPAELYFQMHLLATQSSAATSQQNQLVETMQTPEKWLLRAIHLNPSCSRYWTALMQLVYV >KN538701.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538701.1:247363:248821:1 gene:KN538701.1_FG105 transcript:KN538701.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTNIVLAVAVVAALVGGGSCGPPKVPPGPNITTNYNAPWLPARATWYGQPYGSGPADNGGACGIKNVNLPPYNGMISCGNVPIFKDGRGCGSCYEVKCEQPAACSKQPVTVFITDMNYEPISAYHFDFSGKAFGAMACPGKETELRKAGIIDMQFRRVRCKYPGGQKVTFHVEKGSNPNYLAVLVKFVADDGDVIQMDLQEAGLPAWRPMKLSWGAIWRMDTPTPLKAPFSIRVTTESGKSLIAKDVIPVNWMPDAVYVSNVQFY >AMDW01039018.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039018.1:89:611:-1 gene:AMDW01039018.1_FG001 transcript:AMDW01039018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTLEKTTTIRSDAVVAADAAVDRAAALKSPLLEGKKCGDGGVAKRCCERKYELVSYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFAVHNETINVWTHLIGFFMFLALTVCAATMVPMESSATSVTMANNTGNPM >KN540123.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540123.1:55610:60606:-1 gene:KN540123.1_FG001 transcript:KN540123.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKPFLETLGGNMKETFLPDDPFRVVRRERGCGRRAAAALRYVFPFMEWAPSYTLGTLKSDLIAGITIASLAIPQGISYAKLANLPPVLGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSEEVSAAEDPALYLHVALTATFFAGVFQALLGVFRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMFGLDHFTTATDLVSVMRSVFSQTHLWRWESVVMGCGFLFFLLITRFFSKRRPRFFWVSAAAPLASVIIGSLLVYLTHAENHGIQVIGYLKKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAVHLWQVDKVDFCVCLGAYLGVVFGSVEIGLVVAVGISILRVLLFVARPRTTVLGNIPNTMIYRRMDQYTAAQRVPGVLVLRVDSPIYFTNASYLRERIARWIDDEEDQCKEKGEMQIQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQIVLANPGSEIMKKLDSSKVLEAIGHEWIFPTVGEAVAECDFVMHSQKPGMVMGSGGPHENMV >KN540123.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540123.1:40506:40981:-1 gene:KN540123.1_FG002 transcript:KN540123.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKSEKDGDKKTQADQNPDLFRINYLRGNLRSTEERSFSATNLFKKKKMEMEKSDKVAVNVKYDYNVKYLPIVLKPKTTQFVQIPKCQ >KN540123.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540123.1:29907:30704:-1 gene:KN540123.1_FG003 transcript:KN540123.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIPNPIFSGGRIWCTYIDADPRPVKPISSISDRERGISGGQIPAPLMDTPATNQSVEDKAPAIDPSERHPLEEDKASVMDLSGKGKALVVDPSDLGVCRTEVKIPASLVNPSGEGKAPMEKKKKKKTKTKMARFTQAQINNCMAFKEEMPDFDNMPSIIEILGDDLAKCSQEYIDELKAIDDSREEDKKFWIEMNRQIREEREGILNQYYTKGYAEYEVDDDEDEDEGNKGHARVAAPSGRRRFRHGVALKKNQSGGGSIRKI >KN540123.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540123.1:1060:1356:-1 gene:KN540123.1_FG004 transcript:KN540123.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAECECCELREECTRGYILGVKADFGGRWLCGLCSEAVRDEAAKLGRNGGGGGGGGGMEEALRDHMSFCGKCRKNPAFRVADGMRQMLLRRRSK >KN540123.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540123.1:17680:20724:-1 gene:KN540123.1_FG005 transcript:KN540123.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDMRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >KN540123.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540123.1:42838:54584:1 gene:KN540123.1_FG006 transcript:KN540123.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGPLPMAESSQQAAIDGCTWVNRVATSLAANCSSTTTTTVSTCPCCCNGGGPCEPFSARYTLHAADSGDHRERQMGGEMGSCIACCLALPPLATACPPLVTATLRASAMEYHEWEVNCPQLEGKFGVLFRLLALRFAWKHDIFCCCLNAYFEEVETSFVVTYDLPRCCSILSTKDIETDTSCLCGSLLAHLCQWLLWSGMIQIPPSTHGGGLAISAPKIPNGFKRTLQPGIKLFTFDHSKGMCLETIYQFYDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEDSPSSGQDVEPRTPEVLMPTRAPFDLDDLQDAAGVSPHLLTVKRNGTQPDDIGFSSSRKGLKQFSDLFAGSDSSQRVNFSDGKVRKGLNFESPDVKGKKDDSNDIMNLQHEVSKLLTERQSLKEQISSESQRANKAESEIHSLKDTISCLISEKDTTLLQYNESTRRLSVLECEISKAHMELKKLSDDMAMEVDKLKCAESQNSAMQSELETLDQKVRVQEQELEQSRKEIESFHFSLQDEMAKRKQAEDALCSLEKQYAQSQKEINRLTLDMEMANDRLNDFNLVKLNLENTVCELKKEVTSLEVKIQILVQELEQKREEADVMHAQLQDEHSNHMQKEAALHALENLHSQSQEDFNLVKLNLENTVGELKKEVTSLELKIQIQAQELEQKREEADTVHAQLQDERSNHMQKEAALHALENLHSQSQEEVKQMARDVEHSNKKLSDLENNNLKLHDLSQGLKKTVAELNSMKDSALLQQQKSSEKVSYLEAQVLVVRSEMEKMVQKTQMLDQELEHKNKEISELQSSLQEQVQKCILAETSLLRLEDLHTNSQKEAKTLAHDLERLSKQLTEVENDRLDLQNISRELKNTILEINSEKDLMLLQQQHSLERQSYLEAQLLDALSEVEENKKEAQLLEENLAHKNDELNDLQNNLEEEGHKRMHAEAALSMVENLHSKSQEEVGKLVMDLDKLENELSELQGRNSRMEELSYELQNTISLLNSEKDAALLQQQLSSERACDLMSQLSKIQLELEKAEEKMQTMEQKLADKSEMVDFLQLSLQDEGKKRVEVETALISSGNLYSQSQEDVNRLTLEIERLNEMLNDMENKSSEYKSTILLLNSEKDMSVIQYKQSSLRIYELESKLSGVQEELDNAEQKVQMLDKELKEKREVVETMQASLQDEAQKRMKGEATLLTMTNLHTQSQEEVNRLTPEIERLNRKLNEVENVSCELKNTILLLNSERDTTVLQHKQALVRVSDLESELSDVQAELVNAEKNVQILDKELKQKREEVDSLQASLNEEAQKRIEGEVALLAMENLHSQSQEEVRGLVLKIETLHGKLNEMENSNGDLKNMICKHSEEIRVLGEQNLSAELTIKGLHDQLEKFTEMNIGLQNEVGIHVGEKEVLQQDLARQKEDKDILEKQLCSLEHEMKAVNKRVATQQHLIEELQSKNIELEEVRNAYDVEKTLLLEKLHVMEELSEEYSILKKSFSNAIVETEDLKEIVEELEASKNSLKYDVSLHATEKDALALELETLGKRCADVLEEKSILETSFSNVNYELQELRVKYKDSEESSRSYIADNTALLAEKHKLLSQLESTAVSLKFLEDKHADLRDNHGSLLSEKYLLCNQVKNMQDQLGIKNEQHEALLKLHQMQMLEHEQQKCADASISTLILENSLVDARDKNLALFNECQKFIQATDSAEVLIAQLKEEARKEEEDKKALLNRNEKLRDGISEQIKVLNICKDLGPTDVVHDEIMLQTMSRETFNHVKHKEETEERNVFMDAELSVLGAILAQTVIGFRALHQQNCELVEEVESGAAELLFLQKENHKLIELNEQLEQRLQLGGNREEMLKIEILGLCKELSGLRQSYQTSQSEICNLTKKYDSLLQEYKVLVEKYNALDDENAAVIAECIKLDLLSSFFHDLTVESASVLVSLDNDMAMLSSVRHELDHEVTMLNRRAKILEMDFQHLKCTLENLLEALGSRLVLSEFDSNTSKIICQELTIECNSSMTQLMQKDDKLCKVDEKVQFLQERNQELCRVLRDLEVAVEDAEGVKVDLEKKITTLTERGAVQDNETRLLREANNTLQVEVGIHKQKEESLMSTFETMRKEAEQHEREITLLVCDTITRSVNTMVLEEQVLEMMMEREVLETRFFTEKDMLMKEISSRDAYVDDLQKRVASMRGENAGLMAELAAYLPLVASLSDQIRALEELEDGTLLLSELNKEGKLEFVQKDRHVPESQDDSSGALKLRSLIARVEALHVVILDAKGRRDKEFTESVAQLEAANMEIQELKARKGSNAKEECTEDDRQKYDADNSKGKHVQIMKDIELDQVSTCALYGTGATIYPLGGDANVELDDEMLQLWETAERDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELARGRDPGIDRLEISAVSLEPQQLWSKNVLDKLASDAQRLSIVQASIEEIKQKMVGASKGKSTVSSEYSSIRAQLQEIDGSVLEQIDFNCNVTKKAENYPAFEVSAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYKRVKAPEKRSRVLLRDYMTARKDKNDAGQKTKKKRIPFCGCVRIKSRTEP >KN540123.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540123.1:4460:7637:-1 gene:KN540123.1_FG007 transcript:KN540123.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTYVRNYSDDEFSVAGEKPDVEFMDYQNDGSIQDYSLEDAPVVVTVPFPFIDGKPKSVLVGETSADTISIENTSSEPVNLWSVRIFSSNPEDSYVLSMMKPPLNDTDEEAKRNFLGLTSVEDRTLQPGQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRKHTQKKRFEYSSFVPGCRPTRQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELNMANYAKFFSTLLVMEEINLEEEMRSYDMERILMRRRGLEFLSLEVPGLAEKRPSLVHGDFIFVRHAGSDARPYQGFIHKVEADEIFLKFDNQFHLAHRDRNQYDVSFTYNRLNMRRLYKAIHEAELLGPDILFPCRSSPGSVKKGLFKPLNPHINTEQADAVTTILGCRGVAPYVIYGPPGTGKTMTLVESILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNAASRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYCKQAEKDGLGKSYLQRLLFEYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVSSIYDSIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRNLTRGGDVREADIGVITPYRQQVVKIKKALETFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETQVSGFRDSQGEPAMWGYNQQQESSANYSYKQDPYDSGSEHVNGLPSTENEVEWSEKTLNEEHQPCSTAAEAASPEVMLKQKAEEEEHVEQDGVQHEQCPANDNKLQDAYAAKYSFPPEWCDVSNIPATGWDD >KN540123.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540123.1:37494:38937:1 gene:KN540123.1_FG008 transcript:KN540123.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRRRAAPGCAGMADVIDEPMVEAFARLALKASLAHPRVTWGRAPRKYFGRNRLWPRPQNPPGARRLRPFSRYPFTYIPAIFGIY >KN543715.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543715.1:3378:4826:-1 gene:KN543715.1_FG001 transcript:KN543715.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRRNMEAAAGAGGSPWLRGGLHPRQRKIEMLRLGSSWQKWWNWRPLLLHGDSRLQLADVSEEVDHLDTSILEPASKPWCVPLPAPRCSPPKDHWWCDQSGDSGPTPGLIALFAKTGTARCKDRLTDRSVLPPSYAAIASDTISARLMVMVVFKETEVVLAEWRRLASVKWRGVLDDLKEYLLVSIQVIEGSDDTTNAELRSDNPE >KN539706.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539706.1:41926:43892:1 gene:KN539706.1_FG001 transcript:KN539706.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR9 [Source:Projected from Arabidopsis thaliana (AT2G39570) UniProtKB/Swiss-Prot;Acc:O80644] MTFYPEITQPGPSQFYLLKLFSADRKGLLHDVTHILSELELIIHRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRLELADGDNCSRSICAEMKRVQKATINFDNTLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKIIDPEKQDVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQAASERQWEVYRFLLDDSSEFPLSNSLVNRNRIVDRKELAICVTKERMTYPEYVIWSYIL >KN539706.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539706.1:64736:65286:1 gene:KN539706.1_FG002 transcript:KN539706.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVGGKAAKKAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLDMVDRDRVLVMQVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKADKPAKASKDKAAKSPKKQARS >KN539706.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539706.1:26750:27253:-1 gene:KN539706.1_FG003 transcript:KN539706.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTLKDKWCILKEATRLYGASWVRDIGPDLRPNDYKKVKEEPDIKTEEGSSEPTTVEDLLGALKGGAEKAKPALQRMYMARASNYTDALKNYVESYKEGLKEHLEEEAMGKGHRQGNDATKPPQSPSS >KN539706.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539706.1:50795:52110:1 gene:KN539706.1_FG004 transcript:KN539706.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSPVTEAEMATVKTSSNGVWQGDDPLHFAFPLLILQALLILLLSRLLALLLRPLRQPKVIAEIVAGILLGPSALGRNEAYLRALFPPWSAPVLERRGGWGGGGLAGVLASGLATDMIGIHAIFGAFVFGLTVPKEGEFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGGAWGMLALVIGTACAGKIVGTFAVAMACGMSAREALVLGVVMNTKGLVELIVLNIGRERKVPLIFLFFYSYMYKFTYWYNTKYNTII >KN539706.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539706.1:56553:57054:1 gene:KN539706.1_FG005 transcript:KN539706.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDECKLKFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEVGLDVIRGRAN >KN539706.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539706.1:24397:25655:1 gene:KN539706.1_FG006 transcript:KN539706.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGGDEWSGLEPFFYDEAAARADGERALERQREKERKEAEHQAWREACDAARDKILEYDPKHGCRTYTRLWFCSSILFNLDEESPIGPLCRTVDRGQPPLHRAGDSRLRMSLNVLAVNIVSSDVGYPVLVYGTVIARDVIDRKCLYLFRRTTDNPQLITSKASVEDVVVGSCSTLDSRLSTVELSYAYIGGAVEAAVDVTLRLPAAAGAGRHRRCFHGQITACSSSIPDASIVLYDSSKVNATSNSSAGGAAGDVAVDLARRVMAVRAADELVLTLIAAAGDADCHHCRTNVEFTPRICGSESLEVSVCGIKLLIKVSWSAF >KN539706.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539706.1:66257:68045:-1 gene:KN539706.1_FG007 transcript:KN539706.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVEAVEKKTSSAPIKAPALNERILSSMSRRSIAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIVVCLGILVCRILLLLLLRRNSAQVFSLQPLCKEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDCILFYQLNIKFCILNELHSDKKNENKEVAVNDFLPASAAYEAIKHSMDLYATYIVEGLRR >KN539706.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539706.1:53519:54578:1 gene:KN539706.1_FG008 transcript:KN539706.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARRNGVPFLRPRRGGGDQVDVAFDTYAQLGHVHVRPMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHPGHGHGDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVSHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKEGSEEHAEVTLRPSNTKNADKSYTFSTAIVDTHKEKELDEAAVAEFRQRMGAMVRYEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSAMVAELAVRAAEHPELGPIGDALASAGHGVTSSVLVVQQHDMSNADELPVSVVVDGHAHDDGELGGNKDMAEP >KN539706.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539706.1:29499:30311:-1 gene:KN539706.1_FG009 transcript:KN539706.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSCSPLVAVLVALLLVAATVPAPCAAVDPVNTYCARNLSGAPAQASVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPLDGGQSADASASAAGDYDRVVLNP >KN539706.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539706.1:14408:17203:1 gene:KN539706.1_FG010 transcript:KN539706.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRGQRGGAQREAAPVDADQQPPVEVNPLFRDVPHITLRLLDLPKRGAAELREDKEEGKMLSRLYHKTLSGIMDSLVERTRKVDTSDEPYIHGIPVCPSSGNFVVVVYPPPVWPDKNRCRQIKLLFSYENLYLVGFFCSENNRWMVFKDSDRDIYGVSADMLHNWTRKLHFDGGYGSMEAIFSKGILGMRALHKLYRCVARFPNISSEDQVKKVLLIAVVAIPECIRFPVLRRRMFYTLENKEDDRKVDSPAPYETYTSSYAFDKHFHNWSTYCDVIRAGLDSFTKLKKFVGVILHKTQEESHGSRGVRRRIRG >KN539706.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539706.1:58080:59655:-1 gene:KN539706.1_FG011 transcript:KN539706.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSADGSKSLPERHSALADATSGVAAENADNASEAGMAMDFSNTTSSGVKKGFQKCMTFPPSGGEAQQQQGSCCDADKDPKDAPTYERSVSLPPTLKIISAMKGSRQKNGIASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPG >KN539706.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539706.1:74464:79828:-1 gene:KN539706.1_FG012 transcript:KN539706.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MRKGNARFPKSSSWPRTKTVVKKWLNLKNEEFHSDCINESFGQGRQERRKSCSDKDGSLLTGRDLPGGWLVESSENLRPPARYGSHPPSSSCQPPKELRIQIACSDVECEFLTSPHCTHHPEDVRGDVERGRKSTGSRAGHLKLAPGPATCILPSSHLCSWMGSKNSGLPIFRSEPQKIRLMIQIMKQCASRFQEIVPLNAGNVLGAEDKGPTYKWLDLIRRALNPSSSERSHSFPSNYPYATEVSPERPKNDRVSFSDLLAMEDRLSIVSEVDDDSEPSTSNPESSSEEETTDVATRYPRSAGQGYRLAASKQMVGIFLCVWVRADLMPCITSLRVSCVGRGIMGYMGNKGSISISLTVQGSTTMCFVCTHLASGEKDGDEVRRNSDVVEILKRTRFTRRRRLFAPAAAVPSPETILEHDKIIWLGDLNYRLTGSGSGDTQELLDKNDWQALLEKDQLRVEQRAGRVFGGWEEGQISFPPTYKYLADSDTYAAAAAFTSSANKKRTPAWCDRILWRGSGMEQVRYARGESRFSDHRPVNSLFSVQLAAGGNNAKPDHLHLLLLRPRAAGPQAAAGIGLRSSRF >KN539706.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539706.1:33273:35459:1 gene:KN539706.1_FG013 transcript:KN539706.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCIKRLPRFALRRGVADAFLRPLLRTAFLLLRRRRGLSTAESTHPPRRGRFRRDPVSDESKLVSLARQRGAAAARAVFDGMPRRDDAVAYAAMVGIHLRDRDLPRAEALYRAAPPDCRGIHLDTVMLDGYVKAGQVDRARRLFDGMAVKNVVAWTCMVSGYCRAGHVEEARRLFDLMPYRNVFSWTTMVQGYAHNGMLREAREMFNKMPERNVVAWTVMVKAYVDNGCIQEALELFNRMPQMNSYSWNAMATGLMSAGKVDDAVQLFDKMPHKNVVSWTIMITGLAQNGFVSRAREFFDRMPKKDIPAWNSMITAYTNDGQVNDAQRLFDLMPSKNLVTWNIIIDGYSMNNLKDEALRLFLLMLCSAVSPDSTTLISILVVSESTMEVRQIHGLSTKLGYQSETNLGNTLVTMYSRSGDLSSAWLAFRRLNEKDAITWTSMIQALANHGCTPCALQGFAQMIRRGYKPSSTTFTAVLSACNHVGLVEKGRKIFKSIRHVYGLEPTIEHYSCLVDLLGRAGYVREAKEVVDGMQRDMCDEAILGTLLGACMTHNEVEVARAVGEDLVKIDPSGSGGYTLLANVFASGGMWNEVASVWKIMKGSKVKKTPGFSQIEVNARNHVFYSRDQMHSQRTEIYEMLNSRIVPQMKGSSSLGTDSTDQNDLLYALESSPKGYQYNPDIHPLASMERSNAKVFENRSSSMLTSGLQKLQAWRDKVAWKFKTSL >AMDW01020271.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020271.1:146:268:1 gene:AMDW01020271.1_FG001 transcript:AMDW01020271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYK >KN540879.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540879.1:6773:10462:1 gene:KN540879.1_FG001 transcript:KN540879.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAKDEVNLLFGVSGEIATLHDKLRILKDYLADADRRRITDQSVQGWVTKLKHAMYDATDILDLCHLKAMQRQRGGGSSSSSNPVGCLDSLLFCLRNPLFAHDIGSRIKALNTRLDAICKSAAAFSFLKLEAYEDMAAPRRSSAADRRTDPVLGKERSGVVGEKIEEDTRALVQRLTNGNTAMTTIMVVAVVGTGGIGKTTLVKKVFNDETIQKAFHKKIWLSVTEDENEVELLREAIKSAGDARDSNSKSLLVDAIRNKRFFLVLDDMWSDRAWWNLLREPFSHGAVGSRVLITTRHDDVARGMQAVRPFHHVHKLYPRDAWSLLKKQVASSDMEELEIDDMLKDIGMEIIDKCDGLPLAVKVMGGLLRRREKQRADWEQVLQDFIWSVPPGELNDAVYLSYQDLPSCLKQCFLHYSLLPKNVEFYDVTVIGMWISEGFLHGDTDDLEKLGERYYRELIYRNLIEPDVEYADQCVSTMHDVIRSFAQDISRDEALVVSTSDERGKGALRSQKFLRLSVETNDFQPNDELEWKLIQGQGSLRTLIVIGELKIDHGDSLINFTSLRTLHIEDTNCSASLVESLHQLKHLRYLFLECSDIARLLQNISKLKLLQYLEIISENLVRLPNSIVKLGQLRHLDLLGISISGIPRQFCRLTNLRYLYGFPVQADGDWCSLQELGPLTKLRRLALRKLENVPVTSLAREARLGEKLHLRYLRLECSSRLGEDGLVEDEKGVSEEEQRRIEEVLDELIPPLCLENIDITGYFGQRLPRWMTSGEAGAYMRLLIVAMDDLVCCTQLPDGLCQLPCLNFFQVNRAPAIKHVSPKFVTMQPSSSQFRHAHAFPKLKEMRLIDMVEFEEWEWDHQLNNVPAMPELEELLLKNCKLRCLPPGLSSQATALTSMGLCDIKYLNFVESFASLVKLELQDNPDLERVTSLPRLQKLIIIGCPKMRTLEGVPELRKLALEDCDMEQLPRYLQQGVSPMHLVLDCSLELLTSIALGESGPEWGKLSHVQHVKAYADQGDDKRKWHVLYTREPYSFETNIGDSPSSNSGGKSAQLLLLISVHNTLFSLFLPMFVPSFNCMMHLPIVSISLNGQLQRMSRRQILQRLKASKG >KN540879.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540879.1:38482:39854:1 gene:KN540879.1_FG002 transcript:KN540879.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVEADIGGLISKITHAAGTAWQNKKECDQLARRVLMIAYLLDPEMMQQPSHLQDLEMRQRPEIQRPLDGLKDTLREAHELVMSCQQRSGLYYRLVMARRQADRFRDVQSRIESYLPGSYRRTVSYTSTSPEYKSQDAAKIAQKKQHGVQEFTFEELVAATDNFTADKEIGRGGFADVYVGILNGRAVAIKRRSPGSNQGMEEFPAEVTTLSTLRHMNIIHLVGYCKVEKEKRRLLFQKKNTVEEEHLLIFDYMKNGSLSDHLHGPSSLSSHYSPVAESWKMRIEILLGVSRAIDYLHSYAVPPFIHRNIKTSKILLDSSWSPCLSGFELAISYDVEVESDSLHTCVGTAGYIDPEYLLTATPKPTSDVYSFGVVMLEVLTGRKPIFQWEEEEEE >KN540879.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540879.1:31621:32541:1 gene:KN540879.1_FG003 transcript:KN540879.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEISISATVVDEAMPVTASRSEMSPLLRQLHSLTDTINNLSGGEGVSEDEIQNFKTVLEGLRTNIKDLLAVERTSLTAKRWMQEVRELCYDTEDFLDRVMNYGAGVGKSALIKPFNRIQSKTKKRHRQIAADFSGLKARAKGAGERFIRCVLPAQTIKPNCEEASSSQQHPLPAQLSSVLGVRGRIADRFDGLMDHLVKLLAFNAQQQHFKVVAILGFAGVGKTTLARSLYHNYGARFECRAFLQVSRNPDLRGFLISMLSQIKAPRTHASSDVQDLFDNVKKHLQGKRYNLLYIAKLRYEKCYCI >KN545458.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545458.1:26:968:-1 gene:KN545458.1_FG001 transcript:KN545458.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFINEVDIMSFDPVKLIEKYKGYGENRWYFFTVRKPSKTKKKDEPNRKVVVDGVEEGSWSATGSVAYICGKDHETVIGTKRVLTYKSARSAEEDKWSMHEYVMLDKSQLVCPKIDSHHSQITAYQ >AMDW01040379.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040379.1:938:1327:-1 gene:AMDW01040379.1_FG001 transcript:AMDW01040379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTISVALLLSTLVVVVAAVAATGPPDLLQGWCADACREEQQKDPIYNKHCPDFCVISTKQIFRAYKGATDPPVERFSALCDEGCSKEFKEDPAISKKCVDTCIVMSKEAKEYFAKGGTIGAPAGA >AMDW01039364.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039364.1:319:801:1 gene:AMDW01039364.1_FG001 transcript:AMDW01039364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLKEHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPEGKDARYIRQ >KN538833.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538833.1:100695:101498:-1 gene:KN538833.1_FG043 transcript:KN538833.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLNMQGGGGDHGDADHQKSENKQKQMMVVVKVSISILVMSLPVLYVSFLRIPPATLFRDTTFWFLMSNSIIIVIAADSGMLFFAGRPSSSSGELQAMVVTDVSLSQALVVAPPRGGQEDDDGVVVAVEPAEEESTMMLVPYYGGGEVVQAAARPTRLTASREAERTTTVARRRRRSRSHSHHALMVTPPVVQEKSIVVVREEKLRRTATERPPEPEPEEEEEMSSEYSRLSDEELNRRVEEFIARFNMEIRLQLQKEQEQAAAA >KN538833.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538833.1:34415:36385:-1 gene:KN538833.1_FG045 transcript:KN538833.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMMGYKDGMGLGKNEQGIVAPVETTLRPKNAGLGSVEGFKEPKPFFTKENLPPPPPPAPAKKEQQRWSKKAGAKKGPVLTKNELLAMRSEQEQDEQPTVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADIVRLDGQLRREQEKVASLVREKEKVAKQEALQKRQLQVMEKIAGVLEQVRVDDTAGILSLEGLLKTFQELKACYVEEFKMCSVAWIACRFAHPLLIRVFQGWQPLQNPLFGLEIMSSWKDLLQGDQAYDFSGDVESMAPYAQLVSEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLQSILEHVIMPKLSAAVDSWDPRREKVPIHVWVHPWLPMLGQRIDTLCHSIRYKLSSVLHVWQAHDASAYAVLSPWKDVFDAASWEDLIVRYIVPKLKMALQEFQINPANQKLDQFNWVMIWASAIPVHHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNRAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQYTTHHAVPGAAMADLSFKESIQAYAMDQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVSLTQLIEMNRKARQR >KN538833.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538833.1:3634:6630:1 gene:KN538833.1_FG046 transcript:KN538833.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALALAMKLIPSIIFLAVTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGCYSYCESINSTSDGAPCAGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECTLRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIHILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSCTILHGDFKSANILLDGQHNAKVADFGASALKSMNKSEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >KN538833.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538833.1:69:1925:1 gene:KN538833.1_FG049 transcript:KN538833.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCEASIPANLTAFAVTFDLNHSKVWTFNPCFYSVVAEVGWYNFKKQDLVGHLGFIKERAQNGLRLYDEMRSRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVAVQCMSPRGDDRPTMKEVAERLQMLRRLQIQATCDGENDCNIHDNFGGSPSVTLHFDEMTDSSIETSNLILSE >KN538833.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538833.1:110587:110976:-1 gene:KN538833.1_FG051 transcript:KN538833.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAISISSISINWAGISLHLHEIFALSSTASCRQELLLMPIISNRGKLLPTSSCRPVNCDHGLMAMDGICSSSSSSSTSGFWTDFQDEQCNRLDDDRRIGDPMAGTNATPTMQGRTGQAYHDDETEGSYR >KN538833.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538833.1:30032:32202:1 gene:KN538833.1_FG054 transcript:KN538833.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 41 [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/Swiss-Prot;Acc:Q9LYT3] MAETSSARSPLLDVDESSGASEELLRRDPVPRSVLSRLAAWEAGNLWRISWASILITLLSFTLSLVTQMFVGHLGELELAGASITNIGIQGLAYGIMIGMASAVQTVCGQAYGARKFRAMGIVCQRALVLQFATAVVIAFLYWYAGPFLRLIGQAADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITMAVLIFHILISWLTVFVLGFGLLGAALTLSFSWWVLVALTWGLMVWTPACKETWTGLSVLAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPDPEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPNVARFSVFVVITASVAFSILATVLVLVLRYPLSTLYTSSTTVIEAVIKLTPLLSISIFLNGIQPILSGVAVGSGWQVVVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVSVQTVALIIITARTNWDNEVMKAIQRLRQTAVDDGTVPIVDDIE >KN538833.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538833.1:10511:16010:-1 gene:KN538833.1_FG055 transcript:KN538833.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRRIRPPRGLGFSTTTTTTKSRPLVLTRRGGGGGNISVARLRCAASSSSAAARPMSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRKLRVVDVGGGTGFTTLGIVKRVDPENVTLLDQSPHQLEKAREKEALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRLGGVACMIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKVEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >KN538833.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538833.1:50546:51989:-1 gene:KN538833.1_FG056 transcript:KN538833.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGGLGNNLFGAVNSSVETVSRPSHCDPIFQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >KN538833.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538833.1:106673:110210:1 gene:KN538833.1_FG057 transcript:KN538833.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MGILASECGCDGGIQVRRSGSILTDRRLFVGFTLHHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFAGMGVGAAMKGLRPIVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >KN538833.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538833.1:41093:47225:-1 gene:KN538833.1_FG061 transcript:KN538833.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEEGRGRGGDRAAAHGRIGGDSPVMVSSASVRKTVKMSETCDFIPYVDDDDDGNSEEENSASSGVLPCDGMQHDTPDYIRRGAAAARHRIAPLELFSGPSPPQGPPSPSPANGGAALEATSNDGVAEPQVHPPEGISSIISTGGGEQETATMGSQSVHETLHIEENEGKCSCCGQLKQEYSLLLREKEECRRVLEDLMRENELKSRECHEAQASLHELRMELMRKSMHVGSLAFAVEGQVKEKSRWCQLLNDLSEKFKALKAEHQILLQESLECKKFVADATQMTTTIQQHGNIRVFCRCRPLNGEEIEEGASMAVDFESAKDGELIVRGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRITKERQGLFQYEITVSVLEVYNEQIHDLLLTGTQPGATAKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCMHCVMVKGENLMNGEQTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQSRKQVDVGELSRYKLMAGRAKQDSKNKDVQIKSMEETIQSLEAKNKAKDLLTMNLQEKIKELEAQLLVERKIARQHVDNKIAQDHLHQQQQSMKPENSPYPTRSPMAERNLNSTAEKPAMLLKDLGIARQMFSDSNTDTYSINHLMSMSSEKENNPAGGAQPTKARRVSLCGGAHQQPAAPPRRGSLIPLPRRNSLMLPLPLPKPATPAAAASPLDMITEQCSSPPRGIKFEFDQVL >KN538833.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538833.1:19825:24504:-1 gene:KN538833.1_FG062 transcript:KN538833.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGMGFCALYDWYARELRNVDEREYGRWFLLGCLLQLCSRWCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDYIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPTGSHNVHLCWDQTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRRSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGGGGGFLMKAVVGSGRQGRGCTLTVTPLWTFFHLGPVVNTNL >KN538833.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538833.1:163423:163950:-1 gene:KN538833.1_FG063 transcript:KN538833.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAKSADSPCSGSKRKADDGADHPEAPPSARPRQEEAGSEHGEQPEILPEFDQLDAMLSAPMDGNLGVEFDTATTEQYMRYLMNDEPLPWAPTMETVDGVAGGGDEFIETTNGPRMGEEEIIARLAAGETLDDILSTNPN >KN538833.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538833.1:80058:92721:1 gene:KN538833.1_FG064 transcript:KN538833.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGSCPAAMKATSQGAFQKENPLDFALPLIILQICLVVVVTRGLAYLLRPLRQPRVIAEIIKLLKQEKEYSVTLTYMTTVALLKNAKLVQNGGGILLGPSALGRSSKFLHNVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGSGSPIISLWVLLTAAGFVAAIFLFLRPALAWMARRSPEGEPVKELYICATLAIVLAAGFVTDTIGIHALFGAFLVGIAVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACLGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPTAPYKRRTVDGGEADGELRVLACFNTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAITLVQRARRNGMPFFNSGDKAEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSATAKRAAIVVMPYHKMLQHDGTFQSLGSAYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGPDDREALAYATRMAEHPGVAVTLERVFVVGRMPPTAPLVEKPDELGPVGSYLASPEFRTSASVLVVKRYDPAANPASKRYDPKARPPAATEEDALDELTGAAVVPVAHSPMNHDIVTNYHVVAKLAGDGSAFHRCKVLLEDSSGNSYSKEGRLVGCDPSYDLAVLKVDVDGDKLRPALIGTSKGLRVGQSCFAIGNPYGYEHTLTTGGLTQASAKYVRHMASMVKNCLCCNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPNLIVYGTSSLESSSHQNFKSLQMTGSDNKDDQDNKSQEFNMENHCLKNHVCTTNNGHRNYAND >KN538833.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538833.1:27825:29609:1 gene:KN538833.1_FG066 transcript:KN538833.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSNTRLHDPARRVVRLMIRRGVRRGTEQFAQLMLSYSRAGKLRSAMRVLHLMQKDGCAPDISICNMAVNVLVVAGRVDKALEFAERMRRVGVEPDVYTYNCLIKGLCGARRVVDALEMIGVMLQNGCPPDKISYYTVMSFLCKEKRVEEVRGLLQRMRNDAGLFPDQVTYNVLIHGLAKHGHADEALEFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIVGEMISKGCQPDVVTYSTVVDGFCRIGELDQARKMMKHMYKNDCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKDGKPAEAKDFMEQCQSKGCTINVVNFTTVIHGFSRQGDLESALSLMDDMYLSNRHPDVVTYTVVVDALGKKGRLKEATGLVEKMLNRGLLPTPVTYRTVIHRYCEKGNLEDLLNLLEKMLARQEMKSAYNQVVEKLCAFGKLNEAYSLLYKILRTASVRDAQTCHILMESFLNRGLGLQAYNVACRMFRRNLIPDVKLCQKVDNQLASEKNKPVPGKLMVKFAERGLLKQVKQDSN >AMDW01025181.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025181.1:11:136:-1 gene:AMDW01025181.1_FG001 transcript:AMDW01025181.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVTLTSYDAAKTAMEELRRAFVWLHVMICEALRFRAIWK >AMDW01059552.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01059552.1:44:316:1 gene:AMDW01059552.1_FG001 transcript:AMDW01059552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARNTAVGNPARLIGRKNGEAEKDEDMPGESMDHTSFIRQWSDYTI >AMDW01059552.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01059552.1:3365:4165:1 gene:AMDW01059552.1_FG002 transcript:AMDW01059552.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSQLMEMFISAAIGDLISRSMSFIVGKYCNCNQATAEENLQRLRQLLMRISTIVEEAEGRHVRNQGMLQQLKILRDDMLKGCYLLDNFRYRAIQDKAKDDEVSHSFALSRFNPAKRLRFPTSKPQQTVFSGGEVEDLQKMVHRLEILIADMKEFIAFLVQYRPMYRQPYSTHLFLDKCMFNRHMELEHAIEFLLQMEPPGSSNLGVFPIIGPRHIGKSTLVEHVCIDERVRNHFSLILFYSGNSCVKRSSGNSRKDETPTTL >KN542897.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542897.1:492:1628:1 gene:KN542897.1_FG001 transcript:KN542897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DCKDSLKNRIRIFTEAVVVMVCPVLLSFALKKVDLTANRSKQIVDSISPIGALTLEAGILPFLGLCLSTVLGERLAWLVLASKLLIHLCVILLMALAFVILLLISKSNIVCLSIWIPFVPFILWLGYNSVKDEEHENADDATKSAHHGKLEISVDFSASITSLLFLGLEGLALEGQASAIKGLDAHLSDSLIVSFVTCVLGVVFMLVGTAPPVYGMGRLVDSLRILDTSLAIAFGVIVVLITIAPLKEAAWLVSIPWFLSFFVWLFIRLFDHGEGEERDVKPVSLELTKAAFTGFLAVSIPSFSNSSTSGGYTHAFIVLTGSAVLAGLAWRLITHAKKPSRAMAWAENVASSCAHICVAAAVVPFARISMTVLRSQES >KN542929.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542929.1:1323:1787:-1 gene:KN542929.1_FG001 transcript:KN542929.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGKLNKEHDTIFLKVQIGGGGNVRNIYFPFDVANDTAMEVATEMVKELDIADREPTEIAAMIEQEIVRLVPGYKQHEYSYADDDDDDDVNGQPNPFYYLSSSPTSSQGSLCGVGPTSSEGFPGPHGKVDWSRGIAKCFHLTFLYIKNNVLF >KN542929.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542929.1:10786:11522:-1 gene:KN542929.1_FG002 transcript:KN542929.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding NLSKDRSPSGRGGRGKTHFLPHNHQRPQHYGRADTSADFTPSEELRQIYDPDANDLGIPSSLHISIPSPSSEAPREIAHGNGFIQPPAKKLEFGTLGALPLEVTSEEHGINRLNSASDSQPSASASPMSLAHNPGIGSNQMRNAQPYHLKDNGDFPPLSS >KN540323.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540323.1:15578:16485:1 gene:KN540323.1_FG001 transcript:KN540323.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSDEALAIVVPIVVYWLYSGLYMALGHSISMDKYRLHSKEEEDAKNLVSRRDVVMGVLLQQLVQAAVAAATFTLAGERRTTTAPSSWLAVAARFAVGMVVLDGWQYAWHRWMHTNRFLYRRVHSWHHRLVAPYAFGAQYNHPAEGLLLDTVGGAVAFLASGMSPRASVAFFSLCTAKGVFGTHMPYVVEERPGGGLQVRPVDMSPSSATAAAGSGGK >KN540323.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540323.1:44212:45728:-1 gene:KN540323.1_FG002 transcript:KN540323.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIEDAIADLVCSRSMVIADLGCSSGPNALALASIAVDAFRRRCLALRRPPPPAELCVLLNDLPDNDFATVVKSLVEFRRNNGDEPVLLTGVVPGSFYGRLFAAESLHLVCSSNSLHWLSEQAPEDLKMNGIPAYDVDANVRRERRAVVVGAYARQFRKDFMAFLKMRAVELVPGGRMVLSLAGRRSVDLASELTHAWESTAMTLSDMVTMGVIDKEKFETFYMPIYGPSDEEIRQIIQEEGSFLIREMQVPELTSGAYSALITSARVASMLRAAFEPIIVQHFGPTGCDGEEGIMDEFVRTAERRWSLEGSLQDELAQNPRGVLLVSLEKKPS >KN540323.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540323.1:28010:30784:1 gene:KN540323.1_FG003 transcript:KN540323.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVKLGDALASEAVEVAKSLLGLEGSALKRLFSDIREVKGELESIHAFLQAAERFKDADETTSAFVKQVRSLALSIEDVVDEFTYELGEGDGRMGMAVALKRMCKMGTWSRLAGNLQDIKVNLKNAAERRIRYDLKGVERGAKSTAGRRSSNWRSDSVLFKREDELVGIEKKRDLLMKWVKDEEQRRMVVSVWGMGGIGKTALVANVYNAIKADFDTCAWITVSQSYEADDLLRRTAQEFRKNDRKKDFPIDVDITNYRGLVETTRSYLENKRYVLVLDDVWNANVWFDSKDAFEDGNIGRIILTSRNYDVALLAHETHIINLQPLEKHHAWDLFCKEAFWKNEIRNCPPELQPWANNFVDKCNGLPIAIVCIGRLLSFQGSTYSDWEKVYKNLEMQLTNNSIMDMMNIILKISLEDLPHNIKNCFLYCSMFPENYVMKRKSLVRLWVAEGFIEETEHRTLEEVAEHYLTELVNRCLLLLVKRNEAGHVHEVQMHDILRVLALSKAREQNFCIVFNHSRSTHLIGEARRLSIQRGDFAQLADHAPHLRSLLLFQSSPNVSSLHSLPKSVKLLSVLDLTDSSVDRLPKEVFGLFNLRFLGLRRTKISKLPSSIGRLKNLLVLDAWKCKIVKLPLAITKLQKLTHLIVTSKAVVVSKQFVPSVGVPAPLRICSMTTLQTLLLMEASSQMVHHLGSLVELRTFRISKVRSCHCEQLFMAITNMIHLTRLGIQADSSQEVLHLESLKPPPLLQKLFLQGKLSHESLPHFVSVSNLNNLTILRLAGSRIDENAFLNLEGLQQLVKLQLYDAYDGMNIYFHENSFPKLRILKIWGAPHLNEIKMTKGAVASLTDLKLLLCPNLKQLPCGIEHVRTLEELTLDHTAEELVDRVRQKKERMICDVQRVYVGFIRNGVLAAERIQ >AMDW01040891.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040891.1:20:2453:-1 gene:AMDW01040891.1_FG001 transcript:AMDW01040891.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGVVPSVWTWNLLLKFIAETGEYEMVLTAYNEMKCFQLTPDVYTFAIVTRSLFQAKKVDEALQVWAEMTEMGVKPDARGYSSFLIGLCDCGKYDLAYVILQEINREKVPVEAMAYNMVMDGLCKEMRLDEAEKLLENKARQGSNPDVYGYSYLIRSYCKMGNLIKAVDHYEAMVSHGIETNCHIVSYLLQCFRKLGMTSEVIAYFLKFKDSGLHLDKVIYNIAMDTYCKNGNMNEAVKLLNEMKCGGLTPDKIHYTCLINGYCLKGEMQNAQQVFEEMLKANIEPDIVTYNILASGFCKSGLVMEVFDLLDRMADQGLEPNSLTYGIAIVGFCRGGNLSEAEVLFNVVEEKGIDHIEVMYSSMVCGYLLSGWTDHAYMLFVRVARQGNLVDHFSCSKLINDLCRVGNIQGASNVCKIMLEHNVVPDVISYSKLISIYCQSGDMDKAHLWFHDMVQRGLSIDVIVYTILMNGYCKAGRLQEACQLFVQMTNLGIKPDVIAYTVLLDGHLKETLQQGWEGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAEDLLQEMIDKGIEPDELTFSEVNIADEELSVEIRKENEAPVVERKVKSISDTNIKRLCILHLSLLFKQTSLQISLSPRAIDGLVKGLEANQRLVGDLTLATLEDS >KN543986.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543986.1:3745:4523:-1 gene:KN543986.1_FG001 transcript:KN543986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSEDEMQSFASLVLDLQTLRTATDNFSEHKRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILAYEYMPNRSLDTILFDAERIKELAWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFERDQSQVITHRIAGT >AMDW01033956.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033956.1:1:279:1 gene:AMDW01033956.1_FG001 transcript:AMDW01033956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDASMLAT >KN541522.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541522.1:1098:5174:-1 gene:KN541522.1_FG001 transcript:KN541522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVYSKSKEGALEELGRTEVILNSLNPSWNARINVHYQFEVLQPIVFQVYDIDPQFHDVNEKMLKLEEQQFLGEAVCLLSEVITKQNRLLTLKLGVSEHNLPNPSKFGELNVQAEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISESGVPVPICKTEENPLIIECFNFSSNGKHDLVGKIVKSVAELEKMYHSQDGENFFVPASTAHDSHSKEVLKSQVYVEKYLENNRQTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQRAILEVGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPVVSTATAIASQSLANNQQKYFVLFIVTDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPSQFMTYMRTREIQAIS >KN541522.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541522.1:23830:24461:1 gene:KN541522.1_FG002 transcript:KN541522.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDDYSKARQALAVSAQECSLVDAVSSAATPPTADDGEGHAAGFFEGFVLGGIRVDSVRPGLVDCSFTVPSRLTDRSGCLAAGAVVSLVDEVGSAASIADGRPVKVSTDMSVSFVSLARARPGDRLRITARALGHKGAYSATHVLISNAATGEVVAEGRHSLFGRMKVVSTSTATATSKL >KN541522.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541522.1:18369:22129:1 gene:KN541522.1_FG003 transcript:KN541522.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDPAEAEGEEERKSDSQKKLAGRRRRRRLNLAAYQGDAKKPSDDVNLARRPGKLPWDDPRVWKPHVTPVSTVKKSLGISILVYISNKFLRRKGSPAGFSNLKYKQQPLVQHQKIPLASAPEHYGLRSGLQRIMSANLLILLNEYSDFRPEQVLDMVGTDEENRLLAAVGAIDHRQWASGFSQSHKLFVRFNMHGYCITDSQVFEKLIQNVMRWKRRQKGVASADSRRQKLLEFFCSYSKSDDILAFLKYAAANWICSHREEYEPNIAGLGGGYTLEAWCEIYLLQPREQTDHIQMTAVAAALGVPLRVENLHNGPAQDIYTADGVNIPRVTLLYTGVHYDILYPRHPSGGSGSRSSTQRAGCFRPFW >KN541522.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541522.1:10233:13987:1 gene:KN541522.1_FG004 transcript:KN541522.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPVVDTTVQKEEEEKEKEKEKEKEEEEEEEEDDDDEEGELLSHVSRRKNPLRSRIDGYISMDMNAKRRAILEIPVLFPCYIGVYKFHAFPVSLEQVLDREDTDEEQRLLAALEIEVKPMAMQIDYPEWATAFSWGHEVFKKLIENIIGWKNPASTYRSLAATWMCSHKDEYEQYVDDLGDDYPLEFWCATNLLPPRLYTDHVPMRALAAAFRVPLQVENLHNGPAQDIYTADGVDVPRVTLLYTGAHYDILYPRPPG >KN539214.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539214.1:57573:61039:1 gene:KN539214.1_FG001 transcript:KN539214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRPDHAVDAMRAGLQCLMSTCSSTKSTDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIQLGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >KN539214.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539214.1:107226:108992:-1 gene:KN539214.1_FG002 transcript:KN539214.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISIMVVVNRQAITLNQISPDTTEGNGSVMSWAWAKALMRQRDQMEYSSSTSINYTRTPLLSLYHEVDLATSPKCTYS >KN539214.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539214.1:38378:41730:-1 gene:KN539214.1_FG003 transcript:KN539214.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRTSNKNRPKSLKWCLSESKKVIVIFSDGGDRRSGREQRGAPPSASGRPAAADDDVVDDTGKKGGAGVVVWKDIVAYESTPLPPEKTTITGGVGGYAKKKKDASVTKGKKPIKSSPHCKSKIVAESTDDVIAASNDKPDGSSSSKKKLVKSVGSKLTGKSPASPELKAGEKSTPPSHKNKKMTTTRTNSLKPPKTKKNLVEISQQISHQDSSSSSSATAANDIKEEKPHNPPCQEEKKPGMAPPPSHRRARSMSITGSAKKSKSTTAQSVTRKRTGHA >KN539214.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539214.1:67754:70058:1 gene:KN539214.1_FG004 transcript:KN539214.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKDQILVRFTNFGQGHVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLSQIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >KN539214.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539214.1:94337:97139:-1 gene:KN539214.1_FG005 transcript:KN539214.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGLLNPHFQK >KN539214.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539214.1:23999:24202:-1 gene:KN539214.1_FG006 transcript:KN539214.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALARMVPSFATLNWNLEVAKQRVEAADAAVEAIPDVAGSWRDDVQVVRDGGDRFEESANVLREYMA >KN539214.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539214.1:111534:112147:-1 gene:KN539214.1_FG007 transcript:KN539214.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAILKQEQTFRQQVNELHRVYRVQKQLMIEMQSISTQAQAKADNRTIPRLEMDHQQWYRNSGEKKAPEFVEDFDLELTLATGAGRKQEKPSNSDSGATVSSSTSAESESERRFPESNVALRFQNESKRHDDQLMQSPWLYQCLSLKMA >KN539214.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539214.1:79132:83089:1 gene:KN539214.1_FG008 transcript:KN539214.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIYFVKTSPEGGFGMSHREALAQVTAQASHSPLRMFDHTEQPSFSAAPTSSEAMQHMNAAVNMTGISDMVMGPTNNENVAFQPAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAADQNEQSNDTTSGLSGIKRDQEAIYGMSEQLSGLSEGDDMDDGESRPHEADDKESDSKKRNIQISSQRTSAEAKIIVQTTSEVDLLDDGFRWRKYGQKVVKGNPHPRSYYKCTYAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNAGNAAPSSSARQNMQNLSSNQASLTMADFNNINQRPIGVLQFKSEE >KN539214.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539214.1:45695:48130:1 gene:KN539214.1_FG009 transcript:KN539214.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAVGLALSVPFAAYLATLAATHRLMAALLPPHESGLDNAAVEQELLDANGGKIEDGTTKETVSLTTDVPEFPELPVVETREEDGVIPVQQLGQDHHHTHVLDTGDKAEESTISSVSGTPVEIFTDKDNVEKGVVEDTVVFEAAVVEQLASNAGIVARELVDTNVAIVAIAAPENEATPPTSDLVARVSEEEVVVGSSYDGEMQETAVVDDTMRDLSDANMEQDVQHHDQRVVCSSVLMASPLAVGDYEDVISIGSTQDIHGICDETSQPGQERDDQSDGFEAKVISGDKLAPGQWNMALTHYYILSFFTVSMKDLYTEEHVRQQLETLRTITGYRSPFSSTLEGELAALYLFIGVEPPVSSRNASDLMEINAKLRVLKSIVGVD >KN539214.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539214.1:14284:17378:-1 gene:KN539214.1_FG010 transcript:KN539214.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAYRLHVSRLKRMHRAAGCRRCRHGRGYIGQQRHHQPPPCFPSQEGPAGFLAACQVADHQPDHGNGVRPGDHHESLTSVAAMLTLLLPRLGRAHEREVELEMVLGRMMPSSPSTGTSRSRLRQRGPLRAERERAPLEELLRKGSLALVAYRRHVTRLKCIELQEAINAAMYEVMSANNVITNLHLTFKAIGRSWSPRSKPSSRSPLRLSPWRLEIGARHLWVKRIELHDAAIEEVTSVNDVITDLHLAFEAKRAQLAASQLAKRQIAVQIVAMVCALVTNHKSLACAVAVLNSLLPRLERAHEREAELEMALGRMVPSFLALNWSLMVAKQRDEYSVDAAVHAIYP >KN541809.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541809.1:3302:9778:1 gene:KN541809.1_FG001 transcript:KN541809.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHASFASWDEEESSMLRILVATDCHLGYLEKDEIRRFDSFDTFEEICSLAVINKVDFILLVGDLFHENKPSISTLVKSMEIIRSYCLNDHQVQFQVVSDQAACLQNWFGRVNFEDPNFNIGLPVFTIHGTHDGPTGVDGLSAIDILSACNFVNYFGKVDPGSSDVGQISVCPVFIKKGATSVALYGLGNIRDEKLSRMLQTHYKIQWMKADSEDDWFNLFVFHQKSWRKGSSTNGINEQLLPSFLDLVIWGHEHECLIDHQEVSGKDFHIIQPGSSVATALSNAEAKPKNVVLLEIKGMQYKQTNIPLKSVRPFQYAEVQLKDQLGVELNNEAALYEHLDNIVSSLIDKTAISGSEPKLPLVRVKVDYSGFSTITPQRFGQKYVGKVANPNDIILFSRSAQHNRTREHTGGSEECEPNELDQQSIEELIAESNLNMQILDKNDLESALHDFVNKDENMAFHSCLDKNIDAAKKKLTFATKDLKAEEDIVLQPDQCMQERMNEDPLLSKGGSEIMPCSQSLPTNALSAFQELKCSSNEEQDTHESDELIEISDKEHSQQASQKRPAPVDGGSQSSRRKTDLSSFYRTAPSKNNDDGGKKGKAPVI >KN539214.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539214.1:100099:100589:-1 gene:KN539214.1_FG011 transcript:KN539214.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNAIAKSAGLLREIKNKQSSNLMSRVQPSEAKSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDAETASSPTSNDAAAFDHPFV >KN539214.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539214.1:52667:54414:1 gene:KN539214.1_FG012 transcript:KN539214.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIGEGTLSLGDFVASAKALIEKWKEEGYLALEGVYRNPGGRHVSIVLVYLHALQEQIEDSSNFDDADIVSGNDAWVQSSSESVHIYDYHVVYSFSYKVPVLYFQGHQADISNLFLGGQLLTLDEIKEDLPSHSLKLLGESKWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGMQDKDQQVQYLPAWLSVVGQAVGLKIPLELYYASGLKTQE >KN541809.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541809.1:14127:16130:-1 gene:KN541809.1_FG002 transcript:KN541809.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFIHLAWRSEKHRRRISFNANIMINVDFSQDWMEKKLHYRKIAGRAPPRSCKGITARRRRKREQLVRRAEGKVKVKVMDGSKYRAKGSAMADIDDIYGESHSKKIELPRRNKKFLTNSGVDSQDEELHRIEHPGNSLDQDSDRVRYNKLLEKEIDKVSGETKNKKSIDGSLEKISKHSLGDANKNGLKQAVEDMAGGAAAVKPNMSVNDDGTQQQNKRPKKKKTRSKKKMIDSATTNSSSEVTKEK >KN541809.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541809.1:11924:12733:-1 gene:KN541809.1_FG003 transcript:KN541809.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMELLQQKEAELEEIKVRFQDYKTTERKSVGSKSTHVQSNNANARPDNAVVEKVTSSSDATPTRAEEKSSKNTTTAESRHPKDTSLEEKQVKSATSKEEDGLQDKTDDAIEDIDDIYGESHSKKIEFPRRNKKFLTNSGVDSQDEELHRIEHPGNSLDQDSDRVRYNKLLEKEIDKVSGETKNKKSIDGSLEKISKHSLGDANKNGLKQTVEDMAGGTAAVKPNMSVNDDGTQQQNKRPKKKKTRSKKKMIDSATTNSSSEVTKEK >AMDW01031418.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031418.1:36:332:1 gene:AMDW01031418.1_FG001 transcript:AMDW01031418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKAEPQKYADCIHWCLPG >KN538882.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538882.1:173340:177109:-1 gene:KN538882.1_FG020 transcript:KN538882.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MMITLVRLLPLVLVVALAWPAAEAPAAASPPPDPVQCSSGSGTADCTVSSAYGVFPDRSTCRAAAAVYPSTEEELVRAVANATASGTKMKVATSAVHEYVVGMRIVTPAPAADGYAKVRVLTAADPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFMERDDDDLADQVTKFGYQHEFADIAWYPGIGRAGVLDFIGFRATPRLLIRTNRLAEELFERAGNGSGKCVTSRVTHAALSSAGYGLMRRSGGLFTGYPVVGPQHRMQASGGCITGPEDALLTACPWDPRVRGSSFFHQTTFSLPVSRAGAFVEEVRRLRDMNPKALCGVELYDGILIRYVKASTAHLGKPAAGGGQSDDMVDFDMTYYRSRDPNRARLFEDVLEEIEQMGVFKYGGLPHWGKNRNLAFVGAARKYPRIGEFLRIKDAYDPDGLFSSDWSDMMLGIGGRAPTRDAPGCALEGMCVCSQDAHCAPEQGYVCRPGKVYKDARVCTKV >KN538882.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538882.1:165728:167169:1 gene:KN538882.1_FG021 transcript:KN538882.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSPATAAPAASPALFSASTSRPLSLTAAAAAVSARIPSRRGFRRGRFTVCNVAAPSATQQEAKAAGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLEGEKLPVVTAKITMVDLPLGATEDRVCGTIDIEKALTDGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRESYLEEQDKLQQQISSARSNLGAVQIDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFT >KN541758.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541758.1:14283:14651:1 gene:KN541758.1_FG001 transcript:KN541758.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKSENASEYVTRRRDNGRSSGRGKSSPQRCTQSDEAMRVSTMADEAADHSAVASSGVRERQDRVDERGNRRCHGRKDGWMDEVCCESERLWWRTWILAHEASGIWWRENAGGRRMEAWN >AMDW01031130.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031130.1:79:438:-1 gene:AMDW01031130.1_FG001 transcript:AMDW01031130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NNVLPSVDGYYGNCVYPGGLAKTSQEVKDASLVEIVTAIREAKDVLSTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGI >KN541758.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541758.1:18706:20896:-1 gene:KN541758.1_FG002 transcript:KN541758.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFNPVNDSDWLIRAAMLLSFTLQVILFFVAPTRKRISHPLPHLAVWFSYLVAGWVAVVGLGLLLYSLSISGSNNGSSSIFAFWTPFLLLHLGGPDTITAYSLDDNELWLRHLAGMLFVVFAALVVFFSSVTSNPMVTATVLVFVAGVIKYGERIYSLYSGSVRGFRDKMLGEPNPGPNYAKLMTEFESKKNAGLMVEIIVVDGEPNEALEQAEVMKNSGKSLEAVAYELFAMFRVLFVNLILSYKERRISQAYFLDRGDVMAAAAAFEVVEVELGFLYDMAYTKATVSSTRRGCLLRFVATACLVVAVVLFVLIDDKAGVRPVDRGVTHALLLGGVALDVAGYLMLLSSDRTLAFLDGKPKVAWLARVVRAVRLPTRRWSERITKMNLISYSLGKPEEDAGRRCWCCRWTTIPRVVRCLTWAADMVGVREILDDFFFIRHEPVSCRKIKDSKKGKKSIDVLNYVFDGLRKTASEARYSGGNDMKEVCDYRGEGVINELVGDIQLMELNVNDVMRDSVRREFDESLLLWHVATDLCSHRRRDMEVPRNGDIQGLMSISETLSEYMLYLLARRPEMLPAATATATAAGIGLLRYRDTRAEARRLFRSAAAWDPSHHDAQRMLLELGDDTMWRVVAGVWREMLVHAAGRCHGSTHVRQLGRGGELITLVWFLMAHMGIGDMYRTQVGGANAKLVVHDQ >AMDW01038678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038678.1:68:271:-1 gene:AMDW01038678.1_FG001 transcript:AMDW01038678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYDSEEAAARAYDLAALKYWGPETVLNFPLEEYEKERSEME >KN540603.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540603.1:9530:11935:1 gene:KN540603.1_FG001 transcript:KN540603.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAQLGEEDPLWQNIIGNFLKKVKMPYDQNLEIIGMDTGPLQEPIYDVKISASSREQTKWLEQSLAGTSSNWKIVVGYDPFFVCAEPHTLQTRKFYESLQRIFAKYAVNAYISTGSSCGYFRQDNSMLYIGNPSPVDHTSSDGFLLHIVNPLEMESLLINLEGKVVERSVVHHHD >AMDW01038157.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038157.1:140:553:-1 gene:AMDW01038157.1_FG001 transcript:AMDW01038157.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAE >KN540603.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540603.1:24795:26411:-1 gene:KN540603.1_FG002 transcript:KN540603.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLLALKMNKVDLRSKGNAFIRGSISSLAAAILEVSIFLFLWLWLCSSQLLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLIIGMDKKLCLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLELSSMVLAATVLGIVAWITWVIMKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTVTSSAAQSQSQPDTDTASSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASFFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >KN540603.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540603.1:21516:24407:-1 gene:KN540603.1_FG003 transcript:KN540603.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGHLLYFLKTLFEHYGQRYDAEAVPKRILELMNAEKLTRENVASHLQKYRLYLKRLWAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQTFAPSAALPSFNPHGLLTRTSAAAAFGLQELAAPSSTIQTATGNVTIGHCLEENQQANLAQGLTAAIGQPQLQQNWIHQESNGLSDVFSGSALTNTLSSTLQRVPSSSLPPQELLECKQTKVSMPPSIRIPPSSSALLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTVILPLDTARHSDYL >KN540603.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540603.1:16517:20172:1 gene:KN540603.1_FG004 transcript:KN540603.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTNKLLDILNSILDTTKVESGKMQLEEVEFNMADILEESVDMANVVGINKGIEVIWDPCDFSVMKCDNIIGDSKRFKQILDNLLGNAMKFTQEGRVILRAWANRPIVRGSIGAPSRFAYRSLENNFFSFFFGAKGDGVAQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGSQATEEDIEEGPLTVSESDIRATVFREANCFKGWHCILFVHGDETIRVLQDWMESIGMKVWMVLRVESISSTLEKARSSRDDCDADRCFSSKEMVSQVLPTTLRSNNIMARNLGEHHPLCMLLIVDVSNRQLENIQRQARDFAQMRSQVPCKFVCLTDLRTSYKDFRRFQEMSCDLVLRKPVHGSRLYSLPMTLRDVQSSLMHRSSLVGHENSVSRQQDSANIVASAEVGRLDQGLKAEKDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGATVELAGDGAKAVDMFKDAIERTSVSEEHSVPLPYDVIFMDCQMPQMDGYEATRRIREEESRYGIRTPIIALTAHSMEEDLQKAISVGMDLHMTKPIERRRIVEAVHGVCKDKN >KN540751.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540751.1:7409:11882:-1 gene:KN540751.1_FG001 transcript:KN540751.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEDIIEAIEDAGFEAELLPDSTVSQPKPQNTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSVISKNEIVQAIEDAGFEAALLQSSEQDKVLLGLMGLHTEVDADILHDILNKMEGLRQFNVNFVLSEAEIVFDPEVVGLRSIVDTIEMESSGRLRAHVQNPYIRAASNDAQEASKMLHLLRSSLFLSHTDSPLVPLQQIPVFFMRMVCPRIHFTRSLLLMHLGPFYIGDLLKWILVSIVQFGVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKEGKYAAEKEIDASLIQPGDVLKVLPGSKVPADGTVVWGTSHVDESMVTGESAPISKEVSSIVIGGTMNLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVVTLSLVTFIAWFLCGSLGAYPNSWVDETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTSTKVFSGIDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDDIKKRKQQILSQWLLEVAEFSALPGKGVQCLINGKKILVGNRTLITENGINIPEEAESFLVDLELNAKTGVLVAYDSELIGSIGMTDPLKREAVVVVEGLKKMGIYPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVDFVDR >KN540751.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540751.1:42688:42807:-1 gene:KN540751.1_FG002 transcript:KN540751.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GTGMVARITAVSTESVYSFLRHHLAAMEPFLGACPYPAS >KN540751.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540751.1:24163:28388:1 gene:KN540751.1_FG003 transcript:KN540751.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLACCTSICPHCAPSHRHHPLLQVRRYVYNDVVRLGDLEKLIDCSYVQGMMIDE >KN540751.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540751.1:17358:17818:1 gene:KN540751.1_FG004 transcript:KN540751.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCDICQEKRGFLFCKEDRAILCRECDVPVHTTSELTMRHSRYLLTGVRLSSEPVASPAPPAEEENSSSSSISEYLTKTLPGWHVEAAAAAAATSSGISTNGPCQVGATDRP >KN543821.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543821.1:9:998:1 gene:KN543821.1_FG001 transcript:KN543821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLKDDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQILCFSDNDLEDANIETLRNRGVIKHQNHATGGKRILIIIELIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTQALRVNFLTQEAYWYFFKLRTFGSTDAAEHPKMASIAMDIAMELNGCFMGSSIYTALLRANFNAQYWSMALARIRGFRKLNLLLYGACFFDSPWQRVEPAYIRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHSEDDEVPMVSVQDFLFGRVPQCKFKVLAFRSHLPPHNDYVLNCKVQRSSHMVTRKKRSQKLCT >KN541370.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541370.1:290:2454:-1 gene:KN541370.1_FG001 transcript:KN541370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFTDVVGSPYYVAPEVLKKSYGQEADVWSAGVIIYILLCGVPPFWAAAGLGGAVAAEAVLGHEQAQEDGIESEHLSLHCGNWDLFMVMVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEIYQLMQAIFR >KN539470.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539470.1:35057:37100:1 gene:KN539470.1_FG001 transcript:KN539470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAAPLLLAAASFLLHVVAGDGSEPTPTPTPWPAQFHAKLLMEFHGNLSLADLWYDWPGGRNLHVIRYQLAADEPFYDNEWNNGTSFFYTPARRACRSAAVGVGILPPNWLVPGSVYLGRHPADGFDCHVWAKADFITYYEDTLTKRPVKWVFYTGRTSHVMSFEEGAVLEDAEWQAPEYCFGKGDETETSNDNALISEPVAGHDKGFFPRREF >KN539470.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539470.1:69497:75827:1 gene:KN539470.1_FG002 transcript:KN539470.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKKGLARYATAEHINPPNSRKSSRSTQAAAAEHKVNDLITSSSKKQIGKVSPTVMYKAIAYLLECGGLLKKNRALRSGKKLNSIYDSAGTGNDVADVPSSSFLNHKQSHENDEDRSCDSIFSPSFHNQKEDVTDCLSEGLVHEEVTHEPAQNSDYVTNSISCNTFDGLSKHSYDIHMQSACGSTLEDDEFSQLGSLSPEVSAIYLAMQHSKLECVDEQSQDSTSTDECADPDETVELDYFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPRTTLVLDLDVNVNLPLLLCCHAETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYLKEFLETVASMFGIIIFTASQSIYAEQLLNILDPKRRLFRHRVYRESCLFVEGNYLKDLSVLGRDLARVVIVDNSPQAFGFQLDNGVPIESWLVLVGDEGALAGTAEEARRCGGGGGGAVAVVGLDLHGCDEAAVDAAVGTAWRCFDGLDAMVNCYSYEGEVQDCLDISEDEFKRTMKANVMTPWFLVKAIAKRLRDSESSCGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSSMELGKHKMRVNAVCRGLHLGDRFPVWVGKEKAEKATGEVMPLRRWLDPEKDVASTVLYLVGDESRYMTGSTIFVDGAQSIVRPRMRSFM >KN539470.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539470.1:18365:21846:1 gene:KN539470.1_FG003 transcript:KN539470.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSIKEDPSKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKDEYANEKNFLGGALGDKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVCFRTAQDSNGILNSWAANLEKLLDLVEKSCHQIHKETMIHKAVLKA >KN539470.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539470.1:40076:45013:1 gene:KN539470.1_FG004 transcript:KN539470.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKKERDGHRDDGDVTEWKKVAELRAVVEAQDPACKEEDDYQLRRFLRARDHNIGKASAMLVKYLQWKREVKPGGRAIADEEVRGELAQEKLYMQGYDRQGRPLVYGFGARHFPARRDLDEFKRYVVYVLDRTCARLGAGNGGQEKFAAVADLQGWGYYANCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIIYPFIDDNTKKKFVFVADKDLHATLRDAIDDSNLAEDYGGKLKLALWVVGVVGSIGTYVAGAQPLDESLVGYVLDHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDNGTKAGLLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALIQKLQMQTETDD >KN539470.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539470.1:58516:60046:1 gene:KN539470.1_FG005 transcript:KN539470.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDAQIFRVPVPAAHRRGQKPAQRRPRTAWIPAAPGTDGYEEEAEHYYDDADQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPGSMGYGGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLQRSDSVS >KN539470.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539470.1:7363:14491:-1 gene:KN539470.1_FG006 transcript:KN539470.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASCASLSNGIEQVFTIGVTLTMKVLTYPTFHLSNLVQVLFSPPRKHILHHPHQKIDNHLAARYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVIYRVTVRGTDGEVHREAAGTTSLNDARFDDPVAAAEEAAFCKACARFGFGLYLYHEDETP >KN539470.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539470.1:77218:79004:-1 gene:KN539470.1_FG007 transcript:KN539470.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVAVTEATGRQAASFVLGCVATLTVMLLFQYQAPPDYGRAARSPVQFSTSRDQLLLHCGGNGTAPPPPPVIARGGEEANITGKPPTTATTVAEQPTKPPAASTASPPTHHIPATSTDLEEEGGEFRGLAAAVARAATDDRTVIITCVNHAFAAPDSLLDIFLEGFRVGDGTPELLRHVLVVAMDPTALTRCRAVHPHCYLYTMPGLDVDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVAVYADMAISSDVFFGDPDNIDNFPNTGFFYVKPSARTIAMTKEWHEARSSHPGLNEQPVFNHIKKKLVKKLKLKVQYLDTAYIGGFCSYGKDLSKICTMHANCCIGLQSKISDLKGVLDDWKNYTRLPPWAKPNAKWTVPGKCIH >KN539470.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539470.1:95250:98803:-1 gene:KN539470.1_FG008 transcript:KN539470.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g77010, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77010) UniProtKB/Swiss-Prot;Acc:O49287] MAVIIDVRNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALINMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMQSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGYASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVNPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMQKVAKKLMEMESSHPSFGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNPLVSGRRKEALQYAQADVFNVENPTVDGSYGKLWYQCTSN >KN539470.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539470.1:103291:103631:1 gene:KN539470.1_FG009 transcript:KN539470.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDYSVKVSIGSQFATPFNCINHHLKVEKLIKELPTAPSDSSNVEAVSGDKGYSGNVATPPNVEDGTDVRETQSILLERIASEMNRLKFYISHAQ >KN539470.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539470.1:49834:54618:-1 gene:KN539470.1_FG010 transcript:KN539470.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGSSVASAERMREVADLILSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHVRTIDELGLDRSIVSAHHVLNMKDCRQLKHGLLEELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPAKEGGIPVRVKNSYNRRAPGTVITKTRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWCRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCIQALHSAFFENGFLSEVEEEDLQHNGSPVSPNGVIYGN >KN539470.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539470.1:24858:28239:1 gene:KN539470.1_FG011 transcript:KN539470.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLRSHGARRMLSYPTLRAAVISGPTALPDASAAAAPPQPPPLAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKSFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTPSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYQKFEAEIYVLTKDEGGRHTAFLSNYSPQFYFRTADVTGKVVLPDGVEMVMPGDNVTAGFELISPVPLEPGQRFALREGGRTVGAGVVSKVYS >KN539470.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539470.1:1348:5904:-1 gene:KN539470.1_FG012 transcript:KN539470.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPALKRPKLEKDDYESAYWPRPAASNASSASKPHSSSSATATATATAATREDEEDDIAEEAVLALIAHRERDVERCKLKLSHYQSLINVAILIDSHGSPKEHQNLIQSVKKSSATVLRFYGGTVICSQHKRKLRCLELCPVNDQLVATSALDGIVTLWQVQPKGPAISLLSTTDCFSPKHRWPEDVAWHPHGVSLTNPLKQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVILWQEKDDSWNHKKVHKDLHSSAVMGVAGLQQKSTILSVGSDKRIISFDLAAGRTESKNLIDYKCMSVLPNPCDFNLYMVQTAAPGRQLRLFDVRLRQTEVHTLGWKQESSESQSALINQSWSPDGWYLSSGSADPMIHIFDIRHNGQNPCQSVQAHQKRVFKALWHRTAPVLTSISSDLNIGIHKYS >KN539470.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539470.1:64558:67862:-1 gene:KN539470.1_FG013 transcript:KN539470.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSKLLALAQQWQLLLLLLLLPIASLLLVEKLIGKLTRPEPEPVELNDHIFALTDGIIGAVAFGSIYGTERFAGGGRKRFHHLLDDVMDMLASFSAEDFFPNAAAARLFDHLTGLVARRERVFQQLDAFFEMDAFIGGIGTSSVTILWAMAELMRSPRVMRKVQAEIRATVGDRDGGGMVQPDDLPRLAYLKMTMRDVRIGGYEVAARTRVMVNAWAIGRDAARWEEAEVFDPDRFEAKRVEFNGGHFELLPFGSGRRICPGIAMGAANVEFTLANLLHCFDWALPEGMAPEELSMEESGGLVLHRKAPLVLVPTRYIQL >KN539470.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539470.1:87614:88666:-1 gene:KN539470.1_FG014 transcript:KN539470.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSSSISPLVSFVLGAAMATVCILFVMSASPGRRLADISAWSNAEDAPPLPLPLQDAAADSNDSLAAAAAANVTVVAAPAPAPVQLLSAWVPQVYCHCCHVLTRVTGHRSGMRTRGTGNG >KN539470.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539470.1:30979:33749:-1 gene:KN539470.1_FG015 transcript:KN539470.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSAVAAVGVLVLLCVLLHGEMAESAVFTVGDRGGWGMGAGSWANGKRFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTAAPSGAKVYKSGNDRITLARGTNYFICNFPGHCQAGMKIAMNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGATAQAKEENDDDVPELVPGENFEEVAQETKA >KN542147.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542147.1:8480:11984:1 gene:KN542147.1_FG001 transcript:KN542147.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRADNLREKVRTLFQTSGDVVARMKLVDSIQRLGVGHLFNEEISTTLSNIHASEFTSSSLYEVALRFRLLREHGLWVSSATFNIFKGDDGRFINEIADEPSSLLSLYNAAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQRVHLKELKAISEWWKDLNGYVGLSYLRDRLVESYTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESAVFLLPKYLKKFYNELLNNFNVFEDQVTINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISNEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVTSDVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRNDAYTFSTHLQEIVRSLFINPIPM >AMDW01070627.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070627.1:53:587:-1 gene:AMDW01070627.1_FG001 transcript:AMDW01070627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQ >KN543351.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543351.1:6228:6599:-1 gene:KN543351.1_FG001 transcript:KN543351.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKLWDISSNQPSVIASLNPKVGCIFSISFSKDNPFLLAVGGQKGNLKVWNTLTEPLVANKIGKHGSS >KN543271.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543271.1:1611:2870:1 gene:KN543271.1_FG001 transcript:KN543271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVGQGWWSRSDEARHYRGFKHGHNIFDCNQRTHQRRFDILVLDVVSLGAHHLLLLLPPLLSSCLPGTSQLPPVPHRPRLGGQLPVEIFELIAMRLPCLIDRLNGSRAYRTWVQPFQPQHRLPHNLPILVLPWRRARLGPPPFGLRSPSFFCIAHNRIAHCIRRLSKARYFGSYEGGWVFMANDATRYTELVDLWTLRRIPLPVPYSAPAGVAVLVAALSCTPPPPPPTLLAHHLACVGAAIFSIPAVGPWTRAMLWLVGHPGGRPFYDPQPSPEYSQMVEEVVFFCGAFYFLTHEEDLVEMTVNYVDGQRSVVELTLSFEREHDDDGDEVDARYLVESRRELLMVVRFAPRPRHSVGPGPSVGVFQMTQSDGAVDYTWEKLPGLDGRMLFVARGCSRSYEAVDFPGCVEGIYFLDDS >KN543271.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543271.1:7695:9360:-1 gene:KN543271.1_FG002 transcript:KN543271.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTAVEFARHVLKLPDANSTEFDAKTENPCVTIMPECSNEGKGGTMRRGSKRIFFKVADSKSAKLYGSVSHIDERFRHRYQIVEIPNHRFFVGVQFHPEFKSRPSKPSALFVGLIAASCGQLDDALQDASCNHEPQQNRRAEKRLAAGDLGDGTCRSKRQLKACSNSNDTDEAQDVTTC >KN543271.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543271.1:5120:5955:-1 gene:KN543271.1_FG003 transcript:KN543271.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGMALFVDNNLLLRVLDPAYGAAPLVPDYEIHPINYSFTLVRTASTGDYKVLRITKDVALQLRERQVGPQHWSKLYTVTMPYHGRPFWLGGEGAEPVVVLDDGRIVFWVWERRVSSRGGVMRVYDPNTGGQTDVAAEANCVHVGVYTGSLLRPR >KN539780.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539780.1:6628:7868:-1 gene:KN539780.1_FG001 transcript:KN539780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDTAPQCRPGAGAATDSSTSVSVAPEEFEFCVLPSGGLAVAGADEDGMCVADEFL >KN539780.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539780.1:69019:73658:1 gene:KN539780.1_FG002 transcript:KN539780.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable esterase KAI2 [Source:Projected from Arabidopsis thaliana (AT4G37470) UniProtKB/Swiss-Prot;Acc:Q9SZU7] MGAGPTNPDYFDFSRYATLEGYALDLLAILQELRVASCIYVGHSVSAVIGAIASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEDLDELFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >KN539780.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539780.1:44683:44817:1 gene:KN539780.1_FG003 transcript:KN539780.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLKEPEEMEVLECTRQAERRPGSCQKDEAQRELEVEIAWRL >KN539780.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539780.1:38056:38857:1 gene:KN539780.1_FG004 transcript:KN539780.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSRVSVRAAAPGQAGGFAKIRPQPAAPKDARPAKVQEMFVYEINERDRESPAYLRLSTKQTENALGDLVPFTNKLYSGSLDKRLGISAGICILIQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKATEPHACLNNFTN >KN539780.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539780.1:80331:80915:-1 gene:KN539780.1_FG005 transcript:KN539780.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNYKIPMEEQDLKAKPPRSSSDSALSTQKLSHLRGSHSHRALEALVGTKSPEYKQTGLPTWTNKLLNHQKAPWPILEADGQKKGGASRQQGGKAGLERN >AMDW01033859.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033859.1:40:388:-1 gene:AMDW01033859.1_FG001 transcript:AMDW01033859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNEELAPSFGFTEGEKDMGYHYDDTWIVAIDMSCKIVGVTFRYIEAVEKSTPE >KN541837.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541837.1:5737:7956:-1 gene:KN541837.1_FG001 transcript:KN541837.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAAAAGDGGWPEPVVRVQALSESGAATIPDRETTAEYSEEVRRLCERLMAVMAVGLGVEEGRLQEAFGGGEGAGVCVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLVDDRVKGLQVRHAGAWVTVDPVPDAFIVNVGDQIQWSN >KN538840.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538840.1:82923:84606:-1 gene:KN538840.1_FG027 transcript:KN538840.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVKRSELVAHESLGDGDPPEKEGGGSPKRRRTEAEAAAAGDLIGDLPDDILRDIIARLPTREACTTQVLAKRWRHLWRSTPLCLDYRSLLPLRHKGNSLAVAGVISAVLSSHPGPCRRLCVPADNLLLDSSRDTVDRWLRSAAVYNLQELEFLSNRNGGAGAPPQSPPPPPPVYLFRFSHTLHTATISRCELQYTTVHDQLRFPRLKHLGLEDVTITEASLHAMIARCPLLECLLLARSVGFRRVRISSPSLRSLGVLVARRQIAALPRQTQLEEIIVEDAPLLEKLLDLAVRNNLRLSVISAPKLETIGCLTDRWFGPRIMFGSTTVVKGVSVVRLIEAVRTVRILAINMIVLNLAKVIDLMRCFPCLEKLYLKCCLSAGNNYWRHEYQTLIKILDIHLKTVELENYRGTKPQIDFAQFFVLNAKVLESMKFVVKSEDYYDGFVAKQHKMLQLDKRASRCARFKFTTDRCHHHADPECPIDIEHVQDLSFIDPFECRC >KN538840.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538840.1:47209:47529:1 gene:KN538840.1_FG029 transcript:KN538840.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGQRQKGPGLSDLEVPIHLKVRSNSLKLMGGGEAVVSNLVIEDGVGSIQSSGWRKRWRSELMANRWTAVPGERRGRGRLSGLGRLGDDMWQSGADRRGDDRRP >KN544548.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544548.1:199:2656:1 gene:KN544548.1_FG001 transcript:KN544548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSGKHILHAKSAHEMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHETTEVILKRNINIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGAANTKVQLLLYVSKGAEFSRISRLIQDEHLPLLCSLVASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKRCNQDLDSEESDPE >KN538840.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538840.1:88048:92529:-1 gene:KN538840.1_FG030 transcript:KN538840.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPMPMPAQAPPTAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADTQPQTTLSRPQMVSHGASPGLGGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLSFGGQMVMRPGVVNGIPQLLQAEMHRGADHQNAGAATSEPSESHRSTGTENDGGSDFGDQS >KN538840.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538840.1:101718:107543:-1 gene:KN538840.1_FG031 transcript:KN538840.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSQALLFYLQSPDGEVEQGVWRGVGIGLELIGEGIINGRGGIPVSGKKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >KN538840.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538840.1:42487:46525:-1 gene:KN538840.1_FG033 transcript:KN538840.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLPVVSVIRTIQQEIADDMKLEGEVKNKFEELLQEMRLITGFIKNYRQGGKHGLDGLIAELQNLAYDIEDFKDLHDHKPKSSLLGRVFFMDTRQEDIKRMDSFMGRVAKLREWMVWIEGLKDDGSRLAAAGGVVGEAPRGEPDYAALDARARIRAPVGMEEHLRALSELLAADDNAEPEPEPGQLRVVSVVGCRGVGKTTLAWGLYQRRDSLALGGQLGCCAWVEMKAPQGSEADARSLLTDIIRQVSSQHAAPKDEAVATTDDVNRLCTTLHGYLQGYSGDLNLYVPTDCRYFIVIDDLRDWEVWENVKSAFPNDTSSIIVVTTSFQSVATACSSSSYVYKLKGLDTNGCSRLLWDNVIGESSRHKKYNLHPDLENYFKEIIRKCDGLPMAVLSVAKFLRRKQHGRSPDVKDCKEAIRLSKHLSKGSDPDFREMQRVLDESYEDLPNYNLKTCLLSISMFPHGHQIKIKSLERRWMAEGLVARTDDDVVDEELDTAYDALKELVNRSIIEPVQDGSMVNLKAEVKRCLVHGVMLEYLVGKSISRNYVCLIKDGKILFNKEESSSCPTRRLSVHDTTVVTDAKMLGQVRSLTIVEKEEEPAADADADADATGRSVDRRGKGAAPVPVPRSPPLRRLVDYIQGCKLLRVLDIHKCRGVDDNVLRRICDLQLLRYLSLRGTDARKLPKQIGRLRDLETLDVRDLQMSEPMDLPLEAILLPRLVYLFGKLKLPDDLHKSKAAKGGQLHTLAGFVVTKKKNQSFAYILNRKHTSRLRKLKIWWTEDEDMPNDLSTQLMESLQQRSSGTNHLESLSLDFGDRSLEFLEKVSPTVPWTISTIKLRGKLSKLPGFINGKNSLSEVEISQLHLLHTGLGCQALSILQRLPKLKYLKIVEVDGTVDDGKEEVDSAAINDFGKGVFKVEDGGFPFLEGLFIEATRLPKMTIGSNAMQQLITLELHCTDVSGFQVAAIENLGSLAHVVLKPSPIDRAAWKAAAKNNINCPRVEFL >KN538840.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538840.1:97589:100828:1 gene:KN538840.1_FG036 transcript:KN538840.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARPLGEEAHERKMASGIAAVDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAGNASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFAIMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFGPQIKPIGGNIMAHASTTRLFLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >KN538840.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538840.1:51178:57750:1 gene:KN538840.1_FG037 transcript:KN538840.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRPIVSASTGVMVSLQTKLTSAARDEASSLKEVRTDVNSLSDELGSMDALLRSFAERDDPDLQVTEWMRQVRELAYDAEDCIDLYTHQHEQEKRGGGGGGGGQSRGFFPWMNRRPDMAKRIRELTERIKEANKRAEAYRLVGADSKDAQCHATSGGGQIVRHNSVAIDPLVLDVAADGFQDSIFATCSTYFVVVDDLWSPSAWKVIKCALPETNRGSRIVVITRSNEVAGSCSSSPSSVHLMSPLVERDAEDLFCIRIGCTREMYELHGLKEVFSNVFKTCGGVPLAFVAVAGLLARKFSELMDWSIAKNLVVSALDKCSKLQGMRRILHACYSDLSMPLKTCLLYLSCFPENHTIMKDRLVWRWIAEGFVPSGGDIGKTWSTGLTYFNELVNRRLIQPVVARDDDDDGSEPMGCTACTVHDVVLDFVVSMSSEENFVTSDAGIRSLDQPRDVIRRLSLNCSSQEDDGGVPAADSLDVSLSQVRSFTVFGGGAWLGSLLHNFRLLRVLDLQETDKLTNDDLKSIERLFRLRYLGLRGDGISKLPEKIGDLQFLEILDVRRTAVKDLPASIVRLRRLARLLATEFPMHDGMEKMASLQEVRMIKVNEATSPERLAELARQTNLRVLGINWCVNGNASAGTGNANGSSGGDGQGFAERFRTALDNIGALSKVESLLLHAGDATQKSLDGMVDTWKPPLRLHTFVMTSKGYCFPRVPPNMHKLSNLAHLTISIVKLDVQDLQLLGALPSLLVLKIHTRESAPTTITDSVLRCLQLLCFESDDGGLGLVFEEWAMPNLHELRLSFKAGTEVRSACIDHLFSLRQLHVQVHATVLDHCDAEAAASVKDAEEAIREQLGKFKTQPVLEFVVDNKVMEKPEDSAGQVGQKS >KN538840.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538840.1:8476:9690:1 gene:KN538840.1_FG038 transcript:KN538840.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLVASLCSAGAVLAVGLCVVIACLVVRRKRRKMKDMEEAGLFDDEDIDNVFDNATGPKRYRYSELAIATDDFSDDKKLGEGGFGSVYKGFLRDLNLDVAIKQVSKNSKQGKKEYVSEVRVISRLRHRNLVQLMGWCHGGGNLLLVYELMPNGSLDAHLHCTTGSKLPLPWMVACGRKPAVVLPDDDDDGAVIHLAQLVWDQYYGQGRLLDAADPRLNGEFDEQEMERVLVVGLWCAHPDRAMRASIRQAVSVLRHEAPLPRLPAKMPVATFLPAVDRRSLVGSADHSSSSAPPAPPAELLHNMNI >KN538840.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538840.1:111580:112211:1 gene:KN538840.1_FG039 transcript:KN538840.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLGDGFYHQGFDEGVGLFDIDMLLFGGVPGNYFLGVLMVMMLVWWWWGGGGGGGPPYNSADIHGLHPDNFNRYIVRFKGVTEDINGGNCFWDVCFHLYFLSVVVVVNDMLLMDMDPNVEEEDEQLVQDNHKWQFVRDYPR >KN538840.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538840.1:77970:79253:-1 gene:KN538840.1_FG042 transcript:KN538840.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSTATTMVSFTAPMVSMTYSTSTPVCPPAEPTPIDIFNQFGMQIARCQEAVDNLASMLNSRETKPEVLPEDMLDSECSSHTSGMLLVSSPSHIMPISSSATITQVVQVKAEKPEVTEVLDAIPEKKRGRTKHRKTIKRVSWVHVGCRPVRWPIRFLQSCKVGDVITHVGGVSLFKEVEVEVRFHVFDDKGHNINADLLSKRVNLADKILVREEGVDQSTQQSNNLILAPVDAMFSEMSPDGWYEWRQVYLAWEISHVQNILEEIPSWDRSAFSPWECCGSYPWIYGSNRYYLWFDKVLSCQQNGFSPRSIEQQKLHKECLYGGLQKVHKNQFQQYFHCFALPQCKHLSHITLLTSNVISSNNMQWYSGILGIAFMELSTIHYLQMELIWMTEAQAWGKSRIRSFLGFSILHDLWLKEPWPSPS >AMDW01124074.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01124074.1:522:1347:-1 gene:AMDW01124074.1_FG001 transcript:AMDW01124074.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PDIAAPGVSILAAVGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGIPIQAEGVPRKVADPFDFGGGHIEPDRAVDPGLVYDIDPREYAKFYNCSINPKDECESYMRQLYQLNLPSIVVPDLKDFVTVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYMFGSLTWQDGSTHSVRIPIAVRTIIQDFVADTS >KN538689.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538689.1:131410:132615:1 gene:KN538689.1_FG109 transcript:KN538689.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKQRLYSLKLLVKALHKLKKKMMMKPNKGNKIGSSKPSSPALTEAASATAAAAGGGVEAAIGSSKPKASPRRAAQGGQRKGVVRVKVVLTKEEAARLLSLTVGAGAGVKLRSRDSGWLRREQ >KN538689.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538689.1:57163:58238:-1 gene:KN538689.1_FG110 transcript:KN538689.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEATVIPLVLIEPTAGDGVTERSRAHLVVGEGQRTCRSKSKQPLPLSMDQRRRQRHKAPRSRTPETRLQDVTDKNLQDSDKDEKASKKNTQGQAGQSGGRQQQDQGPCSQERLRNGEDRRSRSFQPRSQEKQSRHVVCLLASRARETVRRQGGTNQDGTRIVARVFLRLFKNSGEIQTPRKEEEEEEDERGKRKRGLRREKATGKDELRRKRTMRFLMEMMQELMLCVQALA >KN538689.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538689.1:4326:5446:-1 gene:KN538689.1_FG111 transcript:KN538689.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPVAIAVILLCTFLAFQAMAADAYGGGGGDDGVTTQMFEEWMAKFGKKYPCHGEKEHRFGVFRDNVRFIRSYRPPAGYNSALRVNQFADLTNDEFVSTHTGAKPPCPKDAPRSVDPIWLPCCIDWRYKGAVTDVKDHGACGSCWAFAAVAAIEGLTQIRTGKLTPLSEQELDGASGKKYWVAKNSWGKTWGEKGYILLEKDVASPHGTCGVAVSPFYPTV >KN538689.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538689.1:108:1111:-1 gene:KN538689.1_FG113 transcript:KN538689.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRRLIPSGFPAILRRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFE >KN538689.1_FGP114 pep scaffold:O_longistaminata_v1.0:KN538689.1:205722:206276:-1 gene:KN538689.1_FG114 transcript:KN538689.1_FGT114 gene_biotype:protein_coding transcript_biotype:protein_coding LYRYRLGDVVKVAGFYNATPKLKFVCRRNLMLSINIDKNSEQDLQMAVDAAARAVLAGEKLEVVDYTSHADVSSDPGHYVVFLELNAADPAAVDGDVMQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >KN538689.1_FGP116 pep scaffold:O_longistaminata_v1.0:KN538689.1:151594:153795:-1 gene:KN538689.1_FG116 transcript:KN538689.1_FGT116 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVFRSSNPGYANQLLQHSKQLFDFADKYRGRYDNSITVARNYYGSFSGYGDELLWASAWLYQATDDRRYLDYLANNADALGGTGWSINQFGWDVKYPGVQILAAKFLLQGKAGEHAGVLQGYRRKADFFACSCLGKDAADNVGRTPGGMLYHQRWNNIQFVTSASFLLAVYSDHLAGAVSCSGGGAARPSGPPGVAPSRGEEGYASWYGRRGGNPNLLDGAVVGGPDEHDDFADERNNYEQTEAATYNNAPLMGILARLAAGHGARARGRLGQSLQHGIAANHTSLPHGANHQHASPVEIEQKATASWEKDGRTYHRYAVTVSNRSPAGGKTVEELHIGIGKLYGPVWGLEKAARYGYVLPSWTPSLPAGESAAFVYVHAAPPADVWVTGYKLV >KN538689.1_FGP117 pep scaffold:O_longistaminata_v1.0:KN538689.1:38088:48643:1 gene:KN538689.1_FG117 transcript:KN538689.1_FGT117 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVEGISNDAGLTEVIGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTSPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGSKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPACLFGSGIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHAKATVMNGQMSHGYRLAQNPILPPKHIEGYQVMENLSELVMTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAVSSHAPYFSEPHKRLRTAYLNNYPNGVVGHFSTSSADLSNNENENVASTINSNVFTLADAQRLIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEEFTQLPSMPNNPQREKYIPQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVLGRSTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDDHQVKVSEETVTAKLPEKRKAGRPRKELKPGEKPKPRGRPRKEKVVGGELASKDSHTNPLQNESTSCSYSPYAGEASVGRAVKANRVGENISGAMVPLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSCGDGVDGAAGVYSNIYENLPARLHATRHPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSMLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPVSCSNNVQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLSSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGGEHSQSGHSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPEPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGLTTEEIQHCFWRADSYSPVKIIAICIELFIVF >KN538689.1_FGP118 pep scaffold:O_longistaminata_v1.0:KN538689.1:9577:10877:1 gene:KN538689.1_FG118 transcript:KN538689.1_FGT118 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPHYLSLLFSLTCLLSQLPLSTANPSPSPPSSPPCEKSDKEMRFLFSRWMAQYGKAYSCPIEHEKRYQIWKDNSNFIGSFRSETEIFSGVGAFAPQTVTDSFVGMNSGAVTGVKFQRSCASCWAFAAAAAIEGLNKIRTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGDGSD >KN538689.1_FGP119 pep scaffold:O_longistaminata_v1.0:KN538689.1:89014:108173:-1 gene:KN538689.1_FG119 transcript:KN538689.1_FGT119 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTGDERPVQTEEELYWACRNIRRSSTSTTSGGSFSSSIFSGSSAVTSLSNAGASGGGGGGGNGRPEGKPAVVVECKPKSDGGERKEDFYWPEEFVLGDVVWARSGKKCPAWPALVIDPLLHAPRVVLNSCIPGALCVMFFGYSNSGLRDYGWIKQGMIFPFVEYLDRFKGQTLYKIKASRFREAIEEAFLAERGLFELETDEGCSLEKSVNDQSIPEGLLEGSGSNNEQECQSEAQVVRKSPGCDICGNRLPCKIASKKKQAGERLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCIKMEWIVWLSDRSVCPLQNVSYLDKTLTNISRSSSSSGFSGSVVTSLSNAGGSAAPEGKPVVVVECKPKREGGDKKEDFYWPEDFVLGDVVWARSGKKCPAWPAVVIDPLLHAPAVVLNSCIPGALCVMFFGFSSGGHGRDYGWIKQGMIFPFVDYLDRFQGQALYKLKANRFRQAIEEAFLAERGFFELEMDEGCSLEKSVNDQYVPDGLQEGSGSNNDQECQSEAQVVGKSPGCCDSCGNRVPPKIAKKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDLTCINMEDLENADYFCPDCKSKRKTVPPVEQMSTPNSSECASTSKEKLPEMIPVFCFGMDGMYLPKKHMILCQCNSCKERLMSLSEWERHTGSRKKNWKMSVKLKSNGDPLVTLLDDIPCANVKSSTPSINKEELLKLLGTLALEFMLVSAVANSFRPVNARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDETMEPAMGILSIPSEYFKKACVICKQMHGACTQCYKCSTYYHAICASRAGYRMELQYSEKNGRNITRMVSYCAFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKQTGTRLVRKENLQEKVLPAKISDCPAARCLPYEMLKNKKEPGEAIAHRIMGPRHHSQESIEGLNACMKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVVDATEKGNIARLINHSCMPNCYARIMSVGDEKSQIILIAKRDVSAGEELTYDYLFDPDESEDCRFSSAVQVQFQFQIGREWRCCSHPRVETDLKTLARRFWKVAAPYWWSEDKVQARLRLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPIVYTRIISLSHITRFPISNLYSYEYNCVLISALTILQFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSAFTGTALAFSLTLLNAAVDLISFSNILYGIYPPLFIVLIVYSLGGTGISIFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGKGSSLSKPDNIDGINIIFKSTGPSVLSSNGSLTQSDPSMVLEICNLTLLTPRSGNILITDLTMVLKEKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSMELQTSNSGPDEPSNIQSNGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEDVRHSSNNDPQSTDPLTFEVSTSDGVGSKSEMPTTDELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDMNERHLYSQIEAAGITYISIGHRKTLHKFHNKVLHISNSDSTDSNPRNWYLKPTEQMSIEESSSFAS >KN538689.1_FGP120 pep scaffold:O_longistaminata_v1.0:KN538689.1:147116:147236:-1 gene:KN538689.1_FG120 transcript:KN538689.1_FGT120 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMTGKQKVEEIIVGGTEAD >KN538689.1_FGP123 pep scaffold:O_longistaminata_v1.0:KN538689.1:67426:71082:1 gene:KN538689.1_FG123 transcript:KN538689.1_FGT123 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADPEELKKAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALIGLRRIGEAVKECEEAVRIDPSYGRAHQRLASLHIRLGHIEDAQRHLSLATPQPDLLELHKLQTVEKHLGRCMDARKVGDWKSVLRESDASIAAGADCSAMLFASRAEALLRLNQLDEADLAISSASKLDYSSSCTSDNKFCGFLANAYLFYVHAQVDMALGRFDHAVSSVDKARIIDQGNVEVVTMHNNVKAVARARSLGNELFNSGKFSEACLAYGEGLKHHPVNPVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYPKALLRRAASYGKMERWAESVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMATSLPGVSVIHFMTPLNHQCCKISPFVNTLCTRYPSISFLKVDISESPAVARAENVRTVPTFKIYKNGTRVKEMICPSLQLLEYSVRHYGI >KN538689.1_FGP124 pep scaffold:O_longistaminata_v1.0:KN538689.1:11765:14027:-1 gene:KN538689.1_FG124 transcript:KN538689.1_FGT124 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASNKELSSPSTSTVTAEDSFAGSVVADAPETESILTKSPESDSSEGGNIEVNEQCMLPVDTSAAEISGGKSIDVDEEVLSGNSEKPSDSCTFEDPISIGKELILWRKPVEPQSPESSAFDDAIIPEGTIVNCEEPEHSTKDTEESGKHGDLSKFSGVTISHDLTTDMSNDDDSNVWLDIDLQDDQEQTEADVSPVRQPKKTSFKKKMMRSLANKFRWSKKERNVNQAEAGNVRYQAVSSSDDLEDDWELL >KN538689.1_FGP125 pep scaffold:O_longistaminata_v1.0:KN538689.1:122191:128463:1 gene:KN538689.1_FG125 transcript:KN538689.1_FGT125 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLAGGDDDEEQQEDSILGDTEGICSLSPVQRVYGFAACLVAGLALMILSLVVFIRPIKFAVMFTFGNILAVGSTAFLIGPSQQLRMMLDPVRVYATAIYGGFVFLALIFALWIHSKVLTLIAIICEICALFWYEADMWTEVAKYLFGAELVRLSSTCRWFRRLLADEFIWRHAFLRDLSLLPAAADRYPPRPLHRSWRLLYAAAFNGAHSYWFRRSSRHIDFHLVRCPNCTLNKCAGVLQVLDARHCELFLEQGFWNGTWEYEDLGDHYNDEETPTAACAIFNASTRAHESISCSSLDHLAFVNSSSLFIYILGVLHSKSWVRRCDDPQPKAHCRPYAVALNSNLLSNSNQGLVSRFQAMRDTTGNGQIVSIRITQQIY >KN538689.1_FGP126 pep scaffold:O_longistaminata_v1.0:KN538689.1:18259:29667:1 gene:KN538689.1_FG126 transcript:KN538689.1_FGT126 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKIVAAALVAGGADCAAAHFDLIVLPGGVPGAANLGGCAALEAMATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYGKNKAEQIAKEMLVRYDAGYTIDEVNSVQWKCSGTPKVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIGGMPGSANLRDCKVLEKMVKKQAEQGGLYAAICAAPAVTLAHWGLLKGLKATCYPSFMEKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGVDYVIDEFNSVEWKCSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQVVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKFSSTKILVDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVEKLFGREKAECII >AMDW01040434.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040434.1:451:1525:-1 gene:AMDW01040434.1_FG001 transcript:AMDW01040434.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNAVSWTAAIAGLTQGGQPREALALFMRMRRAGLPPNEFTLVGALNASSFVGGAGVGHARQLFALAVRLGFDSNVFLTNAFLAAMVRHGQLADAVRLFDSANARDIVSWNTLLTAFAHRSSLRLCSLWRRMAIEGVSADGFSFSTVLSGLAGSANMAASGLQVHAQLVKSGFVDDVCVCNSLLEMYMKNKQLESGTRAFTEMRHRDVVSWTELASGLLHCGEPAESLRVVSDMILDGIRPNNYTIVAAANACANLANLNQGRKIHGYAIKLGGDSNVGVSNALIDMYAKCRSVTGAYKVFQSMQRRLVVSCTAMIMAFAQNGQAREAVKVFDDMLLEGIAPNHAAFYSVLYACSQ >KN541046.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541046.1:547:5208:1 gene:KN541046.1_FG001 transcript:KN541046.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/Swiss-Prot;Acc:O04173] MDDGEGRRLSQPLFSKLAANPPLLQPQPRGKLHVELAANLLLLPSTAKGIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFISDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALQEHGVNLKHPEYFADSPSAGMAPAAREE >KN541046.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541046.1:24835:26090:-1 gene:KN541046.1_FG002 transcript:KN541046.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTITAARSSYVDFTLPFMASGIALVAPLRDVGRGGERTWVFLKPLRYDLNLARLAAVVWFFVVLILQSSYTASLTSMLTVPRLEPSIADYAALWRGDERVGIMNNSFMRGAMTRSGFPPARLVPYRAAQSFHEALLNGTIGAVVDETPYLRIFLRSYCDRFAMAGGGGGQPNKPGGFGFAFPKGSPYVADLSRAILALTESEEMNLIERKWFGESDGCAAAQSGPFTSDSLSFGSFWGLFLITGATSLLCCAVHLATFVASNWGPIRDIVATSTHPFRRLAELYDGRDLSAHTFKVKDGGGASPPVVHDAAGSPISLQMGAWSPQPSSTMAGGEIELAGGEANEDDSATTTAARDPDGAGENGRGR >KN541046.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541046.1:16903:21147:-1 gene:KN541046.1_FG003 transcript:KN541046.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGARLTFVMPLVVVVVVLLLMIFSLGVRGVDVVVDGGGGVDLLKNVHVQAIVGPQTSAQAKFLAELGEKSSVPVVSFSANSPCRTASQTPYFIRTAWNDSSQAEAIASLVQRFNWRDVIPVIEDDDSNTRFIPDLVDALRNAEIRVTHRCKIHPSAGADDIKKVVLSLKEKWTSVFVVRMSYQLALSFFKHAKDEGMMGQGFVWIAAYGLTDIFDVVGSPAFDVMQGVIGMKPYVNDTKQLQNFRQRWRKKYKSENPGTTLSEPTISGLYAYDTVWALALAAEKAGYVNSDFLLSEKNNGSTDFDRINTSNAAKKLQSTLLNIDFQGMSGKFQIQDMHLLSMTYEIINIVGEEQRVVGFWTPEFNISRGLNTKADVNEIIWPGEETTVPRGWLFPMNKRLKIGVPAKPGFSGFIKKEKDNFTGLCIEVFEEVLNGLPYKIPHDYVEFGNGKGESNGTYDELIYKVYQKDFDAAVGDITILANRSLYVDFTLPYTESGVRMLVPVQDRQQKTAWTFLQPLTADLWLGTAAFFVLTGFVVWFIEHRTNEDFRGPPVNQIGSVFYFAFSTLVFAHRQKIVNNLSRVLLVIWLFVVLILQHSYTASLSSILTVEQLQPTVTNLDEVIRKGANVGYLNDSFMPELLKRLKIDGSKLIALDSPDEYNEALSTGRVAVVVDEIPYLKVFLSKYCHNYTMVGPTYKFDGFGFAFPLGSPLTAEISRGILNFTSSNRMAQLERELYNNRTCPDKDDSQTSSSLTLRSFLGLFIITGASSLLALFLHVVITLCNHRHDLSSASSSQSSWCGWFAILLKIFHEGDRPNAPQLDEPAVSNANTTADTPWSTPDHHIIENVDSGSDVESVREEDREDFVQGPDPPSFAYMHSERGQ >KN541046.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541046.1:14557:15985:1 gene:KN541046.1_FG004 transcript:KN541046.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAAEEDAALKAYVDAHGTGGNWIALPHKIAGAENYLRALIDEAGAGGGDVGVGSWSSCSTPGVDSVFHEYAQLDYGQYN >AMDW01033077.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033077.1:206:454:-1 gene:AMDW01033077.1_FG001 transcript:AMDW01033077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FQLLSGYYLQEAEWFHDNYAPSFKEQIEVSVMSAGIQALSVCILVGMGDMVTEGAIEWAIGNNDAVRAGGEVARFMDDMAAFK >KN542003.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542003.1:1862:11359:-1 gene:KN542003.1_FG001 transcript:KN542003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEAASTSEAEAEGREKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSESSSAPNKASEEPRIKKLLVQHIETVHHSEAVQDVLHSLLKQNQLRSAARQRHEDLGRNARFAQIWRSRKGDRNEVDESLREICHLYDAVQVDSDEEKHPAEPRITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEAEDNPLYDYPEELSEDEDDDSNSENPFSELDGSDPEYEKEEDKEPTMEDLPSTLGQPSTSASSVDASYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTAGGSSFQASSEQSADSSPGLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALCLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQDPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQKFHISTESERKLYDLVVSHVSWYSPSQSGDSSTRSEFDYGWNGPIIVFRTAPARPHGEVSILERVHGRTEIRNAQYSTVPEGDAAVRACSLAQFAHHTNKALFVGESDTKLDIEQLTVADRVTIIPCGGMIMIHRYGRVKAPIPGDVGAQQMWVPAAIKFSRSSAKSLYVDLFFLSLRFPKQTLLKNLFQACGCWPANAKEETWPSQGALIFLI >KN542003.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542003.1:11545:16120:1 gene:KN542003.1_FG002 transcript:KN542003.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSVSGFPYPFPSPLSKTLANPSSSRSILLAAPLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVLSFNYFAHYVYLWQIGRAFLTMTIVVLTPYLFPAPGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVSAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKQRLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLMEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYIGYGEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFHILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTSDSKEAVYEIMKKQVIDMARQSFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALSVLKGDFKEDDTILVDVSSVAIAKGLAPQKKLVLQRLENANLELVAND >KN544148.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544148.1:163:3212:-1 gene:KN544148.1_FG001 transcript:KN544148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RKGKASLIWLHKLSAYKCNIAASGQEAPKALQKFSVETGFQISGECRSFTAWRLRYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYRYFVRLSREGNAGGTRQLSHALQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPSKKPCNLLMKNSAFGTDSELSRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDARDGNGNSIAMAHQSQGSCHWETLEIYL >KN542310.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542310.1:671:1261:1 gene:KN542310.1_FG001 transcript:KN542310.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFLAALAVPCSFNHKGCAAMVPYGEREAHEAACAHSPRCCPIRGCSSSPYSGVSLVEHLERKHPEIGRTRVDRATLSPLSMCHGEPARLVYLTGDDRDRAVFLLAVDRSEAPRGWSLWMVRLKAEEEEEEEDKGELRYKIMVAANGGVLSLVGETESVGRLTAPYRASSFLFVPGAMLDAPPEAEGLLVFVELK >KN542310.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542310.1:2562:3971:1 gene:KN542310.1_FG002 transcript:KN542310.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNKRARANGEVKQEQQQEEEEVEEGEVSQEETQRTGPLPLVTMAAMEQTEEETQIDVRIAVALLHCHACLQPLKPPVFKCDEAHIVCSGCRCGHHGQLRGGAAVPSNDDNMAMMTSTVRSSDLAGGFPAADKGMLLWVPPEMLHDVPGGEAAILSIRIDRAAAATPKFTTTRARSQKGMH >AMDW01029700.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029700.1:28:376:-1 gene:AMDW01029700.1_FG001 transcript:AMDW01029700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKR >AMDW01055108.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055108.1:542:805:-1 gene:AMDW01055108.1_FG001 transcript:AMDW01055108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDPGVQEVLQDECDGIPDLNLDPAVQGEDAFQYEDEGLPDNQCFGAHEDGHPDPAMQVVELSNGWSAQEICHLNM >KN543288.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543288.1:4937:5553:-1 gene:KN543288.1_FG001 transcript:KN543288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEENMFLAWGNPKGLVFHPVGENMFLAEFGTGLDRDKVRFDRLSIWARIIDLPYRLMNSRRGEEIAKPLGSVVRVEADEQGRCWGGFMRVRVMINVEEPIPRYITVFSSRDRSVECFEVKYERLPLYCFSCGMLGHSFLVCSTPAERDEDGDLPYAAKKLCVLEESSKRTSSAKSGNA >AMDW01027467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027467.1:63:368:1 gene:AMDW01027467.1_FG001 transcript:AMDW01027467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPRFWVDAEEFVPERFIDSMSSVAANVNFRGNDYQYLPFGSGRRMCPGMNFGIAVVEIMLANLMGKFDWMLPPGTEIDMSEVFGLSVHRKEKLLLVPKQHE >KN543657.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543657.1:1181:5365:1 gene:KN543657.1_FG001 transcript:KN543657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESLFNVYMLFDFNAEAALEIYVNYSHHCPPIDEVIRSGVLPRFVEFLTREEYPQLQFEAAWALTNIASGTAANTMAVIEHGAVPIFVKLLSSPREDAVWALGNVAGDSTKCRNLVLMHGAMLPLLQQLNEHAKLSMLRNSAWTLSNFCRGKPQPDFKHVEPALPVLRELILSQDEEILTDSCWALSYLSDGSNDNIQAVIETGVSDRLVELLRYLVSQGCIKPLCDLLVHQDSKTVLTCLEALDNILRVGEAKKNLGACNMNIFVPMVDEADGLDKIEDLQNHDNVEIYNKAVYVLESYWVQEDDQQPFLIPSESDNEMFQFGSFGEVSVKCPIWMEMHRSCLFRGLSRIGESSYPSHGLVLLTCYEAAVRRLVHMDYGSPHKGVGTGNWSVGEGHTLACVVGLAITFTFLSVA >KN540641.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540641.1:14157:16746:-1 gene:KN540641.1_FG001 transcript:KN540641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREYDKQGGINWSLLRYNVRWLTDTPIVVGSGMLFTAPEGERSLEESRVNNESNFNWVAAKARYMHNLNHLNVFDVSQKWLATDKKRILIGLQGFAFGNCSLLDWFCTSIAKKEARQFK >KN540641.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540641.1:22875:23201:1 gene:KN540641.1_FG002 transcript:KN540641.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKEGRNLNGEDTLVAEAPWLRCLGEAEATTAVERMGMGRVEESEGSGAVHVGEREKTAAPAPAGHIWERRGGGCAKEVESVVVVGKREVAALRSKEEPTAMSGAE >KN540282.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540282.1:25548:26812:1 gene:KN540282.1_FG001 transcript:KN540282.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRERRRSMSSSSSGRRAPELERERERWAPWSPAPTCSLSTSSSSSSAASATSASGSNAKRRRRKEPNDLIKKPPLPGPGSDQGKASMRGLYNSSRGRGIATQFQSSVFSMEEILRATNNFSPALKVGQGGFGAVYRGVLPDGTLVAVKRAKLRAQNPHVDVEFRSEVKAMARIEHQSLVRFYGYLECGQERVIVVEFVPNGTLREHLDSACDPPLQLELELDQITVANGGSWAQGATGGSWTWARGWRSPSTSRTR >KN540282.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540282.1:13063:15030:-1 gene:KN540282.1_FG002 transcript:KN540282.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDFDYRKMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMSEPSDEAEVKGYDTKGDDSAAALLMSGHFSVSPSEVESLLRGTDGKEHQKELSGQDAVSAEGDDEKFQETCEHKLKDDLHWIPSFDKGKNLSLVDMEFDKAFQGMGLVGKEPLGFSSSRYNPIDANNEKKTEEPTVQNVNRVIDSSKVVASSEQNKPDDSEFPHEASWKKQLLSLYKNVRKSNKFWPIVVATALVGVTCFWRRWQKGKLQHQPVKLYPSSNEKINQAVGPLNRIKDILVANNHPAPAIHGHARLS >KN540282.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540282.1:32877:34015:1 gene:KN540282.1_FG003 transcript:KN540282.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKKTVTIQPSGGKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPAKL >KN540282.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540282.1:53747:55286:-1 gene:KN540282.1_FG004 transcript:KN540282.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding NYRSTRTSLFDGIEEGRIRATSYSSHEIDENENDQAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMSSIMLTPCLIQGNDMDSSRGFLSGTVDKFKMVEEDASLAYCCEERCELGWVLKNALKMSVSIYRGVVYTSNILLS >KN540282.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540282.1:6909:9759:-1 gene:KN540282.1_FG005 transcript:KN540282.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSEMRPVHNSVDTVNAAAVAIVTAESRTQPQAETLSLLYLVGKIEELIGKRRKWADWLSVYFCFGSQKNGRRISHAVLFPEPLPPRTDAPMPEIPNHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETGELQSYQIYPESPIGRLISPSSACSGTCSPFPDPEVQTSSRSTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNINGESAAASFRLVPTGNGDHIHPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDAPSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >KN540282.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540282.1:35582:37740:-1 gene:KN540282.1_FG006 transcript:KN540282.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTSAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPAAGEMCLTHELRRDGGGEDGVVGVDDADVVEVRGSDGVKLLGPDSALASASGGKEEGTGAVAAIRMPTLKVSDFKGGTPEVIQTSYAVLVLVPPAGKSWGRHEMEIVEVVAGQEEALAPEQRLVVDTMARTATVVSTLVNDVMEMSADSRERFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRADDDDVLEDRLGQRWDPWRPSYSTGYSSVKFVIGVKRQQNGDAGSPLSRRPSGKGIDLRLSFSMCRKLVQMMQGNIWAILDPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVAMRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >KN540282.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540282.1:494:3328:-1 gene:KN540282.1_FG007 transcript:KN540282.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVSPAVPFLPIGRTAGSLLGAMLMVLFGVMTADEAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGRLLSWRSQGGKDLLVRTCVVAALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGAVVNAAILLCLYWRHLSDEKCVEVVAPVPTDVVEEEDVTSHRFSPATMSHPRSSSHHHHPGSSLSSPDCEEKEEAMEQWKSTVWKTGVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEQYGYTLSFFSHLQFGFPATLIVTGIGLLLIRSN >KN540282.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540282.1:17013:19619:-1 gene:KN540282.1_FG008 transcript:KN540282.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >KN540282.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540282.1:21180:23288:-1 gene:KN540282.1_FG009 transcript:KN540282.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTEPLLLSARHTTQTSPRHHLLRSRPAAADGRMVVAVQDDETGALVAAVGKGVEDDDDDDAVAGEEEEEEDDDDDAPVVRTARGAWEVFAAESRRLWAIGAPIAFNVICLYGTNSTTQIFVGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGIYMQRSWIILAASAALLSPLYVFAAPILRLLGQEESIAAAAGEFTVRIIPQMFALAINFPTQKFLQAQSKVTVLAWIGFAALLAHVGLLALFVSALGWGIAGAAAAYDVSSWLTALAQVAYVVGWCRDGWTGLSRKAFNELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVVVVLVQSLAFGLLAMVLILATRNHFAVIFTGDRHLQKAVANIAYMLAVTMNSLSAFVLGVAVGGGWQGVVAYINLACYYGFGLPLGFIFGYLFRWGVKGIWAGMLCGTAMQTAILMYMVCKTDWEAESVQALERVRLWGGQPEHEKLPTSEPEETII >KN538791.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538791.1:209002:209280:1 gene:KN538791.1_FG040 transcript:KN538791.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MLSECAPARRARAPRSHRGWGVSLCRVGWLQGLTPTEVSLFEDNEILVLEFDGELPLGEGVLAMDFNDTVNDQMRGFYRRLAYCFSIFDQYT >KN538791.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538791.1:203405:204694:-1 gene:KN538791.1_FG043 transcript:KN538791.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVESSMVTAGEATPEHRIWLSNLDLLVARSHTPTVYVYRRTGPDSDAAFFSPDVLKAALSKGLPLYDADFGWGRPAFMGRACLQFSGLVYLVPGRDDGDGRLDVVVAMDPESLAKFKDVFYEELKC >KN538791.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538791.1:210831:225895:-1 gene:KN538791.1_FG045 transcript:KN538791.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSHGSVAHIRGGVAMHGALSESRVSELRGAETTRVERRRERRRDALGKVHEYKLHSRRKEMDNRFLNLQRLWKANFRSTKNVRMGFLEKLFVFGVPGFAACLSFLEIVMLIKNKIEGKDVVNYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEIPHLQYKLTVLKAVLYFKEIISFSMAIVFGLFVVVSTVVDQPRNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLNFTDLLELPVELRAASSYEKLLSSWTVEHQHHHADSSLLRAMSNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKCLCLSLSERSRFSEGEIQTFMSVDSDRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITVILIPVNKWISTRIAHATEQMMKHKDERISCAGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLAVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPASADLLKHRNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLQDNILLGEEFDPRRYEEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPQMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLVTPYSTLSKPESSRVISSTFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSIAFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGFILTDLSSSLAYLQKCTGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVECCYQYVGIPQEELHGSESPHSGWPTEGNIEFEHVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLKIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKQYLLNEVKVVTVLQILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDSILVLDQGKLVEEGNPEVLVDDKFSRFSRFAKASNIWSWSGDVDVFVGVDAAAALAVGVDGDDDVDGVEDAGEVAEDGEQHANPELHLLPPNNAKRKERNHQRN >KN538791.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538791.1:248850:251735:-1 gene:KN538791.1_FG046 transcript:KN538791.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGGSGIGIGGFVFNHCFSTDFFEEDELKPYIGGILKQLIGRYSIDSFMVFNFEGSKKDNQIACIFSDFDMSVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQDNFLLIHSEQGGWPVLAFALAALLLYLRRYNNERKALEMVYKQAPPGLVEHFSPLNPAPSQMRYLKYVSRRHKSPEQWPPADRMINLNCVIIRGVPNFDGKGGCRPILQIYGPDPFAPNDKSTKVLFSTPKTSDSVQLYTQEDSEIIKFNARCPVQGDVVMECISLDENFEHEVMVFRVMFNMAFIEDNLLLLDRDQIDILWDTKLRFPVDFRVEVIFSEMDTITSLNSSQLSSEDKENFSRVEDAFSHLDWSTKSDDVTTNATEQNGSNNEHDGFDAVSLQETESSNSTSEHSLLGTRSVQVIQMETEHNHSSAPTFDIVKDPVADTHSLPETDSLALKSQEHKLFEDSSPRELPKLDTTKNNPNSDLPSANSRDSEAAGDAAVAEWSDTNTDTFLSDTPSSSSPSSPQKFDEDSMEAGIVEIQTQTAEPQRC >KN538791.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538791.1:186644:186904:-1 gene:KN538791.1_FG047 transcript:KN538791.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPRSGVSRADLRAISWLGMSLADADFGWGSPAFMGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVARLGL >KN538791.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538791.1:206282:206866:1 gene:KN538791.1_FG050 transcript:KN538791.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEVDDALKVFREMPLYGCEANEFTYGYIVKAMFQKGRTDKGMVYFREAREKGFVPTGGVYMTAVSALALEWRFEESRNVLIDMLDCKRKPDMITYRTLMEEMCRAGRAEDAFELLEELKERKRGPLDQRMYSELLDGLHWISQPHQDRVPPCDKRSDD >KN538791.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538791.1:243429:247299:1 gene:KN538791.1_FG051 transcript:KN538791.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDGGGDSRYVLSELPSDIVIHVEEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVYIHDIPGGVKIFEICAKFCYGMVVTLNAYNVVAARCAAEFLGMTEDVDKSNLVFKIEVFLNSGIFRSWKDSIIALQTTDALLPWSEQLKLAARCIDSIASKATSNPCNVVWSYTYNRKSASSDEIVEARKNSQPVPKDWWVEDLCELDVDLYKRVMVAVKSRGRITSDVVGEALKAYASRWLPECFDAAAIDDDAYSMAYNHLLETIVWLLPSDKGSSCCSCRFFLKLLKVAVLIGSGEMLKEELTDRVVLQLHKASVCDLLIPARPPAPTTYDIQLVLTLVGRFMRRAGVTEDGIFLNNLDQEMFETDVDDESLLALSKIVDGYLAEVASDPNLSVSSFVAVATSMPDAARATHDGLYTAIDVFLKLHPNLPKAEKRRISSLMDVKKLSKEACIHAAQNDRLPLRVVVQGKGERESLVHQQWR >KN546446.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546446.1:3:701:1 gene:KN546446.1_FG001 transcript:KN546446.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAAIADGVHVISASVGADPNDYLEDAIAIGALHAVKAGITVVCSASNFGPDPGTVTNVAPWILTVAASTMDRAFPAHLVFNRNRLGALDGKKVMGKIVVCMRGGNPRVEKGEEVSRAGGAAMILVNDEASGNDVIADAHVLPAVHINHADGHALLAYINSTK >AMDW01035036.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035036.1:58:399:-1 gene:AMDW01035036.1_FG001 transcript:AMDW01035036.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QASTAKYIMQQYIAATGGQAALQGLHSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQTPWQEAHASRGPPRPLRRCIQ >KN539506.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539506.1:91239:99087:1 gene:KN539506.1_FG001 transcript:KN539506.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGGFVSSRPPCRVGRNSEGLVLIAEVFGKKKVEPMVKDAAAPEKGGRLKMESSNAQPQDSAYSVPKNPSMTCCRKRSDGATFLEDLKDHIEEFIHASMDEHKTCFKHHPKDVWDVKGCRGAFGGCQGS >KN539506.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539506.1:69723:77111:-1 gene:KN539506.1_FG002 transcript:KN539506.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAAAGEVSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVFLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRQHISPQPQVKGREGSTAKTKVSDILSPPCKLPKNSQAPLAMEGSRSREASTSGEASRGVHATAAAGQQLQRFSRSTMVVLYSCKSVETEATVAGPTAPPATAITDSRDAKEDGRQLLGQATRERHYVVEAECVGTAWSVLMRSIGREAESSKSRASRRKEEDAEERIKAIARKAGNPSKIKLAQPPCEEGGGGNTVYTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRRRVMFYTIAEYLAFMGASALQVVYIRRLFSKNVAYNRV >KN539506.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539506.1:39922:59032:-1 gene:KN539506.1_FG003 transcript:KN539506.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWSRLASLCPYACYVIEAGIRIRIRCAGRSSERSRTDRRIAARVCIEIRAEAKGRGGSGFGGARPAEARGSGAHLSVSGGARPARLPGSCHVGERKSDVARVSFAGKPSSVVKIARCFSSFPDYIHVPIGNKGKNELELDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKEHSSVAELVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSEITELGICPGSNLLYAVEVLVTQTIDKQPLLDSGILCCLIYILNSLLSPDESSQKSSPVGQEVSTSEKSKDWGPMLSRRLEIEASVVHTMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFREGLVPIHTIQLHRHAMQIKVLLMAVKDFNPQNGDAAYTMGIVDLLLECVELSYRPEAGSVRLREDIHNAHGYQFLVQFALTLCSLHKNQVLQSSPKLASEDGVNPPHRSEQDTFTSDLSPQLSRLLDVLVNLSQTGLSENYVGKSMKSSHGKGTGHNRSRTPSVDKFADEILEISSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTILSFFVKLLSFDQQYKKVFREVGVLGALLDDLKQNKLFFGEEPQNRTPNSAQRMSSASSFQKTVDNKDAILSPKLMASGSTKFPMFEDEGTITVAWDCLFYLLKRAEPNQQTFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQQKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEETESSLLTHMKIFGFLMRAMTAAVYSNPVNRIRLHTILSSNTFYDLLSESGLLCVDCEKHVILLLLELALEVVLPPTSNLQVESISSENPEDESGFLSATSFGLSRLDKERIYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSPILNHALRIVEVLGAYRLSSSELRLLVRYILQLKVKCSGHLFVNMMDKLIQIEDVRQGSISLAPFIELDMSKAGHSSIQVSLGERTWPPVSGYSFVCWFQFQNFFRSHPKEAEKTSKGSYSKRNGQVMRIFSVGAVDDANTLYAELYLHDNGVFTIATSNSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQSSVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRAKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLELEVIAPSGSQRVDSAMKQGNSRLESSGIVWDMERLRNLSLQLSGKKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGIPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKDMQSLRGYHLLALFLHRRMSLFDVQSLDIFFRIAACGASFPEPQKSNMNRTASYASGISPESSLDDLTLPKFGDDMSSIGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVTAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEDMLEQWHKVVSSRLVTYFLDEAVHPTSMRWIMTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYILFCLIFGKPVFPRVPEVRMLDFHSLMPSDENCGELKFVDLLDTIIAMAKATFDSLIMKSMLAHQNNNLSHLNGTLVADLVESTPDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLTKMCPPFSAVCRRHDFLESCVDLYFSCVRSDCAVKMAKDLTSAATDEKCMHDDDNESLKDTFSNLPQDQEQSAKTFSIASFPQEQKSSSSGSSGMHNSFETAEVKADDSSNQASSTTFLNGQANQVVQSARDQGQMSAPSSNGIADSHQPADSPTSASMNNIGSPVLSERSAHKAASTPTASPMAPFASWPGSAGSYSDSRQLTASPSMSSTISGIDLDSSPDLKTNIQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAVLDFIAQILADIISEQLKATLFIESILECVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPVGVLRTLEFLMSMLQLSNKDGRIEDAVPSGKGILSIARGGRQLDPYIHAILKNTNRMVMYCFLPTFLKNMGEDDLLANLAFLTETGRSLGVFKPSQEDYTVDICTVLQLLIANKRLVLCPSNVDNDLMCCFCINLMALLRDKRLTAQNLAVDLLKYLVVHRRPSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTTAFFEWLQSSQQTISKVLDQCSLIMWVQYITGSAKFPGVRIKGMEVRRKKDMGRKLREIAKLDSRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPVRQLSTEPAWQLCAVEGPYRMRKKLEPSKFKIDTIHNVLASNLGLDDVKITKKEDGHMVMTSGSDTMSGLNLLTYDTERKDLDAADFASFKDEDDIFKGGSTVSPPIGWTDDKSSINEQSLHSATEHGAKSSSFSYHMTESVHGKSEFNSPRRAPSVKGTDTRTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELSLYIIENFYIDDSNCIYEKGNEDELSVIDQALGVKKDVLGSCDSHQKSPSTWGATAKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREDVFKNLTAMNLPRNSMLDTTISASSKQDSGEGSRLFKIMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEGGEEEFRKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVKDTWVSAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSSREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDSVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVLPHPLRYSAYLTHQEIRKTTSLVSQIVTYNDKILIAAANSFLKPVNYNEYISWGFPDRSLRILTYDQDKLVSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFFKDGTRRLLRMEKALCAHTAKITCIYVSQPYSLIVSGSDDCSVILWDLTSLAFVKQLPRFPASVSALHVNNLTGEILTGAGVLFAVWSVNGDCLAVVNTSQLPSDLILSVASTTNSDWQDTNWYVTGHQSGAVKVWKMVHHTSDEAANSKSKSPPATLGGMSLNGHTQEYRLLLQKVLKAHKHPVTALCLPPDLKQLLSGDASGHLFSWSLKDDSFKVS >KN539506.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539506.1:1541:9062:1 gene:KN539506.1_FG004 transcript:KN539506.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRPDAKRRRRAASASAGTAQREVSVLSLIDDVVYRPRTKETRAAYEALLSVIQRHAADEVLAILNNDKIKSPEKKRGIEKFLDPISDQMFHQLVSIGKLITDFHDTAVCDSASALDENFGVAVEFEQNEDDEGSDSDQVLDDLDEDDGDAMLNAAGAMQIGDELDDDGDMRNSNDGLSINAQDIDAYWLQRKVSQAYEDIDPQVSQELSLEIMSFLSESDDKDVENRLVTLLGCDNFDLIKLLLRNRLKIFWCTCLARAEGQEKRKMIEENMLSDPTLSPILEQLHATRVSAKDRLKNMEKSIRDEAKRLTEKQNAGINGARDQRVVKRDMESGWLKGQKQLLDLENLTFHQGGLLMVNKKCELPPGSFRTPHNGYEEVHVPALKAKPYETGEKVVKISDMPDWAQPAFAGMTQLNRIQSKVYDTALFKPDNILLCAPTGVGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSARLKEYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRIYTHMVKLLIIDEIHLLHDNRGPVLESIVSRSVRQTETTKEHIRLVGLSATLPNYQDVAVFLRVHSGGLFHFDNSYRPCPLAQQYIGITVKKPFQRFQLMNQICYEKVMAAAGKHQVLIFVHSRKETAKTARAIKDIALANNKLTCFPKVESASLEILADHANHVKSNDLKDLLPYGFGIHHAGLTRVDRELIEGLFADKHLQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTEHSELQYYLSLMNQQLPIESQFISRLADHLNAEIVLGTIQNVREACTWLGYTYLYIRMLRNPTLYGLPADIMETDNTLDERRVDLVHAAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYVSHRTISTYNECLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLFNCVPIPVKESLDEPSAKINVLLQAYISRLELEGLSLSSDMVYIRQNAGRLLRALFEIVLKRGWAQLAERALNLFKMIDKHMWNVQIPLHQFPSIPKEILMKLEKKELAWERYFDLSSQEIGELIRNRKMGMQLHKCIHQLPKLNLSAHVQPITPTVLGFELTITADFQWDDGLHGYVEPFWLIVEDNGGDNILHHEYFMLKKQYMDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVSFRLLTLPEKYAPPTELIDLQPLPVTALRNARYESLYSAFKHFNPIHTQVFTALYNSDGSVLVAAPTGSGKTICAEFAILRNHQKAVSGDSNMRVVYVAPIEALAKERYKDWESKFGELARVVELTGETATDLKLLDKGEIIISTAEKWDALSRRWKQRKNVQQVSLFIFDELHLIGSENGHILEIIISRMRRMANHIGSNIRIVALSASLANGKDLGEWIGTSSHSLFNFPPAVRPLPLEIHIQGWDVANFEARMQAMSKPTYTAITQQAKHGKPALVFVPTHKHARLTALDLCAYSSAESGGTPFLLGSEDEMDTFTSGVNDEALKCTLKCGVGYLHEGLSDFDQELVTQLFLGGRIQVCVASSKMCWGRSLPSHLVVVMGTQYYDGRGNSHTDYPVTDLLQMMGHANRPLQDDSGKCVILCYAPRKEYYKKFLFEAFPVESHLQHFLHDHMNAEVVAGLIENKQDAVDYLTWTFMYRRLAKNPNYYNLQGVSHRHVSEHLSELVETVLNDLESTNCLAIEENIYLKTLNLGLIASYYYVTYTTIERFSSMLTQKTKLKGLLEILASASEYADLPSRPGEQKSIERLVHHQRFSVEKKVRYDDPHVKANALLQCHFSRRTVEGDLGADQREILLPAHRLLQALIDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTEELARRCQENEGKAMETIFDLAEMSTHEMQDLLQLPKFQLQDIVGFLRRFPNIDMAFQVLEGDGGSVTVQVTLEREMADLLQSEAGPVHAPRFPKPKEEGWWLVIGDRSTDQLLAIKRVKLQKRARVKLEFAAPAEAGRKDTWFT >KN539506.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539506.1:26986:38269:-1 gene:KN539506.1_FG005 transcript:KN539506.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFAGAHADAVTVSLGPAGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIASLKQHYGLSKTATEVTILIEAYRTLRDRGPLPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSENHLVFSDNVDLLKASCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKPVPRVDSQGQMCGSNYKVDSEAKKDSGIPRVGSAADWSLKFFGNDVEVLPPEAGELDQLESLQVKVSAPRVSGAPLRRMRALKELELSMVPPRPSACSILVEVAALKCLTKLTICHFSIRYLPPEIGSLRKLQELDLSFNKLKNLPNCITELGALKFLKVTNNKLVDLPSGISSLRCLESLDLSNNRLTSLGSVKLISMLTLQYLNLQFNRISNSCVIPAWVCCDMRGNGENNMKPGKLKSIAVVSNTSAESRSMNHTCNASRLCSHPEASANLKVHPTQKTKKGWKRRDCLQQQARQERLESSRSKLNDDYVDEMAVNMTEDESPLHDMENKSEMKGIDEESSLQDLPKETSSISEDLSCIVDDDSYGHIKDSGMMLQDHNEEEKPGLSMKSHGNCSCFSGNTDILSRSRIRSVENELEDSASSVHDAAVVVEENPSETSKHSWKSKRHPDMDCNPKPSKCPRPFDECSKLSYKYSVQSFCSIDDHLPDGFYDAGRDMPFMPLEEYERSIGLYAREVILLDREQDEELDAIASSAQILLSNLKMPSCFVADEDAGQDLLRASVLALFVSDCFGGCDRSASLSRTRRAIVSLRKEQPFVCTCSAGSICDSTEASKQINNFYGHFDFTGLCDKSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNTWVRMIVDACYPTNIKEETDPEYFCRYVPLCRLQIVLDDQGYTPRSPFPSVSLCKEIETTASSSVYYCKIGAVDAAAKVRYLDTRCASSDEVKNFEYKLLAEVRMLGALRKHQSIVEIYGHQLYSKWVQADDDKEYKILQSTIMMEYVKGGSLKGYLTKLLKEGKKHAPIDLAFYIAREVACALLELHKKLVIHRDIKSENVLVDLDLERSDGTPVVKLSDFDNAIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVLRAMRDKNQYGLSYSLHFSLLVILTNLTLNKEEVDIWSFGCFLLEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTLDKPITRLELGITSDAHAEKLRLLIDLFYQCTKGIASERPKAEAEPVDETASQIGLRQDGKLCSVVLAGQQIWPRLV >KN539506.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539506.1:81087:82533:-1 gene:KN539506.1_FG006 transcript:KN539506.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVTVRAEIIRAGDAAPPVPVPGMPGKEISIPASELPNFLLRDDQLSVSWDRIRASQLAGFGVAVNTFVDLEQTYCHEFSRVDARRAYFVGPRSFGPDWERHRKQSLHISVEVEVATAKSYLSSKSEDLI >KN539506.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539506.1:10338:11665:-1 gene:KN539506.1_FG007 transcript:KN539506.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDIFFFFVISIEIYKHNKEERIARTWGTTAPGLPYVDEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLEKLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRQSPPDGFMCPGGWKVLVEYYDSLTPSADSSKLREAVAA >KN539506.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539506.1:67387:69203:1 gene:KN539506.1_FG008 transcript:KN539506.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHGTALFIRPSAYQEVKSSPKHAIVPHNNLLGCCAFYNPVEGHHVQKPHIVPSFKVNFTRASQYLYRSLSERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEGLRSNGGGTSLTFKVHSFEMKSTKSHWQDMKLYVRECILWLSIVFITILCTPQPTIIRWSATPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLEVIDMVIVRVVVLATFLLFTSDSNFMSSSRK >KN538681.1_FGP291 pep scaffold:O_longistaminata_v1.0:KN538681.1:110877:111854:-1 gene:KN538681.1_FG291 transcript:KN538681.1_FGT291 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFLPRPTPVVPSVASAADAVEYASLPCPAMTFYPPFSKLFANQKMEFLLLGGNHSNAVVAVDQTCRTVLYDPSEHAVRTMPALPYQVRLPTTSVTVGDDLYILDMPRHDDDDDGDGCFYGLIYEDGLNEDWRCRALPPLPPPLSDLHVHSYAVVGDTEIWMSTHGGGIYCFDTVSHAWSTVATGWTLPFTGLAEYCHEHGLWFGFSRSNRDMRRNFVLSALDLDGGSKLPVLHRLPLEFTPPDALDLVSSYLVNLGAAKFCIARFFQTDEDHRDGEELFAVLTAVEVERCDDDDDDAGGGLRMLKHRSEMYKLASEMMYWVL >KN538681.1_FGP293 pep scaffold:O_longistaminata_v1.0:KN538681.1:85148:86443:-1 gene:KN538681.1_FG293 transcript:KN538681.1_FGT293 gene_biotype:protein_coding transcript_biotype:protein_coding MWLATRCGDQDVQEDRDARGVVLLRDRSGRYLRCNKSILACRRSISVDANFEDEDTLLWEVVRVPPSGDMPELPIATQPGFFVRACFPPPLREIQFVDEADLDNISEGENWATVEIRGRSVRLLREKIAKHVGYDDFTMCVSAGRHGQFTPLLIDLPRHRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDDPTIE >KN538681.1_FGP294 pep scaffold:O_longistaminata_v1.0:KN538681.1:17620:19460:-1 gene:KN538681.1_FG294 transcript:KN538681.1_FGT294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47910) UniProtKB/Swiss-Prot;Acc:O82258] MSRSTPPGTSTSPSPPTKTVSELSDAPQVEPPPPPTEGRFEIVINIDIIRTLDLSPVHEVLGDLNSLTPAQTRNLLDRTVGFTINYEREDPYDVRELSEFPDIRLWFVRLDACYPWFPVVLDWRAGELARYAAMLAPHQMSMRLGVVFNPEALELFVMKKVFIVYSWLKQQEHPKPGLKTADMARMLGFGIGDELFDLIEKYPAGPS >KN538681.1_FGP296 pep scaffold:O_longistaminata_v1.0:KN538681.1:5296:10134:-1 gene:KN538681.1_FG296 transcript:KN538681.1_FGT296 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMSSHSEGLLQASTLASGAISSSVTDYLLYVYMHAYMKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAYLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNEIATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQGFSQEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDLVTKETLDCLLTYPELLKSFTTCVRLSNDIVSTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPIDLQPKIVARTVIDFARTGDYMYKQVDSFTISHTIKDMIASLYMEPYNI >KN538681.1_FGP299 pep scaffold:O_longistaminata_v1.0:KN538681.1:125144:129360:-1 gene:KN538681.1_FG299 transcript:KN538681.1_FGT299 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKKKEKEEKAAAAEGADLLCSLLEQGRLASPAWTPAAQPSDHPMIKRDRWNPTGAPAGMRALVWFANTVALVLLAFFVVPLVPRCNSMEGGWLVTVDEVPNLQLVNPLTGATAALPPITSLHNVERFTSRKGKPRYRVYDDMGYSEASLVYSPAQAREWVYHQVVLSRSPAEGSACVALLLHRPDGEVSFARVGDERWTPVAYPGQAWSTGCRHAIYDDADGLFYTLRYDGSVYAIDVPRAGAASSPPATREVMRSVTNADNGSKYLVRVPCSGDLLQVWRFVNYDDGDEVEEDEDAEDLPLGTKHLQIFKVDGGEQKLVEASAASLEDHVLFLGHGFSACFPAEHFLALKPGCAYLADDHELVSVRKHCQRDIGRWDMKRGQMERLSGEDDVAAPSQPWFNWPTPVWITPTFY >KN538681.1_FGP300 pep scaffold:O_longistaminata_v1.0:KN538681.1:74254:79682:-1 gene:KN538681.1_FG300 transcript:KN538681.1_FGT300 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSKEGFSKEKHVVAGKKTRKRPASIREGCNAMLEVLRRGNSKWIVTKLVKEHNHEVGMPSRVHYSAMEGDTVVDPYIGMEFESLEAAKTFYYSYATRVGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRETNGGDPKRVQALDPSRDGCDALFEIIRKDRDAWTVSKLILEHTHELNPAPTSRVRCIRSQGESLYEIRRQWAPAYTRKVFCARNLLPQSCQNLENVIEKHFSSKTQLWVAVQQLGQAVCNFYEKETQADYLTTFQMATVRTASPLEKQASSIFTRSIFEKFQDQFAESFGYHADRLEDDMLHKYCVTVSEGDEEAHTVSFNPEKKTVGCSCCLFESCGILCRHALRVFIIEGVRALPKAYILKRWTKHAKSIATVDDYIDLRGCRDDPSTTMYNDLHCDAIKCAKEGSASSELYSVAKEALHKALDEVVTLRKIRDQQNLQSCKRPIKKSAKGKDSDHSTIISSTRFSVVPWKYALVAYLSSMNMECATDECAGQWELNQVAYLAMSDEIIFTYGMSGAVHIKSVLLKAGKEHGDNLWIQLFSKLIEHTWQNESRWGVEGKLCFPHYGGKREEKVEFCSNSMPRAHNATTMCSLVVLVRLQLSGSEWGSIAKRLPEPELSAPGGSRPRQTCPNSWFFFLVHVSIGLLNPTKSTAPAHGLLG >KN538681.1_FGP301 pep scaffold:O_longistaminata_v1.0:KN538681.1:23729:24142:1 gene:KN538681.1_FG301 transcript:KN538681.1_FGT301 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEQMARLQEQARFVGVAAGNAGVGGFDEGRWLSRVRESMAGRAAEELGAAAVKVFDVPRVLRATRPEAYAPHHFALGPYHCRRPELRDMERYKLAAAKRAEKLFAAGKRFDDLVRRFSDIHDKILAPYHRYLL >KN538681.1_FGP302 pep scaffold:O_longistaminata_v1.0:KN538681.1:93264:96688:-1 gene:KN538681.1_FG302 transcript:KN538681.1_FGT302 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGEGIYHGKQCHAADIPAVIARAWAAGVDRIIVTGGSLKESREVLEIAETDGELSCLDAGCFDYVMLGAFKEFEESGDPEGHFQALLALAKEGIAKGKLCQPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >KN538681.1_FGP303 pep scaffold:O_longistaminata_v1.0:KN538681.1:121115:122688:-1 gene:KN538681.1_FG303 transcript:KN538681.1_FGT303 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVEPPIDAAAPLPQYARLRAAYGRHLGTPDSYGSPLPFLSVDAAQRDRDRVEMDAIMWQPVACSGSDVVGGRDARGVVLLRDRYGRYLRGSNNLLAPRRSVPVKPYVVNEHMFRWEVVRVPLSQARPELPIAAQSGFVAACFPPLLRVIEFVGEEDLDNIGEGEIWTTVETRGRSVRLLKEKIAKLVGYDDFTMCVSAGRHGQFTPLLIDLPRSRETLSIVLLRTNSEADNQLIFPNVSEIAVKWIKANQA >KN538681.1_FGP305 pep scaffold:O_longistaminata_v1.0:KN538681.1:135333:144034:-1 gene:KN538681.1_FG305 transcript:KN538681.1_FGT305 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQGVQFARLRNWWEETYVIADEDGRSVYHYAPDRHRPAHEAIWAVQMVLAGAPPTQYVLLRGAYGRYLGAPDAVVRRWPLSCCWPAPVVGQRDFDQPEVDAIMWRAVRRADHVLCLHDKSGRYLRGKLGTLVLGGRPRLTVGDGRLNDDEKALRWEVLPVLPNPGRPELPISIVPEADLVGRLVKACFLPLQREIQFVEADDDGNIGEGQEVWDSFQYEGRSVQLLRNELEDRVGYAITVCVRAGRHGQHSPLLINLPHSRETLHIVVLRRNSEVYAVLKLCEIVGNAVGDVSEWHAYLFLVLFVSSFQRTGHVVCLHDKSGRYLRGKLMSTLVCGGRPSLTVGDGRLSDDEKELRWEVRPVLPSPGRPELPIATEADLAELFVKICFPPRRREIQFVAPDGDGNIVWDSFQYQGRSVQLLRNELENRVGYAITVCVRAGRHGRLTPLLINLPRSRETLHIVALRRNSEGRCHHVARGPDIAGGCVVLLHDKSGRYLRGNQTFLARRPGVSVHSDVDNETSLRWEVVRVTPSQVRPELPIATECNLTKNLVAACFPPLRRQIQFVTAGAGAAGNIDVFTGKSVQLLREKLAGILGYDEFTLCVRAGIHGRLTPLLIDLPRSRETLHIVLVRPNSEADDQLLFPNLNALLLLASVTSHISGSSSSDRGYAVSGSNGLRLPLITLRPNETAPQSSTPHNAYTLPLTDNGTAATAKVQSR >KN538681.1_FGP307 pep scaffold:O_longistaminata_v1.0:KN538681.1:87381:92548:1 gene:KN538681.1_FG307 transcript:KN538681.1_FGT307 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAAFTWWDALEVNIKNQGTQILRVLDHLDVDSSPEFRRIREERLEVVSRVLEEQTGARLIVWERSAGVTAVSANHWSEPRLGPVLSCDEYYDMDASDRWMYLPPAPPTATPATTSPPPTAQALLPTAATPTLQPAPPNTMTPAPPTTSTSAVRTPPPSTAATPIPMFSVKFGILVYLNLQSFLATAYVLKPVQCSEVIQSAVLQLLKCSQIQATQCFTKQQQPLIYQIRHLQPDHGVLVLLHSRAAAVDGCNSYLHADENGRSVYHGNLRGGGGASLHNAVWAVEEVVAGVPPTRYVLLRGAYDRYLGSPDAPDREREGCCSLEAAQRDRDVLDVGAIMWRAVGCSGPDLARGCVVLLHDKSGRYLCGNQTFLARRPGVSVDGDVDNETTLRWEVVRVTPSQGRPELPIATEVRSSYPSSLLIPSSAKVVIGTSAIRAQKPSITIRGSLPAILVFVLCPLCNSTTRGLFWF >KN538681.1_FGP308 pep scaffold:O_longistaminata_v1.0:KN538681.1:28779:29602:1 gene:KN538681.1_FG308 transcript:KN538681.1_FGT308 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLKGVNLGTIINSPLAQELMIPSVSQLAACGVRFAPAPEGIAGIEFDAAAATLKLPVITVDCNTETVLRNLVAYEAVAVRGPLVLARYTEPMNGIIDTAKDVKILRQSGVVGAEAGRGDQGGERAPEQEGGGAAEEDDEALRVPVVAGAHAARRRRAAAHDGAADILLGVPVQPLVRRHAADAPAWR >KN538681.1_FGP309 pep scaffold:O_longistaminata_v1.0:KN538681.1:97026:97867:1 gene:KN538681.1_FG309 transcript:KN538681.1_FGT309 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGIRILSKKFTPLLCRSSVARTGMALAKTNHTSTPFVNSLNGAKIPFSSSSIIKDSHSFRQSWHPRTPEPNTDEEFTIQWRMRFLANFLFLVYASGFIAHKRRN >KN538681.1_FGP311 pep scaffold:O_longistaminata_v1.0:KN538681.1:36203:39531:-1 gene:KN538681.1_FG311 transcript:KN538681.1_FGT311 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKVLLLSSDGCPIYYGLAADALSYFASVGFASPLSLNPADLMLDLANGIAPQIGGGGGGDGDVGGGAAAANGGGSEAELKEVRGKLAAAYERHIAPAVKLDICAREGGGGGGQGAAAVAGRRRRGGGKAAAEQWTNGWWAQFTVLLRRGVKERRYESFNKLRIFQVLSVASLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLVKERSSGMYRLSSYFAARTAADLPMELALPTAFVVILYWMGGLDPRPGPFLLSLLVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLRWLNYSFYCYRLLIGIQFGDGAAHYDCGAAGARCLVADFPAIKAVGLNNHWVDVCVMALLLVGYRVIAYLALDRLKPR >KN547589.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547589.1:360:533:1 gene:KN547589.1_FG001 transcript:KN547589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVE >AMDW01010848.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010848.1:50:151:1 gene:AMDW01010848.1_FG001 transcript:AMDW01010848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQ >KN543990.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543990.1:911:1387:1 gene:KN543990.1_FG001 transcript:KN543990.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGDSKILLISSDGQHFQVTEAEASMSKLVSNMIEDDCTENGVPLPNVASNVLAKVLEYCKKHAAAEDVAVKTQELKSFDASFIDVDNTMLFGLILAANYLNVPSLLDLACQHTADLIKGKTVQEIRDTFGIVNDFTPEEEEEIRKENEWAFEN >KN542051.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542051.1:6879:7601:-1 gene:KN542051.1_FG001 transcript:KN542051.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPGAIVINMASNTNGESTAVTTNNLAPSTPKAVDESTTSSKTDVATKTVTDKVMASTANLAQLLPTGTVLAYQALSPSFTNHGECNAANKWLTAVLVGVLAGLSLFFSFTDSVVGQDGKLYYGVATRRGLNVFNMSREEEEAKKLSHSELRLRPLDFVHSFFTAMVFLTVAFSDVGLQNCFFGQNPGGNTKELLKNLPLGMAFFSSFVFLIFPTKRKGIGYNDNTPNRKAEDVTKN >KN540779.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540779.1:39544:41235:1 gene:KN540779.1_FG001 transcript:KN540779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLELDLVIDMPYKVSEWPIGEDIMNYVSELPSVTNLAISIYVGTGGHTIGATLTKLIAKCKNVEYISIGFNDWKIRHCSNLSCFCRQPKGWEDQTISLDHLRTVDIRNFKPSDDWIRVLQVLLANSPALDTMTVELDKTYSKEIQKEGKSVQFDVPCSKGFWASVSSQPDEHGFDSVVVVKYKWTRGI >KN540779.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540779.1:29885:30949:1 gene:KN540779.1_FG002 transcript:KN540779.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGWKFHSNLLEKHIISLHLPVLRDLTLYIDDIRMGCLASCKNLMSLRLNSVSAIGSCGLLSVAVGCKNLTSLHIIKCNHIVGSDKWLEYIGSAGSLEELVVKNCKRISQYDLLKFGPGWMKLKKFEFKFKRSFNTYEPRDPCYVDNYQYGYDFCCESLKDVTLATIVTKPEIGLRCLLTKCKALERLSLHYVIGISDHDIITISQNCSNLRSISLSQEMLLCEIPGGTGVMARTPLTDDSLNALALRSHMLEAVELMFYGCAPDWPSEIAFTQDGLVTLLQSCPIRHLVLRGANFFDDEGMGALSSAQFLETLELMQCVAVTDVGMRFLAQSPCLKNLTLQMCYKVTDDGV >KN540779.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540779.1:15393:16841:1 gene:KN540779.1_FG003 transcript:KN540779.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALIGEIVKRVSSTSDLSSLSQMSKRLYKIEAESRHTIHISCSLRPATDAIVSLCSRFHNLLKVDINYSGWTQDHGNQLDNHGLRILSSYCLSLSDITLSFCSYIDDTGLGYLAFCKKLITLRLNSATKITSSGLLAVAVGCKNLSALHLIDCNKISGAFEWLKYLGSDGSLEELKVKNCVGINQYSLLMFGPGWMKLQRFVFEFRNIYSIFEPKDPSYVANCQYRYDFSCENLKQLSLRRIVTVEEIGLRSLLGKCKSLEKLCLHFVLGLTDSDMITLAQNCSNLRSISLQLEPVFCEGPEGRVCRTPLTDESLKALAGCHSPSLVNLTLRQSDGFSDVGVGEVVRARKLDSLIVEGCSRVSQKAVLGTAKSIRYERYYPGYGKLRRSSLSFGQD >KN540779.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540779.1:98:964:-1 gene:KN540779.1_FG004 transcript:KN540779.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLKCKGHEPATSFKKELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRYLLLYE >KN540779.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540779.1:10616:12430:-1 gene:KN540779.1_FG005 transcript:KN540779.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIKGRGGVQDCLHWCLPGVPDTWNEILAAQL >KN540779.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540779.1:35478:35816:1 gene:KN540779.1_FG006 transcript:KN540779.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIVMKSFMSQANKVEGVIPYAQKVGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYELQISFKTRLDKKLLQAALSSGC >KN540779.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540779.1:5030:7346:1 gene:KN540779.1_FG007 transcript:KN540779.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGAERDEARRTWRSRERERSKDRHSKRDKSKHKEFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >KN540779.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540779.1:23375:24823:1 gene:KN540779.1_FG008 transcript:KN540779.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPGAALAEIMKRITRTSDLSSLSLVSKRLYTIDAEHRSTIRVGRGLWPAKEALLTLCSRFSNLRKVEINYHDWTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNITSSGLLVVAIGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYDFCCESLKDLRLRGIATVEEIGLRSLLGKCKSLQILCLHFVLGLTDSDMITLSQNCSNLKSISLQLEPVLGVGPQGRVFRMPLTDVSLKALALGCRMLQIVELAVYSRHTSYPEIGFSQEGLATLFQSCPIRELVLCGANIFDDEVMKALSSAQFLETLKLMDCNRITDAGMRLLANSSSLVNLTLQDCRGFTDDGVSEVVRARNLDSLIVQGCRVSWKAVKGAAKSVRYDRNCPVYGRLSRSSMISTLD >KN541876.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541876.1:1304:1579:1 gene:KN541876.1_FG001 transcript:KN541876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRKIKILAKRTIQEAQAVTLHPAKTKRPRSEEEEEAAASTPTALCGGGGGLEGALHCPPAPKKPRLVMGCSLNGFKVLSVVDLRFFLR >KN541876.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541876.1:7719:10134:1 gene:KN541876.1_FG002 transcript:KN541876.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRLNSSTADAAKSSKSTPHVAATARPGIPRHAAARAERSPALVEKTPSPSSADHRSPKIASRISTLPSAEKHRTAVKKQSMEQLAAIQEDLRRAKEQLAKKETEHRKVADDARRTADEANAKLRYALAELKKAEEASETEMFRAIELEQTTIESTQRKDELQRRLEATRRQQEADAAALRSMVAQLEEARLELADAIDAKNLALSHADDAVRAGEANAAQVELLNAEINRLKDSFNSELESKVKESAEKTRKLEAETSVLRIKLKKAKVAEEKVAELEGAVEGLRADVANAIKARREADGLVGEWKKKAQLLEIKLELANQSSILKAESMSSVMKELDAANALLQVKESQIALLHDKIESLEHEVVRQNEDINASGQRVDAAQRGALALRTEIQDLRSRLGAMEQEKRGTIKDGSFTRSQIEAICEEKDKLAEELESSKYECEKVRKAMEDMASALQEMSAEARESQENYLHKEKEIEHTRAKLQELNISLNNTRENYEVMLDEANYERICLKNKVEQLEAEAKTTSEEWRSKQLSFVSSITKSEEEIMSMRTQLGKALETARDMENRNAQLEEKVRELEALMDKDNNYRGGKDTKAYKENDGLHLHVKESSGSEKIKDLYSLIGNDEGNTEKDGPVLLVSKMWENSYNLSKERDDGEPEVDLLDTDRDIAADGNGSRLSTEKTNSNTKLVVKQNQQKKALMKKFGGLLKKKSQH >KN539323.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539323.1:56466:60653:1 gene:KN539323.1_FG001 transcript:KN539323.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPSAIRLAVAAVLLAAVGVALFTLPVDKILKDFLVWIKDNLGPWGPLVLALAYVPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIERSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFILSVVLIVCVTRIAKSSLEKALAENGDAGIPQLPVVASPSDLQQPLVIRIDTSNEDHEK >KN546717.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546717.1:36:720:1 gene:KN546717.1_FG001 transcript:KN546717.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRGATAAVEPVRSMYGSKVGIRRAVEAAGIPHTYVACNYFAGFALPSIGQFMPKAAPVDSVVILGEGHTKVVFVEEGDIGTYTVLAALVSMWEKKTGKKLERVYVPEDAVLTKIKELEYPKNVLVSIAHAAYCRGEMSSPLDDPQDVEATLLYPEIQYTTVDEYLNTLL >KN539323.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539323.1:82361:88793:1 gene:KN539323.1_FG002 transcript:KN539323.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGAASRRLQYPSVAWSRVPPLPRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVNVGRLIDFLMEWRSHKQTLFERILDLSYAMAEEGFWGEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDRFAGAEGFMFLQDHMILNYWNLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIASFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAVPMLFLAMDLPSNFESKALTKLIYRTNVPSNATFATIYTAQAHAVYPMKVQNEIDFVELIRVMASGDPFLMELI >KN539323.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539323.1:29053:39250:1 gene:KN539323.1_FG003 transcript:KN539323.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFPAWPAMISEPEKWGLSSAKKKLLVYFYGTKQIAFCNYTDLEAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDVQQNISCALGNLTSPWKLRSSLGADLRPTEDSCGPLNSINQPCVNVISDNKQVHSFQHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDESLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLADSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSADMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVLSLDGEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKVKGCAASGVISPSNNSLDAIAKVSRSVMTKSPTISSSGHSLDTPDGEKHILLKDIPSTIPLDLKNACSQNSLKEKVVEELHMDDKNITLTVCSRADNNVCGKAPTYSMESKASGNESMEPNGDPAHDFVKNVNGSARPVSQANVVPSSNGNYNSVPHDDIRLAKPTVIVSDRTSASSLVTKISCIQSDASSQTFEPHGSSAIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGQCSPSNHTIRFAADRIHTQQNSGAIPFDNMQQKGLNKLSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHEATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVDRCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPRPPCPVFQDSQVQGALAADRVQPDPPRTTYNIQEQHPHSVANNRSNMDPCVVSSHPPAPYNCGYAGHANQMPLPPPPPPPLPPPPPVAPFHPPGPHFSGPSVPPHHGNNYHQPPSVPPPNNAYHLQPPPHPPFPNQYPYPPEPQQNTQPWNCNPSYPERHQYEGIPSMIEGITSMIEDITLMKEQLGDKCTMKLIEEDFPHTSLQAPHFQTILMVHPPHCIVGNHRILQQGQALGGQCLLGDLSTLLALDTRWTIQFPMKEVGGGMEDTIMINTLDDWEQRSFPPHLPQNCCRASAAAI >KN539323.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539323.1:61765:64177:1 gene:KN539323.1_FG004 transcript:KN539323.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARSRPAARARQASDQIVAWAACVLVSALSLLLLVAVSSGFSAARLTGEISVLVGKKLILNMSIEAAYQRAMNTLTSWVHREVNPHKSLVIFRTYSPAHKRASSNGGCAKETTPELNSSRISLHRWPGMVNPAFEPSRSGTAAAKLRVLNVTLMTAQRRDGHPSVFNVAAAARAPARQRADCSHWCLPGVPDAWNELLYAMILKRFS >KN539323.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539323.1:77042:79700:1 gene:KN539323.1_FG005 transcript:KN539323.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFIAALQLALAAAVAHLLLTLPPAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGMVNQEGVDYYNRLIDYMVKKGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYADFCFQTFGDRVKDWFTFNEPRCVAALGYDNGLHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAIKRYREKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIIHGRYPYSMLEIVKDRMPTFSDEESRMVKDSIDYVGINHYTSFYMKDPGPWNLTPTSYQDDWHVGFAYERNGVPIGAQANSYWLYIVPWGINKAVTYVKETYGNPTMILSENGMDQPGNVSITQGVHDTVRIRYYRNYITELKKAIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYKTLKRYPKDSAFWFKNMLSSKKRN >KN539323.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539323.1:13908:27602:1 gene:KN539323.1_FG006 transcript:KN539323.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELSTTDLGIWHRNFLLKNTHKYAYFLPTRICGLGWCHTFTTSTPPPKFIRVPQLYIRRYVEHTCTNKNCQSRPIAGYPLAKGIGVGKYIASECFTVGGYDWAIYFYPDGKSPEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMSQHIGQLLTDGKRTDITFEVDGEVFPAHKVVLAARSPVFRAQLFGPMKDKNMKRITIEDMEASVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLRFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSGGGFFRGYTKLCKGLAVILLLVHLLIQLFPSAVTYLALVPGSISKVLHCVDISVILYSELYLAHIKLICLFHLWQNLILFSYRTIPFAWNLITGGYVELTIPGVIISIVGLLLFGKLLEPLWGSKELSKFIFVVNFSTSLCVFITAIALYYTTQEESYLYAPLSGFYGVLTGLLVGIKQLMSEQELNLFVLKIKAKWIPSLVALISVIVSFFVKELVSYLPVILFGIYMSWIYLRYFQRRLETGLKGDPSEEFSFSSFFPEFLRPVLDPIASIFHRLLCGRSERADARGQTLDTTPLPGSDSIEANRRRERGQRALEQRLAEKLAAVKSSEGTSQDASDKV >KN539323.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539323.1:88983:89528:-1 gene:KN539323.1_FG007 transcript:KN539323.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLQFREKFLSENTHSKCCMLTRFAKHTEIVTLFLCLLQEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRHSK >KN539323.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539323.1:99392:102130:1 gene:KN539323.1_FG008 transcript:KN539323.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDGGVGGGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSAHMQMDARDMSIFSDESFDCAIDKGTLDSLMRSSDGSRSRKVFNVLLTPTKLSFTVSSAPRYAIDFNSAAADSPAQPFDCRLLKPGGIFMLITYGDPSVRVPHLNQSGCNWKIVLYILPRPGFKGKTKRSVLDPVPMTDSGVLPDGFVPEDPDSHYIYVCKKLQGSTGTSSPTIHHVDTQDTAE >KN539323.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539323.1:102987:107134:-1 gene:KN539323.1_FG009 transcript:KN539323.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGPFRVKSDGVSLYRNPYSWNNVANVMFLESPIGVGFSYSNTTADYSRMGDNSTAEDAYKFLVNWMERFPEYKGRDFYLAGESYAGHYVPQLAHAILRYSSAAAAAGKPSSSPINLKGIMIGNAVINDWTDTKGMYDFFWTHALISDEANDGITKHCNFTDGADANSLCDDATSLADDCLQDIDIYNIYAPNCQWPGLVVSPPVTPSIESFDPCTDYYVEAYLNNPDVQKALHANITRLDHPWSACSGVLRRWVDSASTVLPIIKELLKNNIRVWVYSGDTDGRVPVTSSRYSVNQLNLPVAAKWRPWFSNTQGAGDVGGYIVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQYFLEGKTLPDCEKCGEA >KN539323.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539323.1:67283:69967:-1 gene:KN539323.1_FG010 transcript:KN539323.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASGGVEPPPQVATFQPVMQTPPQVAFASLNSRVYTNGITLCVFLVHLAAATFAVGFFVFRAVKDIVQHPRSRNAQRERSLLREWLPPVEGAVALSIVLAFAWQKAVRAWPRAMVGVILWSSFGITLAVGAMLMCFSMPATVGLGVAMVMFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANYRFPGLTILGLVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFSCAHCCLHVMNAIFEFGNSWAFVHIAAYGRGFVQASRSTWEQFERLQGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHKHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCFAENPTSRLFDPTIPERLHKMQEGADPLVPTPRFPQQHPGAA >KN539323.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539323.1:41881:42734:1 gene:KN539323.1_FG011 transcript:KN539323.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRHDHLLLLPLLLLLLLGVFIATSSAAAPVSRTITVDHQGGGDFTLVQSAVNSVPDGNRDWIKIHVNAGSYEEKVTIPSQKQFIVLEGDGSWNTEITFADAKLLLGILII >KN539323.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539323.1:1830:8205:1 gene:KN539323.1_FG012 transcript:KN539323.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNNVKGETKLRTSAVEKNNWKADTKVHTVTYLLNVNGILGNTLNKPISICKNGSFSNLCDLITVLAFGQFLRAARRPTTIVMLVLMVDPDFRLIMIVIDICAVIILSFGNLHIPAAPVRVSLAGKRLAKHNYFGVDDRQNLGESLTIFYSMVLGQGILYIVAGILEVFSFIPRRTLVRRGGFTGQWGVESVNLYYTYAFEKNMEGGVFAAKRISLSNFAMDSINSDLSKKQLYGIRMMHIFLQRDPTRAQLLEKLTTSTQTMARLINMLGWTSRNDHTTIRLYAKVTAELAKSLRVVAVPGTMYLVSTLLDTDRKPKRRHPLLDEDDDRDPLFVDTVESQEKSQDAAGDQGQKQGSAGDTDIMLKTPTRSTHLNNPRSTYKCWEGISECQSIPKEQPLTDDDLLPALGMSIVYSLAGCDQNNSVEIDRVTDLIPKIIGFTSFTSATVNSEEQQKVLLKSSLKVLQRLTSIEGEIGITLRHKISKHPFLLRNLAEILGDNSITPELRKLVAEILRNLAIDRDARQEIGQIHVLITRLMKAFLKCNGPSSTNADCLLPKVAGQALAMLASENVDNCLVMSKEPEFINKLRNMILIHDDNKYIYVAASLLRNLCMHAQPELMESDLKGLSHILPAVLERIMDAEGPELEILIGLSSQICKVLPEEFSQELEHRQIKRRFIERLVDLLNANMKPSAHCPGIRRVILEQSIYMMECNSHYANCFNEYQMMDALSIVELTSSRAENYMVFLGDTGFMECRKPLLALVDRTKELMGHQWLQGINSAY >KN539323.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539323.1:80382:81964:-1 gene:KN539323.1_FG013 transcript:KN539323.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALAATAAVAAFPNFADVAGAVALLVLADSSPAPSPPPPPPTVSDELSCYSGSSASYSGTSARSCVSDSAQRGRPVDPLRVLAVVASLRRIDPKVLAKATNTLFQGESSKKRKGVWIHIDDDEDESERNSAVASEGSTVTGTALLKRPGCLRRTGSGGRNDPYVYMVTG >KN539323.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539323.1:91297:95434:1 gene:KN539323.1_FG014 transcript:KN539323.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERAFAGGIGPAVESTVEKGCVYIKEAYHVYWTSCVRGVHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNDSPDVPASDRALKGSSLFGMFALKERPRWMRISSLDELKTKLGHIIVMILLVKMFERSKMVHITTGMDLLSYAVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEQDDSVMPNL >KN539323.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539323.1:54104:55119:1 gene:KN539323.1_FG015 transcript:KN539323.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPVLRAAILAAVLVLPFVGVPAPAAAQTKKFCLSQFAIASQAISTGKCD >AMDW01040615.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040615.1:386:1706:-1 gene:AMDW01040615.1_FG001 transcript:AMDW01040615.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINSKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >KN543472.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543472.1:820:4131:-1 gene:KN543472.1_FG001 transcript:KN543472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLVRVKVGPWGGTGGYEWDEASYDGYDGGFTGIRSISIGSSSTYVSSMLFEYDDNGQRVMGIRRGKEKPEQIRTQELDFPGEVLTHISGCHNNNVIVSLQFRTNRNRTLGYGKMDAENEQWRRFEVSMEQAGSIVGFFGRSGDQIDAIGIYVAVWNPDRFFDGMRRQGVVTHRTSSPLHLKLREMEKRKKLEADSTHLRERKSLLESEISRYKDKKGELQAKLDGLKNLEVKLLQDQKERESQLESLKLQRLSSQRKRKEKQESIDRLERNLDVLHKQIVETLQHTQDAKRQLEQEEKELREQDQIRVSQLREKREGLSRLRDEQNKHITNLQMLPPYNKLGSSSSTSDQMHINLKMQQAQITLDIQNLQLIDMEHKEKYLQTKWGIYFAQKKSDYEKEYAIKENIRKEYRTRLQKLKEQENTIKELLDPYMDSDSDN >AMDW01126356.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126356.1:1308:1337:1 gene:AMDW01126356.1_FG001 transcript:AMDW01126356.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPTKK >AMDW01036334.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036334.1:298:486:-1 gene:AMDW01036334.1_FG001 transcript:AMDW01036334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSCACHGVVVTLASCFLLVLAAHVAVGRVWSPAGAAAAGHHHSLSPASVPSSPELVNRR >KN539155.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539155.1:59244:61454:1 gene:KN539155.1_FG001 transcript:KN539155.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKHASNHFKRLSELILLKSCTSRTSAAIKEHWRGPMKRKLNSYLASGLLKKSPGLPENLSVPQSSDSNILQQCDVSSYENKLLSDLRPSLKSKEGVCSKCDGSSSDNQLLSDLRASLKSKGASSKCDGSSGDNQLLSDLRASLKSKEGASSKCDGSSGDNQLLSDLRASLKSKEGASSKCDGSSDENKLLSDLRACLKSKQGASSKCDGSSGENQLLSDLQASLMSKQRTSSKYKQGASSKSKQGTSSKSKQGFIDLCENTDTSEGESSELICTERPDPDSGEASKRIKDRLNWRKRARKKLVFLSSPVELKVSAVAESERHLQESKVTSPEVNIVSPPAVLQGFSPEVPSVCEKIVEPPLADFNQSKNVCSSLETSSDPCTLEQHLANVSDLLDMSYIDGLMIIPPAGCPYDGDFI >KN539155.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539155.1:99895:100215:1 gene:KN539155.1_FG002 transcript:KN539155.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEKAWANEKKAIADGDYEGNPSKVNTSSEKKACVDEGKSISDAETDDEGVSVPEKKACIAEGKSISDAETDDEGVGVPRNLQMKDLHDIMFPTYTQVCKRRRI >KN539155.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539155.1:21848:25294:-1 gene:KN539155.1_FG003 transcript:KN539155.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSALLLLVLLVSPFFTNASSRLYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIVGVRWYSGGIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGKQLAAGTARGGAPRARVAVYKVCWGLPAQCGGAAILAAIDDAMNDGVDVLSLSIGGAVEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNEKFVGQSLYYNATASSNKFQMLVDGSSCDAETLASINITRKVVLCSPPSLTPPRQSLGDIIGRVIKAGADGLIFVQYSVSNALDFLNACSRASVPCVLVDYEITRRIESYMTSTSTPMVKVSPAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPDKAIDPGLVYDINPSHYTKFFNCTFLEAEDDCESYMEQIYQLNLPSIAVPKLKDSVTVWRTVTNVGEAEATYHAVLEAPVGMTMSVEPSVITFTRGGSRSLTFKVTFTTTQRVQGGYTFGSLTWLDGNTYSVRIPIAVRTIIQDFVADTS >KN539155.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539155.1:71251:71805:-1 gene:KN539155.1_FG004 transcript:KN539155.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAILSRLEDGEDLMVVECTCTALRRLVAELDAVLWKPMYEDVVDARRFAGVVRADDESPEMSWKERFTAAIHRPIPINIGPHAATVNLAWLLDLEAAIVEMWHNDHVPVPPQHPVVPLSDDDRSDWPELPPESVPRRRRRQWRAMPRDFSHGRALVHGGHNNQRRGGAGAVHSPSSRYRWSHR >KN539155.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539155.1:89048:90562:1 gene:KN539155.1_FG005 transcript:KN539155.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKERFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNVPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSEVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEEFDPIEFLEGVDLSTEHKVLDLKNRIEASTIIWKRKMQTKQEAKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYAILESYSRVLESLAYSVMSRIEDVLGADAAAQNLTATEAARRLMDGDAAARKLDAMEELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAKLKKAPSLAPKKFSYVDSLSAGGMRSPSARH >KN539155.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539155.1:100564:105066:-1 gene:KN539155.1_FG006 transcript:KN539155.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDPGVQEVLQDEGDGIPDLNLDPAVQGEDDFQYEDEELPDNQCFGAHEDEHPNPAVQAVELSNGRSAQEICHLNMEPANYGEDDIVFDDDEFYVLQDQSNYADEEDFDVYSPYMDVVFDEDDLHTSSDEADTQQDNIKRRKYLPEAEKKAIYGALLASTINGKLADRDTTAIIAAMFDVTRRVVQDIWTKATKCLAAGVEVDFKSKKPGNCGRKRSDIDLDQVIQHN >KN539155.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539155.1:13698:16934:-1 gene:KN539155.1_FG007 transcript:KN539155.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVYSYKHGFSGFAAMLTESQADELAKLPGVVTVKPNTYHETHTTRSWDFLGLNYYELSSLLKKASYGEDVIVGVVDSGIWPESQSFDDNGYGPVPARWKGKCQTGVAFNTTSCNRKIIGARWYSSGIPDESLKGDYMSPRDLNGHGTHTASTIAGKQVWNASHHRSGLATGVARGGAPRARLAIYKACWGTAGTCSTAAVLAAVDDAINDGVDVLSLSLGIGSDIPGTLHAVASGITVGQSLNYNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYAPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNIFDDLENCNKILPAGCMMVDFEIAARIASYLNSTRKPVAKISRAVTVVGNGVIAPRIAAFSSRGPSIDFPGILKPDVAAPGVSILADVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIITTGTYSRHITSSVTDRFGMPIQAEGVPRKVADPFDFGGGQIDPDKSIDPGLVYDIDPREYTKFFNCTLGPKEYCESYVGQLYQLNLPSIAVPDLKDSITVWRTVTNVGGSEATYKASIEAPAGVNMSVEPSVITFTKGSSRSATFKVTFTAKQRVQGVYTFGSLTWVDGVTHSVRIPAVVRTVIQDFVSDTS >KN539155.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539155.1:125426:127219:1 gene:KN539155.1_FG008 transcript:KN539155.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVGRARGGWGFGHERVGGGSPDDARWDARKKEERAAEEGVRSPDLRKKEGWGFGHERVGGGSPDDDRWDLRKRTRGSPDDARWDLQKKRREEEERNDGGGSPEPELGKKNDDEEEKKKVVVVARGEEVEEEEVKRGKWCAGMRVPWVEEGPHMLDQLKPLVLTMNLQVRKVIESDDDP >KN539155.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539155.1:117737:123626:1 gene:KN539155.1_FG009 transcript:KN539155.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGLGYALVALGPAFSLFAGVVARKPFLVLTLLTRKMEEMLDSFADRISKPRLCLTDKMLISLENNQNTNLVYGIGSTEYSKCKMCIHLLVSASVCPSYHSGGLGHGVAHAVFFCLSLLTPAFGRATFYVEKCSRMPFFLVSAIISLGFLVIHTFSMIIAFNGYDERKRSDQVFVPVVHLIASVMTLINLAPGGCVIGTPLLCVMGAVTLQYCWQMSNALEKSSNQCITLEHLMEKI >KN539155.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539155.1:80492:81975:1 gene:KN539155.1_FG010 transcript:KN539155.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSGTPTYVPRESFVEYLDSYTDRFWIQPRYNTSVESAIYDQGKKHWAVLAQDTDTGVVARLTARFLIVATGENSAASIPLVPGLAGFEGEAIHSSAYKSGNGYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRFGMTMVQNLGLSVTIVDPLLVMAAKLIFWDLSKHGIMRPKMGPLLLKSQTGKSAVIDVGTAKLITRGVIDVLEGILKINANNVEFHCGRQIPFDAIVFATGYKSRVNTWLKNGESMFRNDGFPKKKFPNHWRGENGLYCAGFARRGLVSIAMDAKNIVDDIIATMYQVSC >KN539155.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539155.1:40210:43051:-1 gene:KN539155.1_FG011 transcript:KN539155.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDLQRCSQNLKLKKLQAKLPEVLSVNPNIYHQAHTTRSWDFLGLNYYEQSGLLKKANYGEDVIVGVIDSGIWPESESFNDSGYSSVPTRWKGKCQTGMAFNATSCNRKIIGARWYSGGIQDESLKGEYLSPRDANGHGTHTASTIVGGQGQSLYYKATAKSGKFEMLVDEGFSCDKETLALINVTGKIVLCSAPLQAKLNPPRLMLPAIIGDVANAGAAGLIFAQYTVNILEDLDACNGSMPCVLVDYEIANRIRSYVASTRMLVVEVSPAMTVVGSGVLSPRVDAFSSRGPSTLFPGILKPDIAAPGVSILAAVGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGIPIQAEGVPMKVADPFDFGGGHIEPDRAVDPGLVYDIDPREYAKFYNCSINPKDECESYMRQLYQLNLPSIVVPDLKDFVTVWRTIINVGAAEATYHAMLEVPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYKFGSLTWQDGSTHSVRIPIAVRTIIQDFVADTS >KN539155.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539155.1:2975:6175:-1 gene:KN539155.1_FG012 transcript:KN539155.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSSFPPTLLLVLVLVLPIFADASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFESCFRWNSKDEAMKSIVYSYKHGFSGFAAMLTESQADEVEKVPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKANYGEDVIVGVVDTGIWPTSRSFDDNGYGPVPARWKGKCQAGADFNTTSCNRKIIGARWYSSDIPDDELKGEYMSPRDLSGHGTHAASTIVGGQVWNVSHRQSGLAAGMARGGAPRARLAVYKACWGPKITCGDASLLAAIDDAINDGVDVLSLSLGGYGEVPGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVISLGNKEKLVGQSLNYNATMNSSNFHMLVDGQRCDEDSLALVNITGKIVLCSAPLEAANSSPNSAFAATFVAVVKRRAKGLIYAQYNANVLVGFEDYCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVCIPYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLAYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPNLKDSVTVWRTVTNVGGEEGTYKASIKAPAGVRMSVEPSIITFTRGGSRSATFKVTFTTRQRVQTGYTFGSLTWLDGVTHSVRIPVVVRTIIQDFVSDTS >KN539155.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539155.1:91728:95888:-1 gene:KN539155.1_FG013 transcript:KN539155.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSALLFAVSSAYLVSGISVAYAAPRRHPLICVVENNFCASKRVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYAGTAFFLRRKAAVILDEGDYTPHNVGLEMLETTIEVTPEMQRRINDGFKSWMGPSTLSSDDEEEASDDYIEHNVPIPTASVQVRQENDLES >KN539155.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539155.1:138380:139245:1 gene:KN539155.1_FG014 transcript:KN539155.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITLPFDRSTIRSILIQTVSASKCTQGSLRYWLSVGPGDFQLSSAGCANSALYAIVIESPSLPVPAGCKVITSSIPIKSQQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTQSKELLMPRFDKILSGCTAKRVLTLARQLVADGRLSGISSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQMLFNLILEDMRSGPPSVRIPVSY >KN539155.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539155.1:106341:109582:-1 gene:KN539155.1_FG015 transcript:KN539155.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLSILAVRTDFKASWVLVKSQVAETVAMTVIGGVLRSILGIMVLLTLFSGGKSSNRNIMALICAICSSHQIRQQTLVADWVWVAVPPLSPFDSKAPRGLAQADRHTEFLTLSLKMELGYYRIRIKFWTLVADWVWVAVPPLSPFDSKAPRGLAQADRHTEVGKESYGFGPMAIA >KN539155.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539155.1:47117:49638:1 gene:KN539155.1_FG016 transcript:KN539155.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEKAQDSPSLVESRLAPLLLFGHGEAGDKFLYSIPSRRQLAAPPAFVDLIGHYSWITPQGWVLTLQPAVSRGDGDAPAEAFLRDPFSSRRVPLPPPDEEISGLASKALSGGDDDAAWHEYQPEAIIAPANHDDDDDLDAIVIESMGWLTASGGKLFADLEWSGKMATLEFSPSPATPTLASAPLAMVPCPAWCNHWNSSPVDSRGELFVVHFRNSLLCQRTVLLVQVHRLDSTRRAWVKADGLGSNRVFLVTFQFGVSMAADEAGLEENCIYFTKSEDKGLYVYDVGQGTTALYDPGEDIPDSMEPILLMPNFEIDHTLTDPGAKICCSAAKHLTTLCTPDDVVLDADMVSGSIRELPRLPDSKFNFIVYDDGALRTTMYAIDTVGSLRICRTGLNDDSEWDDWDLVDSPDEQMVPTLPISNPVFHGGMLYLLGKDGRLALYDPCNHDGGFEILGKPESFGLETDDSYLVESDQGELMAILVGRRGTPVHIVKLNEEAMKWEEVESLQGRTLFTGTLTTMMRSVKIKWMQNKVFLPKLYDWPETIQVNLVLRDGELAFVPKSGGENTILKDGEDYMEKMWCYEPGQRQAKKFWGTKSVDYGIWVNFST >KN541250.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541250.1:18959:23787:1 gene:KN541250.1_FG001 transcript:KN541250.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRRMMERSGLGEATHFPTSLISLPVDMCLRTAREESHAVIFGVVDEVLRKSGVAAADVGVLIFNSSLLSPTPSFTSLIVNRYGMRPGVVSHNLSGMGCSAGIIAIDLAKRLLQVHENTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRRGRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGEVGVSLSKELMVVAGEALKTNITTLGPLVLPISEQLRFLATVVLKRVFRADVKAYLPDFKLALDHFCIHAGGRGVLDELEKSLKLSPWDMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKRGDRVWQIAFGSGFKCNSAVWRALRTVDAAGLDAGDNPWMKEVDMLPVDVPKVAPIDESSYQIPN >KN541250.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541250.1:29656:30582:-1 gene:KN541250.1_FG002 transcript:KN541250.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLIAVILLLPALLAFQAPMATAVNSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFIIDYTTETNPPTPTNGKFNIFWQNTVLTPSAVASIKQSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVNNAVESLTGIVRDNNLDGIDIDYEQFQVDPDTFTECVGRLITVLKAKGVIKFASIAPFGNAEVQRHYMALWAKYGAVIDYINFQFYAYGASTTEAQYIDFFNQQIVNYPGGNILASFTTAATTTSVPVETALSACRTLQKEGKLYGIFIWAADHSRSQGFKYETESQALLANATISY >KN541250.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541250.1:27457:28694:-1 gene:KN541250.1_FG003 transcript:KN541250.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYGRLLRMVCSLCAQPIHYSRQAGRGALLARLFGSLVRRRDVWGRVRTRLGLSCSSPSADFADWWLAARKSVAKVDRKTFDAGVILVTWLIWKERNARVFEGIAATIPQLCSAMGDEWETWIAADVVKNDERSATLLFSLTDLPHARSWALIPAKKCGASGRVGGSIIGL >KN541250.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541250.1:24691:25614:-1 gene:KN541250.1_FG004 transcript:KN541250.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLIAVVLLPALLAFQAPMATAVNSNLFRDYIGANFNGVKFTDVPINPKVRFDFILAFIIDYTTETNPPTPTNGKFNIFWQNTVLTPSAVASIKQSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVNNAVESLTGIIQDNNLDGIDIDYEQFQVDPDTFTECVGRLITVLKAKGVIKFASIAPFGNAEVQRHYMALWAKYGAVIDYINFQFYAYGASTTEAQYIDFFNQQIVNYPGGNILASFTTAATTTSVPVETALSACRTLQKEGKLYGIFIWAADHSRSQGFKYETESQALLANATISY >AMDW01014367.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014367.1:16:243:-1 gene:AMDW01014367.1_FG001 transcript:AMDW01014367.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFEIVSGRRNVEQRRQAEDEYDSGGGGRVEADFFPLTAVRMLFDVDGDLRDVVDGKLGGEADMREVERACKVAC >AMDW01028905.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028905.1:61:357:-1 gene:AMDW01028905.1_FG001 transcript:AMDW01028905.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAADYDHDEQSGVLDDAYSFYAPPMISTTLIPKLPKIPSISELFDEHALAQIFDAAADPPADHHHHHQHALAVHPSLNQLLGVGDNFLAECYPSTT >AMDW01016685.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016685.1:82:243:1 gene:AMDW01016685.1_FG001 transcript:AMDW01016685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAAVKIAGEAAVALWSRRFSVRIDSHIVVDPVFLDVIEQENHSEMQLYLT >AMDW01037369.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037369.1:25:348:-1 gene:AMDW01037369.1_FG001 transcript:AMDW01037369.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPFGLYFNLDIAYLHGTMLGDDSDHMAMFEKKASVTQTDMKEKRGKAKDVSIDEDKSSDDDVDM >AMDW01037577.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037577.1:226:615:1 gene:AMDW01037577.1_FG001 transcript:AMDW01037577.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFGRTRTNYVLRIAMHGEFSHDEDQKNQSPLEENMDNSIPSCGEDIVAVTRQLVILMKPMPSAKA >KN539130.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539130.1:118900:119210:-1 gene:KN539130.1_FG001 transcript:KN539130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRWRASLLPVARLLPPRLLTYADLLSSAAYLGVADCHHQQAEHIYEPDKKLEDVMWQPCRWPTRRWQAHQAQSLQEIL >KN539130.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539130.1:74065:75098:1 gene:KN539130.1_FG002 transcript:KN539130.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLKKGCVAQPMDDDSDGKCDIPTESDIEKMRAEEEELEEEDNFTQGGCDQLMNVEGEGCQFQEVKKKKAKKEAVPPKRQSLRVRDKEVPVQLKAELRKSRVNLNPGSNEEECLANLNAICARELAQAALFEAAQGREASQETIKQEFSDKELRGLLGDQFLWRYSAAVGHSGGILTGVRDVLFEITDWHQGQYFLSVTLKNRKMVLIGNV >KN539911.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539911.1:70143:73796:1 gene:KN539911.1_FG001 transcript:KN539911.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLATGVGWVISPVIKLMFEKVKSYISTQYKWQSDLEDDLKKLQTILTEILLVVNTAERRTLDCNQQALLRQLKDAVYDAEDIMDEFDYMLLKANAQKRKPISLGSSSISIAKRLIGHDKFRSKLGKMLKSLRRVKECAEMLVRVIGPENYSSQMLPEPLQCRITSSLSTSEFIVGRQKEQEELVHQLLEQSDKPESGSQEARSASSEVITIVGSGGIGKTTLAQLIYNDKRIKDNFDKRTWICVSNVFDKVRITKEILTSIDKSIDLTNFNFSMLQEEIKNKIMVKKFLLVLDDVWYDEKVGGPINADRWRELFAPLCHGVKGLKILVTTRMDIVANTLGCATPFFLRGLESEDSWELFRRCAFDSRDPKDHPELVSIGERIVQRLNGSALAIKAVGGHLSSIFNNEEWNLVLKRGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFDPDMLINMWIAHEFIQDHGSTYGSLRSTGRSYFDELLSRSFFQALRYGGTVHYVMHDLMNDLAVHVSNGECYRVEADEPEAILPSVRHLSIPAETVDLLRVYKLQKLRTLLIWKKNKYVCSGVCLEPDVLKEFKSLRLLDLSGCCIKHSPDFINHMIHLRCLTLQNTNQPLPDSLCSLHHLQMVSVHSHPCFRGKRQVIFPKNLDNLSSIVHIDVDRGLFVDLASVGHIPYLRAAGEFCVEKRKAQGLEVLKDRNELRGFLMLTSLENVKSKDEATDAQLGNKSQISRLDLQWGSSNEDRQPGKEYDVLNALRPHPCLEELIIEGYSGCTSPCWLESKWLSRLQHISIYDCTCWKLLPPLGQLQSLLKLCIDGMKSLECIGTSFYGDAGFPSLQTLKLTELPELAVWSSVDYAFPVLRNVLISRCPKLKELPPVFPPPVKMEVLSSTIVCSQHTDHLLDTRITQKEVSLTSLSGIFHLCHQESVEIAEISFDGADMVNDGLRDLGPNLPSHQGPFICWYADFHRAFASLTEMKIVGCPNITSLLDFRYFPVLKNLIIKDCPELNELQEDGDLTTLTEVLIEHCNKLVSLRSLRNLSFLSKLEIRNCLKLVALPEMFDFFSLRVMIIHKCPEIVSLPEDGLPLTLNFLYLNGCHPLLEEQFEWQHGVEWEKYAMLPSCLFAGESIGYGQDIAEEILRENDIIQRSIQSSLLHPTDSAASSSSFLQ >KN539130.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539130.1:126440:129923:-1 gene:KN539130.1_FG003 transcript:KN539130.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKERKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCAVDQSWVKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQLLNLFSEVEAAEMTGGSHDGYSAAGALAATALAASRNGLCGGKIL >KN539130.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539130.1:144174:144278:1 gene:KN539130.1_FG004 transcript:KN539130.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVLVLAVSLLTMAVAASTRSSSCPRDALKLR >KN539130.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539130.1:33382:37826:-1 gene:KN539130.1_FG005 transcript:KN539130.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLEDVPSMDLMNELLRRMKCSSKPDKRLILVAKNGLLRFVNDKCTPYHVNGTILLPLTYIRPINRSVRPFFHNTGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHKQTEPVIDYYSKKALVANLHAEKPPKEVTAEVQKVLS >KN539130.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539130.1:138074:142700:-1 gene:KN539130.1_FG006 transcript:KN539130.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQAIH >KN539130.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539130.1:25000:30909:1 gene:KN539130.1_FG007 transcript:KN539130.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KTI12 homolog [Source:Projected from Arabidopsis thaliana (AT1G13870) UniProtKB/Swiss-Prot;Acc:Q9LMH0] MGHTAIPRFFSVLRHCLEGRRGHLPSTGLRLSSDGIEDMVVEKNLRGVLRSEVDRSVSRDSIIVVDSLNNIKGYRYELWCLARASGIRYCVLFCDTEVDHCREWNTKRQEKGEPTYDNNMYRSYWVIMEGGRLWQVRAEEEYCGGRVAGDRRERFDDLVSRFEKPDRRNRWDSPLFELFPSRDGVMESSPVIAEAVSYLTKKVDSKTRDVKVLQPTIATHTARTTEANSLYEMDKATQEVINAIVEAQSCGLGLPVNKISLGPDLPTISFTV >KN539130.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539130.1:89896:91230:-1 gene:KN539130.1_FG008 transcript:KN539130.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >KN539911.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539911.1:45597:45787:-1 gene:KN539911.1_FG002 transcript:KN539911.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLLFLLLLLLSGVSLSGCIRLGNGGYEEWRMGSATYIKESLGHPLNDD >KN539130.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539130.1:93281:93778:1 gene:KN539130.1_FG009 transcript:KN539130.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIATNGEHGIEHDKKVPFLWTYRRDQRKIDRSSMENSRCWIHVTDKGSTMLPATMLEDLPNAEVIAVMAKMLWVDANAIMKSDLTFALGDSGFTGCGSWETLMASSYHTPWGEEACGHAA >KN539911.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539911.1:22712:27468:-1 gene:KN539911.1_FG003 transcript:KN539911.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGADGPVDEANAVEEEKSGKAEDGLEEAADTVDPVKDSAKPEGMDAEEAAAEQEGGAAADKAKDIPVTSNGKTDAGGNEVAEMKVEKLENGDGHVKVDGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVSVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >KN539911.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539911.1:975:2567:-1 gene:KN539911.1_FG004 transcript:KN539911.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPAAEEGVGAEDFFDALLVDGGEEGEEEEEEGKGSEAGGSTDGDSGKENEVATPDAEKEDVEVDGDDPMSKKKRRQMRNRDSAMKSRERKKMYVKDLETKSKYLEAECRRLSYALQCCAAENMALRQSLLKDRPVGAATAMQESAVLTETLPLVSLLWLVSIVCLLLVPGLPNRNPVARSSAGRDLATVTGKKTSSEQQLEETLLLHGRRCKGSRARIKLDTGPFRLAAAAC >KN539911.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539911.1:20155:21386:1 gene:KN539911.1_FG005 transcript:KN539911.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRRAPWLGLLFLAAGALLLLFALAEEELQAATSAVATPNSGVEERDDEVVLIKKGENAVRGDVDDVKRHTSMRGWGVWSGGGGGGGGGGGGGGGGGGGGDGVVVVVVGGGGGGVGGGDGGGGVRSVYDYQRIMGEQRTVYLVFYVAIAEFL >KN539911.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539911.1:52064:53457:1 gene:KN539911.1_FG006 transcript:KN539911.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPIATGIGWVICPIIKLIFEKVANARLQPRALLCQMKDSVYDADDVMDEFDYLILKANAQQKSKLSSLASSSLAIGKRLVGQDKFRSKLRVLNSLIRVKECADMLIKVIGAENSYSYMPPQPLQWRVTSSISPGQIIIGRQNEQDDLVHRLLGEADGPEPSRGLTISPTPSIITIVGSGGIGKTALAQLIYNDKRIVSGFDLRTWIYVSNIFNKVKITKEILKSIDRNSDITNFSFNMLQEDLKNKLTAKKFLLVLDDVWYDEKIGELTNADRWRELFAPLCYGAKGSKILVTARANIVSRILGCPAPFHLEGLKGEDSWNLFRICAFGAEDPGNYPELESIGECIVQKLNGSALVIKVVGAHLNANLNVEEWTRVMKSSSSNKEDIMQILRLSYESCQVTSSNVLLFAACSPKAILLSLTCW >KN539911.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539911.1:6556:16619:1 gene:KN539911.1_FG007 transcript:KN539911.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPNLVRPSLSAIVGDSFSPATNDDDDRRRSFRNSGWGQEFDPNTTNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEEALCPVNEPNIEVPSGATGHYLLGVIYRYTGRVEAAAEQFVQALSLDPLLWAAYEELCILVTLWPSSPYIEGLILAKYKSVAEDANECFSEATALRLQQELTSTSNVEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGYPHVKPTALHMQNGAPSNLSQFDTPSPTSTQASGIAPPPLFRNMHAYQNTAGGNAPSKPKVNAPNLTLRRKYIDEAGLKKEALEVYRKLPEAQFNTGWVLCQVGKTYFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQDLVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSIKLYRSALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPCSSVLMCYLGMALHALKRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPDALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDEI >KN539911.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539911.1:33445:41846:1 gene:KN539911.1_FG008 transcript:KN539911.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLDLNEPPHENQGGGLNYVLLQKDSKNICRTKVCDIPVKVFVPTKVYQQCDFLKFSLLPEPEDDRQNIEWGKFMRFLWDNQRVAVVRFSSFALHIFPPQSHGSHERPNFSHAVVMYEQKDPGDCKPMAGVSDALKRSYRSDFQSGSMNPKSYLREEICDSGPNPKEMNASRVTELHKSVPESSPCESVEDGPRILDPIVKKRTTTLAKNFVSTDPSYLRTLSQTHAGWVFGAIAELIDNSRDADASRLNISVKSLFSKKADKKIPVLSVIDDGHGMTCAEMMRMISFGHKRPDKQRQDQIGRFGIGFKTGAMKLGRDAIVLTQTSSSRSVAFLSQSFNENKDNLEIPVVTYRKEGQYMEVDSSVQSEATAEYNLNAIKEFSPFNEYFIGEKLGIFGEDGTGTQIYIWNLDRWGADYTLDWSSGKPSEDPVHHGRGDILIRSRRVRLRPGQTSNNVPLDYSLQSYLEVMFLNPRMKISVQGSSVKTRPLAKTLNKTSVISGEIMGRTIQLTLGRSNVEWDRMNCGIFLYWHGRLIESYKRVGGQKHNADMGRGVIGVADITDLIDDEDGNSWVLNSKQGFQDCEMYAKLEEWLGRKADEYWDTNFDTLELRKGSERYKADHEWVQCYSCRKWRILNAGFDTKSLPDEWFCYMPPFNGKCEVAEQQMARGVIVIGEKRSEHDKRDRITQQEEVAKENARETRSDDSQSQKFTQDEDVKDVKLISTVVNKRRKSSNGTRSMDKNNSEDKHGV >AMDW01028958.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028958.1:27:377:1 gene:AMDW01028958.1_FG001 transcript:AMDW01028958.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRAALLVLACVAVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMSLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLSPGNYNENTFK >KN542815.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542815.1:146:2803:1 gene:KN542815.1_FG001 transcript:KN542815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRPGHTYKNGAGKQALKNIDSLGLFSTIEVQPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVPGSQGRPTLASIQPGGTVSFEHRNICGLKRSLIGSVTSSNLLNPEDDLSFKLEYAHPYLDGVDNRSRNHTFKISCFNSRKLSPIFVAGPNMYEAPPIWVDRIGFKANITESFTKQSKFTYGLVVEEIPTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANIYNSRQYRVRQWYAYLYPGSRNTDSTFTNCQMDQGLGIGSKNPFFNRHQLTMTKFIKLNKQEKGPRKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFFLRFGERF >KN542815.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542815.1:7885:10767:1 gene:KN542815.1_FG002 transcript:KN542815.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFNEFQLLLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSVITFHFPTTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >KN542815.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542815.1:3477:6411:-1 gene:KN542815.1_FG003 transcript:KN542815.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGRGGIAGALGNTMGVYALSSNDIRVGSNIEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYITGNTVDKTFRAGSTIPEASISKETKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >AMDW01081211.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081211.1:389:1301:1 gene:AMDW01081211.1_FG001 transcript:AMDW01081211.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YYMKPKGVSMSPLHFIPAAGGFLNLQVEPLLTAGAEHRDHTYIMRIIPVVIWSLNTLSYVVLDLLVPHFKSLFVEHPNARVYIDTAVVLVISYVSLLAINVNFIVLAVFPFIALAFIGALGSAIRGRVFPFIALFFIGALGRASVIGGRVNGGKPGTSSSTGVTHEEGKTTTEEAEQLKFLLVLTTTLGALMLMLKRLPAGGVAPGVVAASELLRKASLVVLLATVHAMAAELLGENVVLLCVPELSSPPPATVFYTNTVVFSNGSAPPSRSPPSP >KN542241.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542241.1:211:7279:1 gene:KN542241.1_FG001 transcript:KN542241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SLVCWWLRRGCEVGADFVMGHRKRSKVDISSESNSDNDCSLSSDNHDGDVHLSNHDESSDNHDQHFEENDPLLHLTKEELMKKLKIKLGKRPFTHDHKKKRQKVESDSIIDDALLEIHNDLVLHSLKMKLSMLGEQSENKRKTFEKDNKCEEVFTRFNVKYFSEVINNLSVHDKEVIGRTCFKSLLNFQSSFVPNQFASWIANHVDVSSSDIVVDDKVNYLDYVNFGLRKLPLDIPRINVWKGNMIKEFSKFDKKSKGVYGRRPLKDISSTCYKMIDTVASSDDVPKKHNNTSFFEMVDSSIPNMLPVDIKNKIHGLLVHYFGNEDDMADERPKKLLVDVLALLADASKSNADTNLSSEVDKLSKSCNPTDQNNDEKNDVTSAHSPKDNMDNDIDDNRNANNKEEIDNVDVAKIMKKLTKEGQEFVTLVNCNPDSNIPSCLAPNKAKSRIVGFNNREPVLSGDDFPKFQIWDPADDIDILNNEVTPVFDHNEKYVVPDSFSPTPAKQAIKKLISTKNLSQTLEDNIEDCVNCSDNEKLVMCTLDFTQTQGDAKEICANKDKENVDTAQQFIKQNSIKKESPDCVILGERKFSEKYVDLSNQADIMYNRLNRVAPEICNESYRSPERVLLCNMSKSIGQYSQSKSQKDLRRIINPTKYCTDPYTPERQSFQFLHICKFCTVTAVKKLLILMEFTANSHLLVLHSNKNDLMKHPSKTNFGMVQKSFSRASLARPIETCDMLFFPILHLRHWFLFVVDLKDESSVFIDSLFEEEEDYQYNARCRLISNFSIVWRKFVPEHPINFASFKIIYPPRPRQTNRIDCGIFTLKFMEIWRPRVMLTNQFSQKDIPNIRIQYVNKLFFHPCNIVLNSATKKLVTDYYAKG >KN542241.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542241.1:7533:17036:-1 gene:KN542241.1_FG002 transcript:KN542241.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEKDPPKHQSKSKMNSAEIKKRKIDLGKDQGSEKKEMSGKNIKCIKETGTKGQSKELQKEESKSRKSTKDKSKKNKDMTQVPANAEEFHKEYTTKVIRKESITDSSSTEQVINTYSIQEMETNEQVKSKDTSKAIAEKIHKEYSTKVNKQESRTVSNSKSKEQVIRTSNIEEKQKRKQIISENNSKDMTQVQANAEEFHKEYTTKVNRQETITDSSSIEQVIGTSSIQEMETNEKVKSKDTSKGNKDNGQSGRSISLDTKKDVNLKYKDDRLILGKAKIFSKYHSEITYYNFNFYEAGRSISLDTGNKDNKDAERSIQPGNIQNMTQLVLTEHNANTLISDMTQVPANAERIHKEITTKVNKQESRKGNKDNKDAERSIQPGNIQNMTQLVLTEHNANTLISGAQNFSYTSLMEQIISSQPSISEVQQEISTESNFVATPRAFQNSMILTTSRTEDIPFDMDYADTNQVEDEDLEDNELENWNLDMFNLSNGQNVQNSADNSTEIGNQATTNVDAAESNDSDANGEMLTEEDINNFLEQEQEEATKGNNAAIDAKYIPRVDMQFKSIKEAHDFFNFYALLAGFSVVIAHNYHSTSKKRNGEVIRVTFKCNRHGKAKSESQEEETEETVVAERNSNEIKATSCNCALSKEGLYDEYEDIMNNSVTEEEFEYLWKEMVDSYEVQHINFLKHMWSIRKRFIPVYFKTNFCPFIKSTALSEGTNSRFKKDVGPQYSITSFLIEYVRVMDTIQNLEQLDDHNSRTKRPSKLWSHYYIEYQAVKLYNSKIFKKFQVELKRTTRLQLIEVEKLKTYEVFLALNQKIKVVRRRKYLVIVDSEKEEYTCICSKFEKDGLLCSHILKIMLHLNIMKIPEKYIIDRWRKKDYKEKSDFEDRIIPLSESSVLRFNILSRKCAEIASQGSKSIDSFQFAVDQIDKLEKELISMNSEQRTKQQMEIDKTDTTNGEVIEETEENNEEILIDPDIAKSKGRPSQRYKSFREELQSKEVYHCSHCQRTDHTFPTCPLKHIEFDLPRKKIRKTKNTAQKLQNAEEEQTDGSKKTNKGKKQEATTQVLVEQKGTKKRKTDGSS >AMDW01039979.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039979.1:302:1031:-1 gene:AMDW01039979.1_FG001 transcript:AMDW01039979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VHEREESAEVDILTKGDNNFGDDRLLYAHGQLWLHQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >AMDW01036293.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036293.1:2:484:1 gene:AMDW01036293.1_FG001 transcript:AMDW01036293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKGEIFFFYRPKVGKDEAHGPDDVQRMYIVLRPEATGDGGHRAVEDKQAPDSGKEGHKNQQPQNSDGDGGGEGGHGKEEVNVEEQALLRLIVMGKKSLPDPAAKRGRPYWGYVELVTTDVDHIKDALKE >AMDW01040152.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040152.1:118:1017:-1 gene:AMDW01040152.1_FG001 transcript:AMDW01040152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WQLGDLSNRTLSLRCSAPQNTPNRMRYLGCSYGYLIFSYYENCLLVDMYTGAKVKPPKLQSTGNKETYYGILTAPLNSLSSHLLFCSRSSIFYWQVGTNSWSEHPFGGERILQIVLFKGEMFAMDFHHRLHTMRFAPQLSMQEVGVVWGEEMFVGVHFKPWLVICGDMLLMLDLSVGIHHSYGFPGTFQVFRLDFSAQTAKWMKMEKLENSALFVSLDRRNPTFSCTSPERWGGKSNCIYVAKPSEDSDEPWTAVKLGQPIPGTTHCVPYSHALLRTEGHCSQLENLWVLPSFIYGVNQ >AMDW01015996.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015996.1:53:244:1 gene:AMDW01015996.1_FG001 transcript:AMDW01015996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TLVEKVRALEETAAAGEKMLPYGGGDAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQ >KN543584.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543584.1:1472:1609:-1 gene:KN543584.1_FG001 transcript:KN543584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTMSDGVAGLDFLVASDNSNGHMYSLSKTSTNYILADDGDAW >KN539744.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539744.1:80795:82270:-1 gene:KN539744.1_FG001 transcript:KN539744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASPLLERLKAAYHYHAAVGNVRAIFITLLAAAAVAALTHLAPEEVVIGRLRELRPVHLFLAIFLPVAAATMYLMLRPRPVYLVDYACFRTAPNCRVPAATFLEYAKQVPVITDRSVRFMTRLLERSGLGEETCLPPANHYIPPHKYCTLDAARGEVDLVVFSAVDELFAKTGVSPDDVDVLVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMHLSGMGCSASLIAIGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRTLTGAEDSAHHCVFQEEDEHGSIGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVEASRMVLHRFGNTSSSSVWYELAYIEAKGRMRCGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >AMDW01038463.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038463.1:17:665:-1 gene:AMDW01038463.1_FG001 transcript:AMDW01038463.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNSPGMQMPPIGQMPPAAGQMPSANPQPAQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMG >KN539744.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539744.1:1016:1879:-1 gene:KN539744.1_FG002 transcript:KN539744.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTATTPPLQFFPDGQANFGPTDAELREFAADMEALLGRGLDDGNDEDSFCMETLGLIEPVDDDAGRVKVEADGDAGMTLAWCHELDTETSSGEMLDIDFDCGSPQAATTPDEKGVWMAAAGVFGHGGEEQALTPRLGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRAAAAATAAIATACVA >KN539148.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539148.1:57910:58443:-1 gene:KN539148.1_FG001 transcript:KN539148.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKRGIDMMDYALIEYDMRIKIGEQEKYDVQLIDGASLIGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTAFALISVKVTWSNLF >KN539744.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539744.1:37037:38473:1 gene:KN539744.1_FG003 transcript:KN539744.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLDRLKATYQYYHRAMGNVLLVAVPAAAPAAGGVGRLRELRYAHLFLAVFLPAAAATVYLVMRPRAVYLVDYACFRTAPNCRVPFATFLEHARQVPTLTERSVRFMTRLLERSGLGEETCLPPAHHYIPTYKYCTLEAARAEVDLVVFSAVDELFAKTGVSPDDVDVLVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMHLSGMGCSASLIAIGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRTLTGAEDSAHHCVFQEEDEHGSIGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVEASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >KN539744.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539744.1:32001:32213:1 gene:KN539744.1_FG004 transcript:KN539744.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVAVDGGGRRAVRTKEVDNSISAVEAKALLRSSLPNAGQRLHQQGGGVHLGQEQQPATAPRRRH >KN539744.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539744.1:17819:20976:1 gene:KN539744.1_FG005 transcript:KN539744.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVFLVQPTTVRMLGLFGGTRTSRKLLMVVAAPWPCQEAAVAAQLGPGELLAGRLGAARHVVHLFLAALVVVPSAVATLLRLVRRPRSVYLVDYACFRPQPSNRLPFATFAEHFRLSPHIDDGSFRFVTRMMERSGLGERTAHRCAYHEEDGDGNLGVNLSKDIVPVAGEALKANIAKVGSRVLPLSEKLLYALSLLARKVAGSLRRKEAIKLRVPDFRTAFEHFCIHAGGRAVIDAVQSGLGLADEDVEASRMALHRFGNTSSSSVGYELAYVEAKGRMRRGDRVWMICFGSGFKCNSAAWECISPPARDADGPWADSIHQYPVAITTTTKMC >KN539744.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539744.1:79323:80432:-1 gene:KN539744.1_FG006 transcript:KN539744.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLERSGLGEETCLPPAHHYIPTYKYCTLEAARAEVDLVVFSAVDELFAKTGVSPDDVDVLVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMHLSGMGCSASLIAIGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRTLTGAEDSAHHCVFQEEDEHGSIGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVEASRMVLHRFGNTSSSSVWYELAYIEAKGRMRCGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >KN539148.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539148.1:99591:107184:1 gene:KN539148.1_FG002 transcript:KN539148.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGHAAAEHRIQIPAAPASQSGPADHKAVAAAPEKWLNYFLRLLAVIESVGNAFGTLAFTWATVVLLGGYPTVLKRDFGIATAIIFLEATRMFTRNNRLDYQLFFRTRGAVRPLGWNGLMFLCAGVLGLRLRINSRLRRQMSLWSPMVAILLLASCICRSSLLAIWIVYGVLLVVVLLVTISRLQFPIIINRVHGALGRKYVFWRPFILYSCMLAAIVLPMFMIDKLYRYAIIVLDISALVIVSFGNLQIPAALVFSFIPRIHLVRRGGFTGRWGAESVDMYYAYAYDKYMEGGLFAPKRISLSNFAMDSLNSDLSKNQLYGVQMMHIFLQSGLTKARLLEKLTTSTQTMARLISMLDWSSRHHRATIRLYAAKVTAELAKNLRVETVPGTLQLVSTLLDADGKPKRGHPLLDADDDQDHFVDIADRQDKRHDIAGNQGQRREPIGDTNNLLETPTRSTHINDQRYIPRIWQRILEYWSIPKEQPLTDDDLLPALGMSIIYSLAGCDQNNCVEIDRVTDLIPNIIGFTSFRSAMVNSEAQQKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILRDSSSNKQELRKLVVGILRNLAIDRHTRQEMGQMQMLITTLIKAFLDFKGLFSSDVDCLLPKVAGQALVMLSSENSHNCFVMLKEPDFIHKLKNMILIHGDKYIYVAASLLRNMCLYAQHELTESDQKELSHTLREVLERIMDTEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSHCPGIRRVVLEQSIYMMEYNSRYANYFNEYQMMDALSFVELTPSRAENYMVFLGDAGFMECNTPLSALVDRAKELMGRQWLQVSLLRNMCLHARPELTESDLKELSHTLGEVLERIMDAEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSHCPGIRRVVLEQSIYMMEYNSHYANCFNEYQMMDALSIVELTPSRAENYMVFLGDTGFMECNTPLSALADRAKELMGRQWLQGINSAN >KN539148.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539148.1:35056:40828:1 gene:KN539148.1_FG003 transcript:KN539148.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANATGGKHSVQIRAIVMLVFTFSDELPLSIVYDVSVLLLLSFGNLQIPAATVRVVLALAGILHQKDEPKKEVKPDCEKKDDNDSKNLKASLIIFYGMVLGQGILYIVACLLEVFSFIPRKYLIRHGGLGGQMGVENVNLYYAYAFEKCMEGAVLAPKKISLITFTMDSLNSDSSRKKLYGVQMLHKLLKMEQLRTKTITKLTNDTKTVASLFDMLDWTSDRDSEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQTTRDHFLFIDSQVGREDSPIQQDGMGQQNSPVLKYLKQMVIYCLIPVDEPSNVDEQNSCMVRCWKQITKCWSVPEEEPSTDQDFLPVQGLIILERLANFDLGNCMEMSRTGLISKMIDFTSYRNHMISTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHTFILSNLAEIMDDNGNSHELKQLAAEILKNLAMDRNTSADIGHIRVIISSLMRAFLSQDPSSSTNSNHLLWKNAGQALAMLAMESRDNCLVMLMEQGYVFIRQLTTMMQDDRFKCIAASLLWNMCEHAQSELSNSDLKELSYMLRETTDRKTANLSVNCNTGLLEGEPTCSFHIVFQILTSIHICALNIDSIVLEGIMDAEGAELEVLIGLSSQICQVIPEDFAREVEHGQIKEKFIKRLVKVLNAHMKPSAHCPRIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMVERTPSRAENYRLLSGDTGLMEHNTPLTALVARAKELMGREWVRGISSVT >KN539148.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539148.1:64513:67136:-1 gene:KN539148.1_FG004 transcript:KN539148.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANLGGYQICAATPPQFVEKYRIGEPDSRPERGRVVLSWTSGMERHERYLQELSLMASVLKGRPPVSPAELAEAIELHTGVQQRYFRVEVTRPEDFLISFRNQREKEAVLRCSKALFCNEVPVSFKPWNRCCWAEASAFQFFTKISLDGLPPHAWEEEAMHKLVNSLDGQLAELIPAADARCLGLFAWFKNPSDLPHHLDVEIPERPAAGRWREGTSATPPSSPREKPALTYPVIIHVEEVIDPTPLHTPPTSFDDNSDDEDVTRRNTFSCWAGRYDGAGPWPTEVGGGHVYGAPGVWFSPQSVQAVEQPGADVGIATPLAAPVVATAKALIVLDDDCSWALVAGDVSPLSPQLQLSLDELTGGELSALGTEKDGDRAELSDEDTMAEVSLVEHGCERPALKAAGDALSSAQESRSDRGFESAAKAGEFRVYVRKQAQKNPSHEQPGPEGIGLMGLAGQDLGQADLGRAVASLGQEMSVGQVVCGEDEPPQAHQEEVAHSDDERPGFTPWRSPRLQQQGNGARRHSTSKAQKVTMKKMGIIEEEEEADQDTFQQYTTVIDQSLSTQHMKALAELLDVDVALEEADVPPPDLASLVAAGVESPA >KN539148.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539148.1:74423:77757:1 gene:KN539148.1_FG005 transcript:KN539148.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGDAATRRRRKAPPEPPEKHLNRFVRIIAFIERAGNGLGTLVFTWATVVILGGFSTMLTVREFNCATLLALLEATRMFSQNSRLEYQFFFRTRGAFRRPRLIRLVLIVCMTEAMIGKVPMEPNSSLSSRSGKVAKLVCPVILKLFGDPQLRAVSLWSPLAAILLLVPCLFLETSIPHPDRLPALRKYFALLLTAVIIVTITKLQFRCITSLVNSPCGHKMSFLRPVILFLCMCAVIAILGYLFSDSAYIVAPMAFFLIFALVLESFGNLQIPAAVTRVVIAMIQPTTVICVQSILTAVTQRTSGIYTGIPKNCTREHTYPDGTLEDTKKNVKFSLDVFYVIVLTQGALYIVACVLEMFSFIPKIHLVRQSRFRRKWGRKCVDMYYSYIFEQCVSGGALAPRIMELTSFAMDFTNSNSPTNQLYGIQMLHSFLKRKRTKALLLLRLTTSTETLNTLISMLGWTSPEDAQVRLFATKVIVDLSRSLQIIGIPGSMQNISSLLDTENQLRRRNPLLYTYYSQEGKQDTIVDTGDGQEHIDQDHPHNNNRLNSWMLGCWQLISKRKKTSKEETFIEHDLLPVLGMSILETLAECDPDNCAEISKAKDLIQKIIGYSNETQPKILKGSSLKLLTRLSNTGGEIGITLRQKMSDHPFLLRNLAEILGDTEGSQEHKKLAAEILRNLAINGNSREEIGSIRVIISRLIQAFLAQHPSSNTYSDRSLQITAGQALAMLAMESVNNCSAMLKEPGYSFIRELTAMIRDDIHKYMAASLLQNLCLHAQSKLSSSDLTELSHSLRKVLERITDTTVATELEVLIGLSSQICHVVPEDFARELEHDQMKERFVKKLVEALNANVKPTVHCPRIRRVIVEQVIYMMENNSSYANCFNECQMMEALMVVEETPSKVEKYRLFMGDAGLMEYSIPLSNLVARAKEELMRHVT >KN539148.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539148.1:20113:27491:1 gene:KN539148.1_FG006 transcript:KN539148.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MENTINGEHIVQIRGVQAEGKMAAPEKRLNRFVHLVAMTERLGNALGAMAFTWATVVLLGGYPDNLNSTDFWLATAIVFLEAIRMFTNDNRLDYQLFFGTRGDFRLLGWNGLLVVMVYLSVVPVLLSKKLLPWRQLVLNMCMLAAIVMLVFIFSDELTYQLMIIVYEVSALLFLSSGNFQIPAAVVRVVLALTQLLNGNYGKNDNEQNLKTSLDIFYGMVLGQGILYIVACLVEAFSFIPRRYLIHRGGFGGQMGVEYANSYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGAQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWKSDGDEEIRLFAAKITAELAGSLRVVQIPGATQLVASLLDTDHQQRIRDHFLLIDSQVGREDSPIQQVGMGQQNSPVLKYLKQVARYCLIPVDEPSNRRQQNSSMLRWWKRITKRWSIPEEEPSRDQDFLPVQGLLILERLANFDPGNCMEISRTIGLISKMIDFTSYRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFVLSNLAEILNDSGSNHEQKQLAAEILKNLAMDRNTSKDIEHIRVIISSLMCRFLSRDPSSSTNCNHLLRKTAGQALAMLAMESKDNCLVMLMEPGYAFIRELTATIHNDRYKCITASLLWSMCEHAQCKLSNSDLKGLSDILRLVLEGIMHTKGAELEVLIGLSSQICKCIPEDFARELEKRQIKERFVKRLVDELNAHMRPSPYCPSIRRVIVQHAIYLMEFNPRYANDFHKCWMVEALSKVESTPSRVENYRLLSGDMGLMEHSTAVSTLVARAKKLMGRDSREWARSISSAT >KN539148.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539148.1:68835:69535:1 gene:KN539148.1_FG007 transcript:KN539148.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLARGVGKNNECRGGRINVEGAELEILIGLCAQICKVIPEEFVQELEGGQIKKRFMKRLVDALNANMNPGGHCSGIMRVIIELSIYMMECNSHYANCFNELRMMEALSMVEEMPSRAENYRIFLGDVGFMEYSIPLIALVDRAKELMGQQCLQGVSSAN >KN539148.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539148.1:45764:49571:1 gene:KN539148.1_FG008 transcript:KN539148.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWSGLLTVAVYFSVVLVILSRSLAFIGGNVLVALLVDMAMLLALGRLLSPAALKLLCDPLRHAISLWSPLLAILLIVMLVFIFSELTPYAMIVYQLCALVVVSFGNFQIPAAVVRVVLALLRLVPQKPHKYLVDDKNGEHDSEKNLEPSLNIFYGMVLAQGILYIVACLLEVFSFIPRRYLIRRSGFGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRNKLYGVQMLHRFLKKEQLRTKTITKLTNAKKTVALLFDMLGWTSDGDEEIRFFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQRIRDHFLLIDSQVGREDSPIQQVGMAEQNSPVLKYLKQMAIYFLIPVDEPSNTHQRNSRLLRWWKQITKRWSVPEEEPSTDQDFLPIQGLLILQRLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTSEAHQIMLASLSLRVLRRLASAEGKLGVTLRQQILEHTFILSNLAEIMDDNGSSHDLKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMREFLSQDPSSSRNCNHLLRKNAGQALAILAMESTDNCLVMLMEPGYVFIRELTTMIHDNRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREGEPTCSLHIVSQSIHICALNIDSIVLERTMDAEGAELEVFIGLSSQICKVIPEDFAREVEHGQIKEKFVKRLVDVLNAHMRPSAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVETLSMVERTPSRSENYKLFSGDTGLMEHNTPLSALVPRAKELMGREWVRGISSSVN >KN539148.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539148.1:1350:5661:1 gene:KN539148.1_FG009 transcript:KN539148.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQTESVVIDVAETTVAQPEASCASQLPNTAPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVNFEDFVFATTLFFLEAASLQIPAAVIRVEIALMRLLPQDYCSEGDPANDSGKINLKPTLNVFYGMVLGQGILYLAARILEFFSFFPRRSLARRGGFRGQKGVQSVDMYYEYAFEKCMNTSILAPKKMNLTTFAMDSLKSGSRKEQLCGVRILYSLVRREPYDKPVLSKVTNSRKTVTTLIQMLGWENPEDNQIRLLAAKITAELANGLRIVAISGAMNFISSLLDNHNKQQIHELTIQTDSGDEENCWVLKRWRQMIKQWSILEEEQWAESDILPALGLVILERLATYDLVNCVEISRSMDIIPKITGFTSNNGEIMCVNETGQKVLIEFSLRVLRILASIGGETDGLPDSHSGCLMTIKAGQALSMLTLENADNCSAIMKEPRHGFFKDLARMLQDNRYIYVAANVLQNLCKHSRVELRDSDVLELFSVLPEVLGRVMDADGKELEVLVGLSSQICSASPESFTKAFKQGQNEEIFVEKLINALNANSKPNAQFPGIRRVIIEQLTYMMELNSRYATYFRNHGLMEALIRVEKTPSKTEKYRLFLGKAGLMEHKVHLSSLVARAKLLIAMHST >KN539148.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539148.1:80776:91450:1 gene:KN539148.1_FG010 transcript:KN539148.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTTAEATRRWCKAAAAAASAAPEKHLNRFVRLIAFIERAGNGIGTLVFTWATVVILGGFSTMVTTREFLSATFLAFLEATRMFSQNSRLEYQFFFRTRGAFRRPRWNRVVLILCMAEIMVYVVEKFQWSPASYSHQHIGPIVCPPILNLFCDPQVQLRAISLWSPLVVILLSAPSLFLEKSAPVAKEIFTFLLTAVIVVTISRLQFQWITSLVNGPVVRKMLFLRPVILFLCMGAAIVIFGYRSWHGLGFIVFFLIFALVLESFGNLQIPAAVARVVIAMVQPTTLICVDNVQTFAKAVVKILFYTQAGEYEPLDIPTSCSWAGERNYSDGTTEDTKKNIMFSLNIFYVIVLIQGALYIVACVLEIFSFILRIILVHQSRFRRPWGMKCINQYYSYIFEQCISGGVLSKTNMELTSFAMDLTDSDSPSNQLDGVRMLHSFLKRKNTKALLLFRLSTSTKTLERSISMLGWTAPEDAEIRLLAAKVVVELARSLQVIAIPGSMQNISSLLDTDNQLRQRSPLLYTYDSQEERQGTIADTGNGQEHLDQDHLLHNNQENSWILGCWELISKCWSIPKEETFIEQDRLPLLGMSILARLANCDPNNCVEIGRARDLIPKIIGYTDGTQPKILKGSSLKLLGRLSNTGGEIGIILRQKMSGHPFLLRNLEEVLDDDIEGWQEHKKLAAEILRNLAINENTRQEIGSIQAIISSLIQAFLAQHPPSNTYSDRSLKITAGQALAMLAMESINNCSTMLKEAGNAFIRELTVMIQDDKYKYVSASLLQNLCLHAQSKFSSSDLTELSGSLRQVLHGITDTTVATKLEVLIGLSSQICHVIPEDFAIELEHDQIKETFVKKLVEALNSNTKPTAQCPRIRRVIVEQVIYMMESNSSYATCFDECQMMQALSMVEATPSKVENYRLFMGNEGLMEYSIPLSNLVARAKEEIMHHYCNVQRINGSGYFRRLLFYLSRASQIRSCSMNWLKVRVRNQTRLHALEVWNKRRKSQKKRELMAGGEHRLQIAAKSDGQGKAAAAAPEKWLNRFVRSVALIERVGNALGTLAFTWATVVLLGGYPTALRSQHDFWYATAIFFLEAARMFSGSNNRHDYQLFFRTRGAFRPLGWNGLIAIVCILDVWVLLVLQKKIVMADVVVYAMIILLALGQNISPEFQPLCNPFRRAISLWSPLAAILLLTPTVQHHSRELVWNTTGSRSIPKITAEINFIPSRFTVAKWTAFFILLIVVLMVTISRLRFPIVIKLVDSALCRKLLVWGRTIQNMCMLAALVMLVLTSDGSFRFVTILSLVSITLMVSSGNFQILAAAMRAEIASFALHRLIMPHNGYREHGEDPDSKTNLVPSLIIFYGMVMAQGILYIVACFLDIFSFIPRRFLIRRAGLRGQLGVEYVNLYYAYAFEKCMGGAVFVPKKISLSNFAINSLNSDSPKNHFYGIQLMHSLLENEMTRVRILDKLITSTKTIDRIISMLGWTSPNNTTVRLYAAKVTAELAKDLQVITVPTALQLVSALLDTNAKLKKGNPLLQVDDEQEERQDPILNTANSQEERPDAIRNPDDDPKQRQEPVEGTDNLPETQTCSAHIHEQNCILRRRWQQISEYWKVPKEHSLTYYDHLPALGMLIIDKLASCGQNNCVEIDRVADLIPKIIGFTSFRGDMTNSEAQQMVLVKSSLKVLQRLTSIGGEIGITLRQKIGHMQVLITRLTKAFLNLDRTSSTNVDCLLTKVAGQALAMLATDNVHNCLVMLKEPEFINKLKHMILIHDEKYIYVAATLLCRMCQHALAKLTESDLKELCETLHEVLERIMNAEGAELEILIGLSSQICKVIPEEFSQELDDEQIKQRFIKRLVDVLNANMNPGAHCPGIRRVILEQSIYMMEGNSHYTSCFNEFRMIEALWMVEEMPSGVENYRIFLGDAGFMEYSTPLFALVDRAKELMGRQCLQGDSRVN >KN539148.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539148.1:53127:57169:1 gene:KN539148.1_FG011 transcript:KN539148.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRDITGGGGELRVQIAANSGGQGGKVAAAPEKRLNRFVHVVAMTERVGNALGTLAFTWATVVLLGGYPTVLRPDDDFWFATVIVFLEAARMFSRENRLDYQLFFSTRGAFRRLGWNGLLTVMVYFSTVLVILKNYYFLRGGSVLVPLIVVMAMLVAIGQMLSPRALKLLSNPLRHAISLWSPLLSIILLGPCIPQPVYDVENQEVILKHSRTRWTLYIVLFLFVLLPTISRLRFPCIVKLVDSVVSRKQLAWRQVILNFCMFAAIVMLVFTFNGFYGRLIMVVFQVYAFLVVSLGNFQIPVAVVRVLLALQRLVPQNYIADGVSAEQDAVQNLKPSLNIFYCMVIGQGILYIVACMLEVFSFIPKRSLIIRGGFRGQLGVKYANLYYAYAFEKCMGGSVLAPKKINLITFAMDSLNSDSTKKKLYGVQMLHSFLKKEHLRTKMIPKLTSSTKTVASLFNMLGWTSDGDADVRLFAAKVTAELAGSLRVVAIPGAIQIVASLLDTDHQLKIRDHFLFIDSQEAREEDLPIKHVGMDEQKSTVLKYWKQMVINCLTPVDEPFNIDELNLHMARCWKRITKFWSIPDEEPSTDQDFLPVQGLLILERLANFDTGNCMEISRATGLISKMIDFTSYRNYITSINEEHQIMLAGLSLRVLRTLASTKGKFGVTLRQQILEHSFVLNNLAEILNDSVGGRELRELAAEIIKNLAMDRNASEDIGHCPVIISGLMRAFLSQVSSHLLRKITGQALAMLAMESANNCLLMLREPGFVFIEELTAMIRYDKYKYTAVSLLGSMCEHAWSELSNSDLKELSYTLQEVLKGIMDAEGAELEVLIGFSSHICKIIPDDFAQELEHSQIKKKFVKRLVSALNAHMRPSADCPGIRRVIVQHAINLMEFDSRYANDFHKCLSMVENTSTRLENYRLFSGDVGLMEHRTTLSTLVARAKELMGREWVQGISTAT >KN540357.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540357.1:49178:52901:-1 gene:KN540357.1_FG001 transcript:KN540357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAGAVSSLLGLIRNAELMMSGRAKEDMQFIKDEMDSINGTLMYLTENKASHNDQVRTWMKQAAGFNPAVWVNLPLLHAEFDLPLEPWEILCYILGELKPRSQPVQAQGSRDQRKQKQEEGAKDDKAMGSDDDDDNLQSQASDEKWQVFDEMWEEIEKRNFDDRIEQLKTKIDSIKDKKTTNEIEKTALKIHMEASEVNKIHLSKKQYVNILREVFPTVSSTPLQQDKSTTKSTALGPSAAATMLGKDQIKEIVHKAILDKQLEKHLEVADAIEETKNKIGDIKEKIKVQLVIQGIVDKIKDHLEKDKRTLIILQDDKGFLSSCEETTMNALSLLGYTCADAMVVVITKESQVANKFCYPPREPITYSIAGLYHDTVLQLTSQQAKQNNNYNSQLFRDILDKCDPDEFCMKMFAHALYAEPNRSNNDLRKLYKTLVPQKSLASNATKASPEPDKSNAKKIFEFSYNDMPARYLSCLLYLAIFPQGQSIRRSTLQARWIVEGLITGKGDWPSANPVRDAERCFDALIDRWLVYPGDVTAAGKVKSCMVDNLVHEFITKAAKEKQFVKESQLHHLAHHFSIFSNLRLRRSDNIEKFMQNLKYFSPYLQLLKVLDLEGCQSFDKNNHYLEDICNKVLLLKYLSLKDTNVAHLPNAINNLHELEVLDIRQAKVPEIDTKSIMLFNLKRLLAGQVYPSPRCNSTGMYLKRHEETISSSVRIPRKIEKMVKMEVMYSVNVSRDGNMLTEIGKLQQLRKLGVVIDDHDGHLRKLLQAISELSESLRSLSITLIGTGSEQTPSSEDIYADCLKQHPKLLESLSISGVTDKVQLLPLLAKGQGNRNLAKVTISGTKLKQKDLKDIAKLHNLCCFRLRHLSYDDEKITFEENEFLELKYLIIEGTNMMSIIFKQGAAPKIEKIILSFTSTTHIHGIVHLPNLKELELKGNNNDRKLVECLAAGAPHLSKLTLDGTLLDLNELKILANQLPSLRSLSLNGDIIPVSGDTRH >KN540357.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540357.1:4:350:-1 gene:KN540357.1_FG002 transcript:KN540357.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAARAVSLFFRIAVVGLSVAAAVVMATASQAFPFNYGGAVSYTKYPAFVYVLRGGGGGVGGVLGGGAVPVGGEGGGGGVGGGAA >KN540357.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540357.1:22510:24582:1 gene:KN540357.1_FG003 transcript:KN540357.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVIGKLGADDRLAIVPFHSSVVDATGLLEMSVEGRGVASRKVQSLAVAGGTKLFPALNAAVEILEARCWEARRGRVGAVVLISDGDDRTIFREAINPRYPVHAFGFRGAHDARAVHHVADHTSGVYGVLDDEHDRVTDAFAACVRRVTSVVAVDAQVDLTCGAYSRASLLAVERSGDHKAHVDEDRRSGFIYAGALCAGDVKNFLVRKETTVHLDERMAVVQRRDKVPDVSRDVAAELVRVDTLHTAAAAAATVRVSPAPPSSSNVMADAIAAGEGHVKEVAGVAVVSGGTKRKCVEMDMIEERLAYWSKAVLHASTVRRCGQTSQSKEHPHHDQDDS >KN540357.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540357.1:15688:18420:1 gene:KN540357.1_FG004 transcript:KN540357.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEGRKEATMRVQSSLTVTGENKLSTALKEAVTILEGRKDHDKKRPGFIVLISDGDDASVLRDAMNLNCSVHAFGFRDAHNARAMHHIANTKAGTYGILNDGGDGLADAFVTSVGNITSIVAVDAEVSVSCSGAESTAAKLTAIESGRFKHDINGGGKRGTIQAGALQAGAVRSFLVYVDNVGDDELEHLPSMLTVGVQYEDRSTTTSQNAAENQAGREMARQTAQVVVVRDGDEHSRLVAAEIVRVAAMRIVGEIIQKYGDNGRALAGAADELHEQWSLLKKSEFAKEAAPACLVSALDAEMSEMEATLRRSSGMSYMLSWQTCHSLQHLQHARSSSSVATAAKGNGGAAAVAAAARQSFTAGGAAMGKFVWSGAHHGGGERKRKHYYDQKQRSESEMEMIEQRLAYWTKVKCELPPMHNDGECPDHITTFFHDASRDSLDRAIHYDVFMTVVNAAGRRSSKYGGSGGGDFSCGQ >KN540357.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540357.1:7415:9987:-1 gene:KN540357.1_FG005 transcript:KN540357.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGCQVSDDEPNGSKAVSLLLRLSTLALALTSAVVMATASECTVVQLNGVVATITYKDFPPFVYLVGFNIAAAMLEAAAIYLRLSTGGGDDDDEGFKGKLPGILLVWVWSWKEIKWYLVVASSIGAFMEAIAIFLTICKKKDGTPAKVLLPLLDAAVQVHIAMYVSLAAGVALLVAEIVKHWPDSGKKKEGGGGGCGSDSDSDKSTPCHHGCHSKH >KN540357.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540357.1:29433:34692:1 gene:KN540357.1_FG006 transcript:KN540357.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAMASSSGSGSSGSTNGMLEETVVADVAALVEKWRSDDDGRRRRQSSLFLDGGVAEAGRFMSAAVELHRGMLVLASSDVEDARGRVDERLVRAQGVLEDAMRRLQLELEILLSAVRSNADDGDGAAAISGHGLDDDGAVVVGHIRLVAEAMMAAGYGMECVTTFMSHRRAEFAGAEGLRMLSALILARDTCRTGDEFFHRSVRLESYQIFFHTRPSLQARYFSLLR >KN542152.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542152.1:6757:7188:-1 gene:KN542152.1_FG001 transcript:KN542152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSQPGRRRTSRKARLAEERLRSAAAQIEARLAWGQLLAPSGDGGIRTKVSRPPMRGDKCIDQRRSDAAIGEGRAWWDGWKDLTGVLAGDVPSLLICGGDPEMVRVRCSAGTAKGVGGGDRRAVTPMCRRPHPADGWAELQK >KN541646.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541646.1:13400:18273:1 gene:KN541646.1_FG001 transcript:KN541646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPQQEWTGLCSYYMNRGICKFGTNCKFDHPDPGSDHEKWVVSSNANQVSSQVNIYSVLDRGESNEHTVTSEEVHQPGIPSFHQRISYTRDQLLQLGQNVEVPKDILKFCQDINVELKCEDKISGFGAEKDHKFLDNFSEAKEPYALGWKQEKFNKPDQSSFHFDSKDQDDPISVLVKAEVPLSIQRGIISGKDEVLKTLKSILNTFTPKMFDLQKGQLIETRISSADILKAVMDCGKFRFEPLGKVDLLNIIFEGMLDSDSAGAESNICVNAMIGGNKSSIAANDVEMTRKNVNRQNEEAILQKSYDEVPNSKMDPQ >KN542152.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542152.1:9729:10794:-1 gene:KN542152.1_FG002 transcript:KN542152.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLADKFRSVQARIDSYLLFLPLISHIDIIRCLDQIHRVLISTGDGVCRTMASPSADSQSQLQRRLILHGDGEYCEKFTMPQLAMATNNFAVDRQIGEGRSFGMMMYKGRLPDRREVAIRRASPRRKGDFLRELAILSPLRHHHIVRLLGCCVAASTTTSSAEEEEEEDCLLVYEYIDNGTLYDHLHGSDGASSLVTTSWKTRIEILVGVSRAIEHLHYHAVPPVIHRDIKLSNVLLDSSYAPRLSDFGLAVSCDEVERTAAEMPILGTFEYMDPEYLSTGSLTPASDVYSFGVVMLELLTGKKAIRDEKHGAVAATG >KN539110.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539110.1:68279:69027:1 gene:KN539110.1_FG001 transcript:KN539110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVIALAFLLLLTISLSKSNAARVIKYNGGGSGGGGGGGGGGGGGGNGSGSGSGYGYNYGKGGGQSGGGQGSGGGGGGGGGGSNGSGSGSGYGYGYGQGNGGAQGQGSGGGGGGGGGGGGGGSGQGSGSGYGYGYGYGCNVKPYPHIK >KN539110.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539110.1:1924:6467:1 gene:KN539110.1_FG002 transcript:KN539110.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LTYCINYKMSPADLVSNWEVYYLNRLLSSTHTDEEGFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQRSQPEPGCRFMYDRIEDRFNYLEDRIRRSGSLFSASGLCGEPADATLASEESMFSVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSLPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSMGPFIDSDHPEIKKGAVDQSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQITCLANPSLFSCNEIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTHKTSASLIRI >KN539110.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539110.1:38220:38999:1 gene:KN539110.1_FG003 transcript:KN539110.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIGVIAFVLLVSIGLSNAARVARYTTEGGGGGGGEGGGGGGGEGGGGGSGYGSGYGEGYGQGGGASGGGYGQGGGGGGGGGQGGGSGSGYGSGYGQGGRASGGGYGKGGGGGGGGGQGGGAGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGGQGGGNGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGGQGGGNGSGYGSGYGSGYGQGGGVHAGGYGQGGGGGGGGGQGGGSGSGSGYGSGYGGGAGGSP >KN539110.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539110.1:34462:35400:1 gene:KN539110.1_FG004 transcript:KN539110.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHVVAICVAVAALALAAAVLGVVGEATKSKAPPSSRSNTLVLRSMNLVDL >KN539110.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539110.1:75692:80210:1 gene:KN539110.1_FG005 transcript:KN539110.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAARSGALARWRPRETLLPRLLSSSAAGAASPPARQAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHVRNIAQALLYKARAPPPSNPLAPLPKDGKIRIKQKQKGSDRILMPSVEGQQAGKWIVIDSGSIIIHALEERAREYYDLESIWTKEVSPNISVQELETSLVKTRRRDHSQKPMKSI >KN539110.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539110.1:9916:12309:-1 gene:KN539110.1_FG006 transcript:KN539110.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAKLAAPVAVVTGASRGIGRAIAVALGKAGCKVIVNYAKSGMEAEEVCREIEESGGTAITFSADVSIEAEVESMMRAAMDTWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAKAAAGVMMMKKKGRIINITSVSGIIGNIGQANYCAAKAGVIGLTKAMAREYGSRNINASTQLAVAVIMSLQLQSEEQKKQILKHLLIELVPNLVLQVNAVAPGWVTSNMTAKLGDNVEQKALETIPLGRFGKPEEIAGLVEFLAVHPAASYITGQASTTAENGLQICDQMNMF >KN539110.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539110.1:55069:56612:1 gene:KN539110.1_FG007 transcript:KN539110.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIAVLAFVLLVSIGLSNAARVARYTTEGGGGGGGEGGGGGGGEGGGGGSGYGSGVEVVGVVVDRAVVVDPGMVQAKGGGVSGGSYGQGGGGGGGGGGQGGGAHARGYGQGGGGGGGGGQGGGSSSGSGYGSGYGGSAGGNP >AMDW01036276.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036276.1:134:452:-1 gene:AMDW01036276.1_FG001 transcript:AMDW01036276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPVDECRILVGNGALRSLAVNCSLLDDSAVPAVVKRSLLELSLLKCSSFSWYLFVAVGEIEMQNFKFILV >AMDW01066331.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066331.1:7:312:-1 gene:AMDW01066331.1_FG001 transcript:AMDW01066331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSELARKPRVLKKVQAEIRAAVGGNGRVQPDDISKLSYLRKVVKETLRLHPPTPLLLPRETMRHIQISGYDVPAKTRIYVNAWAIGRDPASWPNDPEEFNPE >KN542294.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542294.1:2478:4840:-1 gene:KN542294.1_FG001 transcript:KN542294.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLVRPLLSAVTNKASSYLVYQYKVMEGMEQQRKALERMLPLILSVIQDTEEKRSKKPELSAWLDELKKVSYEAIDVFDEFKYEALRREAKKKGHDATLGKGIVSLFPHRNPIVFRYRMEMDYSGLIKQQQETPKQWRQTDSIMVDTEKDIISRSRDEEQKKIIKMLLDEARGKDLTVLPIVGMDVFDVVTIANSICMSTERDREKALQDLQKEVGGKKYLIVLDHVWNRDSDKWGKLKTCFKKGGMGSVVLTTTRNAEVARIMVIGEVPVHNLEKLGEAYLMEIIQSKAFSLSKKSDEHFEVLRKIVQRCDGSPLAAQSFGSVLFNRTTLQEWKDILAKSNICNEGEDIIFPILRLSYDDLPLHIKRCFAFCAIFPKDFEIDMETLINLWLAHDLIPLQEDDNIEMVAKHIFNELVWRSFFQDVKKFPLQTTCKIHDLMHDVAQSAMGEECVSIVGRSDYRSKSLEHPRYHFYSLDDDNTILLDDFMRKQSSTLRTLLFDRDYIHISTSLLSKSSSLRALRLRYLNTESLPIRPRHLLHLRYLDISRNYHVKVLPEDICTLYNLQTLILSDCKNLVGLPKDMKYMTSLRHLYTNGCLRLKCMPPELGQLTSIRTLTYFVVGASSGCSTLRELHSLNLCGELELRGLENVSQEDAKAANLRNKEKLARLSLVWNSECCVEEPNCNGKVLDALKPHHGLLMLNVISYKSTHFSSMDDRSKYTAKLGGAQIRGLYNVRRISSIHSI >KN542294.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542294.1:9275:14002:1 gene:KN542294.1_FG002 transcript:KN542294.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVSVSHGALGPLLGKLNTLLVDECARLKGVHREIRSLRSELSNMHAALHKYTSLKDPDIQVKAWISELRELAYDIEDCIDKFMHQLGANDDQHHSSNGVKDFFGKSAKRLKTLGSRHNIAAEIEELKMRVISVRDQKNNYKLDDIFCSCSSNTNAFVDPRLAALFAEENHLVGIDSPRDELVNWLDADSRLIKHRKVLSIVGFGGLGKTTLANEVYRRPDMKKIFKDIIYHMPTKDAFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAISVVFPENGSSIIIVTTRISDVGRSCCLNGIDRNFEMEPLSAVHSRRLFCQRIFSTDEDGCPDILQEVSTDILKKCGEDYIIETNMLLRRWIAEGFVSEDCGMNLEDVAESYFCELVNRSLVQPVDIRFDSKARACRVHDIMLELITSKATGENFITLLRGQTRKTNLHGYVRRLSIQDTDNDLSSLLVNKDLSHVRSLTCFGGNMNLLPQLARFEAIRVLEFEGSMNLEQYDLENTDKLFQLKYLSLRGSDISHIPRQIAKLQNLLTLDISETFVEELPTELCLLKKLLHLLGNSLKLPHGIGNMRNLQVLTGINISNSSASTVPELGELTSLRDLKISLSDKLSKCKTKEEMLLASLCKLSSYKLQSLHIIDNSSDDLLERWFPIPCFLRLFRMSTNHFLPQLPKWIKPSLTKMAYLNINLREIKEEDMETLGDLPALLCLEIWLEPNPKKQLTVQSTGFPCLKEFLLVCGDHDGGAYLTFGKGAMPKLEKLEIPFHVLMAKSHGKGSPKGGRATEDYGHGPSASRRLQYGFNKVLASSTASNALRGKEAPKGGGLLNNVAKTTRGLYVLIPSLRWLQSREKQVQIPTILDLPSSEQMQKRSKRIIAKRVIITGVQRMTMLLTPDIVASHDLSGNP >AMDW01033526.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033526.1:14:502:-1 gene:AMDW01033526.1_FG001 transcript:AMDW01033526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGSIPSFIGDKFAALQSLDLSFNSLTGAIPASLAKPPKLNSIDLSRNRLTGSIPRLLLSKAGQQAFLTLSHNNLTGRIPAEFGAVNFVQIDLSRNQLTGDASMLFGSGKKELVSAYLSRNALSFNMSQLQLPEELNFLDVSHNSIYGSIPAQMANMTDMQLLN >KN538781.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538781.1:87077:92223:-1 gene:KN538781.1_FG039 transcript:KN538781.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVDFVIDRVRFLFRLAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSGGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSCSNKIMQCAPWLAPQIGSLRRTSLRIFADYSGN >KN538781.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538781.1:45082:47116:-1 gene:KN538781.1_FG041 transcript:KN538781.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNLRRFFDVNVGKWNGAFYVRARLPLVLLLLWVGFFHEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDMLIIFHEKQGSEVPLIYSSDDADITNNDRLAPLLGRWEGHSVTKRSGVYGATLSEANTVVLLKKDHNGQLILMIIPISILVKIDLKCCRKPMGDGFHNCMLWVDKRDNMSTKSGTSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPSDIGKIVNDMDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKV >KN538781.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538781.1:11859:16801:-1 gene:KN538781.1_FG042 transcript:KN538781.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPPSARVPVRKTLDAMMPRCIGHECLCGIERYSIVLESSAVGRLRYRWFCIGLSPDYMIICYLPLLSSVVGLAILGNCATCFIRFDTIQE >KN538781.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538781.1:1879:4582:-1 gene:KN538781.1_FG045 transcript:KN538781.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAQEYKQVVEEILERIGTGNLCDYLPALRWFDVFGVRNRILAAVSQRDAFLRGLIDAARRRMDDGEKKSMIAVLLTQQKTQPEVYTDNMITALCSNLLGAGTETTSTTIEWAMSLLLNHPETLKKAQAEIDASVGNSRLITADDVPRITYLQCIVRETLRLYPAAPMLIPHESSADCEVGGYSVPRGTMLLVNAYAIHRDPAAWEEPERFVPERFEGGGCDGNLSMPFGMGRRRCPGETLALHTVGLVLGTLIQCFDWERVDGVEVDMAEGGGLTMPKVVPLEAVCRPRDAMGGVLREL >KN538781.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538781.1:116769:118163:-1 gene:KN538781.1_FG047 transcript:KN538781.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETAEGRKAVQALKSVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKNAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >KN538781.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538781.1:39602:41881:-1 gene:KN538781.1_FG049 transcript:KN538781.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTDHNSGAAADDAAAVDDDDPSDDDDTLLHEDDDDGGEEGHDRGVDGEVGQRVVRKPKIILQTRSDVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMSLAGGGTAHQQLSGGARQMKEET >KN538781.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538781.1:23339:25870:1 gene:KN538781.1_FG050 transcript:KN538781.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLGWKRVPLFSILLILSITNIATTYAIASQADRFVPRDNYLLSCGAPAAVQLDDGRAFRSDPDSASFLSTPVDIKITAKNSLASGAPSSQLYLTSRVFSDISTYSFFISQPGRHWIRLHFLPIPDDQYNLTTATFSVSTDDMVLLHDFSFIATPPNPVLREYIVTTQGDTLKIIFTPKKDSIAFINAIEVVSAPPSLIPNTTTGVAPQGQLDISNNALQIVYRLNMGGPLVTAFNDTLGRIWLPDAPFLKLQAAANAAWVPPRTIKYPDDKTNMPLIAPANIYSTAQQMASTNTSDARFNITWEMVTEPGFSYFVRLHFCDIVSKALNSLYFNVYINGMMGVLNLDLSSLTVGLAVPYYRDFIIDSSSIINSTLIVQIGPGTTDTSNPNAILNGLEIMKISNQANSLDGLFSPKRSSQLGKKTMTGIGLAMAVMAAALAVVMCCRRRHRPGWQKTNSFQSWFLPLNSTQSSFMSTCSRLSSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFVEIQKATKNFEEKAVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTDIKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPTLPRDQVNLAEWARTWHRKGELNKIIDPHISGQIRPDSLEIFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTSNQFPMKSLEVTSGDSMEKSGNVVPSYVQGR >KN538781.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538781.1:57784:63500:-1 gene:KN538781.1_FG051 transcript:KN538781.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVPARLFVLRMLRVVRLNPLQRGAASVVEGDALRITCELARMGAKVEGDNYMLGYYAMGDFNMDANGRWSPYHDEKMSNGHMCNGFMTKPANGYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKQYQNKDIYAYPMLEDASKTNSPSQLPPNGAKMSWPIQTPPMSITYKKASIAEHDNGPIDFLGVAPDKKPQNNADLTLVSPEGLGRFSDNSSTSGLHATNNVGGRQVVDLNEPITGTYMGRANGSVSRGLSYTLENSWHQSILRPSTANFNYNKEYSKEKHLDEGTSSNFFAANAKTKQEEKQLIDKGKQVSSVHVFTPRYSDANPQMSMKGVDGRSASNTQFFHQGQNGSIGWFARSPLEAPAINNFPRLDRSHNSSLGALAPPMSIPRIDHPSGASPIGSCTVDPRSSAINNATFQPIPSFKGSSTVNQSIGTSILKVKKNEDLDGNCPGFALDPFCASRPQHQVAISSDEEQTECLMFEHSARHRENPHFANDKGPKNFNLNEALSDGQEDCLVEQDGGSVSSLPQSKASGFPWLIKTTDTCTRPSDLQNPRKVFAHSNRIVIDLNSNTDRKEAALTIHSLSDSASTSLDCGVKKESQDCGIKKDEAFGDITTRTEVACNTTQESATCLPVLCQEYVPGDDKAANGGDKKSSAPVRNFIDLNDDAPNEDNSESSVVSHECHVVSLQNNHGKRKFVIDLEVPACEEGVAWDFNQECSPSGKLDVTQEADDAHFTCTKIAAESIVALSMHVPTIAETPDDMLQWFADLAVSSTDDHVEQAEAHDCVNNSSDDGLDSFESLTLKLEETKIDEYWSRPQAPEIPNDEQAGLSVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPRKNLSETIEEEEVPVSPPAKPDTAKPDAAEIEASDRGIIGWGRTTRRCRRPRCPSGYNISAAS >KN538781.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538781.1:95799:102286:1 gene:KN538781.1_FG052 transcript:KN538781.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MRMRVILEISSVLNSIDSSTIASNIKHHAEFTPVFSPEHFSPLKAYHATAKSVLDTLIMNWNATYDYYDRTNVKQAYYLSMEFLQGRALTNAVGNLELTGQYAEALQQLGHSLEDVATQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKHGLFKQIITKDGQEEVAENWLEMGNPWEIVRTDVSYPVKFYGKVVEGTDGRMHWIGGENIKVVAHDIPIPGYKTKTTNNLRLWSTTVPSQDFDLEAFNAGDHASAYEAHLNAEKLAHGEMAFEVEAPYLKACELYLLPHSYLCHSFSIMDIIARFERRAGDSLSWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDGELMNIIISKYGTEDTSLLKKKIKEMRILDNIDLPDSIAKLFVKPKEKKESPAKLKEKLLVKSLEPSAVVEEKTVSKVEINEDSEEVEVDSEEVVEAENEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAAIHSEIVKEDVFNSFYEMWPAKFQNKTNGVTPRRWIRFCNPELSAIISKWIGSDDWVLNTDKLAELKKFADDEDLQSEWRAAKKANKVKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRINSFVPRAKRIVKFITDVAATVNHDPEIGDLLKVVFIPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAQGKFVPDPRFEEVKRFVRSGVFGTYNYDDLMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQKLWTRMSILNTASSSKFNSDRTIHEYAKDIWDIKPVILP >KN538781.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538781.1:133210:134654:-1 gene:KN538781.1_FG055 transcript:KN538781.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATQPHVMVLPFPAQGHVIPLMELSHRLADQGFKIDFVNTEFNHDRVLKALAEKGTIPGGIRMLFIPDGLGPADDHTDIGRLVQVIPAAMLSPLEKMIRSEKIKWVIVDVSMSWALELATTMGVRIALFSTYSAAIFALRMNLPKLIEDGILDETGNVKKHEMVQLMPPIDAAEIPWVSLGSTQERRRYNIQNVFKTNRLMALAEMIICNTSREIESEALELLSNALPVGPLLAPASGPTGHFLPEDMTCLTWLDTQAPGSVIYVAFGSSTIFDVAQFHELANGLAVSDQPFLWVVRPNFTNGIQEDWFNEYKDRIKGKGLVISWAPQQRVLSHPSIACFMSHCGWNSTMEGVLHGVPFLCWPYFSDQFCNQSYICNVWKTGIKLCRDKQGVVTQEEIKNKAAQLLKDKEIKERAVTLKTTARASIQEGGSSHQNFLELVNLLREQ >KN538781.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538781.1:48221:56648:-1 gene:KN538781.1_FG056 transcript:KN538781.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADASELRMEAKSEAALLDCCFQDEAVALTGGSDGSIIRYDLHSGAQGTIGQHHEVVSCIEFSQITGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASLSICEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKVIFEQQIINFMIGSFFPGYTAGSVDGVVAVKYFDRGTDGDMGDKTFVTGDNEGYVIAWDSQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSTYQESDKICDHVMVGFWGRLEMSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPSDEALLTQIKDGFAEGKDLIVTVMSAMGEEQICALKDIGPKN >KN538781.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538781.1:27428:29143:-1 gene:KN538781.1_FG057 transcript:KN538781.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) UniProtKB/Swiss-Prot;Acc:Q6EWX0] MDLLRLLAPAPALPLMLHGGAATGKTRALLLALRHLRPSQRLVYAALRSLPSPRALFASLLSQLSATPFPSSSRHRVPDKPSDFVAALREALTGVVSHGEVVYLVFDNLEVVRSWDKGGQLLPLLLRLHDLLQLQQVVLVYVSSATPDAYYSMTGSVEPNYVYFPDYTVDEVRDILMHDHPNPKLYSSFLSVALKPLFRVTRRVDELSAVLEPLFRRYCEPLGDLKAVPDEGMKRRLFEHVQSHLAVALNETFNVPMRASMDEIKDGGSAGKGSAKRQFAGKDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGLDNRKRKRKSSQASMHMKDTIVEEMLMKGPGTFPLERLLAIFQCITSVSEDILDEIDCPDNMASESGTTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVNFPLSKYMYRR >KN538781.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538781.1:77500:85513:-1 gene:KN538781.1_FG058 transcript:KN538781.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVVRALAAVGGDAAASVVNGKDEEGWAPIHTAASSGKAEIISILLDQGANVDLTTNAGRTALHYAASKGRLNIAETLIAHSANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGADVDAVDKTGQTPLMHAVISEDKGVALLLVRHGADIDIEDKEGYTPRQCLKAENVMLHRAILSSSPPKLNNHHGFGQIASVAGTVAFFAHFFLPCAQNTEAVVTDKPSKIVTHFLIRERTVENVFPDTKPLAMLHFEDTQVGTWEEQYSTVHTFLRSKKSRTTSNTQPKNVCSVAHWQCSYGLQPIGPLVEVWPTYHSLFYL >KN538781.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538781.1:36823:38896:1 gene:KN538781.1_FG060 transcript:KN538781.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVICEEAWYDSVSILDSADSEDDDLDNDFASVSGDKRKSPAPNCSPYIPIGADMFACTRKINHIAQHLALPSLKTHETFPSLLIVNIQMPTYPATVFGENDGDGISLVLYFKLSDSFDKEISPQLKESIKKLMGDEMERVKGFPVDSNVPYTERLKILAGLVNPDDLQLSAAERKLVQTYNQKPVLSRPQHKFFKGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADSGQIPTLIMSSDE >AMDW01025205.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025205.1:54:272:-1 gene:AMDW01025205.1_FG001 transcript:AMDW01025205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIAGEVRAMVRRMYRAAAASPAGAARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQ >KN544693.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544693.1:2175:2753:1 gene:KN544693.1_FG001 transcript:KN544693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLSIDCGLEADDSYPDDLTGLTYVPDGRYIDGGENHKVTTVYRNKWWGPDTRTLYTVRSFPSAEGQRNCYSLPTDVGSKYLVRLEFLYGNYDGLDSSSLKFNLTLGVNHWDTMSLDTIDGNDDGYNVYEVVFVAWASWAPICLVNIGQGTPFVSTVELRPLGILPYPAVMGNVSLSMYDRCNVGSSPDDDELV >KN540293.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540293.1:54040:55835:1 gene:KN540293.1_FG001 transcript:KN540293.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >KN539689.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539689.1:80368:83221:-1 gene:KN539689.1_FG001 transcript:KN539689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRAQLTTFLIAISFLSTVTYLGAPVHGGVLTSYDVSSLDIMSKIHTDHDATTEASSDFGHIVHATPNGVFRPTFPADIAALIRLSLSQPTPFTVAPRGKGHSSRGQAFALGGIVVDMSALGDHDHRTSHRIAVSVDGMYVDAGGEQLWIDVLHAALKHDLAPRVWTDYLRITVGGTLSNAGIGGQAFRHGPQISNVHELDVVTGMGEMITCSPEVNPDLFFAVLGGLGQFGVITRARIRLEPAPKRVKWVRIAYSDVHSFTTDQELLISKRASGFGFDYVEGQVQLNRTLTEGRRSSSFFSATDLARLTRLAIDTGSVAIYYIEGAMYYDDNTADSVDQKLDALLEELSFVRGFVFVRDASYVEFLNRVGREEQNLRSAGAWDVPHPWLNLFVPRSRILDFDAAVFKGILRHANPVGLILMYPMNKDMWDDRMTAVTPDEDVFYAVGLLRSAVAGGGDVEQLERENAAVLELCDLAGGGIGCRQYLPHHASRDGFLGTVGG >KN539689.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539689.1:1328:7801:-1 gene:KN539689.1_FG002 transcript:KN539689.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQKTPGSLETHAVPGLPIIGNLHQLKEKKPHQTFTKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSTLGTSAQKKFRDTRDMMINNMLSTFHKLVKDDPHVPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTAEARRTAVMRALIKQQKERIVRGEERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVHEDTKLAGYDMVINLYGCNMNKKEWESPEEWVPERFTGGRLEVADMYKTMAFGAGRRACAGSLQEYGWRLTEGDEEKVDTVQFTAYKLHPLHVHLTPRGRM >KN540293.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540293.1:2963:7440:1 gene:KN540293.1_FG002 transcript:KN540293.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVVSAYKGVIDSVLAKLRELMARDMRANLIGVSSRDILFLRDELPAMSALLERLDDAEELDSEAKNWRNQVREMTYDIEDCIDDFSNNITTVDAKTGFLDKASQFLKTCRAHLEAAWQIKELKTRLQEINERRKRYKVGHYISNTTSVTVDPRISAFYKETAGLVGIDNPKRELTKLVMDEETQLKVMSIVGFGGLGKTTLASQVYREVGVQFNCKAFVSVSQKPDMVRLLTSLLLQLKQHPSHACGVQELIDNLREYLMDKRYFIVVDDLWDVPSWNIITCAFPQNNQHSRVIITTRHGDVARTCSSDHGIIHNMKPLSAQNSRELFFNRIFGSKDYCPSYLEEVSCKILKKCGGLPLAIVTVASILACQPTRLKEEWEYIQSSLATNKFARKSTLEDMMQILELSYKSLPHHLKACFLYLGAYPEDCVISKVDLIKRWVAEGFVSHSPGQDAWVIAESYFNELVNRSMIQLPYQGYYNEVSHCKVHDMMLDMILMRCKEDNFISVIQDPRAAIEVQDKIRRLTIDLNGAMGDTMDMNITRKVSQVRSLGVFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLMDGDIPSQVSIVLPSQIRRLQHLETLELPWVSECSIPSISGIIDLPRLTHLVLRQHKGGLPDGIGKLKSLRTLHGFNLPVSSLENIDALGELTSLADLSLHCGKQDTKSTTPGWMTALSCSIKKLGNLKGLYVRSNSLSCCADVMSSWFSPPFLNLEKLDLLDWTFSKVPRWIGQLHSLRELALGGKKILQEDVSMIGTMLPFLTHLSLRIVTCNIALKESRIMIEGSIGFAALRFFCFDSNRMSHLEFGVGAMPQLKRLLLALDPWEWDEATPVGLKHLLYLEEIRVLTASTAVVSAGSESMNGKSALVKGVFHDAANALQSRPAFTVLPRIRSLSDHLHVIVLRGAEGVAVDRCPSLRQINNAKGILVIGVPPNVTTCMGQESDHHGIFFHGFVGLDVSGFIGENVQE >KN539689.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539689.1:40533:43591:1 gene:KN539689.1_FG003 transcript:KN539689.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLDVAFGRTMLAIGEITGLKAVNKIGLSSERNYSRGHVTFVTVFTTYNSDPAEASKLPSNVVTIGKHSYSKVGRSMAILNTFIGFIQVSMPRSNVIILTDPNSKLTHGSAVILPIEGNYSRGNLMLQRIRSYIAFLEQRLEELETVEDINHLIFTDSDIAVVTDLGHIFEMYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGIFKAIEFFKEVLEAYHLKYMEASRMLGDQLALAWVVKSYLPSAFSKFSKHEAFTGEVNGTSILFLPCAVYNWTPPEGAGQFHGMPLDVK >KN540293.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540293.1:40262:41094:-1 gene:KN540293.1_FG003 transcript:KN540293.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQAAPVPWSTDLFDCFDDSSNCKISKPGYGCVFSAWRGWHANMEKQGQNPAATMAPEMYPGMTR >KN539689.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539689.1:31536:34906:-1 gene:KN539689.1_FG004 transcript:KN539689.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVQAPVRFLQMGPHMQVPHQGRAPGEEPSGGAIGSDQGRFAGGALGVVLEQPQEQPEGCVHCREIPIAHCDKSVAVHACPPVLKLWCRVMIIDLDAHQGNGHEKDFANDGRVYTLDMYNAGIYPYDHVAKRYIDQKVELVSGTKTEDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGRLKISPQGVVIRDEKVFRFAKDQNIPLLMLTSGKYQHIYGFLSQSQATSIAMVFMCTLSICPFSGGYMKSSARVIADSIINLSNKNLIELGSQLG >KN540293.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540293.1:30698:35711:-1 gene:KN540293.1_FG004 transcript:KN540293.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDVDIMSIDIQVVLGEEGPQADHICIAEGLSVLYKEIADTVRREATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLIKPSLANLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIFVSHKDEYTEFEQASLRQQYQSKMAELRAEAKQQSESTGTIGRSKGAAVTTSLQQQISVTVVTEFVRWNEEAISRCTLLFSQPATVAASVRSIFACLLDQVSQYLTEGLDRARESLNDAATQRDRYVIGTSVSRRVATAAANAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSIMAE >KN539689.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539689.1:85986:88316:-1 gene:KN539689.1_FG005 transcript:KN539689.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVNFLALVCAVPVVATGVWFASKQGDECARVARWPLAILGAALLLVALAGFAGAYWNRRGLLAAYLFAMAALITLLLALLVFAFAVTRPSGAYPAFARAYDDYRLDGYSAWLRGHVAGDPRRWEGIRACLAASGTCRKLAQESVFFITPEQFYQSHLTPLQSGCCKPPTVCGYAYVSPTVWVNPANPAADADCAAWGNDPSQLCYECSSCKAGMLGTLREQWRRANVALVIATVALIFFYVIGCSAFKNAQTEDLFRRYKWRN >KN539689.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539689.1:27745:29900:1 gene:KN539689.1_FG006 transcript:KN539689.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVQVQQQFYHESGTKMAVFMGCDSGEIEVGLSATSATATATAAVVGELQQSILEELLQMPPSPSSSSLLSLSVGSPEYSSLVRSMATSKDKAAILASTTEYMDKLISQVSELGEKNRQLEAQLAARSGEAQWPAASGGGGGESSSERVQVDVVIAGSSASTDQPREVSIRVTVRAECDVSELVVAVLARLREMGRFAVVSVDAGRRSSSFAQASLTLSVMLCMGSNVVEWYGQLPMYLH >KN539689.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539689.1:45829:49003:-1 gene:KN539689.1_FG007 transcript:KN539689.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHCGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPEGAVFESNAIARYVARLKDNSSLCGSSLIDYSHIEQWMDFSATEVDANIGRWLYPRLGFGPYVPALEEFAITSLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYYGFVRILIKSFTSEFPHVERYFWTMKKAAPPKESKAKEAKKEAPKEAPKPKVEASEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEDLLDAKCFK >KN540293.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540293.1:11015:21701:-1 gene:KN540293.1_FG005 transcript:KN540293.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVMMTSDNGKAPEKGGEASGPSSAPQEGEISNEPQRRRPLSGRTTGPTRRSTKGNWTPEEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMSSGLLTQVSCLPLNEYSAHCNSSPAVTQQNSEDSGSYAVREVENSSVCSQSSLAKVSCSQVHNANVALGCDLQVNANVDNNEAHDSQSSVGHEACYTSVGAVATAMPEVHYHVSSSNFDPDQHLQEEFAQGLNLHMSIDEVPSNSSFADNPTICRIENHERSLEPYDVAMEMPLSMLPSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVETDSFSRSNHQSDVYSSQADNEFLAPPYLLQTSNSSSVMEATYGQSPQMSVPPSLFCSNVMTDVPSDNRSEPKEMTVSQAEMVTQSSSSSGDAEMSANPGSSNGSDIPSMMERIPECADQQVTNAEEPEASIEKEPSVTPSATADEKQDEGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSTMNVCTPMRLWGSPTHDESPDILLKSAAKSFICTPSILKKRHRDLVSPIPDKRIEKKSGTEKDCGVSDTSSIGIQTCFINATKDDAVINKSVLRIERSASSKPLEKKLEFSDENKENLDNTIEQAKDGQSAGNDKHIDEQARGERRTATNITTTYDDLPGNLQPAGILIEHNGDDLVSPDYGKNTMKQKQNTNMESLSVCKEGVSAKKPVELIVDKSSACINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPANGYDALGLVKQINVQTAAALAEAREVLASGVQSENINSDKENLENPDAKKEPGATTKLQAKNKVFHQLEKLKLELETRKKEGRARAYFGRSHSAPPPLPASPAYFSPLSDYSGLRRSAPSTTDPPFAGLLSSPPNASLVVTSGWTSSFSLEIDFEGNGDESHLTDGDNGGEEHKRHEAPDAPSFSLGIDSDNDDDEECRREEQR >KN540293.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540293.1:46453:49972:-1 gene:KN540293.1_FG006 transcript:KN540293.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVHSLTAYLAVVSVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMSTILGGLITGTCTGVWTYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPRLIQRWDPDFFDQMSEALHQRLQYRSARAHQILNSRLDQLPNTSSI >AMDW01032185.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032185.1:217:452:1 gene:AMDW01032185.1_FG001 transcript:AMDW01032185.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QLINVFTYKAVKTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKVFLRALGK >AMDW01032092.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032092.1:14:445:-1 gene:AMDW01032092.1_FG001 transcript:AMDW01032092.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAGLAFLAVTSAALLSPLAVVGQLRTDYYSTICPNLEAIVRSSVKQSMAASPISAPATLRLFFHDCAVRGCDASIMIVNSNGDDEWRNSDNQSLKPE >AMDW01031102.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031102.1:36:377:-1 gene:AMDW01031102.1_FG001 transcript:AMDW01031102.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGK >AMDW01036940.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036940.1:48:581:-1 gene:AMDW01036940.1_FG001 transcript:AMDW01036940.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRT >AMDW01040444.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040444.1:121:231:-1 gene:AMDW01040444.1_FG001 transcript:AMDW01040444.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGGGGGKPVLWEFHATGPRNISNPSWRDLIRSS >KN541766.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541766.1:2066:5398:1 gene:KN541766.1_FG001 transcript:KN541766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NWKQFIGIGKLCKNPARSLQGNETDRLSLLDFKNAISLDPQQSLLSWNDSTHFCNWKGVRCRIKNPRRVISLVVENQGLVGEISPSLGNLTFLKHLFLPTNSFTGEIPSSLGHLHRLQSLYLSNNTLRGRVPDLRNCSDLMVLWLDNNELAGQFPSHFPLHLQELQLKQNNLTGTLPASLANITTLSKFGCAHNTIQGNLPNDFATFPVLELLYLSGNQLGGKFPQAILNLSTLVGINLALNHISGEIPFNFGNSLPKLEQLLLAANFFQGNIPSSITNATNMNFIDLSRNNFTGVVPSSIGKLSELTVLNLELNQLQARSKQDWEFMSTLANCTELKGGVVLFAAMAMSAWLLRRRKQKRKSISLPSFGSKFPKVSYNDLAKATNGFSATNLIGTGRYSSVYHGKIFEDGNIVAIKVFRLETRGAQKSFMTECNALRNARHRNLVPILTACASIDSKGNDFKALLYQFMPRGDLHALLYSTGDGENSLGLNRITLAQRLSIVVDVSDAMEYLHHNNQQTIVHCDLKPSNILLDDNMTAHVGDFGLSRLKLDLMASSFGDSTSSMAINGTIGYIAPEYATGGQASTFADVYSFGIVLLELFLRKRPTDDMFKDGLTIAKFVELNVPDRILDLVDPELLQGQEDFSNETLVNMEEVDMKCLLSVINIGLCCTKQSPSERMDMQEVAAKLHGINDAYIREI >AMDW01040858.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040858.1:2:2749:1 gene:AMDW01040858.1_FG001 transcript:AMDW01040858.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFRIQDELEGTFETVDRGCFLDLVRDARHTTKATAKQLECTCCFSASSKPVHGGARRRVLCGAWSCCRADEKQSCKCVRQLANRTSSTARSIGKRLSSLLPLHDDGKTPKMDFDRVDASTRMRRVVDQLQPICAKVSTILDLELLGSAIAKLEFIGSRRDIGGYTTTSRSTTTSESIEPKLYGRDPEKNTIVENITKGVHCHQDLSVLPIVGPGGIGKTTLTQYIYNTKEVKDHFQIRVWACVSLDFNVYKLTQEILNSIPKAEDETNGSQPQSLDQLQKLIERRLKQKRFLVVLDDIWKCGEEEWERLLVPFRKSQVNGNIIIVTTRFFDVAEKVKTNNCKVTQLDRINPKEFWWFFMACIFGHGSTKQHREDDELVHIGKEIAEKLKGSPLAAKTVGRLLRNNTTPDYWTRVLQSKEWDLQTNDYDIMPALKLSYDYLPFHLQQCFSYCALFPEDHKFSSEELIHFWIGLDILHPDHPSKTIEDIGHNYLNQLVNYGFFKKEIDEQKTYYAMHDLLHDLAQKVSSQECLHIDSSSTSPIEIPPSIYHLSISLSSTNSEDGATKGSFKKELDKIGSRLKSENLHTLMIFGQYDQSFAVTFCDMFKDAKSLRLVHLSTMTHPVESILYSFSKLLHLRYIKLVSDFRSHLPASLSRFYHLRVLDIQKWWGDHSLPNDMANLSKLRHFLVPPSSGLHSNISNVGKLRCLQELMTFKVKKKADGFSLKELGELTELGGSLSISNLEKWVAKEICPMWFSAIEILIVEDCSELLELPFSYYTQQPLEDDGK >AMDW01038354.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038354.1:92:638:-1 gene:AMDW01038354.1_FG001 transcript:AMDW01038354.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DATNAGVWYLCQIAGGLKDGINAKFFQEANEKLKLTELAQSERKAVKGLESVLESRKEQKAIDSKQNTTAIIDAEKPVKTVDGPVKSGAVHRCSRISFRKEGLAL >KN541800.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541800.1:877:5171:-1 gene:KN541800.1_FG001 transcript:KN541800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLDTCHKTYCLSGQSYLLWPCSAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLIQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKAILVWAKLSIAKLLAMPELLANCCRLYVGIHVVFNNPNMNYLQIWRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHVK >KN541800.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541800.1:19588:22291:-1 gene:KN541800.1_FG002 transcript:KN541800.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQAAAAGETPRFRWDAFGSAPSEPQREAIRGLSPKLPNRCRALMARLVCLPPPDQDGDEDEETLGALLVFWVKAMKPKRTDWLLVLKELTAMESPLLAEVLEHALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKSRGLPCDQVMLTALIDMYSKAGDLTRAKEIFNEIGLFGLPMDKRVYGSMIMAYIRADMLDKAEDMISEMGDQQIVAGKEVYKALLRAYSYKGDSEGAQRVFDAIQFAGIVPDTKLCALLVNAYCLTDRINEAMIVTRNMRSTGITPCDRCIALILGAYEKVNRLEGALAFLTELEENGVFIGQEPSQLLAGWLRRLGVVQEVEKVLKDLAVDRKNTLEVDRKKNSLASDRKIKRRRSKSKRSFASSLQHKNTIRLAGDVLIGELSGRNNVHIILNFIHQGFHEDAKDLVIY >KN541800.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541800.1:12381:13761:-1 gene:KN541800.1_FG003 transcript:KN541800.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDGRAAEGLEEALRPFHDRASDAETRLAKLEALLLNKDGLTSGSETNSSAVKDLQSKLDAVNTECLAEKEKNKKLIIENEKLQYRITHLIRALKEADSR >KN538817.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538817.1:191139:192751:1 gene:KN538817.1_FG031 transcript:KN538817.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHTIDMIRDSKRTMLETEDIGVFLLQDLHQQRGRLIHAHDILHNVDDNIGKSRRIIGAMVRRMDRNKWIIVLFLLGFGRRQEEPSPVVIVNVLVMYKKKCANIWEQVGREDDVAPVDVAELVGFLGGALEELKDEAAIQ >KN538817.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538817.1:233612:234300:1 gene:KN538817.1_FG032 transcript:KN538817.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSMQAGEKAVFTIPPELAGTKSRCPADIPANLPPNQALQFDVELISLITITDILDNEGILKKTIKRGVGNDKPCDLDEVLVNYNACLEDGMSVSMSEGVEFNLAEGFFCPAFARAVETMTEGEEVVLIVKPECKLPSLDVTF >KN538817.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538817.1:210559:211020:-1 gene:KN538817.1_FG034 transcript:KN538817.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPVIEIDDMKRPLLVNLMAFEQTLRMVETGLLTSYVALMINLIVTARDVELLREHGVLKSLVADDDEAARFFSRIGEGCAIDYDRQAFADLYEDLRQYCNSHFYYRCRLALFDLRRNYLGSPWKTISLVAAALILFLTATQTYFTVFPAKN >KN538817.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538817.1:227108:228397:-1 gene:KN538817.1_FG035 transcript:KN538817.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLEASVEATLDAISGDQWRARPQPFTIFRVPAYVRDGNRTAYEPRLVSIGPYHHGGAALRAMEDHKWRYLHDLLSRRAGDGAAAVVTASALVAEMRTLDSSPGRARATASAPWAWTPPTTSSGCSSSTASSSSSSSSSGTPRRPTRSATSAGASRSSLPTCSCWRTRSPSSSSRGSTKPSPACSPTRSPSSTSSSSTSATRSPSGGRPVTGMSTTSSTCTTSASFPSGHGRGPEGTGGADADDSACQRTAGGGCHPRAAERGAGQVRRDVRPPERGNGDPGHRDRRHEAAAPRQPHGVREQTQAGEEPRLLTSYVALMGQLIVTARDVELLRRRGVLESLLADDEEAARFFSRLGEGAAMDSSRQAFAGLYEDVRGYCGSWWHRNRAALRRDYFGSPWSAISVVVAAIVVFLAATQTYFTVFPAK >KN538817.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538817.1:220684:222234:-1 gene:KN538817.1_FG037 transcript:KN538817.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSELWLLWAVFSASLVFLYLTIRRRSGAGAGGKPPLPPGPTPLPLIGNLLDLRGGVIHDKLAALARVYGPVMMIKLGLNDAVIISSRDAAREAFTRYDRHLAARAIPDTFRANGFHERSAVFLPSSDERWKALRGIQGTHIFTPRGLAAVRPVRERKVRDIIAYFRDHAGEELVIRQAIHTGVLNLVSSSFFSMDIAGMGSETARELREHVDEIMTVFAQPNVSDYFPFLRRLDLQGLRRSTKRRFDRIFSILDDIVERRLVDRGARGTSSNNKSKHHDGGDFLDALLELMVTGKMERDDVTAMLFEAFVAGGDTVAFTLEWVMADLLRNPPVMAKLRAELGDVLGGKDQSAIEEHDAARLPYLQAVLKESMRLHSVGPLLHHFAAEDGVVVGGYAVPRGATVLFNTRAIMRDPAAWERPEEFAPERFLASGAPVDFRGKEADFLPFGSGRRLCPGIPLAERVMPYILALMLREFEWRLPDGVSPEELDVSEKFMSVNVLAVPLKAVPVKVIN >KN538817.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538817.1:174394:178967:-1 gene:KN538817.1_FG038 transcript:KN538817.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRRRIHENPELGYEEFATSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSARILQEHRDELKGTVVLVFQPAEEGGGGAKKMIDDGAVENIEAIFGVHVADVVPIGVVASRPGPVMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCNAVVDFLDKDRPFFPPTINSAGLHDFFVKVASEMVGPKNVRDKQPLMGAEDFAFYADAIPATYYYFLGMYNETRGPQAPHHSPYFTINEDALPYGAALQASLAARYLLEHQPPTTGKAKAHDEL >KN538817.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538817.1:229018:229557:1 gene:KN538817.1_FG040 transcript:KN538817.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLRCRRRRRKKDFGAMKLSDLGKPDEDLFVWRGDTSRLESFGSEHGRGRGRGSPGFAKWTVQEAPGERFDWLEMKGFYCCEAQGRNVASN >KN538817.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538817.1:184250:187109:1 gene:KN538817.1_FG041 transcript:KN538817.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFEGYERQYCEISASLARKCTAASALQGEKLKQKASEIKSGIDGAEALIKKMDLEARNQQPSVRAGLLAKLREYKSDLNNLKGTLKRVTTGNAQQGSREELLESGMAETLGVSADQKSRLLRITEKQNKTTDRIRDSHRTMLETEDLGVSLLQDLHQQRERLIHAHGTLDNVDDNIGKSRRIMGAMVRRMDRNKWIIGFIIALLVLVILVILYFKFVH >AMDW01033635.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033635.1:82:495:1 gene:AMDW01033635.1_FG001 transcript:AMDW01033635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GHVLPNALDTTAVDWINAARVPSPVTAGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARSKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAAVEAPAPANL >KN546281.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546281.1:231:978:1 gene:KN546281.1_FG001 transcript:KN546281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVKEEDPTNNVPDTIYSKIGLQLHRRDNHPLEILKNTIYDYFDKNFSGHFNKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFSPDEWSDSGMDGTAYAAADLKKTLEGLAKHLF >AMDW01039300.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039300.1:6:947:-1 gene:AMDW01039300.1_FG001 transcript:AMDW01039300.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQYLKDCKRLYGRILDNSNVQSSIRAESKHQSEKVWAEQYPKEPFELEYTSSSDNSIYANAGAAEDISYDLVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVIWHTHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTEQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFSGEVNGEFSVGKAESQITILETTVIE >AMDW01028628.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028628.1:41:384:1 gene:AMDW01028628.1_FG001 transcript:AMDW01028628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKFRVSLIQPKDIEIVICFIYAVDSVCS >KN541587.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541587.1:3108:12355:1 gene:KN541587.1_FG001 transcript:KN541587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGAVPAAAPVWKGKVKSVPSGDTVVIMDTSKAEEVIPPPEMSVTLSCIIAPSLARRGGMDEPFAWESREYLRRLLIGQDVRFRVEYTASPSGRKFGMVFFAEKNVACMVVAAGLAKVKEQGQKGEISPYVAELLRLETIARDQGLGRWSKLPGALESSIRDLPPSTIGDGRSFDAKGFVAENKGKSLEAIVEHVRDGSTIRVHLIPSFLYVQVYVAGVQAPSMGRRATPPPNAQSGVGNGAANGEASASPAPMTAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNREVRIVMEGTDNFNNIFGSVYYSDGDVVKDLALDLVQNGLAKYVEWSANVLDPQLKTKLRNADLQVKKEQLRIWTGFKPPVTNTKPIHNQKFTGKVIEVVNGYCLAIADNAEPYGSPSAERRVNLSSIRPPKFEKPSEENKSSEQFARTAKEFLRTRLIGKQVNVSMEYSRRINIADGQIAGPRTNSTETRVLEYGSVFLPSSSHADGETATGSSDSSSNQLGINVAALLLSRGLADITRHRDYEDRSHHYDALIAAHARAEKTKKGYHSKKECPPIHMTDLTRVPKKAKEFLHLLQRSRRHSAIVEYVFSGHRFKVTIPKETCTIAFALSGVRCPGRDEPYSDEAITMMRRRILQRNVEIEINTVDRTGTFLGSLWESNINVASVLLEAGLAKISSFAVDKMPDAQVLLKTEKIAKQKKLKVWENYEEVEVSNVSLYYHKETLKVIVTEVLGAGMFYVQALADEHVEFVRHQLASLDIKDDPAEALEVKELETSKEVATLTKDLPETLDAEDPSSDVAKDESVTSKDIDPLPDDSNTAPFTPMKGEMVLALFRCDNSWNRAMIIGECQGVEGPEFEVFYIDYGNQELVPHSCLRPINLSISSIPPLAKLCSLAFVKVPSLNDYLGQEAAMYLNSILLNNGREFEAIVEERDAASGGKLQGQGTGEILGVTLLDSETDNSINAEMLERGYGQLERRRWDSRERRAAIKKLEEFQEVARKEQLGVWCPKNARKQGMDDNEYPVLARAPPPPKKGYDLIKFIASRSD >KN541587.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541587.1:16948:20073:1 gene:KN541587.1_FG002 transcript:KN541587.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRALLPLLLLSALLVQIRASDPLFYEPFDESFEGRWVLSGKDDYKGVWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQDAAWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPSLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEANKPEDWDDDEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDSDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAAGDGLSEFQKKIFDVLYKLADIPFLEPYKTKIIDVIEKGEKQPNITIGILVSIVVVFVTVLFRILFGGKKPAAPVKPVAEVKKPKSTESEAAGSSGDKEDEKEEEEKDGAAAPRRRSRRET >KN539403.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539403.1:71690:72781:1 gene:KN539403.1_FG001 transcript:KN539403.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARGNPMLDLGNVVKTSPSDEEDVDDGHHHGGGGGSGKEAGQWHRVKWTSGMVKLLVSAVAYIDEDVDMDYGTGSAARRKHAMLKRKGKWRLVSAAMTERGFPVSPQQCEDKFNDLNKRYKRMTEILGRGTACQVVEHPELLEGMRLSGKLKEEARKHLNSKHLHYEEMCSYHNRNKMCLLDDPTLQKSLRLALRSGEEHAKKNPFGYDDEYFSDDDDEDEEFDDLEVSAEDHHHGIHGAKRLKHDQEETHFGSNLSEVAVIDMNKMLSEGSGGPTAEKSPSTPGMRDIRLEKRRLKIKAQMLKIEQKHFKWLRFSKEKDRELEKMRLENEKMKLENERLELELKLKEIEMGIKPKKIFSD >KN539403.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539403.1:92800:94160:-1 gene:KN539403.1_FG002 transcript:KN539403.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRSLRTVTFLATILPSARPWCAEARYQIPDHPHPWAQKYYAPYASDPDAIRRVIQEDMPYAFVKEYPGEYRPSWGHMSFLVDILRPTVEEGSSWYHFLKKASGGCSDLMYSGHMLVAVLTAMAWTEAYGGWISVVIWFLVLHSAQREIRERHHYSVDCIVAIYVGILLWRMTGFIWSAIDNSRARRLAKLDKVQNRLFQAAKDSDMDEIRGLLNEVELAGQERKGFSQRVILSFSSAMIVFTLSCVLLAFTLTSDG >KN539403.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539403.1:45787:47831:-1 gene:KN539403.1_FG003 transcript:KN539403.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGGFSELASRLLRPNFHGRIFLSVDGFCVVGSCSEWDCDVATRDSCEVAGQSDMDLVKEILLFDLLKCMASTRSDEEVSDPKALLEDRSKAKCVYQWYEYQKCVKRIEDDETGQKHCTGQYFDYWKCVDKNVAEKLFDSLK >KN539403.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539403.1:75735:76775:1 gene:KN539403.1_FG004 transcript:KN539403.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGGEAPLVKEDAAVAPSPPAAEEKEKNQHAAGEEAEETAAAVEQITAVDPSPVASEQETTGEEAEAAAAEPEAAQEGGGGGAQEVAEEEKRVDPDSVQVAAAASSTPSPPSSADDDEEEKSKEEAAADVSAPAAPDEN >AMDW01013616.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013616.1:29:238:-1 gene:AMDW01013616.1_FG001 transcript:AMDW01013616.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLQSAALIQFWNPSTPVEALLNRRTSLSTFTKAKSDYVRRAIPSDVWKNILPWFTMNGSGQMLLEPMG >KN539403.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539403.1:87127:87643:1 gene:KN539403.1_FG005 transcript:KN539403.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCASPLLDAEAAHGEQCHRRQPTIAEKEAKGEVAIPDITSPSNNGGGGGEGDQHRGWLVSMAGVVDEAVEEEGVVESVEAVEEGDAEEGPADKKGADEVGAGGEQEENLPREVVGQRGDDDG >KN539403.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539403.1:32491:33957:1 gene:KN539403.1_FG006 transcript:KN539403.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSDEGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARIWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLISGEIDCADFQRKLLLNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEEGGFKDRFYIHCDGALFGLMIPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINRLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVTIDKLDYFLNELTEKRATWYQDGSCQPPCIAKDVGEENCLCSIHKK >KN539403.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539403.1:95298:98271:1 gene:KN539403.1_FG007 transcript:KN539403.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDGGDEADGDGLAEEGPEHEGDGAEEGQRGAELGGPVATHPVICFSHADADLRSADPRVFTIEGSCDGILLLSYHTRLYACNPSTRRWRRLPPLHDDHVIVGFYGHGAIDEREYRVLYHTARPGCRYWVFSLSFFPDQPPRDIGRPADLEAVRAVLAEGISPSYEMPPVAIAHRLHWRAQAASLNVLVFDTVAESFGWIPPPNQQEGNQMIPVEGDQLLEINGRLAMTLVSQTTVDVWVLQEGEAWEHHYQISLPVDLLNVFGGYDDEGFVSAAVFAVSQERNVLAQCPAMMLQCDTEGNVLMFYSLAGHLTVLSRYMLQESLLAHAFLPMRQEDAIDGDPPFFQVGLKY >KN539403.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539403.1:80838:81909:-1 gene:KN539403.1_FG008 transcript:KN539403.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCGVALLMLLLAIEEVGAAAASARAVMAPVVVGLITMMASFWALH >AMDW01038478.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038478.1:119:566:1 gene:AMDW01038478.1_FG001 transcript:AMDW01038478.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLVALSFVVLMSIGLANAARVVRYASAEGQGQGGGNGGGYVNGGGVGNGNGYGASESGSGVTATAGGGGWGGGGSQYNGTGFGSGSGAGSSSGQMAEGYYPGYGGHASAGGGGGGNGGGQAGGVDGSGGYGTGGGNGSGSSVANN >KN539403.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539403.1:52382:53283:1 gene:KN539403.1_FG009 transcript:KN539403.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVGATIFYCVALSMVIVMTQLPPTEADSVAAAEFASSNLKADKLTSRKLMGAANAPAPAPLGMCPVRFDEMKGPFTELGKKCKAASVTECCDAFKEVACPHNTLLNDLDNGCGDDMFYFIHTYGRLPPGTIFKKCVEGPYGMKC >KN539403.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539403.1:7738:9247:1 gene:KN539403.1_FG010 transcript:KN539403.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSSSSSPFSTPFHSISSTSTLRPQLAIAIVATQSVCGRNEIEQQPSMVRKEMGGGGGRLAAEYQGLEVKVPTFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLISHWSSSPASAASGDVSVTSSPAGLVRQVASPDADPSAALRQLAAYLSDDDVDEFEKNALSGCSLAARQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >KN539403.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539403.1:88904:89445:-1 gene:KN539403.1_FG011 transcript:KN539403.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHHLFHHKKDGEEESSGVVDYDKEKKHHKHLEQLGGLGAIAAGAYALHEKHQAKKDTENAHGHKVKEEVAAVAALGAAGFAFHEHHEKKDAKKHAADQY >KN539403.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539403.1:100713:106219:-1 gene:KN539403.1_FG012 transcript:KN539403.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHNNGTLTSLSLTFVAKTKDSGPFDIKKAFVERLRPCLVLNKVDRLVAELRLTPAEAHARLRRIVSEVNSIYSALRSRSYFSTLDAACALSQELPDHAGDDDDEEAFQPQNGNVVFACAREGWGFRLVTLAKLLAPKLRADPAELLKGLWGQKYFDERSRTVVGKEAMAAATANPNPKPMFVKYVLEPLWGQYHKMTRKLRLAEAVFDMVPAIQGRQRRAPEPQGIIIQRRIRGVFLGVREGVQRRPPCWPQGVRPVPHKHVQEVELQHLYQMMGPDLEIVSAVRAGDVLAIEGLGHHVLKNATLSSTKNCQPFSGMMFQVSPMLKVAIEPSNPSDLGALVKGLKLLNQADPFIEYTVSERGEHVLAAAGEIHLEHCIKNLQERFARVELEVSKPLVSFKETIQGEGACIMESLKASHEFVERTTPNGRFTVRVKVFRLPNAVTKVIEDSKELLAQVIEGDSGNSNGVLDSRFSHDGGDSASSLRQLLINAIDSDLEALSAQLDDEKIESCRKMLIGYLRRIWALGPLQVGPNFLLSPDAKSSDSVLTSQDGREGILVRGTCHVSERLGLVNSSDAKTTIGIDGSQSAVDGLDPETVKNSIASGFQIATNAGPLCGEPTWGLAFLVKPYILPDSADASNNQSDHYSTFSGQIITAVREACQAAILESKPRLVEPMYFCELTTPTEQLGSMYAVLGNCRARVLKEEMQEGTSLFTVHAYLSVAESSEFSKKLRNATAGAASALLAFSHWETVPQDPFFVPKTREEIEEFGDGSNIGPNLATKLMNSVRRRKGLHVEEKIVEYGTKQRTLAKKV >KN539403.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539403.1:57756:61129:-1 gene:KN539403.1_FG013 transcript:KN539403.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPEPPPNPTPVPPPPPPAPSGNTAVEDSEAGPCIPRLQAATGDYTPWLGQEFASEHEAYEFYRYYAWKLGFSVRREYANKSRKTGEITSRKFVCSREGFKAPDKRTNHTRTPQPDTRTGCHANLVIRRKNDTSKYEVEGRKVQADTTLEFSSRYEYLCPVYVRLVARASECEESYRVLDQCSVELGKKIEEILQKQTSIDASAPQSDIEDVTISLSANGTDNESERALDYSSSTRPKRRKKKGRNAKSQRKSCIEKGLQKTKKVQPEQSPIQYTMLDAAQPGNVLFQFLRPSTAFKFCNAEVFYLKSYAIPPWTVVITDSSSKDYDYGYFGLENLEFTIVHASAMF >AMDW01040763.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040763.1:294:1696:-1 gene:AMDW01040763.1_FG001 transcript:AMDW01040763.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PFNSTSLSPFNCIYQSLPATAQDFGTWCDMQSHKKVAAVKPVASRPSSRLRSFSMLQEDSTAIDSPRLEDSGRHTAYDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPSLSTSVETLVATNDAGLENKFEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDGKK >KN542193.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542193.1:408:3305:-1 gene:KN542193.1_FG001 transcript:KN542193.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLPTDLNKAELLMYGLMVDAAYKGFAAEEKQPIEPYGYDSVLSRQNEIAAACAGHSRYFATTYLYATIKPVEGLPFLDSALDKSYWFGYVAVAARADRLDIVVAWRGSVTPMDLVMDVHAELKPFDGDAAATGKVEEGFYNVYTSSMDSSKQKHGVLSAKQQVVKEVTRLIPIKCGYIYEWTSVSKQPNYFPTSTTSSVVALDLAKKALFCVLED >KN542193.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542193.1:13556:14641:-1 gene:KN542193.1_FG002 transcript:KN542193.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKASLLAGFATFLQFICGGGGEDYQLPIDHNHPDHKADILILEQYLHLFRRLCDDDGQAFAALHDGGEVAPKKKNKWPEMEEEADGYKRLPLSELDKLITKA >AMDW01056916.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01056916.1:124:637:1 gene:AMDW01056916.1_FG001 transcript:AMDW01056916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQSPFKPQGTRELIHQGLQILERLACDDQNCREICCNQRLLTKIIAPITSPALLHTDYDNAWVDILSILLRLVRLLISAPGEAGTRVCHDISACDDAVRNLLGILGQNATYPMQLQENAMEILTEIATGSPAVMAEDFIRKLWCIFLSNSGTSRLRRKAEQLAKLLSAQ >KN541254.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541254.1:19372:23203:-1 gene:KN541254.1_FG001 transcript:KN541254.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNIFRVALLSYIVFTIGGKELKSTNSEENTGLTFTNQQVNKTVQPTFKHPLFKDHEIQMEPSSSPIRLDIKSPLVAAVSHAQLSTIDCPIGTIPILRNNKLDTTMVQGINTLASNDLQQLVAGIKYWDEIYGSQASINVYEPKVKQDSNDLSASWIQIGSVPKVGKGVGIGAGSCVYPSFSGDSFARFHISWDNDELKKNCIDHNCPGFVQVSRSVGLGGRVHPISVYNGPQYVIDVLIFKDPKTKNWWLAYGSNNTPIGYWPSSQFSSMKDKCNFAFWGGYVQGPTASSDPPQIGSGHFASEGFGKAAFVRNIQAIEDENNKLVTPSIRSAHPRADNPKLYTYDDYGLNDDGMHVYYGGPGKYS >KN538871.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538871.1:63791:67407:1 gene:KN538871.1_FG025 transcript:KN538871.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRLKYSLALSILAVLAASASSVAVQPMLTCPDRHITDPAAYQLREHMSPGAGKDDPYRMLHGSSLSRAPGSSRLPWLRTSATAMISCARVATPPVLGFDAATDVREELKIMEEAPLAARGVEVVLPEDVLAEILRRLPPRSLAALRCVCTDWRSTIDSRRLLRADLLPLSLAGIFIDFWGLRFPDFFSRPPPTPISGVFDFFPLEEGPDIMDHCNGLFLLFSLLVVNPATQRWACLPPLPSHSTELDFRFLYDQGLIVFDPIVSPHYEVFMIPFVKPGQYCIERTDLVLKESEWPPSPLILHVFSSVAERWEERSFVREGDSAGTVAYAQRQCHLDKRGNFYWRGALYVNSYFLMRISISDGSYQVIHHPIEVYKSRPYVYFGKSEKGVYLASLTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLRLNRSKQVYGPWILRDINYHLYSQKFPGEWDLYDRNYDPSHFHSPNDEAPAENNFEWHSDDDDIVDNQCNSEERNSGDYLTFLGFHPYKEVVFMSSGSMKGFAYHLKSSKLHCLGNLYPKHYKHFAQHEHIRESFPYTPCWVDELPETSISVYNLCQD >KN538871.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538871.1:21735:33457:1 gene:KN538871.1_FG027 transcript:KN538871.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVARPTARAPPLILADVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATASAAPSLSVSQDQAEETASQESNPESAPQTPPSKVGSQPSVPAVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPAVTSAPANSSSTLKDDDNMSFPPRRSSPAVTEIGLGRGITRGLTSQGLGSAPINIGPVSGNGSVSALTDLSKRNMLNTDERINNGGISQQLISPLGNKAQPQQVLRTTDTISSDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGLQNQSEAGQFRGRPEISADQREKYLQRLQQVQQQGSLLNVSHITSISQKQFPSQQPNPLLQQFNSQSSSISSQAGIGLGQVQVPESGHTKSEEQQQSFAEDVSAESVATAGANKHMSEDDTKIPFSNPSASITEGTQLSRDPDLPAGQPLQPGMPSSGVGVIGRRSVSDLGAIGDNLSVASATAYHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTDLLFFAFYYQQNTYQQFLSARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVIDDGTGSGWCQRIKNDFTFEYNFLEDELPIPFPSSGIRRDLATGVAGVQVGIATGQGKFIEPVREAQKALRIPWLKHVDAKGLPIANDYTHLTTPTQVKLDKMLAKAVTLRRCRRRRRR >KN538871.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538871.1:80972:81430:-1 gene:KN538871.1_FG028 transcript:KN538871.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFRKLGDLPLSRRHALDAVDILWDYDPSTTPKEVLQNATATLLLVIPESARFKEVFEPVIADWDSKEGIRLKEKIESMGLLHNWGMLSSVGMIGLPWDSSEVQGYVKKMSRDKVYINSKEDAVRPLKVLLMSKAMRPKELVIKRINDPQS >KN538871.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538871.1:13848:17578:-1 gene:KN538871.1_FG030 transcript:KN538871.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MCAETLELNLYNDKKAIAATGGGGRRGGTFLGKVKVAGASFSKAGDEADAAAEKKEAAEGGKEEKEKAPAAAAPAEEKKPEAPAEEKKAEEAKKEEKKPAEADKKEEKDDKKKSPEKGKKDGEKPKEEGKAKDETKKEVAPVPPSPSKAPPPSPSKMELAAAGVAGDLEIRPQSAAERSMAASAGNASYDLVFAFHKDSLTATSLEVTVHEEAKKPAAEGEATPPDTNLGYVSFDLHEVPKRSPPDSALAPQWYTLEGHANDGTAACDVMLAVWVGTQVDEAFQEAWQSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPAPPDAKAKPMGPAFPELYVKAQLGAQVFKTCRVALGSAAAGTSNPSWNEDLLFVAAEPFDPFLTVVVEDIFSGQPGGYHVLDEAANVARYVRAASKQLSKPPVGMLEVGIRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYRSADASGDAGKLPKDARIGKLRIRLSTLDTNRVYANTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDIAVVRSLNKSPYAFVSNH >KN538871.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538871.1:37480:39024:-1 gene:KN538871.1_FG032 transcript:KN538871.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEAPLAARGVEVVLPEDVLAEILRRLPPRSLAALRCVCTDWRSTIDSRRLLRADLLPLSLAGIFIDFWGLRFPDFFSRPPPTPISGVFDFFPLEEGPDIMDHCNGLFLLFSLLVVNPATQRWACLPPLPSHSTELDFRFLYDQGLIVFDPIVSPHYEVFMIPFVKPGQYCIERTDLVLKESEWPPSPLILHVFSSVAERWEERSFVREGDSAGTVAYAQRQCHLDKRGNFYWRGALYVNSYFLMRISISDGSYQVIHHPIEVYKSRPYVYFGKSEKGVYLASLTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLRLNRSKQVYGPWILRDINYHLYSQKFPGEWDLYDRNYDPSHFHSPNDEAPAENNFEWHSDDDDIVDNQCNSEERNSGDYLTFLGFHPYKEVVFMSSGSMKGFAYHLKSSKLHCLGNLYPKHYKHFAQHEHIRESFPYTPCWVDELPETSISVYNLCQD >KN538871.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538871.1:3219:6052:1 gene:KN538871.1_FG033 transcript:KN538871.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILASFAVVFLALAATSLAGDPDMLQDVCVADYKSLRGPTTTMRDNNIAKCWGVNLLIDYFNNIMVTRSCLSLFI >KN538871.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538871.1:48312:54827:-1 gene:KN538871.1_FG035 transcript:KN538871.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWPGPPPQPGQPAVVYTALHQGAYQPGVAQVVYWAPSPGAPSLGGIRAPPAPYQPAQEVEQKLFVTENALGPPAAAAAVSKKGPAHPGRPGYGAAGKKVMIHENNFLHNVVDNNLFHNDEMINGGTEEPRFCGGLIQMCNVENALRDVQRRTTELPVRYAQGSHKSEKIYSGTVLDSQIGLLGELDSNISATGLQHTHDLMKKMKFAASATPHPRRVLAVALAIMVAFAVGPMVALAKCEQQAHAVASLCGGTGIYARCCFALKRSLDGGDPLCLCSLANNREVAEMGLNSTRILSLYRKCEGNVFPVLPAGGCEEVPALSPSPPPLHGSVMPPPPPPATVEPVISAPMVEPPPPPAMITPLPPSTPVFTLPPPPPVTTAPSTALPAGASAAAPSTGVLRRIYQALIGLLCLVAGFLLVAVFVVVRKYWKPQLNNDVEMGSSNAADQSAIENAKKAAAEAQSAAAAAACAAAGSLATAQAASEAAQAASGAAQAATEEVVTVHANAAEQAVLFVEALGRAAQQINSFTITLGKLLQLVSQIIQAEIEAYPQLMKEGPMKMKLKPMKKENPSASITEGTQLSRDPDLPDEYIPAIFKCQRTKGAIVEISQKVQYLVPTACGATSYHL >AMDW01019196.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019196.1:1:252:1 gene:AMDW01019196.1_FG001 transcript:AMDW01019196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SDFDLSLRCSVSPALVRSPSGRVGAGAGLVHGCVLPRILPRRSGKKKKNKRNDQEVTSATGDSNGKNRPPPPTSLEFTAEPTGA >KN541127.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541127.1:12027:14584:1 gene:KN541127.1_FG001 transcript:KN541127.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGFNGRSLDTVVMTSGAVAYYYRLMQVCQLLVLCKLFYLPVYHDYASKSAYYGVEDDGGGGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGASRSDCGGVGGRKPEAGGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >KN541127.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541127.1:31067:32973:-1 gene:KN541127.1_FG002 transcript:KN541127.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGICPNLQFDEAQWIIRIKRILDEEIEVHDSQPISIFDVPKPLLCTKPEAYTPQLVALGPYHHCREELRDMEMYKLSAARRAQRHLPGKSFQQLVAVFATLEFEIRAYYHRHLGLSNDALAWMMAIDVSFLLEFLQTFSQDSSQRAALQRIPSRMSHLVDPSRRTSSHTMVLHDVVMLENQIPLFLLLRAMEMRGSTSRATAESVLSSILSGFFIEVSTLVATGSPCTDTTRHAHLLDFLYSNMAPCYVDDATEQADDDDDDDQSKHHMKSTLRSLTDLLIKRVTKFLSVLVDLGVRIILKLLTRIPCLSMIAQQLNSRPTQGQQPNEDFQNNKSGISPLLEEIAVPCVAELAYSGVRFVPANGGISTIEFCAEAATLRLPVIRVDVNSEVVLRNMVAFEASTGRRALVLARYVELMNGIIDTDEDARLLRESGVILNHLKSDREVAELWNGMARSVRLTRVPALDRVIDDLNRHHDSCWKVRINRFLKARVLGSRELVACITMALLILFMSLQAFCIARTKGAD >KN542072.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542072.1:8995:9369:-1 gene:KN542072.1_FG001 transcript:KN542072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAAAFFPAADGNSSSQARTVALFPSMNPQPPRRRLWQLKGKGKPAAALYARQEPEIGGEAVDLAKCAGVDVHAICFDSLSNARTGRQPGYSSMLPLIFPSRYHMDLDSIVASSHPFARHR >KN543601.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543601.1:4144:4947:-1 gene:KN543601.1_FG001 transcript:KN543601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASSAWGSGLGKKNTANCTPSNGDCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAIIKVPTVPIQLPFFVSCPWCNLLSLRIIYKGNLAFPRKNYFLLWMVEGMNGERARSRSAIHSEQQTTWLSSSSRASGNEGYSNPIRRPLPPPVETQSPSVNHANHGVPILNAERVQASLRKSLSFLVHLTAKFPLVFIFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPCLDWLVREIFA >KN541657.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541657.1:16726:19032:-1 gene:KN541657.1_FG001 transcript:KN541657.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPISDALQWGEEWQLRLLVLGSLVFQCFLLITAPFRKFPIRSYFKPFIWFAHLGCDALAIYALATLFNRHRKHDAAGHAHGNDILEVLWAPILLIHLGGQDGITAYNIEDNELWMRHLLTSLSQITIAIYVFCKSWPGDDKRLLQAAILLFVPGVLKCLEKPLALNSASINSLVSTAEHAKRTTKRQGKIDRLEDFVEMAKRCCGGNGGQGIPGFALEYNPFELFVDLASPSSGYRLENLLSFSALSQDEAYCLLQNNLSDTFNILYTKEKLFPTILNFPPTHQNDENTQPYTSLVNSVQKTVHRPMLSTFKVFCAAMLRVAVFLNFVAIGLFHHCHRKAYNDKDVKVTYTLLCCTAVLEFYNPSTKVYANSLRTDVLHRSSILTTLCSWIKPCMPNMNCISKTSERPTETYQYMDDKIFQYNLFKYFIRNRKHSKMMNIAGFLGCKDYLDQQWRMNSCSSSRRITYLVLGHVKLWWRDHITDVSAYRKFNDIRGQWTLQFEGCFQQLGWSLEGAFDESVLLWHIATNFCYHHIRGSYDSEHAAIRGSYDCEHAAMMCIHGSSYLNNRFPTWCGKCLHHKNAVQCQEMSNYMMYLLFVNPVMLMAGTRRNLFKDAYNQLKSIMKDSNTPLNENDLMQTIIAKMKQPLETSNERGFIDDAWSIAEELIKLEDTEKMWRVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLTYVWLLLHYMGMETLAEKLARAELPNGARSSDSSTTHVGASSSKEQVAGASTSYAS >KN541657.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541657.1:23275:24178:1 gene:KN541657.1_FG002 transcript:KN541657.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEFERRWADFKENGGTGNGQWIALMYRLREKWAAAYTDGKYLLAVVFKKVRAQIRLIAGLEVISGTNQDGSPLYVVGLKDDNEVWDKVRVTFRGQALEGVECHCRKMECEDIPCSHIFVVLKFLGFDTTPCCCVVDRWTMGAKAAFRSYRNTDPNVWSEHMVRYRSLRNLGSDAFFEAARNPEQTEKAMDFLKGILDKGSSSHENIMAGDFGPMPTHFLSSNQPLDK >AMDW01037058.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037058.1:352:593:-1 gene:AMDW01037058.1_FG001 transcript:AMDW01037058.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FEATRGPRLRFGMSGRKETVLDLAKFVDKGVQVKLTGGRQ >AMDW01046878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046878.1:6:263:-1 gene:AMDW01046878.1_FG001 transcript:AMDW01046878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PASRKAFGEGEPAKALAAYHANGKTHGADTHRDALKGAAEAWARHHLKRPGQSSLMLAYTRDDVHKLNAAARKFRVDRGELAPSGL >AMDW01062484.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01062484.1:166:1164:1 gene:AMDW01062484.1_FG001 transcript:AMDW01062484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLRRSPRIKELNEKVQVNNDQVPATKPIVLQDSNTRKRKGKLPIGQAREENAQRTPPHQLNLQSSRQMNIGGSAYMSHLLGINPMQVHDQFGFSSASFGQSNSQPQNQATYSGILPREGQTTAYLYYPFLSSFTPDASTPSGSISKQGALYCSLTSMSKNLYLIFLLMET >AMDW01040019.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040019.1:94:567:1 gene:AMDW01040019.1_FG001 transcript:AMDW01040019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QPTTTVASTLKEEEEEDAESLYRRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSNTDKKLGALNIRSPDPEARPDVLIPPPPAKHAERERFFREKSMDANLLGHLRGLSLHSRDGSSSCSGSTDYGD >KN541814.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541814.1:13438:19411:1 gene:KN541814.1_FG001 transcript:KN541814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGRSERDGREQDDDYEQQQARVLMALMQGFCAARYRKADNIPCPIVQGLYLGSVGAAMNKDALKSLNITHILIVARSLNPAFAAEFNYKKIEVLDSPDIDLAKHFDECFSFIDESISSGGNVLVHCFAGRSRSVTIIVAYLMKKHQMSLENALSLVRSKRPQVAPNEGFMSQLENFEKSMQGNMI >KN541421.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541421.1:11387:21004:1 gene:KN541421.1_FG001 transcript:KN541421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFMKEWRRGRREASLLDPVVVEAAPDSLDGLMAEMDTMLASYDRLDMEAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSMHCLTLRLAEEFAVNSAARSPVPLPEHAPRLADASYLHVAIVTDNVLAAAVAVASAVRSSAEPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVKGLHQFDWRDGGAIASVMRTIEEVQRSSMEYHQCDESVVREYRRLEASKPSTFSLLNYLKIHLPEFFPELGRVILLDDDVVVRKDLTGLWEQDLGENIIGAVGGHNPGEDGVVCIEKTLGDHLNFTDPEVSNNRESGFRLWKMGSLPPALIAFDGRVQAVEPRWHLRGLGWHTPDGEQLQRSAVLHFSGPRKPWLEVAFPELRELWLGHLNRSATAERGAFAMSRLRALWQSSVNATRRAIVWNSEDLIPPSEKYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGGAGGDTSSTGLFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYLPQDRWQIMKIPLDSYLPTWRGNVIEAKMEMNPARVVGMSLSVNAEGGVPGAKTGPGFLRAGNFLPALFHVIIAEYHEAWRCVMQFVLLLVNTMGHRKEAAVQRR >KN541421.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541421.1:26199:27638:-1 gene:KN541421.1_FG002 transcript:KN541421.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAWLPRSPRQLALAPSPINLSPRRTSCGESYTPSKKMLRIEQQQLDKEEFQEADILWPDAAQDLDFPQMYYSLVDADEDDDEHRSVNQHGDRQKASSPIDIPARKVSSAGAKGARAPAGFSKFGQTLAGAGGGSFFVGSHVFVPPHVIVDHRRAKREKAMMMLVVPKGRARTMVMRE >KN541018.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541018.1:33029:36081:-1 gene:KN541018.1_FG001 transcript:KN541018.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FLGNNSLAGRLPDGISSSLKAIDFSYNQLTGSIPSWASQNNLQLNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGGGIYGPMISALRVTPNFTPTVRNGIPKSESKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKQYFVHAQNIHVTNLAGKTPLLVYEYLENGSLDQAIFGDSSLNLDWVTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKPYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYITEWQLRGGGNNGNTSNSYAGSSYQP >AMDW01040539.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040539.1:156:1624:1 gene:AMDW01040539.1_FG001 transcript:AMDW01040539.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRNTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALG >KN543357.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543357.1:6302:7030:-1 gene:KN543357.1_FG001 transcript:KN543357.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQFANEVIIQSQVIHKNIVRLIGCCLEVDVPILVYEFVSNGSLDDILHESAKGLAYMHSMTTTSIQHGDVKPANILLDDQFNPKISDFGISRLIARDNTERTNNVIGDKNYMDPVYRRAGLLTNKSDVYSFGLVLYEIITDKKAIYGDDNIFVRNNLDIYLTGIRANKMLFGKIAEAKYIEHLHSLVKISKTCLDNDVDQRPEMTDIAEHLQGIIRTRKYLK >AMDW01035689.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035689.1:45:437:-1 gene:AMDW01035689.1_FG001 transcript:AMDW01035689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTVCDAVPHVVYPVLFTAILYFMADLRRTVPCFCLTLLATLLIVLTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQ >AMDW01029772.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029772.1:4:385:-1 gene:AMDW01029772.1_FG001 transcript:AMDW01029772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEGYLALEGVYRNHGGNQEQTEGGDNFDDGDIVRDDTWVQSCSGNLHFYDYHVVYSFSYKVP >AMDW01025962.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025962.1:2:313:1 gene:AMDW01025962.1_FG001 transcript:AMDW01025962.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHEILKRKLPAILDVIADAEEQAAKHREGVKAWLEALRKVAYQANDVFDEFKYEALRRKAKAKGHYKMLGMDVIKLFPTHNRIVFRYRMCNKLSIILNAIE >AMDW01030768.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030768.1:113:275:-1 gene:AMDW01030768.1_FG001 transcript:AMDW01030768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SGGPGWGVLLGRLDGKTSDFNGSLNLPAPTDNLTVLRQKFAALNLNDVDLVALS >AMDW01036028.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036028.1:53:313:-1 gene:AMDW01036028.1_FG001 transcript:AMDW01036028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLAAVITVLALLGHVMGQGGYGPSPSPSPSPSASGGGLAVGYYDSVCPNAEEIVRGVVRNAVAQDAGVGAGLIRLLFHDCFVQ >AMDW01032845.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032845.1:188:442:1 gene:AMDW01032845.1_FG001 transcript:AMDW01032845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPAGAFGGNVGLCSAPLPPCKDEAQQPNASAAVNASATPPCPPAAAMVASSPSAKPAGAAMSGKGKMSRAAVVAIVAGDFAV >AMDW01026688.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026688.1:47:243:-1 gene:AMDW01026688.1_FG001 transcript:AMDW01026688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPM >KN541511.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541511.1:1400:1852:-1 gene:KN541511.1_FG001 transcript:KN541511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSPEQDICYICGDDDHMEHFCPYNYMFGRYFSDTCRGECPPQEHRITSRDHREFLRRFLRVTNLPPGFGVWDLEDLFSPFGALLMWDVPEFRNYRCGCTSTTRIHMSFGFVVFKRREDGERAVDELNGYQAGDRRLRVDWVYPSCV >KN541511.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541511.1:25862:26308:-1 gene:KN541511.1_FG002 transcript:KN541511.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKSPEQDICYICGDDDHMEHFCPYNYMFGRYFSDTCRGECPPQEHRITSRDHREFLQRFLRVTNLPPGFGVWDLEDLFSPFGALLMWNVPKFTNYLCGCTTGIHMSFGFVVFKRRKDGERAVDELNGYQAGDRRLRVDWVYPSCM >KN541511.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541511.1:19304:23303:1 gene:KN541511.1_FG003 transcript:KN541511.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARIRGEEGIGKNSCNKTWRKKISKKQSAQQGGVNQPLVVPQFFKFHKFMRLFSLQEMLFLRRRKSKLEQPQEEVEFFSEEEGCSSTCFWRDPGTEFCNICGDDKGIHLELMCPYNYLSPAAYFPCRARLALWGNYTTTLRCKCSRHIEEEQSEPPMHDEANARRLRFLRCLVRVNNLPELCPPEQLVELFGRFGPLRMWYVATRGSGGTCKGFGCVVFQRHRHAEEAVEALNCWEFGGRKLRVDWAYPCLN >KN541511.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541511.1:6374:13561:-1 gene:KN541511.1_FG004 transcript:KN541511.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVADTEEAGSSGEESPLQATVVEKHEAESTKVDSPAEVTVAEILEVEGKEEESLKLIRNLLWIAVHKIWYNRGLFNEKYFTDVFVPDLGMKIKKLMPIDVESSRLVDWMKGVCDSSINKYLKIVLFCICEKEEGMVIEEYAFSFNYTNAISDDEVAIKMSCSTTSNTSEVTLDQIRCSACKMIDTLVSLMRNLDPVPEERTILMKISYNADVTPEDNDGPFIKSRDNNETTSTWNKNPLKMEVGNVYSKELVLSLKLKSVLYRCDETNINTEDVDIGVDIESKQDDDFSDTEVQPFEAYHIVAPNDGVDEQELTAQVKEWMCSREIEAFNVSDVLSTLPDISIKEMVKANAVKGLCLQETKPGIPSFILISDKLMTRIPDLAIDNTDENDVFQNKLLEGSLDRDKMAYVLTFFNGSVLERTGDQLEENKGDTYDIALGEWILDSGCYNHITHEQSFLNKKWKMKRGKKLNIAGSGLLTCKYKGNITNGDIRLKDVYLCQESRENLISVPQLDVIGYKFLFSGDHCHITYKKEKDLVGVAHRDGGNLNYYVEFLHSKE >KN539585.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539585.1:19803:20198:1 gene:KN539585.1_FG001 transcript:KN539585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATAINLSKESELQIVLAGIPKNGHGGVIAGRPPVSLSGGGGAVVVRAPTVRVKVNRLVVIVPSALRARSRAAKMVDAASPPVKRGSYWRIAGRGRGGDKSELFYQRPIPLGRRCRVQHLEEVTLSDN >KN539585.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539585.1:65128:65580:1 gene:KN539585.1_FG002 transcript:KN539585.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPLKVAVLAAICVVLVVLSSSPAAVAQIIIQCPAGLEREVASTADQPSYMYMRIYMRIPLLLVTRHT >KN539585.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539585.1:62244:62733:-1 gene:KN539585.1_FG003 transcript:KN539585.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVADSETFYHTSLVNLMWNDPSARLIKSLRMIQRFGPEIHRNLHGRIKATGVVGSDLWRLWYSGILSVWTFIEGWFMYMKSRSVDDMYLFGSTRWPNN >KN539585.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539585.1:47417:48787:1 gene:KN539585.1_FG004 transcript:KN539585.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMAVSTLLLLAAASLLSLQLLHPPPLQFGKFSYQLLAPEATDDGSADSVIRFGDDAVPKTKRGRSTPLLSSTLYPDFYYVNLTGVRVDGNRLDAIPAGTFDLRANGTGGVILSSTTPVTYLEQAAYDVVRAAVASRIGLPAVNGSAALELDLCYNASSMAKVKVPKLTLVFDGGADMDLSAANYFYIDNDTGLECLTMLPSQGGSVLGTLLQTGTNMIYDVDAGRLTFETAPAAAAAVSLMTMMLVPLVASLLLF >KN539585.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539585.1:68616:68870:-1 gene:KN539585.1_FG005 transcript:KN539585.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MENVADSETVDHQLVNLILNDPGVRLIKNLRMIQRFGLEVHRSKILGPVDPSMRIQELNFWDFQKFRYESYEISPCPIPNASHV >AMDW01019591.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019591.1:33:281:-1 gene:AMDW01019591.1_FG001 transcript:AMDW01019591.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVPDGYTGNVVLWARPTATARELVTMPLQHAVGLINRAVARINDGYFKSFVDFASSGAVEAERLVSSADAAEMVLSPNIE >AMDW01040920.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040920.1:178:3794:-1 gene:AMDW01040920.1_FG001 transcript:AMDW01040920.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGNKGQPNRFSSMFVGTQDKCVVCNKTVYPLEKVNLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSNFEDNTPNAKVDIEKQPEHEDATKNPGGPGQGDGLTEKPLESELTPEKPSQDDIVAEKQSQSSVDVPKQSESTTTVQRSEEGERVSKDKPFLSNTTTEKSTPSSAAIEESLPSNGVDAKQPESSTSSTVVKRPWQRRVASENLPQSISPSDKPSSASADNAKPSENSKLIRKPWQRAVAAEAQIQNSGPTEKPSFTNDTKPSESTTSIKRPWERKVVNEKPLQNNIDTEKPLQNNTDTEKSSSSAIDVKLAETSTTPTVPQEHSGITEKPSQTSADDVKPSESTAAVVKKQWQRNIGFQKQPQSSVTDAKTPESRGIGKRLWQRNVPTEKQSQSGASVVTPSQVSVADTNPLQSSVVVKKPWQRSVSREKEPEKDISSNKPLQNRVLAEEAQKTNVTADNKSQIIQDKKNNGATAENASQISESSKILPRTANKLQADTSTEKLSESDILALASSHITEPSKKPSENTAENEKPSQTDIATEKLPLTQSVEAMSEPSPSDAAHQEISEREILTEKLPESAMAVEKLSQTATLTEKPSKKDAAEKLPQTNEPSEQPQESEETAEKPLQNEANAESTTKQSDLCLEKPPQVDANVGNPTEPESDATSGVNSSDAQIRPTAEQLVGPQGIVSAEKTSDQILEANTDPAADQSSESQDVAPAKVATEQPLENQKAAASEQPLEPQHEAYEENPQEHNSDATAKESSEPERDTASDQLAEQPSESRTAGEKATLRESDVVTEDPAEPQIDVASE >KN539178.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539178.1:81463:82257:1 gene:KN539178.1_FG001 transcript:KN539178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVDFSGNDFGMNIKRAELEASGFLKDDGLLVRCELGFVNSAGDGDGRRGVQIKEGVKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQVTRLDDDFRLLTTTCPSVIKELFAQVLK >KN539178.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539178.1:129297:130612:1 gene:KN539178.1_FG002 transcript:KN539178.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >KN539178.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539178.1:31264:40793:-1 gene:KN539178.1_FG003 transcript:KN539178.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLRLAFRLLCCYCLLLAAAHPSSRRLLPLLVVSAAERTNVASLPGLDGALPSRFETGYVTVDEENGGELFYYFVESEGDPGADPVLLWINGGNRCSVLSALFFEIGENLNHRRSPAGAPPLTRLCAAGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLIKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLVQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNAICAQALNRFSELLGEVSEAHILYKKCIYVSPKPDDGTIGRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATVKFIGQGGSSGDGSGLGDHGSSSGGNNGKGGGGDGDGGDNDYEEAEFGPLLGFDEVLRLTAACGVSLPADMMGAAKDASIREVMLLRHFDLQAAPWPLAAMIRASFLFKFGTKVVIDSCCATFAEVQKRGEDFWAVFELYDPWTDMHATGFMDTAITPSFHSLFLHSSLDGIFVIPKE >KN539178.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539178.1:84017:84675:1 gene:KN539178.1_FG004 transcript:KN539178.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEAAAKQFRVFIQETKAKAEEAYQLAVLIQKAAAGGGSDVAAALEVCKKAAEATAAGGASSDAAATSEICKAADVMVKEVAARADLIQEGSAEEEAYRPPVLIPAATARDFGGSMRGLTQSCYMSVSVTNVEVMRVSWVLHFVLCKIWHGVRRGL >KN539178.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539178.1:96420:96711:-1 gene:KN539178.1_FG005 transcript:KN539178.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVSLTATKEAEANMGKANQEVDVDDDNKVIEDYEFADVDVKLTLMPTLFKV >KN539178.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539178.1:17559:19027:1 gene:KN539178.1_FG006 transcript:KN539178.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKRSSQQALTEGAVCHKRSAEECGVGGQRWEPLQQRVDPLSPGTLMGRSGPNDLVWARPSGGSKRVGRGGAKGITFGEIPLYVATKMSPVQGNSPFIPSPEEYAKAAVRCIGYEPRCVPYWRHSIQWFFASLLPDSVLNLWRLQVGIRKRNQMKVLLGESDHGFS >KN543518.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543518.1:305:964:-1 gene:KN543518.1_FG001 transcript:KN543518.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMKHLFLLLLPLIMIFLPRIACDAPQLVYDARGEELSSEHKYYIFPVNNRFGGGALSIIYAGNTHCEHFVFHRTDEALQGAKVRFTSLHNSTVSDVVRLSTDVQIDFVDIIWSCNHPSTWYISNFQPKLLPMPPSSSTSKLQYVVANANIEPNYPSSAKVFRIERYSDDDNMTYKLLACSTQGKPCKYLGFQVFGDNKFLVATDEPLAVQIVKEYT >KN539178.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539178.1:132883:136129:-1 gene:KN539178.1_FG007 transcript:KN539178.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPVLPRLVVFDLDHTLWPFQCPLSKNRLSCACDVALFELVFGFPHSANGQWDWHSGNSDRLPKDEPPYLYPQARGILKALKDRGIEMAIASRASRKKGVAKAFLEKLGIHFMFGAQEIFYTWSPKSEHFQSIHRKTGVPFKSMLFFDDEVRNIIATRKLGVSCVLVEKGITLEKLRTGLSNYANGSASPNAEPANGRRAEITSYLDVATG >KN539178.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539178.1:92965:94998:1 gene:KN539178.1_FG008 transcript:KN539178.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLEGDGAVVRRSIGRAELRSLDPFLLLDDFTGFETVTYMLEGAITHQDFTGNKGTIRAGDIQWMTAGRGIVHSEMPAGTDVSRGLQLWVNLSSNDKMMEPRYQELHGHGKDMPQVEKNGVSVRVIAGEAMGLRSPAFTRTPTMYLDFTLMAGATLHQPVPASWNAFVLVVDGEGVFGGGGDRPHAATARDMLVLGPGDGVVVSNNGDGRPSSAAAAGGALRFVLVAGEPLNEPVVKRGLFVMNTREEIEEAMEDYRLCRNGFEMAKHWTSTPTR >KN539178.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539178.1:52843:54711:1 gene:KN539178.1_FG009 transcript:KN539178.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g35030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G35030) UniProtKB/Swiss-Prot;Acc:O64766] MAALAAPALRRLRSPRLCLAATVNCISNNAAPDASAGVNHVQDSNWRVAELAAAGRVSDARRLFDGMPDRDVVSWTAMVAAYARRGMLREARVLFDRPDARRNVVTWTALLSGYARARRVDEAEALFEGMPERNVVSWNTMLEAYTAVGRVEDASALFNRMPVRDAGSWNILLCGLVRSGSLERARKMFERMPVRDVMSWTTMISGLARNGSVDDARVLFDAMPERNVVSWNAMISGYARNHRIEEALDLFTKMPIRDVASWNIMITGFIQNKDLKSARQLFDEMPKRNVITWTTMMNGYLQCMQSEMALKLFNCMLVQGIQPNQVTFLGSLDACSNLAALCEGQQVHQMICKTPSQFDTFVESTLMNLYAKCGEIRLARNVFDFSMEKDLISWNGIIAAYAHHGFGKEAMHLYKNMQENGYKPNDATYVGLLSACSHAGLVDEGLKIFESMVKDNSIVVRDEHYTCLVDLCSRAGRLEDAKRLISWFKIKPTSSTVWSALLGGCNSHGNESIGDLAAKHLLEAEPDNAGTYTLLCNIYASAGKWKEAAEIRSEMNVRGLKKQPGCSWIEVANKVHVFVSRDKSHSESDLINDLLQDIHRIMRMAGTVPRDHMLIDVELVGI >KN539178.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539178.1:2699:3573:-1 gene:KN539178.1_FG010 transcript:KN539178.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKRVAAACALLVWAAEFTGEEAGVAGSGRAGLLAGRCDGLACREWPWRVKFNEEYNVE >KN543518.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543518.1:3145:4065:-1 gene:KN543518.1_FG002 transcript:KN543518.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSCAHVVGVPVTSKAYAIEEATTARDGGKKVDGDRLAVSLTHPSPYTSFGYKHSSKLQVIHWVNKLGRRAQGFRDHVTLGPKLSETVRGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSNRKIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENADKPEQKYIHVATVDAFEFWFMGFVSYQRCCKYMQQVISEL >KN543518.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543518.1:1300:1938:-1 gene:KN543518.1_FG003 transcript:KN543518.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLFLLLLPLIMIFLPCIACDAPQLVYDAGGEELSSEHKYYIFPVNNRSGGGALSIIYAGNTHCEHFVFHRTDEALQGAKVRFTSLHNSTVSDVVRLSTDVQIDFVDIIWSCNHPSTWYISNFQPKLLPMPPSSSTSKLQYVVANANIEPNYPSSAKVFRIERYSDDDNMTYKLLACSTQGKPCKYLGFQVFGDNKFLVATDEPLIIGHDE >KN539178.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539178.1:102809:116508:1 gene:KN539178.1_FG011 transcript:KN539178.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQRAPGAPRPASNPNAPKLPEPASALSGKRLDLHRRILALVREDDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLLLQAYCDCRRPDTALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDQAIELKDGMLERGLVAPDPQVYALVMGGFVNAGDGDTVVSLYEELVEKLGVGQILDGMVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGKLDDALQLFDRMCEEHDPPRRIAVNLGSFNVMVDAYCRAERFHDAIEVFGKMGEKRCAPDALSYNNLIDWLGKNELVGEAEQLYKEMGERGVNPDEYTYVLLIESCFKVDRMAKGILLDESVVFSDELKALLEGALQKDGRDGDMTKLYEDVEREKAEAAARAAEEKARAESLAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKKEGENDDSTVNVEEAQVVESHSDTNDITEENEGDDQKKSGDALP >KN539178.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539178.1:67329:79255:1 gene:KN539178.1_FG012 transcript:KN539178.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGGGEEESAAGAVASMVKVKNWVNGTEGTTVVGLSARFGASVPRDIHEAQKTFAVLANPLDCCSNSTSKLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKLVTGLCFTDRFVPFRAKQDGPNSGTTNREDKEIFEISAKGAIVFILVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWFRGELHDLWNYGRSQTENLVDEP >AMDW01018044.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018044.1:28:181:1 gene:AMDW01018044.1_FG001 transcript:AMDW01018044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGRRKLDLARVSPGDGRSREEVLGEPLTAAEVRDLVKPHISHNRQLNI >KN543281.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543281.1:3227:9267:1 gene:KN543281.1_FG001 transcript:KN543281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSSSSLRRLLLLLLLPLIPLLAATTAAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYVPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGGMEQTYGAGAKPYVMWAASMALAQNTGVPWIMCEQYDAPDPVINTCNSFYCDQFKPNSPTKPKIWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSIQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLCEHTLLYGNSSFLSLGPQQEADVYTDHSGGCVAFLSNVDSGKDKVVTFQSRSYDLPAWSVSILPDCKNVVFNTAKVRSQTLIMDMVPANLQASKLDGWSIFREKIGIWDKSDFVQNGFVDHINTTKDSTDYLWYTTSFDVDGSHSAGVNHVLHIDSKGHAVQAFLNNEFIGSAYGNGSKSSFSVEMPINLRAGKNELSLLSMTVGLQNAGPHYEWAGAGLTSVKISGMENRIINLSSSNWAYKIGLEGEHYSLFMPDQGKNGRWMPQSEPPKNQPLTWYKINIDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRTSPVSDRCASSCDYRGTFSPNKCRTGCGQATQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVAIPYQGALGWAHRR >KN541991.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541991.1:14453:14614:1 gene:KN541991.1_FG001 transcript:KN541991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKDGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPTRTGDDHLYRLS >KN539335.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539335.1:83544:83771:1 gene:KN539335.1_FG001 transcript:KN539335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSLKGRARVEAEQEEEEEEEAAAATTIIDLEPEVQEDGIGGEKGFTGINLNESVELSELGFRDDEIELFTAMS >KN539335.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539335.1:42745:43383:1 gene:KN539335.1_FG002 transcript:KN539335.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAAPMDYFGNMVLWAFPRMQVRDLLSATYAAVVGVIRDAVARVDDEYIQSFVDFGELSAGEVLAATAADIGTAFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGVLIFVPSSAAKGGVEMFMALDDEHVEAFRQICYSMD >KN539335.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539335.1:89482:90750:1 gene:KN539335.1_FG003 transcript:KN539335.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGSKESQNYDSNNQKVHPQPIDENMNQNMGSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQAADQLVIEELTKLSELKHAYREKNPKPVAATPQDARLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDDEDNYFSIELTPSLFTSAVDNAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQRMFGGFQEESFSVKAANITVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSDFSEIHMESVVKNIILDEGAERPKVGLMVMPGFLIGTSVIQSRVYLSGVKSAD >KN539335.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539335.1:108139:112706:1 gene:KN539335.1_FG004 transcript:KN539335.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRRKLNSVFRQELERRKVKMATGEGGDGGDGDDGDLMSGLMHVEDEQGRRLGDDEVVDNIVSLVIAGYESTASAIMWATYHLAKSPSALAKLRENLAIAKEKNGDGFITLEDVSKMKYTAKSSIYAGYTIPKGWKVIVWIRSLHVDPAYYDNPLSFNPDRWDKNLE >KN539335.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539335.1:26017:29835:1 gene:KN539335.1_FG005 transcript:KN539335.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITRSEVLRPAPASAGGGGSFVDFGAVAGGDELAPTAAEPGTAFCPDLEVDSWIGFRFHDLDFGGGPPCAFLPPDVPIDGLLIFVPSCAAKGGVEMFMALDDVHVEALRQICYSMD >KN539335.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539335.1:91812:94578:-1 gene:KN539335.1_FG006 transcript:KN539335.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTTVPSIVVYVTVPNKEAGKRLAGSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTRES >KN539335.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539335.1:11254:11643:1 gene:KN539335.1_FG007 transcript:KN539335.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEITRSEVLRPSETSAAGGGGKRSPLTVFDRAATDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHINELYPKADMVDT >KN539335.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539335.1:49180:51261:1 gene:KN539335.1_FG008 transcript:KN539335.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGMDDRHMDGLKGDDDDQLSPGEANISVDADATTLPTPNSDNQPSARRAECWRHFEVFTEMVDSKIIPRAQCKYCDQILSAVTSLGTGMIVLDKLLNKICRSVRIIGGNTVVKARFQDYCKAKKKPARMFGIDVKHSWNTTYLLLQQLKGYEELISVFINSMHVKMKDTDDDGDGEIFILTDQDWEIATHLYRVFRLYRTKLGGTPRVPEQTSQKKASKSSAVNLWKQYIGNDQASPSSENKSTWNPDLELNHYLITNHTEHDPTLDGNDVDLLGRWKEKERTLPVLAHFAQDILLVPASSVSSEQAFSVTGRIVEERRSRLTLETVESIF >KN539335.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539335.1:68818:69644:-1 gene:KN539335.1_FG009 transcript:KN539335.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRASSGWTAFAFAATIPNCEKWNPDGNAGMLSDTEYGICAPSCSYAADTDTDRGAYTGAGAKLSRGYPFHYTSTALMCVMSGAQSAAFALCLAFGGLLPRDAGGAVVGLDTAPFSASQQFTI >KN543163.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543163.1:2145:7194:1 gene:KN543163.1_FG001 transcript:KN543163.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVGWQASKWGNDLDDDGTRGRRSGSRVAEITLKGLAWRTPVPSECGAGHGDDEQHQPDIGTHLCSPAAGVNVSGGGGSSSVFTRALHAAQARKMHQRKQLPGSAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSGFGDQQKELVKQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSRHEICLTCEDVSLLLGIPCGRKEILPAIKNEVKDVKAYMCEIFEKDSFDGLTIVTIQRILEKKFNRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHDIKDAAAALQHKIRHRKSIGYINGCIILPQLFYLDNLDFGTDTPEQENISRIGVYNDSMIAEFIERDVILKNRNPFPAYGKMKLRNKHDEKYNLGHHTGAIEAIHVDRTYDARSDIEPPSFNLGITQDIEEANMVACTPGHDISNVAEDSDKEQELNFLARTPDQPISKSVDASDKSGEASIAYAEALMKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLAADEIQRFGGTDFVGWRHFLNQDFAMFATAGDDQWNPEDHLPSFKDDSLIPYDVPSCHLIFIPLLQPLHYSLYAFDMEKKKLCILDPLRDASKRSEDTAERHLKTKFHISSALKECMKLAFPDWDEDIPNWVSEFPSAITAINNRFQFMLPI >KN543163.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543163.1:8405:9502:-1 gene:KN543163.1_FG002 transcript:KN543163.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EKVSTLTSSPIEKHASRVYTRGAFSRFKEQFKLSFSFMVYHTSDQHVLQLVHIGDDTLQSWGSKEFKVQVDLTEQDLSCGCKLFEHLGIICSHIIRVMVQYGFTEIPKKYILKRWTKDARDSIPKHLEESYLKDKEAASSRTYRNTLLHKSALDMVRLGGTSSETYEKTVEVLTKLIGELQVMCTSQVVNNKEIHCGDRTIGKKPTGVQLDDSVDSSDSEHGMSDEFCVADEDGIGQDVSAGEDSVDVDMTDVNEEDILPPEVRRSRGRPRSTRLMSKGETSSKAKKKKASESTSKDESKNHAKGKKESTKQIRYCKQCGGHGHYKSTCGRKSSYERKKCV >KN540965.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540965.1:8647:14358:-1 gene:KN540965.1_FG001 transcript:KN540965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRSFSSQGRVRRAKNGRAQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTACVLAREIIKLGLLSVTSGANPVSLKKGIDKTVHGLIEELEKKARPVKGSGDIKAVASISAGNDELIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDTEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTTVPAIKETIEDHDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDGEWEVGYNAMNDKIKHIAVLKERDLTQITTTTPRQRNGVLPLLSHERKPTIGLVPPLRGPTTLSHVVTPLPRDCAEQR >KN541889.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541889.1:74:2461:1 gene:KN541889.1_FG001 transcript:KN541889.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KTLPPDMGDKLPNLVQLGLSRNMFEGAIPASLGRSSGLQILDLSFNNFAGQVPSTFGNLSELSRLNLQSNKLVASGSESWKFLDALGNCSSLQLLSLRENQLQGIIPDSIGNLSPDIWQIFLDGNQLWGDVPHSIGNLHGLNSLGLSANSLTGRIEWIANMTELQGLLIGSNSFSGPIPSSIGNLISLNQLYLGVNEFEGFLPPSLGNLSELSILDLGYNKLQGNISLVGNFKQLVNLSLSSNKFSGEIPDSLGQCQQLINLTMDRNFLTGEIPISLGNLVGLNLLDLSHNNLSGTIPTTLTALQSLRRLDLSFNKLQGPVPQNGVFGNASAVSLDGNLGLCGGVRDLQMLSCTAIPQKVGRRYNLIKVLIPIFGFMSLILLVYFLVLLVNKMSRKTYSPETAFGAHFPKVSYSDLAQATTNFSETNLVGRGSYGSVYRGKLKESELVVAVKVFDLEMPGAERSFLSECEALRSIQHRNLLPIITACSTVDNSGNVFKALVYEFMPNGNLDTWLHDKGDGKSTKHLGLNQRISIAVNLADALDYLHHDCGRPTIHCDLKPSNILLNDDMTAVLGDFGIARFYLDSISSSTCSSSSIGIKGTIGYIAPEYAGGGRPSTYGDVYSFGIVLLEMMTGKRPTDPVFKEGVNIINFVQSNLPHQVFAAIDHHLLEECGDLSEASMVSENAIYQCLVSLLRVALSCSCPLPNERLNMKQVATKIHEIKTSYLAWKTKK >KN540965.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540965.1:21851:25747:1 gene:KN540965.1_FG002 transcript:KN540965.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTIESGATFEREAILKWFKDNGSGGRRLVCPVTNKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSDCSEGDILQALEYVAEICQRSRSSRHLVRKVGLISLITDLLKNSSPKVRQKALGSLRFVAKDDNDNKNEIAAGDNIRTIVKFLNHGHSQEKEQAVSLLYELSEYKPLSEKIGSVSGAILILVGLSSSKVENLLTVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMAAHLGELVLSNDVKVLVAQTAGSTLVNIMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASVDAIKSSGATVSLIQFVEAPQREVRLASIKLLNNISPFMGQELAEAYRGNFSQLSSLVRVIADNNGISEEQAAAAGLIADLPPRDSVLTRRLLQDGAYSTIITKVTMVRQGDIRGGRFVNPFLEGLVRVVSRITFILDDDPDIIAVARDYNLTPLFTDLLQMNGLDTVQIVSATALENLSRQSKLLTRIVPAPNPGFCFSIFPCLSQKSVATGACRVHVGICSARESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVMVLCDAEGVNPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAA >KN541889.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541889.1:17589:18858:-1 gene:KN541889.1_FG002 transcript:KN541889.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFKLPLMLLLLLFCIATTTSNAARPGVLPHGIIQRPASHTLRPQDFPNERLYRAYLVIQRFKGTITADPKNITLTWTGQDICGQKTYVGFYCAKPLGQDKDLTVTAVVLNGFGLRAPKLQGFVDQLPDLALFHAASNDFGSDIPLLDNLPYQYQFSIGNALLPQQYKVLNGKGANTFSSGCVTATINFNFNIGIDTKKGGTIPGITDAKALLLNYNNLSGNLPANIGSSKLSYLALANNKLSGPIPPSIAHAQDTLLEDGVLDVKHNCIPGFANQRRPVECASFLSQPKICPASTDQVTCPAVDDNNTVEPEERKGRDYSSYVTYATLHK >AMDW01017869.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017869.1:7:264:1 gene:AMDW01017869.1_FG001 transcript:AMDW01017869.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRNAYGRALAEESPAPTADVVIPVPDSGFYAALGFSQTSGLEFQQGLI >KN540810.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540810.1:7961:11293:1 gene:KN540810.1_FG001 transcript:KN540810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRWVEEYGRSSSPSSNLQCFLDCTTPAVDTHLLPKANGRFSTDSWHHAEMDSIEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYYVPYLSGIQLYTNKAQTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAISGNRKRHGHMYFEFFEVCSPYGRIPLIDKVYELSQSYPGLTSLRSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDYALESMANGKRNDETEKKASKTQLAPFGLAAHKLQGSLWTNPRTGDRDRMVSLFGAADSWLKQLGVQHHDYNYFITHPM >KN540810.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540810.1:31998:36756:-1 gene:KN540810.1_FG002 transcript:KN540810.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKIPDYTAKHLRHGNCNSARYQNETTSPTANILKQHRLTNGPVELQMDPITTSDDHDDDELIIDRRGWMNWRTPEEMRKRMVQEEQEELEDEARWRNKLKEEA >KN540810.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540810.1:21418:21885:-1 gene:KN540810.1_FG003 transcript:KN540810.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARDEVQAGIAKLNSPEKNGSSKKKKEKMRHKHKPKPKPDEVKEEMVVDALPKEEERGKRKKKKLVTAKLSDELMGYLRTKEVMALPRARDASPRPLPIDPGVAQHMFVDQELRQEIAAQVHENSEFDAFVLYQYRTKGYAEIQQEVTDDDDDDD >KN540810.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540810.1:12379:17812:-1 gene:KN540810.1_FG004 transcript:KN540810.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPFKLDIDELLADYTEANCTAFVDFKRLWMAKKFSYIYEGRPKTNSGTFMQSLFLHCIGHMTSQSCMPQRLAGLYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGAIGVGGLVLGVVGLLLLEVPALSVEGNDTAIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNHDPALNGHLQELTLNDVLALLYTSIFGSAISYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPVQLGGALLTLVAIYMVNYKSIVGEK >AMDW01021880.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021880.1:9:129:-1 gene:AMDW01021880.1_FG001 transcript:AMDW01021880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPEGKVPLFWGITADGSVAFSNDIDLLKGSCGKSLAPFPQ >AMDW01037528.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037528.1:182:633:-1 gene:AMDW01037528.1_FG001 transcript:AMDW01037528.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QAVTAITKDGATKLYTIAVKDGHPLALDLSGELVWSTCDASHSTVLPYERDCATRRRRGGGGGRAGSSHGWSINFGICRPNLFLHWLLRSSSRADLYRHRRPALEFIVLATAGVAEEEEETPKPAVASLRLGDV >AMDW01040548.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040548.1:264:1016:1 gene:AMDW01040548.1_FG001 transcript:AMDW01040548.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YQKLLEAYKNTTPPPKTPADAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKYGN >KN541904.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541904.1:11831:14716:1 gene:KN541904.1_FG001 transcript:KN541904.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAIHIAVVAMLVSLTALAIADESDNNKREALLCIKSHLSSPEGGAALATWNNTTPDMCTWRGVTCSSELRKPRLVVALDMEAQGLTGEIPPCISNLSSLARIHLPNNGLSGGLASAADVAGLRYLNLSFNAIGGAIPKRLGTLRNLSSLDLTNNNIHGEIPPLLGSSSALESVGLADNYLTGGIPLFLANGSSLRYLSLKNNSLYGSIPAALFNSSTIREIYLGENNLSGAIPPVTIFPSQITNLDLTTNSLTGGIPPSLGNLSSLTALLAAENQLQGSIPDFSKLSALRYLDLSYNNLSGTVNPSVYNMSSITFLGLANNNLEGIMPPGIGNTLPNIQVLMMSDNHFHGEIPKSLANASNMQFLYLANNSLRGVIPSFGLMTDLRVVMLYSNQLEAGDWAFLSSLKNCSNLLKLHFGENNLRGDMPSSVADLPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLGNNLLTGSIPHTLGQLNNLVVLSLSQNIFSGEIPQSIGNLNRLTELYLSENQLTGRIPATLSRCQQLLALNLSSNALTGSISGDMFIKLNQLSWLLDLSHNQFINSIPLELGSLINLASLNISHNKLTGRIPSTLGSCVRLESLRVGGNFLEGSIPQSLANLRGTKVLDFSQNNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVGGIFADRNKVFVQGNPHLCTNVPMDELTVCSASASKRKNKLIIPMLAAFSSIILLSSILGLYFLIVNVFLKRKWKSNEHMDHTYMELKTLTYSDVSKATNNFSAANIVGSGHFGTVYRGILHTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTKFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNNDDVACVCDFGLARSIHAYSSGTQSISTSMAGPRGSIGYIAPGE >KN541904.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541904.1:17555:21390:-1 gene:KN541904.1_FG002 transcript:KN541904.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GSCRGQGSSESGMASPDACVEDKVHRIFLDFMTNRSDTFIKLFISCGCQIYGKYMGEFVAAFTVARYDELVDAEHFRRPKLLTESGAIIEIVKSNYSDRMRSYLEAGCTHHDENIQNMNRSKLLLEELQFLEEDVYNAALTASLSSSRNTDDCPDDDNLTNVCSEVSNTRICIWPGSLFLRSSKNTGEDEQQHTMFDRQGCCQLNFGYEEELYEAKLRL >KN541850.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541850.1:5378:6123:-1 gene:KN541850.1_FG001 transcript:KN541850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKRVKAVLQKKDPNSIPKMSANDLVKKYEAMVGNLKYDAVREVVHTALIVKEQGIQSMGSVESTVTYKASNVSVIKRNEYKKIAAYLADGFPLHAGILVGRRLRRLRFCRRYKSPRLGDFRRAKRVRISAHAVLLVGAGRKEGKWYFFFLNSWYRFCCRFNASGKKIGDGIGKIAAERLFRNVVRLSRFKEEISDASLLHQDVNEVSEHNNQLMVVS >KN540396.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540396.1:40361:46497:1 gene:KN540396.1_FG001 transcript:KN540396.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFRPSQHGSDSDAQMWQRQMLYKQLQEFQRQQQQQQLDHGGRMQNSFGQFQAPAKQSLADQFPTMMNEMPMNEPSSYAWSNGISLGGSRLGSNSQILNNGNPHWEQHHGASLGTSSFANGAVYSNIQNLVRPMGSVNNKVNQSSHSLPASMSKGSGNQYSQFPGFPANSHNAMARAGSDQSDMTARFASSINSFQNEHGLCEQVTSNNLQNFCENGSVLSDSSTQSQGGNLKTGSPVLVNHLQHGFHVQHFNGRMNQVECQSAPHVGPASSAASLDPTEEKILYGDDNNFTGLLGEDDSSDGVPGHDNSSGNGNSSIPVSAQGGSWSALMQEALQSISSKNGLQEEWSSVNFQNRDQAFTNKMTSPDLEQRQHATLNSMNLHSASPSAQPSPSHDGSSGTMNNLKFTSFQRATKSMYDHQEKFSYGSTSAAINNHTTTGANDGLFQPSLKQSHSGDCGSPEHVNLSIGVWAQQKPMPLKRNLNSGGERFMPQNAQGLGVLQQNSYNHNFNGESSNNQSNWNGRNSNCVNTYSINNFQQSKPDVNTVQVPNDGYSSKNTVLTSSSTGMFSPGQHQMMLGQSGGNFGSNNTPGQRPLPETSCSQGNNAEYGLAGFSQMYTNAISAEGHNSNNGQHLGISFSARGNSFSGMDAHNLGQSDQKTMGPSALLNHLSATSGISTGHFPTNSLCNNKLLSESIQPPNNQENLLGGSCQLVGHVGSTNEKIAMVEEQLTQHSTASKYSNEPPFRGYDGTLLQNPNKIVQTSQHMLQQFLQKVDSTNYVVSSNMPIRSDITPNQLNQPPLQGFGLKLAPPMQQQLTSGNLWTSHTSVDIKPTDNSVPGEDQRQLPSTPGSTTSSGYPSRSSPFYSSDADNTGLSSGCLPQTKSLGQQYPVAEPKSAPVNSLPQQSLQGTAATVLKNVWTNISAQRLGGIQHNKITPNILQSMMFPSTIGDSTLRGCPKDDYQRMRVANLSDDATTTTNSGRQEIKRVVENDGSDIPNMDQMGDILLGKKNALQRPLMQHGIVNSSQGENMAANIPNMGSSFNKVSTYGGISLHGSLAPSNSQQINYSLLHQIQAIKPVDSDPENTSGKRLKTTNMSCNASQVEWPGAERAPHGENNPVRLCTDKTEVPRISNLLPSDQMLRFAPRNSEDVTSTTPSQVQLRELTSTSNDMATARTDLQNQCSSLGTSSTENLIESGDKLMINPQISPWFQHGSNRNGHILAMYSVRKTASPYNHPKVPWSMDTSSVSGHGLECSTSVRPEMPSGLKVSSAVRRPKKRKFKAPVLVSWNQIIDGHQKLADMSTLGMDWPEATNRLIEVEDESDIQEDALILYLPRKRLITTSRLIQQLLPSIPAAILRAQAISMYQSVTYTIAKLTVGDACSMPSNSSLDTGTLISSGDKSYEQIENDKMRDRFTKSVEFFIPRFKKMENDFVSGLDEIPEIIYLM >KN541850.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541850.1:9199:9867:1 gene:KN541850.1_FG002 transcript:KN541850.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLAIQPLLELLCSLRRSTTGMTTNVALRHPALPQLADQVCPAPVTEDEMLSVCNYRTDEQNEEGMELGMAKDFSYNYA >AMDW01032634.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032634.1:220:408:1 gene:AMDW01032634.1_FG001 transcript:AMDW01032634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQ >KN539435.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539435.1:29267:35739:-1 gene:KN539435.1_FG001 transcript:KN539435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSVHRLSDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRVSGYMMMDKLEVPRRKVHKDWYVGLNPDPVDRSHPDVHDSSIAKKAASEESHPNIQDKPVVNESSKESQSRSTNDSTTQGVDSMKHASKEEPVESKPNSTRGQENMDVLNNLNNTDAGNNSSLSTTTENASHVQRRLLQTDEKSNQAGSSETDASDTGTAKAATVENSEPLEADADASFNLFRDVEDLPDEYNYDYDDYVDETMWGDEDWKEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVIAVSYFFDREYYDKPEHLKELGGIDIGKYIASSIVVFNLDTRQVKWTAELDLSTDSGNFTAHAYSSPTVVDLDGDGNLDILVGTSFGLFYVIDHRGKVRDKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGEEIWERPTVGDVNGDGHTEVVVPTVSGNIYVLSGKDGSKIQPFPYRTHGRIMSPVLLLDMSKHDEKSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNREGIYVKHGSRTFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVNAAYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >KN539435.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539435.1:66038:73476:1 gene:KN539435.1_FG002 transcript:KN539435.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSAAAWADVPAGSRVQVVQVACGARRPATTSMAVRCVGRGGGKTGPVLGLGKPSDDDDDDALNRCAFLGSFSFLLVHDAFLSQIEQRMCFLGSGVKQALSRSDQVCVSWAQALSSFARPRSVPARTRFKETKLVKIAFRMAVVTAVFLRRVQANSNCADMSLQTLVAQLTCSLYLAIEAVTKFIATTEKGVPLEQADAEGSSHQSLHVASKAAGLTSSSSRSKDVKLRAILHEDSVTGVRPWFSLFGMSFSDLYDHFPESVWDICEDSPAEALEKKEHKGWMQFRSEMNSRYNKAVDISFDDRFADSIVFDKTLYKYAILGCKVNADGIMNQGVISASERNKIIHALERIEGGIEIGKFKWRDGADIHTSIVEALADMIGDKAKDLAVESKYDSCLMILETWSKNSIDHIMTQLKQLQVALVLLAIKNDGVILGEREIEGTTCLESLLLRIVKVLDYDASKLRSYHGSISCSNDGVMLLRTSYPENQMFSKLSSMASFAESINNCIPNHLRQLLEKVLSRRNILLLTPNGEATIYDTVLSKFGSIEQTRHHGDVAISKCLNIGFGNVRESPQTREIEDAKHYLFSSTKSVVEILDLSIQLVQRILFGMEKAQNSLPRGYHDVMRFTHFLTTKGIDSVTAYALVHLCLDKKLQPSELILDKHELKQIDFHCERAHYLLEYKGSIFGDSTDLDACKKMLKWCCKLRIDPAATILIPTIQCTQ >KN539435.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539435.1:14377:15223:1 gene:KN539435.1_FG003 transcript:KN539435.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWIDMAHKFYVAENPKLGQFVLMDVWYACRDQPKWIAYNDALKRGRKRKPSDCSEMTEQASGPTDVEETLRPIGQKATKMAARESKGKSKVSDDAEEIDKLDQVQSDIHARRIKMMEIQEKLSTRQVQSSKLSQLAARENRLAAKDNKEAKMHEKESKMFETYSRLLAQDTSGMDDDIRAEHVAGIRCLRKLLFPDFSSGD >KN539435.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539435.1:42891:44584:1 gene:KN539435.1_FG004 transcript:KN539435.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAVTIGDLIHRVATSCLSNRLPGSYAVSDSGDTDFDDDDDDDPFADAVSGAGGERRRTPSSSEEEAAEADDEGEVGGQGEDDDEENLKIWEEKRKVKAAAASGAERAREAETLMAEVFDAVSGTWITPEMKDEPF >KN539435.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539435.1:48739:49876:1 gene:KN539435.1_FG005 transcript:KN539435.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPEPTQLEKEQMFGMMEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQAS >KN539435.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539435.1:7801:11319:1 gene:KN539435.1_FG006 transcript:KN539435.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLEHRYKECRPRRNNSCPTKTLVGKDQLKELEHRRPSPSVIAKLMGLDVLPPAYVAHNRHQEFKDVFEVSEEPQEAVTKERSHNFPKGLPSLKRSALKLRKLMPSKSPYGDETFDNNVVNQDGFDRLNSLEINNPLFEKHPYDVNCSPNYRYEKDSTSSTFRKYPVGLGNSSLKEIVVLELGLGEVQHSGNAFSTPEPSDVNKNFRRKMKQAEFSTTNRGSQNPLGTKDINVPRIKGERHLTSNAVDSLLKQQDSSLDQYNTVDTDNTGSSQKCVSSEINSRKSNRSSSNSSPWKIRRKYEEGAIGSKTLAEMFALSDSERLKRDSDSHVQIQDNKLNRGNNNDKEGCFIVLPKHAPRLPPHSLLDKNSSCERSPHDIFFSNTLISHNSGQFHFDSFWDKPARQQISSPTQDDLRNSSCARYHTLEQHRSASPSYDNTRNNSWHLTDDFSTFACINEKVLFTTDEDSLRKSTETAHSSFGSRLSGEQKFFLSADMCYSSMVSASPYHCGVYEVITISDHTCAAKSCRSLKEVDRPSPVSILEPPTDEDSCCSGYLKNDSQVMPSIDKQIYGCELRYEQEVSLSSDNDNDSSDQSLEAFEVEEEKEFSYLLDILISSGVIVADSQLLFKSWQSSGYPVGPHVFDKLERKYSKVATWPRPQRRLLFDLANSVLSEILAPCIDTHPWAKLSRNCCPVWGPEGPVEVVWQTMVRQQEELAVAHPDDKILDPEWLEFGEGINMVGWHIARMLHGDLLDDVILEFLSGFVAS >KN539435.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539435.1:75201:81057:1 gene:KN539435.1_FG007 transcript:KN539435.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLLERVHARPLGCTDSDKLEMSLQLTSLREQCARLLEAVEAGEIAGHAAARLFGSYHSKLRGIISSSERNKIIHALERIEGGIEIGKFKWRDGADIHTSIVEALADMIGDQAKGLAVESKCDSCLMILETWSKNSIDHIMTQLKQLQAALVLLAIKNDGFVLPGEKEIEGTSLLMRIVKALDSDASKLRNCLGGICCTDGVIFMRLSSPEDYTLSKLSYIAWFANSINYRIPNLLRQLLEKVLSLRNAETAIYETTLGKLSSIEQMRRHGDVAISKCLNLRFGKACGSLQTCEIEGIDSVTAYALVHLCLDKKLQPSELILDKHELKQIDFHCERAHYLLEYKGSIFGDSTDLDACKQMLKWCSKLRIDPAATICS >KN539435.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539435.1:101641:105090:1 gene:KN539435.1_FG008 transcript:KN539435.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/Swiss-Prot;Acc:Q9S7Q2] MQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPSESRTALSYTSLIAAYARNALHEEARELLDQMKASGVAPTAATYNTVLAACARATDPPVSFDMLLGLFAEMRHDPSPSVRPDLTTYNTLLAAAAVRALSDQSEMLLRTMLEAGVLPDTASYRHIVDAFAGAGNLSRVAELFAEMAATGHTPDPSAYLGLMEAHTRVGATAEAVAVLRQMQDDGCPPTAATYRVLLDLYGRQGRFDGVRELFREMRTTVPPDTATYNVLFRVFGDGGFFKEVVELFQDMLHSEVEPDIDTCENVMVACGRGGLHEDAREVLDYITTEGMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAFAKGGLFQEAEAIFSRMTNNAAIQKDKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSMVTVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNRASSTHQVIASMIKGEYDDDSNWQMVEYVLDNSNLEGCDYSLRFFNALLDVLWWFGQKGRAARVLDQALKYGLFPELFRDTKLVWSADVHRMSVGGSLVAVSVWLNKLYDRLKGDEDLPQLASVVVFSLIARRGQMEKSTVTRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQAAIDSSNGAAISGFVPMTNSHLPSPGSKLYTRESQADNGSAHLTDETLVEEKESELLAL >KN539435.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539435.1:46451:47974:-1 gene:KN539435.1_FG009 transcript:KN539435.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTDYVDLLTKAISRRYPELSFKVSPPFALLLLATAMLVYIVCVCAGEAQLSQSFRLTLYILIDICLLVSAICCENIYNPSIQVKKRLKNGSISTKSKPLHPGLVFLYCTLNKEVHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGIVESFNKPVEDSELMLMNKIKRQFKKPISKGGSNHNAFTPGASVHVLSGPFEGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAVDT >KN539435.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539435.1:18448:19412:1 gene:KN539435.1_FG010 transcript:KN539435.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDLPPGGSGQKPPGGPPGNRKGKRGADAPPATSSSTPTTARRSKRLAGAPPDHPAEAGPSSTNARRSSRLAAPTSSSPTTARRSNRLAGAPPDPPAAATAGPTSKTARRSKRLAGEAPETPVQAGQTSPSSTTARQSKRSAGKSPAIPGGSGQPSSAAKSKRTTDASSANPAEARPSSLSPTTAPVRTSAVSVSVRKAAAGQRRTTTTSGRGEAAEQEAMLEAVLYVRRERSVVAPDDLTSPHNDRGWRRPWR >KN539435.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539435.1:1514:4505:1 gene:KN539435.1_FG011 transcript:KN539435.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMLFDLRSDMVGAASMSLDYRPEYDDIEEAKSPNTSVAAVAVKNADASVYKIIHGFLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNKTGPLLSGISYCIASCSMILLNKVVLSNYNFNAGISLMLYQNLISVIILLVLELFGVISTEKLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTAVGEIYIFRKGQNKKVWAALCLMVISAVCGGITDLSFHPVGYMWQLFNCFLTAGYSLTLRRVMDVAKQSTKSGSLNEVSMVLLNNALSIPLALILIVIFDEWQYVYEVEVTRDPMFWAFATASGLLGLAISFSSVWFLHRTGPTTYSLVGSLNKIPISVAGILLFNVPPCWFTEQDTYFGGWYLAFQRTSLFAGIFFAKAKMS >KN539435.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539435.1:51733:56043:-1 gene:KN539435.1_FG012 transcript:KN539435.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPLLENGAAADDGSGVPVFAEYSLDELRVATDGFSPERIVSEHGEKAPNVVYRGTLFSTGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHCTTTLVVGIKMFSLFIAFLEEISNSIASKVLSMGYGETKPLSWAMRVRAAFYVAQALEYCSSKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHAPSHALLGIQHDKENTERISLSAIAKVYARADLDEVHEILENDGYCEDERATFEVSFHSWTGQQVSDSILVKKHGDSAFQSKDFATAVECYSRMDSFAACTLTITFPQINIKFIDTGVMVSPTMLARRSFVYMVLGKLQEGLADAKKAADISPEWPTAHYLQGMAYLAMGMEPEGHEELKQGAALEAERNAR >KN539435.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539435.1:87178:99017:-1 gene:KN539435.1_FG013 transcript:KN539435.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGCGSGYIITSLAIMLRQLGSGTQYLATDINQHAVETTQATLEAHGVHADVIATDIVSGLEKRLHGLVDVVVVNPPYVPTPEEEIESNGIASSWAGGINGRQVIDRILPAVRELLSERGWLYMIALEDNDPLDICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDASTVWTPYLTALVIWVLLLSNGLVNHISEKNLEAVFSEVGPVRRCFMVVPKGSETSRGFGFVQFATVQDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAMQAKDADATNEAQDADATNEEDDTSTAKHKETSHKKDAEPLQLLKKDITVSKEVSIFNTDKVKSSEKQRIAKTVIFGGLQDFAMASEVFRLAGEIGTVVSVNYPLPKEEMELHGLERDGCTTDAAAVLFASVTSAWDSVVHLHRKEVKGAVVWARQLGGEIYWSFSSAYNVPLSCMASCSCCANSCLKLAYCYAGLEDQKMESDSPESAIQGHQKALLLYLSHAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSAKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPVIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLREGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKASSKLSNSGKGSAENLSAAGDGGSGIMESMVEDKRKAHRPAKRARKPNKASDGDRQDATPPTADGNQTLSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGDFLIRDANFEIIVVDDGSPDGTQDIVKQLQQVYGTAYLHGLKHASGDFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRNVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVYLLLTT >KN539435.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539435.1:26234:28022:1 gene:KN539435.1_FG014 transcript:KN539435.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAKRQFSTTSQGKEVSGISSCKPTYQRYQSCPPEVYRRQASSYSVPSSEISRSSVRSSGSFRAAAQSLAGVFSCFVPRKSRNEDELEISRTTISQGSRSTGYQVSIDPALDKHEYPPPSDNQNGEMINLSAAGTGYPQESTELTVAEIFKATSNFSDKNIIKQGSYSSIYRGKLRDGSEIAIKCARKVWKQREYAYSAIFHELNNQYASAELRRELEILQKIDHKNLVRFLGFFEREDESLTVVEYVSNGSLREHLDESCGNGLELAQRLNIAIDVAHAITYLHEFKEQRIIHRNVRSSNVLLTDTLTAKLAGVGLARMAGGESSESEDTQGKGAAGYVDPEYLSTYELTDKSDVYSFGVLLVELVTGRPPIERRRDLDPRPTTKWVRNQTKPIQSNRTRNGKLTATR >KN538769.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538769.1:51351:51861:1 gene:KN538769.1_FG025 transcript:KN538769.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSHAERFFMWLGGFCSSELLKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQTLGDTLASAAATVVVGGVGADNVTNSMGQMAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFLCRLIC >KN538769.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538769.1:115046:116241:-1 gene:KN538769.1_FG026 transcript:KN538769.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPTPRRPRSWSDIPMDIAGLVLRLLPAHADRARFAAATGGGRLVFPRDDGCFLVNPFTGATVTLPALSSVRLRPPNAVAKYDQQGTAYPVTWMHIRGSEHLHISKLILCSPNLAAAIVGDGNIGQILVCKPGGLSWSVRAYDIVKNFQDMAFYQGKLYAIDNYDEDLLVVNISQDQSTGDPQVSKIGQAIKGDPFRSVWHEFGTMDILANKKLYLVESRGSLLMIRRKIWCWSKQASDTDPDASRPIVAGPNEFEVFKADFEQSRWVKMTTLGDEQVLFLGRRCSRAMSVSQYGMSGDQIFFLDDEEENLKQYYYSTEITSFCVCDMRDGQVDSPLPKASWKRCDEMRPVAWLFPQD >KN538769.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538769.1:31147:31782:1 gene:KN538769.1_FG027 transcript:KN538769.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MERTFHRLESKQADKVASLAAVAAAATDAANRDRLESKQVDEVSADKACVHSAAIFLAVSNERAAYGALSAYRSFLEICRANMTVFNDMQISVNDKLLDVAGAGEKLQANIRIASGMTRILAIFLGLWIQRINGAEGIPPTMRIAFSFCREHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETFYDWDAWDALPSI >KN538769.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538769.1:57879:60234:-1 gene:KN538769.1_FG028 transcript:KN538769.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGADGGRRHRTELNRRRWHRHGGEDGGRLQGVSSSLIVTVPFMRGERLGAGALFCGTAKGMALIAGAGRQEFVQDAVSAEAKACLAALHAISVQGVSEVHVETDSAILVSALKSSCYDQATGATIFSELKHLVQTSFARAEISFVPRSGNTVAHELARLGANSFKWLYSTNPMK >KN538769.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538769.1:95186:101151:1 gene:KN538769.1_FG029 transcript:KN538769.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLFFADSDASRSSSTTGGWRGLKARKARFWSRAGRRFTSASIKTRHASGDLETEDGIIIMIARPPNISKMHLNGFPDEVSKHFSLGFPVQWENIINANMAEMNKQPQSPLKSTEYYIEKFLRGNLKYSMGLFSWDGLNIYQGSRSYADRFPSERLSNSSNGRPTVEDPTANTDCNVNFMGTLATSEEFCTGRMDMPEKPRATPSETCGNDQENNQHLCMLMNTCENGNKVHHGTSSVGPSVVPAEKYVRSQAEQDALLVNDSTSHVSSVLGDCATPKCGKSLTHLGTKDALETNEGMNPQFGVPQGSEGRTVRRLRNGKVIVISTSASTKKKVNKRARMQDNTFSENVIPNENVTCPTGLISQENVGSVAVTAAAKLQIHDTPCKETYMEKHTLDRKKRTSAKEQKEEEILSILPESWGTLAAVLPQSLNQYWRTLPDIKCRMNGG >KN538769.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538769.1:121058:125685:1 gene:KN538769.1_FG030 transcript:KN538769.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component RRP41 homolog [Source:Projected from Arabidopsis thaliana (AT3G61620) UniProtKB/Swiss-Prot;Acc:Q9SP08] MEYVNPLTGFRVDGRRPNEVTPTLFSSIHFPVSPAFVQSQAWLTRAGYRADAAAQGRGGRRRQGRRVQNKGQQVNSKEALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRAACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGPDVTVGILTKMDKVTLLQMDAKLPMDTFETVMDLAIEGCKAIANYIREVLLENTKRLECQRG >KN538769.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538769.1:26291:29280:-1 gene:KN538769.1_FG031 transcript:KN538769.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGGDAGPEDEEEKRKWDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTDKDGAQRAIEDLHDKEHKVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQTGKFLVLSNDDKASYSEVVKNALSLLKISQHILIVC >KN538769.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538769.1:80380:81537:1 gene:KN538769.1_FG034 transcript:KN538769.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVDEESVAFAIRLLERSGLGDETCVPDAYHYMPPDRSLSASRDETELVIFSAVDDVFARSALTPADIDVLIVNCSIFTPTPVFADMVVNRYKLRAEVQNVNLSGMGCSAGLVSVGLAKNLLQVSPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPDHARFRLGRVVRIVTAARDSDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPASEQLLVAISYLKRKLKQLSGHAGKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMKRGDRVWMISFGAGFDCNSVAWECVKPAPDADGPWVDCIHRYPVQLPEITKDV >KN538769.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538769.1:41217:45126:1 gene:KN538769.1_FG035 transcript:KN538769.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESEAAPGTGKLVCVTGASGYIASWLVRLLLARGYTVRATVRDTSDPKKTLHLRALDGANERLHLFEANLLEEGSFDAAVNGCDCVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIRRVIVTSSMAAVAYNGKPRTPDVVVDETWFSVPEICEKHQQWYVLSKTLAEEAAWKFSKDNGFEIVTVNPAMVIGPLLQPSLNTSAEAILKLINGSSSTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVVHYSELVQIIREMYPNIPLPDKCADDKPSVPIYQVSKEKIKSLGLELTPLHTSIMETIESLKEKGFVTFDSSNL >KN538769.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538769.1:577:11238:-1 gene:KN538769.1_FG036 transcript:KN538769.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATTVGAAGTALLAWKANGLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASSELSLNAMILPAALYYWQIPHFMALAYLCRNDYLAGGYRMLSFADPTGKRTAWVSLRNCLYMLPLGFFAYNWGLTSEWFSLEASLLTLGLTIGALSFVLEPTPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNVTQTSEITGILYGAEQQDEERARQKREDRKPSRIHSRPPVAYASVAPFPFLPVPIYVSSQGRLAPSLQPNVTQKLPKKVAAIRCDVKLEFPVRDVTEVRLYRLDCSLLLRLAAAPLVHYRTADDDFYEPVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRISFSARFWPKMDRALDYMRERRVAIVDCGGGWGPRRGLTVRDEPEFGEPMQDVFFCLQHAEGLKFPLLFMVNALVHKGIINQHQLTPEFFSLLGRSEENVNVAALRDFWGDKFPVFDACGRLKKALNRVARNPKLLCSKVGDDHTEVRRLVITPTRAYCLPPEVERSNRVLRHYHEVADRFLRVTFMDEGMQVLNNNVLNSFTAPIVKDLMSNFFQQKTTVYKRVRMLLTEGFHMCGRKYSFLAFSSNQLRDKSAWFFAEDSKTTVEAIRKWMGRFTSKNVAKHAARMGQCFSSTYATVTMRADEVDESFDDVVHNDYIFSDGIGKITPDLALEVAERLQLTDNPPSAYQIRFAGFKGVIAVWQGHGDGTRLFLRPSMRKFESNHLVLEVVSWTKFQPGFLNRQIIILLSSLNVPDSIFWQMQETMLSNLNNILSDRDVAFEVLTTSCADDGNTAALMLSAGFEPRTEPHLKAMLLAIRSAQLQDLLEKARIFVPKGRWLMGCLDELGVLEQGQCFIRATVPSLNSYFVKHGSRFSSTDKNTEVILGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPPEAKQLPRQVSQHGLTPGSKEILDWSLVLCPI >KN538769.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538769.1:108911:111587:1 gene:KN538769.1_FG037 transcript:KN538769.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQEPREIEPRADRSSLMALLPMLLTFYPWVMQVSGFLTLPIASGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQASELIVPGFHNHKGQLKDVLGDIAQKREQNESS >KN538769.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538769.1:139079:143808:1 gene:KN538769.1_FG039 transcript:KN538769.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQELFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >KN538769.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538769.1:48563:49080:-1 gene:KN538769.1_FG040 transcript:KN538769.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSNARIISSLLSSVGGGASPCYVVDLHVTGNELGVDGLPLNVPYGTFAIDGRGTNGRDAPDAEVVGEDGKQVEANGVLVPNEHGFGGHEGVVKSEHMEDVVGELVEVDYLA >KN542733.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542733.1:891:3880:1 gene:KN542733.1_FG001 transcript:KN542733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GREKGESAMAVVEAAGGRRGVAAGERRKAKAKEAAVGAMARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLSRGIDHLVIPTRDYMFAPSLVDISRAVDFIHRNASCGRMTYIHCKAGRGRSTTIVLCYLVKYKNMTPSTAFEHVRSKRARVLLTRSQWRVPLPKHRGSRISIWCISHSLMVVQDFSKKNAEAELPTVTSHSAAASPAGNVVSVTEADLESSEVTAANIPDITEHASLSSHKTTPTKPMTNMLSCLIPSLK >KN542733.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542733.1:6025:8465:1 gene:KN542733.1_FG002 transcript:KN542733.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDGNHLTAAAIVGHDGSVWAQSPNFPQICPRADLKARDPDRARAGIRILADDDLGVDLYKPEEITGIMKDFDEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGICVKKTGLSLILGIYDEPMTPGQCNMIVERLGDYLIEQGC >AMDW01026545.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026545.1:139:357:-1 gene:AMDW01026545.1_FG001 transcript:AMDW01026545.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPPSPQQPGWVTAHARVTDADPGGLVFKGGSLLGSGQQYLGRAWNQFATVVFYQVSMTNIVVPQGWQPWNSPN >AMDW01040876.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040876.1:1092:2957:-1 gene:AMDW01040876.1_FG001 transcript:AMDW01040876.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPDAASYAALLDGYARVPDPRAVRKLLDEMPRRGVAPSSLARTYLVKALLRSRDVDGAMDLVDNHLWPSMERSSGEDQELKNAAFANLVQCLCIEGFFHIVFRIAEEMPQQRCGVADVFAYSQMIDSLCRSGQHHGASRIVYIMGKRGMYPSTVSYNCIVHGLCSSQKPGGRLRAYQLVMEGVRFGYHPREVTYKVLVDELCRENELAKAKDVMELMLKVTPDDDTRTRIYNMFLAALRVVDNPSEQLDVLVSMLQEGCKPDVITMNTVIHGFCKVGRAQEARKIVDDMLDGKFCAPDVVTFTTLISGYLGVGEFAEALHVLSTLMPKHQCLPNVVTYNCVLKGLLSLGLLDRAMEAFEEMKSSNVVPDSVTHTVMIKGLCDAGELEKAKSFWDNVIWPSGMHDDYVYSAIFRGLCKLGKLEQACDFLYELVDSGVAPGVLCYNILVDAACKQGLKKLAYQLVKEMRRNGISPDAVTWRIIDRLHLYSDEKQDGELQMSAIDGDPSSADDTVDTLISNRYEKHTPSSPSKTSKIGTVVHDNSSKGEEEEVDYSPCASEDPPDIIEPAKEEACPMNNSGTGTTMGNRGMTREEGLMKPDKQPLKREPLSRVARKVFGLL >KN542619.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542619.1:4500:4886:1 gene:KN542619.1_FG001 transcript:KN542619.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSVPEEVLRAKKKNGDRRGRSKEAEERRRAKKAADKKRRAAAKKLRTEEAEAGRDDDGAKEAEEVQDFSVL >KN542619.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542619.1:11864:13633:-1 gene:KN542619.1_FG002 transcript:KN542619.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKIGFLPPPALALLATLLALDPAARGTAAQALQSSFFSTPPLPCHLSELPVVYKEEDEVAASHDGRKPKLRERSHKRRDSKPKAEEQHKDKEQNLNSSPTNKEEKIMEDTKKSAQGSKRFSDGQVQEVFPKGSPAPQDQQVPRTNTYSPDNDHHNNHKAHLVPSNDQDQQTDSNHGSLVIRSASMLEYNAPRTQGGMSKQTFVDHV >KN540395.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540395.1:4727:4918:1 gene:KN540395.1_FG001 transcript:KN540395.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMNLRYLNLSRNDLRTQLPPELGLLRNLTVLDLHSSGLYGTMPSDLCEAGSLAVLQLDCN >KN540395.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540395.1:34745:36856:1 gene:KN540395.1_FG002 transcript:KN540395.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHAPPAPTAPTYNAVIRSLCRRADLARALRYLSLMVRSGWRPDAYTFNSLIVGYCRTNQVDVARDLFDKMPLRGFTQDVVSYAALIEGLCETGRIDEAVELFGEMDQPDMHMYAALVKGLCNAERGEEGLLMLRRMKELGWRPSTRAYAAVVDFRCRERKAKEAEEMLQEMFEKGLAPCVVTCTAVINAYCKEGRMSDALRVLELMKLRGCKPNVWTYNALVQGFCNEGKVHKAMALLNKMRACGVNPDAVTYNLLIRGQCIDGHIESAFRLLRLMEGDGLIADQYTYNALINALCKDGRTDQACSLFDSLETRGIKPNAVTFNSLINGLCKSGKVDIAWKFLEKMVSAGCTPDTYTYSSFIEHLCKMKGSQEGLSFIGEMLQKDVKPSTVNYTIVIHKLLKERNYGLVARTWGEMVSSGCNPDVVTYTTSMRAYCIEGRLNEAENVLMEMSKNGVTVDTMAYNTLMDGHASIGQTDHAVSILKQMTSLASVPNQFTYFILLRHLVRMRLVEDVLPLTPAGVWKAIELTDVFGLFDVMKKNEFLPNSGTYSSILEGFSEDGRTEEATSLVSLMKEDSISLNEDIYTALVTCFCKSKRYLDAWVLVCSMIQHGFIPQLMSYQHLLSGLICEGQTDKAKEIFMNSRWKDYSPDEIVWKVIIDGLIKKGHSDISREMIIMLERMNCRPSHQTYAMLTEELPDRE >KN540395.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540395.1:17829:27547:1 gene:KN540395.1_FG003 transcript:KN540395.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIIIRISVKTDKCQKKAMKEAATVSGVQSVTLAGGDRNLLLVIGEGVDTNKLTKKLKRKVSFFVLPDYKPPVAACAMNEILALVSSEAPSTQPTLIVPFMTRLPNYYHGAKTGQLATLHGAEIGATTEFTQMLVDGTTKLPQSLQVRIILFASGGQHQGKSSTDVDLEVLQCVGDHLAKHINLEFSKETVLQRGIEKSPVFQEPWRELYR >KN539948.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539948.1:50597:58930:1 gene:KN539948.1_FG001 transcript:KN539948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRGVLPAKASLDRYLYHYERWDANLKSLRVALRDMESLERSELEAMAAAAGVPATEMGFVTEAYEQALAEEAKSRYDKAVFKVLDLDEYAADDEEYEQKLKKENIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLNNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHISKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPLPFPSPCTVRTALARYADLLGFPKKSALIALASHASDPKEAERLRHLASPAGKKEYSQWIVSSQRSLLEVMTEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVW >KN540395.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540395.1:7753:8264:1 gene:KN540395.1_FG004 transcript:KN540395.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKLALLVFTLLLAAAAFIHVASAEETRT >KN540395.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540395.1:43985:46386:1 gene:KN540395.1_FG005 transcript:KN540395.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNSNTSKAQRRPGTWFSGNGVGVKQAPASPASKQMEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKSSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQVPNIL >KN539948.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539948.1:23509:23700:1 gene:KN539948.1_FG002 transcript:KN539948.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLKSEEAEVCTISVDRFNMLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSIDKHL >KN539948.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539948.1:67278:68932:-1 gene:KN539948.1_FG003 transcript:KN539948.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNYPLTRTIHLTLRPPCHGGDPSDADHPPEWVLLDVRAYVADRRNATTATATARLSNGHAIQVTICAWSPTSDPAELFEMEPTVEAVNADLVLLRIHVLPYDVEDLVYRARGWRTPLPSLTPIPKQDPYLQERYNIAILPHSHGFYISTLDCYFPDPDRSLGRYNLCIFDSLDCRWSNVPLSLDQLRNPPDKNKVFHLTEKVIILKDPHLVAFADLWRGIIICDILDISTASYVPMPKEIINLRRTRASSITRDIAVVNGRLTVVRLTNVFDAHINGWDWDLSTWSRAVDCLEQEDWREDSVVEASDILIDHNIRNVELLPKIKDQPTMAKLHVALPTLSLTDAQVVYVMGKV >KN539948.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539948.1:72042:73175:-1 gene:KN539948.1_FG004 transcript:KN539948.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding TYLQSISKRLEEWRLRQRDMEEWMRHHQLPDELQDRVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDYQLLDAICERLVSFLCPERTYISREGDPVNEMLFVIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVNFPLSTRTVQSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESYSWWSEEHPPADKPKQEGTSSSTKTIAESAIAQMHKFASASRRFRADDTAIRRLQKPDEPDFSADHFD >KN539948.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539948.1:15476:17323:1 gene:KN539948.1_FG005 transcript:KN539948.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYRLEDNDLWTRQAVTVVSQVMIALYSLYKSWPSYGDMRLLASTILLFIIGILSYSQKPWALRRASINKLQAVASARLTQAVRGGEKVTSGWDFCFTELGKKKQTTLLTETDLVRMILYDMSLLAADSDMKREQRRRHQRKSLLGEDEGTVEVEGDFLGTLSHREDKKISSNRWLCRAFELIYTRANLMLTPAYLAYHLLLVSSLHITAIALFAASRKRDYSTTDVKITYVLLSFTAALDILAEFIRQLLFKLMSMAGVPGLGETVSQDSNLIRSALRRTQPTPIGFLLKLATRVCYTYTEGLFTCSPRRNLYRMLEGLNFSDLLEANAKGLDFTSYRTFAPGHRNWVLNENLRKACGQEVQDSLRGSFDRGIILWHIATDLCIRRMTTDNIIDDIDRKFLECTEAISDYMAHLLNLHSDMLMTGSRQHLFTQAMEEVELILKRIESQQQQPHSLKTLGRDILAEKIIEKARVEVSATINVERVSEVGKEVRVDEPPTLFEYPLVHDACKLSQELMVMDRKTRYQVMYHVWVGMLFYSASMCKGYLHAKSLGEGGEFLSYVWIILSLKGGKTLADKLQMPEPEPKPGPYDYGEDLSFLLPGGSPSDPFFLIDS >KN539948.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539948.1:69959:70852:-1 gene:KN539948.1_FG006 transcript:KN539948.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLTLIPNQDPYLREPNCIALIPRSSHGFYISTLDTDLRSGIGRYNLCLFDSTNSKWSHESLSLDQLRNPPDKNEVLHITEKVITLKHPHLVAFVDLWRGIIICDILDSKIAASYVPIPKEIINFNRTRGALITRDIAVVRDRLTMARLGTVFDPEINGWHWELSTWSRPVGSCLDNDEDEDWREDFMVESCEILVDDNTCKNVELLPKLQDDRRPAIAKLHVANPTLSMTDPQVVYLVGNVDIADEKAVLLTLDMANKRLQRISVYDAERFVNGVDVGFTQSTISRYFAPASGD >KN539948.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539948.1:4056:5906:1 gene:KN539948.1_FG007 transcript:KN539948.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDLWTRQAVTVVSQVMIALYSLYKSWPSYGDMRLLASTILLFIIGIVSYSQKPWAIRRASISKMQAMTSARLAQAGREKVIHGWDFCFTELGENKQTTLLTDKDKVRIILLDMSLLAADSDLKQAERRRRQRRSLVREDDSKEEVEGDLLGTLSPRAEKGSKRWLRRAFALIYTRANVVLTPAYLAYHILLAPFLHITAIVLFATSSKRHHNTIDVKITYVLLCLTAALDILAEPIRQLLFKLMSMADVAALCETVPQYNNLIRSALQRTQPAGVLLKCAAHVGYTQGFFVCQRKNLYHMLAGLIFSDLVEANAKGLDFTSYRSFAPGRRNWVLNENLRKVCGPEVQGSLRGSFDRGVILWHIATDLCMRRMMAENTIDEIDRKFLECTEAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQQPHSLKKLGRDILAKKIIDKAKAEVNAAIDIERVSEQEREIRVDEPPPPKYPLVHDACRLAEELMDKMGRRTRCQVMYRVWVGMLFYSASMCRGYLHAKSLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEPEPEPKSGPYSPGQEIVQGEPTATVATTVDEGEDLSFLLPHSPRS >KN539948.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539948.1:37215:37652:1 gene:KN539948.1_FG008 transcript:KN539948.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGCRVKAARWISWSVDNPGQWYFKCRNARGLTARVFCAQEGGCDFFAWHDGPTSSFLREVLNDLRDVVVSLRMEKAYRVKEIEECRAKVEEQRHEVDSVRRELASAQELVCE >KN539948.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539948.1:45926:47801:1 gene:KN539948.1_FG009 transcript:KN539948.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEDDAQSYYTDEGDDYTDEVDSNVDDDDDDGESWDLEEEEVDDDDDDEEAKKKKAATAVDLTKIDRRARYATFLLRSYVEEGTRIKWCPGPGCTLAIEFVGGGGGEEKQDDVECKHGHGFCFRCGEEAHRPVSCETVRAWTDKNAMESETASWVLANTKHCPKCRLPIEKNRGCMHMTCRPPCLHEFCWLCLGPWSDHRSSEYYNCNVYDAAKANGEASDDKRRREQGMASLDRYMHFYERWAAHGKARQSAVDDMAGLDACAEKLSAAVAMPVTELCFLAEAYQQIAECRRLLRWTYAYGYYHLGTGLDGDEERRTMVECAQGEAERQLEKLHDCAEHEREELLAEVERTIKLNAILKDNDGEESKKKMEEKAGEMVDMVVAYRQKLAGLTGVCKIFFRNLVKTFQDGLSEVGPAVAAAAAAAVATAPAESSDDAVDPLQPLHQDDSS >AMDW01033434.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033434.1:341:469:1 gene:AMDW01033434.1_FG001 transcript:AMDW01033434.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGCMTKITTKSDVYSFGVVLLEIITGRRPIEAAFGEGQTVVQW >KN544544.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544544.1:454:2726:1 gene:KN544544.1_FG001 transcript:KN544544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VMSTKHVLKWNNKLVKEGDENGSTPVHFAASVLQPKYQTYRTYHYRCPWIQFSKVPRIISSLLDADTGVDPFYQSDKNGMFPIHVAASVDAQITVAFLLNKFPESAGLRDANGRTFLHVAVEKKKLGVVRFACRTPSLNWILNMQDKDGNTALHLAIQGQATSLRIFCALFGNCEVQLNLTNHNGHTPLDQSRSMLPRGLHYTRDRWDHIQEIYDRNAVSPEDQAKESEKVKDLTQTLGISSVLIATVTFGGMFAPPGGYRADDHPYQGTPIHAGSYIFDAFMMANTLAFICSSIATTGLLYSASSAFNLRTREYNIMIITFLMDNSVTCLTTAFALGAYMIRKFVPFNRN >KN541924.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541924.1:9434:15676:1 gene:KN541924.1_FG001 transcript:KN541924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MGELYDRLRQSVEKVSALGDFQKPLRVLRRLVGIPNCAKALVNHPRWIPKNQIMLIGEGRIMEISSVLGAFFHVSAIPDREFASKPDIGQHCFSEASSRRPADLMSSFTTIKSVMNNLYDGLKDVLLALLKNMDTREKVLEFIAEVINKNAGRSRMQVDPLKSASSGMFVNLSAVMLRLCEPFLDRMESKKDKIDVNYLFCNDRIDFKNLTAINASSEEVSSWIENRGYEHAEDSASGEARFVESQEATSSGNNSTVSLSSKGGSLVNCSKKENFSFICECFFMTARVLNLGLMKALSDFKHIAQDLARCQDDLDSNRAMRDQGGGSAQLDQDIKRLEKIVEILSQDKLCYEAQIIRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKEFACIPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMAGTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRRIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANVVEWESRPPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHITRGDKEGIFPAAISKDGRSYNEQQIFCGRLGETPKSYRSLCNLPYTLMKDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTELKSRIEEFIRSQRSKKRTAADSEMGEPDGAADIAD >KN541924.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541924.1:18885:20609:-1 gene:KN541924.1_FG002 transcript:KN541924.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEARLYIPLYEEDKEECNEMILELAKLDFYLLQRLHREEVKEICEWYHGLESPRELFYARHRPAEAYFWALGVYYEPQYAKPRKLLAKFIATITPYDDTFDNYGLWEELQPFADVMQRWDEKGAEQLGRCYKEYAQFMFGTMNEIEGALPKGTPRRNVNVIKDIITEVCKGYVTEIDWRDSKYIPPLKEHLQITLVTCFYWAINCTAFVVFQEGVTEEVMKWMSGFPQIVKDSCIVSRLMDDIVAHAFETERNNVATAVTCYMKEYDSTKEEAIKALWIDVENTWKDMNEEYLKLTSIPSSLLIQVINLARMMETMYKKIDGYTDSAILK >AMDW01031562.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031562.1:47:365:-1 gene:AMDW01031562.1_FG001 transcript:AMDW01031562.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLVEKENLESFNLPLYGPSVDEVDEIVKNVNLFEMDHIDLFECNWDPYDDSQGDIVHDSALSGMNVAKCIRAAVQPLIASYFGDDILNALFKEYAHRVAKHLEKEK >KN545523.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545523.1:460:1562:1 gene:KN545523.1_FG001 transcript:KN545523.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVVTKSPPEIVRPSEPVTTTAATSKVTFSPLDRPLAIVPIVVLQVFEHPIHEPVETIRRGLSRALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAANADCTVKELTRDIDRRSPDAAKAVLRELIVDYPANGFGRADPLVLMQVTAFTCGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTTSVIPAPTRLALHSITIPARTIDGVRGATACTVFEAVAAALWRCRTRVVMSDPDAPTVLAITVNSRKHVGVKDGYYGNCATMQMAMARSGVVADGDMMEVVRAIRRAKEEIPERLKKGDVIGDLTTEQVTGYEGVLLVTCWRNIGFEAVDYGGGRTAR >KN543509.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543509.1:4453:7348:-1 gene:KN543509.1_FG001 transcript:KN543509.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDHISCKEGRIHCSLNINTETGRLSARTPSLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQEKPPVPLLKVEVREARDTLKLWHRDRKEVSAWQKKQKAFALEKCEVYTLLGRSRQFPNMTHAGPGQKGHVERAAINAPVQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKAIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >AMDW01058672.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058672.1:193:847:1 gene:AMDW01058672.1_FG001 transcript:AMDW01058672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EAAIEARFVDKDGKIQWSSYLNYVGHGKSLPDDEAFAKEARDYQEAIKKQEVKVDEATMNARFHDWMKEYGRSYSTEEEKARRYEVFKEAALWADKVNALEPRTIPYGPNRYADFTDEEFKSMHSCSAIDWERYIDELNTMAAHGRTYFRDPDATTNVSEAVRKWDREMAAKRAEISRQQAANINTA >KN538827.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538827.1:94548:99311:-1 gene:KN538827.1_FG019 transcript:KN538827.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 18 [Source:Projected from Arabidopsis thaliana (AT3G20500) UniProtKB/Swiss-Prot;Acc:Q9LJU7] MAVPPLLLFLLLLSAFSSCAAAASGAPVHISLAGEKHMRVTFVTDDNSVPSVVDYGTEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYRCGGHGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCEHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKERILFFKSGFQSYNARWKMPYEESESTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYKGGLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIVNATHAHWTWHRNDDEEPVRTDDVWITSLAGSGCIQDGSHEYRKILMSP >KN538827.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538827.1:76460:78422:1 gene:KN538827.1_FG020 transcript:KN538827.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEYYCCMVEKLIEKLTRNGRNAVAINEHIFSTVDGIIGTFALGETYAAEEFKDKFIDVISETMDLLSSSSAEDFFPGSVAGRLVDRLTGHIQEARPLLRADRRSARRRRRRRPRRGSQKGGRQGLSRDTFVGGITTTSVTLHWAMSELIRNPRVMKKAQDEIRAVVGEKERVQQHDMPKLKYLKMVVKETFRLHPPATLLVPRETTRHFKVGGYDIPEKTKVIVNAWAIGRDPNIWKDPEEFIPERFEEMDIDFNGAHFELVPFGSGRRICPGLAMGVANIEFILASMLFCFDWELPHGVRKEDIDMEEAGKLTFHKKIPLLLVPTPNKAPN >KN538827.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538827.1:14791:17147:1 gene:KN538827.1_FG021 transcript:KN538827.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPAASWRRHAAAAAASSSTRKTLLLLLPVLLLLLLFVLSRAPDLTLSPAAASSRRLSAGVRPFDCYASQQASPVFASLVEGVPHPFFYSLADMGALPDHPHKNIARILKGKRFRKPDISETIQQLLGGKVGIGSRGVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKANEEIAVEVATIPLDEVILDAERVVLIKIDVQGWEYHVLRGASKLLSRRKGDAPYLIYEEDERLLQASNSSAREIRAFLSSVGYNHCTRHSTDAHCTKN >KN538827.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538827.1:6341:10271:-1 gene:KN538827.1_FG023 transcript:KN538827.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6C [Source:Projected from Arabidopsis thaliana (AT3G19090) UniProtKB/Swiss-Prot;Acc:Q9LHL3] MPQESRGSSGKAPVTVTVTAAGASSSGECSTPPFRLNVHAPEFVPRSPAASPMAAAAAGYYSPFLQLPGSSIGLGADWSIFADPDPSFFLPDFGHAKIGGGNGQPKGASPADIAQKIIKQVEYQFSDTNLVANDFLMKIMNKDPECYVPMSVISSWKKIKAMGVTNQLLVNALRTSSKLVVSDDGKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDALISNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSAMRLKRPDFDHLMISDDDHSPQSQASSDSPMADHLPDHHVRNNF >KN538827.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538827.1:42938:45619:-1 gene:KN538827.1_FG026 transcript:KN538827.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGTGGGGEEEEGRVLSEPDPTSGMMEPTHNKDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEKLGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >KN538827.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538827.1:17866:21136:-1 gene:KN538827.1_FG027 transcript:KN538827.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSYLAVGGWFIQVIFDKYLSYQLQSWAADCGISHEMNRLRVALLRTQSVLHGAEVTPSLSYGSLPWMRELRDVMYHAEDLLDKLEYNRLHHQMQESSSTESNSSPISAFMHSRFRNQGAQASGLEPHWDRSTRVKNQMVNLLERLEQVASGVSEALSLPRKPRHSRYNIMTSSVAHAEIFGRESEIQQLVSTLLSSQVDGDSPVSVASIVGVGGVGKTALAQHVYNNTRVAQYFDMRMWICVTDAFDESRITREMLESVSSSRFRHDSITNFNRLQVALRARLVSKRFLLVLDDIWSNDKITLAIEHENWQKLLSPLKAAANGSKILLTTRSSMVAEMLQSAHITNLECLSDKDCWSLIKMIVFDDTNHLINSQLANIGSEIAKTLSGLPLAAKVVARQLKCKHTTDEWKQVLQRNAVWDEIMPIFQHSYENLPVHLQQCLAYCSIFPKDWEFEAEQLILMWMAQGYVYPDGCRRMEDIGKQYVDELCSRSFFAIQKKQFVSYYVMPPVIHELAKSVSAEECFRIGGDEQRRIPSSVRHLSIHLDSLSMLDETIPYMNLRTLIFFTSRMVAPINISIPQVVLDNLRSLRVLDLSPCKIDRLPDSIRQCVHLRYLNISSTAINMLPEYLGKLYHLQVLNLSGCRLEKLPSSINNLVSLRHLTAANQILSTITDIGSLRYLQRLPIFKVTSEETNSIIQLGYLQELRGSLHIRNLENIDAPDEAKEAMLCKKVNLTMLQLMWAPARDLVNSDKEAEVLEYLQPHPNLKRLDIIGWMGVKAPSWLESKWLINLELIFLSGCNAWEQLPPLGQLPSVRTLWLQRLKTVRQIGLEINEWSWTGQEMMNLRNIVIKDCQKLKELPPLPPSLTELTIAKKGFWVPYHHDVKMTQLTTVTTVSSLCIFNCPKLLARFSSPVTNGVVASFQSLRSLIVDHMRILTCPLLRERLEHIENLDIQDCSEITTFTADNEDVFLHLRSLQSLCISGCNNLQSLPSSLSSLESLDKLILWNCPELELLPDEQLPLSLRKLEVALCNPVLKDRLRKECGVDWPKIAHIPWVEIDGEILQ >KN538827.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538827.1:84798:86264:1 gene:KN538827.1_FG028 transcript:KN538827.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQGEEHRREPGQRRPIFHLRTLDAAAAGNRDRPDGPGEIRVLEGDCFGGGTGGPAGPVQCEGETLDSAICSNGISGESGGAVSCARRGYVTKGINVSCDMGVKAANIRGSSDIGAEILGLVDIPDRIGCDLDGEICSVKSDLGGGEELGFQSMKRNGYCSDSEKLGSSANQTGNSFVDGIHGENHHSIGEVNGNLPRHLVENASEFQMATAQNCNGIPRENVGTGAAIGQGWTVKNMDKCSITYTEKELKIEIERGVKPKIRWLSRGPLGQSAVSNGFTVTKIQMKKSKQTGEVSVFEEFSITIPPKSALLFPCQRAISIDASDCQLQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDGVSLYSEVRHEPRAGCLSTIESIVVAMRKLGEDAKGLDDLLDVFESMIADQRRCKDENWKQKLESKT >KN538827.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538827.1:112417:117380:-1 gene:KN538827.1_FG029 transcript:KN538827.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDRLPFGLGFDRVQASGGYRCSEQYEWQRLALRQREQAAREKPHCGATLNYPLHSHCRDRIEDSVAATEIPHQFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLESKRHRRSSSPRYCMKSYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMRTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTCSKDLMFNISDHSSVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNAHKGKMSRGQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGDHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTVVCSGSKSNENSEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNRTVQTSKVSVSGRLRNGRPGSGFDDENSSTSASASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTIAEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCSDTSTKPVADSHPESSVHQKFSQQGAIEGHSNARSRHELVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLRSMDDDSVKVVNVSACSNQDSDTTPCGVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVKIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPFENVTFQVNGDGTNPQNDIKSLSASSCKPTLQKEQSKQPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQGYLDLYVGREVLKKKHDR >KN538827.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538827.1:79252:80837:-1 gene:KN538827.1_FG032 transcript:KN538827.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDCIVLNLLLERSSMHVVVPLLTMESSKICALALTYVMGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >KN538827.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538827.1:22590:26712:-1 gene:KN538827.1_FG033 transcript:KN538827.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVRDCQTFYLFAPFFRMRDLFYFRLAVRVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >KN538827.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538827.1:91285:93918:1 gene:KN538827.1_FG034 transcript:KN538827.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVSPPPPAPTTPPSPPPPSKSPPPPSPPPTTSSTPPSRQSPPEEGTSPPPSPSSGATTPSPPPNAQSSSSSSTPPAGAGTSPPAPRETPSPGTPPSPPPTTLTTTQAPPIQPPPPPGGNSMIVPSSLTTASTSQSPPAATTAGAPPPPAPSVGAWGGNVPSGLLIGVAFAGFLLALASMFLFLCIKNRWKRRRRPAQVMNLARRRTLVVPERVASPEVYQPSNGPTASPSGTSSYEFSGTTSWFTYDELAAVTGGFAEEKVIGEGGFGKVYMGALGDGRRVAVKQLKVGSGQGEKEFRAEVDTISRVHHRHLVTLVGYSVTEHHRLLVYEFVSNKTLDHHLHGEGLPVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKFTNDSVTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWLITDADAKALVSLSGYMVTWLVICVRYWMQARPLLVDALETDDFRELADPALERRYSKSEMRRMVEAAAACIRHSVTKRPRMVQVWRSLDVEGSSPDLTNGVKLGQSMAYDSNQYSADIELFRRMAFANDLSTAELGYSGEDDVRRPPR >KN538827.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538827.1:37303:37650:-1 gene:KN538827.1_FG035 transcript:KN538827.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVEVGAQGTIGSLVCREVEYFRRMEVAVVSHDHGKNMSSSSKQASRRRHRQRGEPQDQEQGPAAVEGGRGRRAYLFLPSICSSAEVAEATGAARVRYQHLGQDEGHSLPQ >KN538887.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538887.1:147344:148417:1 gene:KN538887.1_FG001 transcript:KN538887.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFLPAYAPNQFSQKIEWRKAKQRRKACNGYKSYLSVFQQCKMRPSCEHSSTGPQKQ >KN538887.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538887.1:94421:105119:1 gene:KN538887.1_FG002 transcript:KN538887.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPPPPPQPSVSFKLVLLGDGRVGKTSLVLRYVNDVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDNDTFLRVTKWVKELKQMANKDIVMAIAANKSDLVRSKHIDTNEAASYAESIGATLFVTSAKAGTGIDDIFSDIAKRLLEKRKNSSDGLSLAHPKKGILIVDDEPEKEPPPKSHSLSEAETTENAKIKLLFQTGFDEAELRSYRSVIHANVYQTIKILYEGAKELSQVESDSSKYIISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >KN538887.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538887.1:45057:48703:-1 gene:KN538887.1_FG003 transcript:KN538887.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEGGAGEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKLVFECQGGKWQHAYGDWHGMGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDEMNDDEKQ >KN538887.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538887.1:7227:7859:-1 gene:KN538887.1_FG004 transcript:KN538887.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDGGRLRVPDDGRGGGGLAFSHFLPLSPSILPSPAPSPRCSTPLSPPKLLADHGQGDEAAFFADAWAKNGKRQKQRSTVEGGGLWQGQGMLVDGERLRVADDGGCGGSAFLPPPILPLLLPAPRCSTPLSPSSPPFRPSRVIVPWARWMCGISTGREIRWPTHCAGKLMCVPAYGRRGEEGCRRPSGRRWKMIGAGWCTSASARTSEE >KN538887.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538887.1:43127:43504:-1 gene:KN538887.1_FG005 transcript:KN538887.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGGADVERDIDNDDDVEVAFPCGCQAKPQPRRWHACVAPSDQHRCGPQRLGSRASTRVCITLHTTPLFATSTSSTAPPPPRVCLDPTDNDKDEDEDEEEEEGLGGGVAVVTAALAEVEEAGL >KN538887.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538887.1:120131:123002:-1 gene:KN538887.1_FG006 transcript:KN538887.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQVIIVTLLLLCIVLIIRGEEIQHINPRRTTNQDLTNQEVNKIIQAEDGDVYDCIDINRQPAFNHPLLKDHKIQLKPNSFPVGIDVENPFMYPISEAQLPTAECPTGTIPILCNNRQENISTKNTDAIGTSQQQEVAGIKYFDDIYGTQAAINIYEPMVKHHWDLSGSWIQIENGPDVIGAGSWVSPSFSGDSFARFHISWRDEVQNKSCNNHKCPDFVQVSSSVVLGGRIQPVSVYNGPQYAIKVLIFKDPKTENWWLVYGEEKTAIGYWPSSQFSYMKEMASKALWGGYVQGPTASEDSPQMGSGHFASEGYGKAAFVRDIQVVNEDNMRVIPNPVKADPGSTNRRKYTYEYYGHNPNGMHVYYGGPGSYS >KN538887.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538887.1:16252:18998:-1 gene:KN538887.1_FG007 transcript:KN538887.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLDHGFTFTPPPFITSFTELLSGGGGDLLGAGGEERSPRGFSRGGARVGGGVPKFKSAQPPSLPLSPPPVSPSSYFAIPPGLSPTELLDSPVLLSSSHILASPTTGAIPAQRYDWKASADLIASQQDDSRGDFSFHTNSDAMAAQPASFPSFRDQEQEQQVVESSKNGGAAASSNKSGGGGGNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNGCSMKKKATATWATPETVHAYTPHLGYAYLHQMAQWMERPSGKVAGVKWEHARDKH >KN538887.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538887.1:65775:66607:1 gene:KN538887.1_FG008 transcript:KN538887.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEEEKMMTARRENERLVESLYAAVAAGDGAAASALLAGDVDWWFHGPRRLNYVKIRGRSTNYNN >AMDW01037331.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037331.1:264:592:1 gene:AMDW01037331.1_FG001 transcript:AMDW01037331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILIEVDSLQGETIPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQ >KN541531.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541531.1:5854:7904:1 gene:KN541531.1_FG001 transcript:KN541531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLVLLLAVTCSPAMADVVSYSFAAVGGGRAASNGLVVATNSSILSPATFLFDAQLFPVFNESDGFLLLADTLQLWRAAATGMPPALEASFNTTFTFLSSAVAFVVLLDSFPPLASHRGTTQSAFVGFFAGTVRDAVLGIRDWNLTVDRFPGDGRLRGEDVDEQWWPVAMALGSSSPAPCRQSYQGQPPDVVAMATSTLARLPALRIHSPVLNHAVIGKTSASTTSFVDLARQKFHRHHPIHVILVDWVVGPTFFWLLGNSNHEGLVIRVELRSSVQLWLEGL >KN541516.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541516.1:1463:15290:-1 gene:KN541516.1_FG001 transcript:KN541516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVNTGGGTPFISGLEVRPLKNSLYPEANETQGLVLVARMNFGPADTYIRYPDDPHDRAWKPWIDPMIYAEITTTKTVQSVEEDMYEAPSAVMQTAITPHNASGSIQRRWEDKPNTNYPSSGYMLIMHFSELQLLQENTTRTFTISINNKIIGNITPDYLYADASHNTEPLRGSNQYNITLHAIANSTMPPIINALEVFSIIATTTIPTNAKDVSAITTIKKQYEVKENWMGDPCVPKTMAWDWLTCGYAVSSPPTITGVNLSYNNLTGSIPEALSLLSSLTVLDLSGNQLSGSIPSELLKRAQEKSLQLRYENNPGLCINGTCPSPEGDPKLAIYISVPVVAVTVILVFVLFCLLRRKKKGSANNTVNPHNELITHSYGSDSYGHGSMQLENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLGEILPDLTMLAPSNIYFGKAQILTRIHHKNLVSMIGYCMDGDYMALVYEYMSQGTLEEHIAGRDHNKKNLTWIERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNLKLEAKIADFGLSKAFNHDSDTHVSASILAGTPGYIDPEYHATMMQTTKSDVYGFGVVLLELVTGKNPILRTPEPISLIHWVQQRLQCGNIEGVVDARMHGVYDVNSVWKVAARSCLHLLIVLAVAVAGGVLQAARGQPDSNGFISIDCGLSGTAGYVDNATKLSYSPDAAFTDAGTNNNISVEYFSPANSRIFDNVRSFPSGAAPRSCYTLRSLVAGLKYLVRANFMYGNYDGLRRPPVFDLYAGVNFWRTVNITDAAASITAEAIIVVLEDSMQVCLLNTGAGTPFISGLDIRPLKNSLYPQANATQGLVMVDRVNFGPTDTFIR >KN541531.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541531.1:19362:20813:1 gene:KN541531.1_FG002 transcript:KN541531.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPNMLLRLLFLVCCSSSLAASAAAASSSSGSACSCSSSSDETSYSFPSTRIEDTLALLDDAEISSNNGSTILHLTPAASSNKSGTALLPTPVTLWRRLDYQTTAAQPGSYSKQDASLNTSFTMRVQYANAKYSPADDAAAAAAGLNNGLAFVIVPTINGPPPPGSAAAFAVEFETGDNRSITVSITTGGNIIAAATATTTTTNQTNSYYAVWIDYNGEKHRLLIYIDLQDRPKPQKPCLDVPLNLSSVVPDRAFIGFSATTTTTTTGGSSSAMDELLLHRYSILSWSLTVKLPPSPHGLDFEWKVILPAVIGTVAITAIMNVIVAALYLNSKYNKLKMELVLTEALRRLPGTPREFKHAAIRKATNNFDEGRKLGNGGFGAVYRGTIRSSSSSAGKQKATTAAAAAVSSSSVEVAVKRFTRDENRCYDDFLAEVDIINRLRHRNVVPLVGNHLIFSSSSFHSTTYNSCTIVFRSGQKQGGN >KN541531.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541531.1:9004:10860:-1 gene:KN541531.1_FG003 transcript:KN541531.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARTTSASRLSMASTSTSRCSSSRTRRYGATPSARLPTSNTSSSSGSVLPSSGSVLLPFRMELWRHVVSGDMMTKNEASFTTTLRFMIISSRTAAVGSLAFVVVPTLNAADGALPRALNTANSTITTTSNNHSLSLDLASIMSDYNNNSNKSTSTAVNYTVWIDYDGIGHKISAYMANDGQLKPSKAIFAGHLTMSNRVPNKAYIGFFASGSDGETYGLLSWNITVDRVPDSGIAASKSKNKTFETGFTTVILLDEVLSQLARKLKYSEIRNATGNFSDARRLGRGSFGVVYMGTLTTQRNGRTQEQRQQQVAVKKFDRDENQQRRFTDFLVEIQVIIRLKHNNIVQLIGWCLEKRALLLVYEYKSSASMELAGTRGFIAPEYAQNRVATRRTDVYAFGALVLEIVTGRKALDHSRPSDSVLIANWVRDEFHNNGNLLEAVDGSLTTEEGLQYDADDAERLLLLGLSCTSHSASDRPSMEMVVQIVAKSSVPRPVVVVPRVEPAFVGSSSA >AMDW01037868.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037868.1:122:320:1 gene:AMDW01037868.1_FG001 transcript:AMDW01037868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAV >AMDW01041674.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01041674.1:148:327:1 gene:AMDW01041674.1_FG001 transcript:AMDW01041674.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEVHPYCRQNKLREFCKEKGIQLCAYSPLGGKGTPWSNNAVMDCPLLKQIAMERDRTIAQ >AMDW01040242.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040242.1:189:1281:1 gene:AMDW01040242.1_FG001 transcript:AMDW01040242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YDECINDCDKAVERGRELHADFKIISRALTRKGTALAKIAKCSKDYDVAIETYQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGGDEDETLILVHSCAGNEFFKQQKYPEAVKHYSEALGRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPNNQELLDGVRRCVQQINKASRGELSQEELQERQ >AMDW01035822.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035822.1:72:537:-1 gene:AMDW01035822.1_FG001 transcript:AMDW01035822.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GKMAGRARWVAKYTKGLVDVLHENNISHYRTQNGWRTDGWRKIVSEFNDRYPDAKFTKVQIQEHETQLKRDYRLVKLILQREGVTWDQNASMIRTTDEIWDEIIEEMPKARKYQFKSFPLLQSLEVLFE >AMDW01058611.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058611.1:545:775:1 gene:AMDW01058611.1_FG001 transcript:AMDW01058611.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPNPNTSINWDGIHLTEAAYARIAAGWLRGPYAHPPILAAVRQ >AMDW01019236.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019236.1:95:182:-1 gene:AMDW01019236.1_FG001 transcript:AMDW01019236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KWHPDKITSGRVDPEEAKSRFQQVHEAYQ >AMDW01032003.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032003.1:39:406:1 gene:AMDW01032003.1_FG001 transcript:AMDW01032003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVV >KN539700.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539700.1:48693:51096:1 gene:KN539700.1_FG001 transcript:KN539700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEEDPRWRRCNTDCVYFLASPFTCTKGSKCEYRHADGARFNRRNCWYWFKGNCVNPSCTFRHPPLENLNKTKSLADPLSLCSTSVKAANPCYFYYNSHCSKGDNCPYLHEPLTSNDAVGTSCKATTSNPAVSKSYVGDEMVEESKDTITNPCQDTSCHIKEVPVSINPEFGEAEAVSGALETSTDIDEYMKCSAVSDLNSGDSTMDHTEQDERDSSPGFDVLVDDCLSNKSDLEHQLTTESDNKVLHAEYGIRDPVLYDMYYHDPEYYNYEPEFCGLDDRQGYLYLCQPNGAHEHESEITLGHLLPQNTEVTSDEYDRRFFNPRNFTSSVADTDFVHQHTQIRHISKRRPENRKGAKGKKDRIKRSRCLEPKNSTQQIESMPTRQRKDYLMGECPQPANHATFRGWRKKNRGKQQHVLSAKSSEHPTADFTGPKTLAQIKEEKCKSNSSFSHSTACTPNVRSFSDDFEGPKSLTELLMTKSRSSVGK >KN539700.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539700.1:78021:79166:1 gene:KN539700.1_FG002 transcript:KN539700.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDSREWIPPAAEKLTDDLLVEVLSRVPYKSLCRSKRVCKRWRRVICDPDHRRLLPRYHLHDAVVGFFDHRSFISFSGRGRFVGPSLPFLPDCGDLRLLDICNGLLLCRRRRLSDPRRFDYLVVNPATEQWIVLPESGWTHKEQISRLGFDPAVSSSHFHVFEFELVEHSAMGMSGDHDGNVVAVEIYSSETGVWIHRNNGWGCIIRTLDIWRSVFFKGMLHLITMDDVVAVVDVEGNSWRTIPMPETFVDPYYGVDDGFIDVSQGCLCFVNTDRDDLYKLSVWVLEDYSSDQWTLKHTVSHLHLFGTDKQHFGYDYKVVSIHPKRNTIFLVSLNDGIFISYEMDSREVHYICELGDILTRHYLPYVPLYSESLANVH >KN539700.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539700.1:20823:22218:-1 gene:KN539700.1_FG003 transcript:KN539700.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWARQKRGWSGAHLAACVAVRTRSEVVEDWDYYDYDDDDLDCDGVEDVVGLRIYSSETGVWSDEMDNGWSIRIRIRRDPKGGSKSVFFNGMLHLVAIQPVVAVVDVEGKNWRTIPLAHKDGSPLCGAHPPCSSGTEGFIALSRGLLHFASTDSYGDWEISVWVLDDYYGGQWTLQHTVSTMRPFERTMRRRMNPDDCTLVWIEGRKMFFMFYDYVHCILTSYEMDSGEVRCLSRPRSYCEPPYLPYLPLFLESLADGP >KN539700.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539700.1:53013:53534:1 gene:KN539700.1_FG004 transcript:KN539700.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGLQRSSQTFRRSGSSGLVWDGRLMSEDQNQSNQRATGDAEAGSLESKELRHSRSVGSSIKAQRRCSDSVERSRSGNQAFRTRHVPPAMDPPSPKVSRCLFCGIFSKEEPSQPPEPRSLYWQP >KN539700.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539700.1:70441:73386:-1 gene:KN539700.1_FG005 transcript:KN539700.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGGRGDGGGRSGGGGRGFGRGGDSGGRGGRGRGGGRTPRGRGGGRGGGRGGMKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGGASGTTVSHVSDIVGPARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >KN539700.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539700.1:17702:19178:1 gene:KN539700.1_FG006 transcript:KN539700.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKLLLQLLLGPPDCCWHGGVLDVYIAIVHGSSRLRRILLSMAATAVGLGQVASRWYYLERRDATRPHGVVRGAAGSGKDCRLSPHGRLEREVTPTEAVALKQEADDEFGLFCCLMTKDDDENGAAP >KN539700.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539700.1:60680:66185:1 gene:KN539700.1_FG007 transcript:KN539700.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGMVAGSRNRNEFVMIRPDGDAPPPAKPGKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDEEEEDVDDLDNEFNYKHGNGKGPEWQIQRQGEDVDLSSSSRHEQHRIPRLTSGQQISGEIPDASPDRHSIRSGTSSYVDPSVPVPVRIVDPSKDLNSYGINSVDWQERVASWRNKQDKNMMQVANKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILMFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKSKSYMDSKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQKRLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >KN539700.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539700.1:24232:28443:1 gene:KN539700.1_FG008 transcript:KN539700.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVKAPFEGVVNDFKGRLSCYKQDWIDGFRTGFRILAPTLYIFFASALPVVAFGEQLSNDTDGALTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNHPNLGERLFLPWAGWVCIWTAFMLFLMAMFNAAVVINRFTRFAGELFGMLITILFMQEAVKGMLGEFSVPEGKDHSLPIYQFQWAYVNGLLGIIFSMGLLYTAICSRSARSSLYGTVWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKVVMQFILIPGSNDLFSVPPLYIFLAIVPAVMVAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILVLSFMDTNAMQVLICGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTAKEGMMNNASSSEVYGKMQEVFIKMDDKSNAKSVRKELKELKDAVIPEGNGAGRVSEVFDPEKHIEAYLPVRVNEQRVSNLLQSLLIAGCVGVMPIIQKIPTSVLWGYFAYMSIDSVPGNQFWERTQLLFISPQRRYKLLEGAHASFMESVPIKKISAFTIFQLVYLLIVWGMTWIPIAGILFPLLFFFLIVIRQYILPKFFDPRHLWELDAAEYEELEGVRRDPSTDEDASVSRCSDASPEYASEILDEFTTNRGELKHRTKSFRDERLIQVPTHIQRWGYFPLPLPKK >KN539700.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539700.1:13135:13887:1 gene:KN539700.1_FG009 transcript:KN539700.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALGALALACFAVMSYAQTCGEINGMLCPYNMCCSSSGILK >KN539700.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539700.1:76192:77145:-1 gene:KN539700.1_FG010 transcript:KN539700.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVVFRSSGELSGLQCDTGFNLPKKENDSCCGQYNLASKKWKLLLPQEEAGDGGPGGTPEGAIARLRPRSRVR >KN539700.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539700.1:43843:44782:1 gene:KN539700.1_FG011 transcript:KN539700.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARWAAGMLRRAAGCDASLAARAVFARGFLGLNMCSMDEMSRGYFADIAEIRKNGGKLAMANKIIIPEIAALKFPDLSVKSPDGRTMNLPLVAPPRNDDPQTGNTVDTQNDDCKAGDMAVPDASLVCLSFRASSQISVPIVVVYGLLAKLANFLIHTLHGLPGCAFQSYSTC >KN542470.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542470.1:500:2069:1 gene:KN542470.1_FG001 transcript:KN542470.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVATRLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAVKEASPAYHKLSI >KN542470.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542470.1:2764:4479:-1 gene:KN542470.1_FG002 transcript:KN542470.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRVLDVSGNKLDGTIPSELFTDWAELTQFRVQNNSIAGCIPLTIGNATKLQHLRLFKNEFTGVIPVEIGRLASLQTMYLSRNFLTGPIPRTVGNLTELEVMGLFSNDLSGVIPPEIFSLTALRIIDLGTNRLEGEVPAGISSLSSLYGIDLSNNRFSGIMPSDLGSRQFVSIAMANNRFTGEFPSAFCHQDSLEILDLSNNELHGGIPSCLWRLQYLVFMDLSYNAFSGDVPMSAYPNSSLESVHLANNHLTGGYPMALEGCKRLTILDLGGNHFSGTIPSWIGACNPLLRFLILRSNMFHGRIPWELSQLSHLQLLDLAMNNLVGSIPRSFANFTSMIQPKIEFNLPWKIRHRVLSGLVDYTYTDRIGINWKRQNHTFEGTVALMAGIDLSSNYLSKEIPPGLCNLEGVRFLNLSRNRLSGVNPEQIGNLKILESLDLSWNELSGSIPSNISELMSLSSLNLSNNHLSGEIPSGYQVRTLADPSIYSNNFGLCGFPLNIVCSNGSDSTSALIGRSKDNQEIAILNWFYSVLAGLVFGFWLWFGVLLLFKPWRFAFFRQVDHLQNKFM >AMDW01019206.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019206.1:51:278:-1 gene:AMDW01019206.1_FG001 transcript:AMDW01019206.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KPIFSYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGTNYSPQFKKGFVLEWQKNGFGDCDACNASGGQC >KN542210.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542210.1:7254:10118:-1 gene:KN542210.1_FG001 transcript:KN542210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGLLIGKLGAALAKEATTFGASLLCQEVSALKGLFAHIRDIKDELESMEAFLHGAERFKNIDDTTGIYVKKIRGLAFEIEDAVDEFTYKLEDKHEGFTAKMKKRIKHVKAWRRLALKLQDIKQRLENADKRKVRYDLSEIGKNGHSKSPEQSLQLAREEDLVGIEINMELLMQWLAGDSEQGCKIATVWGMGADLLKKISTEFKIPIDADNIAVGSLVESIHHHLQGKRMQEVALLATKKCTIELAPLDAHCSWQLFCKEAFWNADNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLELQLTNNMILDVDIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVYKGSEACSIENTRRLSIQNASIQHLSGSSAPCLRSLHVFSSNRRIDSLEAFLKSFKFLSTLDLQGISIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLMILPVEVATLRKLKYLYVVRVPEGSSDRVLAFDGIQVPMGLCNLIDLLALQLIEASTEVLRHIGCLTKLRTFAIGKVRTEHCADLCDAIMSMTHLVHITIGSADEKEVLQLETLRLPSTISKIELGGMLSEESISRLISTSSSLVNLTELNLCFSKLNEDSFACLLNLHNLVELYLSKAYDGKELIFHATSFPKLKLLAVWDAPNLRKVAIQQGALQSLVRLVLADCPELRDVPDGIEHLRTLENLRIKGSSDELRTKLEIKGKSNKRNEDRMKISHVKWVHIS >AMDW01040875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040875.1:5:839:-1 gene:AMDW01040875.1_FG001 transcript:AMDW01040875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFLDIVLPKPLSVPVIDRNGLVCWPSTADPSDCLLWCPFVREDTLDGASGNLLRVIAGTVIFFTGAERTCSAFGADLGPKPTPGRALPADSGRCGSLVLRFGGVSLGKSGISNGLTRARAREFGELGRDDGAEEGAEFRPLLVTGRPMDVMLLLPNILPTEGVDERELERLTEGTVLVEEEVRLIVLVLPEDELGRLAAEPVLEDELDMIRGREVGVDGLEFCADVVLAIVEVDIVLGTEDLVLTGVEGRVLFGVDGR >AMDW01040860.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040860.1:61:2590:-1 gene:AMDW01040860.1_FG001 transcript:AMDW01040860.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLTLVRLVAIVLFFIWRIRHPYADGMFFWWISVIGDFWFGVSWLLNQVAKLKPIKRVPDLALLQQQFDLPDGNSNLPGLDVFINTVDPINEPMIYTMNAILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAELWVPFCRKHSIEPRAPESYFAVKSRPYAGSAPEDFLSDHRYMSREYDEFKVRLDALFTVIPKRSDAYNQTHAEEGVKATWMADGTEWPGTWIDPSENHKKGHHAGIVQVMLNHPSNQPQLGPPASTDSPVDFSNVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGVDPPRWRPDDGNIVDSSKKFGNLDSFISSIPIAANQERSIISPPALEESILQELSDAMACAYEDGTDWGKDVGWVYNIATEDVVTGFRLHRTGWRSMYCRMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHNCPLLAGRRLNFMQRIAYVNMTGYPVTSVFLLFYLLFPVIWIFRGIFYIQKPFPTYVLYLVIVIFMSEMIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLAVLHIVLKCFGLKGVSFKLTAKQVASSTSEKFAELYDVQWAPLLFPTIVVIVVNICAIGAAIGKAIFGGWSLMQMGDASLGLVFNVWILLLIYPFALGIMGRWSKRPYILFILIVISFVIIALADIAIQAMRSGSVQLHFRRSGGANFPTSWGF >KN543164.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543164.1:387:7252:1 gene:KN543164.1_FG001 transcript:KN543164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SAKKVDKVMEEMKPIIILSLLGGVSDDSAAPYSTNWTLKQMQSRTSFSPSRENTMLSQRHNRYEELPDTWYKQYAEKFRTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNVSVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVSIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLASPRGPLTMADKNQAMECVRHEDAAGESGSVPRAGEWRFVSETR >AMDW01031407.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031407.1:11:185:-1 gene:AMDW01031407.1_FG001 transcript:AMDW01031407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQLAFSRMPPGFQFQPTDEQLVVDYLQKRTAAQPCVTPDITDIDIYNVDPWQLP >KN547052.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547052.1:173:469:1 gene:KN547052.1_FG001 transcript:KN547052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KSEESIKASNRRCLLVLDDVSSIEEWSLIKPHLPNETNTKIIVTTREASIAEHCSMTCKNIYKLEGLKEDAALALFKNKVFLLVLKRILFFYAWQSNF >KN540617.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540617.1:17369:17803:1 gene:KN540617.1_FG001 transcript:KN540617.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRINEDTVPIVTLELEDGKDKDHVPYIVTKHLPKVMPKKCSMKCTSLDTNLDLETPNCSKETDAKSLMLGLDIKGGTDEVVVLFQNKACVSKDIVVFIQSVSNLAPISIADINQNTLMPCLITGLDVNTIACSRFIPSHG >KN540617.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540617.1:42972:43864:1 gene:KN540617.1_FG002 transcript:KN540617.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLYEVVPPILHEIGKVKNPWPNDDAHKQPYPHADIT >KN540617.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540617.1:26869:31758:-1 gene:KN540617.1_FG003 transcript:KN540617.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSNLPCSSDGVCMVCKVLTAEVEQLRCSTCATPWHTPCLSSTPPLTDVAHWVCPDCSGDVTASYLPSDVARPESSLIAAIRVIEADPVLSIQEKARRRQELLGHAGDAGAARTEAVGENVEDSTESNNPLSMLNKNINCSFCMLLPERPVTTPCGHNFCLKCFRRWIENGKRACVICRAPITQKVAQDLRINLALVQAIRMAKAANNASTTGETTVYHYKENEDKPDRAFITEREKRAGMANASSGQIFVTIAPDYFGPILEDHDPRRNRGVRVGDHWKDRMECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKLNAALRVSCLNGYPVRVVRSFKEKRSPYAPESGVRYDGIYRIEKCWRKTGVQGTFKVCSDEHGDRPRPLPDIEELENAIDITERNGNPAWDFDATDGWRWMITPPICRKAIVTGDPRAGKKMQGAARHTNNLSMRERLLKEFRCSICRNVMEEPMTTPCAHNFCKKCLLGSYDNLSLMEERSRGGRTLRARKIVKKCPSCPSDIADFIQNPQVNRDIMNVIESLQKEAEKEDHARVSGEGNSAALVDSDDENDTAWENQDDGNLDEGGCNNPEDMITKSVDLDSVTNVDNTENKMEVQQPHKRTAGAGKGKGGKRARTSSEPGDADARNVMTSTETVDGIAAENVADLV >KN539518.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539518.1:95001:95444:-1 gene:KN539518.1_FG001 transcript:KN539518.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAAADAAVVLFSLTVAAAAPLIDAQSVLPRHLFPAPLVSLKRWYAREFGDYLVARPPGFLRGLVWLELAFLWPLALATLYGVLARRRWAATTSLIAGVSTLTSMVTHAPLRSLPRCLSASAFEFMLVGSKWVASASDLQGAEG >KN539518.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539518.1:69277:79304:1 gene:KN539518.1_FG002 transcript:KN539518.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSRRLLLLLARRAGHLRRHHHHHHHHRDGLVLARSLQAAAAASSPPPLPASPPARSFSSAFSSVHGERPSSEYAKIRKESLESQFGRILGSSSRTLFADRGFGPFLAIYRAATISFHVMKLTIWHLLLSDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPNAYCQELSKLQDQIPPFPTRIAIRTIESQLGSRISDLFADISPEPVAAASLGQVYKAHLHSGELVAVKVQRPGMTPLLTLDALLFHMIGGQLKRFSKARKDLLVAVNEIVRHMFDEIDYVLEGRNAERFARLYSHDLGGNSSGDGTSIKVPKVYWNFTRKSILTLEWIDGIKLTDAERIGKANLNRKRMIDECIVQVFFHHFSTFLYLFCFSWKGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMSMVSKIQNIQTYKLVHYVNRDSLGLANDFHSLGFVPEGTDLHGVADALRVSFGDGRRQSNDFQGVMSHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPSPDMRKILRQLLICDDGSIRWNRLERLIAAISEQSESSNKSEDRSGDNAANKPGWRSFDMHSVVAATEDLFHFILSRKGWRVRVFLVQDIVKASDAFLQEATFPGIFDEEGTRSKMIRRVVHGVQSFRQAISLAPDAWTAMLFRTLLKPESQKFILDVFLALAMHSCYKIPETSWICMSRFLNYLDRQACPFDPSCAKDRLPTKLAARLATNVEISIELRH >KN539518.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539518.1:35339:36821:-1 gene:KN539518.1_FG003 transcript:KN539518.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGGSPFEGFDLQCSSDVDPTSSSAQELHPEKSYGTGSHLHYRCCGMPSRDSTHAELLQTIDYRMHPLFMSHVVEELVQQVIDSAVEEQLQEVVGLISFPKEVVAGLALDFRQQLVDTEEHGNEFLQTS >KN539518.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539518.1:1349:6650:-1 gene:KN539518.1_FG004 transcript:KN539518.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYREKWRFSGMNSVAKFRMEKYFSVDARNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKVSCDSQQPLQSAITSRITNLATRVTTRVRSRVRTGQNCDDQFGGACESHYSEHDAREEQTSSEGSTPSGDVLHGAFVSEDNYSGKSSKTNSDDSGEGKLGLHKILSSTAEALWANRGIPWPWRGHGNDDAGKNRTNLPQFHEIQENGQSHKEVPEPIILPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADREADCLDFEILWEDLAIGEQVGQGSCGTVYHALWYGSDVAVKVFSKYEYSEDMILTFRQEVALMKKLRHPNVILFMGAVASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMATDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSYGVILWEIATQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPQWASMIESCWDSDPQRRPSFQELLDQLRDLQKQCNLQAQLQRTAAAKMSVDDC >KN539518.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539518.1:20828:22224:1 gene:KN539518.1_FG005 transcript:KN539518.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEKKKKNKQMDQEDGTKKFMLTKETKLPGINLPRFDIYMEP >KN539518.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539518.1:83922:90498:-1 gene:KN539518.1_FG006 transcript:KN539518.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIRGCSCTCKTGEEEVRNDGGSWRKSGGGGEGRGGALISISSGEGRGGDPVSTKIYYLFDDAEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLEMALAVKRSRGKDLDGTEVFEWFERYVIHLKLEVSIDQRELCSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLVRISKD >KN539518.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539518.1:14293:17451:1 gene:KN539518.1_FG007 transcript:KN539518.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIIAIDDVAWVAADARRGNGVSDDSDVEDDIEEEYDSHSDAEDSSETDGIAEDDEERSEDDEEAQENREHANKVQECSRPQKRFRPYVAAGTSQQKVHIDLPSQSSSLSITKKGQTMKVARTNTTKK >KN539518.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539518.1:56398:62951:-1 gene:KN539518.1_FG008 transcript:KN539518.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCGVLGHGPDTTQCAAFSRVPFPSLSRVVNISASHNHAAFVTELGEVFTCGDNSSLCCGHGEVGRTIFRPTEIKALKGISCKQVATGLSFTVILSTDGQVYTCGSNTHGQLGHGDTIDRATPKIVELFEGLAPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFPDRGSSDKVMRPRVLDSLRDHYVSQISTGLYHTVAVTNRGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEEIVIAAPSGQQRFPGTVLKKRCCGWHQPLGNQAIPPISFFITCTANKRVCELLMMIQTLWGMGCDCDCEGSRGISKVMGSSKKAKVKSRRAAKSDRLSSLPLEIKADILSRLNVQDAVRTNILSSAWRSTWTTIPEMLLCDCTYTSCQGSVTSAPPKFITLVDMALSLHRGPLHGITILGSKNYHDVFGRWMHKLSMKSPNSVTIKLTSASKYGIPSCFFSISDLEHLDIKNCIISLPQMFKGFEWLTTLELENFSSTDSDIDKLISCCPELSVLVLKSFEGISCLNIRAPELEILEVDGKFEDFHLDAPNLETANVTLHKAQEYQSVPVVHGGKSYLKQALGSLSDIEKLVINGYFLTYLSKGCIMTKIPAVFDHLEMMLLEICFWDQREILTAVSLFQNAPILKKLELWSFPWDNDFGPMSLWDQDQTSIADLTLQMDHLVTVSVNDFLGLDYEVDFVGKLLSWAPVLEEVKINVDCTRAFSLDSKVLKKLLALPRVSDKAKIIVT >KN538718.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538718.1:231257:235758:-1 gene:KN538718.1_FG051 transcript:KN538718.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGIALPDQAPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKVISFVVHLNMLIVLRCKPVDLADNWSKLILSHYFTCQNVKLRILVSFGKHFPNYEALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFDIGGTDLTNLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQMAFEAIGSSCRPERHTLPDGQVITIEKERYIVGEALFQPHILGLEDYGIVHQLVTSVSNVAPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >KN538718.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538718.1:177412:180441:-1 gene:KN538718.1_FG053 transcript:KN538718.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFIDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRACVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGLFALLSVPCHIEKPGSTGSAVSLLAYYALD >KN538718.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538718.1:134966:141673:1 gene:KN538718.1_FG054 transcript:KN538718.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEQLVLDLCDPELRENALLDLSKTSIDVFGMGKTSPFFVRRVLLWEFVKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHSDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVASVLSQMVQALADQPSPRLLKHIIRCYLRLSENSRACTALNSCLPNALKDGTLNNFLQDDHVTRRWLQQLLHNMTMAGMGGAPHGGLDHIMGM >KN538718.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538718.1:298745:307500:-1 gene:KN538718.1_FG056 transcript:KN538718.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding DGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHELLRSETVRLEARISVVAAGDWSLSSFLATATTCFDIHDPCVAWITSQASLSSMILLNCRHNLISNHPSFSYTPYLTGWAPSSVAPGWNRGERYLIRFQKLQVPDRPMAKRTVQINPTPQPRHSWCRLPVGPTSTGCWVPPKSRASPPTEASGGIKTAKKTISNSHPSKQPRLLRFTTLLVVSGSGSGFRRAAARSRAAKEGETMREILHIQGGQCGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTCKFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEELQSRFVRRLWWSVAEGLKSVLSALLELNHLLGKMVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWFAVPTIWLPVVCWLLVKSIRMGHTIQEVGLMTLFGIFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFGTLPPSKTTGKNN >KN538718.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538718.1:129287:130175:1 gene:KN538718.1_FG057 transcript:KN538718.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding VDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNMLRVKRGIDMVKILFEQILVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDENSAKVQMQTFIRASGPVILYVEDLFTSRNLGMDW >KN538718.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538718.1:246153:247921:-1 gene:KN538718.1_FG059 transcript:KN538718.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAAQARVVFSSGGGQSASVLTGDDTETAAWMLNARQPKRPNHRRGDMDQRGELVQLKFITCQRGEKFLAVILKDDTDVEKKGSDQREDARIARTHTSLQQGASPWTDTAMLTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPIAVGMNSACMPAAQGLSQMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTVPPQVSGPYASGPQVAQQNQILKAPASTVLPNSGAEQPPTSDGI >KN538718.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538718.1:171733:172214:1 gene:KN538718.1_FG061 transcript:KN538718.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGHNRTNNYIRIQAMGSPRASRGGMRCGGGGGGGAGWGVAEEMLSQKNVESVLFRGKKLAEQTNAEKLEWFAHELVKERDRRRTAGALAPAVVKQQPLESAPAMAPPPPPTSYSNLVSQMFTTIL >KN538718.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538718.1:143585:151629:1 gene:KN538718.1_FG062 transcript:KN538718.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQVAVSAPAGSDRGLRSSGIQGSNNISFSNKSWVGTTLAWESKAARPRHANKVLCMSVQQASKNKVAVKPLDLESANEPPLNTYKPKEPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKVKVTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPKSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNSHLNLLQKIYVTLDRYSPTLQQYPGLLDAFLKTCKLVAAFD >KN538718.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538718.1:213111:213380:1 gene:KN538718.1_FG063 transcript:KN538718.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEEKQPTLAPRLHAAAPWPLAASSACCHSKLYLDVSKLAPVKGLKHSGGKDDEDGQLFSNDTPALPPKPKHRELPSATDDEMVATRR >KN538718.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538718.1:236535:238042:1 gene:KN538718.1_FG064 transcript:KN538718.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRAVELDTVGFGVFVKNVGRRHGLTEVLRLVQAVRHLGDRVDRSVVAVMVADGLCREGRIEDAWQAVEDMRLQGWKPDFVAYRVVSEAFREAGRTEEEGRILKQKRKLGVAPRKEDYREFMLALLSNRQIGEAKEIAEAIVLGDFPIDDDVLNALICSVSEIDVDAAVMFCKFMIGKERFPSSVMLIRLCESLCTNGKGDDMWEFFRVLLDKGYCTDVRDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNSLMESLCRKNLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDVTTYTSVINMLCQEKKYEQAVEIFTKCVVQDTEVASSVLTVFILALCKQGNFKGALGVLSCIPSNIESVNSHVILLKCLIDVGEVDLAIEHIKSIRSNFSSSFQDIINELMASLSTSSSLQPVTRLISYLNSQGIIHDVGPWMGLMEHDYA >KN538718.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538718.1:257816:259100:-1 gene:KN538718.1_FG067 transcript:KN538718.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSLAVAMVAVVLLLGATTQAARLLDELVPGIPVPTIPGVPAMVPN >KN538718.1_FGP068 pep scaffold:O_longistaminata_v1.0:KN538718.1:187334:188945:1 gene:KN538718.1_FG068 transcript:KN538718.1_FGT068 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEALEIIHHFVEVLDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQICFFVSYDFFI >KN538718.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538718.1:152850:157502:-1 gene:KN538718.1_FG069 transcript:KN538718.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMVTLFAHELKFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKTNSVVALIILIAYDALRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >KN538718.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538718.1:214259:222112:-1 gene:KN538718.1_FG070 transcript:KN538718.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDGGDSVELHNGSKSSFAVVHENVEISDAAESNCDHVDSKNIAAAKDTAFSGEEDLDDETDDDIGCFDEEDGISRLAASNLTANSDRHPTNQSSPAIAVEAVPSQPSPSPPGFFKTVSYRQAFSGIASELVAASSHAPVLDAAEEDIAEVSPVIVGGEHENAEISDVAESNDDHVDSNIDAATEVTTFSGEEDLDDETDGDIECFDEEDGICEENPDDEIFDDEEEESDPEEEDTGSSDLETDSDEYTESTDEESDYEEEDTTDLESDSDEDIESTDEESDYEEEIDDEEIDNESDEEDMNLDDELMGFASGLFGDEDTESSHDEEDIDDDDESLDDDGSECFDEEDIICAENPDDEIFDDESVDTGSSDEEESDDEEDIYSDEEIDDEEESDCDEEIDEEEEEEHGGNRYDTIDNESFGEEESCMEQSDAEEEWPEFTGVPVSYDDIDTESSDSDMEFNGGKYDDIDNGSLHEDDSVSDEQSDDEEEAEEFAGGGYDDIDYESLNGDDFEEYLQVLADGGIDNESFGEEESVLDDEVLDFFHGLNDEFLDFFNGDTLYDYEIESSCGEECEHVCVCGRCMELIDGEEFYQLTGDEFDATQIGEEIGGDASGADGEEPSDAAAAAAEEEEESATPAMEDWVVLSGSDGGDSVELHDGSESSFAAVHENAEISDAAEGNCDHVDSKIAAAKDTTFFSGEEDLDDETDDDIDQCFDEEDGIYSVLLIKAFNVIVGLIIQVLLAGEGRVLGGGDLGVLEGHA >KN538718.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538718.1:158203:161340:1 gene:KN538718.1_FG072 transcript:KN538718.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPPSIFDRTDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIVSSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >KN538717.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538717.1:90370:93337:1 gene:KN538717.1_FG073 transcript:KN538717.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLELREFLALLRERPLSILFGCAAQYTIMPAFGAIVSRVLGLSPSISVGLILLGCCPGGTASNVVTLVAKGDVPLSIIMTVCSTLGAVFLAPLLKKFLLVVVAPILLGSSIQSAFPSVVKFVTPFAPLLAILTSSLLACSVFSENFVRLRSTIADASYGNGGLFSGDIGVVMLSVFLLHCAGFVVGYTTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSSLVALPAALSAAIMNIMGSTLGLVWQCMTPPDSKNENR >KN538717.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538717.1:58348:67366:-1 gene:KN538717.1_FG074 transcript:KN538717.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRERQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGMNALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSAPSNGPNSSRLFKKIELNESIRYASGDPIESWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSAVRLGYGSAAVDLLTRYYEGQMTLFAEDEEENEEPEVRITEAAEKASLLEETVKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSDDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSDYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDIGATKEELGIEREQVLSNFIKTMKKLYGYLHNIAGKEIEATLPRLKEIDTAPLKSLDEDLDEAAREVKEQRRAIDEDDVDPKFLQKYAIDADDDEIEKALNGGKISASGVISVKSNKTKADKQEKRKEMKKSKRKGNDGEKSESKKKKS >KN538717.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538717.1:32858:39587:-1 gene:KN538717.1_FG075 transcript:KN538717.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPTPQQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKICMMDTALYDKQSKEMQLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFASRFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPIPDKCDRLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKIPVTNYIIRDSLKLKASDADTVQFPEQASSSFLAYYCYFFPRILFPLLCTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLAVSGLILREIKDFWRVALFICTLTYPEVSSGGDSLGQPDELHQRKEKYTRAERLITELGKESTDDCRLPYDFLHDVVLLSQHLNVKTNLFISLLRFSDLYLTTSSPELDGVWKMKPLLDGKAIMGIMQQQRLLKWQLAYPKGTMDECIEWMKQSQSKRQKV >KN538717.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538717.1:114:6122:-1 gene:KN538717.1_FG077 transcript:KN538717.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMAQRDARKFSVSRIALVKPDEARGVEDVLLSAAQSGGISEKVSEERLISLLEQINTRTSKQTKVTCILYVLPSGSLMIFAMELGLPLEKLVYLNLPNLHTITKVQLEEEQSFLMVVYNPKVSAVVDTCHPRYFWCSHRRTSTGLEVAFRASLITFKLGRQQWEGMLTGMKISLATELDTTHIYKVDEAGECLMIPTVSTLYIIISMGCAWKISEDCQVVNVSLKTDSWRTELLAEGVDRRHQTFSYCSRFKKRTRAEISSEKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPAKSLHGSNLSAPTVLQFGKTGKLSVERADPRK >KN538717.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538717.1:104426:107040:-1 gene:KN538717.1_FG080 transcript:KN538717.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMDRGDGFLPLWKFSTGDSRVSKVKTTAMSRHRTIMNIFEKEPRIHKDVFVAPSAAVIGDIEIGHGSSIWYGSILRGDVNSIHIGSGSNIQDNSLVHVAKANISGKVLPTIIGNNVTIGHSAVLHACTVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKTFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPNKAQKAVAH >KN538717.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538717.1:51469:54025:-1 gene:KN538717.1_FG081 transcript:KN538717.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYDYSSGVWQFEGTGYVPSGTTGVSIMQVFGGGTATTLMLHVYGGDLWYYHQQVVETNIYDRWFRLNVIHDVAASQLTVFIDGRERLRVAGKGGDSHYFKFGVYMQTNPSNRMESRWKGPLNQ >KN538717.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538717.1:42115:45584:-1 gene:KN538717.1_FG082 transcript:KN538717.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSTSWCLVVLAVAMAASSPAAADPTDGFTAVRLGERNFQLQWPYDVKNSSRYSFDGTVRRLWVFSDDKPHTPRSKTKPRTEIRMTGYDYSSRVWQFEGIGYVPSGTTGVSIMQVFGGGKTATTLMLHVYDGDLWYYHQQVVERNINDRWFRLNVLHDVAASQLTVFVDGRERLRVVGKGGDSHYFKFGVYTQVINPIRRMESRWRGVRILNKNHATS >KN538717.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538717.1:78578:81694:1 gene:KN538717.1_FG083 transcript:KN538717.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLELREFLALLRERPLSILFGCAAQYTIMPAFGAIVSRVLGLSPSLSVGLILLGCCPGGTASNVHSWCSVPCSTVKKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSVVKFVTPFAPLLAILTSSLLACSVFSENFVRLRSTIADASYGNGGLFSGDIGVVMLSVFLLHCAGFVVGYTTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSSLVALPAALSAVIMNIMGSTLGLVWQCMTPPDSKNENR >KN538717.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538717.1:99133:103365:1 gene:KN538717.1_FG084 transcript:KN538717.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAALLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDALLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKIYGPEADVWSAGVILYILLCGVPPFWAETESGIFRQILRGKLDLESDPWPSISDSAKDLVRNMLIRDPTKRFTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFAFFDKDGSGFITIDELSQACEQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNTGGANAGGVTSTGGTGRRTMRNSLRVNLGDILKPTEN >KN538717.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538717.1:173322:178078:-1 gene:KN538717.1_FG085 transcript:KN538717.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding EDLIVPSVQPHPVANPISGGSNPTSSSPPPNATVVVTSEQNGTTDQSNPVRHSSGGHGAGSNSSRDGNTSDGGDGSWNDGGLGSGSGSNSSYGHGNSTNGAGGANMNNIIHSSGTSSSANDSSRRISGNNNWNNNGRSAGSNHNAAGSGDGSNRNLWNNNGRNGGGSSNGFVGRGGHRNRRDHERGGSFSPRNYPRHTPMPPQQQQPGIYQPGPFPRPPPPPAPAHFMVPQPFVPYVPHFAYPADVQGYPFYLPPMEQFQNMHLVRPQMQPLWVPQDQQNLQEDIRAQIEFYFSTNNLCHDTFLRRQMDDQGWVHIDVITKFNREAIIVLVLCLPHPVLMFPSFFWQIVLRLPMRRFTNLVDTNYILDAVRGSELVEVQELETNSLAWPTRSERKDSNTCLQCEATTLLVNG >KN538717.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538717.1:111047:115873:-1 gene:KN538717.1_FG086 transcript:KN538717.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGLASREAAYYQQGARFARWRTVVSIPNGPSVLAIKEAAWGLARYAAISQEDGLVPIVEPEILLDGEHGIERTFEVVQKSEVEATRKPQRHEPGGGSGAQPVARLLLLRQGAAEHLPQDVGRPAGERRGGAGRAAAARKANSLAQLGMYTSDGEAAEASEGMFVKNYTH >KN538717.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538717.1:122211:126454:1 gene:KN538717.1_FG087 transcript:KN538717.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVDAVLLTDAQGLLSGIVTDKDVATRVVAEGLRVEQTIMSKIMTRNPTYAMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPYAFIETLRERMFKPSLSTIVTEGTKVAIVSPSDPVYVATQRMREFRVNSVVVATGNTLQGIFTSKDLLMRVVAQNLSPELTLVEKVMTVNPDFATLDTTILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVVEGGNDTVNDVANTVMQRFWDSTLALESPDEECDSRRSVDGFHLLNVSLTCFPLIPFSSTFPSEVSLLLASETGDGKSSIYPPVIGNLFAFKLQDQKGRVHRFTCGSESLNELASSIKQRLSITDEEGIMQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVLKLHIDLSESSTEVTKPSQQLAAARRSRLSPVRVGLMAGVVALSGAAVMVYLKRAKV >KN538717.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538717.1:68805:74415:-1 gene:KN538717.1_FG088 transcript:KN538717.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQMMNTMRDQTANLNPLFYPFMADDALLGMAPPPPQQLLPSASIQHMDWSPDTMLDNLTFIEEKIRQTNREEEEEEQMGSPDYDELFKGWTNGGAMDECVGAAGDEQDAKFDGENPAAAAEEEKYEVLQLEEDEILAPHTHFCGICGKGFKRDANLRMHMRGHGDEAQPIYGQSQHHRNSHLRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >KN538717.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538717.1:47025:47321:1 gene:KN538717.1_FG089 transcript:KN538717.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKFAEIVNAVEATIQWWRIGGIDAVHEVEEGGGVHGVPVQS >KN538717.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538717.1:84240:88126:1 gene:KN538717.1_FG090 transcript:KN538717.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAHQALSACGCANLEVILNADAKNVEEHHEGDCQKLDCCHQPKPLVCQPSFSSGRFMWSRGFSSQAGASSGDKQDELEEGFSDLEVPPEAHKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLESPRVDVAGAVKKWLNDGNTLDRSELFYVLLILRRRKLYIKALQLLEYVEESKLIDLGERDYASRVDLVAKTHSIYKAEKYIENVPASHRGEIVYRTLLANCVAIANVKKAEQVFNKMKDLGFPVSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLIQATIARHYIFGGYREKAEAILEQMVGDDINENRSACKFVLPLYAFLGKNDDVERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFDNMFKTWKTLSSKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPYTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPLYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >KN538717.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538717.1:49279:49470:1 gene:KN538717.1_FG092 transcript:KN538717.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRDHNGKDKKTKEEDANSWKTIA >AMDW01022119.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022119.1:83:262:1 gene:AMDW01022119.1_FG001 transcript:AMDW01022119.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFEVPVLMVMGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFVQEQLPEQ >KN540848.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540848.1:494:724:-1 gene:KN540848.1_FG001 transcript:KN540848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLVGTAVGVYAAQNYKVPNLRGLADRGVDAAKQYEEAYRKKPSAGGGGGGSRKKMNKTVEIDDDEE >KN540848.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540848.1:14050:21876:1 gene:KN540848.1_FG002 transcript:KN540848.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAVRKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMVKINETISKAKDDVKELIKQAHDKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADWFQLGSEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGEIQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKYGKVNKFEDNVGFKADNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYSPTSPSYNPSSAKYSPSHAYSPSSPRLSPYSQTSPNYSPTSPTYSPTSPSYSQPSPSYSPTSPYTTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQQFSPQAADKDKEKAK >AMDW01007128.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007128.1:49:174:-1 gene:AMDW01007128.1_FG001 transcript:AMDW01007128.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVQFAAATFALCAADDYRLMAFVGTGVAVYAAITVVFVQ >KN540848.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540848.1:2541:2843:-1 gene:KN540848.1_FG003 transcript:KN540848.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGFAFLAGTGFGAALTSLRRDGDCCPMRRRRHCCHHRRCRHHDDDEQPEEAAGEEVYMKESKRATTMTNPKAKKGSNKEKKAAASVAREEDDDDE >KN538988.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538988.1:110671:112034:1 gene:KN538988.1_FG001 transcript:KN538988.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAGEVDAAAAAAMVQQQQQQYAAAAGEHRPRKAAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAGLIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLSQAFKLSL >KN538988.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538988.1:59762:60073:1 gene:KN538988.1_FG002 transcript:KN538988.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHNFAGAVRNCKPPEVYKGKGILYIDEVIKLKPGKKQKK >KN538988.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538988.1:22785:26427:-1 gene:KN538988.1_FG003 transcript:KN538988.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAGGCCCGGGGVWARLLLLVAVVAAAAAGRLALPSNVQVVDLSGNLFYGGVPGSFGQLFGRTKLANISGNYFDGKLGVSNGDGGNFSFELNCFVDVTGQRSQAECQQFYAARGLPYNVSGPAPAPQPAMPASPGRKKGHKNLKYILIGAICGGVLLVAVIAAILYCLVCSGSRRNGSRNDQRESGVRNTQLGASGTGGGAVTAGTQPSASPANLAKVGDSFGYDQLVEATTDFGDDRLIKHGHSGDLYLGVLHDGTSVVVKRITSSMAKKDAYMAELDLFAKGLHERLVPIMGHCLDKEEEKFLVYRFVRNGDLSSALHRKSGEEEEGLQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSASCSYDVYCFGKVLLELVTGRLGISASNDAATNEWLDHTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGGSSSARLRATSSRGSWNAAFFGSWRHSSSDIGPSRDDNLLKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >KN538988.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538988.1:161206:165588:-1 gene:KN538988.1_FG004 transcript:KN538988.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFIGTSSRSFYKGILMWKDETRIQMETREREEESKSSCAARDVVIDPSCEEPLLCQPQPKEKSALETFLFNLRWKNILVLMTVWSSFLVLQIFKNNSQSCSTFYWVINILQVPVALSVFLWEAVKLCRESRARRMDGNWECVCEASIEWSPAQLVFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLNRFPIPFAVYLICISILAGFWGQSLVRKLVHVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCEK >KN538988.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538988.1:19227:21101:1 gene:KN538988.1_FG005 transcript:KN538988.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRIELCQEHKKSCLALGKSMPLASIQMTLSNKKQRSKSSELHLGRCLPLPEISVESETGKMYRASFVDREGRTVVIMRPAKQNTSSHEGQVRFLVYTLENAILSLPEDQEKMVWLIDFTGWTLANATPIKTARECANILQNHYPERLAIGILFNPPKVFEAFWKVVKHFLDPKSIQKVNFVYLKNEESMKILHRYIDPEVLPVEFGGKNNVVYNHEEYSKLMVKDDIKMASFWASDTKTDHVNKVINEHSVPEVTQQSSLVAAKAS >KN538988.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538988.1:158248:159480:1 gene:KN538988.1_FG006 transcript:KN538988.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKGLKLKKALQITLLVLVSVWLIYQVKHSYEKKAYHENEPNDLHKDDDQNQGEIRLGRKDLPTKLEADSSTLDERIEDEENEEMEQEMKHDENDEDPIDEPDLDKDDDLPEPGEHSADKDERSEDVGVFEDEERKERSQEDQEKTFHGDNVSSAVSHDPPSAEQDELLRRAQDKILYVDDASTAVPHDNQEAERREEEARKAREMTFRGDDVSSSVDHDAQVTKPLPEEHLKSMDSIFEGTTNLSNGITFRGPGVNESTAARELGAIPADASSHPNTSTVSESNTDTAPVNFGGNHTGSDQANSTSLKGQQEQQANSTAVLNHQIQLFTDLTSAAELNSPPNGTLALASTDSENATSVDRDHDGNTGTNKAEEGDTGKDLDLSTKIMNKAISEDEVVLE >KN538988.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538988.1:126641:129131:1 gene:KN538988.1_FG007 transcript:KN538988.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQIWVDSRLLDVGGCVDLSVDLVVWICVFSVVGSGSDEMFMYTAMVDLVLGCVEWIYVGQLEADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTVGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >KN542741.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542741.1:2208:3419:1 gene:KN542741.1_FG001 transcript:KN542741.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKVWAEIRKLYEWEVFNVARQDGAGVFTVASKDNIVVQVHVRCTFEEQSMNNANCDCKKLECDGIPCSHVCTVLKFLGFGTIPRCCVMMDCYRDLRNMSSLALFIASKSSEKAEKACDCPDDAFEGEDWKRWLTLLPLHGLHANIQMEVQY >KN538988.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538988.1:53790:55324:1 gene:KN538988.1_FG008 transcript:KN538988.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLPHWVVLDPVVRLHKPDGKENPRWAIIKCETTAYVTLRADVEGLRPDDELSPFDDGLKLLALVDEPPWPSRLSIRLDGDPDDEDRRGFLGGVLLADAGFLVLSSCLPGTRGDNSYLVCDAATEDNPSLKMFPTVPMRFRPSVTPLPLRQPDGDGYLLVLFAMDMDADADDDGYLPQVLCLLPSSAPFDRHCWGTRRPIFPSEKPKKFNAHQTFSFQGSSYWVDLGQGILSCSCHDLISNTNDDVQFRYIALPTGCYVDFDSLYLTAPPSQYRDIRCVGDSIRFVSIEGYNTLPRHNMLLSMWELMMPSSGQWRKVGSIRVGRLWEQEGFRRSGLPTNTSPTHPMLSTEDDGVVYLLMGEFYAEDEKDRSLYIFSVDMVTCKFVSAWHLPRWRHVGSPSLMGSDLFKHIKKHNLCQLIPPNKRDRGEASVLTVRPRKMQRDHLGTGSSRVQEKMR >KN538988.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538988.1:43978:49041:-1 gene:KN538988.1_FG009 transcript:KN538988.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGVKAAAEAEGEIVAAPAEKVACFKKTAGEEADATFMERVKDYYNQLKESSAEKHWICIKDKFRAAGEYVSQKSSSVFGKKKVEPVVKDAAVPGETSSVTVESQLRMESTKTQSQGSASSVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >KN538988.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538988.1:79648:83067:1 gene:KN538988.1_FG010 transcript:KN538988.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPASS-like H3K4 histone methylase component WDR5A [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/Swiss-Prot;Acc:Q9M2Z2] MAAEDNPGPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGGEPRLMKTLSGHTNYAFCLAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQSRKILQKLEGHTDTVIAVSCHPNENMIASGGLDGDKTVKVWVQKEEDQMEV >KN538988.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538988.1:115801:116259:-1 gene:KN538988.1_FG011 transcript:KN538988.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIGEGIGTAANEEDVGAGGGGKSAPAVADGAKVGTGRGGSGGGEEAAAPAAGMRRQSTRGRSTGSSGREESAAPAAAVHTGRICREDAAARRQNMRGGGGGEAKSSRVERCLRGWRKRSEVFSADGRCRLFRMISPVGLEGDNSSFLY >KN538988.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538988.1:134234:141590:1 gene:KN538988.1_FG012 transcript:KN538988.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQPSGKSTTFYLHLLKRKAFKSLDALEKMSRDYTVQKLNTMILVRKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQLLEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHVADIVLIVRLHVFTHLNILNLVICFHLRFPPLFGRLDMENSVLRTIPKADFLIMVQLLFAGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDAGDLPNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVEKEYKRRPSYSSCEG >KN538988.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538988.1:143939:148756:-1 gene:KN538988.1_FG013 transcript:KN538988.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGLCALFLSLYSVLRKQPHNYGVYFGRRLAEEKFRQQVDYFSLERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFRLWVHCVALYVITISACILLYYEYKYISRKRLAHITGSPPDPGHFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGSMQKFVQYRNKFINSKKPDLSDPEVIEAQKDCPGAIVFFKTRYAAIVASRILQSSNPMLWVTDLAPEPRDVYWSNLWIPYRQIWLRKIATLAASVAFMFVFIVPVAFVQSMMQLDQIEQLFPSLKNMLKKPFFVKLVTGYLPSVVLLLSLYTVPPLMMFFSSIEGSISRSGRKKSACCKILFFTIWNVFFVNVLSGSVLNQLNVFTRPRDMPSMLAELVPKQILNVYYPKYEMGGKLWPIMHSTLVFALVLTQTIALGVFTIKHATISSGFTILLIIGTVLFHQYCRHRFSSIFNSFSAQDLIEMDRDDEQSGRMEEIHKHLLDAYSQGTTNMDNSSSSRNGGAPIEMIMEDPAQDAQDSNQELCDAVKEVTGSIQEHADEM >KN538988.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538988.1:61893:78471:1 gene:KN538988.1_FG014 transcript:KN538988.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAGDAGAAIPGLSSSAAASAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVFYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRGMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDFNTQGRVPTSMRPPVHRQNLCSRWGLAHPYPLSTPFSPSTPSSTCKRKKRRRSCATIAVPAPLPALTRKPSGTACFCGAQCATADGRGWNSGELMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEIVCQGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDAISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRRKDEYLRDIKPDVERSHLASRSRDDTHHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRDEDPHARKRLDDGDWRGSRPRERGDVVLNHRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNSRMREKADDRYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILGDKLVTSGRKQDESRSAGLSKEAQERSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQASRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQENSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRSYTKLPLLVHGSRAAEDCEIAVEGCMHGELDKVYDTLRELEKAEGVKIDLLLCCGDFQAVRNENDLQCLNVKPRFREMKSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGHYERSPYNENTIRSVYHVRHYDVVKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIREKRFFEEEVNKRTLGSEPAARLLNKLKPPYWFSAHLHCKFPAVIQHGEGGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNNVFPLTRMPFTMRDEQVDTQDDLQWVRNKLNARGAKPIDFVQTAASYDPSCQASNPSITVHCRNPQTESFLQLLNLPYLLDSSNSYGVSRNESSSQTGQALDSDDIELPDDEDDPADDDD >KN538988.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538988.1:49950:51543:1 gene:KN538988.1_FG015 transcript:KN538988.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAKNSSPFKVVLGSSSPARREILADMGYEFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSKGASKGGWDIPEIYFHHIPEDFIQNVVKEGHMTCVAGGLKLTHPSVLPFIKQLVGTMDSVRGLPRELTERLIQEVLGAK >KN538988.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538988.1:85671:85902:-1 gene:KN538988.1_FG016 transcript:KN538988.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MESCADSKASSEANRNSGGISHEYAPQCNYGNYQVARQDSK >KN538846.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538846.1:163589:164062:-1 gene:KN538846.1_FG025 transcript:KN538846.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDYAEEFDQADEKLASFCLHLEAMAEAGDEIDQADEKLAAFCLHLQAMAGTAGDDEIEQADEKLAAFCLDLQAMAGTTGDDEIEQADESLAAFCLDLEAMASNDDDMAAAAAVDETKCKAAAALSTACCEAAGMAMIHHGHGAASSQVHLAAAC >KN538988.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538988.1:51939:52316:-1 gene:KN538988.1_FG017 transcript:KN538988.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTSPTQPMLSSEEDGIVYLMAGDFYEEDEKHRSLHVFSVDMTTCEFVSDWRLPPWRHSGPPSLIGSDIFKHLKMDNLYQLVPRPNTRAKVLPRPPKRDRGEGNVITVRPRKVQRVHHQGENV >KN539897.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539897.1:343:2499:-1 gene:KN539897.1_FG001 transcript:KN539897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDCFFFLSLENYGVRKLEAVFAVLIATMAWSFAWMFIKTKPNGKDIIIGILVPRLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQ >KN539897.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539897.1:30066:32539:-1 gene:KN539897.1_FG002 transcript:KN539897.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQEGGDLEEGQPEECTRDGGREVVMSGGRPHRGKLREGDARPGMPRIPKKIQVIVIWLLVFMPSTITSQEWSNPAHYTELDPRTGGYLSYSRDFDTGVDYFRRCRMMYHTVLDEQHLGEKPLAWHFSNLIGQSGDSTRLAFRSDNLYLVGFRNKQDKWYTFKDEDHLIPGSTPLGFSGNYASLGPQETGWKNLVGVQVGRKALMEAADILSNYDPDVTKEEDLKVALLRVLVMIPEAQRFFAIRKLVYNSWENGGVIDEATAKLVVNWRRVCCALLIWNKTGRGRWDSEEAIELRRIGVRDPTQALNTVDTMLLPNRCSVMGYLEYLPRNTNI >KN539897.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539897.1:18822:29346:1 gene:KN539897.1_FG003 transcript:KN539897.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSCLIFVEWESNCERVVFSAVWVDLLSPVKTKPALVDCECELRNERYKYANAKGWQLNVAIPVDVLCAILKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGCFNSWPVKVLVDMLVRHEILQIQMLSIRNQKINADTAVVQSLVKLEQIIRYTLRNAEYRLSFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKSRNISSKELHDLRRKNSTLQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSLVHGLLATLSPKIHSKASPPLGNASGGALNLGGEDDDCAELVENASLPFQPLISVPRDCLARLLFWCMLLGHYIRGLEYRLDLAQLLRISTDVESSPSGDDLVV >KN538846.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538846.1:191790:202305:1 gene:KN538846.1_FG029 transcript:KN538846.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEEIPIFICSNCQHKQHQCFKCGQLDSSHETNPKVFQCCNASCGCFYHPKCVAGLLEPDGACGLEKRIADGMTFTCPVHWCFECKQIEDRSQTQLWLAVCRRCVRSYHKKCLPRDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISREGDQSQEVPISNKQMDHNLLDHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLGSSILMEKTSKSSPCPVNSGAEKRLVSIAGKGGSLGTCEDIVIQANTKSQESYEDQFNVSKKPTHISSQAGTCIASCQTRMNNKEHKSRRNKEDIPEMRSEKVATPKVSIKRKRKCEFEFLDDEVATTKGMAFQRAENLPSLIVEKETKMAIESNVFKQMEQNRELSSPHKRPKGGVYLSDEQIKLVEYESTLELIQSKIREVKRLKTEVIDFVGIEELSSFHRKATAEALITKMQSDMSLVWGKLSQHLDDLDEEAMLDPEMLSLVQKCYFVADQANELVPCLPETST >KN539897.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539897.1:5529:11698:1 gene:KN539897.1_FG004 transcript:KN539897.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDSEGQNRDISKDNHHNINLGSPVTIFRLSEEDMLQDTEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPPLGNASGGVLNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYSLYVFGDVFQLSAVTFLSLEFDVNITSFCNVLMDEPSYVVCEDKLNDLNKWYKRVVDLLGRSKACKVVENHALLDAMDELTQKSKDEACKLLSSKHLFFREMCAKHNSGAATTAAGVEASACFHHPSPASMTAASSAARQAAAAAPSLGMKDSSAGPEDDEDDS >KN539897.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539897.1:45061:46802:1 gene:KN539897.1_FG005 transcript:KN539897.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELPDDMITEVLRRLPPRSLAACRRVCRSWRAVVDDRRLLREDLLPLSLAGIFLNLHDLYPTQFFSCPSATGPAVSGNVDYTRRRISLSSDKYQVIGPPLSFDVCRYKEFHLGRSEKGVYFALLSSNQLRIWFLEESCGQIGWVLKLDNNLMPILPHFINVKLSDDGPWSLQDIDYCEDPNEDDDARSQTVQAAYYDWDFDNSANSIDIQDNSVQGSHRGFGFLGFHPFKEVVFLHYSLERGLAYNMNSFKVQDLGNLCPKDYGFDTEPYVESFFPYTPCWMEVFPEEQT >KN540497.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540497.1:48360:50059:1 gene:KN540497.1_FG001 transcript:KN540497.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAGQMDCHLVFPLLEFLQERALYANKEILEAKLRLLSGTNMVDYAMDIHKSLHDTDEVPDDMVRRRTDVVSRLRALDEATAPIVSFLQNQQLVQELRPDKQYNLHMLQDRFQIGPEQIETLYQYAKFQFDCGNYSDAAVYLYQYRALCTNSERSLSALWGKLAAEILMQNWDVALDELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEQ >KN540497.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540497.1:38944:41120:1 gene:KN540497.1_FG002 transcript:KN540497.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNSFLNQELVKAADGVSDWHLPSSSHGGTCHTNTRAAPITVGVPDLGCPVSSIGVATSSSLTPKEGLATASYNSVVAFPVGATMVPQQQQTQAAGSNDNPGLVKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRIGKQCRERWTNHLHPDIKKDIWTEEEDRMLIEAHQTYGNSWSAIAKRLPGRSENTIKNHWNATKRSLNSKRRLRKKNSEQTVPGQPSLLENYIRSCQHMLPSEIALPPPAPPAPFDISRYGNSGVIGASPTLPVVQEPGTSTPPGLVMFLDLLNQAIPHPPQPETMDLFNMTPEVSHLNTSGYCLQLDAGGNLYYGQLPAPAPVQPHGISSQELQDTPHLSLYYPLSSFAGSHTDGTVEFDHQLSNPDGGHYGEEAGPSSVAAGGSANGMDDNDVVQMASNQFMMPSEDEGILDLARWIN >KN540497.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540497.1:21752:22217:-1 gene:KN540497.1_FG003 transcript:KN540497.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44620) UniProtKB/Swiss-Prot;Acc:P53665] MAAAALRPAILRRIRLSPSPAAAVGAASQPHALARWLARPMSSHDAHLTRDEVVDRLLDVLKCHPKVDPSKVSPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDQEADKIDSLPLAIEYVANHPMAG >KN540497.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540497.1:716:3174:-1 gene:KN540497.1_FG004 transcript:KN540497.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >KN540497.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540497.1:14427:16588:-1 gene:KN540497.1_FG005 transcript:KN540497.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARAAVRGGVSVVGTVLNPEDARKAIRAGAQFLMSPGTVMEILHALKESEVLYIPGVLTPTEVISASNAGAEVIKVYPVSVMGGEVYMLALKKPFPFLPMVASQGISIDSIKGYLEAGASAVVLSDAIFDKELMRERKFDEISELANLATLRASQSGT >KN540497.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540497.1:42876:44289:1 gene:KN540497.1_FG006 transcript:KN540497.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCELMMAAGSNDNLGLIKGGWTREEDEVLRQMVRHHGDCKWTEIAKSLPSQTGKQCRERWTNHLYSEIKVPLVICVVLRDPSSLSMQFYTWNFFRLCKTPGNFIPPLEGVAGY >KN540497.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540497.1:8288:11573:-1 gene:KN540497.1_FG007 transcript:KN540497.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEEEADGAASGQRGSRAAAVAGWEVKKRRGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >KN540497.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540497.1:23729:28483:-1 gene:KN540497.1_FG008 transcript:KN540497.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLNGGSLRGLGEIGCQVSCQELPFGYSGTGFPSIRRLSSEPAERPFGNKNDSEILGEDASDQLPDDNLECDDELDQHNVMIDTSEAKAKNLRSQFPAIDRSGDTKLKNKTYRSYLFQIVLDAPSNSLRHVLDKWIEDGNQLERNDAMLVLFHLRKQHMYRKALQFVEWMERGKLLNFEERDYACHLDLIARSHGIETAQKYIKRVPLPFRNEVLYETLIVNCVLAGDIQKAEEVFKEIKDLCLRLTVTLCNQMILLYKRIAPGKVASVLMLMEKENVKPSAFTYRLLIDLKGRSNDLAGIEVVLNEMKAYGIEPSTSTQTMVARFYIHGGLTEKAEAVVKEMEAQLSNSKDGRHVIKSLLHLYAALNKPNDVARIWEMCTEPKLEDFLSAIKAWGELGLIEQAEETFEAMANAPEKLSSKYYNAMLNVYAQNKLLAKGKQFVERMCRDGCPNGPLTWDALINLYVNSGEVEKADSFLLNVAEENPDRKPLFTSYFFLMKGYAKSGDIHNTEKIFDRLKKAGYAARPLHYAVLLEAYVNAKVPAHGFLERMGGDNVRPTKKIVTSLDTLQKGYIAGLD >KN541095.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541095.1:20:280:1 gene:KN541095.1_FG001 transcript:KN541095.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCAASRKMDPVFDELAARKLPNVVFFTVDIHEVSSIAGLFDVSASPTFLFLKGGEIKATVKGAKEEKLIYVLEREVAMMSSLMD >KN541095.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541095.1:23277:24173:-1 gene:KN541095.1_FG002 transcript:KN541095.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDIICIMPPAQPASKLLDDDRMEFLDKAVDPKSISKDCNGDNSVVRAGENTLQARIQQLSSESKKLSMNVEHIITQVSQAVNMNCGAEENSLLKEFDGNNPVTLPKLSDVVSARGLKHVWSEIKAFQELLRQRPVQKDVVLKEISINLDLWSNFFSKPPPEIIRLIEGLRVLKRALSGEAQLPNTNLVPAQQDQINQHVDLLRTAQDKVESSCVALEALTSQYNIEQAIEEGNKRECLRQAQKIKAEIALLQAKTTTGGR >AMDW01038831.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038831.1:164:850:1 gene:AMDW01038831.1_FG001 transcript:AMDW01038831.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >AMDW01038804.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038804.1:365:821:1 gene:AMDW01038804.1_FG001 transcript:AMDW01038804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLNYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQ >AMDW01015136.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015136.1:32:256:-1 gene:AMDW01015136.1_FG001 transcript:AMDW01015136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WSGVTCVDGGGGRVAGVELANFSLAGYLPSELSLLSELVTLSLPYNQLAGQIPVAITALQKLAALDLAHNLLSGQ >KN542492.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542492.1:1788:3258:1 gene:KN542492.1_FG001 transcript:KN542492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRARSWRCTLELAQLWPSYPSIFPDVTVVADIALKLTPRSRLSADTTIVSATLVLVMAAMLYSLPLKLIHNEWAHCTTTKTVFVVIDLHALAYSNGQRCWDP >KN545120.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545120.1:1268:2161:-1 gene:KN545120.1_FG001 transcript:KN545120.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QTNWNRLTHSSSIDWDASANSHLVPAELVRSERGDGKTLSPQKTRRTYPKSLPFLSLLVAQVVQVSDPI >KN539970.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539970.1:8704:8985:-1 gene:KN539970.1_FG001 transcript:KN539970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFQMWAGGALLGARKGRSFTAEIGSTSSLQRMKTAVMNQEGIPVQHQRLFFGGVEMQEKGDDTTREYSIVKGSTIDLLIPYRYRGAAADR >KN539970.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539970.1:36136:37802:-1 gene:KN539970.1_FG002 transcript:KN539970.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIVELLEEEQRRSSGSEWASAAARESAAARLLLCRLKDGIDDALKLVQSFGSRGRVDRFFHGRSLAGKLENVHEEINSCLRLYHLANRTLLYHNIKLLEEIICSLLRPEEGKELRRTLSSPSIPKDWSDDKKEVFMWFISHSNQDNKFEIKGKFERNQPVNNAIEIPAGNVEKHAGPYDGKGSELNIASQGIDISVT >KN539970.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539970.1:31370:31896:-1 gene:KN539970.1_FG003 transcript:KN539970.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKTNHGMKKWLPLVRSAKLILDFMNYLQGLGMTAQDVASLNQLTRLKRLLGNAYNYLTTYSQSGWTTISRFGRSSRRIDEQITDAQNKIDLYLEQLPAVSHSQMSGLLGGILRSSESHDMKMLQELFKTFRKTM >KN539970.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539970.1:10557:11238:-1 gene:KN539970.1_FG004 transcript:KN539970.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALSNTLHITTVVQTAWKVGRDAVEAGTALVPGSVPRPVARIGVTFIALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSRVDEGANSSEDPVEEARRIMEKYK >KN539970.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539970.1:49849:53129:-1 gene:KN539970.1_FG005 transcript:KN539970.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQPHNRQLQLGFSSSSSRGGDDDGEWPSAAKDRSAKNKDVRRIAHEGSWCCVYLAWWVAEGGRDWCGEGSDDGEEGEEEEEYGVLSAFLCPITMEVMRDPVVVETGHAFEREAIARWFSVCASLGAAPRCPVTMEVVDGADVKPVVALRAAIEEWTSRRETAALRRACRWLTKAASEKEALRGLDAVMRGWKLARVGKRVVRRDGMVPMVAAMLRNGSARVRLKALQALREFAREDDEYRDSVSEGDTIRTIVKFIDFEDCQERELAVSLLCELSKSEMVCEKISELNGAILILGKVACSKSQNPALAEEAEMTLENLEKCEKNVLQMAENGRLEPLLNLLIEGSPEKQLRIASSLEKIVLSNDLKNLVAQRVGLLFAGVVENGTLPAKEVAFKVLDHISTNTESAKVLIEDGILLPLFRVLSVDGVKFLPPRLQEAAAAVLSNLVACGIDFGTVPLDGNRTIVSEDIVHSLLHLISNTSPPIQCKLLEIFVMLSSSTTTVLSIISAIRSSGAITNLVQFVESDHQESRAASIKLLCKISFDMDHEIAQVLRSSPTLLGCLVRIVSENDANADEQDAALQILANLPKRDRRLTMELMEQGAFKYIARKVLNICRRGTANNIVDNTMLEGLVKVLARITYILREEPRCVALAREYNLASLFTSLLRLNGLDGVQVLSAKALVNLSVESRYMTGTPNFDEHEQKSGLTWFGKKPPGIQLCRVHSGICSIRDNFCILEGKAVERLVVCLSHQNKKVVEASLAALCTLLGDGVEITEGVSVLYMANAVEPIFEILKGNPTGTLQQRVTWAVERILRTESIAKAASSDRGLSSALVHAFQNGDSRTRRIAEASLKHINKLPTFSQIIDKHPSRRGSSIGSMERYFRSDR >AMDW01053179.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053179.1:1159:1479:-1 gene:AMDW01053179.1_FG001 transcript:AMDW01053179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYDGKELIFHATSFPKLKALFVWDVPNLRKIAIQQGALQSLVTLLLQDCPELRDVPDGIEHLRNLEYIKLEGSSDELRRKLEIKGKSDKCNEDRMKISHVKWVDIF >KN539060.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539060.1:86968:88158:1 gene:KN539060.1_FG001 transcript:KN539060.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSSATNMSFVLTSTTELASSYDIQSSSEEAAISQYQQCSDEAGIRFYNQLLEDIPEDGLDHSTISIWIQRADSRMLELFEKELVAMIDRSIQEINSKHGQKQESDMHRLLKLAGVMTMLPPSSDLLPAILRLYATLEIFPVNEANGIASELKRCVREIFQGQCSLALNGIYSVPRGGGIHKITSYMMNYIKYLWEHDSLLNVILAQDDGESENPLHDGKWTRLDYFVQSLIGYLDSLLETISKYKSTELQCIFSLNNAHFILEKLKKLDMKSALQESWITRHHNQVEYQIARYLEHSWEPILSCLVARKNIPFPCFHPPPVTEFYTMLNNNCAVQKYWKIEDPKLRQVVRKTVSSRITQCYQAYLGRSVKNQKRAQYTSEELENKLMELFEG >KN539060.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539060.1:119674:120207:-1 gene:KN539060.1_FG002 transcript:KN539060.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDCVTFGTRKSTFSFDVGRLKWTRVGDWMLPFEGQAHYDRELDAWVGICRYGEGTGHLCCCDVPPSPAADAAFTTTTLPAWKFCKEVMFKKGFTGYWGATLVYMGDSRFCLVDCRVPDGCDVRTTLRVLTITSFGLKYDKAGELVTTRYRAYASISYQIAGKFKRLEDPIAFWM >KN539060.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539060.1:36562:39324:-1 gene:KN539060.1_FG003 transcript:KN539060.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWCISNNQTDEWQSAGIQTVVCIPVVDGVLEIGTTEKVEEDMGLIQYARGIFMDQHGIHMKPTLSQHSTSNPVTHCIHQHPIQVQMQLGITSQTKFDYSDELNADEENDDTEEEGMSGSDTNNTDTERNSGQLQLQMQDQLNMVSNDHQTMPNNAVSSEIMQCEMSEVVRDGCSNNILEDEIQMLMDCQNSNCQFNLQGPDEPCHSWHFLCEELQNDYQPATEDQVASPENTHYPKTLMTILHYNTLRQQEMNIKNYLPVSEKSSFSRWTTPEGSDDNKTMISPGTTQRMLKSILMIVPSSHCSYRGAETPESRGGKGASGTRKVGAIQGDFSANHVLKERRRREKLNEKFIILRSLVPFMTKVSIIESDALLELRCGCGGGGGSGAVLLRVMQAMQELQLEVTGVQASCAGGELLAELRAKVVVTILICMKMQMQMQN >KN539060.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539060.1:89676:91488:-1 gene:KN539060.1_FG004 transcript:KN539060.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSIKYLVMLLEHRGTSDVVPRSSSWIKLLGAPQFPVCHQAWCEVVRKKESFRIVSKESLIYLDKIANQLTQSGHAQDLHQAPFDDRCHCHFIPDMDRILGIQSRNDDEAQWKNCIKHKMTEWLHELRYVCTIVLSAHKQLMQWHLAVHDSLALDELSKAVKEPITQLLTFASTVSKMHGSPEKFFHMLHMHQALTEASPVLQEVFSGELKESFTGELHKILHTLKDGTKETLDQLRVQIQSYSSEDMPEGGGIHLVTTYLIRYIMSLTQNTGSLDAILAHSYDDYALAEERMMNTSGHLISMLISDLTSILYRLSKSYMSKSEGLQWLFLLNNENFILRKIEEADIRSMLPADWIQNYQHRVEQNKVNYIEATWALTLSYLKKRIKSPFNFLHPSPMKEFTSSFETTCNAQTHWKVPDPKLRVELRQTIRDYVLPAYCAFMENHPNLEKSSGRSLENIRNKLSELFEG >KN539060.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539060.1:97644:100962:-1 gene:KN539060.1_FG005 transcript:KN539060.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENHLTTSFCRYFATENVSSKPPHFADISLQVQAEAFMIANDNIAHGLIGAIQEHKISTLIMGAGIYGYPALPKKTSTQRTKLAITMEKEADPSCKILFVHKGNLFSIRPRTTSIPISVNSDVPTMAGSHIPWFSFIPPWHHDDRSSVTSSSFLTDSQTMTDDGLDPENLDHQFFENAMPMFDYDSFSLIRHESLHGLNEIASQIILSGHSQYLRQLNFDVSCNEEVRNRQFIHGIDSILGVDSMNLEEVYWKAYMEDKTIKWIYLLEYIHKIVSVSLKQIQEQHDGASSGLTLEGLSDAATKPINRLLTFASTVSKVNGSPEKLFHTLQMHKALSEASPMIQQAFLGEQKEFFIRELHRILDTLEDSAREILGKLKVQIQSHDSPIIPGGSVHLVTTYLMRYITLLAHNTSSLNTILGHDHSDHLLAADGINSPSRLISGSMFDLGSMLQNQSKLYKPEGLQYLFLMNNEHFILQQIEQEDIKLMIGIEWIQKYCHNINQYKVKYIEATWATVVSCLDKKISISLNFLQLSPLKEFISSFETEYRLQMHWKVPDPKLRVELRQTVCDYVLPAYCAFMEKHPNLEKSGDNLEDIRNKLNELFEG >KN539060.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539060.1:27350:27514:-1 gene:KN539060.1_FG006 transcript:KN539060.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELNKCEVKAKKGRERAADDGAVYHMWTESDPSN >KN539060.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539060.1:134489:144438:1 gene:KN539060.1_FG007 transcript:KN539060.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAQGQACPGVRASCGCVLFGGVGYVGRGHLALEASAWFDMVGRVSLQCERQFEVERCMKLAGRLGKRGLTTEAEEPKGAMDAAAASSSTPASSPRPAESSGGVEMMTTHGTTLSGAPQVGVPTAMGCASATSASSSAAAEHGADGDKLSSPHDKMASDIINKSSCSKFDKEKPDQEVVDQQSGSLLIYPGYKTNECSQNSFGKMESTSSFFAAYDDRCEEEIRTVCHEKVEESLRNDPLPLLVRADSDSRPTFQESCSSDRPREKQVLFSVPTKSDEDIDLYFYRIRLKEVLDEKEYLVHELECARQQLDEVRSMNSEILRENRKLKKSLRTRAVEGISRKVLEKLGYCHDIFLCPNEVDVEKLQELLCRSECKLLYTVKIPGATTDHSWKVDTLAKMSPFCKVVFLGMIEDVYRLHRSGLCLNGKFSLKDFCWTLSQKVKFSAELKRSVLRRKLKRMRLDYQKLCEIMIEVLEECKVKIPADLEYLLDLMRDDPVKHQFLIRYNFCLMDDILKREETLTMFTQYEQLNREDREKHGKTTREYRTEIAVSFITLPRNIGSAMLANKFVAKIFERWRVETGFYSLDTTRKLIELIRHCMVHLPEKAFDEEKRTHYTDANVVDMVQVGAPQVFCQFQRGMSEIKELSYPHLVRVMKS >KN539060.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539060.1:95850:97004:1 gene:KN539060.1_FG008 transcript:KN539060.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSSTDLSIRFATTESSSYDSNSFSDEPGNVSHYMQLLEDIPEDAHDPTDIGTWIQRADLQMLQLFGKELVALIDRSIQEINREHGQARGASEMRRLLKLAVVITMLPTSPDLLPVILRLYATLGTFPIDQLNGITKELRKCVRKILRRVCSLSQTQSGLYHVAQRGGVHKITLYVMNYVKFSWEHDSVINNIIAYQADGESENGEEWTQVDSFVQHFIGRLNALLERMARHESMMGMECISLLNNAHFILNRLRKLDVKSALQQDWILRYENQVKHQITRYLELSWLPVMSCLDAYTPTQALFPCFHLPLTTRFYEMLESTCAEQQNWRIEDPKLQNNVRKAVSSHVVQCYQAHLQKKGMKLHKYIPQEIESKLMELFEG >KN539060.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539060.1:47081:54193:-1 gene:KN539060.1_FG009 transcript:KN539060.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding METRKRIKRHAKSGGRRGRNEDLNKPLLEILDDHSSQSNTFPGNVVGESSKVQTSRSDTSPRSHGISDSGKIYPADSCTPQGSNLDVEVIAGPSHGISCSRQSTSPTIPITLGRVPPSDLVLKDSEVSGKHARINWNAKTLKWEIVDMGSLNGTFVNSRAVHHPNVGSRHWGEPAELADGDIITLGTSSKLSVQISLQNQRVPVGIGMASDPMVGRRSGKKLAMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAARAVSKILPENVATLSSHHETKEKVLSYSDASDVLRYAFTMTEAAIDHEYEGCTATVLLIWFDQKKDCFAQCANLGDSACVMSVNGKMIEMTEDHRVASVTERARIARAGQALKAGEVRINGLNLARMFGDKFLKEQDSRFSSEPYVSQAVHITKACTAFAVIASDGLWDVISTKRAVQLVVEGRERNSGDSASADKVANRILSEARNLRTKDNTSVIFVDFDILRTDHCIAK >KN539060.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539060.1:2585:7919:1 gene:KN539060.1_FG010 transcript:KN539060.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKTGDFNHQVIFIGGLTDGFLATDYNSLYVESNKHEKNLKNDELEKDRKNNTIISLLGAFVTCTRGREMVTGTAVTFFIIHWDALELDQLISYLINKENSDGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMLSEGRGMDLMPREANPDAPITAYRYHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKEALVDRLCRALGNAEKVEIEWGNHALSNRVQEAVRAIVDFVKREGPKGWDDPWS >KN539060.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539060.1:110977:115056:-1 gene:KN539060.1_FG011 transcript:KN539060.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLERATGDSGSEESSDTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQATLVPYAAVESPYPLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWSTPPALAVPAGQGEASPGLALARRDGVAHLDERELKRERRKQSNRESARRSRLRKQQECEELARKVAELTTENSALRSELDQLKKACEDMEAENTRLMGDKAQYKGPTVTTTLGMSIDSSKTQPHDDEGQLHKNTNNNSNGNYVGGSHKPEANSR >KN539060.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539060.1:60674:67261:-1 gene:KN539060.1_FG012 transcript:KN539060.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIDDNLGLSKIFGFSTCEPGVFVVEFTLCMLWQLVDAALDDEGLLELVTDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENIENKHWLRRKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLVKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSDMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCVISVANQAASKAAMFVSGINISSGYIENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDRVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIRSSAKNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGAPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKLRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLLQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAHLAVYAGMFAWGIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLRRLSAGLRLWKEDELAVALLRRSLLSFANASVH >AMDW01009216.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009216.1:25:204:-1 gene:AMDW01009216.1_FG001 transcript:AMDW01009216.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDEDFIIDFVGGELGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEAKTATEAGVEL >KN540248.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540248.1:8995:9353:1 gene:KN540248.1_FG001 transcript:KN540248.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGNENTTYVNEYERLRAERIKKNNIALQAVIEKKKELANLSEENLGSASTHQETRKRKKAACTSSEPAILPLALVMALYQKTQKLPL >KN539586.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539586.1:36790:47165:-1 gene:KN539586.1_FG001 transcript:KN539586.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQLCVNVPCTSACTLAQNLWHMVPIVRRSSHIVLRMESGAKCRCMSHNYRLDRLSLLCAGSRGQFEHSIDLAKKMNRRNDSYDVLKSEDFLIKVWETSQGKFVKTLQDFPADDPVLTLNINRFLYDNPEDRCQYFIDQIESACNLIRAKCIKVVKGTGKHQTLYLTPEDLFVFTVAFTDEISTVTAIIEAKNMWVRGFRTSEGVIYEFKDEPPKLEELEEIIGHTNQKYQKFKGKIKRIAGKQKKSPHKFIKGSILLDHGSNYRALIGGGQSLYRLAMGFPILKAMINDLAHFDKNHQSPTAKWSMAVLIIHSPECLKNRYISSLMVDALENRYFHKLRRLKSRGKHYALNWDKYSGYILKSLDVPLFIWNANERCYRHNESFFLKEDAHINLETAMEDILFIKCDSYPESSFREVKERIINLPANLQSSIGIVLVNGVTYVLQRGTELPCIAELVLRTFTSSPSIQVIEGDLPVFSDSHIVGSHVRMNLEPSQGSKNIKVMVCANALRLYAEVYQIGNESTTKQREGQHAFRLDDELFRKHSEMCTKYSDTFQKLKELKVEMAEKLYSNLSDIDLICGTTQNPLTLKRNTQNFDQLNNAVITILLKIESPMMLKDYRPIIMSFDANDFEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYTQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAAQPWEDRQRTGKEGKCQHKPQRVQKAKAAGPPKAKPKDMKGGQEKKQQQLEVPSLSA >KN540248.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540248.1:45346:46329:1 gene:KN540248.1_FG002 transcript:KN540248.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPASQRREPVWRMVDQMQDGALYLWLPYQSRCHPVRVGVAMESLAVHKASVSFKFKLIGGVETRSSCSTSTCGRRFRIQEDNKGEAASDHALSHLHSVREHIGDSPPTPQ >KN539586.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539586.1:85749:89082:-1 gene:KN539586.1_FG002 transcript:KN539586.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQFYPSLNRSKCCAKGICTGEFVLLAAHVVFFVVGCGGLGWCPYCKMASYAVEYRGVKTKEEKGNEQIEEQRVIEAQIRMRQQELQDDAERMKKKQAAALTDSVTTAQVEHCDTGGASTTVKSSGQGNDMLSSQVQHAELLLKTSERLKQMRNNNFDMDPDEVMLVEALWLSLQDQEASGNPTCGNTVSPVHPPRSFEGSMTIPAEAASSSSAFACAVAALAEQQQMYGEASSTATCHTSRCDILSRSDRSFTEDLSINGSGSSGARSEEPSSNKMHQTREGAEYSNERWSEMAEASSSFTGSDLTTEAGATNSGGSDIGAGSIPDSFEEQMMLAMALSLADARAKASSPGLTWR >KN540248.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540248.1:21656:33539:-1 gene:KN540248.1_FG003 transcript:KN540248.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGGSSVPTSSTRSDVTKLSATELKRKRAREWYASLTKEQKEDRNKKARDIRKRKNFESQAPFGDIKNASTEDQSIGGRLDVNDAGTENVGSIATPVRLPFTNSADMSYSTPSEYTMPLQAEGNVPKATTSKFSTPTPTLGDISIVTAGDPAGREQWVSNDELLDTPTTKGTGSVSQQPTITPRRLPFTVINNIAYYDLNEGSEEPLSYIVRETQNNNETDFLAANSATSSLPTEHAADHLARSCTFDDGMIYSATGFGKDPVHHDNINLAETVGSWSEIHYKLSQCKAILIPVRHARSFIVLVVDQESQTLYVLDPNPLMPKYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVIVNNPVYNREEERRRRLGDDEWRRGGSGDGLAMRRSGGSGGAEVHGRARCWHVWRRWVTVGGRRGGGGSSHCSAPCAQIQLRRRGSGMVAGNVFEDPAPPSFCVDPAWVKTMGRRRDGGDLHGGDSGVVPMTGGGRRTANGTKTRRPAMVATGSQSSKAAVTKERQPT >KN539586.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539586.1:25202:25501:-1 gene:KN539586.1_FG003 transcript:KN539586.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSSTSAVVLMLLLVVMSAAVSRGEPDHVQLQLAVITGRRMFVVAGSNTATMISSQTAVAAAAVIGVPRAATAAAAAMPYSESKRSSPGGPDPQHH >KN539586.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539586.1:50862:52359:1 gene:KN539586.1_FG004 transcript:KN539586.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRGEDDNEVDSWVKKQSEWEEAGDGEEDDYSDETEYDDDEEESSDEDDDYFSMENRDVRFASEEKWKSQIMEQMKFSVSTADPFGIMTIWKGSLHVDGPCQSLDPNLISMNNFMPQLPLRRKKKNNEEPCRRAIQVFGLTVSSPDNAIQEIYGMFAFRDIRNSQERNFIFEYPRDRPFTLKPGSDKVQPLIQPPRGIYAVGPVVMEYHLMIKGQEEQEDRVLVDGYSIYCPSLYEELERFHWHIDTGHCGAIDLKMAAVPNAVLATVEIEVIRLGGTHYDSLAIVVALSMIKGMYLVFDGKVSVGKLLPFTVCINREMHLKLFVYGYSSSQIGHGDCSPDGVVSDYDNDGFFSASEDVYYDVLNFIPQFGTYKKMSHNLEDMDVSVMVAWSSLY >KN539586.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539586.1:81316:82032:1 gene:KN539586.1_FG005 transcript:KN539586.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTNATSPTRATDPNPSSPDSGETIKIAVSSNGTELLREVKSVRFRGVSGEFGFVDGEFAPPVRFQLINVAAPGYHELGFWSPEHGFSKSAGGCSHRGGDGGGECEPSMRFLGPVIWPGKPWDVPRGWAPPANGSPFTVAVPEKAAFPDFVKVTRHHGRGDDDDDEPSFEGFSIDVFKAAVEHLPYNFHYKFVPFNETYDSLMQHDYMKVIHPSSSHYFKKKISGATLFLKIESSRV >KN539586.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539586.1:11829:18235:-1 gene:KN539586.1_FG006 transcript:KN539586.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGFSKIDGNSQQEEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDYYQSESEFSVDPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTVSIAKQRQNDFFCPIFEGSLHVEGPCHLDPDVLSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFAFRDVRNNQQRNHVFQYSRDKPYKLRPGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGQERKDDKVLIDGHSMYAPSFYSELHRYRWHIDTGHCGTVALEMVALDKAVLGTLELEWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFTYNSPSNDKSISHGGGVNDLLEHVITEDVLFGSKDGQHASSARDAPTFDWALGGQHMTSFGDGLTSDFMAPATSSTQVVENSEEVVDTMITSLSTAPSTQPFPLAAFAMEQEVAVQQKMVNELSQPGNRCILDQMSMTLSPHSAATSTQARAYAPADSFVLSGKPAQSPPYWGHDSTWVGGLGVGVQWSGVEGGCVQRPVCGGEPGRDMRFGQKWASAVTKEAYVDEWTGLLWA >KN539586.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539586.1:93081:93758:1 gene:KN539586.1_FG007 transcript:KN539586.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAERELIDRDKLVLRGLQFHGFHEVKSEEKTLGQKFVVDVDAWMDLSVAGETDSMSDTVSYTDIYGIAKDVVEGPSRNLLEAVAHRITSNALLKFPQISAIRVKVGKPHVAVHGIVDYLGVEILRHRKDVGGDRQELH >KN539586.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539586.1:56343:59660:-1 gene:KN539586.1_FG008 transcript:KN539586.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKEIRNVLALPLLPVLFYVTAEDVLSYPHAHTYPEKRNSQPVTFELGLVASQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRDRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKGQAKKENASTNPSALKLQGSINLSNNINDRKAPKKKSSPSPPDQKKLVAPSPPDQKKPVAPSPPDQKKPVTLSPPDHKKTVTPSPPDQKKPVARVQKAKAAGPPKAKPKDMKGGQDKKQQQLEVPSLSV >KN539586.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539586.1:28269:31882:-1 gene:KN539586.1_FG009 transcript:KN539586.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLELLPGELRRRVEGHPDLPALVEVVMDLGRPPLARFPSGDFLLSQSPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYRKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLDMLVGGVQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKTELCVHRSLEDTVDALLAGKMPNVEIRKVGSKGPVQEVYVQKERLHLGPSEGATQLDTDSLSNARRSLDSAFNLDPAEGHIGRSTEAEPDLNLYAYGVWHIEVMVSYQTTKALIKPCTPRQNPVSSCLISESTALQAIKQLELEDIVTLTYSISEADAVIALQSKLKKNTQIQAVVKSQDIPVFFTKTNSLVQIRRALRALVDDHTDGLMDFEDTEEVRSSEETDALEEARLAIEQVVIPKGESVQLLPRPPSIIASQVDLVESFKLKWESIGQEPNACLRILPQFVGVEEGMSIKQEAATELTDSDNSDDMDYKQNGVSRLPFLPE >KN540486.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540486.1:10672:10974:-1 gene:KN540486.1_FG001 transcript:KN540486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGEDVFLVLVGRPGGSGQGLGDVGGDHGIVAPLDWTRLGEGCGRRVQLLLGLEGCAGSTVVVRGSLRLCGGGRGVAAEGEDGRRGGVRKRALLRDA >KN539586.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539586.1:1793:3103:-1 gene:KN539586.1_FG010 transcript:KN539586.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGATTMDSGFFQSLGIGDKIWNNGPLDTQIQWFPCKTYLSKSLFVLGEFGGNDYNAQLFGGYTPEQAAGQSGTIVDGIGKGVEQLIGLGAMYVVVPGVLPVGCFPIYLTLYGTSNAGDYDQYGCLTRFNTLSSRHNSLLQAKVSSLQSKYPWARIMYADFYSHVYDMVKSPSNYGFSTNLRACCGAGGGKYNYQNGARCGMSGAYACSNPSSSLSWDGIHLTEAAYKQIADGWVNGPYCHPPIMS >KN541691.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541691.1:12639:14197:1 gene:KN541691.1_FG001 transcript:KN541691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKEVCKDRFSISISVIVEISNTSATNKNIEEVQFFLNDLPSNDFNHIFQSLDDFNQSIAPECASKGLQPPPYYVTGVPGSFYNRLLPYNSVHLFHSYFSLMWLSEVPKHLDSTMNEGNIHIGTTTLLFVRKLYLDQFEIDFSRFLQMRFRELVPGGRMVLTVLGRDSENMVNKDGLLVGLLSQALRTLVEKGRVEKQKLDSFNLPMYRPSTDELKQLVQQNECFDIVDIQVRGGFCFPLTPASMHAQFVKRTPIEFEYFFKTGSIIFIPNN >KN539586.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539586.1:82975:85377:1 gene:KN539586.1_FG011 transcript:KN539586.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWLLVAVVLATNYTASLSSLMTAQRLGREAAVTAESLRYLEEVLMFPGHRVRRLAGDEEHRRALVSGEVKAAFLRVSHAKLLLAKYCNELMTTGPVYHVAGLGFVFPKGSPLLADISQAILEVFENGTIQRLETAMLSSHR >KN539586.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539586.1:34614:36147:1 gene:KN539586.1_FG012 transcript:KN539586.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRGEDDNGVDSWVKKESEWEEAGDGEEDDYSDETEYDDDDESSDEDDDYFSMENRDVRFASEEKWESQIMEQMKFPVSTADPFDIRTIWKGSLHVDGPCQSLDPNLLSMNNFMPQLPLRRKKKNNEEPCRRAIQVFGLTVYSPDNVTVQEIYGMLAFRDIRNSQERNFIFEYPRDRPFTLKPGSDKVQPLIQPPRGIYAIGPVVMEYHLMIKGQEEQEDRVLVDGYSIYCPSFYKELSRFHWHIDTGHCGAIDLKMAAVPNAVLATVEIEVIRLGGTHYDSLAIVVALSMIKGMYLVFDGKVSVGKLLPFTVCINREMHLKLFVYGYSSSQIGHGDCSPDGVVSDYDNDGFFLEGDDVYYDVLNFLPQFGTYKKMSHNLEDMDVSVTVAWSSLY >KN540486.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540486.1:48513:49871:-1 gene:KN540486.1_FG002 transcript:KN540486.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGDGVGAAWRRGARVRKGGGFSEVEEFEGSNMSEVFEGNIVLSEKKNVNRHTWKHMIRYIKL >KN540486.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540486.1:33588:33824:1 gene:KN540486.1_FG003 transcript:KN540486.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWTLDQNKVFELALATYGEDTPDRWENVARAVGGGKTADDAKRHYKKLENDIGRIDSTGASSSNSNGRSSSEGQR >AMDW01038035.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038035.1:501:682:-1 gene:AMDW01038035.1_FG001 transcript:AMDW01038035.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRIARIFNTYGPRMCIDDGRVVSNFVAQASTV >KN539681.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539681.1:44805:45026:-1 gene:KN539681.1_FG001 transcript:KN539681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGMVPRTAWRRLGCLEGRLEYYVCVSGHLHGNCWLARLTSSEGEHDAGSGSDSDASTQGGGSDDDGHVAL >KN539681.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539681.1:74760:77464:1 gene:KN539681.1_FG002 transcript:KN539681.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAYGHLRDKLQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDAKA >KN539681.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539681.1:19761:20535:-1 gene:KN539681.1_FG003 transcript:KN539681.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVAGLVAALLVLHSLATPSAQAEAHRAGGEGEEKMSSDGGPVVGGVEPVGNENDLHLVDLARFAVSEHNKKANSLLEFEKLVSVKQQVVAGTLYYFTIEVKEGDAKKLYEAKVWEKPWMDFKELQEFKPVDASANA >KN539681.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539681.1:53504:58191:-1 gene:KN539681.1_FG004 transcript:KN539681.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-diphosphocytidyl-2-C-methyl-D-erythritol kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26930) UniProtKB/Swiss-Prot;Acc:O81014] MACSTHLLYPAAARGHLRFQARPAAAASPSVRLGSGTSRRRALGLRVAASAEQGRRQVEVEYDLQAKFNKLADQIDQSAGITRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKELQEWSGEIGSDIPFFFSQGAAYCTGRGEIVEDIRNPLPVNLPMVLVKPPEACSTAEVYKRLRLEHTSQTDPLVLLKEITETGISQDVCVNDLEPPAFEVLPSLKRLKKRIIAANRGDYDAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDTFVSEACFLTRNENEWYREPISSKITSEEDLPPEVASVSD >KN539681.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539681.1:66656:67147:-1 gene:KN539681.1_FG005 transcript:KN539681.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASGENNVEEELRKTRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRTTNQQLLRRLQGHISLEAEVVRLRALLFDIRGKIDAEIGTFPFQKQCSFGSVTCTDHSPCFNTSTEVAVREESSRPTIVDCGIDGTGIISHELDIPEMVNSMDVIPSFVNSASLTE >KN539681.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539681.1:8398:10147:1 gene:KN539681.1_FG006 transcript:KN539681.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSHVQAPHGHGAEAPAAVDPSGREWFLGSLFVIIATLAWASLFILQAHTLKKYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAGMGSFILAEKIYLGGVLGAVLIVVGLYSVLWGKHKETQEQDAAMMELPVASKGNDAEFTAATVVGEDDDDDDEADCKKANGVKSSSSNEQGASAV >KN539681.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539681.1:78452:80422:1 gene:KN539681.1_FG007 transcript:KN539681.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLVTAAIFGLLLCGCSVSGVEGIGVNYGMIGNNLPSPDKVIALYRASNITDIRLFHPDTTVLAALRGSGLGVVLGTLNEDLARLATDASFAASWVQSYVQPFAGAVRFRYINAGNEVIPGDEAASVLPAMRNLQSALRAAGLGVPVTTVVATSKAGGQGLEVVVSETGWPSGGGGAGASVENAAAYSNNLVRHVGRGTPRRPGKAVETYIFAMFNENQKPEGVEQNFGLFHPDMSAVYHVDFSA >KN539681.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539681.1:59051:60040:-1 gene:KN539681.1_FG008 transcript:KN539681.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRATHWCYACRRPIRVSGQDITCPNCNDGFIQEISEIGGSLNTYGIFDPSFDERRDRSFGMVEAMSDLMRQRMAEMGRNRVLDFHGTRGASSHQGRRPTVRPMLIFGSNAPDRVSSSSEEADILLRQGRRIGADRPNFSRFLVGPSLEALFEQLLLHNNRQGPPPAPQSAIDSMPVVKINPRHLRDDPHCPVCTDKFEVGTEAREMPCKHLYHAECIIPWLVQHNSCPVCRHPLLSSSHCSGSTRSSSTHSNEAVSHGVARSDADPVPVARSDDSRNHEMHGSFSFLWPFDSPTPDSSSYTHEGGVGEPTVHDDAGQMTYSEWHYDY >KN539681.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539681.1:29582:30670:1 gene:KN539681.1_FG009 transcript:KN539681.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKEGGGGGAGGEGGMSDSVIRKVLVSYMYVAVWIFLSFTVIVYNKYILDPKMCNWPFPISLTMVHMAFCSSLAVVLVRLLRVVELPSSPAMTPQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSAMLNMLSISFGVAIAAYGEARFDARGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVIPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHGERKSDNQA >KN539681.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539681.1:357:1880:-1 gene:KN539681.1_FG010 transcript:KN539681.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTSSTSLFLLLSILPLLYFLCQRKDPKKQPHAHGLKSYPVVGIVPHFTKNKDRFLEFTTEIMKRSPTQTMSFKALGFTGGGVITANPANVEYTLKTNFGNYPKGELAVSMVVDFLGHGIFNSDGEQWQWQRKAASYEFNKRSLRNFVVDTVRSEVVERLLPLLERAERDGRTLDVQDVLERFAFDNICQVAFDEDPACLAEDSMASPQSAEFMRAFNDAQNAVRDRFMLPVKSLWRFKRLFNMEPERRMREALATIHGFAELRERRERGEAGLARSDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLLSGRPDVEDKIVREIHAVRRASGGTSDATFSFDELRDMQYLHAAITESMRLYPPVAMDTHSCKEDDFLPDGTFVGKGWLVTYCAYAMARVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVASVLERFSLQYAGGEGHPGLVLSVTLRMKGGLPMQVATRG >KN539681.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539681.1:69700:72941:1 gene:KN539681.1_FG011 transcript:KN539681.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAAPPAAAAASIASRIPALLRRLSSSPGDRQGGDEWGSSWSTGITKEHFDGSDAAVGRPVTSQSKPVSPELAAVRAMDEEDEIFRAMERDNREAKAYVDSWGDRMRETCELLKQVREPGSRGSYLKDSEKQEMYRLHKEDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKLGKPLDDSVEVLLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLQFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGAQSKRGSGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPFK >KN539681.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539681.1:36408:38669:1 gene:KN539681.1_FG012 transcript:KN539681.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYTAAALGYGSVRWLKAFSNEQCAGINHFVALYAVPVLIFDMVSTNDVYKMNGRLIAADTLQKAVLLLGLMAWALWERSRARRAGAKAVSSPLQWVITCFSVASLPNTIIMGVPLLNGMYGPVSKDLMKQIVVMQFCIWYNVIIFLYEYMAARRSASAPPPASMAASTARDGVSGETTAAAKEVSAGEVAPVEEEEASAPAPSMKNVIWMAVKKLLQIPNTYASFLGLIWSLIAFKCGFSMPKIVEDSLFTIRTTAVGLSMFSSGTFIARQSRFVPCGYKIASFSMVIKFLIGPVVMLFASLVIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKGYSWDIYITSCDNCLLYSVGAEDRIQTVKILKDDNKVN >KN543019.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543019.1:397:2072:1 gene:KN543019.1_FG001 transcript:KN543019.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SWSPKLQDDVSLTVPLIQDKKSGSKAPAVVLGFECLESTAFNGIATNLVVYLETVLHGSSLASASNVTTWFGTSYLTPVFGAIIADTFFGNYNTILVSLVFYLLGMVLVTFSAFLPTTALCAVAGSTSCQQPVFGAQTIAFVGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYMCVDFGMIVSGLFIVWIQQNVSWGLGFGIATVCVAIAFGGFVLATPMYKRSMPTGTPLKSLAQVVVAACRKVSLRVPADAALLYEVHDKIDQPKITHTDEFSFLDKAAVIVESDLEEDSNDASAAAAGSWRLCTVTQVEELKILMRLLPIWATSIIVSAAYSQMSTTFIQQGSAMDMHIFSVFCVLAWVLVYGSVIVPLLRSFSPANGEPSQLRRMGAGRLLIAVAMAIAALVEMVRLDAAARGESLSIAWQMPQYFMLAGGEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMAALCTLNFVVYSAFARNYKVKTVVS >KN543019.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543019.1:10016:11138:1 gene:KN543019.1_FG002 transcript:KN543019.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNAAMADALAKLATVDGIEEFVKQAVALPPAPATKPAVKEVAEEDPREKKGSPLPRGRRVTVSSPEVVNLDDSDEEDPGQSKDTPLPRGRRGTVRSLKLIRPDDGEEEGKEDENRGENVPAHGEGTRGASRRARAEPVVAPTTRRRAAPASKIETGIEVEVPAETVEAVAQECLPDPDAVVEEKP >KN543019.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543019.1:4477:7937:1 gene:KN543019.1_FG003 transcript:KN543019.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEIIVAQPSPRLYKSLTFRRIATKERSVPQDEEMKIRSPRFQDESLTAPFILDKKARSKAPAVVLGFECLESTAFNGIATNLVVYLETLLHGSNLASASNVTTWFGTSYLTPVFGAIIADTFWGNYNTILVSLVFYLLGMMLVTFSAFLPTTALCTAVGSSCQQPLLGAQTIAFLGLYLVAFGSGGVRAALLPFGADQFDDDNTADRERKMSFFSWFYICVDFGMIVSGLFIVWIQQNVSWGLGFGIATACIALAFGGFVLATPMYKRRMPTGTPIKSLAQVVVAACRKARLRVPADTTLLYEVHDKINQSKIAHTDEFGFLDKAAVVMESDLEEESNDVAADAAWRICTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPPASMVSFEVLCVLAWVLMYSSVIVPMLNSLSLASGEPSQLQRMGAGRLLMAFAMAVAALVEMMRLDAAGRGESLSIAWQMPQYFALAGAEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMAALCTLNFVVYSVFARTYRVKLVVS >KN538789.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538789.1:74992:81951:1 gene:KN538789.1_FG023 transcript:KN538789.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLYLLLLLLPPLLACADQGEGKVSTGDDLLDALVEVFPQLNFLTLVEVCMEYKNDIDGAADYIIHNVLPSIPDNNDAHANEDSHMKAFDDDLVTHDDGLPAMNIQSSYPVRLELLDNAIADEIDKKVTLMSNVTAINQMLEDIKLKEEKTKQVVLEATQAGNDIFVKVEELKEMTMLSTEENDKVVAGEVFAEQSILASEAHGLQARLSNISEERNNYALIIDEMRHTLQNRFAAAEVETVAAEKKKVERETLAEKMLNEQELILDATKERSKMLEQQVRENAKLRELLVDRGQVVDALQGEMLGIFDKISQLQLRVDKQLPEPLLSSMSSSVNSADNIAQLQRRVDDPEHSVDDSLQLASPRLSSSFKSTDSTAQAHCRVDEPQISVDESLLPVDECEQLQLISPRLSSSVMSAQSQCRVDEPLLPVDELLQLASSSLSSSLKSGDNIAQLLGVLDVHLPVDELLQLPSLILSSSVKSSDSIAQFQQKADADEMLQSSSLASSEKPAILKSWSSASDTTAQFQQRANADESLPLPSSSLASSEKPATFKSTWSSAAESNSVFSGDEEIDDASFHDSIDLDDSWDLVDDEAIYMCAN >KN538789.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538789.1:34409:35106:1 gene:KN538789.1_FG025 transcript:KN538789.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPKPPNHSDASPHQGIHKQQPSRILNLHIYNVVIDVAQSELHAPAGIDGFNLLQYAGTDMDLPVVVFSADDDKRTVLKCVNSGACDYLVKPLRHEEQNNIWQHVYRRKLRSGGRRAAAAGNSSKGGRSRRGLGGLRSCTRENADY >KN538789.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538789.1:91886:92275:1 gene:KN538789.1_FG026 transcript:KN538789.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLEKIQSAAREQKAKLYIIVACIALLVCGCTAAAHHHQRKGYRQCKSARCIWLSTAILGGSRLISSGLSSKAN >KN538789.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538789.1:26377:26889:-1 gene:KN538789.1_FG028 transcript:KN538789.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNGLLPGETLGEAYERVRARALLVRFANTWGHAPRQFTGRHRRPPRIPNPPGSAAFWPFPRYPIVYVPLFLEQLVWEDPEMTGAPVLDILNAKFYHGLRQLAELRHRIHNRAGFIPKPAKAEAYRAATDALWVRCDELLKELNNLQKGYESAGYAVPAVPDHMKQRF >KN538789.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538789.1:118986:122921:-1 gene:KN538789.1_FG031 transcript:KN538789.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPDDDEIIQEPEDEKYVPASPPRPPLSPPTPVAAPAPQEEFEEEPRTPEMVTPPPSLPPRPPAVSSKKKKGKGKHRAAHHQHTESAPPVTLVGGGGGKAGKVVPAELPRIDLLRVLAEIDDRFLKASESAGEVSKVLEANRMHYHSNFVDTRGHIDHSARVMKIITWNRSFRAMQNGDDGKDDFENDEWETLATVIDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNAATEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHLHHENQLKTVLNLKSVDISDSHIETSDHHHSHTRQLRDIVEKWTTNFSELMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRVQQPPVKALLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKETCEHTRKEYMRKARAFEDWYHKHAQRRAFDVDPESDEGTSQKDTVAEKRFAVESLKNKLDDEVEAHNKLSKQVREKSLSILKAHLPELFRALTDFSLASFEMYSKMRLMSLMQDQGNN >KN538789.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538789.1:40969:45502:1 gene:KN538789.1_FG032 transcript:KN538789.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGAVPPGCKLPAGGFLGRLHVLVVDDDAAYLEELKLMLLLAGYAVTGKTTAEEALKEVDQNPEDYFHIVMTDVHMAGMDGFDLLHRINGRLLVIMFSEGEDVVMVMRTVMNGACDYMVKPMTSEAIKAYEQLKDQEGPKKIKQLMEMDGIFVTKTQVSSHLQKYRSWLENERKKEEATSSSPCNPLSYTNCLDRGYSTWKQSSVITEGQQSSSFSGRPIHSMATSNGCLTTTDTQAGNYVGVGAKEIENFISSHQRSLGTAIGQESTIEQASLHSEITSVSRDAHENGNSQARGSAMSNGTSGTRGVLVTNENLLHVVTASLPSNMGQPSQPSQSFCTNELAAANYSIISDQNPGTSHPTSSSAINNQNSKTQEMSVSQTVELGCGNDVMLDWPELVGLEDQLDNDVLMNSFFDGDLLQQGVVTAIDGTQEMLAFDSTGDLGSVPPRGLNNEIASHENTNGKNGASSGP >KN538789.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538789.1:1328:2806:1 gene:KN538789.1_FG033 transcript:KN538789.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding AKNNELPWLSVPDPSNFTEELIGRWLTPGGTPCRDSRTANISIPVLDDAAAAGEVTTLGSAEIHEFTFWALDDAGQRRCLGGDFFEIDLAGDEWKSRPPVVDHGDGSYSFRLQVAPRFAEGDFRLTIILLFRSFEGLKFSSLRFKYHAEMRRIPLLFGPSNSTRFLPALETCRAADFARDVWSGRWTRLAKNDSCEEVDDAGRYRCLEPQHPCEAPWCAGPLGALESNGWVYSAHCSFSLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTNTSAVTRRFDAAFTNPSGGPGTVRITSIFNGHWNMSLNYLGLHSLRNKGFQRLVRSYFLAEDRAPDIVILNSGLHDGCYWTSVRAYVQAAEYAAQFWAGVMAEVRSRGHAVPRMFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKLRRHGVLTGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLIWRDGKVGHQYFVDLMLGHVLLNAICNG >KN538789.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538789.1:59725:67587:-1 gene:KN538789.1_FG036 transcript:KN538789.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DUF21 domain-containing protein At1g03270 [Source:Projected from Arabidopsis thaliana (AT1G03270) UniProtKB/Swiss-Prot;Acc:Q9ZVS8] MSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEVISQFNAFLPLQAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNTEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTVVPILDIGLLDKLWELLEDLHKGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKRLTVTNLIRFLYEKAAHATHTSFQGF >KN543815.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543815.1:129:5058:1 gene:KN543815.1_FG001 transcript:KN543815.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRKSSLNPTTTIAAISLSNGVVQEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWNSNIFACEDYTNVEQTNEVIPVYTLDAEFTRPLWVFGISSYDFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVISFSIIWSSSPDVVQYSSFFSAPEFVEFPTSNTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGYYILLFHDHNHGNRIFDIPHIFQEDQQQKHVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYIDNLVGNEKAYYDRSPINFVDKFTCPVILFQGLDDKIIRFCQIIDSYFSSSYSPV >AMDW01079881.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079881.1:367:1393:-1 gene:AMDW01079881.1_FG001 transcript:AMDW01079881.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CIEAKGEGSDECTKYAKCYRSLCPGEWIERWKEQRANGTFAGPL >AMDW01039412.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039412.1:431:718:-1 gene:AMDW01039412.1_FG001 transcript:AMDW01039412.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KRPVQVLSKSKELVQWTREMRSHGKDTEVLDPALRGRGHEEQMLKVLDVACKCISHNPCKRPTIQEVVSCLDNVDVVKGEQIHRPGDADDFVLTD >AMDW01008241.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008241.1:39:221:-1 gene:AMDW01008241.1_FG001 transcript:AMDW01008241.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGV >AMDW01033630.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033630.1:7:253:-1 gene:AMDW01033630.1_FG001 transcript:AMDW01033630.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EWGDRSMLATIALGAAQSPFGVASGAIAGHLVATFLAIVGGAFLANYLSEKL >KN544975.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544975.1:221:1268:-1 gene:KN544975.1_FG001 transcript:KN544975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNRERLVAFCEVVQQTCPVGSFIKPTAGETPGYASE >KN539278.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539278.1:52405:60116:1 gene:KN539278.1_FG001 transcript:KN539278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSLVFPKHYLEELARVPTEVSSSSFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSEGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLHSNGSLWTILYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYEPFSRDVINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDPEENSKLFLFNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLKLKSNLLLETAYAYMDDDVCCAATSFLKCFLETLRDECWKDDGIEQGYDAFRFLCLPPLLRGLVSGNSKLRSNLNTYALPAAIEVDADSIFAMLGFINVGPSAKAIELDVALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDVLSQQVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSLSGDKDSNSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAENDCLQCYTKSTNDDTELTSQNPVAQYISSLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSDIIDEDQPGTASEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDIEQLEKMGNHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVCLVFLCRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEVLSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLSELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKSHDETNDEDVQLQILDMTSSIPEMPREVSLYELHKEIASCLVDPAYDVRITALKRILQLAKSARYGDSKKFLHQWAKSNLQPVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNTEEDSSTFLSFWDRLVHLNSTVSHAKTRETILCCMGMCMRQFAKMLRGLLDSKTHEHSTSFVRIDEGKNLSTAILSASSFVNLVKNLSAPSETVNARRAAAEAIIASGLLEEANLFAPSLSNVYLPSEHDENHIEEKCSNATLLEDEDTYLRQKLANNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLFQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHTEYLKNFSDLDGVITPFLKNPLISNLYLLVKESHEMFNSPKKQWDQVGSSATESFDPYFLIR >KN539278.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539278.1:104802:110382:-1 gene:KN539278.1_FG002 transcript:KN539278.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMVIKPAAVCSEKEDVERMQKASTFHPTLWGDFFVDYQPPNKSQHECMKERAEVLKEEVRCMVKGSKEVPAEILDLVLTLQRLGLDSYYKAELDELLHSVYNSDFDDKDLYLVSLRFYLLRKNGYDVSSDIFLHFKDKEGSFVADEVRSLLGLYNAVHLKRLVQGYSHEIKWRDEHYVPKTINEHLEVSRRTVGAFEIACASFVVMGDIITKETLDWLLTYPELLKCLTTLARLSNDIVSTKREQKREHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >KN539278.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539278.1:24544:33977:-1 gene:KN539278.1_FG003 transcript:KN539278.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHGGVRRKLKKEANSEDQVDGNPDVNDGTGNPRCLGHVVAETGAEEDADEPGADEQIASPKDHRLDGILAVIGMMNNDDRRNEGPSTGGEEDDQGYRHRHNLPPIKRGEVERGDRPQRSARGEDEHAAPPREGGPFVEPYHYGHGGRHGNDHGALISQKDRDGGDADEAVREEGGEAEMMGRRAKYLSVIEVRKKGKGDEREGVLFTNNIILLDLALEKAMEYANGQQLLFYAWDAGCRQQPLHEEGEAARWASDKEAVAGLRWGGVVLDVAALNSEAPGHARDIGLTACARRIRALGHRHRQIRRRIRRP >KN539278.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539278.1:61077:66164:-1 gene:KN539278.1_FG004 transcript:KN539278.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELERGGIAQAWRLVPDREAVDLSDSWKVKWISATKAAWWIVRFGHCRGGRERRSIGKQIEPEAPPRPPPPTGASDRIESNRRMEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCMMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSMSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAGSGPSNLSSYKSPILKKARMEVNSQAKMKAAIQRNTIARTSQHIRMNSNHVQPMKTTTIHRPNSTITITKPTGPNKPLQSDRQTGLNRPFESNKPTGQNRPFQSNKPTGQNRPLQSNKPTYLNRPFQSNRPKF >KN539278.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539278.1:73587:76372:1 gene:KN539278.1_FG005 transcript:KN539278.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWGGSAASLMTHMRIRELRERGYIPLKDESMLTNGHLERTVIDWIPGMPPIKLGDMSSFVRTTDPDDFGLRFNEEEANNCTKANALILNTFDELEADVLAALRADGWNSKCESVASGVPMVCWPVFADQYINRKYACESWDVGLRLDEEVRREQVTAQVKQVMESEEMRQDAARWKAKAEEAARLGGSSYKNLQSMVEVIRSFASDSKKAEA >KN539278.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539278.1:15145:21425:1 gene:KN539278.1_FG006 transcript:KN539278.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTGAIGSLLQKLGQLLKDEYDVRKKIESLSQELESVHAVLRKIGEVPPGQLDDQRLTKNVGKLFKKSKHRHKIAGAIQEINDKLQEVAARRGRYMVNDIPKPAVPATVDPRLQAMYMKASELVGMEEQMNELVKMLSLGNDIDLSDKSMKIVSVVGFGGLGKTTLAKGVYDKFKLGFDCGAFVPVGMHPNMKKVLRDILIGLDKRMYMNSYTTSLDEKQLIDELHEILQIKSCFIVEDDIWDRSSWAVIRCALQGSKCRSRVLITTRIYEIARHAGDVFKMKPLSYDESRKLLYTRILGDQSGSLPTPSAVACDIILKKCGGVPLVIITSASLLANKPRECWSEMMISFDDLEHADMHHTPRIMCLSYYNLPLHLRPCLLYLNIFPEDYYIEKKMLIWRWVAEGFIQEKQEADIGLFEIGEGYFNELINRSLIQPVEDEYSGCVNGCRVHDIMLDIMCLLSAKENFVTIANASWEREIQRNNVRRLVLHNYWGLKESGHRLADMELKQLRTFVTNECSASDISKKLPSFQVLRVLETQNRNNLITESEINLRHVGSLLHLRFLSLQKVDSSSLPEDVGNLKLLLVLDVQYSNIRDLPESVGQMTKLLCLRVDFDARVSPSAIGKLTSLQELRMKPRMDDRWQFVKVLGKLKELRMLQTSLYLDGLANGTSSLLESLCNLHKLLNVEIEGHFYQRVGVAWESGFAPPQRLRYLCLTSLRFHRMPVWMMNSSLLVNLSYLNLRVHVLDGQDMEALGRLPKLRYLKLHTEYDGIVLVSGDKIIPGDGYFQELRFLIAPNMCVLFYQNAVMPNLKVLEFSVYVRILQDAELLPGFEKLLSFAHLGTNSLQRVQVRINCRGGRPAEIEQVEAALAHAATVHPNRLTLHTTRLWEDEMLSPYEELLLAPIIVFHYYSIRLLDWLGLHIPSYYLFHSIYSGLFPKVVVENVNVRKRKSAHLRSDICWMLKRNPCVKKFSVSINCANASLEEVEKAEADVRFAVDVHANRPTLKLTRYGEDMMVLSDQHQKNRKPLQIDAAIVRIMTHRRVIDHNSIMYDTHLEFMTS >KN539278.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539278.1:118192:123248:-1 gene:KN539278.1_FG007 transcript:KN539278.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAANFSNEDDQRKAPTSFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVVDDTRSLLSLYNAAYMRTQGETVLDEAVVFTSNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETRNYIPIYESATTRNEAILEFAKLNFNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLSRIILTKMTAFITILDDIFDTYGTTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDFITKDTLDYLLTYPKLLKCYTTCVRLSNDIASTKREQAGDHYASTIQCYMLEHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVEPYNI >KN539278.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539278.1:70302:70694:1 gene:KN539278.1_FG008 transcript:KN539278.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASRQPCLGVAGADGGARLDRASIVMEPEERSGGAPRGSKAKAKEVLARHGDGEAAVRRDVVLAGAGAVGVLRDAQRVELDVRGCHRRRAEGVLAGVRAPTTTPSSGFTLSPKDGPKFSKFGFIGGYR >KN539278.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539278.1:42329:48848:1 gene:KN539278.1_FG009 transcript:KN539278.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLPKLGQLLNEEYGLQAGLRKKIESLSWELESVHAVLRMVGEVPPEQLDQLVKLWARDLREASYDMEDIIDAFMVHIDGREEPADPHILRRLRKKISILFKKTKARREIAGAIQDINEKLEEVAARRGRYTVKNIVTKPVVPEDIDPRLLNLYKRATELVGIEGQMDNLIKMLSLGNDIDLFDKTVRVVSVVGIGGLGKTTLVKAVYEKLKPGFDCGAFVPVGQNPDMKKVLRDIIIDLDKKTYTDFNITLFDERQLINKLQEILQEKRCALQDSNHRSRVVVTTRVFEVATYVGDVYKMQPLSRNDSEKLLYTRIVQGEGKCLDSSLVEVCDKFLKKCGGVPLAIITIASLLANKPQEDWSEVYSTIVLGHGGNDDVENTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEEQAPGVGLFELGEGYFNELINRSMIQPVEAEDKGYVDGCRVHDMVLDMIRLLSFEENFVTVLEGSEKQESPRIKTRRLALQHRNFEENRHQLSNVGVDQLRSFVVSECDGITVESPSFHVLRVLALENHFSRNYYYKHILQHLGNLHHLRYLGLQNVRTSELPDEVGDLKFLQVLDLSGTGIQELPESVGLLTKLLYLRANEGTRVSAGLIVKLTSLQEMWICPTDISQFVKVLGKLRELRVLRTSLFTHGQDERTDRDLLESLQNLHKIHTIDIGDSSRMKSVMWEAGFTSPRHLRHLRLRSLVFYRMPVGINPLLLPNLCYLDLQVQIVKEQDMETLGRLPELRHLKLCSCKTHVVSLEKAAGDGYFRKLRYFSTPCSFLRFDLHGVICSTKTIMPRLESLEFFVRVLFLRDANLLGFDKLLGFGNHGRTSLRRVEATMACSGARATEVEEAEAALAQVAAVHPNRPTLKTTRLGDSKIHSPYKEPTSVNPKVVVQNVNVREMKDDDGYFDFHWLLRNPRVEKFSVSIFCEDATLQEVEKAEAAARCAVDNHPNSPTLDMMRYDEDKMVLSDQHQEPEVVHRDVNVRRIKDKGHNIKFSWLLRNQYVEKFRVSINCENASLREVKEAEETAREVTWIHPNSPRVEIVRYGEDKMVSD >KN539278.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539278.1:1410:1829:1 gene:KN539278.1_FG010 transcript:KN539278.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLPNLILECFEFSRLPVSVNSSLLPNLSHLDMKVQVMQEQDMETLGRLPELRCLILDSRYTKVVRIKNTGSDCYFKKLRFFTMVSSSILFDVQGCKCAILPSLESIAFGVHVRFLKDADLLGFEKLGLVNLPSSLQ >KN539278.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539278.1:79447:80343:-1 gene:KN539278.1_FG011 transcript:KN539278.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTDVWGAMSLDRALAAGFSASMAADSKFLAEIAIRRHAEAFMNVSSLVDVGGGDGSMARANVKAFPHIKCLVLDLPHVVRGIPADGFVEYVAGDMMDFVPPANVVLLKLVLHDWSDEDCVRILSRCREAISNREGGKVIIIDTVIGSPSQQIYEAQLFLDLCMMTVTTGKEREEKEWHMIFLKAGFTQYKILPILGIKSLIEVYP >KN539278.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539278.1:49452:51141:-1 gene:KN539278.1_FG012 transcript:KN539278.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKIALDQIGVEASMAVHVGDDETADKAGANAIGLECWLWGHDVRTFSEVRDRILTRFPQ >KN540770.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540770.1:7955:15973:1 gene:KN540770.1_FG001 transcript:KN540770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELIYGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVMETCSRMYHKGEEGYMLYIVYRTVLLFKSFQIITTNGRELYAKACLFLNKHLSKKISLTQFLCSRLFDHHHAFLLALVWIAYLRLPSETRKLNKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTSIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEKLAFQYLEDLKNEFERVSGNQIETAARPYAFIKFDTSIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMPNRLTSDTRIYAEKAKDLNRQALICKYAPVAIVIGIVLMLFWVKNKIW >KN543016.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543016.1:5237:5500:-1 gene:KN543016.1_FG001 transcript:KN543016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATPDAWRTHDWRKAVDYHATLLANGILSPTSRAICLGAVQEALAMRELSVSTAVAVARKRSPPLAIAGNDRRLPFSDSSVDFI >KN540770.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540770.1:22609:39270:-1 gene:KN540770.1_FG002 transcript:KN540770.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTGTDDRSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVRFVDWTMQHSQLSFFLKMSVEEVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKISKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKETLNTELSFRLHYLTIQFFFVPNFMEEYGAKLHTSVPLSLMDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKTLVKFLLSVEWSDIQFMVIEIYRCANTNFSTVVTATEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLVNRALSNYELASFLRWYLVVELHDPSHARRYLCTYEMLEDAIMRSVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLAPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIKSYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRWLWLEGKTPLGTEVAGSGLMARSAVLLECILVRRWPFWFEESYECHGQDNDKLVGNAGTDGARRSIWGLNAAGSEVAVPESHLQGSLLCLLWMPSASKCTRGWELMNLDGAGWQRSRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEAMGGTERYLFFACDFHFSEYYARFKSYCCEAYNILRKSSNLILNLFYLMTGSNIESITDKGISKIFCYHQLQRKLPSLDAGLIHISTKSTTHVAM >KN543016.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543016.1:7545:10295:1 gene:KN543016.1_FG002 transcript:KN543016.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MALLLLRRGGGFAAATVVAVVVVVALVLSCGGGAEAAVRDLRVGYYAETCPDAEAFVRDTMARARAREARSVASVMRLQFHDCFVNTGGPFWDVRLGREDSLTASQEDSDNIMPSPRANATTLIKLFAGYNLTVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPNMDPAYRAGLDSLCPRGGDENVTGGMDATPLVFDNQYFKDLVRLRGFLNSDQTLFSDNAGTRLAVRKFGEDQGAFFRAFVEGMIKMGELQNPRKGEIRRNCRVANAPAPPPVEAEVAATSKAVVLVDF >KN547685.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547685.1:41:295:1 gene:KN547685.1_FG001 transcript:KN547685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNPGHLILMFSLNPDNGAANRQGRGYYQLSGVAATLNFGENGITGTISPEVGKLKTLQVFDVSYNNLSGGIPPELTSLDRLQ >AMDW01080190.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080190.1:93:520:-1 gene:AMDW01080190.1_FG001 transcript:AMDW01080190.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWEKNEHDRVAVLLASVKASLCKIANDNMFQK >KN542199.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542199.1:6163:9993:1 gene:KN542199.1_FG001 transcript:KN542199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMDMSAFYGPPKPEPDMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKQYITKINRYERTEIGANIFAEEDFFSFRKFQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVESLSVQDVRKHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQM >AMDW01028613.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028613.1:22:390:-1 gene:AMDW01028613.1_FG001 transcript:AMDW01028613.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGGVSGGGGEGDGRGEDDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPK >KN543111.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543111.1:2669:6327:1 gene:KN543111.1_FG001 transcript:KN543111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPRPRHGGGGGEEEEEEQVLSHGDVVLLRCDLTILRGPHFLNDRIIAFYLAHLAADHHDDDLLLLPPSIPYLLSNLPDPASVAAVAEPLRLASRRLVLLPVNDNPNVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPAARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLAVGRAICHWWPTHSDSDSDWLEAVKREPFNSTSLSPFNCIYQSLPATAQDFGTWCDMQSHKKVAAVKPVASRPSSRLRSFSMLQEDSTAIDSPRLEDSGRHTAYDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPSLSTSVETLVATNDAGLENKFEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDGKKRTLLTNLFREKIYQQHENSCPRMPHPRIVPCHCISRLRSN >KN540691.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540691.1:32197:44987:-1 gene:KN540691.1_FG001 transcript:KN540691.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQGGARRAQTICRRRRAEGSSRLRTTSKQWKPAAAAEKREVAESPSQQKPPLEASSSGRDELGGSLEEAAVRKLQELAGFGGEEVELTEEEAAANDQRQEDEIFALEAIFGDNVVIFNKKGGQRSFQVHVHIEIPDGIDVSARLGYGSGSLKYGAGHDADASDDLVYKFRVEHLPPILLTCLLPSPYPSHQPPLFTISAEWMNKMMVSSLCQMLDTVWEEQKGMEVTYQWAQWLQSSSLSHLGFASEIVLSSDSAYDHERGDKRALSHNAAPDVIIPRMMRWNDDKCHEAFLRAIHDCMICFSEFPGTDFVKLPCHHFFCLKCMQTYCKMHVKEGTVVKLLCPDTKCGVVVPPNILKRLLGEEEFERWEGLLLRRTLDSMSDVVYCPRCETACLEDGDNEAFATLYGLKRQEAGKLQGDQHKFLEELRSIKAIMKDSKMCPRCKMAIHKIEGCNKMSCSNCGQYFCYQCNSAIEGYEHFRGSCKLFPQEELDRWNMQMNPRVQRQNVAQVQAEMFRQFAHPCPTCRQPCPKMLALEAIYGDNIGILSAKDGLRCFQVHVHCEIPDGISVSAELPRDDNCDQNSRFFDTFSVQHLAPISFTCLMPPSYPSHHAPYFTLSSQWLDTVKVSSLCLMLDTIWSQQLGLEVVYGWVQWLQSSALSHLGFNDGIVIQQPDSMMGPVDVRVVAEIVSVESAVQWLISYNEEQCHESFLIGLHDCMICFTERAGIDFIKLPCLHYFCQRCMETYSRMHVAEGTVLKLLCPNDKCGGIIPPSLLKRMLGDKDFERWERLTLQKTLDSMSDVAYCPRCVTACLEDEENNAQCSKCFFSFCTRCRYRRHIGEKCISPEEKLLSLQDRNKVRQLSKGNFARRINLANEISSIKEVLRSSVLCPHCGTAISRVSGCDHMLCSNCRQPFCYACGKPLHRGHSSAPSFLSEQCRIDQENSAGETLKVNPSSLITEMKKELVEERSRQHPCPTCRQLNPKMGNNNHIFCWACQVHYCALCRMVVRKSSEHYGPRGLSECTCNIVVAAGYRGKKLWNWKPLERRMESPKRKLDDESGGDQAAAGLLLLLHEMLLRARREGEEPDLLPDEQLRSNDQLQQDEMLALEAIYGDNIGIFSAKDGLRCFQVHVHWEIPDGISISTELSQGDNHDQNSRFFDTFSVQHLAPISLTCLMPPSYPSHHAPYFTLSSQWLDAVKVSSLCLMLDTIWTQQLGQEVVYGWVQWLQSSALSHLGFDDGILI >KN545396.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545396.1:35:601:1 gene:KN545396.1_FG001 transcript:KN545396.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLESLDLSENNLSGEIPSSISNLTYLSSLDLSYNHLTGRIPSGGQLDTLYAENPSMYNGNNGLCGCPLRRNCSDDGNNSLCGYPLRRNCSDDGNNGLCGYPLRRNCSDNSCPSKHGVEIKKYSDTMFLYFGLGSGFVAGLWVVFCTILFKKAWRMANFRLFDKVYDKVYVFVVVTWAHLCQKSGTR >KN543111.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543111.1:7021:9769:-1 gene:KN543111.1_FG002 transcript:KN543111.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGTGRRIINSESDPALNAEHRNTRRPPSSSSVTGLPPMGRPMPSSQMSVCSSKASGFGHSDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWRHPGDGAE >KN540691.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540691.1:437:4733:1 gene:KN540691.1_FG002 transcript:KN540691.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSSDELSQVAYITFKDNQGSETAMLLTASTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSSDELSQVAYITFKDNQGSETAMLLTGATIVDMAVIVTPAELPASVLAALEPKDSKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLTSTATARVSSFDKKMGLSEKISVGTSAVNDKVKEMDQKYQVSEKTRSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVANAANDVGTKAKEKIASEQEHKTVELESAEPNSSEGHGTQKDVDGEFAKIQVSESPEDIPISTTATVPITDEDSSQASPPAASPKKPEPAQGLIL >KN540691.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540691.1:25157:28651:1 gene:KN540691.1_FG003 transcript:KN540691.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARVGLCCAEEKGKGEEEEEDEIRDNRQRQEDELMALEAIYGDDLAVFGKKGGLHYFEIYIHYDLNDGAEVCAKLSSANEKNPKDRRCCDGIEGHGDEPDEFSYTCNFEYLPPLVLTCLLPLSYPSKDPPYFTVTVKWMDGPNVSQLCKMLDTIWAELPGQEVVYQWVESLRNSSRSYLWFDGKITLGPDTPMQKGDNRAISLESVIPSMLSYSSKKRYQAFLEDLHMCMICLSQSKGSNFIRLPCQHLFCVKCLGTLCRMHVKEGSVFQLVCPDTKCNASIPPYVLKRLLTDDEFERWDRLTLEKALDSMSDVVYCPRCVIGCLEDEDNNAQCPKCSFFFCSFCKEPCHPRRQCLTPEEKLQRRQASGRMSEREVAQEILNIKALYNDVRLCPKCRMAISKTAGCNKMVCGNCGQFFCFRCGKAIKGYDHFSECKLFAPRDISAWERQMEEQYGNHVRLSLRPVGGTIRCPKCRERNFKAIALITAPENVSCIAVNDLNKRRVTAETLEGDYVC >KN540691.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540691.1:20395:21784:1 gene:KN540691.1_FG004 transcript:KN540691.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVAYIQKWSKIASCLPGRTDNEIKNVWNTHLKKRVSPEQKKGGGKSKRKTTCTDVLVPSPSPSSSTTTTTNCSSGDSAGEQSNTSKEEDKIEIPMLELDPCSFDFDMLVDPVVPDTYCPAVSASASASAPTSPCSSTSRGVDPLLDLPEIVDLGPELWSIMDGGAGGGLGDIRLPNSTESSSDATYF >KN540691.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540691.1:5901:6290:-1 gene:KN540691.1_FG005 transcript:KN540691.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLYQEEEDGDDLEAKPEKAPAARPSAKERSVHLIPLLTALCFVILFLFSHDPSASEMSSFGGKVGNRKHKLF >KN540691.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540691.1:28750:29294:1 gene:KN540691.1_FG006 transcript:KN540691.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALAILALSVAGLAVAAAAAPPTRRGQEVHLFEATVRVADDGVEDPDEYNYRLLATVLGSVEAAQSVTYETYPGTFSAFLTNNQAQRLSKVPGVLEVRQRDDPVPTGGQ >KN542507.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542507.1:760:6016:-1 gene:KN542507.1_FG001 transcript:KN542507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGGKKKSPWASGERRPHFFKVLVGDFKQRLKIPPNFCKHIPWEESRKAKGLKEASMAATLEGPSGRTWLVVIRRTAEGTFFTSGWPKFVQDQALRELEFVVFRYDGNTRFTAMRFPTGFSRQHLPRERTDVVLRDPGGKVWSVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELAAAAEFRVHIFRVVEPAVPAVRLRRVTVTCGRGPT >KN542507.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542507.1:8219:12751:-1 gene:KN542507.1_FG002 transcript:KN542507.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGRLALGFLFLGAGVLSLLVYGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQEYADFLHEFMTAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLSGLVAALKLVGGSLSEHSYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKETLQHFKKPWAHEHEPVGNLLDAVKTIKPTVLIGTSGKGQTFTQEVVEAISSFNERPVIFALSNPTSQSECTAEQAYTWSKGRAVFASGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLTYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPLYRNYR >AMDW01016187.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016187.1:25:204:-1 gene:AMDW01016187.1_FG001 transcript:AMDW01016187.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RFLQGSGTDPHEIDKIRQALANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFI >AMDW01054015.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01054015.1:30:422:1 gene:AMDW01054015.1_FG001 transcript:AMDW01054015.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDYLFSDLDPYLNVEFARFPHADSVVPNGAGAGAAIELDFTCGLGVSVGGAKQSYSSYTATDLAHSGSSSEVGVVPEAMCGGGGAIDLDFTRPKPQPYMP >AMDW01039344.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039344.1:7:828:-1 gene:AMDW01039344.1_FG001 transcript:AMDW01039344.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMEHDFASVLTALLAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPLEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLIEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >KN541755.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541755.1:10956:14239:1 gene:KN541755.1_FG001 transcript:KN541755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPEILDMDIMEKVLEVKGEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRAEAEAMQPVPVD >KN544379.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544379.1:101:481:1 gene:KN544379.1_FG001 transcript:KN544379.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPRRVWYMAFGSSKDQVIFVRPPKRRRVDAEQTEVVKRPRAKLLHDTDNLTMSPSCSAQGEKSDLNQESPKKRSGVQPEPPTAHYDAMKNSIQPASPNSIENVHVNVSEVLREDVSKVIVLDSDDE >KN541856.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541856.1:8949:11434:1 gene:KN541856.1_FG001 transcript:KN541856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGGCSMNCWSNYKISKRASQKLLEVKEHYIADMSVVGDQPSLEPVQKIPIPCDHVMDNDNNLREALDYIKNDPVGIIGIWGVGGVGKTHLLNKINNSFLGDSSFHSIIYVIASKECSVQKIQAEIVKKLNLRKDDDVKFQAHIISEFLDGKNFLLLLDDLWERIDLLEVGIPTQGIENNLKRKVVLTTRSQDVCGQMEVRKQIKVACLRDEEAWKLFLEKVDEETLPSSSLIELAKQVVKELKGLPLALVTVGRAMYAKRDPVLWEHTIDYMKGACRDKDGPLSMETVFRQLKFSYDSLRNDTLKRCFLTCALWPEDVFIATDELDQCWMGLGLVDKDDIQSSYREACNVRSELQSACLLESWHTSRVITMHDVVRDMALWICCGCSEKNDNWVVHAQVGKNLSRRTIPWSKAECVSLMWNRIEELPPMDSNYFPAKLRTLCLQGNRLDGRIVETLKNFTALTYLDLCSNSLTNIPGEICALANLEYLDLGYNSGICEVPTCFRELSKLKFLYLSCTNVWRIPEDVISSLKALQVIDLTPKPKPWNRYDHLAQMTLHKLEIYRSSVEEIIIERHESGGHLEQNYSFDALNQLDLQFLENLKVITWKGIRPELLFHRLTVLYTIDCDQLEDISWALHLPFLEELWVQGCGKMRHAIRNISKQESSMQSIDTFPRLVSMLFANNDGLVSICDSDVTFPSLKSLRVTNCENLKRLPFRQQSLPPKLQVIYSDSVEWWDNLEWEEEGIRPMLEPLLKIVS >KN541755.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541755.1:18173:19021:-1 gene:KN541755.1_FG002 transcript:KN541755.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPWPLLRSILRNCVAGTLVGVTVNDRYASVVTVRGSSMNPTLEPQQGDRALVSRLCLDARYGLSRGDVVVFRSPTEHRSLVVKRLIALPGDWIQVPAAQEIRQIPVGHCWVEGDNPDVSWDSRSYGPLWCALVADMYGYLSGSAGTFKRLPANIFSCKISMAHLRCC >KN541856.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541856.1:19612:20145:1 gene:KN541856.1_FG002 transcript:KN541856.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPQETNAAAGEMVTLISSDGARFEVPEAAARLSQTVLDEMKKDDYNAINGIPLPNVAGDVLAKVVEYCTKHASAAAAAINADTAKTSKEEEELMMKSFDDEFILVDNHMLYGLLTAADAMRIQGLMDLACQRLADMLKDKTSEQMRQTLGITNDFTPEEEEEFRREDEEQWL >AMDW01037143.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037143.1:8:553:1 gene:AMDW01037143.1_FG001 transcript:AMDW01037143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIEKDPNKAVPLFWAAINSGDRIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTLKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKA >KN540409.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540409.1:11291:14891:1 gene:KN540409.1_FG001 transcript:KN540409.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGFPPTIADFHSLLFALSRNGLVEYGERFFRESAAQFDVSAKAYTILISGWAVVKKPENARSLFDEMIERGVELDVHVYNALIDALCRGGDITSAQEQLSNMQKSHGLVPNAATYGPFLHAACASKDIRAALRVLDRMHTHALTPNVFTYNAVIRLLCDLGEINEAYNILDEITTQGEKPDVWSYNTLLNAHCKLKEVNKALRLISRMDKELCPPDRHSYNMLLKMLIGVGRFDTAIEVWDGMEKRGFHPGAATYAVMIHGLASKKGRAEDACSYFVRMVDEGIPPYQATCEVLRDRLLMLGLRDQLGVLTDRMRRSTSYTIQEMTTIMRRNQYGLRALIAEPDRYDSICTKPPNPDDEMGTKIDELEQSVNDLKAEMGTDVPTKKADEAKPADST >KN540409.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540409.1:33341:34331:1 gene:KN540409.1_FG002 transcript:KN540409.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIRHGHSKFVTRKDSIKIIYAFVRNLIMDTRNLLHIAIYNINYIRDLFTEKYFNDKSVPGIGHIIYSP >KN540409.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540409.1:22079:25658:-1 gene:KN540409.1_FG003 transcript:KN540409.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWPCLSPRAAVLIALLLLPAAMAAAAGHGEEMKTVAECAARKKAAAAESVCGRPLGVQFDRRTGEMYIADAYLGLMRVGRRGGMAEVVAAEAGGVALNFVNGVDVDQATGDVYFTDSSTTYKRSDYLLVVLSGDATARLLRKKKQRAN >KN540409.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540409.1:17641:20865:1 gene:KN540409.1_FG004 transcript:KN540409.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74030) UniProtKB/Swiss-Prot;Acc:Q9C9C4] MANDRDKKEIWNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKEVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGASSFSEALRMGSEVYHALKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLCDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >KN540409.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540409.1:41068:41355:-1 gene:KN540409.1_FG005 transcript:KN540409.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGSGRRREFLQCVVRVNNFPTKLRPWDLSWLCKPFGPLRMYHLVMRDSKFSRGFGYAIFLSRQHAESAIERLNGRIIHGRKLRVDWAYSCI >AMDW01003819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003819.1:1:186:1 gene:AMDW01003819.1_FG001 transcript:AMDW01003819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DEDYVGREITVDVRCGAHVKVKRAAELPDEVLVEELDGQNDCALTAVFRGEEAYVEPALGGE >AMDW01026417.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026417.1:44:316:1 gene:AMDW01026417.1_FG001 transcript:AMDW01026417.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAAERPSPDYLDTVHGGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTAHQLSLVGATAVYTAAKYEDQGT >KN543109.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543109.1:714:2707:1 gene:KN543109.1_FG001 transcript:KN543109.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WWKDLYGYMELSYIRDRTIEAYAWSYMMFYEEDFAFTRMLVAKIIALDTVMDDTWDKSAISILPEYLKKFYIKLLINFEEFEHQVSDNEKYKVSYTKQEFQKQSTYYLQEAEWSNQKHKPSFKDQVVLSTKSSAVQLVCVASMIGWGNAVTTEAFEWAASGNDAVIACAKIGRFMNDVAAFKRGKNKGDVASSVECYMNENGVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >KN541202.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541202.1:1878:3998:-1 gene:KN541202.1_FG001 transcript:KN541202.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTAVAGSRRGIKQEAATTAWSRAEVLAKRLLEALLGAALARLVVIVPALAYQAIQKLRRRRLLHRRGLLDDGDVAVDGEFVVGDEPLLRQDVVADEPEAAVLRLPGAVERRRVAPHLVRRRHARPQTDHAEPHVGSDAAGGGGGAGVGGVELEAHGGVAVARIFARVEVEEEAPGVTCGLELGRLDVLFAALVRFLDRVA >KN539635.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539635.1:37995:40388:-1 gene:KN539635.1_FG001 transcript:KN539635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSWRTVCLLVLCTVALLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >KN541202.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541202.1:13557:29292:-1 gene:KN541202.1_FG002 transcript:KN541202.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWLGASRHQGRKMEGRMASSSSPIPIPSLSPPSSHRRSPPLTPRLLAHACGRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVQKLSKVGKIAEAGSMEERVITERIRRKLEEVNAAAQKHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLTANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKMKTHATEELEACVNRSIDDNIREGEVRDAERVFAERGDARTVVSWTAMVAGYAQNCFFGEAVTLFGDMVAASVLPNEITLISFLPCLRGQEWLPVGEMVHGFVVKLGFDANVPMVNALITMYGRCGSAPVARALFNGMSSRTLVSWNTMVAMYEQHGDGVQAIKFFRRMLTEKVGFDCVTLVSVLSACARLGALDTGKWVHELARSHGLDTDARIGNVLVDMYAKCGEIAYAKEVFHSHGLRGHSVVSWSAMINAYANHGEAEEALKLFSLMRNEGVRPNSFTFTAVLMACCHSGLVDEGLKHFNSIATDYQMSPTLEHYACMVDMLGRAGRLVEAYGIIRGMPIRPDKCVWGAFLGGCRLHGMVELAEYVAKELFDSGSNDVTFYVLMANMYFEAGMLEDAERIRGVMKEMELKKTAGHSLVCTDRERIAVVRIIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVEPDKRPEVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVAMPSKCHVNTGMKTANQNSEESSQGDVYPVESYESFTTNQILDAHWGVMADGDSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALHRESGVDSKYLRGFSLPL >KN541236.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541236.1:25005:26974:1 gene:KN541236.1_FG001 transcript:KN541236.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLITLECTFQVDEVGLEEVPDVIQNYHLCIVKNRLIDSDIIAKACQMKVIMQYGVGIEGVDVNAATAHKIKVARINGSTTGNAVSCAEMAIYLTLGILRKQVLILGFGAIGVEIAKRIRPFGVKILATKRNWSAETLPCDIDELVDKKGGPEDMYEFTGEADIVITCLLLSNETVGIVDHKFLSTMKKGSYLVNIARGHILDYDAVFDHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHTAGVTEYSFRAAAKVCTNSSKCP >KN541202.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541202.1:8259:8585:1 gene:KN541202.1_FG003 transcript:KN541202.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLSRISEEVPPVVTQASSLFRGGLCHYALPSYTHNFIATSAAERTTPMRCSSTSYTFSSCLVLPSSASDAAAPLSLESCSGCRNRAAMPSPNRRCIFERENEGRE >KN539635.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539635.1:88631:92561:1 gene:KN539635.1_FG002 transcript:KN539635.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCFVKTRLYCKDLCVLDRGTGSKFRMELTKLKIVKCEAKMANAKPERFAPFCAKKNLELSDDAGEAYAILLKAQLPEVSTLNMLFSWPRFLTFAGTGVFVILIMVLSKVEVNLIRLLEAAPRQQNQAKLVHYVTTARELLEQLGSETTPEGISSVSKAKTSEYSEKIEALAARLAAPEPENEMPVDENREVESSYEGDKPGSPISLSSGLRRRPM >KN539635.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539635.1:20688:22118:1 gene:KN539635.1_FG003 transcript:KN539635.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSATGGNLATQGSAFLPALASACAFLVARSHRLPLSLAEAAETAFCSVASLADLVSRIASHLSLPPLPSFDYAAALERAVRSSPSLSSAASERTEVILSQSRFLLRCASKWSLTTGRFPLPLIAALVAFAAEANGVTSITVEDIARDISARLHTSLRRYKELVDALVRVARELLPWGADVNAKNLLLNAPVLLRLMEMRSQSDPSEQFLESFAPDIAGIVQACSAVDDDESKYLQVAPQLASDDLDSNNSGQEGKELENLKISEECLSSAYQNVLKRLAQLQRLGQVGKGANRKRQRIGGLELEPCIDSLDDGWTKDMVLEDVVNIDVGFDVPPPSFAAGMKLQKKRRARIEAAKCRIDAIRKGPVESENKLQAALRNEDACSPQKPARKKRGKKRIAGSDRAMNGELPIEMPDGPGGEKKRRKGAPSDGIDWEDCIIELLLLHGANEEEIEQGQYRRLLDLHVFCAVSASGHK >KN539635.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539635.1:49293:52377:1 gene:KN539635.1_FG004 transcript:KN539635.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSPSTEVVDEFEKLVIRMNPPRVTVDNTSDMTATLVKASNTSLFLVLANSFRLIQSISWEEFLFSGRRGCHSFLQVDSANKYGTLLEVVQVLTELKLTIKRAYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIELSLGAGSLSFRAPPERSVEYVVFHGTVIAEGSEAYQEYYIRHLDDSPVTSGDERDRLGRCLKAAIQRRNTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVATRGARAANVFYVVAASGEPVEAHAVEANMYDNFVLIQHCPNSRSFVLVQLS >KN539635.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539635.1:84920:87042:1 gene:KN539635.1_FG005 transcript:KN539635.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSALKDLNLAQSAELEKTKDSSAKSCITKPVLNGNKCNNMEENAPPVLPDAVTNGCEAGNADVEYIDSESLTDLEDAGATLSTLVARLDSKDWVMTCEALNNVRQLAIYHKDRLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKSSQDKRFVCEAAEAALISMTSWIAPSALLPKMQPYLKNRNPRIRAKASMCFSKSVPRLGVEGIKEYGMDKLVQIAATQLSDQLPESREAARKLALELQAFYEKSQASSSGEVDDAPATSPDADADAGAESWEAFCQSKLSRLSAQAILRVTSTTKEGVAVGVTSTPPKEGVAVGC >KN539635.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539635.1:15039:19651:1 gene:KN539635.1_FG006 transcript:KN539635.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLVGYGWVWLAVTHRAPVPPLWAVIFLVHVSNSYCILKNVEVSKIRIRILRGDYAYPRAIALATAQVFMAFGHFSFAMAWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGIIASGIYDSEAEKQAHQHHNSTLLAMPGRLLALASDATQPLKCEGAICFFLSSLIMSGFCIVAAVLSLILIYRTKIVYANLYGNPRT >KN539635.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539635.1:72467:80911:1 gene:KN539635.1_FG007 transcript:KN539635.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGELVVVEEDDEYAKLVRRMNPPSVVIDNDSCDSATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGNKVQNKEVTDCIKKCLESEDYLVPPASSPAGGAAPSEETTCIELIGTDRPGLLSEVCAVLASLRDRPRLLFDTLCALTDLHYVVFHGTVDAEGGSAKEAYQEYYVRHVDGHPVRCDAERLRLVRCLEAAVERRASDGLELEVKTEDRAGLLSEITRVFRENSLSIIRAVITTKDGEADDTFYVSDAYGNPVDGKAMEALGEQLGHAVLRSCGYLKTPLLLQHHTHSVSKAKISEYSEKIEALAAWLAVPEPENEMPVDENREVESSYEGEKPGSPISLSSGLRRRPIAHTDVGPSSHARKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRASTRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRVT >KN539635.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539635.1:24330:24653:-1 gene:KN539635.1_FG008 transcript:KN539635.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDYAAQLMEACPRDVGKTIAVNMDPVSPIVFDNVYYSNLVNGLGLFTSDQVLYTDGASRRTVEEFAVNQTAFFDAFVSSMVRLGRLGVKAGKDGEVRRDCTAFNH >KN539635.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539635.1:8703:14358:-1 gene:KN539635.1_FG009 transcript:KN539635.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGAAAIAGKLGSLPFAQCHHDINTVDCQPSGPQGGMLVFVSGSLRTGPDEHPLKFSQELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVEAVKKLFEENKGQIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVSTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYDYLDKITGDLVRGVLDAGAKTGHEMCGGHIRGMFGFFFTAGPVHNFGDAKKSDTAKFGRFYQGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAEKVLRRI >KN539635.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539635.1:87747:88172:-1 gene:KN539635.1_FG010 transcript:KN539635.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGVRNKQGVIMKALLDRCLSKHRNGGRPEQPPEGSFAVYVGGGGGAARERFVVRTECVNHPLFRALLEEAEEEYGYVADGPLELPCDAGEFVAVLARIEREMAEERTVGCAGGLLLRLHPAAHLMLAAPAAAPPMIVG >KN539635.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539635.1:26287:26884:-1 gene:KN539635.1_FG011 transcript:KN539635.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMLAVMPAFSGVAADLSAGYYSSSCPKLESIVRYEVSRKINETVVTIPAVLRLFFHDCLVTKPLHFQRCKAATCRCYAFPVAALEPLWLYPNLPPHWPFSLK >KN540967.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540967.1:3755:5340:-1 gene:KN540967.1_FG001 transcript:KN540967.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHPEVDTVFNQALAAYDHSGFATVLRECSEVFQGVQSLTDCRGGDGAAAKAIVEAFPHIKCTVLDFPRVIGNKRGDGVVNYVAGDMFRAIPPAQAVMLKLVLHHWSDEDCVKILAQCKKAIPARKDGGKVIIIDIVIGAPSGPLLEAQLLMDVGMMVATKGRQRDENDWRDLFKKAGFNDYKIVKKLGARAVFEVYP >KN540967.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540967.1:26803:29208:-1 gene:KN540967.1_FG002 transcript:KN540967.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTMLAPTNDELLQAQADLWRHSLYYITSLSLQCVIKLGIPTAIHRAGGAASLPDLVTALSLPPSKLPFVRRLMRLLVHSGVFAADHTTDAGTYRLTPLSCLLLDGDDDSAAIADGHPSQVPTVLAMGSRHCVEAAMGLADWIKKDSPSLLFEDVHGATPFDESMADLDPETHGLLNEGLAAHDGSGFAAVLRECREVFQGVESLTDCGGGDGATARTIVEAFPHIKCTVLDLPRVIGDKKTDGVVSYVAGDMLSFIPPAQAVMLKVVLHHWSDEDCVKILAQCKKAIPSREAGGKVIIIDVVISSTSGPLLEAELLMDVGMILIGKGQQRDENEWCDLFKKAGFSDYKIVKKLGIRGIFEVYP >KN540967.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540967.1:16338:19699:1 gene:KN540967.1_FG003 transcript:KN540967.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHALRKGITGGSIKGLCYAHTPENPRVDFIGCLVIQMTDAGSSRATHNLPLFRMAQLQPYEPEASDLANPTIVDKELIGGEFSCNTCGWTFGHAFKGRCFHPLTPLPRDDKASTLGNRIVSEGLGWENHKVAFVLAPSGYAEWGKAVLRDHSLHLRGSEEGVDCIYGSIYCSLGTYSASPSMLRSLLGKWDPHTNSFLFPHGERTITLLDIYKLSGLPLDGDIFEEYVPPCSELEPYLLMYPKSLMLLMDIWKSLQVGGEVSLQDWHPSAEKPLMEKPPEAAHDFLWQPAESQCAQDIMVSSSDTMESTGLSFMQKEFQATTDRVLAKATEGLTPSALRDPRRRAELENIASEHPSFIPGVPKAKELLHQLVIAS >KN544636.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544636.1:8:334:-1 gene:KN544636.1_FG001 transcript:KN544636.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFCEPFPGTTWLLPRDFPLASSYANFSADTAESYGNMLKNKVLGTDGSTDGDMPAAQMPAFAYLHLDHDYGDDDKMFFCDDDQQLLSNIRPLPVPFGQSCLGPRRA >KN541653.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541653.1:6206:6634:-1 gene:KN541653.1_FG001 transcript:KN541653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRPPAPEEMTGESPDLEKTEGENGEKEEEERKRGTKGKGGLGRLREGPRQSPQIWRKRVEGKKERKEEGERKREGRKGREEGCAAATADHHRRRRRQGQWSCLGGRRGWVGFASRVAERGDTGGGCGLSPNIFELFHDE >KN541653.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541653.1:18478:23228:1 gene:KN541653.1_FG002 transcript:KN541653.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRGPPLLADPTVAAASELSVKNELLVFWCCNSQNQNFQVDAMRMGLRCLMSTCSSTEATDDSQRLYATSSCANVPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAQGVQLMPNSELLSHDEIIRVAGLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIQLGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFKGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVDLALPAPEAIVPLDS >KN541653.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541653.1:14908:16803:1 gene:KN541653.1_FG003 transcript:KN541653.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLGRSAFINFSNPNAYVGKFPVISTVSHPTASSQIDVLAHCSSYNLPRGTKRKFDGLSLGLGNSSSSESSKQSMGTGCTISSAKGSDDGSSIDLDLNHFTLGNEGTSRLDKRACDSRRALDKPELNLELSLSSQSAITGADFTAATEYNSPSLQPYYMDLVPTVDEGSTSARRPSGCQVLSFLNKTAKMSEFSPREVFPGSSNQSQGPAPMPTLLQLPKSPVACTSGFSRPQQRSSSTKNCTYPGCMKGARGSSGRCIAHGGGRRCQKDGCDKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSHEGCKRAARGKSGRCIKHGGGKRCQHAGCTKSAEGRSGLCIAHGGGRRCQQDGCGKGAQGSTNFCKAHGGGKRCTHPDCKKGAEGSTAFCKGHGGGKRCSAEGCTKSVHGGTLCCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCQSSGCDKSAQGSTNFCKAHGGGKRCLWGHEGSDHGAGDTPCERLARGKNGLCVYHNPQVDENRVHGGFSVVSDALSQGDRPSNTETSRRSIFSHPVEAPRRVAAPADEGRVHGGNILSMFANGMSLGKHPTNQAEASTSAPRNSKSTNGMVTGNSAARGSWL >KN544209.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544209.1:234:1188:-1 gene:KN544209.1_FG001 transcript:KN544209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSLHATNSLHLHLLLVFLLATTLLASAKDWAVPRSQGHGRVVPSTATLAGCQNSCGNLTFVYPFGIGSGCFRSPDFELVCNSSTRPPRLLFRDGITQVTRSINIVSTEFLGPYNDVRTRFSDTISMRNASVVSWSLTPKFFEDSLDASYLSLSGLCFSGCDFDVYWLNRPSNNNETPNCTATCPKGESTGMVSPTQDCNGGTGCCTIDFGANINVYSSSTIEFKFVRRAETNLHRNRSLSWDTIYITDTFSWHTISWKIADQPDCASARKNQMSYACVSNKSICSDSEFDKHGYSCVCRNGYIGNPYILDGCSPNN >AMDW01029713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029713.1:137:319:-1 gene:AMDW01029713.1_FG001 transcript:AMDW01029713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIK >AMDW01028342.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028342.1:157:363:1 gene:AMDW01028342.1_FG001 transcript:AMDW01028342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YEQLNGVSEDKLRALREIEDTIAHRKHLDSSIDFIGKLVFGFENGPLVLEAARSSGQPLVDTWDCLKKM >AMDW01024283.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024283.1:1:249:1 gene:AMDW01024283.1_FG001 transcript:AMDW01024283.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATLAVTNSYDTSYGFDRDAPEGNATEFTLSLRTDARGKFKALRFSSPLRAGILTELHRLRPVHPVLDFPVLHLRRRTHEWAPF >KN541681.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541681.1:5052:7703:1 gene:KN541681.1_FG001 transcript:KN541681.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLVDVDPPELQFPFELDKQISCPLRIANKTERTVAFKVKTTSPKKYCVRPNNGVVRPRSASVVVVTMQAQIVAPPDLQCKDKFLVQSVVVDDGLSAKDITSQMFLRDENNMVEEVKLKVSYVMPPEPAMEIAEESDIPKRVLVPMQRILDNGRSGSELSSGNVSLRSAEMGTELGSPLGRFVRNEDMLKTASPVVETRVHAGPDEQYLELSALVAKLTEEKKSALEQNRKLREELLRSTVEEMPSYFVELTGKEYVSDHLCQPHVGGHKYAGACKASSQSTSRRLLAGIRTGDWAT >KN541681.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541681.1:15817:16275:1 gene:KN541681.1_FG002 transcript:KN541681.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTKNVKGEDMEALLGLVLQFSKLLPADDFSMAVNGNGIGLRNFVEKLKSILEEANSEITSTSTHPGIRRFAIEQVIWMAQSEPQLHCINHFIDFEMRDYLVEVQQTARRTWQENFKLSSGDLPVLEYEESLHSVALRALDLIPGEQINGQ >KN541681.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541681.1:9480:9811:-1 gene:KN541681.1_FG003 transcript:KN541681.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLASSSKATKDREVGEGSVGKKNGGKKSIEELREERRKREAKEKERERALLGIPSRKEKYSERGRSSRWAR >KN538870.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538870.1:50882:56759:1 gene:KN538870.1_FG017 transcript:KN538870.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKAAREEEEATGRRATEEDGFQVVYGKKKKKVMGGGGGEEGVGRGGEAFGGGSGSVKMATMDKSAAPGVKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLERSDDGSRVVHPLEKIPMEQLVNRDFPESEPIKPPALDDTPFTHVEDLKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVTANKEYAREDTHYLLYIYDLMRLRLVKESSDENDLLLEVYKRSKEICLQLYEKELLTHSSYLYIHGLKENEFDARQLSVLANIYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKSKNSYLERHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELAVANMKSNDGDTEMVPADDGNNDDDNVGPSDEHGAVASVENVGAASHCTGNVTSGASSVNVQLENPAETKSLGILSGVSGQDMEVPSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRRQNLFSGFSSGRLDTETDGPQPPENHNEDGQGHLVPDDMEMSRSPPDHSSAGTKQRFQSLNESRNQQQNHKPNQEPEFNHQLKPFDYAEARKNITFGERKAERIKDNAVARAINKDSGDKGRTSNQFGAGENEVNRQNPRGRQAFPPSGNRSATYH >KN538751.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538751.1:91805:93439:-1 gene:KN538751.1_FG043 transcript:KN538751.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSTESIFSRKYGLLGKEEAHDNAKRIEEVCFASADEHFKEEPDGDGSSAVQLYAKETSKLMLEVLKRGPRTTVEPEVPVADTPLEPADSVFDISGGKRAFIEADEAKELLSPLIKPGNAYKRICFSNRSFGIGAANVAGPILESIKKQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYVMNDGISEEAAQALSELIPSTEKLKILHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVEAGLALSKTLSKLPDLVELYLSDLNLENKGTVAIINTLKQSAPQLEVLEMAGNEINAKASQALAECLTAMQSLKKLTLAENELKDDGAVVIAKSLEDGHQDLKELDVSTNMLQRVGARCFAQAIANKPGFVQLNINGNFISDEGIDEVKDILKSGENSVEVLGPLDENDPEGEAEDDEEEEEEEENDGELGSKLQSLKVEQDD >KN538751.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538751.1:50439:60077:1 gene:KN538751.1_FG045 transcript:KN538751.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MERIAGEELPKSAQIHLINNFTLNNIDERRSLLPSLVPLGDVPMGMRRGSGGAGADQEGGVPMGGRSGGSGAGIGLDWVWDWRNPNRSLPPPPTEDIPAVPGSSGVLPLSDLQSKRQYLLSSLMPLSSLPPLPTEDIPAVLGAAANTPGSSGVLPLSDLQRQQIHAMRTKLSSFKNNEKIRKMEVVDSSDDDCDPYFNYLVDSFITATKAQPKQSYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKTYDMVPTCIVSLEEKRRIGGRSFINDEYVAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQTSTRDFLALSLEFIRAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDTKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >KN538870.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538870.1:20431:22092:-1 gene:KN538870.1_FG019 transcript:KN538870.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAAAAASGSRSRVLSEIDPHSEWIHGDEFDTLVLDVTGFSKDHLKVQVEAAGSLRISGERAVNGSGRHWLHFLKRVSSNKHRANNVDEDGHSPVVLSDSSKQICTTELSTS >KN538751.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538751.1:14823:24709:1 gene:KN538751.1_FG046 transcript:KN538751.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAERIMALAVPCSAVPDWESDDGWIDVMSSQSELPIAAAETPAEQTRSEVSESPVASSALETTSYASAWDETSEAVMDADVDDDDDELVHNVRTVDTFVDEQLRQDIYLDFTTSDPIVLHVDVSDERKVGGGCRKAGEMKMMQIRGDTWPKSLDYLTPDRTFQVSPSRFRTFQVILGRPWICVMFWSTEARNFNTIASGSCRRASVSSWCAQGKAQKTATRALITLKKGSKLIKYSRKGKPKIREFRLSSDENTLVWYSHNKEKCLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLESLITSCRLNFLNDGQTDRVSFSEDVTIYQDSTSYDTTLDITSSITRSFNSAGYSTPNSLNSIRADVGSDRVNMLRASTGDNSRVSISSAPSSSSQSSGLDDIESLGDVYVWGEVWTEVLPSEGSSNYLCSKTDFLIPKPLESDVVLDVQQIACGSRHIGLTTRQGEVFTWGEELGGRLGHGTDTDICRPKLVESLAVSNVEYIACGEFHTCVVTASGDLYDWGDGSYNAGLLGHGTGVSHWLPKRVSGPLEGLQVLSVACGSWHSALTMSSGKLFTFGDGTFGSLGHGDRESVAYPKEVEALSGFRAMKVACGVWHSAAIVEISGQTNTNAMSRKLFTWGDGDKNRLGHGDKEAKLVPTCVQALVDHNFHQVACGHSMTVALATSGHVFTMGSSNNGQLGNPKADGKQPCMVQDKLGNELVEEISCGSNHVAALTSRSEVYTWGMGANGRLGHGSVEDKKKPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADTGVISSYNKRNVITRRSIDIKDKLERPEIRPSRLATTSPAEPVKYQETKIVRNETKPADPMSMMKASQVPAMLQFKDMAFAGTFGTVPTTVKSMTMGGQMQMGMPMFSPSPPSKKPSPPPATASPLIGKVDNDGLKKTNELLNQDISKLQSQVNKLKQKCETQDEQLQKAERKAKQAASMASEESARRNTVLDFVKHLDSELKVIADRVPGDVADNLKTLQSQSERFLAGQSSNLVEITGLTGHDIGHHRSSSTGSLPVSQDGSSGNTSGSSISMASDSPCHRIMENNLKAPGDFAPKYGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFKKYNHPTN >KN538870.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538870.1:58201:64582:1 gene:KN538870.1_FG020 transcript:KN538870.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVKYDRIIYVDGLFYNIKVHGEVSRKHDGVDGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWLETALDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICAGGYLAFQNGWSGYNDEQGYFPKGVAGVLSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSIVIVGLVPYYALDPNTPISSAFAKYGMQWAVYIISTGAVFALIASLIGAILPQPRIVMAMARDGLLPPLFSAVDPTTQVPTLSTILSGICAAILALFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPNEIATKVALPGSSESLTSDSGYSEPDEENSEDLLGNGAFGSLLLVSATIALWFIGQELSSLRQTGGFMCPFVPILPVCCILINVYLLMNLGIHTWIRVSMWLAVGAIIYVFYGRKYSSLTGVAYQRISPA >KN538751.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538751.1:128811:129605:1 gene:KN538751.1_FG047 transcript:KN538751.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKFLVTVVLVILLLFDSSSSGMLFIMFLFFWQAETAYTNYEVTSKVKERIQKHSRRVLTDVQDYDYGGPNPRHEPRKKPGNGH >KN538870.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538870.1:87361:95040:-1 gene:KN538870.1_FG021 transcript:KN538870.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKCTDEYQSEFLILHSGVASGYSLQSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFRIFDTEHREYLMKAISGSQSLSVDLNKASICNIEVMKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDARAMVPDKLVKDGQSYTSFTNCISLSQESQISPRSMLSVRSVDILGSSHRTKKGPCLYPHAIVHAKKLISGNEYTLSYLKSSPAEQLPVAVSSVQVKRSTDDPLDTKTLPCEVKTGCTLSPIQLKHIQANAGHVPLCIHQDMKASMKRKTEVPEVTGSKSLTVIVSTAGNIEHDFDIMKLDLTANLLSKVEQLFREKPNPDDLETAKAILKVRTLLTWITQYEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHDEYESDDEMPQKTASTNDAETPPKPVSPSDKEAPPQPVPGRGGGATSKGKAKPRDSGGGSGSGGRLDAADDQPTSASTCTSSSTLSPRSEREGEAKAQRARGRVFEPPAKRARRPSVRLSRSEWLWW >KN538751.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538751.1:7064:7876:1 gene:KN538751.1_FG049 transcript:KN538751.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVARGEEQSPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTEAVPEVPGWLSADAKDFLARCLQRRPIDRSTAAQLLEHPFVASAAGDGKPEAAKSKWVSPKSTLDAALWESDTDEEEEDELSQSTAERIGSLACAASSLPDWDSDDGWIDVISTPTEESCETTTSPADEGTTTDLNGDIAIAELELPHIDVDKGNGNSTAHNVGEANAQHIISPSNLVFDQVLCKTPFCNKHIAIEFIPCFLLTNIFLPLSLLCSYAPHS >KN538751.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538751.1:135500:138966:1 gene:KN538751.1_FG050 transcript:KN538751.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGTSRPTAIMARDALALMDHLGWKKAHVFGHSMGEFMSLSISGMLNDDQNFGGLTSFEGNCVVLVVVSVSIAIDVGGLCNTGAMVSCKLAAMAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRARTPEERALEYLDEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >KN538870.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538870.1:10513:19997:1 gene:KN538870.1_FG022 transcript:KN538870.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIMKRLQREKFADMIKHMDGHEQIDRLVALYTSSAKGFHLPELPVRVKVALDAAGALLLVDGDELEQARDRLAKARNTTGLGSRASIAELIFGSPGEHGGGGGNGDHEVPNRLTTFGKNSICGGLSSNSQNQPSLTLPSVLEGPGVLPPDLRLLLRRVVVLDLKDVADLLRLLPPHLVSHRGARVVEQLLDVQGGVVDVEELLVERLEAVRVGVGVDEGDGLDGGGGGGELDGEGREREDGAVFVDRDGELFRHVLNWLRDGAVPALADVEYRQLLREAEYYRLPGLIDCISERIGDWDDKIERSSEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSLANLHKAKFGEVQASRSSFNYANLRECEFVGANLQESTLDRANLQSANLQDACLVKCSFIETDLRSAHLQSADLTGANLTGANLEGANLKDKLIILYITNLEWLLQHTISHVVHHGN >KN538751.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538751.1:103333:112027:-1 gene:KN538751.1_FG051 transcript:KN538751.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVSVMRLVVAAMAVAAGVLFFSGAGEARVLLTLDDFGAVGDGIANDTQAFLDAWNAACASTEPAVLAVPAGKTYQIWPVRLAGPCKKKLKLMISGTIAAPASPDEWAGRDPTKWLYVFRVDDLSVSGGGTIDGMGAEWWARSCKRKKTKPCSTVSAPKALQFEECRGVSVQGITMQNGPQFHLMFTRCTDVKASFLRVVAPESSPNTDGIHLNDTTHAQIMDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDRVENVRVDTCLLTNTTNGVRIKSWQGGMGYAHNLRFEGIVMKNVSNPIIIDQFYCDQPTPCANQTQAVEVRKIEFVGIRGTSATEQAIKLACSDAVPCRDLELRNVNLTMVGGGAASAFCHRASGKAAGAVVPASCLAKAPHRMLGDATPAARAAEPRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDHTFLHVPAAKSYLVWPVTLAGPCREEIKLFNCTTQAAPKALHFEDCQGISVMGITLQNSQESHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDTISTGHDCVSIVGNSTDVRLRAISCGPGHGISIGGLGENRSYHRVEKIKMDTLFISNTENGVRVKTFQGGCGTARKMKFGDILMKNVKNPIVIDQQNSSSNEIPCGSKARVGPIDVAPTNLITNPLGAIIDNGRKITGAVVDECAWTCDHVAAGNKKMCNTLRKLPGVSSPKELLTAAVKLSMRKAKAARERFEAAARAAEKGTPMESILDTCKEGYDSTVSALQEERELEPSLALKATKNNVNRVVTGALAIAAKLKL >KN538751.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538751.1:128004:128099:-1 gene:KN538751.1_FG052 transcript:KN538751.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSATRLSVVDAAGGECRC >KN538870.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538870.1:70991:73620:-1 gene:KN538870.1_FG025 transcript:KN538870.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDAMFSEVGNVRVIAKGNLVTYKGPTMVACTLHAVSILLKEGLEWDWFINLSASDYPLVTQDDLMDVFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELIRPANLTTNRRNLPTAFKLFTGTGSTHLGIWHRKRKSYLNPYLVNGAGSAWTMMSRQFAEYFTVGYDDNLPRTLLLYYTNFVSSPEFYFQTLACNSRRFRNTTVNHDLHFIRWDTPPKQHPLYLGPRDYRRMLLSAAPFARKFREDDPVLDRIDRDILRRDGAAPGRAFAYGGWCSEGGVGLCSNPQEAGRKGVIKAGAGSRRLRAMLNKMMNARNFRRQQCR >KN538751.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538751.1:199864:205328:-1 gene:KN538751.1_FG054 transcript:KN538751.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMETRAASKHTEEAGALANFDNGDGVSPLSNTHPSSTATLPAAAVNNSIPASLRMVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFAVARFMVDNWTLCLRERYGSTPFLLGSFPASTFTKDLLPLVEAVVGFCLYSASALRRFSSYSSLEDEMNQVEAAAGVTEVIALSP >KN538870.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538870.1:28289:37845:-1 gene:KN538870.1_FG026 transcript:KN538870.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSERKKITSIIEDSSIYNEQDPFVQRDSSFYHLDEVPDDDEFSYDLQMYLDRRPDQSEVVATQDYAAQLSQISEMGQSVAEGTSDDPSASAAVDLINIILVAAECAPWSKTGKIIIATYLLNVSVSMKGHCGFNLVPVLVEACAARMRLRASGHTPCSGGFGFSVGRLNLPVEFLLFLVAFLGHELDRRGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDRIDILKRMVLLCKAAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRVDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMTQDLSWDNAAKLYEEVLLAAKCGHQLVPWLTSSCDLDEQSSFVRSDIIFKKANDEPTSQSSFVRSDNIFKKAHDEPTSQVNSAASDAFVGRWQNTWKIQPSASMHLCPLERLQAPLCALEGSKPPLFAPGSSIFRRLASRDFPDDIDMSGNFPCKFIVSFFIGPWIPWWLSLLLVTWGVLDGEIPRLVHWHMKEKEIASMQRPCGYQRVQCLQARMRWPQACDPGCLAPRRDGEIQDSSSGPLAHERKGDCKYATTVWVSACAMLASSHEVAASLRPRMLSPKERR >KN538751.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538751.1:44487:46703:1 gene:KN538751.1_FG055 transcript:KN538751.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVVLWWEEWQLRILVLGSLFLQCFLAFAAVHRRRSIPASLRFFIWLAYLGSDALAIYALATLFNRHKTDNQGGNHVLATLVSPQEKAGGDDTGLEVFWAPVLLLHLAGPDSITAYNIEDNELWRRHVLTVISQVTVSLYVFCKSWSGENKLLRAAVLLFIAGTLKCIDKPMALKSASIYGLVTSSPFHDQKSQNNDQGKNRSLEAYIQEAKGYFSNLKINDVYAELVAAAQNKDLRVMPFWLFVDLASTLCHRLRVLRFFLVLDNKSADSLLQTALCGSFVRLYTKKSMLLSYFWAKDKRNALISTYSHLNRLLAVCLTISAVALFHQSHKQGYNNSDVKVTYTLLWCTAALEVYALFGPKYKFFTWCNNVAQYNLVGFFARDQTPTRLLKLAGCFWCKDYVDQHWYVNQCSSSFAITELVIEQVKAGWKNYIEDTSTYWTFNDRRGQLTIQQELGDEELCKSLDVPFDESVIVWHIATDICFYEGAPAANHHHLKAATRCREISNYMLYLLVVNPDILMSGTRANILSNTCKELRSMFEDEKPPSDESDLTREIHRRSQRSNVDAAATEELLPRASKLASQLLAMDGDKRWNVMQGVWVEMLCFSASRCRGYLHAKSLGQGGEYLSYVWLLLWYMGLESVAERQQRSDFRSHVERVASRQLPCTKTESSQEPEIQEEEGAVVPLFQEGDIGAAVRSNVEDEEAGAVTLEIQDDEEAATTAHASKATVPGDNNV >KN538870.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538870.1:1678:3089:-1 gene:KN538870.1_FG027 transcript:KN538870.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSVTMKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTEPMMSPKRLNFEPFSGTKGQIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHWPFMRIDGKDVRISTPRTPKAPKHAPRLARLELLDFKPITAVLFPDTFPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >KN538751.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538751.1:29920:30543:-1 gene:KN538751.1_FG056 transcript:KN538751.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKVYVKILLPYKKKVMKYFNFHGQALYEALMRQDELLAYIDKQDMIKFRKKKFCF >KN538751.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538751.1:83629:86276:1 gene:KN538751.1_FG057 transcript:KN538751.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSACGGGGVDKEKEEFKQIYGVLKEELLRDPAFEFTDSSRQWIDRQMLDYNVPGGKCNRGLSVVDSYKLLKGTNVLSQEDMFLASTLGCFKLTFSCLMISWMILTLDVVGSIAINDGVILRNHITRMLRLHFRGKLYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLNKYNIGVHRRIVQYKTSYYSFYLPVACALLLSGEDLTKYGAVEDILVKMGIYFQVQDDYLDCYGDPKFIGKIGTDIEDYKCSWLVVQALERADESQKSVLFENYGKKDPACVAKVKSLYRELNLEAVFLDYENESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >KN538870.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538870.1:105688:106414:1 gene:KN538870.1_FG028 transcript:KN538870.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLGADGRPPQATVELVDHDVRDDDDMRDAPLLPATEVSVSSSLGPTIPTSPRLPEATASTSPRTWPPSSSTRPSAHEQERDSRTRMPSTPPSRSLAPAPNRSAVLGIELLTEVSGHGGGNSGGGGGGVLAVGGGSAADDHRHRLPSFFPEQDRRHGRIHSSSVKFSRWSPGVLSAARPCVE >KN538751.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538751.1:97125:99842:1 gene:KN538751.1_FG058 transcript:KN538751.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKESDAEVLSPVVHDDAGVSYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDVYRMEVFLDVVESVNILVNSNGQIVRSDVIGELKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIQITVKARSQFKERSTATNVEIEVPVPEDSTNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEDGMPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KN538870.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538870.1:45362:47607:-1 gene:KN538870.1_FG030 transcript:KN538870.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLATTISLHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHAHSDFDRATVHGAIVIHPKHGTTFPFKKPDKEIPVILGEWWNDDVEHVLDEAKRTGGDVEPADANTINGQPGDMFPLCSRDDTFKVAVQQGNTYLLRVINVGLTNDMFFAVAGHRLTVVGIDARYTKPLAVNYIMIAPGQTMDVLLEANRTLGSNNRYYMAVRTFITLPVDTIPFNNSTATAIVEYTDSPAARPAGPPEFPPLLPAIKDEDAAMAFVTQLRSLGNQDHPVHVPTQVDEHMLINIDINFLPCDATNATNKPCKGPQGNRFAASLNNVSFESLAIDILDAYYYGSGRGVYEEDFPNKPTAFVDPTSNNSSGPLLTKRGTKDLSNENHPMHLHGFAFYVVGQGSGTFDERRDPKTYNLVDPPFQNTVSVPKSSWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPEC >KN538751.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538751.1:124072:124996:-1 gene:KN538751.1_FG059 transcript:KN538751.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDQASYRAGETKAHTEEKAGQVMGASKEKASEAKDRASEAAGHAAGKGQDTKEATKERASETAQAAKDKTSGTAQAARDKAAESKEQTGGFLGEKTEQAKQKAAETAGAAKQKTAETAQYTKDSAVAGKDKTGSVLQQASEQVKSTVVGAKDAVMSTLGMTGDKAGTDDGANKDTSAATETTARDH >KN538751.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538751.1:116547:121273:-1 gene:KN538751.1_FG060 transcript:KN538751.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKVTCFSSLPVLLLLLQRECVALAGVEIVVVFACFAAFEQGRGDCSDMGGVAADEVRAEEGQGRDVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHSDANHSPKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISDGKIHPLTSIHHASTYNSSLCKLMEYCPLLTYLAFCYSSLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTGSLMAQDKQMSPRSPSGTGRRKAS >KN538751.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538751.1:151129:157647:-1 gene:KN538751.1_FG061 transcript:KN538751.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKETGDPMKNPTSDSCQNCNACHLQFCNYGIVSTESIKIHATQDRSAKALGGCTPKINTAASTMYAKLPMECGCHCCCTCFSANCHFIGSGVEENAIVCSEQQQPQKKMVVSKKIMKVGPWGGTGGSPWDDGGHTGVRSITLSYDRCIDYIAVEYDRNGVAVSGERHGGAGGNQTTQIKLGFPEEYLTAVSGHYAAAAQGGAPPSAAIRSLAFRTNRREYGPFGGSGAAEGTPFAFPVDGGAIVGFWGRSGLQLDAVGLHVAPLRPETMYEKAHKLGLMAYRSHIDAIMPQPYTA >KN538751.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538751.1:62975:63656:-1 gene:KN538751.1_FG062 transcript:KN538751.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGWRAKGFEVWWCRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGLDPATHKPIAATAAAAATSSASAVTQVDEDHKPHGAADGLAANAKQSVFDPFPNLGYGESSSNSSNWTCAEVSNVLDSEVLNWAAGAAAKAEPFADMEQQQHHGGYGGGEHQVEDDATLEHKFSLPCHEQSLAQFDFNLEYF >KN538751.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538751.1:31333:34474:-1 gene:KN538751.1_FG063 transcript:KN538751.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLLDEDLLPQQHDDYHTMLRFLKARKFDVEKAKNMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLCRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHAVSL >KN538751.1_FGP065 pep scaffold:O_longistaminata_v1.0:KN538751.1:87367:89895:-1 gene:KN538751.1_FG065 transcript:KN538751.1_FGT065 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSQLDDGIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLESSPNVATEAAFTLDGQYVISGSGDGTLHAWNINTIQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPNNSSSN >KN538751.1_FGP066 pep scaffold:O_longistaminata_v1.0:KN538751.1:149315:150847:1 gene:KN538751.1_FG066 transcript:KN538751.1_FGT066 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGGKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVSKSGAKKKNRQPTKL >KN538751.1_FGP067 pep scaffold:O_longistaminata_v1.0:KN538751.1:39347:41207:1 gene:KN538751.1_FG067 transcript:KN538751.1_FGT067 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAFRWGGEVLLAPAAAAAFRLVGGRRWIVGLIFLVLQLAQRFVPWQSESWATHGSDKEKEDEEVVQAKNEEVDSFIAAAEKTGPPQQNIDCTAAARRQANNYAKVALEHYNKDENNKIQYRFIKALKSCAIQTNESYGHVNFVASSSDSTEEFFFAEVCYDPKSNGLVPTCMVSLEEDNRIGGLLGVGFVGCPDLLNPPVDNDHCYACDDRLKHPKDGTLFKGGHVAATGFYTSY >AMDW01035865.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035865.1:338:503:1 gene:AMDW01035865.1_FG001 transcript:AMDW01035865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRAYLKRCVVALAAAAEDAGQKIALMPCTAAHCVEQFAADKAMAARGSKRSG >AMDW01038946.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038946.1:69:839:1 gene:AMDW01038946.1_FG001 transcript:AMDW01038946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKACTMHQKIHDFIATDVSSFMDTCLPLHLAHRFSINSGVTLEGPSRSSDILSLLDSLPGSDQWQLLKVLDLEGCTGLKNKHLKNICKILMLKYLSLRNTGVTQLPKKIEKLQCLETLDIRQTKIRAFATKSIFLPMLKHLLASNKGSPSRNDNNSHGFEESPATVELPSGTRRMERLEILSHVDASNNVNDLIDIGQLLQLMKLGVILDGKKAGSLALLFKQIEELHGCLLTLSIQINHPATSEGTVPETDKLATL >AMDW01053233.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01053233.1:104:385:-1 gene:AMDW01053233.1_FG001 transcript:AMDW01053233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVDGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQ >AMDW01040813.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040813.1:132:1216:1 gene:AMDW01040813.1_FG001 transcript:AMDW01040813.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CYMGVEFPAWMKDVEYFTNLRQIHLIECKQLTELPPLGQIPSLVVLVLQGLSKLEKIGEELYGNSPKVFASLEELTFLDMQNWEKWSNRETGPFIPKLRKVIIDRCPRLSEAPLHSFRKSLLELDLSDCDLILASGTCLQGLGNLKRLKIFTYTGSITIPCSSLTSLVVLNVSNNQNGLNFDGERQLLTNIKIIVINGCVQVLADETKPVLEDRPSSEVKTTSTFAEMDTDQALHLKRKDGQVSSDLDTKRLKNQKGKCLVA >AMDW01034847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034847.1:312:535:1 gene:AMDW01034847.1_FG001 transcript:AMDW01034847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLIKYQ >KN539749.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539749.1:6134:17124:1 gene:KN539749.1_FG001 transcript:KN539749.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQIMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGPIRSTDPGGTAVPPVSNECTTQTRTTFSHSSGTWSANGDSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKALDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLADTIDIGTDRLACSIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >KN539749.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539749.1:79234:79554:1 gene:KN539749.1_FG002 transcript:KN539749.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQVKMEKPLPLPYLHQQPPRMLHSGQYFSLPAVHPGDLTPSAIRRYCKAEQVSGQTSALSASRDTGLSTDPNAAGCAEISSAPTSQPFPEFDDPILGLDDFWN >KN539749.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539749.1:47850:49689:-1 gene:KN539749.1_FG003 transcript:KN539749.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDDDDGSGRTKLIIAAVVMLLAIVLGTEANDSRVAEALRDCRMLLGDCRGDVSRALTSIAWRGVDAVSQDLQAWLSAVITFQGSCVDMFPQGPIKDQVREAMEKAREISSNAIAIIQQGAAFAAMLDLHASESHAAEGEELDVDHDIQHHVDRHLEDQSVPPWLSDEDRRMLTSGEEFVAGLTPNVTVAKDGSGDFTNISAALDALPEAYAGKYIIYVKEGVYDETVNVTSRMANITIWMDAAVDGDRFTAMRLGIRNTAGEEKQQALALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAMFQRCIILVKPPLPGKPAVVTAHGRRDRQQTTGFVLHHSQGYMPWEGKDNLGEAFYGEYGNSGEGANSTGRMEMRGFHVLDREKAMQFTVGRFLHGADWIPETGTPVTIGLFGG >KN539749.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539749.1:34146:34367:1 gene:KN539749.1_FG004 transcript:KN539749.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAFHGKKADINCFGHGGRLMVCVSSGEANGCFMCDVGSNQWEELPKCVNGDGEVNDFLAAFSFEPRLEISV >AMDW01040789.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040789.1:187:473:1 gene:AMDW01040789.1_FG001 transcript:AMDW01040789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVDAAMKKSTATWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENGVDFYINGHDHCLEHISSRDR >AMDW01040789.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040789.1:1603:2169:-1 gene:AMDW01040789.1_FG002 transcript:AMDW01040789.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGAPLRPGNIAIHPSSPKQLVYNPSNRVPAVPTPGLHHIPSNGIQTVFVAPLPITPKAVPFASAVTLPNSTAAWIAEAAPRPASPRLPLQGTGVFLPPGLGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARAHKANGSVSSKPTRKDDITEAKPKCNGSSDGGSYVAHAKATGGTEEKNVVAK >AMDW01058343.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01058343.1:1067:1763:-1 gene:AMDW01058343.1_FG001 transcript:AMDW01058343.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DAYAALDLDGYVLGICPLRVSPSKTAIMPVNPSFLPQSEAEREMCSRTIYCTNIDKSVNITDLKYFCEEHFGQVFRLKLLGDDGHPTRIAFIEFAEVTLSNISVLNAVIFAHWLT >AMDW01027564.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027564.1:91:353:1 gene:AMDW01027564.1_FG001 transcript:AMDW01027564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSQRKLALVILCGLLLLLLPLVSSVPLSRSVSLRNNQASVSALEAPVQ >AMDW01020225.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020225.1:93:290:1 gene:AMDW01020225.1_FG001 transcript:AMDW01020225.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSYLSPLMLLLLLSAAADGGSGGGGVAEYEAQFEAWCAEHGRSYATPGERAARLAAFADNAAF >AMDW01038243.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038243.1:58:790:1 gene:AMDW01038243.1_FG001 transcript:AMDW01038243.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPDFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMF >AMDW01031556.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031556.1:20:450:-1 gene:AMDW01031556.1_FG001 transcript:AMDW01031556.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTATERAETMAQIDNGCEEWGFFQLVNHGVPKELLDRVKK >KN540262.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540262.1:45183:49639:-1 gene:KN540262.1_FG001 transcript:KN540262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFVNKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >AMDW01036588.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036588.1:29:610:1 gene:AMDW01036588.1_FG001 transcript:AMDW01036588.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMMESVDLKSRLEEIQGNMDVIKSENDKLRSEALVAEQKLNICEAEIERLKMELGALTEANEAAAKAFDTQNEEITKELEDLKTKLEEIKTNKDLAESENGKLRSELLSAEEKYSQSEAEVKYLKQVMGAVVEAKEAAAKAFATEKEDIMKESDNLKRKVKEIQDSKLLVESENDELRSEILSMKQKHGQFEVE >KN542363.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542363.1:13578:14123:-1 gene:KN542363.1_FG001 transcript:KN542363.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEREEEEDEEGGAEILSGGVATALSRYCMYLVARSLELLPDNERWVSDRYGDMTDFLEEASRRRCCCCCRCRLWKCGCWRTLLMDMDAADVADPAAKAGVKLFRMLDAWTMEGDAVSAWKELFDFWTKMVVYIAPSNDVEGHASAIADNGGDLITYLWAFCTHAGIIREPPRDKSPEGDQV >KN541123.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541123.1:2945:3322:-1 gene:KN541123.1_FG001 transcript:KN541123.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLENMSTVPDCGVPDITTSYLRPSKSTIDLFTAAAGSPVAAHSIMSPPQFMGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSDTDLEVDQYFSTTADSSCGVVPTF >KN541123.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541123.1:18179:18813:-1 gene:KN541123.1_FG002 transcript:KN541123.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPEFPGLPQRCDSCRSAPCAFYCRADSAALCATCDADVHSVNPLARRHRRVPMGVVAAPGAGGAFVVRPAGGVNSSWPIREGRRCDYDDDDADAAGEEDEEATSWLLFDPLKDSSDQGLPPFGDALVADFLNLGGGAGEKEDASSSKDCSSSHGKSSEGSHEFAVPGEPVPERQGFGAARVQLWRIARSQRKS >KN539719.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539719.1:69056:69286:-1 gene:KN539719.1_FG001 transcript:KN539719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVLVGILCAHVTVACRPTMPEALRMLEGDMDVPDLPERPQPYGQRIAFDEGEANFSASSVLSGPFMDFGDMLR >KN539719.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539719.1:44674:46646:-1 gene:KN539719.1_FG002 transcript:KN539719.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGGEEGVANTESLSQLVVTNSKIVRDKASIEDEVMDNGARALIELSQGTPGSFCKVCNEVEKPNKRFLICAHSLCPYKFYHIRCLRYEQIASSEQQGNEYWYCPSCLCRVCKVDRDDEQIILCDGCDEGYHLYCLIPPLTLVPEGEWHCSSCIVQEEKETKRRLHGKDIATNVSMLETDGFAELEAANMLMLLKNSSTNGEIVVSPVSQ >KN539719.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539719.1:76303:77932:1 gene:KN539719.1_FG003 transcript:KN539719.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSLVTYYTSSLLSDCYRSGDPVTGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMLAIGRANCFHRKGHGDPCNVSSVPYMIVFGVAEVFFSQIPDFDQISWLSMLAAVMSFTYSVIGLSLGIVQVVANGGLKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESAVMKRATVVSVAVTTVFYMLCGSMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAQRWPESPYITGEVELRLSPSSRRCRVNLFRSTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKEKDKEMEVPLCAMNSSYQSCPGTKPHNLSQLWR >KN539719.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539719.1:81070:86963:-1 gene:KN539719.1_FG004 transcript:KN539719.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQEREIDSVAEVWALATEPAFLFYAVTVLTATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKYATNHLIRTGGSRLSTLLAPSLYFSFCELASVTRAAGYSPAGLLDNTSPGIITTAVLDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHDEDGYAAEGIPLSFYVGAKSPNKLVHTLIQPLPMAEKEECKVLIDQASDEAGDPHQEEDFEDDDDDDDSSSLILLTNLILSGTARLNVLLPTATILAFAIFAPLLTDDGKCTRLNRALTGALMLLCAASCVFFTLTDSFRSPTGRLRKVVNTVPLVIGFVVSLLFVLFPSKRRGIGYPFLLSTDLVYLRR >KN539719.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539719.1:19347:24374:-1 gene:KN539719.1_FG005 transcript:KN539719.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHKPNTIGANRRYHTIAARRKQQFSGYFRPPKPLRAQVGTVLVQVGVANAQESPSQSIWKSNLFSRIRVGNQAILVEIHQKKCTHFNMPKLQRKILRLTVEFNQEMKSDFFRLPLAEKRRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDRAAAPVVADYFSSTLGPDFAPMGRVYQKYCEEMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVSPVPGAMVINIGDTFMEFVGNCCVCRRCRTGGIRAACTGRW >KN539719.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539719.1:80072:80848:1 gene:KN539719.1_FG006 transcript:KN539719.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit N, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/Swiss-Prot;Acc:Q9LVM2] MGRWLDDVEKHKSLAIYPPHEGGYEGRYLSRLSYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVMEAKVLSKAELQFLAILPDIRPKVRVIAECGNWRKFVWKPLKQIAGLEPDPDAEE >KN539719.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539719.1:13170:17455:1 gene:KN539719.1_FG007 transcript:KN539719.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRSSPSSSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEITSNKKAAVKEQPARVNYGASEEAAAASGNTAEGVDRFGDTDNNDNAVPEEPNNTVPSEEEKFSENTVEKPVESSEEKAPPKEKEESKDTFDDANGKTEQSSAKEDGDSESGSGQSDGSKNGDDEEKSEEKKDDEQSDGERKDDQEEKAEGSDSKDTTDQPQIEETVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFKTQDAESKNEKESQAASNSSDDETTYNWKLCNNNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCVVPLPEGYKRPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLAEDVEIWNAMSSLTKAMCWKMVNKTKDKLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLEKTPYWIDDSHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPTDSADTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAETINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >KN539719.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539719.1:56974:63284:-1 gene:KN539719.1_FG008 transcript:KN539719.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGSVFGTEFIISFEILNQVHPPESDPKDPAQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLRTKHDGYFVNKGKLEQIEAGTSANVAPKKRRRKDSSSGYIENNQVAPADYPSIGNMAGKSAARSGAHVGKKLTSSNIGSYGEYYHDDNRVVKNKTGAGVHKRKSMDFAMGSDTAAYTKISSKDMPYASSELNKAAGLQPTDYTHRSKTAEAYDYAYSAYRDRDTSMQLDFQQKRAYTGENRDPSNKIHRKEKHGMGEFSGMATTGALYSGQVMQPITSRDGSGTKPKGTRLERAIRDLQKIAAEYRPPAIDINEVDPNGQVAVKRRLPPEVKQKLAKVARLSANHGKIQEHELMDRLMGIVGHLVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIKSRVAAKAKVNEHHSGSADDFQIANDEKRYLKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPEGSMDNVGIKDAINRSKERRRSLYNQQKVRNEERMKRKRLAAAAKLQDGYPVVMQSALIQQVAQPPITNPVATYPVTDQGSKSFDRVREISASANPDDINRNTGEMKKKKRKPESDLVDTQANAMKGPSQHVEKNKPPKRADEAVETVRNEERMKRKRLAAAAKLQDGYPVVMQSALIQQVAQPPITNPVATYPVTDQGSKSFDRVREISASANPDDINRNTGEMKKKKRKPESDLVDTQANAMKGPSQHVEKNKPPKRADEAVETVLCLPFYDQQPS >KN539719.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539719.1:66308:68390:1 gene:KN539719.1_FG009 transcript:KN539719.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRSGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGIVNVNDKLMDHWERHVRTDKMLEDENKLLAFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >AMDW01035467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035467.1:8:70:-1 gene:AMDW01035467.1_FG001 transcript:AMDW01035467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAARRRDLASPLGT >KN538714.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538714.1:322653:328735:-1 gene:KN538714.1_FG069 transcript:KN538714.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAPRRRGSGSESSSSSRSSRSGGVSEGVHQMLSSEEPSGPSCSSIQGVSAVPATSPGESPASQDPTDLVQPCAKFSIRDYVFASRSKGIKRSWPFHPRSLQLCLKRGVKDLLPPFEPPDLIRSRSLSTTINVEQSAASSEANAPVGLVKTRDDGSSIVNASNINFQSCQPVAESLGPSQYTSPEDGKSAVDQGENTNGLDHTDEVMPVDLQVNSCTKAIRQTEVAVPSWRSKNLDSSREPSEKKCKLVVKVGSLTRTEEVASNSSTVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTEPVEKVILKPKVKPRKKRLMVDIYKTARLFTLEDLDQRNGTNWAIELATPTTNKEVCTENRSPEVVPFDPRDDEREGDVYVDSNGIKIRILSKSSDASLVLRDEHNSRKVAKNETGKSMLMSKTCLKSKICKNKRLKFPGKKHSKTNRLNTQVRTHTDGDMHEHTSEDEEESTMHVQKPTESTSYGGSETIRQWVGSKRSGLSKNCAREVTDKSSKSITPGTKKLARSSIRGFDDSQISDSPPEAFSSQPPEEMTTTSEANDDDERNGTSRLLRSIPRWSSKTTPSSNVIPKVPRSAAALAKRKIKEIGRRESYRFDNYDTVRNSTSIRNSIRRGPSSSVAGLSDGSNRVASTKKFRKNRSLLRTGRREFSPSNSGLVHGFGQDHGSNPNHTNKRFRVSNKETSKKLKHTQEDTADNDFSYESDIPALGQGDDQYDAAQQAGISQMYYEGEEPETEMQCASPSRSDPVDCSNDMSSDSLSPENNEMADDVLVEGYSVAIVDPCSNEKSAYHAHIPNDVANNEVEEWRIDPSSTKESSACLTNNRDMGLGAPQDNSSITSNREDSNLDHGLVFDRGSSGSPVSTASTLSPSTSLRDSRTNRSEPGPSTVSLPTVEERVSGSSNQETKSTPLAREGEQLPEKSCCCNCRESISRDSQVHDQSAMARPVPAFTGRPVPQLNIGLRASSSFSTYQRTSTKANPCLDSHDQTLAGKVSAEPTMTHPSYTTDCMSPSIQTQLPSPSNPILRLMGKNLMVMNSEESGHPQAPCSDYIMRGNYMAPGCFVPQNYQHIGDPAFMNTTPSTANHQIPLSSVQAGNFSAPTLHNGSMVQSDYHSPQKPYRNLLPVMHHPSYMMKEVIMIDDSPEHRSDPQISMLLPSAPSPATISVPNTVASRPFYCLPSQNQLLPRESAVGPLPVFTNINPIVGVSSSSQGNNAEVAHPYMSNPFYVQSPAGYINPSVYYSQNLR >KN538714.1_FGP070 pep scaffold:O_longistaminata_v1.0:KN538714.1:381970:382143:-1 gene:KN538714.1_FG070 transcript:KN538714.1_FGT070 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLAMSCVVRRLDGEDVGRGVEEAWATSGWRPPRADEVGRHLVVRESMRYAIYG >KN538714.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538714.1:349414:353033:-1 gene:KN538714.1_FG072 transcript:KN538714.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKDSNHRGADYLMTMPMQNFLADAFPPPELLEGEGGFEKHGLSVAGQASPTTGKLHMLGGANDSNPIRFESPPIEEGSGAFSFKPLNLASSHYAAAEKTKSLPNNQHQSLPISVKTEATSIQTAQDEAAANQLMQPQFNSGKLSRAAPDTVAADAAGEGQPAAGDAKGDSSSAAVAVAAAAAVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHASCAVKKKVHDGAATAQLGESPEGVDVTSAVSDEVDRDDKAAHEAGLLRHHGHEHGVEGGARAAGGDPDDERGRHPRRRLPVAQVRAEGRQGQSQPKMST >KN538714.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538714.1:367877:368594:-1 gene:KN538714.1_FG073 transcript:KN538714.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSAVLAVAALCLALALPLVALSQRLATATADGQYAVNVTDAAGTVRIQSGWATAKLVSSVYSTSPVAVYALNRVLLPEQIFPTAPKVAPVPAPAPAPVHGDKANDGAPGAGEHGASDAKSSSCRVGAGRLLAILAIIVSSFLMI >KN538714.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538714.1:388670:392133:1 gene:KN538714.1_FG075 transcript:KN538714.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHRTSHQDIDAPRRLLQFAVRDAVRPVQPITPRSESASKRLRSVVSTMPSDSPLDVRLQRTNSDVRVPGVTAAALRAAAEAAEDVLKEKYSGSVFRRLGRKGMVNAAEESFGFSEQGREREYGDIDNVQAENQLDVHGRNHYAGDAYMYDQEAAKGTDSASDIDRHDDTGAARYNDLVSCRSTLPSSIGKESVVAGFNTVEGTTIIRSRRSIMQDPHASSGRGPSERINMVNNITHKPANQATRRNAVKIEPQVPTEMKHTDSRKSTATLAHVNNTPMTDKSKDSMCSSSMVEEQKLPSLAVGSCSTGQPEGGTDSRTVFLSNVHFAATKDALSRHFNKFGAVLKTLIVTDIAGQPTGSAYIEFLHKESAEQALTLDNTSFMSRMLKVVRKNSLEVSQQSGWPRGSRGSTFPSRLTRTAYPRPAFPGAMRGRLPLRGGARSLQWKRDNADTVDAAKPGHGTPIPTGNQLISPVARSFTYTRAEQKQDVGATI >KN538714.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538714.1:399573:401483:-1 gene:KN538714.1_FG076 transcript:KN538714.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLSKNSNFRFGEKRGGEPKSPVHAHPWAKTPPSSRPFSAFVLFNPITTTEIVLPPLIYESRWVSKVVFAPSPAKDDYAAAAICDIDRIAYVTAGARRWAVLDPVRLVTGDQLTDVVYHDKGKVYCLTRCGDVHLLRLPERRRRKPADEPGPSEQPPEAPVVPLPECAVAIRARRTQLHHNFRMVCYDHLGPRDQMMPMKLTVCSETLVPFNYRRFAIGPLEPDLNAPATVEPLLPEGNLPFDPATSFAPPYNTVSAFTNAKNIVLCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGVHGLKGNCVYWIGGRGRDQGMVFDMETGRSTPCYPLVGGMPGPLQSTICWFFLSDIVNASNSSGGRRVYQTRSRSRAERAQDMEE >KN538714.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538714.1:401632:404543:1 gene:KN538714.1_FG077 transcript:KN538714.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFLRFGGPLFCPFFDELFAGCSDASVCLCRCRSGEVFESEQEAEEDFRGIGGEGALARIHTSRNYSNMPEMRDSKRTALGELSGGGGFFIRRVASPGALAGRGPGKPLARRFIRPSNNKENVPPVWAVKATATKRRSPLPDWYPRTPLRDITAIAKAIQRSRLRIAAAQQQSQTPEQNTPHCTEVRDSLDVEPGINSTQIVATPASSLAKDSLKIFSSPSETSLVTPSKPMDPVLLDDMEKKLSSSIERIEKMVRRNLKRTPKAAAAQPSKRAIQRRTLMSMRLPLHGALLEDLVLGLTAGSARIFLKYSKNAQYLLPIVKHQNYHEEDWSVPEKKRGKLEWLWLTKLDQTCKTFHPSFCCHSLD >KN538714.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538714.1:365535:367422:-1 gene:KN538714.1_FG078 transcript:KN538714.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVATFLATALSLSLLLAGALARPPPAPVLAGLSRNQLKHLLMYHSLAKHYTLAEFDGLSQSNPVKTLAGGRYAVNVTYDGGVVHVRSRWSSARVVGSVYESAAMAVYELDTITWTLGHEEMGLGGG >KN538714.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538714.1:375822:379480:1 gene:KN538714.1_FG081 transcript:KN538714.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHVCSVVSSLVFLWLGVAAAQKASSWKTLSVRSYAMHVYKANGQLTISGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGRKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRSPRFDPSFFPILAVEDIASKFKPPGMWLNVQHDSFYSQFNLSMSNYILSVSKRVIVDYISSPEVSFLTKVSGKLSNNTRLVFSFLDESTIEPSTKQTYGSMLKNLTFVKTFASGCFTNLKKSKTDHGKPLIISHNGASGDYPACTDLAYQKAVDDGADVIDCPVQLTKDGIPICMSSINLMDDTTVAKSQFASQTAVIKDIESVLGVFTFNLTWDDIVKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISVEHAAFVAEELGFDMVDSVIKTLDAAGYSNQTAQKVMIQSSNSSVLVKFKQQTKYDLVYMINEEVKDAAPSSLAAIKKFADAVSVEGNSIFPENRHFTTYQTNLVESLQNAGLPVYVYTLMNEFASQPYDFFSDATAQINAEHLYAHGEQHAELHGPGSPG >KN538714.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538714.1:395722:399052:1 gene:KN538714.1_FG082 transcript:KN538714.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLASLDLSGNDIEGQVPPGLAALRGLQVLDLGGNRLSGVLHPALFRNLTKLHFLDLSKNQFLESELPPELGEMAGLRWLFLQGSGFSGAFPEALLQLEQLEVLDLSMNSLTGALPPAFGHNFRKLLSLDLSQNGFSGPFPKEIGKCVMLQRFQVQGNGFTGELPAGLWSLPDLRVIRAESNRFSGRLPELSAAASRLEQVQVDNNSLSGEIPRSIGMVRTMRLHGGGAKLVLFYPIKITADELLAALRDKNAIGRGAFGNVYLIELQDGQKIAVKKLICSGNQTFGALKNHMKTLARIRHKNIARLLGFCDDSHGGGGGEVSVIYEHLRMGSLQDLIRAPKFAVGWNDRLRIAIGVAEGLVYLHRDYTPRLLHRDLKSSNVLLGDDFEPRVAGFGIDRVVGEKAYRSSLASDLNYSCYIAPEVNCTKKPTHLMDVYSFGVILLELITGKPAAKPASDDSVDIVRLCRRRRRSPELLWNLEWNSSSSQVADYFCLRTSGCA >KN538865.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538865.1:74544:75930:-1 gene:KN538865.1_FG017 transcript:KN538865.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASDSADVADEREPPPSGKKDCNYGNGKWVSDNNRPLYSGFGCKQWLSESWACRLTQRTDFAYEKFRWQPEGCEMPEFEASQFLTRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKERLDVEDVGAEYGFFLAPGAKRPDGWAYRFPRTNTTILYHWSSTLCDLEPLDPSDPATSYAMHLDRPPAFLKNNLHRLHVLVLNTGHHWNRGKLRANKWEMYLGGAPNTNRNTAVIWKAKNITIHSVIKWLDTQLPHHPQLKVFYRSISPRHFFNGDWNTGGRCDNTSPLAKGSGISQNHSDDADAEGAVMGTRVKLLDITALSRLRDEGHISRYSIKATQGVQDCLHWCLPGLPDTWNEILAAQL >KN538865.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538865.1:32341:34668:1 gene:KN538865.1_FG019 transcript:KN538865.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAMVAMSGSLGNKCNMLKITHVNFEHIFTQVLVELAKDWKLVYHCGMTPQKLPDLVEHNPLVAVEVLSKLINSPDMDAYFDILVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIAFSRIREAAGLFRLLKSLE >KN538865.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538865.1:82912:83581:-1 gene:KN538865.1_FG020 transcript:KN538865.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRYIAEIAVSASFVDHVLVALSSDKDAMRTLASMALAELCNASRHAGTSATPSRASSGCSRPRQAGTSPRGTPRRGASSARRSTVPRGSLTVSVLLAVSPSRRCRKQMVAANACGFLQGLLAAEVDGAKKLADCVAIGNMLGVFPRS >KN538865.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538865.1:20763:26637:1 gene:KN538865.1_FG022 transcript:KN538865.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding METLQICTIFFSLSDKMMLRPAERLVAFTILHQGYSSQLENPFVPLIIHAACDETSDKPEQLFLQLLLNSTNGDNDREILKHSAADYLEESAYASQVLLTREQLERQYTCNEVQPQPSTGSFREATVRSVIPDPDISQSCTDSSEISITKSNRDNLVTGLLQQTSLDGIGPQWIRPSPPRLEILDGELQWLNLDNNHELLWDSSMCADTSRGAAIRDLVGKACKGPLSPAQQEVSEVPLEHDHHAQEQSHGKVIGWSCQEEKDIATIFCCLSASLVRLEGGCVKGIVRVKVANDATSALVISCGSGREELGDDMA >KN538865.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538865.1:89604:98299:1 gene:KN538865.1_FG024 transcript:KN538865.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLALVFVLADTSLKKHQKDVFIQAAVIDPMKKRIAKSGQGVPLGGIGSGSIGRSYKGEFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYNGIAVTNNNRVYFQVTTILFSNVGFCLLGEPDPDVNIVTNSGNTAADVTLLFTWAFVINEHLFYAFNILMNDREKDGVHGILLHHRLSPKQISICLNDMYESRTSNGQPPVTFAIAAQEREDVHISECPYFIISGSSDEFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASNILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNVMKFKDGRRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >KN538865.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538865.1:59718:60241:-1 gene:KN538865.1_FG025 transcript:KN538865.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFLLAMVAFASTLLVSIAGAADNSTSPSPSADPTAYDMLQRFGFPVGILPQGVQGYKLADDGSSFEVYLAGDCEFRAAKKYVLHYSSRVAGQIAAGSITSLEGVKCCLFVVFK >KN538865.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538865.1:5863:8246:1 gene:KN538865.1_FG026 transcript:KN538865.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIRTAELKVGTPSGIFAATLLWDGHESDSALLVQLHASRAPGYASQGHIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTMHALCVYLQAARGRGCETSANGRQHTGSAFRARRRWCDAPATHVGRADKDPLQYLPLTCGPVKRL >KN538865.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538865.1:71028:71360:-1 gene:KN538865.1_FG028 transcript:KN538865.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKYGFPPGILPAGAQGYTLDAGDGSFQVTLPGDCVVDVQGYKLRYRSNIYGNVRAGSIDGLDGVSVKIAIVWVGIHDVEADGGDVTFHAGAISKSSPADGFQTSPSCQ >AMDW01028394.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028394.1:50:289:-1 gene:AMDW01028394.1_FG001 transcript:AMDW01028394.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITGEATKHQQGNISGSQAAAAAKSKPWKKRYLTFLSKFQNKMKKHKKPDNIKAHHTRSHKNRSILLSSSQIMEECSNLVQ >AMDW01057152.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01057152.1:656:2236:-1 gene:AMDW01057152.1_FG001 transcript:AMDW01057152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLEVIALALNGLTGPIPGNESFRLPSLWFFSIDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKLTNLVKLNLGENHFDGGSIPDALSNITMLASLELSTCNLTGTIPADIGKLGKLSDLLIARNQLRGPIPASLGNLSALSRLDLSTNLLDGSVPSTVGSMNSLTYFVIFENSLQGDLKFLSALSNCRKLSVLEIDSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNIQRLFLGTNQFSGSISMGISNMTKLEYLDLSDNQLASTVPPSLFHLDRLVKLDLSHNFLSGALPADIGYLKQMNIMDLSSNHFTGILPDSIEQHQMIAYLNLSVNSFQNSIPDSFRVLTSLETLDLSHNNISGTIPEYLANFTVLSSLNLSFNNLHGQIPETGVFSNITLESLVGNSGLCGAVRLGFSPCQATSAKRNHRIIKYLVPPIIITVGAVACCLYVILKYKIKH >KN540802.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540802.1:6144:9078:-1 gene:KN540802.1_FG001 transcript:KN540802.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQGPNPSTDFRGCGFVGLENLLFFATTYPASYQRLLLKKQGMRATWEYPFAVAGVNVSYMLIQLLELNAERPKSLPGINFIKVLSEHEEAFDVLYCIAFEMMDAQWLAMRASYMQFKDVLEATKQQLERELSLEDVNGIRDIPAYNLLYKDSGEDTVYTVDNVPAEPSIPATVLQMWAHSTAISG >KN540802.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540802.1:27314:36081:-1 gene:KN540802.1_FG002 transcript:KN540802.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTSSGGGKDKHDETSPSFKEGERVLAYHGPLLYEAKILSSGFLKVQKSENKEDEWRYHVHYLGLVSITALMLFSCLSALLIQVNGLLIMFIFNEYSVNPVEGLVSITALMLFSCLSALLIQVNSLLIVFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKSDKEDTKIIAYMWKMGTFYGRCGPFVEKEKKSSESLFMSHFPSTLKKQLVDDWESVTQLGKEKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVLPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKVLQKVS >KN540802.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540802.1:23475:25347:1 gene:KN540802.1_FG003 transcript:KN540802.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMEVQVPLLGMGALAVAVALVVVVVVHVAVNAFGRRRLPPSPASLPVIGHLHLLRPPVHRTFHELAARLGPLMHVRLGSTHCVVASSAEVAAELIRSHEAKISERPLTAVARQFAYESAGFAFAPYSPHWRFMKRLCMSELLGPRTVEQLRPVRRAGLVSLLRHVLSQAQSAEAVDLTRELIRMSNTSIIRMAASTVPGSVTEEAQELVKVVAELVGAFNADDYIALCRGWDLQGLGRRAADVHKRFDALLEEMIRHKEEARMRKKTDTDVGSKDLLDILLDKAEDGAAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMVAELMNHPEALRKAAYKETLRLRPAAPIAHRQSTEEIQIRGFRVPAQTAVFINVWAIGRDPAYWEEPLEFRPERFLAGGGGEGVEPRGQHFQFMPFGSGRRGRIARLSNQIFQASLIVIQMGQISELLAN >KN542604.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542604.1:609:1001:-1 gene:KN542604.1_FG001 transcript:KN542604.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPARGVTTMTTKAQASGLWQVEVPVSERAYSSSSPAPTHKVIVHDRQIGVVHEFVVPQDQYILHTAEAQDITLPFACRHGRLPSSCLSQATFFF >KN542604.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542604.1:3685:4930:-1 gene:KN542604.1_FG002 transcript:KN542604.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWGASNGTSHDIVEPPKDLISIQIKSIDTIDRLTFTYKDTKDKQHPVSWGGNLGYDQPPFVLHPNEYVTEVSGSVGPFAPKGQPYTVNSLTFVTSERRRYGPWGKRGKDDTDFKVPVEKGRIVGFHARGDEFISAIGFYIRP >AMDW01022917.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022917.1:10:291:-1 gene:AMDW01022917.1_FG001 transcript:AMDW01022917.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQFNKIKASHEIGVAIKEIDEKLQEVATRHARYTIDNIAIKPAGPATVDPRLLSMYKKSAELVGIEGPMDELMKMLDIDLPTKKRKIEIDVS >KN544546.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544546.1:917:3059:-1 gene:KN544546.1_FG001 transcript:KN544546.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CDQNHEHVDPEALHRSFFMRVMAARSPNVRLQKAIRRRNQSSMLKCPHSAPPKPPRSRIARLSTATEAAAKPRLKTHRICTLRASPTRTKAAKPTEQMVIISHSDGENRQQLENNENVPRKVAKMQSRLNAEQGGKLRKTTNPKPFRLRTDERGVLKEANPERHQTLTENNSMAAVQQIGRCRDGKGRDKPTCGEKQWLHGTF >AMDW01003589.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003589.1:15:149:-1 gene:AMDW01003589.1_FG001 transcript:AMDW01003589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASPSQFLGLFNSENNGNASNRVFAVELDTILNPEFRDINGNH >KN539132.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539132.1:108235:108678:1 gene:KN539132.1_FG001 transcript:KN539132.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCQPDLPAVKAAGATSDNCNEYDDCYNDYGCNEHGGGNDDDYGDGGVDVGIVQGVPQNRQGGGGPDV >AMDW01081703.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01081703.1:376:753:-1 gene:AMDW01081703.1_FG001 transcript:AMDW01081703.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIVGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVASGQHRGRSMQNLDRLIDEVLLA >KN539132.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539132.1:10632:12032:-1 gene:KN539132.1_FG002 transcript:KN539132.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIALKCPDVEVVVVDISAPRIEGWNSERLPIYEPGLDDVVRQCRGRNLFFSTDVERHVADAGIVFVSVNTPTKTRGLGAGKAADLTYWESAARIIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNSKGGNIRYQILSNPEFLAEGTAIQDLFSPDRVLIGGRETPEGRAAVAALKSIYARWVPDDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVANSIGKDSRIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVANYWRQVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLVGDKAVVSIYDPQVTEEQVQRDLVMNKFDWDHPRHLQPMSPSSAKHVAVSWDAYEAARGAHAVCILTEWDEFRRLDYQRMYDAMHKPAFLFDGRNVVDPDKLRRIGFVVYSIGKPLDHWLRDMPAVA >KN539132.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539132.1:73669:75243:-1 gene:KN539132.1_FG003 transcript:KN539132.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANSNKNKFQFGNARSKFRNFTLEMHADILGQKLHKKRWDWHRFGVKLFLLISILLSFCFLELCNLNVFPVIEKDPNKAVPLFWAAINSGDRIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEA >KN539132.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539132.1:64819:67101:1 gene:KN539132.1_FG004 transcript:KN539132.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRLLLVAMAAVVVAAEERATYIVHMARSAMPAEYGDDHGEWYGASLRSVSGAGKMLYAYDTVLHGFSARLTAREACDMAAMDGVLAVNPEARYELHTTRTPEFLGIAGNDGLFPQSGTAGDVVVGVLDTGVWPESRSYDDAGLGEVPSWWKGECMAGTGFNSSACNRKLVGARFFNRGVAIGAFAAMEQNVLVSCSAGNAGPGTSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNYTGVSLYAGKALPSTPLPIVYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTATNGEELVADAHLLPAAGVGAKEGAAIKAYVASDPSPTATIVVAGTQVDVRPSPVVAAFSSRGPNMLTPEILKPDIIAPGEPTELAFTSAGEKKSYTVSFTAKSQPSGTAGFGRLVWSDGKHSVASPIAFTWT >KN539132.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539132.1:36391:41430:-1 gene:KN539132.1_FG005 transcript:KN539132.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPPPHPTPPEQVVGDGVEARSCASPTPSLRRKGSPNRSGGSARKSSSSREFGSSILNSVNKSASQFKKSINRKSGSPIDWFPRKKTEPYLKRKIKRLQESNGMTASLDETLGSANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILHAARIQKKDAEEVMEKAKFRATEAFEEARVIGVMMYDRPDCSSQQYEVESSSQTGGRSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSISSNKEEFKELLWKISQNPDVTEIDANSEDEQHQGDCNSEDKRNLKFNKETLGIGIFPSDFDNTNVQQSNDLVNIMLERLKALHEDELASLAVIVATSGLNAVLQSDRGKYQETESVNSFTSQRAHSRRYSTAASFVDVLQPKKEVTSELPSLDKFLVKHLSKLEKEVHEAREAGRKASSVNSCAQGAQRQITGRNPKATDSASDLGSILVKHVSKLEKEILEAKKNNNTRIQLLEESCKKVEAHVEKDASKESEFYNAQSESFCNSGSVGSCNSRESYEKSKHGRDCSQDKENKILFSHQLPPSGAKGKQGGKRLTRIEAAKLEALNSFCIKDGNAFDVGLDKILIRPIHRLEREKKKALEHGQTNVQKDPQKNGDRTTVTGSLDEILVKHVSRLEREKIDYERRNALGEGLTNVPHDQRKHGNNATASESLDQVLVKHVSRLEREKIDYEKRNALEEGLTNVAHDQRKHDNNAAASESLDQVLVKHVSRLEREKVQYAKRNTLGERTSEQNHQERHSNTNIASDSLDQILVKHVSRLEKEKMEHEKSGDMIFLKKNDSKCTNEEADLSDILVKRSMKLEQAKLASSAAEETLTGSFNPVQERRRAREKELMDAWGGVGLGNSMKPHLSKIEKDKLLYTHYVVHYLGISCVLIRGSICEGSTSRDYRLSLPTPQSLTLSSSDHQVNRWVPCPW >KN541154.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541154.1:29261:29791:1 gene:KN541154.1_FG001 transcript:KN541154.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLEESQLIGRAKEKSDIIKLIKNQVSQQSQVISIWGMGGLGKTALVQDIYRSQDVSSYFGARACVTVLRPFNSGQLIHSLAKQFGNEKERDLSKLLEGKRYLVVLDDLWDTKEWDDMVAQFPNKAGSCIIVTTREENIAKHCSKEETNAHIYKLSGLENDQALQLFTKKVRMK >KN539132.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539132.1:91281:92875:-1 gene:KN539132.1_FG006 transcript:KN539132.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLASVRNAYTMGKVRMVATEFETAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFAGARCIGERKVNGEDCFILKLCTEPETLKARSEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELSHGEKSKVGPPPGHRAKVAALEKAVDGKVAWSGTILEDHN >KN541154.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541154.1:2437:4245:-1 gene:KN541154.1_FG002 transcript:KN541154.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEAKIIIKKCGRNPLAVATVGCFLSARPRNIIEWRECSVHISEEFDNDPGLEMIKRILTSSYEGLTYHLKYCFLYMSIFPEDHDIRYRRLLRRWTAEGYSRATRNRSNEKVAEEQFKALLNKSMIQQSKTIASGKTGFCQVYNLMHEIIISKSEDENLVLVLDDHITSHSKDKVRHLVVSESWSREKNDMQNIVDMSHIRSLTVFGEWRSFFLSEKMRMLRVLDLEDAYGLQDTDLVPIGKLRHLKLQNLKYLHAGMPSYDEDDTRSYGQTIISLLRDFKSFQEDMGIRFAVSLIILLISSWLRNLDLFSVEVPRGIGRLRAIHTLSIVNIARGKAMLKNLKKLTQLRKLGVTSINKSNCKELCSAIADHGRLQSLLLRAEGNAGLQGCLDDLSPPPEHLESLQLYGNLMTLPEWIKQLENVQKISLRSTNLKADAAMEVLGNLPMLVILRLQDNACEEEELRFGPECFTGLTTLEFVNWWSLKSVKFEGGATPKLKALLVDNCEQINNGGFSGIETVSSLKEVSLLGYNYDQTYTEFKEQLQQQLNMIKPKPDLKIL >KN541154.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541154.1:18075:21698:-1 gene:KN541154.1_FG003 transcript:KN541154.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFSSSSESTGNNVNVNVDISLSRGFCSVPKMRWIVLSLIVRDEKKSSKPQGSSNDHQGFLPGGPPANTFDFASLHSLLNDPSVKEIADQIAKDPAFTQMAEQALEGEGEQGMPAIDPYIETMQKFMESPHFFTMAERLGDALVKDPAMSSLLENLTSPMHNAKIEERVSRMKEDPAVKSIMDELETGDPAALIKYWNDPETFRKISQAMGPLGVPDFAEPSGTEGTEEEGEYEDESIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGEVSNDKNISLRILCKTDCKYALLVFRKLHLKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGMKGCVDLLLKNGAAVTLENMDGKTAIDVAKLNNQDEVLKLLEKDAFL >KN541154.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541154.1:9513:14034:-1 gene:KN541154.1_FG004 transcript:KN541154.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASVGKAVMNCMLSGAKAAITEDSEVRQSIQRDLPFLTDELAAMHRFLMRMDEEENKHKRTSPRVKEIRQFAYEVEDCLQDYEVHSAMVSWRRLPRTMLERHRIAEEMKGLRSRIDDMRNRNLPYHQVEDIRISSTPERSIFASATEYLVHVIISNNDEELRAIAVWGAGGVLEKTSIIKMAYDDLKISNIFECYACISLMRPFNQKEFLLSIASQFYENRVEAIVKRREKHALETHVLRQMAMTKEDDSHLVDLFIAYVKEKSYLIVLNDLSTFEEWDQIKTYFPNNKRGSLILVTTKQVEVATLCLEPESAVPEPIQISADELFYVFYKVDSQSVDSDSIKMKSETKSSMEGASTSSDSKTVHFDDAIQEEDGPEDLPELARGRTQLIGRGKEKDDVIKLLSDYDPNHQVISVWGMCGIGKTTLIKSIYQSSELEMLKFERRTWVTVSRPFDPTELLRSLAQRLDEDSPRKKVESTPGLARSGLSMMGSEELIDKLKQDLTGKKYLIVLDDLSTTTEWDLIIRNLPINNNGSRIILTTRFQHVAQHCSNKEMNMHKIEGLTDKDALELFLTKPRSIVMAATKDGADNDEMILLISMVAPARAGSTLSARGDGERIGTGDDQGHMIAQR >KN541154.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541154.1:7879:8632:1 gene:KN541154.1_FG005 transcript:KN541154.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESSELPYGSMKFWAAHRVTNNDHEEDVCGDPVGGTIAVSRSFYAHAVPMVESSSLSVYRIRFL >KN541154.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541154.1:22218:27227:1 gene:KN541154.1_FG006 transcript:KN541154.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRQSIDINGHRRHPILLDTNVLITDAMNDDTVAITTSSRDHTIKVSSWISQPPAISYLSFHCHYSSHPRYNNFDELDAKIVGAEGSFILLTALASPRGSERYDYLMYKLHGYGDNGNGESSSLLEQVPLPDVYPLPVIEEFGVVPNGGKHFDLAALVVDYRSPMKCSYSMHIYSSKDTNWRIIPMVDPYPEVRKVIATKVITIAEGVLGWVDLDHGVMVCDLREDVPGLRYVPLPALLPQNWYRLKEFLPGTLAKSFRDLVCVDGVMSFVEMEHRVIVTTEKPSDPSKMQVLYDTDLIVSYNLKDLDKKPQQLQRKDGWRIVSWSRPVSSNCWEKGCEVDAVDISGLSPNLAENLASPTLGVDDGDVVYMISKASLVTIHAYLTLGSTGGLPSVSLATSMIKTGIPAILHGGKTFYLLFLLRHTVRRRHPPWVFSVIPLFIIPPAHIQNMTVFTTCRNLHKSTKSSSVQCVERERESQGGDGVDGAEPWQVRAERSAWLRPIRCRGGGGPAARHPARPCLHQDELHMMHAFLMAADEERDKHKVVKAWVQQVRDVAYDVEDCLQDMAVRVGKPSWWRKCSPRMLLERRRVAQKMKELRAKVEDVSQRSNRYRLIDGSASKATDGMQSRIAGATTMSELEETRRQQDKAKMDLVGLINTNDKELRVIGVWRKNGLLDDKSIIRRAYDDLKMRKFECYAWIRLKSLCNQTWLLQSIARQLYENSLQHTREVKMEATDLVDQILQKMGNMEEDDLVSAFEGYLNEKSYLIVLTDLSSLEEWNKIKICFPSNDRGSRIILCTEHVEVARLCVPQDSVPPEHKKLLDD >KN539855.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539855.1:4359:10221:-1 gene:KN539855.1_FG001 transcript:KN539855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRVAVLIIAVSLPSFSASDRQDYRTPCGLHAVAVAHRRDGSEVGDALYDMKQKLNVTGNQLSDWNQNQVNPCTWNSVICDNNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLAGNSISGGIPEQFGNLSSLTSLDLEDNLLVGEIPASLGQLSKLQLLILSDNNFNGSIPDSLAKISSLTDIRLAYNNLSGQIPGPLFQVARYNFSGNHLNCGTNFPHSCSTNMSYQSGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLKLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLNWPERKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDDEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSGGR >KN539855.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539855.1:58680:62156:-1 gene:KN539855.1_FG002 transcript:KN539855.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMSIGFITGINECVNFFQWARSTISYLHGRWSRTYEQKLLDEVSQLQSGLQHLRDTLPAKYDLIDRAEWMSHKDCVAKLLPNLKDALYDADDLLDEFVWYEQKMVLEGNELSQPPFLHFYDNVLQGSFNKVNDIMERLNNISSQLEKMGLDEVTHRFDKLLRPETSSFPNERRIFGRDNELQQVMELLGIPKNDRGAHFKRKRESKNVSTSTSACNQDSIPVLPITGIGGVGKTTLAQHICHDRQVKSHFDLVIWICVSDDFDVKRLTKEAIQSSSIKEADNLDHLQHVLLEEVRNKRLLIILDDVWDDALRESGQCWKRFCAPLTNALLGSMVLVTTRSPVVAHEVKTMEPILLEGLKEDAFWNFFKLCAFESESANTDPELECIGSKIVPKLKGSPLAAKTLGRLLRMCLDTTHWNNILHSELWELRQQNTDILPALRLSYLYLPFHLKRCFSFCAVYPKDHKFEKVSLAEIWIAEGFVEPEGSTPILDTGCQYFEDLVNRSFFQKIDGKYVIHDLMHDMAQLVSKHDCFILKDKDDFDKVPSSVRHLFILSSTKLDCTRLLSLRKHTKLRTLLCYRSLRNKTLACVMDRWCSELQHMRVIFCAYTKELPESIGKLKHLRYLEISGACPFKSLPSELCHLYNLQIFSARKCKLESLPSDFSKLRNLRRFDSWAFHGDPKGESRFDASKGQEVGILLKNVNQIFGGLTIDNLGAISKDIAAKAELNNMRYLDRLTLKWSSKGQQEQNEIEVLQVLIPPTTLKHLNIMGYPGESLPRWFHPRNLPTLTSLEFVDCHGLGTIPISPCIDLNEISGNGNNTGIHGIFSALTGLTIKCCSNLSSLNQFLHPAYVPAIKRISIESCEQLVSLPIDRFGEFHYLEELELSYCPKLNDYRSVSIPTLKKLNLRKSGNLPVNILCSSLTSLILTNFKEKTIPLHVWSSNFPALQKLDVSDCGNLKSVGEYESSVFIDHSQRDSFRVATFSSLTALQIDNCRRLATLGDLLLPEYQPAMEKIYVGFCSELLSLPGERFGKYSVLKDLTICHCPMLKWHRGLVLPSSLQRLSLARCGDISPCVPSCLENLASLVSLEISSCSRIAYIPASLWSSSLSSLQNLLIVNCDLVSIGGADAIEKINKVKIAHCPKLQEIEQPMSRGGL >KN539855.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539855.1:39699:45758:1 gene:KN539855.1_FG003 transcript:KN539855.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGRSSRIAVVTEDRCRPSKCGQQCRKRCPVNATGRQCIEVTPSSRVSLISEELCIGCGICVKVCPFDAIQIINLPSDLDKETTHRYGPNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALKILAGKVKPNLGKFTDPPNWDEILRNFRGSELQKYFTRLLEDKMKATMKPQYLDHIPKSVKGKVGDLLSKKDERHMKNLLCDTLELNQVLDRDVSALSGGELQRFAIAARAMEEADVYMFDEPSCYLDVKQRLKAAQVIRSLLQPKNYVIVVEHDLSILDYLSDYICCLYGTPGAYGVVTLPSSVREGINIFLNGFIPTENLRFREEKLTFRVTESTEEIVEGQTYQCYRYPTMTKTRCGFKLSVTEGSFNDSQIIVMLGENGTGKTTFIRMLAGRVKPDKVGDEEVDVPEYTVSYKPQELISKYSSTVRDLLFEKVPGSCTQAQFRSDVMKPLKIEQLMDRQVPTLSGGELQRVALCLCLGKPADIYLIDEPSAHLDSEQRLLAAKVIKRFILHEKKTAFVVEHDFIMATYLADKVVVFEGKPSVDCIANAPEPLAPGMNRFLSHLDVTFRKDPTTYRPRINKLDSIKDAEQKAAGCYYYLEKLSQGATLLLQKLLRSRTARLALSYTYLHAYMSLPVLSIGHLSLQECFGTAPGYGPELRGQDRFEMASEI >KN538924.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538924.1:79788:83781:1 gene:KN538924.1_FG001 transcript:KN538924.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVASSRVAAGAVRRSSPSPGPRPAAGSGVVREKIRLLVILYGYMKNLGLLLELRWYRSTIKDALKCLLADPCYAAFHDHEWGVPVHDDKKLFEMLVLSGALAEMTWPAILSKRETFREVFMDFDPLLVAKLSERKILGPCSPARSLLSEHRLRIIIENAQEVLKVIEEFGSFDNYCWGFLNSKPMVGRFRHPREVPMKTPKADAMSQDLLRRGFLGVGPTRKIHT >KN538924.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538924.1:179559:181057:1 gene:KN538924.1_FG002 transcript:KN538924.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAREEEADQVERKLVLGRYELGRLLGQGTFAKVYYGRDLRSGESVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRARVFVVMEYARGGELFAKVARGRLTEEHARRYFQQLVAAVGFCHGRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHENYAKMYQKIFKAEYQVPPWVSGDARRLIARLLVVDPAKRISIPEIMRTPWFKKGFVPPVPTSPVSPKKWEEDDVLLDGGDSGAMSPRTCNAFQLISSMSSGFDLSGMFESEQKAATVFTSRAPAATVIQKLEAVGRSLGYSATRGKGWKLRLEATADGANGRLAVTVEALEVAADVAVVEFAHDAGDELEFNKFCAVDAKSRDV >KN538924.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538924.1:40863:44708:1 gene:KN538924.1_FG003 transcript:KN538924.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLASLATTGFQACNLGDAVDVVNQMLEWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPIFDQMLQEQSRENVWTPSKVIGRLGKEINDESSYLYWAYKNIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKLHGSSFVFAPFGNFACCSGGLHCTITANCDRSGDFEFWLVRYNEVIFSLLFASAFELVIGLRLDLSSSASIWDGNCNAYDPIV >KN538924.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538924.1:4534:6051:1 gene:KN538924.1_FG004 transcript:KN538924.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVRHAPHVEQSTPAPIKEPAAEAICMLSGSPAMAPPVQPASHVEQSTPLPAKEPAAVAKVEADAVVQTGANADADQALLGKVATPGGQGCIGPKGEEVDIAIDGHGRQLLGEMNVSKSTEQPKPTESISGGQTDELVQKRYQDNKPADQEIATLDKQKRVGSNDEIELTPERRSSGVKRQLASGTSLAKKPRSQGSSITCSLCKVTMTSPRALVEHRASLLHRSNLAPLRSGNKATTEAAQAAAEKKTEKPEASEWNSSAHHHQNRMYYCDICEVRCSSEKMMASHLAGKRHRERHNSIFM >KN538924.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538924.1:106014:107842:-1 gene:KN538924.1_FG005 transcript:KN538924.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMSRPATADGSARGGGLALAIADELPQEAVAKPALMSQVMAGNSSMVAKMDEVSRVADDLMFAEDLQLEEVIRFSAHSAGPNCAVCGQATPSVDASWKPDNCDHVMCITCFGQLASDSHADELPKCPLASCQSSPDIISVSNEETGGGKGKELATYVVLEERGECSRGAAATASSSASSEFYCTICMETVDAMERFAVPGCTHAFCASCVRQYIAAKVEENVLSIGCPDPGCKDSGGGALHPEACRGVIPPQLFQRWGDALCDSALSSLKFYCPFSDCSALLVDDPGDGEEAITDAECPHCSRMFCAQCKVPWHGGATCAEFQKLGKDERGRDDLLLRKVWPLLLLPLCIANVEGQPPLQEVQANVVTDLKLQNSD >KN538924.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538924.1:36:401:1 gene:KN538924.1_FG006 transcript:KN538924.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding PLGFVAERIKHAVARVDDAFVRSVIDFLELESEKGNQAARGQFMPETDLWVVSWLGMPIYDADFGWGRPAFVAPAQMFGSGTAYVTQAPDKDDGSGGGVSVLFALEPEYIQCFEKAFYGTE >KN538924.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538924.1:108843:109010:1 gene:KN538924.1_FG007 transcript:KN538924.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAKEKYATLSELELDLKLYLNAAATSSLSSISTDICLKLEIEELAKISLTHFW >KN538924.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538924.1:101597:102665:-1 gene:KN538924.1_FG008 transcript:KN538924.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MENKHVDVDAMNIDDALAEELQLQEAILFSAFQEMIIQDTDDDDSIGNLILIGQDQGQESKKPFSVADHGESSSPSPLTMTTTTGGGGAGEFYCSICMETVPGALKFSVSPCLHAFCVCCIGQYVAAKIGENTADVRWGLLLCEAAIAARRLHCPFRDCSEPLLADADGEGEGGGVAEAECPSCHRLFCARCMVPWHDGVGCEEFQELGEDERGREDVMVRRLAGRERWQRCPQCRMYVEKSEGCMFMKCRCGYCFCYTCASPMSKELHYCKRCKR >KN538924.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538924.1:92729:95252:-1 gene:KN538924.1_FG009 transcript:KN538924.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding METSSAAAAAAGGAHLIYVSSDDEEDETRVLLAESYSAEEIQIQQAILLSLDPSSDADAAHSSASSSRPSGAASTSDEPSSLPDRKGKRKLSSEEDGPSESTRKKRRKRGRFKCSICMEKVQVSEQFIVSFCAHAFCNSCIGRYVAAKISENVAVIGCPDPGCEEGFVEMDTCRDIIPPELFDRWSVSLCELALGEKKYYCPFKDCSALLINDNDGAEKKIRETECPHCHRMFCARCRVPWHDGIKCKEFRKLGDDEKGEEDLMFKKLAGKKKWQRCPNCVNSISVTTALPQ >KN538924.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538924.1:20929:39777:1 gene:KN538924.1_FG010 transcript:KN538924.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7O [Source:Projected from Arabidopsis thaliana (AT3G10180) UniProtKB/Swiss-Prot;Acc:F4J2K4] MGSRGAAGAAADHLHGVLELTVYEADDLHNAIHGRIIKAAESLKESLGVHRLAHRIYVDVDVGAARVARTREVEFHPTNPVWNQSFRLHCAYPAAPVTFTITLVRDASRMVPGAEGVTLGELLRRKADEGVAVLVMPWQDKTSVSFLGNGGLMRTHDEETRRFFEGTNVRCFLCPRNADASLTMVQSIEVAAEFTHHQKTIALKVAAKIRRGERFAAYVVTPMWPEGEPAGDSVQAILRWNRLTVKMMYGIVTKAIDDAGLRGQAHPCDYLNFFCLGNREAPRPGEYSPPETPDVDTDYWRAQVNRRFPIYVHAKLMIGAGFIAEGSFLADRIFGEECRTADVYGARTKHIVDSAISCFGLLANYRHSGRVASFLNQAIHALKANHMFSRITGTVFAYGQTNSGKTYTMRGSGNEPGIIPLAVHDLFRTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDESEAGESCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERISLELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKNKRRLTWCPGLLSRQFDGQKKSDQEELMGFASERIIPQELNDWKYTTQSQENIKACVNGLSARESEAILVIKQLEDQIKLLELEKSSFQNNLDDVLELATQQKASFHEKYEELQQNALVAQEQAKIANEKLSKQEAAYEFLTGIFVETESIAVQMDQSTRSVDNALSFIEELFQNLFMMAKNFTEVKQFVCGDITQFSSVIRDYESISNCLREKLSKLEMEKKILDEQSLDQKDELQRLKSSLESCEKAMEDCNIQNELEKDSILSELLTLQKEVVYLSSSSLMKEKESIRKELDRTKTKLKETENKLKNSIQEKIKLESEKAEAQREIKKLQSQRTLLERDLRKRDSFTVDKRHEQNVKSKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTTIAEKEEALSRVELLTSAVEDLESRLNSAESETSSLLEETAVLTRKLDASESISKKLEASISSLSREKEDMGIELTDVLLEMESERSTWTAKEKTYLEAKQKLDICNENNCKLSEDLIKVRQELACCREQYSILEAKMIFSKNDTNEEKRWETFEESEQLLKKERNIDTGVNENELHQQLLSITEERDKLLSEIKYMNSVINESELIQAKATIDELSSRISIVEAKMKNDASAYNKENTKLRMQIRWLQPELDAHRGRLKEAINEMKLMDTKYQEASTKLKKDLSFYCREVLRLKEQLKESQVKAT >KN538924.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538924.1:8421:9855:1 gene:KN538924.1_FG011 transcript:KN538924.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MADKNVDCRTDIRYTGDSLVVVRDALLSQLQQDRLRKDYYNCGEKLATPAKKPSPSEKSEPAVQKSMPPSAWSCAVCQVRTTSERNLRDHCGGQKHQSKVAALEKTTKAMARTTAKPSPGAAARWGCSICNISCNGEWDFDTHLKGKKHQANTQALLEQSKKSSVNPESQGTKAAAATLICRVCQAKFTCQSDLQSHLKVMKHQLNLRAPSSDGSSFTSAISESSSLELYSCKVCSVKCTGERMLAYHLTGKKHLKQENLQLSCEICKLQCNSKKVLSDHRYGKKHQVKLEKVLQAKLNATE >KN538924.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538924.1:70464:70685:-1 gene:KN538924.1_FG012 transcript:KN538924.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTASGATEASDSTEKTEQAPPADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFNV >KN538924.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538924.1:91163:91548:-1 gene:KN538924.1_FG013 transcript:KN538924.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALKMAALCVLVLCSIGTSQAMIAHASSTAKQDAAAGANCLILCAIKCVLKPSPVACYADCITKDACFKAGEVAES >KN538924.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538924.1:133861:134370:-1 gene:KN538924.1_FG014 transcript:KN538924.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGSRSAPTAIAISPFKIWKTSISA >KN538924.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538924.1:97526:97931:-1 gene:KN538924.1_FG015 transcript:KN538924.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKEHGNLVVDGIMAARYNLGEGGVLALAVIWSRGDNGGPRSLMATCEELLGCHACMRR >KN538924.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538924.1:98334:99774:-1 gene:KN538924.1_FG016 transcript:KN538924.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAAAAAAAAGGADVIYISSDDEDEEIRILSADPYSPEEIQIQEVILLSLDYSRAAAAADTAQSSASSSRPSAAAGASTFGEHSSLPDRKGKSKLLSEDGPSESTTTRRRRKRGFTCIICMDKVQASEEFLVSVCSHAFCKSCIGGYVAAKVSDNVAAIGCPDPGCEEGSVEIGQCRDIVPPELFGRWSVSLWESSMGETTKCYCPFKDCSAMLINDDGDGGDAEEIAETECPHCHRMFCASCRVPWHDGIDCKEFRKLGNDEKGKEDLMLKKLAGKKKWQRCPHCRMYVEKSTGCSFMRCRCGFIFCYNCAAPMTKEHYCKKCKH >KN538924.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538924.1:60864:65879:1 gene:KN538924.1_FG017 transcript:KN538924.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVISDLATDVIVHVSEVKFYLHKVGLLIFLHNRTEVNSKVLYLFFRIVTKFPLLSKSSKLQRLVIKATEEGTDEVHIDGFPGGVTAFEICAKFCYGMVVTLSPHNVVAARCAAEYLEMTEDVDKGNLIFKIDVFINSSILRSWKDSIIVLQSTKALLPWSEELKVIGRCIDAIASKTSVDPANVTWSYSHSRKGMSCTEIVESTGRTSIAPKDWWVEDLCELDVDLYKRVMVAVKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVAILVGAGQHVKEELMRRISFQLHKASVKDLLLPAASPSDGAHDVKLVHNLVQRFVARTAMSHNGGFVEKSDDKMIELNFEQESTLALGELVDGYLSEVASDPDLSLSTFVELATAVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSNALALTDGGGHTCAKPIMKDQSDICERRIPRHPNSLNKQATSLSAREVEHRKSEHRGGGRNSFKDQLGGFLLQSRSRRIFDKIWSSKGQGENGKGSETSGSSQSPPLSAKPADVKPSPLPPLRNRRYSVS >KN538924.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538924.1:12575:14863:1 gene:KN538924.1_FG018 transcript:KN538924.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTAGGSRFADGHMNNNNGFGQAGAGDPLAVVRDALLSQLQHDRLRQEIIVAELAKIERAMALRDASPSPSPTPRHAAGKAAASQTWSCAVCEVQTSSERNLRDHYGGQKHQSKVAELESKAKMTTVKTTAKPSPVVGQGAHAARWSCSVCQVHCNGEWHFDTHLKGKRHQANTQALLEQSNKNSGNSESHDGTKAQPSNVSHNAEKKRRRKKKKKQEEEEATWICRACQAVCTCESDLQNHLKGRRHQLKVQALPEAAKQDKNNPPKLAKNPNKQPSEWVCSLCQAKCNSESQLEHHRRSTRHQQKVESLGSNAKESDLGTLQGMSSDGSSSKSVKISAMMDEQKAKYFCEVCSLKCTSQRMLADHLSGKKHIKQLELQLFS >KN538924.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538924.1:72232:75094:1 gene:KN538924.1_FG019 transcript:KN538924.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLKAETVGLMDRRAAVEAEMDAIIAALSVPGGPGITGGLVDAEGFPRSDIDIPAVLAQRRKLAELRNDHKDITNKIEKNLEVLHSKKLSRNEASIPASSGTPASLHSGLSQNDPMEEDAVTRLPFAIIDELTDGSPAAVDGLQLWDEIVKFGNVEADRALAESAITFNI >KN538924.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538924.1:120775:123554:1 gene:KN538924.1_FG020 transcript:KN538924.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVADDAAAAAAAAQQEELPPGHGQTVCVTGAAGYIASWLVKLLLERGYTVKGTALDGADERLVLCKADLLDYDAIRRAVAGCHGVFHTASPVTDDPDQMVEPAVRGTEYVIKAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQEACKAAEERGVDLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSAKKYANAVQAYVDVRDVAAAHVRVFEAPEASGRHLCAERVLHREDVVHILGKLFPEYPVPTRCSDEVNPRKQPYKMSNKKLQDLGLHFIPVSDSLYETVKSLQEKGHLPVLSKEIPEELNGVPA >KN538924.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538924.1:15458:16682:-1 gene:KN538924.1_FG021 transcript:KN538924.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDGEVRLDNEMKRRKLESINKRKLVLLSEVKFLQKKLNSFKKNDSQQVRLKKKALRVPSHVGINDASAFYGASTEVPSTSKRTDLDLNQDSAMNDELSDFPGHHNHLELKKAEQAGVDEDIMTADVNLSACRDTGNSPASDDKRSVSWQDRVALKV >KN538738.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538738.1:100852:104275:1 gene:KN538738.1_FG023 transcript:KN538738.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVAEEGGSKIKMIVSYVDNLPNGGKYLLLFSLLKQQNSGTAMCAVLYFCIKRTEEGLFYALDLGGTNFRVLRVQLAGKERRVVKRESREVSIPPHLMSGNSSELFGFIASALAKFVADEGHNAVFNDRQRELGFTFSIPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQMAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKANAIPKLEGELPKSGNMVINTEWGNFSSSCLPITEYDEALDKESLNPGEQASFSRRLHTPHMSKIFEKLISGMYLGEIVRRVLLKISLQSSIFGNLDQTKLKTRFILRTPDISAMHHDGTPDLRIVAEKLADNLKITDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGVPGDKRKSVIAIDGGLYEHYTEFRQCLETTLTELLGEEAWKSVAVKLANDGSGLGAALIAAAHSQYLN >KN538738.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538738.1:160258:166263:-1 gene:KN538738.1_FG024 transcript:KN538738.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGKSEATSMPCTTRPRPNPPTWRAKASSRCTGLRSPLTAAYASTSPSVNLRRSRSVSPTLRTREDGAAAVVAVALQRARGMMAARFRANARIDPPTDSFPFLPPQKIAVLAKPRGGSKMGGIRKVLHFFGTNKLLFKSACGGEVKREMPKVAGDGGGGRRSEDLRVVFLRVGAAVALSLAGLLLSRRRPRQQLRLPPPPRSDSDGMKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTMTTTALVPLPPKCRTFDDEEEFLLPEFNEIVLKEFGRDMGNIATSPAPRVSEEDAAKNREIFELREMVRSLQEREKTLELQLLESYGLQEQDVAVRELENQLKINTVESKLYTLKIESLQSENERLQAQLTESSKLASELEAARMKCKLLKKKLRQDAEQAKERIASLQEMADSWQCKEIITEGKFSAEVEEKLSKLEELENEARELRVVNSRLQQENAHLARRLELTRLPPVPKPINNMEVKALQEADHLRQENDKLAKEVEQLKTDRFSDVEELVYLKWINACLRYELRNQDAPSGKNVARDLSKTLSPQSEEKAKQLIMEYANAGPDEKNFDHIEFCSEYSSSRASSLGEPDDASIDVSLMNKHKNPKKKKFFSKLRKLVLGKEKENKTIPTLERRISISSCSFDEFNGRESIDSYSSFMTEPGNSGNQQHDNHGSRWHSMDSQSARHLSKEIADARNLHLGVKSVSFGEGRVSNFGHSSHLANGEATVPEDAKKIHKFAEALKTSRPGSRSSRKDH >KN538738.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538738.1:46064:49088:1 gene:KN538738.1_FG025 transcript:KN538738.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEYYNSLPPISKAYGTLCFFTTVLCQLQILSPPFLALYYPFVFKKFEIWRLFTSFFFLGKFSINFGIRLLMIARYGVQLEKGAFEKRTADFLWMMIFGAISLLALSAIPFLDIYFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGILVGHTYYFLSVLHPLATGKNYLKTPMWVYPFLYGFMAKAFQSMFNSYYFIFNGTCSMVP >KN538738.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538738.1:105553:107811:-1 gene:KN538738.1_FG026 transcript:KN538738.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >KN538738.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538738.1:136702:142371:1 gene:KN538738.1_FG027 transcript:KN538738.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRQLKVSNSGDAANEQRHFGLKALHFWGKETGNGGVAVPVPGTEPQASTRVVGGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPSIVSQELQEKKLMHNLRNYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNESLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQTEIPINDCRKKVWLVDSRGLIVESRKESLQHFKQPFAHEHEPVKTLLEAVQSIKPTVLIGTSGVGKTFTQEVVEAMATFNEKPVIFALSNPTSHSECTAEEAYTWTKGRAVFASGSPFDAVEYEGKTYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEDNFARGLIFPPFTNIRKISAHIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRCYR >KN538738.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538738.1:7574:31816:-1 gene:KN538738.1_FG028 transcript:KN538738.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAASMVAVGLVWGATNALMRRGALVWDRRLRSLPASSSSVTGVLRRTVDVLGILEIEQTKCEPVSASNSWNSMISVDESSEEDPNAVYKCNMLYCNLEKASNKFEDMQQKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLISHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHECQNGPLFDGSLFQKIKDYVVALSCTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGPIVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRAFLRYGTFSSTMESWILARNRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKCCCLSGYFGLEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTDCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGPELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRAYERYMAHVNDGENQTLIGKSYSECQDLAGRLAGSYVGASRNKNKSQILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASVALFSLGQDVGGINPNSNLIKPGRSPGAGVDWRSVPVQMIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENHLGVSPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHDDELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDLKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFMSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >KN538738.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538738.1:110811:111979:-1 gene:KN538738.1_FG030 transcript:KN538738.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGRFGEDGEEERGLELSLALPGYFSSSGLQGNTSTAADGAKGNDGFKTSRPVAPVVGWPPVRSFRRNLASSSSSAKPPRGGKVARFVKVNMDGVPIGRKVDLAAHGGYGELSAAVDRLFRGLLAGEEEVIAGLLDGGSGEYTLVYEDDEGDQMLVGDVPWNMFIAAARRLRVLRSSDLNASTIRAGSRKRAAAE >KN538738.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538738.1:52119:54494:-1 gene:KN538738.1_FG031 transcript:KN538738.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPSNTSLVYQLAKSQKWKKMFAHCLDGKRSGGIFVLGHIVGPKVRKTPLDQTSSRYRTTLLEITVGETSLSLSAGNVEIKSQNMTILETGSLISYLPEKVYQLFLDSIFSDLEDISVINVGGYSCFHYERSIDARFPEVVFHFKELLILRVYPHEYMFHNMEEHYYCLGFLSSEQRNHREKDLFILGGNLFTDKVVVYDLDNMMVGWTEYDCKSSVHVRDEQTGKIYEVGSYRMISDMRQDGKDICSHDRVRFDTEHSTAADARNLCSSNKSDRKEVHPGLLSRSITKVVRSITKVVMMMGALACYAILMGVLFICPIFCRLTGCGNMSLLTVMKCRCSVKREDFCARCDAAPPVL >KN538738.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538738.1:3202:5466:-1 gene:KN538738.1_FG032 transcript:KN538738.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLLLLLLLLSSSRLALAAAFGAWINGAASSSPQSQEYEALQALKAAVVEDPRGALASWQGPNVNRLAGEPPDSLRDLQYLTELDLSNNLFSGAFPAAALLIPSLVYLDLRFNAFSGGIPAEAFAKSSLDALFLNNNQFDGEIPETLWSSPATVITLANNRLTGPVPSAYGYAGRVREVLFLNNKLTGCIPEELGFLPTIEVLDLSYNSLSGHLPPTLSCLAGIEVLNIAHNQFTGELPEGWKEYKIRSYSCVNQKYGIQYLLL >KN538738.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538738.1:153870:157115:1 gene:KN538738.1_FG033 transcript:KN538738.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDEQFNQLEELQDESSPNFVEEVVALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKANCNDRNLEGCHRSLQKMKREHATLKQKLESYFQHCYMAKQLGYLLLLLLMQLLRQVGPRDYAVPSWKTICLYFVYSTNTIVTFPEPKTLKNTVYCGRGDKKTKRGKRFNHSYGNTYHLLTAVCSSQARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIDIDDDILE >KN538738.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538738.1:91113:91496:1 gene:KN538738.1_FG035 transcript:KN538738.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQADCFTRDLGQLIIADVMSGSVPLDASTSISGRVAILGVFKPDKINCSPMPTHPILQWMVNDLLTINFISAAPVEGDAMGDELVVMMGLARELTATQRARAMT >AMDW01039791.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039791.1:633:1004:-1 gene:AMDW01039791.1_FG001 transcript:AMDW01039791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRGPSCAQMYLDYLSEADEDSDVKNIMVLERGFNGWELSGRPVCRCKDAPCKDFRVAIYALWSLQHIKNRVSHFGGSAIVLLCGSINSVTVNITSRHVN >AMDW01017531.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017531.1:41:265:1 gene:AMDW01017531.1_FG001 transcript:AMDW01017531.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PVFLYSTGAMEILQVSHPDMVKDIGRWTPSELGKPNYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIK >AMDW01028617.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028617.1:8:310:-1 gene:AMDW01028617.1_FG001 transcript:AMDW01028617.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMRNGLYVPPVNLSGAPEHLPIPQMSAALDQNSAKASDPSVVLQPVNQTSGAHLPFELANHHKPLFLPGVPNATALEPRFLAESSRSNLQSLRFTEPAE >AMDW01061983.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01061983.1:10:306:-1 gene:AMDW01061983.1_FG001 transcript:AMDW01061983.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGAAAIAGKLGSLPFAQCHHDINTVDCQPSGPQGGMLVFVSGSLRTGPDEHPLKFSQ >AMDW01040766.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040766.1:754:1511:1 gene:AMDW01040766.1_FG001 transcript:AMDW01040766.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YPTDGDKQMLAKQTGLTRNQDILIEFVMLVQVSNWFINARVRLWKPMVEEIHNLEMRQMHKHSVVDKGQHSVHHQAQHSSQCSGNPSVPSDSHPGQSSSITRNHNTAASQGFPDELSQMSQSIQGQVSFAYNGLTSQHNIASPHHQHQQVGGVGIGGGNGGVSLTLGLHQNNRVCIAEPLPAALPANLAHRFGLEEVSDAYVMSSFGGQDRHFGKEIGGHLLHDFVG >AMDW01061983.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01061983.1:987:1322:1 gene:AMDW01061983.1_FG002 transcript:AMDW01061983.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLVGYGWVWLAVTHRAPVPPLWA >KN539851.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539851.1:74829:75632:1 gene:KN539851.1_FG001 transcript:KN539851.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQVVPLASNFMYDTQ >KN539851.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539851.1:3495:11704:-1 gene:KN539851.1_FG002 transcript:KN539851.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQQEKKQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISMLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEGTTENCHSLTQEISQLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLAREPIEKFTIDRPFYVLLLYLDCH >KN539851.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539851.1:37882:41402:-1 gene:KN539851.1_FG003 transcript:KN539851.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLSALKLHQNCLKALFLWLCLMVIYVTVIHTFTNLYFNSVLGYKWFNLQSCVGNIPIYACSGTAISCQEGSGTIFLTSASLVRAFYDTEEIYDNLKIEVRHEGNEVYKGYLAKYDLDKNFAVVYTMESLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVMVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEAPVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKGERRFFACTGFFIEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENERLGCKIC >KN539851.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539851.1:25021:25911:1 gene:KN539851.1_FG004 transcript:KN539851.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAAPPLLEGEELMDIPVEKRARKSMVVVRDANGEYCSGCVLKSKGKYTYVLTQSSFASGREDTLKICFFDQIEREASAFASGDTFCLLRTKRHTDCRPIKKMRGQVMPEPMVVFAPSSATSAYHIPGFVISESTAALDLRRNHINGSECYFLGTCHYAEKGFNGHCRLVGSPVFSLKGKVMGLIAGTCVKELNLYLGGYEIKVALLTSHMDGMLNAMLPKSDAAKKKIASRKKGGKFP >KN539851.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539851.1:14265:14618:1 gene:KN539851.1_FG005 transcript:KN539851.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPSPEWWRGDPSVRGGVVMHPGRRGRLVASGCLPLEGARSEGQRRWSFFGAREGGGGRLRRPWRASWSVQGARFREHTSRRPVAGVALKQRSSWNGGEGCFPPCAAATVFRPGD >KN539851.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539851.1:48211:50334:1 gene:KN539851.1_FG006 transcript:KN539851.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRTPRTQSGLNLNTNPPQVDVERARQHRPSIVIVYPVKVIDGRRVVMHGAGSGFIISSTADGKCIVLTCRHVVKGSKGFDPATDLLRIRFLQGVEEDMQGQLILEDPFLDIAFILVSNMPIMLPALRFAPGVDLPVGTPVFLLGNCFLEQLPGCNIQTSIMPTIPTVSPGGISAPCKVEYGPHITRREIQFTCPNKAGYSGSPLLHEEKVIGILGRGAYQASLAVCTENLITFLETRLGVDEGTIPVEDLIQMLYQYRT >KN540841.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540841.1:19623:26560:1 gene:KN540841.1_FG001 transcript:KN540841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-type lectin-domain containing receptor kinase S.7 [Source:Projected from Arabidopsis thaliana (AT5G55830) UniProtKB/Swiss-Prot;Acc:Q9FHG4] MAPPPPRTPLLVAAVLLLLLPQATPIATASPVAFSFPSFSLRNLTLLGGASLRATSVSLPPPSSRALFPLPLPFPRNASFSTSFVFASPAAARPASSLSFLLLPDLLAEGLAAKNRSLPLELTFDASRNLVSASSAGVDVDGNSTAAVDLRNGNEVTSSSGNGSSDGGFLIQSWTFQTSGMPAVDPASRSSHNVSDSVDSAPALDGLAGHKDGRRRRLALGLGIPLPIVFLGAVTVFVVMSLKKWGSGFKKGLGAKAAVGKPRQYTYQHLFSATKGFDPSLVVGSGGFGTVYKAVCPCSGVTYAVKRSKQSRDSYNEFNAELTIIADLKHPNLVQLQGWCAEKDELLLVYEFMSNGSLDMALHPCSEAECHVPLSWAQRYNVAVGIACAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPRLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGVVLLEICTGRRPIESAAPDSMNMVNVVDWVWNLHSKGKVLDAVDPTLNGEYDAGQMMRFLLVGLSCVNPFSEERPVMRTVLDMLEGNSGLLSVPRKKPLLPEGLHASGQRRNRMLTNSMQVKKARRMHGVPDSELPSDGDYVPDSKDEASGGVPNSELPPSGGFVPDFKDEASGGVPDPSRTKGLCDNLEDQNMDGIEELVEVEEAVVLQNDADAVADDEGVDEFAKTRESHTPCVGGHWLSKSHVG >KN539418.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539418.1:66070:69430:1 gene:KN539418.1_FG001 transcript:KN539418.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPPPSPAAPASGSGSGSASSAIDFLTLCYRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPSGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREQEALDHMCSLLGGGPRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGLDLEEFFQSTAGKFQTDVGKAWAAEAPFGVSFPKITSRFLLNFTLKDFVGSGPFGPDA >KN540841.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540841.1:31707:34607:1 gene:KN540841.1_FG002 transcript:KN540841.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MEEEEAGVRERRGVLKVVVASGTNLAVRDFTSSDPYVVVRLAAMNKKTKVINSCLNPVWNEEMSFSIEEPAGVIKFEVFDWDRFKYDDKMGHAFLELQPVAAAAKLRRALRLTTGETKLRKVAASVDNCLMCDSFVTYADGEIVLDARLRLRDVESGELFVTVKWIEANAK >AMDW01040421.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040421.1:74:1271:1 gene:AMDW01040421.1_FG001 transcript:AMDW01040421.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKVNDLCLLKLPNHLPSYTFQRHGIPKLPATCDPQASVKKYVGRFEAVIKISAVFPCGEMEETINFCCGYCKNHIITCNLNKPLKSWLQCFYKFMFIIWFF >KN539418.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539418.1:99287:100111:-1 gene:KN539418.1_FG002 transcript:KN539418.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYKDLFLKHDADRFNAYLADVKSGKKKIAAGALLPHEIISSLDDDDDGGSGVADLQWQRMVDDMRALGKLRNCVAVCDVSGSMTGLPMDVCVALGLLVSDLSDDPWRGRVITFSESPQLHHIVGEALSDKARFIREMNWGMNTNFQAVFDKILEVATGAALSPDKMVRRVVVFSDMEFDQASAQPWETDYEAIVRKYTAAGYGAAVPEVVFWNLRDSKAVPVTSGQKGVALVSGFSKNLLKLFLDGDGVVSPRAVMEKAISGPEYDKLVVFD >KN539418.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539418.1:34287:34752:1 gene:KN539418.1_FG003 transcript:KN539418.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGKVRRRCRSIVRRRTPLMSSSSEVARRLVKKRAKVLRRMIPGGELIADEISLLHEAMDYVVHLHAQVDVLRRVSRAAVARRSNASSSSSGGLAQLKERTVQISVETENPC >KN539418.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539418.1:72160:74557:-1 gene:KN539418.1_FG004 transcript:KN539418.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRILGICFGHQVLCRALGGRIGKARSGWNIGVKKMTFVHDFEESKLFGDLEEIPHSASIIEVHQDEVLEVPPMGRVLAYSDKTPVEMFAVGDNVLGIQGHPEYTSDILLNLIDRLVNNNTITSGIGEEARRTVEASEPDRRFWTGLCKGFLKRPTAATTVDMPPREVAPEMMSCSHIIASGHFVATTPIGL >KN541093.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541093.1:727:1580:1 gene:KN541093.1_FG001 transcript:KN541093.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDPDWMRQSMMYAQAALEHYNAALDVDGGVKYDCTSSAGYGHVNFVARAAASGGTTLRQEERLFFAEVRNDGEGWIPTCLRSLDDEADRVGGLAAGDDPPVKIPEMTSPSRRNFCFSCNGEIKHPKDGASYHAGHSP >KN539418.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539418.1:85405:87279:-1 gene:KN539418.1_FG005 transcript:KN539418.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKREPLRPICSNAGTVERRARGGAAAAAAAKEKEKEKENEGRQDRKAAVARHRRRRGRSEFVVAQNLDGIFCTSDKNFLGTLSSNLVGSRYRIWGQGNRVDEIKSRSKRLLGVVAFAPTVTTLTGSFRSMRAWIPKNQSIHLKNSNSAQASGFPVVEIQHISGLPKDWQEKKIKADQLCSRSPFYNNMTKRYELDFRERAGRMGYKVQPSVKNFQMTLEENGRQTILQLGRIGKSKYIMDFSQRAKIH >KN539418.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539418.1:28263:30988:1 gene:KN539418.1_FG006 transcript:KN539418.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQPCKREQELGWGSFHPSRAHQLFDGMPSQPEMSKKDQSISEPVPINSTMKKEEKWLDEALDRILEKFEQMEAKRRCDEKIDRILKKLDEIDANRNKFFEEMGASIKATTAVLNAGSSLPPMAPSPLAPTKCLTECSNNNITWVAANSSHIGEVLAPIAAWELGDRKDMDQAPYIVTKDLLKVTPTKCSTICSSSDTKPDLTVIAPVTCTTLAVSSMVLVATDGTTGNTNIDAPVCFKETHAMCSMVGLDVNGGNDQAVVAFQTKTVVSKDVSASVHPMGNLATRLFVDKMMVQCELQPVPCETFNSYDTRVHILQPWPPTIKVSWLALIFTEIGVAHTDMMDKVLHWADLKPGPPPSGNGSVHLLISAHASVELQELDLAEAREGELLSVVDLWFVEMWHSGYAILNENYEDYNLLQLLLCKKWLKLVLCEMCCRRYELKLPIGCDPKQYIVDLILELEGTLETLGDYCFLCQGGWSEFIVGNNLDFLKQLELTSDKLVQVTSNLVRTCYMQKLGTGEEHILKFKYACNGWPTRKFRKMPKNDCNGHWKMSRLFVNLNYFSFGLSTAKTVQKGSCYLRGSDKVLLTKLEMQSCVNLGDDNVSCHTMAPGEGMDFCELKVLEVTSDGCPIFWILVLAATKWKVGNYTILTEGTYTKMAVCSEQKLQCDALKRNQTEVKHVIQRNTFVAPGNLAYSVTNNYLPEGNKHRTMATRVNVFGHGIHSTENQGFYSEGIQVHSSVQKIPALVLNRELSMDFSKDIAAPMKYALQVSESFELNRSKKVHDLFHFDDGLLSSSQELEHPWDPGAQEGPVGEATKAAAAGRLGASIDHLDLVELKLSTAAATWAAGGHPCRSLSPTSPPTYSLLSQL >KN539418.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539418.1:103527:108313:-1 gene:KN539418.1_FG007 transcript:KN539418.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] LDGAHHLAYYQLPRDDREVFLYNKARLHDGAPRPAPESIEIPQPSIPPPPRPQDSPPLEVSADPALKALVSYETTFRYHFQVGNAVYQSSVAKHEVCCRLLREGQVQERALDTARSNLEHTARKLTQRYSDFVKCFSQQHRGHAEMLANFERDVERLRAVRLHPALQCEGRRSLIDLVKENDLRKLADGCFSSHKQFDVKVSQHKAKFMELKKRLENLFNIMSSTGCKDLEAMIKEHEKFIGDQKSIMQSLSKDVTTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVHNIDRMFTKLLEKCKAKKNEMNTLVHVSMQRVKSAQIVIKEMMNELHAFQEVMDHQDKIFDSLKLASGMGHAYRACLAEVVRRRSSFKLYTGLAGQLAEKLATEREAEVRRREAFFRTWSKYIPEDIMGSMGLFDSPSQCDVTVAPFDCNLLSIDVDDVEKLAPQSLVGSFLKSERSQLPKSSLSNSGTSGNVSKSEQYPPNADDKMDFQDFLGGFDSVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKDAREKTAAALSAKDEYANHLQAMLTAKQNQNLSYEKRIQELEEQLANQYIQGHMISGSKDASDSLLSAFKANDCNLHISGGRQTQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLNPIARTNLDAFMAELPPDSEHKIVDSDKEGQILTQFTTTDTSGVPIEDPLGILNSRTNEHHTSELRNKELLVSELQSTLEDKSKRLDETESKLNALVDEVNSLKKELEHTQGLLDESQMNCVQLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALALSLASAKKDEGDTTIQFQQCINILADKVGFLSRQSAELVERYSRIVRELEEKKESIKNLYSKLQLEKQASKEKISFGRFEVHELAVFVRNPAGRYEAINRNCSNYYLSEESVALFTEQHPRHPAYIIGQIVHIERRIAKLPSHGDQMEASRLDSGGRRSPASMLNPYNLPVGCEYFLVTVAMIPDNIR >KN539418.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539418.1:80487:84635:1 gene:KN539418.1_FG008 transcript:KN539418.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIRVQPNDTIMAVKKIIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEVGFLVVMLSKSKASGSSGALSSLTSSTPLTRQETPADASRAAPQPLAPSNAYGQAASNLLSGSNLDTTINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPAGGQGANTTEPSSTREASLSGIPNASPLNLFPQQGDANDGGGAGGGTLEFLRHNQQFQALREMVHTNPQILQPMLQELSKKNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHSINVTPEEQEAIGRLEGMGFDRARVIEAFFACDRNEQLAANYLLEHAADED >KN541093.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541093.1:23784:24209:1 gene:KN541093.1_FG002 transcript:KN541093.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAFAPLAVTSVVFLLLHHCTPPRRAVRRRSGLSEESLKATEDIASKVTAAALGAIMSVLGGSLGEEDHHRKEAGPLDAVMVILTSAFVSGFGFMLLAAMPASSSARARLAPVAKVLAWSSMAMFAATAVAVYGVEAWRI >KN539418.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539418.1:37266:43630:-1 gene:KN539418.1_FG009 transcript:KN539418.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYVLYGAVVWKVAEEWRQQGAAPVGSWWLHLLLLSAARGLTYQFWFSYGNMLFFTRRRRVVPDSVDFRQVDAEWDWDNFLLLQTLIGATLVGSPAVARQQLLLPSLKQAWDPRGWAIALLLHVLVAEPLFYWAHRWALHRAPLFSRYHAAHHHASVTTPLTAGFGTPLESLLLTVVMGVPLAGAFLMGVGSVGLVYGHVLLFDFLRSMGYSNVEVISPRVFQAVPLLRYLIYTPTYLSLHHREKDSNFCLFMPVFDLLGGTLNHKSWELQKEVYLGKNDQAPDFVFLAHVVDIMASMHVPFVLRWCSSTPFANHFVLLPFWPVAFGFMVLMWCCSKTFLVSSYRLRGNLHQMWTVPRYGFQYFIPAAKKGINEQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPELRVRVVHGNTLTAAVILNEIPSNVKDVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKVLCTNQLTCKT >KN541093.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541093.1:2554:4950:1 gene:KN541093.1_FG003 transcript:KN541093.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFTSLLLLTLIHLLLHSSLALESLLPGSPLSVERSADLLYSPDRTFTCGFYNISPNASTFSIWFSNSSEKTVVWSANPLHPVYTWESKFELKSDGGMLLKDYNGQVVWTNNVSSSNAEQVQAKLLNTGNLIVKSKGDTILWESFAFPTDTLLPTQNITARIKLISTNRLLAPGRFSFHFDDQYLLSLFYDEKDLSLIYWPDPAQNRMPFNSAANGAVDSQGHFLVSDDANFTAADLGPRIMRRLTLDYDGNLRLYSLNNSSGTWSVTWMAFPQLCNVRGVCGINGICVYTPAPTCVCAPGYQFSDPSDWSKGCSPKFKITCDREQKVRLLRLPNTDFLGNDIRAYPHVSLHYCKKICLNDCKCVGFAYWQGKGYCYPKTVLLSGVSLIGSTGTMYIKLPQELKVSDHQVPCSQPFGQKYVNNCPRVDKYFVPDFLDKLKSGQNESKSLYFYGFLSAIFVAEVLFIIFGSLILQREDKQLREIAEVGYEMITNHFRRYTYRELVTATRRFQDAIGQGASGIVYKGVLKDKRVVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDPHRILVSEYVENGSLDKILFDSQESQALLEWEQRFKIALGVAKGLAYLHHECLEWVIHCDIKPENILLDENLEPKIADFGLAKLLHRGGSNLTVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNKDEVEMVLGRIIRMLADNLKSDGDEQSWIADFIDARLNTQFNNLQARVMMELAVSCLEEDRARRPTMESVVEMLVSVDEAG >KN539418.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539418.1:15259:22324:-1 gene:KN539418.1_FG010 transcript:KN539418.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPCREKGAKALPIAHPPWWRRNPHVNSVSTVMSRFQDDELLETSAFTPKTRVGPELQLKTFPAQRRVDFVADGVWALKFLHADGYPNFYAKYQSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFAPSPSRSPMPSRSPILKPLMEDLREYEEPVEEGGGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGVSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFGKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNIASATESPDGKEKTGFGGRMGNRIAAPRLLKLTPLDSHLAGQNNIFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSYHECYQCQEGLKSCYCYKVIPKDESIVASRFMHEKYAVSDTPEAPLVVATPMKVTSFSISSKH >KN539418.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539418.1:97930:98334:1 gene:KN539418.1_FG011 transcript:KN539418.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGVVPALHALWLNWGHAACYLALSLEVAMGLAYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVLVLVGAVTHYVAVDKNGAVLDEIQNQGV >KN539418.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539418.1:58654:63645:1 gene:KN539418.1_FG012 transcript:KN539418.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSEDDVIHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHHYALVHRDVKKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKKGRFKVTVEGAEVEKLEVATPREKELLERIASLERIDKRSNVMPTATVAKSKYNPS >KN538886.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538886.1:189064:191258:-1 gene:KN538886.1_FG054 transcript:KN538886.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQPLRTLTLSLSLSIFFFPLIVGEPLDPARRNPDLASPLFIGSTPTSLSPYKLDTIDINGDHNSSVHYERGGEKKGGSWRKSGGGDLDIVKNQLALADGFEVSSFSKFQDLGADSLDTGSKLVLRSTAQRSL >KN538886.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538886.1:151916:155223:1 gene:KN538886.1_FG056 transcript:KN538886.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPATSPSLPLAPAASSSNPNATPADTTPTSPPPASPPLPSATPPLAASPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAAPPPPAPSPYSAPSPTPTPTHTQPHPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKQQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQ >KN538886.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538886.1:172685:180312:1 gene:KN538886.1_FG057 transcript:KN538886.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPAPSQQGQGPSQNQKLTTNDALLYLKAVKDKFQDKRDKYDEFLEVMRDFKSGRIDTAGVIIRVKTLFNGHHELILGFNAFLPKGFAIKLQDLEKKPVDFMEAINFVNKIKARFQQEDHVYKSFLGILNMYRLHNKSIQDVYGEVAALFRDYPDLLEEFKHFLPDTSTAPEPVTVPRGVSSRHDDRGPLMPSARNAQIIKRERAYPSTVDHDFSIDRADPEDDPHRRRVDKGRDGKADRSRKDYETDVKDVEYDSKDLDGGQRKRKLARKMDGALADTQQGGVSTSTSPYDDKDALKSAYTKEFRFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMNGFNDFLEQCENIDAFLEGVFNKRQTSQIVKIVEKGSALNSKEGATHKATTFSSKDKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVIVAIKRVEELIEKMQDNSIKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLAAIKEVNEKKRKEDDMLLTIASGNRRPIVPNMSFEYVDPEIHEDLYQIIKYSCGEVCSSSDQVDKVMKIWATFLEPILGVHPRGHGVEDEKHKSRSTKAGPANVEINNASTNGTVTVKHAHSDEIVPKEQASCSRAILVGGVAADAQNSLQDAERTVCRDEERPKTMLDRRLQNTTPAVDVVPAVYAQNISTERSVESSHLSWPEQNHSRANMEVKPGINACSATPAGGEVVSEAKGGNEAIMGSGEIGIPGSFNSKDNKHCPINEYCGSHNHSKVEREEGELSPNGDVGENFGPFDGVSVDGVSKAKEDSTRRLLQGRPMDATEFAGENDVDADDEGEESAQMMEDSENASEAGEDASGSESGDGEECSREDHEDEDDMDQDDPDAKAESEGEAAENTEAQDADAGISLPFSERSHNAVKPLAKHVPRALNDHEEKFSCIFYGNDSFYVLFRLHQILYERILSAKTNSSSAEKKWKASKDTNLPDQYSKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVLQAIASDEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSIQLMENKRKRGGNDDSQASLKAIDAFMVTNGLECKISCKSSKVSYVLDTEDFLFHIRKRRVSSSGTIPEKADYVKAYAVKPLYQIRDADVLRKLPCEP >KN538886.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538886.1:199898:200512:1 gene:KN538886.1_FG059 transcript:KN538886.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESARQIGGGGVRGTVEQGARSRPCAARKLWKF >KN538886.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538886.1:156152:160395:-1 gene:KN538886.1_FG060 transcript:KN538886.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRLYSFACGRRPTAVDDESSSRIGGPGFTRVVNANGNGGGGIPEYGYRSNSVSTTKYNVVTFVPKSLLEQFRRVANIYFLISACLTYTNLAPYTSASAVAPLVLVLLATMVKEAIEDWRRKQQDTEVNNRKTKVLQDGAFHSTKWMNLQVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLEASSALQEDDSFNSFRAVIRCEDPNPHLYSFVGNIEIEEQQYPLSPQQILLRDSKLRNTEYVYGVVIFTGHDTKVMQNAMKAPSKRSKIERKMDRIIYLLLSALVLISVIGSVFFGIATRDDLQDGRPKRWYLRPDDSTIYFKPTKAAISAILHFFTAMMLYGNFIPISLYISIEIVKLLQALFINQDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKRKGSPLIADMASNTQGSQAAIKGFNFTDERVMNGNWVSQPHSGVIQMFFRLLAVCHTCIPEVDEESGTISYEAESPDEAAFVVAARELGFTFYQRTQTGVFLHELDPSSGKQVDRSYKLLHVLEFNSARKRMSVIVRNEEGKIFLFSKGADSVMFERLSSSDCAYRQVTQDHINEYADAGLRTLVLAYRQLDEAEYANFDRKFTAAKNSVSADRDEMIEEAADLLERKLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMTQITITLEQPDIIALEKGGGDKAAVAKASKENVVKQMNEGKKRIDGSVVGEAFALIIDGKSLTYALEEDAKGALMDLAVGCKSVICCRSSPKQKALVTRLVKESTGKVSLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISAMICYFFYKNITFGVTLFLYEAYTSFSGQTFYNDWALSTYNVFFTSLPVIAMGVFDQDVSARFCLRYPMLYQEGPQNLLFRWSRLLGWMAYGVASGVIIFFLTSAALQHQAFRRGGEVVDLAILSGTAYTCVVWAVNAQMTVTANYFTLVQHACIWGSVALWYVFLLAYGAITPAFSTNYFMLFTDGLAAAPSYWVVTLLVPAAALLPYFTYSAAKTRFFPDYHNKIQWLQHRGSNADDPEFGHALRQFSVRSTGVGVSARRDARDLHLPPPSQSHTTST >KN538886.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538886.1:183093:184643:-1 gene:KN538886.1_FG063 transcript:KN538886.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETISSLTDADDVLENRGINQVQFRLDEQISLVAATEVKVRTRPGRLGFRLLNPELMDCKFQTKVKLDEAYERMFTECMIECDQELVPLEAHIAELKRLLLLPNNEIEDIGPDIMQRGRGLQQVLYLHPPFPLYPEYEYHPPPQPQIPYQPAYATAKERENARSRDRRAQRAWWHANLTLLETKKKILEGKRIDLERGLRSEMRKALESQSDLGAGYTNYHFRHRWDKGSCVFNLLSSMISGLGSEAGHAVVRCPLQISAAGEE >KN538886.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538886.1:201667:201915:-1 gene:KN538886.1_FG064 transcript:KN538886.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNRWFCLGDRSTARIADQQKKEEEEAEDKEDGGAGAITGMILAGALLVGVIGGFGAAGYVYKDQINTFLTQFSGFIDGNS >AMDW01030110.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030110.1:23:418:-1 gene:AMDW01030110.1_FG001 transcript:AMDW01030110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSRFTFFKGEPQWTLSDPPIMLSYVVSPTATVDYLLPAVVRAVFQRAFVRWAWAIPVGFVETDNYEAADIKMGFYAGNHGDGVLLGILSHAFSVKNGRLHLDASEHWAVDFDVDATAAAIDLESVATHEISH >AMDW01020308.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020308.1:66:266:-1 gene:AMDW01020308.1_FG001 transcript:AMDW01020308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAKAMAMARAVVAVLLLVQILGAMAVSARTMKGEGWLEDGIGMVVDMLGELKSGGNSPTHCC >AMDW01033951.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033951.1:227:476:-1 gene:AMDW01033951.1_FG001 transcript:AMDW01033951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYSEHLLQPLMETIVALSGPKTKIMLGYEIRSTTVHEQMMQMWKSNFNVKTVSKSK >KN540372.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540372.1:54013:54315:-1 gene:KN540372.1_FG001 transcript:KN540372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAATGGVDSVALAGDGKDQVVVVGDGVDSIKLTAALRKKVGHATLVTVGEVKKEEKKPEPAAAAVEYPWSYHPAYTYAPPAQHVVYQQYPASSPWWC >KN540372.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540372.1:12032:12682:-1 gene:KN540372.1_FG002 transcript:KN540372.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFTLLMLVAASAVVDVASAAGGCGPCNCKGCCNYDGKCMSYCSGHSGPCCKWKGKCHGCCS >KN540372.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540372.1:46108:46686:-1 gene:KN540372.1_FG003 transcript:KN540372.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVNMASDKCRSKAMALVASTTGVDSVALAGDGKDQLVVVGDGVDSIELTTALRKKVGHATLMTVGEDKKEEKKPEPAVVEYPYPWNCYPYGYAPPPQHVVYQYPASSSWWW >KN540372.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540372.1:29866:31867:-1 gene:KN540372.1_FG004 transcript:KN540372.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFTLLMLVAASAIVDVASADHGDHDGKKCGPCKCKGCCHYDKCYEYCSGDSGPCCKWGKCHSCCS >KN540372.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540372.1:23207:23320:-1 gene:KN540372.1_FG005 transcript:KN540372.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQLNLLVFTLLLAAAFIDVASAEDSREQLHHYCI >KN540372.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540372.1:50490:51829:-1 gene:KN540372.1_FG006 transcript:KN540372.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVNMASDKCRSKAMALVASTTGVDSVALAGDGKDQVVVVGDGVDSIKLTTALRKKVGHATLMTVGEVKKEEKKPEPAVVEYPWSYHPYTFAPPAQHAADEVMNAKIKEVQTNGRSLPNESKRSSSAIHSLRYISR >AMDW01017423.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017423.1:30:146:1 gene:AMDW01017423.1_FG001 transcript:AMDW01017423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIKLLRNRKEVQVRQMRREVAQLLEADQDQTARIR >KN542941.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542941.1:6576:7603:1 gene:KN542941.1_FG001 transcript:KN542941.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEETEEDRLVFFPTTRTLKGYPINLRLLSESIC >KN539017.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539017.1:32875:35159:1 gene:KN539017.1_FG001 transcript:KN539017.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLLPPFWPPESTVIRQIGIEWEDKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHRENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYREFYDQGVFNMTNLIIVATSWYRPKTFFLNCSDDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKIRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTPGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >KN539017.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539017.1:118704:119849:-1 gene:KN539017.1_FG002 transcript:KN539017.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLASSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSGITDDGLEVVSIGCPNLVSLELYRCFNITDHGLENLCKGCHALKSLNLGYCVAISDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRVDEVMCIGPSIEDLFRLQ >KN539017.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539017.1:11448:17144:1 gene:KN539017.1_FG003 transcript:KN539017.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRIAEGSLLLFNKCLLLNVQAVRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYITLTELLASLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARALAKHVHRSSDGWWGSFHGSDVRKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQYGSKWAKP >KN539017.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539017.1:40609:49412:-1 gene:KN539017.1_FG004 transcript:KN539017.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESMSSSNKAREEEEASVVAALDKEAVLTTAAGARFDTLVPLWICGRFLDQYMGSTSRPVDDEVLDATTGVHYSALRLEELNMNGSVSGEDQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQDCDHTTYIANCLTEVISVITGMMRIPKKFALVCQWLELCTDFAAAVLISDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNVPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIDFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLAVQCLFRQKNEADTE >KN539017.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539017.1:87396:97311:-1 gene:KN539017.1_FG005 transcript:KN539017.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRLEQLVFLLCCFAAITCSLHATQAQAQGQITQHYLKKSSPHNGAVGRILSEMTNRSNSTLSRRTRRVDPLDGLRKYKGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIIFVGALLISKIFFAKGNTGYGDMNYFLARFHICSMIIFILLAAFVIVASAIAIRGAVRFHSRAEAVKEIIGRTALEATATIYNITEAIEKMQNTSRLYNNNSQAFDHLNSTVKALNSEAVEIQSKAEKNMRLVSKGINILEAVTILTVTLNLFAVLALLVMRPLRLQKLCNLCIAICWILTALIWMYFGLYYFLDEFAGDTCAALEEYQLDPKNSTLGTIIPCSEKFSGSVILHDVGAGIHDIIDQVIGEFSVSEAAIQVNSNIYTIKSEYGVKQLDYICNPFAGPPEFRYRPENCPSGAATIGDIPQTLTKLRFTRNELTGDEKDAFKKLLDEDGFYSIRLQSNVLDPARKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCTYPRPVKMPSKWVFNSYTVLKTSEQAPRTPSFVDQLIEAENGLGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEQAGGQGQPGAQRAPNAAPRRR >KN539017.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539017.1:3882:9035:-1 gene:KN539017.1_FG006 transcript:KN539017.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIDARYEVPRTAEFIRARAYTRVALQFPDEMLRDAAAVARALRRELGGGGGVKLFVMADTAYNSCCVDEVLYGLEYAHALDDLKAVVAELYKSHSRSVEVQYADALCSVMSPSSAAEVEHGQSDGSTHSDDLSIQSDVATFVNNCCKVEGSTCKYSLGGLTWSTSIDDNMEDYLLYWIGQDNSAFANIVLTFNKCDIVRYDTVANQPSRDVSHLMKILRRRYYLVEKAKDANIVGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITTDKPEISSTTEEARFSFIKGGYVEDNCLEDNEEQPETSLALAEVTEKALSIKNQNNDAVLYQGGAKSAIDYLKARSYRGLTGEYEGPAPDSVLTGRTGRAAGYNNEKKEITQ >KN539017.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539017.1:134237:136363:1 gene:KN539017.1_FG007 transcript:KN539017.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSTAASLFGVVVAAAALAMAVIYVVNGRFPGPTVDVTEGDTVVVHVINRLPHGLTIHWHGVRQMRSCWADGAGYVTECPIHPSGEKTYRFNVTGQVGTLWWHAHVTCLRATINGAFIIRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMLDGNFDDNPLSATINGKLGDLSNCSGTVEESFVLDVKRGESYLLRVINTALFSEYYFKVAGHTFTVVGADGNYLTPYKTDMVTVAPGEAIDVLMFADAPPAYYHMVALANQPPPPDLQIPQLTSRGLVRYAGAAMDSNNLPMPMPVMPDQHNTMPSYYFRHNLTGLALPEQQQRHRVPAHVDERLLITLGLGSICRGGNTTTCKRGRSPETVVVATMNNVSFHHTNATALLEHYYDGTPEGVYTEDFPVRPPRPFNYTDRELIPAGSLEAALEPTAKAMRLRRFRYNASVEIVFQSTTLLQSDSNPMHLHGYDVFVLAQGLGNFDPKRDVEKFNYHNPQLRNTVQVPRGGWAAVRFLTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGTNGLSQP >KN539017.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539017.1:166625:167176:-1 gene:KN539017.1_FG008 transcript:KN539017.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFYGWNYIRFMNLFTLLQSKSGSKSHTWKIYGGTDKVKVNAWAIRLGFRFNLDVYLVGNCWWYDPGRFPLREIVEVSDSVTTWYKGDVPPGPKVQLVTSTAAEFSIYNLQSVKFQFMESSDGGGEQGALAKMAARPKPLEDPGVGSFLRKSAGELAVENPKRLDLILADRNAMGVQDGTVH >KN539017.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539017.1:77453:82628:1 gene:KN539017.1_FG009 transcript:KN539017.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYKAWFQSFGMYPLPLVKRVKIFWSSFRAWLCEYFPEGLRTLGEGVSEAEIAVTECNLGLVLPMPTKLLYRFCNGQLHIGRGEEVSYGVMGGYDYVHQRYTVRLLPLAHHAVQKNSNYIVVATSCFGEKIFLLDCASGRLYVGTKYWNEEREIMACVPKASIRLAVDDDHGMPQDGFLLWLEEHLSRLQDGLIKVQSCKFPMLARHISLYPVQLPYCSSTSMHGIKVRASAVFAPENSAFADYRCRYSYYFSIRLSLAEAFVVDGKWYSSFQLQSCHYTIQIGDEVLPYTCNYGGHGKCPLLRCGEELFVYGCSISAALEPGSVMGNLTLVPWRCGQPRGSPFIADIAPFPLHPPDYIF >KN539017.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539017.1:17891:22670:-1 gene:KN539017.1_FG010 transcript:KN539017.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLAPLAAAAAVAFLLFKFATVDGCVDHWSQPRDSGQWKSEILFKSKLPLFSSYTKDKHPNSRVEVLPMDLSSGEESLKEHVHEAESLFSNAGVDYMIHNAAFERPKRRALEETEQGLKATFDVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFSSLRSELCTKGIKVTVVCPGPIEAPQSSGATSSSQKPSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKVGAKRLDVAAKKGNAYGWNLLFGGKKSA >KN539017.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539017.1:2430:3387:1 gene:KN539017.1_FG011 transcript:KN539017.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPKLEKIMWTFTGMNSSFSGIDNIMQPSEILKELEFNGESLPNQVKEAIDKHKDKIHYTYYKREIQEKTQRERGRKTTRRALLPIHPQGQASAPDVELKGITMVSLPLSVCIWVLMRCAPAG >KN539017.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539017.1:111073:117852:1 gene:KN539017.1_FG012 transcript:KN539017.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTSVQLSTVNAWRHSQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISATMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVTNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQLKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQMQDVVCPIGSSSGSCSMLRP >KN539017.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539017.1:151047:151244:1 gene:KN539017.1_FG013 transcript:KN539017.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEKGPWSDQYGAAKTTEGVPASGSSTESQAGQEQRQLEMKLTLHLLVLKPEFFCGSVHIHYGL >KN539017.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539017.1:26750:29126:1 gene:KN539017.1_FG014 transcript:KN539017.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHGGSPATLGPKGLRRSWGWGTGGSGGGGGDGEEKEGGDHRRRGDAVAVAGEVKAPVVRSSSVPRRISPDQEKQHPKRETKTKMTSNSKTKASSGSPPKAGEDVPMDRRIPNTAARKTTEKAPSNVSLNNMVRQSPPRKTTPATIGASWDSLPSDLQSIGLEVMSYRDAAEVAAVEALQEASSAEILLRCLRFSALAS >KN545492.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545492.1:928:1328:-1 gene:KN545492.1_FG001 transcript:KN545492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKTIVTMFPSGGERYMNSDLFAPVREECDNMTF >KN540555.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540555.1:32553:37855:1 gene:KN540555.1_FG001 transcript:KN540555.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/Swiss-Prot;Acc:Q6E7H0] MAAPPGEAPLTAATNIEPFYVLHKGAAAASSSSSAPSLPSSGRARRRIDVSGPASPKPKPGKRSRDEDAAEDDDDDELYERLRLDAFHRVWSKIQSTIDHFANSDECDSHLGIDPIFDCSCGQEVLRGISLKLFDQVLQWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEHLESNGCHLAKLSATELSEKNGVGCCFRSLFRQLLSDVPDVADIFALASWYSAAENYDQPIVVVIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILIKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAINPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFRLLEVWSIHLNGMDKITNKVKELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNVSILQSALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISFDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQYP >AMDW01040930.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040930.1:698:1420:1 gene:AMDW01040930.1_FG001 transcript:AMDW01040930.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSSSLPSGPHSTVAKVEEELQGLKAHISSPSVTVAAMCDGLRKLGDVYNSIEGIMCLPSNQVGLSLPQQKKMVEEELDRSLVLIDLCNSMQENLSELKMSIQELQLVLKRGDHAAVQLKFESFVRMARKAQKPFKKTGSKATAECCNLVRIMAEAREMAASLLDSTSGLLVKKIGTPSSSKWSLVSKRFQKRNVVCDEEQLQALEREIGDLENGAEFLFRRLIQTRVSLLNILSS >KN540555.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540555.1:39017:44223:-1 gene:KN540555.1_FG002 transcript:KN540555.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKYKSCHAEKFENNLDKMTFKIMTYNVWIREDIELHRRLGALGDLIQLHNPDFICFQEVTPYIYQLMEKSDWWQEYKCLLSHEMAMRKSHFCMQVPGNKENEKIEPLPVVVLHPPARGLPPNLEAKLAGRMSKLPVSESKPIPFSKSIMKRELCVAVVKTGEIHLAVGTSHLESPCPLPPLWDLKYSEKRVAQANQSLEILGQLRNAIFCGDMNWDDKVDGPFPLPDGWIDAWVELKPGDNGWTYDTKANAMLSANFKQQKRPDRFICKLSDFKIDDIEMIGKEAIPGVVYYKEKIVPCRARSTSASIVGHLSYQSSSTMVVEGGNSEKEAWDRDGAGDIGGGDGAVEIGGEGGKHAEDTGGSGEHGLDVTGVSTVVGAPAGLDDTIVSFNEVVAAPMLIDGGGDGDGDGADGGVGDDPFDN >AMDW01040930.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040930.1:3511:4239:1 gene:AMDW01040930.1_FG002 transcript:AMDW01040930.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRSASLPSRLHSTESNVEEELHGLRSCISSPSATIGTMCDGLRRLGEVYNSIEEIMFLPSNQAGISLHQQRKMVEEELDMSLLLIDLCNAMQESLSEMKMNIHELQLLLKRGDSVAVHNKIESFVRLAKKAQKMPFKKTSIEAISESCKMIRLLGEAREMAASVLESTSLLLPKQIAKNSASKWSLVSKRFQRRNVVVCEEQQLQALEHSMGDLEDGAELLFRRLIQIRVSLLNILSS >KN543792.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543792.1:215:773:-1 gene:KN543792.1_FG001 transcript:KN543792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAGVPNISEGLSEISKKMMDLAAQLQALAAQSAEQVMSLGAAERSDRHAVTSLRPRKLVFKRSLVAPPQCYPSQTAFSKAPFQRMGKRRGFLLHPSASTMMARFPEAWDAAAPTLLRAKLSNARQLERLSWGKPRPGVLRLRWSSGDWRRCRYYPHWKGKRRQRFWRLISSVSARFSDEVVA >KN539922.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539922.1:16089:18146:-1 gene:KN539922.1_FG001 transcript:KN539922.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVCARFVCCRARRADARASRPHPSPVDFDADFPSDFDRPIEHSRSGLEPLAVAAIPTMKYNCEAFHSEDDTQCSICLSEYKEKDILRIVPICHHNFHLYCLDAWLLKQTTCPICRISLKELPDGKSAVSSAPTMSQPPTLPESSVNPTSHFLPVHQDHRSHQDGPDMPESVEVVIEIRQ >KN539922.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539922.1:60101:64658:-1 gene:KN539922.1_FG002 transcript:KN539922.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTLGMVSGLPSARMMRQSRASWVYQLPPMTCVVAGTSTLAQAPAAHATPDRSTGDGIKVKSHLFVWTVDCGLYLQGSTSLVAAREFAQISPDPCRRDPRASEVSMEVMHDTTGKKEVVICYMNAPLPYMIEENYGGCFFEDDVDLAQVLQDQEIVYQLIQGNYGTGSSKTHSNPSSSYSHGCELGERKPSGVASYEAQLVVDEALARELQQMEDQLASASIDDHNIIERGRKPIASSTSSGGNASASRPPQVVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMTYKNRDRLTKLPCEHQYHQTCVTKWLKINKVCPVCNKEVYGSGK >KN539922.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539922.1:53972:56152:-1 gene:KN539922.1_FG003 transcript:KN539922.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDQLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >KN539922.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539922.1:34712:36394:-1 gene:KN539922.1_FG004 transcript:KN539922.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCADDQTGCAFFAPLLSSKGAEVVILVAGDEAEEQQPAPVLTSKPPGRLAKAVNEAWSVSLGVAFPVTPSMFTCSARGEARSILGLAFPMILTGLLLYLRSMISMLFLGHLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYALLGVTMQRTVLLLIAAAIPIGGLWVQMRPLLLFCGQDAAIAAVAETYIFASLPDLVLQAFLHPVRIYLRTQSINLPLTVCAGLAIAIHLPINYVLVVVLGLGVKGVALASVLANLNLVLFLLAYIFLKGVHKRTGGFLLSAESFRGWGELISLALPSCVSVCLEWWWYEIMILLCGLLRNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGLVLGFGFGAFASAFAFLVRNVWASMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVMAFWFHLDFRGLWFGLLAAQATCTVRMLLVIGRTDWAAEAKRSKQLTGAGAANMESDDRVAADEKLRLPVDTDVERSSDHTDRC >AMDW01038468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038468.1:31:778:-1 gene:AMDW01038468.1_FG001 transcript:AMDW01038468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSPDVVCLGEKKFSECSKRICVKAEEIYNATNELSSYKHGMSSSGGKVPLYGPRRILVPAKHASDPFVVCTKRRFTVSDQENKFYLAICRIAYSTKWQSHDAVDIDTVRAKFYSFGHSFEKSGMLSPYVMSVFCRVLFHDNHPSKSKRNYFFPSIGAQLTIDINDVDLEKVEKSFKGAASARKLHLCDM >AMDW01028672.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028672.1:100:300:-1 gene:AMDW01028672.1_FG001 transcript:AMDW01028672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQ >KN543974.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543974.1:3239:4246:1 gene:KN543974.1_FG001 transcript:KN543974.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLSFVLLGIAQVKRSFALSPVLSYGHLITPYPEVMTRRLEKRFLLDHGTNPSPSNASLPSTSTLARCPKRCGKFSFDYPFGIGQGCFHHVDFSLTCDMATQPPKLFLNNGSTEVLGDIGVDGLNIYFIHFNLIPITSTHVIPINSGGDVYNFSWKTPGDSFTIARQGMTFVVTSCDLDVYMVDQEKSTPILLGTIACPSKEIAEMVYRQDSEGPGWYTIDSLPVRTVQLQFVRHKTGNTQKYFNLTMLWDRINITVKANLAWNIVDQTRCLNNMEDDRKNHACISNHSSCVSSQYIDVGYACRCNDGYVGNPYIMDGCKLDDGNFFIIAFFF >KN539106.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539106.1:81368:81721:-1 gene:KN539106.1_FG001 transcript:KN539106.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYRLNKSAAAFRRAYAQPNPKANMDCVVREIYTKAVPPPTPPSGRSADEEMQEGSDYSVMDEDELVDYLLQGFEDGNFDEDQDQPAAAEDGDYSDEDEDQPAAAEDGDYSDEDQP >KN539106.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539106.1:60504:63724:-1 gene:KN539106.1_FG002 transcript:KN539106.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITFFKHAHRKNIRAEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNISLCYSYGEGFSQDQVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLSLVNAQRALSDADKWRPRALYTRR >KN539106.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539106.1:10893:14079:-1 gene:KN539106.1_FG003 transcript:KN539106.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMKLGNRPDTFFSSGPVRSVSTDLATDMQILVDGCLFRLHKDALAVLHSTRRYAALCEELGVTSRCVDAVAALAVADPSGDASGAVPAGSSSSSPPWWARDISELGVDLFWRVMVAVKATGTVRGKAIGDALKAYARRWLPIAAKNHHAAERTAGGAANAERATKNHRLLVEKIVSLLPAERNAVSCGFLLKLLKAANILGASPASKAELTTRVASQLEDANVSDLLIPAAPPCAGGALYDVDAVVAILEEFALRQAAASGRPEGSPGLAGRHRRSMSAESGELEGARRSTSMAAVSHGAMVRVGKLVDGFLAVVATKDARTPLDKMIAVAEAVPDYARPEHDDLYRAIDTYLRAHPEMDKSSRKKLCRVLNCRKLSEKASMHAAQNELLPLRVVVQLQHSRHKILSQVLVTRLAWLPVASSQQKKICAAGAVPAGAAQEAQACRALDNACV >KN539106.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539106.1:121228:126082:1 gene:KN539106.1_FG004 transcript:KN539106.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPWTFCSMGYASGTYAPGRCSPWEMGKCRVGDSGREPYTACHHQLLAHAETVRMYKEKYQFTEGNALQKGKIGIILNADWFVPLSQSKSSSDAARRALDFMIGWFMDPLIRGDYPLSMRELVGNRLPEFSKEQSEMVKGAFDFIGLNYYTSSYADNDPPSHGHNNSYNTDSHAKITGSRNGIPIGPQAASFWFHIYPEGLREMLLYVKENYGNPTIYITENGVDEVNNKTMPLKEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWAEGYTVRFGINFVDYDDGMKRYPKNSARWFKKFLRK >KN539106.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539106.1:979:6779:1 gene:KN539106.1_FG005 transcript:KN539106.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVTVAAAKVKGSASRFRYVCSTPRTLDQPWIIAGGKVIATKIRNATQLLSCKLGELVPEAWRECPNCKCHIDNSDVTLQWPEFPDGVKFDPSDLEVLEHLERKINLGNPGPQGLIDHFIPTLEKVEGICYTHPENLPGIKLDGTSSHFFHRISNAYGSGQRKRRKISHTGHAASDENIRWHKTGKSKQIYHNGVLKGWKKILVLYKGSKKNKIVQANWVMHQYNLGVEEGGEDGELVVSKVFYQLSSKQTGTPEMDSVTEEASDALTIRSDPITPITNPPLPRCLMNSPCDTEQNGTISHDQEGECSTSTLRPMVEAGNRAGCSAGASTAGDFDEDLLQRCEFPEDPVPTLDDTLPFLYTDETDLFSWEDFQFGSQESFGWVDGDHTLVQFLYLCMWDRLLSALSADKALSPLAVLPHLDGAPSSLPNLLLTASAAVRPHATSLRLYSRMKSLSLPISTASLHPLLSALPSAPAFALFADMFRLRLPLCTTTFNIMLRHLCSAGKPARALELLRQMPRPNAVTYNTVIAGFCSRGRVQAALDIMREMRERGGIAPNQYTYGTVISGWCKPDLVTYNTLISGYSMKGDVKDALRIRNEMMNKGFNPTLLTYNALIQGLCKNGQGDDAENMVKEMVENGITPDDSTYISLIEGLTTEDERAIDDERLAAADAAKV >KN539106.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539106.1:128011:128687:1 gene:KN539106.1_FG006 transcript:KN539106.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAMVMSGGVLLLLLAFTCAAYNDADELPPISRRSFPKGFIFGTSSSSYQVRHPTSSFLQEIENNFIKLPPKVNSARTSVGTECSFKGETKVRTECINETHEKGTDLKPDKLTVVSHMMQFEGAAAEGGRGPSIWDTFTHQYPGMLTVNDQK >KN539106.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539106.1:120036:120694:1 gene:KN539106.1_FG007 transcript:KN539106.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGALLLLHLLAFTCVACNGGSSLPPISRRSFPKGFIFGTSSSSYQFEGGAALGGRGPSIWDTFTHQYPGMLTVNGKKGKAMRHYFELNILQFGRQNH >KN539106.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539106.1:95420:97831:-1 gene:KN539106.1_FG008 transcript:KN539106.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLLTLLVLLLVFAIAPSKARNITLGSLLTTEGVNTSWISPSGDFAFGLQLISTNTYLLAVWFDKTVDKSMAWYAKTNTQVPEVVLVPSGSRLQLSSNGLSLLDPGGHELWNPQVLGAAYANMLDTGNFVLVGADGSTKWGTFDSPADTILPTQGPFSEVQLYSRLTQADYSNGRFLLQVKDGNLEFDLVAVPSGNKYRSYLTPNNGGNGSQLLFNATGGVYFTLKDGTEITITSTIMGSMVNYYQRATLDPDGVFRQYVCPKKEAVTRGWKYIGWTAVDFIPRNICDVFTTSDGSGACGFNSYCSFNWNQNETVECQCPPHYSFIDEARKYKGCKANFQQQSCDLDEATMIDEFDLIPMKGIDWPSADYESFTSVGMDDCQKLCLTDCFCAVTVFNEGNCWKKKLPMSNRRMDSSVDRTLYLKVPKNNNSLSIINTDSIKWKKDKKYWILGSCLLLGSFLLVLILLISFILFGHYFAKKSKKIDPPKQSSSTGGLPLKSFTYEELHEATGGFCEEIGSGGSGVVYKGTLQDQLGTHIAVKKINKVLPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPKGPLNEFIFCTIRPSWYQRVQFALGVARGLLYLHEECRTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLQMDQTQTTTGIRGTRGYVAPEWFKNIAVTAKVDVYSFGVILLEIVCCRRNVEQDIIDEDRAILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAVPPDPASYISSLQ >KN539106.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539106.1:55618:59440:1 gene:KN539106.1_FG009 transcript:KN539106.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPIMTRAQRSHPLDPLSAAEIAVAIATVRAAGKSPEERDGMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLTVYNRQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPEVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMELVMVDAWCAGYYSDADAPSRRLGKPLIFCRTDSDSPMENGYARPVEGIHVIVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDLKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVENAGAHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEVFPGGEFPNQNPRIHEGLATWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGSSDADIKEAESPKAIQNGLISKL >KN539106.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539106.1:18765:22875:1 gene:KN539106.1_FG010 transcript:KN539106.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQDAAPTAREGERDLVVKVKFGGTLKRFTAFVNGPHFDLNLAALRSKIASAFKFNPDTEFVLTYTDEDGDVVILDDDSDLCDAAISQRLNPLRINVELKSSSDGVHQTKQQVLDSISVMSTALEDQLAQVKLAIDEALKFVPEQVPTVLAKISHDLRSKAASSAPSLADLLDRLAKLMAPKSKMQSSSGSADGSSGSSSGRGQTLGSLNIKNDTELMAVSASNPLDMHNSGSTKSLGLKGVLLDDIKAQAEHVSGYPYYVDTLSGWVKVDNKGSTNAQSKGKSVTSSAVPQVTSIGHGAPTVHSAPASDCGEGLRSDLFWTQLGLSSESFGPNGQIGGDLNSTCPPPPLFPRYPLQSLRADKSSIKGGCSYPPCICKSSTSKPENLSHYPVQSLQADRSLKGGHYFPPCTCKGNTSKPDNLSPVGLYGPYSEGSSCNRCPYRDLSDKHESMALHTLHRWIQCDGCGVTPIAGSRYKSNIKDDYDLCNTCFSRMGNVNEYTRIDRPSFGSRRCRDLNQNQMLFPHLRQLHDCRFIKDVTVPDGTVMAPSTPFTKIWRIHNNGSSMWPYGTCLTWVGGHLFARNSSVKLGILVDGFPIDQEIDVGVDFVTPAKPGGYVSYWRLASPTGQMFGQRVWVFIQVEHPVKTSSNKQSAAINLNMPPEGSNTEWKHSVDANIQSADIVGEYSGSTITDPLAHALYHEATKPMEPELVSSAVPSVPRAFESVLVPATDLLTSSAGAEKASKPAATPGPAPQAVPLPKPVSIPASGPAPAPVSATTAAPVGAAAAPISEPTAPAAAIGMPSATARAASCLPTEPSSDHISAVEDNMLRELGQMGFGQVDLNKEIIRRNEYNLEQSIDELCGILEWDALHDELHELGI >KN539106.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539106.1:77294:78064:1 gene:KN539106.1_FG011 transcript:KN539106.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLIVDVAGMVAASLGLHGASFQDWPCQFRMNRYNYTQDSVGSPGVQVHTDSGFLTVLQEDECVGGLEVLDPAAGEFVPVDPLPGSFVVNVGDVGQAWSNGRLHNVKHRVQCVAAVPRVSIAMFLLAPKDDTVSAPGELVDGEHPRRYREFKYDDYRRLRLSTGERAGEALARLAA >KN539106.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539106.1:89113:91521:-1 gene:KN539106.1_FG012 transcript:KN539106.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFTLIFLLVFTVAPSKAQRNITKGSFLTTEGMNTSWVSPSGDFAFGFQLINGNNSYLLAVWFDKTVDKTLAWYAKTNTQVPELVVVPSGSRLQLNSNGLSLLDPDGHELWNPQVTSAAYASMLDTGNFVLAGADGSIKWGTFDSPADTILPTQGPFSEVQLYSRLTPTDYSNGRFLLQVKDGNIEFDLVAVPSGNVYWPYWNPNTAGNGTQLLFNATGRVYFTLQDGTEINITSTIMGSMGDYYQRATLDPDGVFRQYVYPKKEAARKWNSIVWTTVDFIPRNICQAIRSPDGSGACGFNSFCSFNWNLNEIVDCKCPPHYSFIDQALKYKGCKADFQPQSCDLDEETMIDQFDLIPMNGVDWPLADYEHYTSVGMDECKKLCLTDCFCAVVVFNNGDCWKKKLPMSNGRLDSSVDRTLYLKVPKNNDTQSQLNSNSTKWKKEKKHWILGSSLLLGSFFLVCILLASFIISRNYFATESKKTDLPKQSSSTGGLPLKSFTYEELHEATDGFSEEVGRGGSGVVYKGQLQDPLGTYVAVKKIDRVMPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGSLTGFLFDTVRPSWYLRVQFAIGVARGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLRMDQTQTHTGIRGTRGYVAPEWFKNIAITAKVDVYSFGVILLEIICCRRNVEKDMTNDDRAILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAMPPDPASYISSLQ >KN539106.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539106.1:136420:140880:1 gene:KN539106.1_FG013 transcript:KN539106.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAVPMSGGLLLLLLLLFLAVACEDVHMMKEMGMDAYRFSISWSRILPRVQPFVTLFHYDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWIFCSKAYASGTYAPGRCSPWEMGKCSVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEVVRQSQFIHDNDLHRRSAKLSFIIQNYLLLGIHFQPGPGGRALQKGKIGIIVNSQWYVPFSQSKTNKDAARRVLDFVLGWLMDPLTRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENVLPSYGLKNSYNTDFHARITGSRNGTLIGPQAASSWLHIYPQGLSELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRSAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >KN539106.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539106.1:100521:105134:1 gene:KN539106.1_FG014 transcript:KN539106.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGAVVMLGGILLPFLLAVAVSDKIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSVGDSGREPYTACHHQLLAHAETVQLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >KN539106.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539106.1:112030:116423:1 gene:KN539106.1_FG015 transcript:KN539106.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGLKSEELAVLEMQYEGGAAEGGRGPSIWDTFTHQHPGMLTASLLASACKCYVQICFLFLKITKKIADRSNGDVASDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLRGGVNKEGIKYYNDLINELLSKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDFKDYAEICFKEFGDRVKNWITFNEPWTFCSNGYATGLFAPGRCSPWEQGNCSVGDSGREPYTACHHQLLAHAETVRLYKAKYQALQKGKIGITLVSHWFVPFSRSKSNDDAARRAIDFMFGWFMDPLIRGDYPLSMRGLVGNRLPQFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYVYPQGFRDLLLYVKENYGNPTVYITENGVDEFNNKTLPLQEALKDDARIEYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGRKRYPKNSAHWFKKFLLK >KN539106.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539106.1:70020:73856:1 gene:KN539106.1_FG016 transcript:KN539106.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGPAPNALTFNTAINGLLRLGHLDASYAVLEEMWSRCGFLPSFTTVDRLIKKAVSGSNFELALKVFDLMLRLCYLPTLPIANAIVSILLKSGGAETAYEVFMVLVNRKFVPDVYMYNKILFGLCKSGFSNKALTLFCNLKKRGLSLNVYSYTALVLGFCKEKMWADAYRALEKMCDEECKPSVVTYTVIVNFLCRDGKIDAAMHVFRMACKNGCCLDSTICNVLLHALCCEDRIPEARVIVDLMEEAGLVPDYFTISSLAAGFLKTGDVMTCQNFIRMVKKALALVSGMMERGLVPSTTTYNTILKGFCMELDLQGALQMLDHFSSTGVPCDSVSFITILSAACRQQNASVIRMVLYRMHVEGINLDAISMTCLLRKSDYWAQLDVKSTNCGAFHEGLKVEENGYYSFVYELIMLGRAPSFMPPGSTCAREWSHPSRLQDILYCAVPLFILCEIEVSPFVYLGESGSVQFTLLNLANIQHAIFWSLRLRTAKRTGKQIKFIGRPEAVYSMKLMVEVLGL >KN539106.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539106.1:23834:34157:-1 gene:KN539106.1_FG017 transcript:KN539106.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLYVIEARGLPEHGGDGGGGGGKILLTFSLHGENSDNTVIHSSPCSSSRSDTDIEFERSTYWEHSSSNSGTVDSPRSFAIERSSLENSDRSAQANSNSNSEDDDLIEPSAATAKGTSDIEPMVPDASFEEAMEIMKSKSIPDMPEDLSGGVMFDHTYLVDSKNLNSLVFGPDSQFSKELRELQGTTDYEEQPWTWNNNNPPSLTRTCQYTKGATKFMKAVKTIEEQTYLKADGKSYVIMTRVRTPEVPFGNCFEVVMLYKIIHHPESSSGEGMSHLTVSYNVEFLQSTMMKSMIEGSVRDGLKENFESYAEILSRHVKIADSAGMDKERLLAPLQTDHQSDIRLACKYFCNFTVISTVIMALYVLVHIFLSRPGPLMGLEFKGLDLPDTFGELIISGILVLQLERLLSMISRFVEARVQRGSDHGIKANGDGWLLTVALLEATSLPPVSSGSVDPYVVFSCNGITRTSSVQLQTHDPQWNEIMEFDAMEEPPATLDVEVFNFDGPFDLAVSLGHAEINFLKHTSAELADIWVPLEGKLAQTCQSRLHLRIFLENTKGPETSMREYLSKMEKEVGKKLHVQSPHRNATFQKLFGLPHEEFLIADYACSLKRKLPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDVEEIEELTPSFTTVGTPSLLFVLKSGRGLDAKNGAKSQDKEGRTIIGLWKTKSSAIEQRAKLEEDQEDENYVDLNDVQSVLSIGDVPLTKEHTLELPIDADLLMGVFDGGPLEAKAMSRVGCLDYAATPWQDARPGVLERHASYKFNRYMSIFGGEVVSTQLRLPSDDGDGWTVYDVITLRNVPFGDFFRVHLRHNIWSVEAASSEAAASSGSRCEILVGIEWVKRSKFQKRIARNICEKLAHRAKEVIPDAIGGLDHLEELRLASNALISLPDSIGLLLNLRILNVGSNRLRSLPDSISKCRSLIELDASYNGLAYLPTNIGYELVNLRKLWVHMNKLRSLPSSICEMRSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDLKDLPASFGDLLNLRELDLSNNQIHALPDNFGRLDKLEKLNLEQNPLSMPLMEIVNKGVDAVKEYMLQRWLDILLEEERKSIAAAESPQAPTTPSAWLARSVSWVSDVSGSLVGYLSGENKTEKDAYLDQQY >KN539106.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539106.1:79537:80505:1 gene:KN539106.1_FG018 transcript:KN539106.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFRELAAQGEALLALQVFEEIRKEHWYKPKLLLYVDIVTVLASKGLRSEVDKVCSYLKREQLEPDTEGFNLLLKALLDAEFTQLAMDCFRLMKLWDSDPDRITYRTLIKGLESLGEMDLSADVKLEAQNDYGDLDFLDEEEMIDTLEQKSIWRGSSLIAENRRARISS >KN539106.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539106.1:129267:132935:1 gene:KN539106.1_FG019 transcript:KN539106.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLSGGVNREGINYYNNLINELLSKEVQPFATLFHFDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWNFCSMGYASGIMAPGRCSSWEKGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEAALQKGRIGIILNSEWFVPLSQSKSSNDAARRVLDFMLGWFMDPLIRGDYPLSMRELVGNRLPEFSKEQSEMVKGAFDFIGLNYYASSYADNDPPSYGHNNSYNTDSHAKITGSRNGIPIGPQAASFWFYIYPEGLRELLLHIKENYGNPTIYITENGVDEVNNKTIRLKEALKDDIRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWAEGYTVRFGINFVDYDNGMKRYPKNSARWFKKFLRK >KN539106.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539106.1:36250:45769:-1 gene:KN539106.1_FG020 transcript:KN539106.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCGDLAAPPRLLVAPRPSDGNCQGNVLSLRHPRSDEETGYLFIDGQLHEFNWFKERFGSWFLGDYVCEDGSLYYCTVVDPIFILLPILKAARMSNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLVCEVKEVANVKFFRLDDSKVLSWLCCKFRKYSYESHIQVHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLLCKKLQLDIKDIIEANKTSEASFCAENSPVPFRPAEEKLGSSSTRSSKGRPAKKQKAEVSDCPSADVMDTHHSDQYAKSSLQLQFRDTSVSFENNNSVLDKHDITSPQVGMTFETADLAYRFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNTTENQWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMVKKTFSQSNYSIEAAGKAGKLRFAEGDVEALLERKYDYKVMYNSAEKEVWCICRSFQFKGILCSHALAVLRQELVMLIPYKYILDRWRKDYKCPEESKETPISPKAIKATGKGTKPENAREDKVDNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKDRRPGDSPVSTGKRSSKSSRPSNEDGGNGISDSTPATTTVATVAVATSTTMQATPTMVAIAPTSATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAVPPPAPAANVGDVASNSTRKREKRKGNK >AMDW01108117.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01108117.1:1719:2542:-1 gene:AMDW01108117.1_FG001 transcript:AMDW01108117.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMWSTWVVTIVFLVLISPWRIVLNVDMKIFAWHLCSRIYRSRIGIIIEASSWSTLSKIFSLRDALVLSPPVAYDGVHSVSFVKHDQERDEIKGRFLIRAKFKHNDSVPRKIVLHDPVGSSRWGESWTVSVFLLEGDFINMPPEEDLAPAGPQPNPDGVANDHGVGFDVQGPRDWDDLVQQQQAADEAVEDAWGQDHPMGQVVMEHP >KN542228.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542228.1:1992:2351:-1 gene:KN542228.1_FG001 transcript:KN542228.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEAGIWAGAAVTRCRRPGLEVDEGLPVAAVPASGAELGVPWAGKGSVLAVGAEGGGGAAVGGCVQKGGNGGGGYGNAGRGGTDAGWLRDCDITAADAGSWRKRAKASAILGSICK >AMDW01040068.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040068.1:207:1040:1 gene:AMDW01040068.1_FG001 transcript:AMDW01040068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding APLLRYGKYCGILYSGCPGERPCDALDACCMVHDHCVDTHNDDYLNTMCNENLLSCIDRVSGATFPGNRCNVGQTASVIRGVIETAVFAGKILHKRDDGQ >KN543946.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543946.1:333:2373:-1 gene:KN543946.1_FG001 transcript:KN543946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NGVCGLNLKSCSGFMLKTTQKVGCSSLRMRASVSSQQKQYSYKTTQVKSGEEVQIAVLGASGYTGAEIVRLLANHPQFHIKVMTADRKAGEQFGSVFPHLIMQDLPNLDAIKDADFSNVDAVFCCLPHGTTQELIKGLPKQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRNEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGDMERRRRIFTLR >AMDW01026991.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026991.1:67:319:1 gene:AMDW01026991.1_FG001 transcript:AMDW01026991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSTTMSCLLFFVLLCLGSHLVQAQVLFQGFNWESWKKPGG >KN543040.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543040.1:97:679:1 gene:KN543040.1_FG001 transcript:KN543040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding STVDAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDV >KN543040.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543040.1:10300:10947:-1 gene:KN543040.1_FG002 transcript:KN543040.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SKYALRSLKLNGKLNQLPPFVNLLSGLTELCISSAMLTQVHLSTLINLNRLLYLKLVAYKLENFEIKHGAFLSLRRLCFVVKSVTSALPTIKHGALPNLISLQLLCQGPVGLSGIEIRHMKHLKEITINSGVVVQWEQAAKNHPNRPKVLFLRKVDPMESDERGRPCAIREQMKITVAQPTSSGDGLNSSLNKIRLSEPSSSRLEMPVRHMVDGH >KN543040.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543040.1:1113:5672:-1 gene:KN543040.1_FG003 transcript:KN543040.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARQYGHRPNRIFTSSDCIAAAELLRLPKHRSEISSEKKAFDQQQSIRPASANCSGIDCFIIIHQSPKTKAAMNIATQGHAGKKDSLTSSEDGKWQVKNNLQLQPLVYRKKKANLMIRKGKAKTRLELDERYKEKVILKKIKVGTAGAKECGDYEEWFNYRVARAAPESCAEFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLGNYMSDRNFPFNLEGLMFGRAVRGLDDGSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQINSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNAELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLISQRGADKRGRLTAAAALRHPYFLLGGDQAAAVLSKLSLSK >KN540787.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540787.1:14887:18071:-1 gene:KN540787.1_FG001 transcript:KN540787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVEEPGINTFVQLPAYMREANRGLFEPRVLSIGPYHRDKQSTRDMEAHKEHILQGFLQRPGNANHAYYVQEVTARCFAQARRCYVGNVDGYTVEMLTRDGCFIVELLLRWSEGTAHADNYVWLMWNSVYYDLLLFDNQIPFFVLDRIFRVFVAHNANQACFNNNVQLLHLVRIFFNHRGQFSWANLNDLNLPNASQVRHLLDLQYKLVISNNLGIEAARRNGCLCRLFCCNIVCHRPSMPRGIGRKFYAAVPHHASRIPGANELQDYGVGFRAKRLNERVKLFDVTFRGKTMNIPRFEINFGSKILLANLFAYDQQIACQPAAAGNAAVVDQLPGNNGEQGRNNNNVGVVTSYVVLMNALVNSRDDVVVLQEEGVLDNMLSNEEEVASFFNNLGRCVLVDVTEHRYSRMFQDVNRYWRNGVFRKYCSIFCMRHCKTPLTCLSLLAAILLLIFSCTSMIFAILKYTRD >AMDW01021606.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021606.1:206:301:1 gene:AMDW01021606.1_FG001 transcript:AMDW01021606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKVHCYNQNGLCAIAFTDDHYPVRSAFSLLNT >KN541731.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541731.1:860:3840:1 gene:KN541731.1_FG001 transcript:KN541731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRRGAVAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFRESPSDESDEDGAYFQRDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGKISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQPVALFQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVFYTLNVILPMFSDCFYAKLGKAGVLIGTFLISQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFVQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEVGHFLLSSFSKKSSQEIPFGGGDDNEEKNPETAFSNKKSALAKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKLLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVLAVGKQVSLARRVMERNIKGHPLHDVPCV >KN541731.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541731.1:15584:16667:1 gene:KN541731.1_FG002 transcript:KN541731.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLISDDSMMKGGEVRAIESRLPPGFRFHPSDEELVGYYLRNKHQQQQTAATSMLVEVDLHACEPWDLPEVAKVGSDEWYFFSWRERKYATGWRRNRASKQGYWKATGKDKPIVLHQHPAVAGARKTLVFYSGRAPNGRKTAWVMHEFRLLHHHHHPNPNIQNMQQQQEGDDWVLCRVFRKGNNSNGQPLATSSPPAHHLVETESLISSPAPTIMSDHDRLFTIQLPHHQHCDDRQHFFLDDDEQHQQQLLDLSVLQAPTSFESEQAPGHGGMEINIAEMESFDTTCAALQDASDYCMQLY >KN541731.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541731.1:6786:9951:1 gene:KN541731.1_FG003 transcript:KN541731.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDEGVPSTAIREISLLKEMHHRNIVRLHDVIHSEKRIGLVFEYLDLDLKKFMDSCPEFAKNPTLIKSCIFSFHLKEFSTNVIQDFGAKMYVEPAVHLMLSIFGVWTVDSFCRSVPYHRRKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATTVPTLDPAGLNLLSKMLRYEPNKRITARQALEHEYFKDLEMER >KN541731.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541731.1:4218:4818:-1 gene:KN541731.1_FG004 transcript:KN541731.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGSAGGGVAASSGVAVTAPVTETEDDMAVAEEEEEVAAAASAETEEHVQRILLAIDAFTRQVSEMLEAGRALFKNLAADFEDRLCSIHKERVERWEEEIRELRARDAANEQARSLLHNAQLHLLATVRHDPLDHHT >AMDW01007927.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007927.1:53:178:-1 gene:AMDW01007927.1_FG001 transcript:AMDW01007927.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QKIVIKACMPCDGCRAKALGVAAKADGVISMAITGDDRDRLE >AMDW01011299.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011299.1:16:234:-1 gene:AMDW01011299.1_FG001 transcript:AMDW01011299.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HSHQIKAPTPTWLIVKATPPPRDGAKKLAAAAYSPLLLSPSVWQKAQDAKKGKADGGDDGALPASPRITCMGQ >KN542460.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542460.1:1:1101:1 gene:KN542460.1_FG001 transcript:KN542460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSEPSKEAASADDSSAAQKTGAWSNTVNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAGMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEAFMLFPLEMLLAGELNRMKGDSTKQSTTKPKAPKAEPKEENDEEQQKLLEMQQGHESSSTQKQTSS >KN542460.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542460.1:7978:10137:-1 gene:KN542460.1_FG002 transcript:KN542460.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSWRSNKTRRFCINFFGPARVLLLLTFISPVDSCTEQERHSLLRFLTGLSQDGGLAVSWQNSPDCCTWEGIICGEDGAVTELLLASRGLQGCISSSLSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELHELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLFAINASNNSFTGYIPSTFCISSSSFAMLDLSYNQFSGNIPHGIGKCCSLRMLKAGHNNIIGTLPDDLFSATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTYLVTINLSSNKFTGELANVNFSNLPNLKALDFSWNDFTGTIPESIYSCSNLTLLRLSANRLHGQLTKNIGNLKSIIFLSISYNNFTNITNTLHILKSLRNLSVLFMGSNFKNEAMPQDEKIDGFKNILGLGINDCALSGKVPNWFSKLRNLQVLVLYNNQLSGPIPTWINSLNFLKYVDISNNSLPGEIPAALMEMPMLKSDKVADYTDPRLFQFPVYVGSMCFQYRTITAFPKMLNLGNNKLTGAIPMEIGELKALVSLNLSFNNLNGEIPQLVTNLRNLMVLDLSYNHLTGAIPSALVSLHFLSEFNISYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLVHHCNLAEAAPTSPTSRKQYIDQVVFAIAFGVFFGVGVLYDQLIMSRYFG >KN542460.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542460.1:2024:4107:-1 gene:KN542460.1_FG003 transcript:KN542460.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIACLEQEKSSLLRFLAGLSHDNGIAMSWRNGMDCCAWEGITCSLEGRISPSLGELTSLSHLNLSYNSLTGGLPAELMSSGSIVVLDVSFNHLNGNLQELNSSASNQLLQVLNISSNQFTGAFPSTTWEKMRNLVAINASNNSFTGHIPSSFCIGSPSFAVIDIGYNQFSGSIPPGIGNCSALRMLKAGNNNISGALPDDLFHATSLEYLSFANNGLQGTINGSLIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHISSNNLSGELPASLGDCTNLVIINLGTNKFTGELANVNFSNLPNLKALDFSWNNFTGTIPESIYSCSNLTLLRLSANRLHGQLSKNIGKLKSITFLSISYNNFTNITNTLHILKSLRNLTVLFMGSNFKNEAMPQDEAIDGFENIQGLAIERCALYGKIPNWLSKLRNLQVLTLYSNQLSGPIPTWINSLNFLKYVDVSNNSLTGEIPEALMEMPMLKSDRVADNSEPRAFTFSFYAGACLCLQYHTTTALPEMLNLGNNKFTGVIPMEIGELKKLISLNLSFNNLNGEIPESISNLKNLMVLDLSYNHLIGAIPPAMVNLHFLSEFNVSYNNLKGPVPIGDQFSTFPSSSFAGNPQLCSPMLVHHCNSAEAAPTSTIFTKQYIDKVVFAIAFGVFFGVGVLYDQIIMYKYFG >KN539246.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539246.1:59677:65308:1 gene:KN539246.1_FG001 transcript:KN539246.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGISDPSILVQVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKESCDHRESSEGEVPAEVPQALIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAEVQRSEARFRTTSTPS >AMDW01035308.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035308.1:8:564:1 gene:AMDW01035308.1_FG001 transcript:AMDW01035308.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRA >KN539246.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539246.1:94361:94693:1 gene:KN539246.1_FG002 transcript:KN539246.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESMRCACCGLEEDCTQEYIENVKANFGGKWLCGLCSEAVGDELSKDRREQDDIEDAIKAHMAFCRMALSSPAVKVADGMKEMLRKRSKDKVKPEKPSKAHPSLLGDN >KN539246.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539246.1:38113:39751:1 gene:KN539246.1_FG003 transcript:KN539246.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAQVEGSVIAIHSLDEWTIQIEEANSAKKLVVIDFTASWCGPCRIIAPVFADLAKKHTNAVFLKVDVDELKPIAEQFSVEAMPTFLFMKEGDVKDRVVGAMKDELASKLELHMAM >KN539246.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539246.1:69884:72637:1 gene:KN539246.1_FG004 transcript:KN539246.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVGVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHADMRRQW >KN539246.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539246.1:79812:82028:-1 gene:KN539246.1_FG005 transcript:KN539246.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPAEGGGERGWERVQALRLQEVEMLEAFSVSYDSGKVYRHFSEKRGEEMEMFGTMRFSQLCASILSNIEAFAYAAIAHALLVEEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNEGIMAEESKRFLYTGADLDHSEDEQDFIVERSPRLQSPISKESSFQQTPPHIRATNRDAHMFPQAISQWQPRSWHCSKRQSNDRVIDDSGEYKNSNHEWQLAKPEDSYSISRCVQILNGMAELSQVEKSVAPDVFLQAGNREIFISLNGDVRALWLKRKIQNLT >KN539246.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539246.1:95679:101626:-1 gene:KN539246.1_FG006 transcript:KN539246.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKYLETARAERSVWLMKCPPVVSHAWQGAVSSSSDAAGSNPNPVVAKFKMEMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKVEHKFDMKPHSDNLVNYGKLCRERTQKSMIKTRKVQVIEDHRMSLIPLPGMVGLIPSGSKEKKKQTPTKPSDAKRIRRDRRELENIIFKLFERQPNWALKALVQETDQPESFLKRVVIRYYDPRMPLQLSRADQLLRQERISRTVMELLCTVETNLGCLISHIYHEFSQHWITEGLEKGLRTAKKTNWWAVFHGCFKISTQFLLNFYRKSQAWSSTVSFSDIDEKSEMGGDDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVVGDADLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRVYNDSINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELREGLF >KN539246.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539246.1:29582:36855:1 gene:KN539246.1_FG007 transcript:KN539246.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEADYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDMLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGESWGMKGYMYMHRNTGNSNGVCGINQMPSFPTKSSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDSAVCCKDNRYCCPHDYPVCDTASQRCFKANNGNFSVMEGGSRKQPFSKVPSLGGLLELLDQSLEPFMRLPACDSGWFPGVVCSGLAINCQSIKSSDGKSPIVVGPWGGTGGYPWDDGVYSTIRQVIITHGAAIDSIRIEYDLKGSSVWSETHGSTDGGSETDKVKLDFPDEILVSVSGYYGSVCGTPVIIRSLTFQSNRSIYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVNVSDRSNSPVLPQSRSITSAYNRNGYSFPEGASGYDMVLAVRDRGDSYAVYTSNYPNQQYTNPSPDYNDGIRWNKVPQTSPSLQMVSFPSGYGDRGGASLSSHETYGPWGGSGGNMFDDGMYTGVWQINLTRAVGITSIKVLYDRNGQAVWGNKHGFSGAVSPDKIVFDFPSEILTHITGYYGTTMIMGPTVVRSLTFHTNKRRYGPYGDECGTYFSTSFTDGRIVGFHGREGWYIDGIGVHVQEGNLAPPRVSSRSTIEMNPSLRYDMLAQSRSETYNEVPYSMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQVYVMRGTFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPHEVLTCVYGYYNTNREEGPKVLRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRSAPKYVLSKYLF >KN539246.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539246.1:116526:121875:1 gene:KN539246.1_FG008 transcript:KN539246.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 25 [Source:Projected from Arabidopsis thaliana (AT3G14400) UniProtKB/Swiss-Prot;Acc:Q9FPS2] MAPAVQPPPSPPPPRRPRSGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLSFRHSNLCKKVLPNKDKECVFCVVERQISRLLRTEAGALDSPAKIIRCLPLFAEHFRWGRQEDAHEFLRYIIDACHTAGLRIRKRLPASNANGDAGEEEVRVQGPCMVMKETFGGALLSQVKCLTCKGESNKTDEIMDISLDLPGSNSVADALARFFQPEILEGSNKYSCERCKKLTSARKQLFVLRAPKVLVIQLKRFEGINGGKINRNIEFKETLFLSDFMYNKNQDALPVYNLFGSIVHSGFSPDSGHYYAYVKDAIGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPSTNGYSSSAAKSSNSNGNGISNATSNEPLKIPLVKQNGLCSSKGIAPPPLKNGKIAPGMHLKPIHLKNNGTGKVSSNGKASIIPGNKLEVSEGLTLLAVNGRDSGKYAEPGKMNANGSVSCNKTDVNSQRVLHNTNGNGNPIHFSDLQETTDAKATCAEQYSEKSSIASLEDSKNPVSCHEVSAVIVKDVVSSGKDSSSLKHRLEEGKFKEMLAESASSELHLSGWVDDVRNFMHTIKRRRLQNTGTPQDSDTMRKELISESGRIFRSKIPESLREHLIQALRSYYQDKFSLGG >KN539246.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539246.1:106310:109924:-1 gene:KN539246.1_FG009 transcript:KN539246.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRNSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQKCSSDIAQETYLILLFTLILTCSGLSNQHTMTPEIAVHGFPADNFTRSGSRGINLSRLSLGVELNEPSTSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNFHDNMIILKQESGYADVNDNSFLKVNLQMEQGLPLVPKSLTFNRVKCAVSKGMKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLIANCEYTVPLAKHLEGSIFMDCGSDLGSACHVPGNPALRQGKPGFGVGFGYGIHFNTDLGQIRVDYAMNAFSRKTIYFGINSGGGS >KN539246.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539246.1:73440:79020:1 gene:KN539246.1_FG010 transcript:KN539246.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGGEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDNRPFDSRGTTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKVSANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGMFIVTKVTSGTMLPVPELKNMVVEGDLVYCKEPPPGEATSVDTSEPCDDQINSSDVDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNRIANIYHELAKKDGISLTENAHGVKELMTYTDDTASLAETDLDVLSRNKSTEAKEVDETISSAISSAQSHDSKVAGPLDSSMPGSETGLVEEKSVGSSDMLAMKLAIKLAFTLPASSYATMAIRELLKTSTSNCIFSSPNDSALRYHAARPAPVRCILNC >KN539246.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539246.1:40744:43521:1 gene:KN539246.1_FG011 transcript:KN539246.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARGFVERLSTVEGRLLRLEVVVLVSAVILAALVLYGATRRRSSDKLLRGVMWMAYSLSYVVVSYAVGLIQDGPFRGETFVLWAAALLLIQASAYAAPVHSRREFSQRKKLLLQHVLQTALVLWLIANATGRNASYRAAIWAFWCLNVLKTVAKIVEMIKASIPDQSVKLIADYMDVEESLAGAGDGEPPDPTTMKGYRYIFHGEDTMVPATRDDMVRQSDGKSVVTIDRVYRWIDDEPGYSGVEKDMARDFCLAFALFKLLKRRFYGFVPAEAGSPRARDLAELAFLYDEFYTRNVVLVGARTYVCIAAAVAGITMWTAFFGTLGPGYHRLRIGVRGLDPLRHGADRRHHRRPRALPGGGRLLQQLEPWTNRRRGHLWWKESITPPATRYWDDKVGQYVLLKRFGHRPWNILSWLTLYLVEPRRQGQKRGRRKRLPQEVRRAVLVSLKASYGHLTNGVSTLRRHGLTPQLEWACAFPKLTDQILMLPDPSYNAEQMFDTAVQQARDHLGGCRTESAVLQRLQEIEDEERRGATIQKLEMQRQAKQKANKKVK >KN539246.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539246.1:21371:21790:1 gene:KN539246.1_FG012 transcript:KN539246.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MLFISSINLLPKGLGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >KN539246.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539246.1:85824:88741:-1 gene:KN539246.1_FG013 transcript:KN539246.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIGTGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPEFMQRLIEGTFTLRVFYELFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGGVNPLQSIMKQMGLKT >KN539246.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539246.1:126099:126740:1 gene:KN539246.1_FG014 transcript:KN539246.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVLLKWKADFGSTLGSCVILGASSAGKDGCAGAAPAVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHTTLESLDLTDADGQGVLTMDKWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPTEEATRDTVGSGIAGSAGGCWVSDAFEEPYRTAVGMLLKRRTYSLEMNSF >KN539246.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539246.1:104381:105015:1 gene:KN539246.1_FG015 transcript:KN539246.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRMVIVGEEHCGGGEDRELTVRKTTLFCPGDGLEAYDHGTGTLAFRVETYGRGGEEVVVAEVRRKVDEDAHVVMGRDVFVLWVRAGFDAAFAMGIVLVLDRITGDEIDGDLGEDLAVASSPV >KN539246.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539246.1:46137:56677:1 gene:KN539246.1_FG016 transcript:KN539246.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKCFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKVKDKERDEKFEAKILERLHDETAIDDSDGLSSKQTEEVTTKVETLEEGASDRQGDLDSSEMVHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSKGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNIEGRKLLQAHFEAMEAKANGSSPSSSPLEETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRASANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTTSNSEAQEETTAVRVASPSVSDVTVAASRPSSAPVFPAPRSTVPATQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSATTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGSQLPRQFQAETHADSLLQQPQGAVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASASSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTTNLASELNGASLYHRRYANGRW >KN539483.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539483.1:45460:47398:-1 gene:KN539483.1_FG001 transcript:KN539483.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTTAAAVRRLCSAGDVRSALAMLARGTKSGDAALDVTACTALVNGCCKGGDVAKARRVFDEMPLLGFAPNEVTYTALMHGYFTHGQREKGFALFEDMRRGGVEPNLYTYNCLIGEWCRTGDFERARSLFDEMPVRGIVRNVVSYNTLIAGLCRHGKLWDAAKLLDMMCREGIRPSIITFNLLVDGYGKAGKMSNALHFFNKMKAAGFQPSAVTYNMLIAGFCRARDMTRANRALSDMKERGLEPTKVTYTILIDSFARENHMDKAFEILAGMEKAGLEVDAHTYGVLVRALCMEGNMKDARKLFQSMGEKGVEPSNVIYDMMIYGYGREGSSYKALKLIMEMRQKGLIPNSASYGLTIRVLCKDDKCQEAEALLDDMETVRSFAGHHQNFIASKSDSQQKDEDKCTEHFLQNKVGQALESDSIRDKGLEIVSVHDKGLEVNSAPEPTDQVEAAVLHKPKVNKNYL >KN541318.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541318.1:14577:16761:1 gene:KN541318.1_FG001 transcript:KN541318.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCNATAALPPDCDLRQLARIEALVLLCSVVLALLVFLGSSRRYSGSAMVRFILWGAFAVSYPLAAYTIGLMQSTPMHHELFLVWSCFFLFVLASSDTITAYSLADVKSPGIILLNRGLQVIYVTVLLQYYSNVLSAKLKLFVFGVWLVSLGKIALSALSYRQALQSDGLQRDNQLIADYMINQTESSHGGAEDPNTNTNTNPDPMVGYMYIIRGEETDNTVTEAPDYIKKIKKEDHNDKLVTVERVWQCKGWLLKSSDRRRDLCLSFALFKLLRRRCGNFPLAESGLAKTRNLVLNRLLEQGSTRAFQVIEVELGFLYDLFYTRYPFVCHAVTTTLPHLAMCAIMVTVGVLTLLSPALRHYHPTHHRSIMLYDINLDVVLTMAIIVLVIVLEAYQFVAVLFSDWQKVKVLCSGKYWTRKMRQYSIIRHAILGHPVKDWLSGVTRGWLDNLMFNSGKTRSAKLSCDLQNALASALKKSGGVLSDGCASLKGHKFEQMLSLGKACKHATCAHTILIWHIATYICDVKTRARASRAAGGGGDQQRRHREIAMSLSGYCAYLVSSAPELLPDHQYTTQTIAEAVLLDLRRCLHGCTSNEAVVLKLQDTARLAIRTPSTSAPDSIHVLGVRLAEDLMKIGEAKRWEVLADFWAELMLFVTPADNAMAHVEHLTMGGELITHLWALLTHAGIVQRPSHATQSQSV >KN539483.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539483.1:94875:97972:1 gene:KN539483.1_FG002 transcript:KN539483.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPAKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALEKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGTFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMEVQGTWKKKGCSWMELNGSVHQFAVGGFLEISADLSNFEQKIEFSLYKVRLRKQQQLRYQQLLEMCNRNLIGEQTQAASMVNPKPFPLWDVCSSQMYCQDAEPSMTALQLSPQLHE >KN539483.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539483.1:14046:24222:1 gene:KN539483.1_FG003 transcript:KN539483.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGNDNQVVQGNEIVPSNEEAQAEEVQGDELVPAEDLTQGDEVQGNELLRQRRHLRAAGSSGRSRTRRPSLSPASPAWRWTMDKSFGFSKHFAAKYKFGYTCAATCRRASSRATTISNIQYRKLDFEEISAAAISVYQMEGKRVMTKINLWLSGEAAWNRFVLEASVQMSLGNRKKVVVHGLIILGVCIVTAAFDICRSVNFVIGLKLRNEVVFGFHQLVFLRMCEPSGSDDAMVHASEMVDGDEMIHGNEMVVHDSVMIDGNEMVQENVMVHGSGEMVQGSEMVHNNEIIQVNDMIQVNEMVNGDKMAHGHELDWLQYPPATTEAPSTALVKMEN >KN539483.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539483.1:50583:50954:1 gene:KN539483.1_FG004 transcript:KN539483.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMVERSPEKPGVLVITYIAEHCHAVPTQLNSLAGTTRNNKPASPDQQQQQQPSPGGASTDEAAAAAAKTEDSADTCSMADEENDLWAPVEMDMDDFFGPFDDDLDHFLDDDAVLGRRLSL >KN539483.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539483.1:61744:63555:1 gene:KN539483.1_FG005 transcript:KN539483.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMPSCFSRRESSRRDGAAAAELDADRLVAADADRLFRRRRSPLASPAERGAVLLNRLEILVRYRRMTSLDGGALLGSATSSPSSSAERSLLGTEAQRPEAAAGAAAFSAEVMRTLEAGLGAGLRRGGGKEERVVGGRGGAAAVEGDDEVEGPNPNTCSRKQKLHKNQENDGGNGLVGRESEQSHGRLANANANAAQLYQLQHHHFTTPPTLCLCCSAGISVNRVKAGVELQCRGARRR >KN539483.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539483.1:4057:5952:-1 gene:KN539483.1_FG006 transcript:KN539483.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGGGGGHGLYEDHPAAGFLPFDHDDDVVASFFFGRSAASGGGAGAGAGAGDDDGVGLITPYSSITDYLQGFLQDPVMNKTKNKKGAKKEREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAPRCGVKKRVERSEQDPSMVITTYEGQHTHPSPVSYHMHRQQGLMHVSARAVMPGAAAAYQFGAPPPPLLGFDEALAARVRMTMNQQQQQQQLGFVPSMHAAAAAARPTMPPLHLYTAQQDLLPSVTGSHHGY >KN539483.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539483.1:101554:102983:1 gene:KN539483.1_FG007 transcript:KN539483.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQEPAADIETADSKISEEEADGGEVPTADRYFAALEGPELDTLRTNEDVGVQATEVPVLPEDERWPFLLRFPISAFGMCLGVSSQAMLWKTLASEPSTAFLHISLDVNHVLWWVSVALMALVSAIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRQVWTIHHVVWFLLMAPILLLDLKIYGQWMSGGERRLSKVANPSNHLAIVGNFVGALLGARMGLREGPIFFLAVGLVHYIVLFVTLYQRLPTNVQLPKELHPVFFLFIAAPSVASMAWARLTGEFDFGARIAYFVALFLYMSLAVRVNMFRGFRFSLAWWAYTFPMTSAAIATVLYASEVTNVATRAMAVGLSGIATVTVTGVLVTTMYHAFVRRDLFPNDVSIAITRRKPKFSKILAHLRSSGTDVKELVFSVSSKNAADDSASVSKASNCSSGDQSPVPHAGVGRGR >KN541318.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541318.1:22194:22562:-1 gene:KN541318.1_FG002 transcript:KN541318.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKFPTQGCHKEGDRAEAKAWHQEQESEEPRNAPCPADEDGGEVHLEEGAGADQQQHDGDEGVEVEERRLHPPPPPSGEKSRRNKMGGRYQIPPAGQEKEKQRSRTGGGMSGGITIGSGED >KN539483.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539483.1:53370:53940:-1 gene:KN539483.1_FG008 transcript:KN539483.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMDKAKGFVAEKIAHIPKPEASLDSLSFKGMSRECITVHSNVNVSNPYDHRLPICELTYTLKCAGNVVASGTMPDPGWIAASDTTKLEIPAKIPYDFLISLVKDVGRDWDIDYQLDVGLTIDLPIVGNFTIPLSTTGEMKLPTLKDMF >KN539483.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539483.1:29033:38730:1 gene:KN539483.1_FG009 transcript:KN539483.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGPGRAHTVRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWINLPFATALIVLFRYISLDYDFRRKSTTTTDNDASCALTKTKSIEPNKIPSIQKDGKSDWKSKVNSPPVEAAIEQFTRHLVTEWVTDLWYSRVTPDKEGPEELINIVNTVLGEISVRARNVNLITLLTRDLIDLICKNLELYHLCQAKIGKEKFVNLSTERRDAELKLTLITENKLHPALFSASAEHKILQSLADGLISVTAKPQDLQCYFFRCTARELLACAVMRPVVNLANPRFINERIELLALSHANKAERGVAESSEHATMVKQREPPMPTVDELAALIDPTSSGVELVRFSQDQSKAAPDTQLSNTRHPSNLKPNSSSTSLTNSSHPLESSILSSTTHGHSNSSMSLHSQSSGRTTAECYEGEWAQTMDISSQRKNQALAPEHLENMWTKGKNYKSENAKHVARVPSKSSSLGTSPVQQSAPYSTSVGHYPSAPQRQTTMSRSDDQHLIKHSTTAAYLNGTNHPRMALSRESADHASQEDFGVDSESSYATEEDENNNVTGLDSPVTRVWDSKSKGNATSSHIHHPLESPGFHKAKKNRSHIGKLKMTKTSGRKRSRSNSQKPPVWQEVERSSLLVGDDLDILNTSADDSRTDGLYDDTEVESMSRMFSGANASSLSLASTDSSYSSNYSTTNVLEDSYLKLKCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYSQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYIFTDTLSVIQTLSVNLDERSKEKNTKSFNSSQALNGNLVSVSQSLRVHKDDTMPKEKDKDFDAVDGLRSRKRNTEQNLGIGVGNTNANLHEDLSGSDSEQNEHSFIINSGNSKKTLSSETDYPPQSLESDGYSVAPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVVKQILQLGMGDTFDDWLVEKIQLLRKGRIVAFAVKRVEQILWPDGIFLTKHPKRKAVTPPPGSQSNGMANYLTDEQRLEDAHRANFVHELMIEKAPSALVSLVGRKEYERCAQDVYFFLQSPVCLKQLAFELLELLVLAAFPELDDIVRKWHEDKHQLLRLGNWDGRDPAQGRERNTGRPTRCITCTSLHSVEENRGRARAVRGCYSIRGRSSGTNAATRIIQIIQPGWMEIGPSTKHLGFLVLPAATCVSCSGKQQNDGGVDDGVTQSGW >KN539483.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539483.1:490:1818:1 gene:KN539483.1_FG010 transcript:KN539483.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLVSLLLVGFVSARAITPSAEAAAGGPGCSGLAGNFFELGPYFVNRDALSLSPNPFSWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQSVVAAHLFAALQSFFALQPGSRSRPFFLTGESYAGKYIPATGSYILAVNPTLPTRLRVNLHGVAIGNGLTHPVAQVATHADTAYFMGLINAKQKRELEALQARAVELTNAARWSEAADARGLVLSWLENATGLATLFDAAKQRPYETGPVGKFVNRAEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLMPADNGRAAQEMIEDWVLQAGLFGRHGGMKRVA >KN541349.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541349.1:16246:18951:1 gene:KN541349.1_FG001 transcript:KN541349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGRNNAGNPQPQANCPIGKVHGRVRNVDPFAYMPMVVSLGPYHAGHDDLQHKEREKPLCMQDICTLSIKNMAVLDFLQEVIFPLREQALMYYLHGINDLRRGRNDVDDDIKLNFRFNLMLLHDAAFLLVAMNALPRRNPNQVGDDEQRRTSSHGLWTDVAIVHDLLLFENQVPLVVVERLYQVATDRTDDFSQIVESFVWKTLLKHPNSPIPDQKVRTTAHHLLHQCHMLLRPTGYEVVVEIGGASSAADENAGVKRRRWHRAMQYHVAGVGLTSKGNIDGGVTHHRLLDVEYSGGALEIPVLHVDDNTCSMLRNLIAMEQASTGVGHYVTAYCVFFSRLMCTAQDVTLLTKKGIVVHQLANDETVAAEFANLCKNVVFNEDGRCNYLREACAAADERYQSRVRNWMTWLKHKHFRNPCLAIGAVAAVLVTICTVVQAVFAVFPRN >KN539483.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539483.1:67594:72932:-1 gene:KN539483.1_FG011 transcript:KN539483.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASASERTKEVLISAAFVHLKQADLSKHIRNLCAASRAILLSGPTEPYLQSLARALSHYYKAQLLILDVTDFSLRIQSKYGSSSKGLAQSQSISETTFGRMSDLIGSFTIFPKSAEPRESLQRQTSGADVRSRVSEASSNAPPLRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKVLIQSLYKVMVSVAENNPVILYIRDVDQLLHRSQRTYSLFQKMLAKLTGQVLILGSRLLDSDSDHTDVDERVSSLFPFHVDIKPPEEETHLDSWKTQMEEDTKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHMIHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDLKGATGPKKSETEKSATVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVAEDIDYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKAATAENSENSESKKEKENSENPEKAKAEGGTEVTIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >AMDW01039278.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039278.1:63:558:1 gene:AMDW01039278.1_FG001 transcript:AMDW01039278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DTRLLDYHLNEIILQTPRACVKSFRHFSAGETGAARCQPDTAAGCDFFSLQICPLQKTSPVHASAIIFLLVLRNRSTAYEYFVLGYALLGVHNPLHILSSPLTPAHADESGTCLLDSRNDQGIP >KN539483.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539483.1:42558:42710:1 gene:KN539483.1_FG012 transcript:KN539483.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMVVERRICGADAMGDLLMSYLSLNSRHHHPAILAAFEDVWEAVFATP >KN541349.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541349.1:28382:29146:1 gene:KN541349.1_FG002 transcript:KN541349.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSPTVLMYRAPSVCMLFYYAPDEISHDVGEGLAVFVVVLANGGDLIIELLNDGEWDLVLKQEKVVDDGHVRPPTVRPPFSMGGGGLVDVVQCFHGDEQERGVMQKRTIEPEIQQYDIVALVSTTSCCCWFQVMDPVQVVRQRLLPKMSRDHLLQEVQLRSAGEAANLAQAHRLLPLHLQEVVAAGVVGAEGNHHWIVRARVDAADTAMDLADQRAHLGVAAIGRSSSRRLVAIDHHHDMGVALAVAATFNFK >KN540297.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540297.1:476:1554:1 gene:KN540297.1_FG001 transcript:KN540297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESMDWGRVREQVAQIVRSLLHSTPELVGFVDLPGGMVKPHNVLPIGFGFRSKRHVRQQSHPQASTIAKLYLEMKRCFTVNSAPVTTGNHTYFYTEGLSDKARSWMADILDLSLRAGCKRSEHHQGYPLQSSEKKGKLTIALST >AMDW01027541.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027541.1:43:318:-1 gene:AMDW01027541.1_FG001 transcript:AMDW01027541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAAAQDMPLLLLEAEQNIVDADQEAQHQEEEELHM >KN540297.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540297.1:39561:40202:1 gene:KN540297.1_FG002 transcript:KN540297.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWLDEQPERSVIYVALGSEAPLTVGHVRELALGLELAGVRFLWALRAPPLASSVNRDKCFADADAADLLLPDGFRSRVAAARGGLVCARWVPQLRILAHRATGGFLTHCGWSSIFESLRFALPLVMLPLFADQGLGVQALPAREIGVEVACDDDGSFRKDAIAAAVRQVMVEEKGKALSRKAEELRDVLGDEGRQEMYLDELVGYLQRYK >KN540297.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540297.1:11114:27842:1 gene:KN540297.1_FG003 transcript:KN540297.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPSLSLSSSATLSIDPVCVFYLVLRALDTVEDDTSIPTEIKVPILQEFHRHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELGSGYQEAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPESLSNSMGLFLQKVNITRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYENNSVKAVKCLNEMVTNGLIHTEDCLRYMSALKDITILRFCAIPQSSLLSSTETATYFICKQREFIFQHSCTLIGLTARIINETNSMADVYTAFYEFSSLLAEKIDDNDPNASLTWKRVNAIKETCKSSGLLERRGYDLDRPKRNPAMVTFFVYNHPPISDLPPSSFTVYPVTRDMYSDKYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSFDIPLVNLETSLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTGPASALSGPVPTVDASYEKLLSSIPEFAGFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEADEFSEVATKSLRSLPYDSPGQTFVAFEKLEGVLATGKFSNILKFIVKEVDPSTGEADDDGVEDEYQLEDLEITSADYMLKVGVSNFRNAWESMDPESERVDEYGLGARESLAEAVSAVIGILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGLSGPKEVAMKLAVRSDDPEISDKIHEIVANG >KN540297.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540297.1:7773:8609:1 gene:KN540297.1_FG004 transcript:KN540297.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVGGAEGAPCVEQSGRLAVAKRWGRADEVGGGGWRVDDDGRDWGRADDTQRESWPTALVALTMATDGPSSHGAICTGYP >KN540297.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540297.1:53611:55614:1 gene:KN540297.1_FG005 transcript:KN540297.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDSTPAAAAAASPSSSPLHIVVFPWLAFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLGATPPAPLSSSSLLRVIPYATFFIVPAALVAILGPRRENLTHPRTTAEDYMVQPPWIPFPSNIAYRRRHEAEWMVAAFRANASGVSDMERFWESEQHTNCRLIIYRSCPEVEPRLFPLLTELFAKPSVPAGLLMFPDTINNDDDTSEQSFVPPAIEWLDKQSEKSVIYVALGSEAPLTEDHVRELALGLELANVRFLWALRPPRGDGGSNDGGAAEILPDGFESRVAARGIVCTRWVPQLRVLAHRAVGGFLTHCGWGSTIESFQFGHPLVMLPFIVDQGLIAEAMAARGIGVEVARNDDGLFHRDDVAAAVRRVMVEEEGKTLSCKAKELHDALGDKGRQEMYLDELVSYLQRYK >KN538745.1_FGP069 pep scaffold:O_longistaminata_v1.0:KN538745.1:242117:242392:-1 gene:KN538745.1_FG069 transcript:KN538745.1_FGT069 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTGGKEEAEKLCRRCKATYAPSGNTRLSCRFHPSYFVCRRHDDQKRYYQLRDGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDADA >KN538745.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538745.1:291526:292986:-1 gene:KN538745.1_FG072 transcript:KN538745.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 56 [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/Swiss-Prot;Acc:O49660] MTPPPPAPAPPHERKTWAESVATEFRAQRGIALPLIAMNLTWFAKLAVTTAFLGRLGDLQLAAGTLGFSFANVTGFAVLTGLCAAMDPICGQAHGASNGKLLRKTLVMATILLLAASIPIAFLWVHVDAVLLRFGQQADITTNARSYVVCLLPDLAVTSFLSPLKSYLSAQGVTLPTLFASALALALHVPLTMWMARTRGIQGVATAVWVSDLAVAVMLAGYVLVSERRRKAGGGGGWVEQTRGEWVRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRTVAVMAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGEAWAARRAGMVSIVGGAATLGIQGLLLGFLVGAAASLAVLLTFIARMDWPTEAQKARTRTTATVAQFHQHDEVVQP >KN538745.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538745.1:300479:300952:-1 gene:KN538745.1_FG074 transcript:KN538745.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKQQMMMNKLGLLVVVAVAMAPRSLMAAAPSGRGAPMVPAVIVFGDSIVDPGNNNNLKTQIKANHAPYGMDFANSEPTGRYSNGLIPTDFIAQTLPRAME >KN538745.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538745.1:282331:283759:-1 gene:KN538745.1_FG075 transcript:KN538745.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPASCVCSGSLPSPPPRPSPSNNLRIARSGTKPRYLGVQKSPPSLALCPATNNCVSTCEDITDSIHYAPPWNYNPKDGRRAKPITKHEAMNQLIQVVTQTKPDNFTPRLVEKTDDYVRVEYESPIFGFVDDVEFWFPPGNKSIVQYRSASRSGFIDFNANKKRVKARTHAPNIDHFYYSPEVGTGKQRLGFRKHHLNLTSRKPTPLDWNNIQTDRPIGWYRHSSFLALLIAHFSYP >KN538745.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538745.1:253204:265135:-1 gene:KN538745.1_FG076 transcript:KN538745.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLQHRRRSWLLSSASLPPARLLVFFAIVVFFLSVSSYVDYKSIERRAVIGLRVFAAPLAAVTIFLLFLVLQHRRRYWTLRRQHHHHHHAYAPEAEAAGSGSPCFSIDVIESMALAASLRNAADQILRDLQNNPDMWLQVVHILQNSHNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTITAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIGDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPILADYARNVPDARESEVLSLFATIINKYKGEMLDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVLSCASVAIIDLTTFPEQVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >KN538745.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538745.1:230283:235798:-1 gene:KN538745.1_FG079 transcript:KN538745.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLVLLLLLPAAAASSLPPSNKVVFILGGQSNMAGRGGVVGSHWDGMVPPECAPNPSILRLSPQLRWEEAYEPLHNGIDSNRTCGVGPGMSFANALLRSSPGQFPVIGLVPCAVGGTRMADWAKGTDLYSDLVRRSRVALETGGRIGAVLWYQGESDTVRWADANEYARRMAMLVRNLRADLAMPHLLLIQVGLASGLGQYTEVVREAQKGIKLRNVRFVDAKGLPLEDGHLHLSTQAQVQLGHMLAQAYLNYVATSTRGRRLLRLGATDQQQQQQQGKQDGDEVVDSNVLQYCSIDGKGKKGEKRSLGEMEQEFLQALQAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDQLKLRLKKDGSDIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATTLALGLFFFIDELTGFEINIFQSITNAIVNDFLILKGPCPNCGAENLSFFGTILSVSSGGETNKVKCADCSTEMVYDSKSRLITLPEPSQ >KN538745.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538745.1:248929:249666:1 gene:KN538745.1_FG080 transcript:KN538745.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRELQPESSPANNDSKKKKKKKKTASRFRRVCVFCGSSPGKKASYQVAAVQLGQQLVERGIDLVYGGGSVGLMGLVSRAVHGGGGHVVGVVPNGVLPRELIGETLGEVRAVGSMHQRKAEMARESDAFVALPGGYGTLEELLEVITWAQLRIHHKPVGLLNVDGYYDSLLAFIDKAVHEGFVSPDARRIIVAAPTASDLLCKLEEYVPPPHDATALKLTWEMSTVSEQHAGSIYSPKPDMAR >KN538745.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538745.1:316086:316883:-1 gene:KN538745.1_FG081 transcript:KN538745.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLGHFLSSIPFLFLVTISSSLVFYFLIGLRNEFNLFMYFVVTMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLVGGYFRIRGGLPCTVWKYPLSYVSFHVYAVEGLLENEYVGTSFAVGAIRTIPGVQAVGGSYDISSSANAKWETHEVAWQLVLLDLDLDTAK >KN538745.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538745.1:267881:273021:1 gene:KN538745.1_FG082 transcript:KN538745.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MERETEGHEEERGGLWDVARGDEAREQREHLVEIQGRDGAGGMQGGGGWSLCGGGAPFAVHNCAANRVHSPCDKVVPTVHHHCWSSPLYVSRTKDAAKKHHHLDVNTLRGHTDSVTALHFSDDACNLATVCADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAIAFSQGASSVVVAAQALLGSSLYMYADVGAPPAGGNKQQGKLSPPEIKWEHRKIHGKESVLNLAAARATYGTGDGSTILISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTCLCFALNSEQIVTASKDGTIRVWNINVRYHLDEDPKTLRVLPIPLHDSKGSVCQYDHMSVSPDGKVLATTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGTPAFVLATAGVDKKVKLWLAPKAIST >KN538745.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538745.1:278354:279799:-1 gene:KN538745.1_FG083 transcript:KN538745.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESWLTKVRSAIISSKPKPKHIPSSSSWRSKTVGILALEVATLMSKLVHLWRSLADAALARLRHHLINLDGLRKLVSHHDAALLALACAELTDALRVAAHSVAALATRCADPFLRDFADAFADFADTGRDPHRWVSTWKDMDTRAHKMDKQVAATAALRTAMEDLADAEHGLRKLLQTSSSHRRSLSATNISLAAEQQQLIFAKKQEVKHLKQTSLWSSTFDAVVSSLARAAFTILARIKLVFGAAHDQRPTTPLHRSLTLSSAVHPSSVDVQVLHPPVSRKSMSMDMGMGEALLERQRQSGLLERSAAALVPPPGTLGAAALAPRYAWVIISIERMARSPRLVGAEERDELYGMLTASAERSMEQQRKTMRTREMESQTLVVQTLQMAERGKVEAAVAELLVGLNYLCRFHKEITTCRTRTCDDDDAP >KN538745.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538745.1:217919:219041:1 gene:KN538745.1_FG084 transcript:KN538745.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQQHQQQEQEQVVFDAAVLSGQTEIPSQFIWPAEESPGSVAAEELEVALIDVGAGAERSEVVRQVGEACERHGFFLVVNHGIEAALLEEAHRCMDAFFTLPLGEKQRAQRRAGESCGYASSFTGRFASKLPWKETLSFRYSSAGDEEGEEGVGEYLVRKLGAEHGRRRGEVYSRYCHEMSRLSLELMEVLGESLGIAGDRRHYFRRFFQRNDSIMRLNYYPACQRPLDTLGTGPHCDPTSLTILHQDHVGGLEVYRSCLHRAVVNSRAPRRSLAFFLCPEMDTVVRPPEELVDDHHPRVYPDFTWRALLDFTQRHYRADMRTLQAFSDWLNHHRHLQPTIYSS >KN538745.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538745.1:238528:239305:1 gene:KN538745.1_FG086 transcript:KN538745.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLQLQVLALLLLLAATATATPTLIFLLGGQSNMGGRGGATNGPWDGVVPPECAPSPRILRLSPELRWEEAREPLHAGIDVHNVLGVGPGMSFAHALFRAIPPSTVIGLVPCAQGGTPIANWTRGTELYERMGEADTIRREDAEVYAGKMEGMVRDVRRELALPELLVIQVGIATGQGKFVEPVREAQKAVRLPFLKYVDAKGLPIANDYTHLTTPAQVKLGKLLAKAYLSTL >KN538745.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538745.1:307981:313531:-1 gene:KN538745.1_FG087 transcript:KN538745.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASASASASADPPRRSPWRHPSSSNGGTPNPNGDAVMDTTSWPALSEAARNPPKPPPSIDSPSEMDGYIQGQGGKQSSSRHKPARRGGGGADHSPSPRDDRANSWDHAHARHHHNHNNNSGGRRGSFGGRRRGGGGFDALYRAPIGPYVRGATAPPPPPPMAVAPPPFLPPPLRPFAAPLLFHHDMASPVSPVSPIYYVGPPPPPEALRPLPPFPPTMLAPPAYPYYHPQPQPDPEPEPDADPQQHRANLLKQIEFYFRSSLLFSLYAIINHFSSVDNAHVFPSLHSKDNLCTDVFLRRNMDDQGWVNISLIAGFNKVQESTDDLQYIKDTIQSSSILEMQDDKIRRQNDWNKWVIPRESNTDVLPSPNINNLTAHLGSVGLQESAASSSSMVDENHHEILTNGPTSSNNQAPVVEDGAGKVLFVYPVIEVP >AMDW01040472.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040472.1:135:1484:-1 gene:AMDW01040472.1_FG001 transcript:AMDW01040472.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDISKNSIYGGLPANLEAMSIQELYLSSNQLTGHIPKLPRNITILDISINSLSGPLPKIQSPKLLSLILFSNHITGTIPESICESQDLFILDLANNLLVGELPRCDSMGTMRYLLLSNNSLSGEFPQFVQSCTSLGFLDLGWNSFSGTMPMWIGDLVQLQFLRLSYNMFSGNIPNILTKLKLLHHLNLAGNNISGTIPRGLSNLTAMTQIKGTIHSFPYQGYASVVGEPGNSLSVVTKGQELNYGVGILDMVSIDLSLNDLTGIIPEEIISLDALLNLNLSWNRLSGNIPEKIGTIRSLESLDLSRNMLFGEIPSSLSNLTYLSFLDLADNNLTGRIPSGSQLDTLYEEHPHMYGGNSGLCGPPLRENCSANDASKLDGQEIAEHGFDPMSFGFGHCLGFMFGLWVVFCVLLFKKSWRLCYFCLIDRIYDQIYVFLVLTCKRFGRG >KN542196.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542196.1:17565:18368:-1 gene:KN542196.1_FG001 transcript:KN542196.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCELSYARPGDERWTWISPGAGTGLRWRNLYCDAAYSRNDGLFYVVRDDDSVHALDLTGSSPVARKVFDERTWSTSLPSRYLEDVHLPCAQYLVNTPSGELLHVWRFRQWVSSYDSSSDDQDDSSNDSSSEDQDDDSYDSSSEDQDDSSSRDLYEDLITRDIQLYRTDFHGKKLDAMDSLDNHALFLGYNTSLCLPTEDFPGLKPNHAYITDDSLEFVNYFKQNKKEIGMWNIESQILERFGGASSLEEPWLNWPAPIWIIPSLL >KN544488.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544488.1:253:1457:1 gene:KN544488.1_FG001 transcript:KN544488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KFLNLIYSVERSTEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE >KN544488.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544488.1:2288:2932:-1 gene:KN544488.1_FG002 transcript:KN544488.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAEVPTFEP >AMDW01030161.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030161.1:127:276:-1 gene:AMDW01030161.1_FG001 transcript:AMDW01030161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQYFSFDQQISCFEMVKKAMIAKIGKEAAEVAVNAALFQIGLGTIFFLS >KN538727.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538727.1:293716:294822:1 gene:KN538727.1_FG073 transcript:KN538727.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSFPIIDMSLLDGAERPAALGLLRDACESWGFFEILNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKEGCDDVKKAEELDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAGETYPKFVFEDYMKLYVRHKFEAKEPRFEAFKAMETDTPNRIAIA >KN538727.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538727.1:335361:337509:-1 gene:KN538727.1_FG074 transcript:KN538727.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQSLDKATAIPPEFPQTPSFFRSPTVAWAPGGLLHSIGGHRLLNQKASGCTAWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISTRPGKMMRIAIKSWSRFLPPYIPDDMIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTAFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNTPLCPDPPNAFLRFCLINEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVKNPVARPPIVFLHGKKLLLTWNQVYQYDLQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAESLVPIREF >KN538727.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538727.1:295430:297316:-1 gene:KN538727.1_FG076 transcript:KN538727.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSKVDDEQWLAYWILYSLITLMEMALHKVLYWIPLWYEAKVLFVAWLVLPQFRGASFIYDKFVREQLKKNRVKLHEHHGHGHGHADEHQSHVVRAVTEGNANLNNTSASGR >KN538727.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538727.1:319125:322018:-1 gene:KN538727.1_FG077 transcript:KN538727.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQRLGHTSKNLVQQPWLNYKKGGELTYYGGNDNSGCKREDVSIGDNAWARPPYQGPCRGSPPLPRRNPDAVCGLMRPPLLMVVVVVVVSTAHRGTLMRFSLCRWLMLELLQVAKAQPCVLRRFTPASRRKCVAAGGHGRQELRAGQYQLDDDEPLWLAVVRDINWGLRSFMAFLAEQPRQLKHLEWPVFRNTLRTATLTLILVAVFIVALSSVDAALCYILSWLLRKSA >KN538727.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538727.1:346153:348658:-1 gene:KN538727.1_FG079 transcript:KN538727.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCAPTTAGPPPDEATTPEPFRSLQIATASAGSAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRGQAAAVAEGGNVSTAACGGVAVLEQEKQLDLQAPAAASLSRTASSTSPSSDVITCFSCRHKFRAAAAGAPLPAAMSSDVACSYVSSVVTEGGDADEPLDVVDATRRRLQHANSMGIM >KN538727.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538727.1:312690:317149:1 gene:KN538727.1_FG080 transcript:KN538727.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGLGMEATNCGALLRELQVLSFSPSWFFSCTKSNLLIRFQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLVATLGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKANSLIIDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDPSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGTTSGLMTPNPRRSSLGGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGSEPESPSLG >KN538727.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538727.1:324498:326598:-1 gene:KN538727.1_FG083 transcript:KN538727.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGEKERSAEPSMEVRKESADKVRWGEPPMEGGKEGRGEKERSAEGIPAATSPRLSWMAMPAIARVVDDNSPLLMANSDVSVQFAEPPRASILNVARRIHPDGFHPSRPYLPFILNIQSDHLLLYATNGGHAGGGIYLCDAYTGVAIRLPPSPERPINPRRCVGLIEDPRHRGHFLIAQLHPTSTTQHNTLVSYSTGTSTWEIKRLSSSPHHQGCNGGVLAHNGRLWWADPPYGLLTLDPFAAGELHLRHVALPEGHGARMDNTDDKHRCVKVSEGKLRYVEIDGFPDTPAVTMTTLIDLDGAVWNMDYRVGLDEIWADDGYKQAGLTPGKVPAIALVDPNNHGILHFLLDGMIFAVNMCASATKSRVLHFEKFLVGAGVDSLEKYHNSRFVFAWKLLQPLPLRLRSEMDEHQSGNFKIQDIKDCTAVTSAEAARQFFTAQLEDIRMKKGTRKEKGTRKKNNAAAAKEAVAAQVQAAEETKAIPQGLLAHDAKE >KN542918.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542918.1:4182:7447:1 gene:KN542918.1_FG001 transcript:KN542918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALSIVLCVLRASTASGSDEAALLAFKAGLSGRGSSSPTALASWNGSTSFCNWDGVTCDRRTPARVVALSMPSSNLAGTLSPAIGNLTFLRWLNLSSNELHGEIPPSVGRLRRLQVLDMADNLLSGALPANLTACVSLRILRLRRNQLGGSIPAELGNTLTRLQKLTLSNNSFTGQIPASLGNLSSMEQLSMSFNNLEGLIPSSLGKVAGLQYLFLFGNSLSGELPTPLYNLSSLKMLQVDHNRLHGSIPSDIGAMLPSIQVLGLDSNRFSGAIPPSLTNLSTLSYLYLTTNNFTGFVPPTLGKLQSLKQLYLGRNQLEADIMEGWEFITSLSNCSQLQGLVLAENSFGGQLPTSIANLSTTLQQLYLENNRISGSIPEGIGKLVGLNILCLSYNSISGVIPESIGMLANLVDMALYNTNLSGLIPSSVGNLTNLNRLAISNSNLEGPIPASLGKLTNLFLLDLSANHLNGSIPKEIFELQSLSWFLDLSYNSLSGPLPSEVGTLVNLNGMLLAGNQLSGQIPDSIGNCQVLESLYLQDNSLEGGIPQSLSNIKGLAVLNLTMNKFSEVNLLGKGRYGSVYRCTLEDEGAIVAVKVFNLQQSGSAKSFEAECEALKRGQEFKALVFEFMSNGSLDGWIHPKSSNLTPCNTLSLLQRLNITVDIFNALDYLHNHCQPPIIHCDLKPSNILLAEDKSAKVGDFGISRILPKSTATTLQNSKSSIGIRGSIGYIAPEYGEGSAVTTVGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLDRALDIADQTIWLHEEANDTDVTNASTKRRNIQQCLVAVLRLGISCSKQQPRDRVLLADAVSEIHAIRDEYLRSWMVGIEQQSSVN >KN542918.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542918.1:11133:11729:-1 gene:KN542918.1_FG002 transcript:KN542918.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPSWLKASPRFLKLMQELRLAGNSPENELPPRSRICRRRRWPRLAGISPYSSLELKFRLCKKLRFPMAGGSPPARLPEGSVSAATRVGVRRSHVMPSQLQRLVLLFHDASALELLPLNAALKASSVASSLLLPPPPPPATAATIGVRMKRRDNSSLRLIMIMVIGRVVFLDWFVIMVVIYLSTNGCPYYMESIDGL >KN542918.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542918.1:9333:9650:1 gene:KN542918.1_FG003 transcript:KN542918.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYSLGGSELGDGLGALGDGVLGKLAGEDEADGGLDLAGGDGGLLVVAGELGGLASELLEDVVDEGVHDGHGLGGDADVGVDLLEHLEDVDLVGLDTLLDTLNM >AMDW01032828.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032828.1:335:439:-1 gene:AMDW01032828.1_FG001 transcript:AMDW01032828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILKMIFFSHVDRTEQVSNSCSIELPMQMIRVTRD >KN541415.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541415.1:6853:7140:1 gene:KN541415.1_FG001 transcript:KN541415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLDPGDEPTQGNQGDVGATASTKQHHDDDDGEFGVLWRKAERLGPSLLLGWRWRATPRCASSGPSPTSTLLDGDGGRARVDGIVEEIHVSL >AMDW01068718.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01068718.1:52:489:1 gene:AMDW01068718.1_FG001 transcript:AMDW01068718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DRANPVYPLVVELGLDYLLADGFLVNTFDAMEHDTLVAFKELSDKGVYPPAYAVGPLVRSPSGEAENDTCIRWLDEQPDGSVMYVCFGTGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNNRGDDDDPMSYLPE >AMDW01037639.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037639.1:622:705:1 gene:AMDW01037639.1_FG001 transcript:AMDW01037639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIDLREEDEAAAAAMKAIAGRSDKE >AMDW01018500.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018500.1:2:194:1 gene:AMDW01018500.1_FG001 transcript:AMDW01018500.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAVLLLTWAAYGEAQYVLYKDATKPVEARVSDLLARMTLAEKIGQMTQIERQVASPQVLKDYFI >KN546744.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546744.1:202:701:1 gene:KN546744.1_FG001 transcript:KN546744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISEASVNITPVEDYVLPPEAYFYRQ >AMDW01026042.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026042.1:46:342:-1 gene:AMDW01026042.1_FG001 transcript:AMDW01026042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELELGPPPNTTMDSMSVRYLLNQIGSDRTTHIQILATVGAALLGFQALLGYRRRRSSNKLFLILLWAAYTVSSNVVSYTVGLVQSVAERDRYSVQQ >KN539230.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539230.1:39358:47947:-1 gene:KN539230.1_FG001 transcript:KN539230.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVSDSSSSTLTLDGSCFDFVGVLLFVYVCMVLAVEEEEDEAAQEEAPKDEAEIQAALAVVAVGAISILASCWLFYCISSALSTEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGDIFGNELDEEFDAEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINNGSSTGDFSAVDMAYALTNTAGNFEVTPENLRSVLPLINWDKIAAMYLPGRSGAECESRWLNFDDPLINHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSGSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGSDENTVSAKVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTTNSKKKPSRSRSKKQTDENLAVCDANNSSNCSSGARKRTRSITDKNQVVQKKMKGSISGDNEAVVETVGTISADNEVATKRKTGSTSVGEEGTTKKTTRGSLSGKGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKGKRAPSRKSAKENSKADSMANAAFGSDLPTVASEDRVADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLYVSVKPLSNMIQSNGHSDVSAKDPTSAGTDPTSVENSNTA >KN539230.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539230.1:85703:89253:-1 gene:KN539230.1_FG002 transcript:KN539230.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFYVTFLAILSINVHSSACLRLLAETPLPVIPNGQPGAPAPPPPANIPVNLPANMPPEMPANRPANVPPEMLASLPANLPANVPPEMVASLPANVPQETLSKIPANVSPDVLANLPPDVLAKLPANVSPEMLADMPPEVLVNLPPDVQKQLPANVPPEKLVAPAAAAYGEAANVFGKPKTNTEFIAYSGEGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIINPTTKKTITEFGSPEEFLAQVDFLLGKQAYSGKTDSEGGFESDAVATANILESSAPVVGGKQYYSVTVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >KN539230.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539230.1:12586:13392:1 gene:KN539230.1_FG003 transcript:KN539230.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASITDNFTASDNPPIGVPYVEHLDATGRSSTKSRRVGTREEGERRAAAGEADGEERGRRERRRQDHQRRERDDGVGDHVGDLGGGWRCGLSPGCRCGAPGRTPAARRRRRRTSSTSPWRIKKPSRSWTPLGVSSIEAPEDGCQDEPRHRDRAEPHHQQLRHSPRVGERPLDQHPHLHLTDGVEGELSAGFSLSGTGAAKTEKKVLSPDGVPEAVEDVEDAAAGLVDGHGS >KN539230.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539230.1:57246:58568:1 gene:KN539230.1_FG004 transcript:KN539230.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARRRHTSRRRRGFRGLRAPACSIVDLSPAGNAHDELPSDGLNLGSAGDAIINTTASSSRCGLVDVLNEDMVTEILLRLPPEDPALFARLQLVCKQWHAILGDPCFIRLLRKFHDPPPMLGYFINEDEPGKPMEIARFVHMTTTFRASPDIYDLASAVDSRHGLVLFYVRVCSDEEERFVVWDPMVEEEEEEEQWIDGFPFPVETQYWTAAVMCGLLECHNDHLHCHGGPFLVVAACTRTMDSYTSLRMYSSYTDGWSDEILHKEKDQIDTKACVLVGRKLFLPTVHGVRILEYDMDEEKLTVLESPPPPHRARRYARRAALLMAAAGGGLGFASTDDLVLNVWVRETAGAGAMAWTLRASIQLFSPPRLVGFAHHGGAIFLHMARVGRMFCLQPGSRKMQELFRARGFSTNALNDIKSVVPYHSFFCGFDFDGCHF >KN539230.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539230.1:75713:77196:1 gene:KN539230.1_FG005 transcript:KN539230.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPNQSFDDFNKQASSAYNSSLAITVVLSVLGIVGSVAIVYLVYRCVKKNGLPAININTNSSFAPAAAAAAMYTVVPDSQIRDATVEKFLKEIAGEKPIRFTAQQLAGFTNNYSARLGAGGFGTVYKGMLPNGLMVAVKRLHVGGHGDGWSSQEQFMAEVGSVGRIHHINLVRLFGFCFDADVRALVYEYMDNGALDAYLFDRSRAVPVATRRAIAVGVARGLRYLHEECQHKIVHYDIKPGNVLLDGGLTPKVADFGLARLASRGDTHVSVSGMRGTPGYAAPEMWMQASVTEKCDVYSFGVLLFEIVRRRRNLDDGGAPGSQQQWFPMLAWSNHEAGHLAEAIEGCDAMDKQERETVERMCKVAFWCVQQQPEARPPMSAVVRMLEGEVDIDAPPVNPFQHLVASPAAALRWTSTTDSADSDNTLRSGSRQSAEVIIPIGSQC >KN539230.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539230.1:93935:98032:1 gene:KN539230.1_FG006 transcript:KN539230.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTGFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTNGKPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMSYIAPNLSAIVGSAVASKLMGTAGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKSLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNP >KN539230.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539230.1:9367:11028:-1 gene:KN539230.1_FG007 transcript:KN539230.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDGDHAATTTEQRYDDDGHLVPSSGGQEEEGSGGRDVGVPGGHVAEDHRSGVGVPVGRDAGSATSSSPQPVHVTPSILVGSIHAPVFQGELVGMKFGVGSGSMGASTSATRRLPATGFGALPTSSMAEDSADHADDDHLAEEEEEEEAQHYIDDEHLVPSSGGQEEEGSGGRHVFVPGGHDGEEDHPDDLVADLDLDLLVDGVVGPVPGGHLNADAPAFVPTTRGRQDLYSALSSSAPAAGYRYRHYITSSALAEAGHVSPFLGLPYATAFDSPLDRELVGPSSAPPPCSAASRAWLVRGSSPFSDSEWTRRSILAREAAHTPASTVTGRGRFEFVPIPGAPYAPPPSFAPIAAGAGPAARPLQQLAFGLEEHKTKLCSEYYSRALGCPRGNTCKYAHGEDDLRLVVAVSSLADAGEGSSSSDSSSAVLGGEDKYKTKLCKTFTSGGLCRFAANCRFAHGEVELGKKEPCWYFFSGQTCPRGDTCGFRHSY >KN539230.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539230.1:120103:121220:1 gene:KN539230.1_FG008 transcript:KN539230.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSENAAANIVDSIVDAIADNLPKQKSVRFEDGSISDQAKRLFGGQKSVHHVLGGGKSADVLLWRNKKISSSVLAVATAVWVFFEWLDYHFLTIACFVLVLGMVVQFAWSTFAGMLNGSPSKVPRVELPDELFANIGSAIGTQVNKFLGTLQDVSCGRDLKNFLLVIAGFFAAAIIGSWCNLLTVIYIGFVCAHTLPVLYEKNQEKVDEFLYNTLGLLQNQYQKLDKGVLGKVPKGIIKLKKSD >KN539230.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539230.1:110656:114607:-1 gene:KN539230.1_FG009 transcript:KN539230.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIIAARWVVDKALSPVLDGLVEAWAASRELGPNVDALKMELLYARAMHNNVRGREIHNTDLNELLQKLRDLAYNADDVLDELNYFRIQDELDGTFEAAAEHAKGCVSDLFLNAHHTAKAAGKLLGFSSSCSSCATNNGPGDSTTAACCGSPHNTIHAIGKCLCFSTSLVDDCDHDYGCVHDERDHVKGKSTPKLKFDRVGLSTKMKIIVEQLQPVCAKVTAILNLELLGSQLSIESRTAKSRPITTPTSIEPTLYGRDTVMKRIIDSITQGKCCEEYLTVLPIVGPGGIGKTTLIQHMYNSQQVQNHFQIKRVLESKEWELQAGDHDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFNSKELIHFWEASALRVLHLPAMPFHVGSILDTFSTLVHLRYLKLEANYGIIAHLPISLSRFYHLRILDLQKWFGSFDLIGDISNLAKLHNFLVPEHKDHPAISNVGKLQFIQELKRFQVNSKDVGFDLKQLGYLMELRELGIYNLETVCTKEEAAEAKMLKKNRLRKLALNWKEGRTSTKANKEDQILESLQPHNGLQELSIHGHGGSSCPKWLGAELSIKFLETFRLCYVVWKILPPLGEVFLIDGPSEESLVSCKTGQNFRSLKRLELVGLPNLRKWVAKEVCPMFFSILEVLIVNDCNELTELPFSYYTYCTSEEDVKATCFPRLTELEIWNCPKLVSLPPIPYTQTLCSVDIKDIGTGLASLVYLSKSSKLEIRGNKDLNVLDDNVLAFRNLTQLQELKIISCPPLKERHLKTLTSLKRLTLNGSSIALNPIERSDVEWQLSVEKLTIWHWNGSGKELTQVLFHLPKLSCLHLFGCPKITRLSVAVDRENKGDYRLLLFPIHLTNSLQELRIGNCEKLILVPHRLLTGHHNKEEETGGGGSAHAGVLDSTLRGMQEQEQLHLLEHSSKLRMLDTNDLAGILVKPMCRLLSSSLSDLILRGNVEVERFTKEQEEALQFLTSLQDLKFENYAMLRCLPAGLHRLTNLKRLRIMSCPSIRSLPKDGLPPSLELLELEVSSNKKLTKQCKKLKKANPEIELTCW >KN539230.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539230.1:65899:71237:-1 gene:KN539230.1_FG010 transcript:KN539230.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAHYAVVPDAAMRSATVERFLWEMAHEKPIRFTPRQLAGFTRGYSARLGAGGFGTVYGGALPNGLAVAVKVLRGGMDRRRSEEQFMAEVGTIGRTHHINLVRLFGFCYDAAVRALVYEYMGNGALDAYLFDRSRAVPVATRRAIAVGVARGLRYLHEECQHKIVHYDIKPGNVLLDGGLTPKVADFGLARLANRGDTHVSVSGMRGTPGYAAPETWMQSGVTEKCDVYSFGMLLLEIVGRRRNFDEAAPESQQWWPMAAWTRYERGELMMVDDAAAAINHPSGEICSGGDGEAVVTVAEADDEQRCKDKEAVERMYQVAFCEQLECFLMNPISKARIDLPYHWHCDDDDDDAILPDYGEEEEGQRTMCFGENAVRKIAVCSPDLVAAVIAGSGVFFYRPGMHSTWLFASGGPCFARDIAYYDGKLYSVSSDGELFVHEFSDSISADIVIGIAPQAYSCQGYSWRSTFYLVISCATGRLMMVRWRWHLPIFYNVRRWGVDELRKEIKLDVFEADLEKRRWLEVKELGDQALFLGTSCSRAILSSDYGSCIFFSSLNITRLCSDGIINGIGDCAYCVYDMKNDTFRFDNPVSIKREGLSYGSDGRWRADWFFPCE >KN539230.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539230.1:28210:30251:1 gene:KN539230.1_FG011 transcript:KN539230.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFPEPGRLQFIYADLGDPKTVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQHTDLLESLRVAWTWQKKHRSGYGPPQAMVL >KN539230.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539230.1:3860:4129:1 gene:KN539230.1_FG012 transcript:KN539230.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPESARLFVGGVSPDMGDTELRDHFGRYGDVADIWLRRDRLTGLPRRFAFVQFMHPANAALALADHSHVVNGQKVSVYLIISCFQTQ >KN539230.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539230.1:81419:82867:-1 gene:KN539230.1_FG013 transcript:KN539230.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MSANPNQSFDDFNKQASSNFNSFLAIVVVLSVVSIAGSVAIVYLVYRCVKKNGLPAVNINTNPTAAAAAAMYAVVPDSQIRDATVERFLKEIAGEKPIRFTAQQLAGFTNSYSTRLGAGGFGTVYKGMLPNGLTVAVKRLHVGGHGDGWSTSQEQFMAEVGSVGRIHHINLVRLFGFCFDADVRALVYEYMDNGALDAYLFDRSRAVPVATRRAIAVGVARGLRYLHEECQHKIVHYDIKPGNVLLDGGLTPKVADFGLARLASRGDTHVSVSGMRGTPGYAAPEMWMQASVTEKCDVYSFGVLLFEIVRRRRNLDDGGAPGSQQQWFPMLAWSNHEAGHLAEAIEGCDAMDKQERETVERMCKVAFWCVQQQPEARPPMSAVVRMLEGEVDIDAPPVNPFQHLVASPAAALRWTSTTDSAESDNTLRSGSRQSAEVIIPIGSLQF >KN539230.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539230.1:130836:131747:1 gene:KN539230.1_FG014 transcript:KN539230.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGLLATVLAMAVLLAGSSSSCQAARHLADATPPAAVPLLGIFVYGGLGYKHM >KN539230.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539230.1:98866:102773:-1 gene:KN539230.1_FG015 transcript:KN539230.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQVSSAHSAVDSLLGRLIRILEDEARLLGGVRGDVQFIKDEMESINGFLLHVLDLDRPDHQLQAWTRQELFQIRQWHSGQSHPGWVYQDMMAQILPMIQHMHRALGGMVSDYEKRKKRRYTRLKKKKKRIVVSKFSRKNLGSKGSKFPVGTTFDEVKEFIGGTASDKEEEEEEEGFLQAAAMEEMMENFLKDKSYLIVLDDVPDESLWRGIESAFPGNTAHSAILLTTRSPAVAYSCSPHDRVFPPLDHLIDFFHAKAVSLVENYPSNGNLDEVIRSILSKCASNSTDMCLRAFLHVLYANPNRNREELQGLCDSLHDSHGLMLDENMQQILMFWYNDLPVHYKSCLTYLSLFIQDDGSSSNSTMMIRRTSLVRRWAAESIITGRNGQTALDEAERCFGVLLAKRFVLERDIGASGKVKSCAVNGLISKFITKVVREDNFVDADLPPDFAHRVSIRNRSQLQQVLAELQASPRPSSSSCWNMRKHYDQPLDDLTIFLKSLPAFSRLGLLKVLDLEGCDGLKDHHLENICKLFQLRYLNLRRSKFTKLPKKIQNLQQLETLDIRETTVSSFATKSLVLPMLKHLLSGYTYTQQQNEQTEKFSTVRMPRGIGSMTNLQVLCHVVVSGIEDELMDIGKLLQLRKLGVVFHGDQNSFKHLIQAIEKLHKSLISLSIRVEVPDGCENFPDMNMAEPTAFSYPKLLESLNICGIRCGLPRWIKELSRLAKSYVQSKLTLGEKQFQHLKVLLIHGEDITDISFSKNPKLEKIVWSFREMKSISGIERLPSLRSLELHGDCNPDKVEIALKDHPNHPDLEHHGNRQGQGDAAGSLDTDAASTCASVSAPNHPDVKHPDNRQGHGDDSAASTSASASASAPKHIQSIT >KN539230.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539230.1:31762:38491:1 gene:KN539230.1_FG016 transcript:KN539230.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTGYQYASLQELCLTPPKEATIQLKYSPEVAQVDANHNMLLKVGYSGGQVRAIPLEPLKKALSKLSYLPPEAMVDLERENKNSLVEKFRLHFANSGGRTSSSQEGISPVRSTGQLNSAPHRGASALVGPSQICQQSNKLVTVQKDVEQATERDNLVLQQNIPLLTDGLPAADKATTQPSRYQLAHLARVHSENLGQPASSSLAQKDKDGLYMIPARKKLQFQNQMPASHQFQQDLNSISLSSLHCLNHLVPNNAPQLFPQSTKPTQFPSGRNEEEESNLAGGNLMSSSPLKHEAGSKRPHSFSATSSAKRRAVGGYGDSGGNAGGGWTLDSASSVAEESGWSAWGDAAARAQRAPSPFSADAEGGDTASSQYRSSGGGAADGRSVPRREIKVRGRVSRWDRGSEIGDRPQERHVWRSNVHLSIPSIGLPSLEAGKTGEFVNTVGDTLVVLEQADQKVDQKAFRQTIGNQEVFESPPKHYLVSSRSVGGGQYLHPSSANAALGGSSTPSSVSGSGSVQRGRMSVDDRLRPGVPVSVSDLWTEGKEWDNQKLNALFEEEAIHKILQVPIIQGDAEDKLRWKFTKNGVCNTKSAYKEFYKGENPSTHQVDPHSIALLKTVWKEKNIPPKVKVFAWRLVRGALPSALRLNHRIRDISAACCRCGAPESDFHLFFSCPYSRLTWMMSGHKLDFNSFPETSILALIIALVTNNNSNKIELNKLLIILWQLWKARNDFKFQGIFREPSQICYLADAMASSYACVLSQSVLQEDIQQNSGKRRMDSIPNGIRCYIDGAWENDVTGIGIFFHFPHSHNAIFIKATSDKAQNPLQAELLALQLSLDISMFLNFAGQLDPKGNE >KN538693.1_FGP128 pep scaffold:O_longistaminata_v1.0:KN538693.1:197819:225634:-1 gene:KN538693.1_FG128 transcript:KN538693.1_FGT128 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAERKKLGRGAGAFSGGDTTATPRGDRKVAELLFTRPVCAILATVLPTIRSLLLSLSVMGGGAAARRGSSATAVAAAVLVLMWAGTATAAAAVYEVGDKTGWTIMGSPNYTAWAASKKFHLGDTVGTLVFSRSSKNRDLKKEELIEFFDNHVKVNAPRKKILSIQVYGGLHTNEYEKAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYITEHGGSTNAFTTCEHTNFFFDVNHDCLNDALDRFAQFFIKPLLSADATLREIKAVDSENQKNLLSDPWRMNQKIFHQKHMPSETDCHLWLNLQVLLTDKSVVILGKLQNHISLESHPYHKFGTGNWDTLEVKPKEKGLDTRLELIKFYDSHYSANLMQLVVYGKESLDNLQTLVENKFCGVRNTGRERFSFPGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIRHYKEGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDIVGLLFRYITLLQTSGTLKWIFDELQTICETGFHYRDKGPPIHYVANISSNMQIYPPEDWLIASSVPSKFSPDAIQGILNELTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPVENLHMPKPNIFLPSDLSLKNAEEKASFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMDFHCPLSRSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYGVRPNDTGFQITMVGYNDKMRTLLETVIGKIAEFEVKADRFSVIKETITKEYENFKFRQPYQQAFYYCSLILEEQTWAWDEELAALLALVAKQPAFHQLRSVEQLGYITLLRQKNDSGVRGLQFIIQSTVKDPANLDARVEAFLTMFEGTLYQMPDTEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEVEVAALRDLKKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPNSYQITDIFNFRRSRPLYGSYKGGVGQMKL >KN538693.1_FGP135 pep scaffold:O_longistaminata_v1.0:KN538693.1:179985:195444:-1 gene:KN538693.1_FG135 transcript:KN538693.1_FGT135 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTFKEHMLFYASEKYPVEDDYSKYIAEHGGSTNAFTSRERTNFYFDVNNSCLDDALDRFAQFFIKPLISPDATLREINAVDSENKKNLLSDPLRMSQLQKHFCSESHPYHKFSTGNLDTLLVNPNKEGLDTLEELIKFYNSHYSANLMQLVVYGKESLDNLQNLVENKFSDVRNTGRESFSFHGHPCSSEHLQIIVKAVPIKEGHTLRIQWPITPNIQHYKEGPCKYVSRLVGHEGEGSLFYVLKNLGWAMSLYAWEGDWSYEFSFFNVVIQLTDVGYEHMEDIIGLLFRYIALLQTSGTRQWIFDELVAISEMGFHYRDKSPPIHYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNDLTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVTPSIIQKWVNMAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTMFSRVWYKPDTMFFTPKAYVKMDFHCPLSNSSPESTVLTDMFTRLIMDYLNDFAYDAQIAGLYYFIRPSDTGFQITMVGYNDKMRTLLDTVIGKIAEFEVKVDRFAVIKETIIKDYENFKFRQPYEQAFYYCSLILEEQTWAWDEKLAAVSHIEASDLQIFLPRLLGKTFIECYFSGNMEPGEAKSVIQHVEDTLFNAPISFCKALPPSQHLTKRIVKLERGWRYYYPALCLNHQDEKNSSILHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITVLTQRNDSGVRGLQFIIQSTVKDPSNLDDRVEAFLNMFEGTLYQMPDEEFKSNVNALIDMKLEKYKNIREESAFFWKEISEGTLKFDRKEAEVAALRDLNKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPHSYQITDIFSFRRSRPLYGSFKGGVDIIRGTEELLLQRVVLPPSLFDTPNYYRPHTSSVCSRTRHPRPELLLGALPALSPVGGPGGHDEDVILQQRPRPRAAVRRVRHHRLAGEGAGVGAGQGLGAGGVEDGLVEEGPGADERVLVVLQHVVGVGRGGGAPAGRDEGAADGGEREAARGGGGGGVLGEDAAGGQEVEEAFEDGERGHKKKTREDRQTWKKKENEEEAAAELLFPLFLNKASCSKTFLFPSVYSLPDVVLV >KN538693.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538693.1:246086:256720:-1 gene:KN538693.1_FG137 transcript:KN538693.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPELAEPSSRPEALPASRSEAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDVSWHLMALGKKSFALISWWAPPPGKSPDRDGASDLDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPGLSAAGLHHPMDVKPGSSAGLQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHTSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKTPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAGGGGGGGQSDKKLSDHHPQQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQHQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSTPTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSGSSTTLSLCSTSMSISTTAMLTDPVNVLAAAASNNMKTHHPPAGSFMHLAAASQSASGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAALKEK >KN538693.1_FGP141 pep scaffold:O_longistaminata_v1.0:KN538693.1:227488:231080:1 gene:KN538693.1_FG141 transcript:KN538693.1_FGT141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MVSPEDVVLDRAVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEHMKKIEAIQKQKEQELMKI >KN538693.1_FGP143 pep scaffold:O_longistaminata_v1.0:KN538693.1:275080:279328:-1 gene:KN538693.1_FG143 transcript:KN538693.1_FGT143 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAADDAAAGEDQALSDLREKHRIDLERLTLTSQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYVRFGLWWVILGVASSIGLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAASMSGRKLDELEELDASVSGEGFLSSTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKVYIQTTLVITLCNNQLLDLVEKRIMWVFGNVPMVSSVLPTLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSEPCTGLSN >KN538875.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538875.1:153343:155318:1 gene:KN538875.1_FG001 transcript:KN538875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKEHDLEAGGSSEPLYPGMVESPDLRWALIHKIYVILSVQLAMTAAVAAFVVKVRGVSEFFVSSNAGFALYIFLLFLPLIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAYTSGKVIFEAAALTAVVVISLTAYTFWAAKRGHDFNFLGPFLFSAVMVLILFSLIQIFFPLGKISEMIYGGLASLVFSGYIIYDTDNIIKRYTYDEYVWAAVSLYLDVINLFLALLRVLRAADN >KN538875.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538875.1:183522:192150:1 gene:KN538875.1_FG002 transcript:KN538875.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKRKQMEMKKDPQKSPSLMRLSHCESYCGPPLCYIPCLPKSKDAAAHKSKELKSNLKKADCADSKCAEKGNVKWLDLLGKDLTEVKEFEPSSMNLLEVATVDEPGILGSCISAVADLRYSFCCFVVRVTLSAGETSSNGDSKRWQTRQHSTDDISRSKSKPRYIKAFGVDLSADNVAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAELYCFDFHCSDSIPLFGYRRRSYLILSGLLGALSWSLMATIVDDKYSAALSIILGSLAVAIADVVVDSMVVERARGELQSTSGSLQSLCWGSSAIGGVMSAYFSGSLVDTYGVRFVFGVTAFLPLMTSTVAVFVNEKRLPLGENATSSSGSGLIESSKEHIMQIWSSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVTLVTSIASLVGIGVYNSFLKEVPLRKIFFVTTIFGSALGMTQVLLVTGLNRVLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGGVTGGLLGAGLTQFLGVTKDNFQNLALLIVVCNLSSLLPLPLLSLLPDESPGVDNEQTKVD >KN538875.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538875.1:136505:138348:-1 gene:KN538875.1_FG003 transcript:KN538875.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRSVAPSPEGLRHPGAAGDGAAGLAERFRDARPGAVTVALGPGGANSLAYSSHGQSPLLPRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >KN538875.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538875.1:157646:159682:1 gene:KN538875.1_FG004 transcript:KN538875.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKCDVEACYPGGAPGGGMYPYMIENPQLRWAFIRKVYVIVSVQLLVTVAVAGAVNLVEPIKTFFQARTPEVLVAYVIILISPLIMMLPMIYFRNKHPINLFFLLLFTICISLSVGLGCLSKNGTVIFQAAGMTAAIVIGLTCYTFWAAKRGYDFEFLGPFLFAATLVLFLYAIITIFLPMGRTGKLVYGCVAALIFSGFIIYDTDNLIKRYTYDEYVAAAITLYLDIINLFMALVTALQAADG >KN538875.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538875.1:175246:176268:1 gene:KN538875.1_FG005 transcript:KN538875.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGRFNNLCGEVPAAIFEKKIDALFINNNNFDFKLTESFSNSTASVIVLANLPKLGGCLPSSIGNMAGTLNELVLLNSGISSCIPPEIGKLDKLTVLDLSFNGFAGALPETIGHMRALEQLNVAHNGLAGEIPDSICALPHLKNFTYSHNFFCGEPHRCLECSRIPVQPTLLICQILRAVSDFISPEMDLGCS >KN538875.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538875.1:109585:116280:1 gene:KN538875.1_FG006 transcript:KN538875.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTKIWRRWHRRVNVRLRRIAVASALAATSVPSLVLARGHRIEGVPEFPLVVSDSIESIEKTAQSIKVLKQIGAYADAEKAKDSVAIRAGKGKMRNRRYINRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVVWTECAFKKLDEVYGGFDTPALKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKRREARRNPLKNVAAVLKLNPYFGTARKMAALAEAARVKARTEKLDSKRTKLGPSCHVDVHEESSKIKAAGKAWYKTMISDSDYTEFENFSKWLELLSLAFTSPVNPNWVRATQLGEQNRNPQYSEVACFVRVRRLGRANLTASVAGLRSRIMKLKNRAEEIFRDGDLIFTSERNLGTRNVQMDYDIVRANQPAVVQTRCRWIIGDVTEVLDRNTWKLGKIARMLKNNYFVIRLADCIQLKEFHISSLRVPASPHSNNQYSVADKKSEAKLAQRGQIPSDALPGRTNKKRKSTAGTSFNPRKRTSQPENASRACVLDGSTTQNRFQFIREEAECSVASCSINDPDTTFTNAKKLQSLGFPDDAMSACPCTSGMEDDDSAAAAAADDDDDEPAAIAVHELELEAYQSTMRALYASGPLTWEQESLLTNLRLSLNISNEEHLLQLRRLLSSR >KN538875.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538875.1:193664:196525:-1 gene:KN538875.1_FG007 transcript:KN538875.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEAAVGVESATSAAVRDGFAELERQQQLLATCTRLYQQLTEHFGSLERRLAARSETLRTKRRFLDVRTSRRLEALRRREASIDGSVSLALSQLDSLAKGDAGTTGSADAAGITEGLRSLCASMDSAGFFTFVVARRKEVDALRAELPDALKRCVDPARFAMDAVSEVFPVDKRAVRSPTDLAWACVLILEAVVPALADPDPEIGAERPMVPQAARERARGMAREWKDAAEKKGGVEGAKPPDAHAFLQHVATFAVAEKEDKELYRRIVVSFSWRRQMPRLAITLGLEDEMDGLHGIADQSSSADCCGTASGAWIIAGSVIRVCIVTLNIIEELISKGQQLDAVNFAYEAGLQEKFPPAPLLKAYLEDSKKIPSNSDNLSTSTGQSGSNANKKEQSALRAVIKCVEDHKLEAEFPLEDLRERLEELEKAKTEKKKAASSSSSGGSSGPANKRIRASTGGPMPPAKAGRLTDYTGTPSSPATTTTNATFVRSPSHASYGTASPYSYDRPAAHPLYCGQNTLAMREPYAYHHPSEVSSVGLGMSYPSPPMTYPAYAGYSNGLGYSNAMAPAFHHQAYYR >KN538875.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538875.1:160323:168250:-1 gene:KN538875.1_FG008 transcript:KN538875.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVQSRMWFARPHLLWSRLVCCSFSDAPAARASAAAAARGCSSEFDSAIRSLRVNPQPERLAHILDSASNFNLALRIFRWASYQRMPIHTVDTYACMIAKLGDAGNHDEIGGFLKEMVRLDVPGLEKVMNDLVQFLSGKNRFDEALLVIQHASSGNFKLSVSSCNGVLCGLVKEGRGLRPFMRAYMEVVKAGVLPDVETLNWLIEVLCEAGHLELALVQFDKMSKKRCIPNSRTFNILITALCSHGRADESADAFDKMLQLRCIPDSSFCVQVLPLFCKFNKLKEARKLHQMMKEYKLQSDQHLYSALIRCLCENQLLDDAVTTVNDMIASGHALMRSTFVNIVDCYCTLGQFHKAVNFLEENDVAEIEAYNVLLRSLCKTGRVQDSVNYLTELHSRGLVNCQSWNIVIAQFCNNGNIRRASELICRMIVSSFTADESTYSSVVSCYCKLGLYKNALDMFRRLDVSNLSLNSESFSQLVESLCHMKKIQEAAEVFKYHCKRGCNLTSESLEMLIQESCMVGMIREAIKMRSLAVCTGTSCTFTTYNTIFRALLHLKKEKDVLLLFAHMLMEGCLLNEYTYNCILRYFLTKETIFEAAILFNRMVKDGFVPDQETFELLVPEMALSSLLNMISESLLTVVNMDGMMSPRISNIIIYGLIKEGFKSEACKFLDQMIEKGWVPDSRTHSILLSSSGREEPRESDEVNHTVDDDNVDLVDFIDWSGVECLNQDPAHSIVNALKQGYRDDEGLYLASDSDEQLLIHIPFMQVVKLHSALFKGPEEDGPKTIKLFCNKEHMGFSNVNDYPPSDSLDLSSNHLAESKPMQLKYVKFQNVRSLTIFIEDNQSGSDVSKILKIALYGTTVDTTNMKDLKKIEEH >KN538875.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538875.1:141511:142599:1 gene:KN538875.1_FG009 transcript:KN538875.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPVYSFPLRRISRDPGASRLRRLKLRNCLPPPLQGFGSLATLVLQGLPCSTRPAVYQGVVAACPQLQSLHLSFCRSRKFGCPLTLDAPMSRIRELVVDGGYMAVEIRSLPMLESLASNGEAVFLTHPDAAPRLQRVSLEHAIGSLQGDELFPAIERRRLRFLIPSIREFLQNANGITDLVLRFTGPDMWILFLPTSPFAPMPKLRRLLVADVPPSWDASWPRLLVEAAPLLETLHVHHDSRRDSEEEVVRPGQETAEISWPNSASRHRHLKELVVTGFRSAERRHVQLVRFAMEASTSALRRVALYKRGHVEHKGPGAWEAAAARDDAWSDEERRGVLEQITEGICCSSSTGQVQVILG >KN538875.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538875.1:105379:105621:1 gene:KN538875.1_FG010 transcript:KN538875.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding KRKTKRGNTSGGSTSKSGPRTPKRSKVTMPQQVIESPGVQTRIQLALALIRGKSQSTISPKSPAKISKPSKKMTPRKKKN >KN538875.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538875.1:178478:180559:-1 gene:KN538875.1_FG011 transcript:KN538875.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MYAECGDVRAARVMFDRMDGECVVSYNAMITASVRSSLPGEALVLFREMQAKGLKPTSVTLISVLSACALLGALELGRWIHDYIRKMRLDSLVKVNTALIDMYAKCGSLEDAIGVFQDMESRDKQAWSVMMVAYANHGYGREAISMFEEMKKQGIKPDDVTFLGVLYACSHSGMVSEGLQYFDSMREYGIVPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTAILWRTLLSACAGHGDVDMGKRVFERILELDDSHGVSFFSFAPFPPKLMFFFADASSGEQHTMPCHGVRSDIKWDNCGQQKLFSKKIFQIKELPALVNVISLIKQLGVSAGESEELLSMNLVEF >KN538875.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538875.1:197866:207983:-1 gene:KN538875.1_FG012 transcript:KN538875.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 4 [Source:Projected from Arabidopsis thaliana (AT1G54490) UniProtKB/Swiss-Prot;Acc:Q9FQ04] MGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLVAKEKSEAIDSNVITPGTPFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECRGPGQADKTVELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLDRVEHFIQSVAVHEEQIFQKRARIQKAIQNNEERNKVQTENSEDNQYVDKAGFKTLGFPVKLGEPGYRVRYYAEKFKEEAELKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKCLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMNDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQMCSQSPNASYIIPIDPAASGGMNGFLCLSERNCYSIAVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPEGVIIPAKILKPSDFKPFPILWHEDNSRRQPRERPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGAPYGPGNRPRPAGPLGYERGFVENSYNGHMSRSVPNSHPQFFGDAQANRQNVRILERPNYRNNDSAIHSGMSKLTIQDGPRMHQNNRMQNSGFSPNQPHPNQYAGFPPQRPMQNSGFTPQRPAQYSGFPQQRPVQIGLQHQPAVNGIQPPLPPSAWIGRPISGVPAGVPAKQDPRMAMDRQPKQDNSRSQHDKRQQATKVVYRVKGQGPNGLSE >KN538875.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538875.1:144192:152209:-1 gene:KN538875.1_FG013 transcript:KN538875.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRVVNPVKVESGPSTGVAANGQPPRPMDGLAEGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFVVWDPHLFGNVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQKHLLKSIKRRKPPNSSPSQQSLGSFLEVGHFGYEGEIDQLKRDKHLLMAEVVKLRQEQQNTKSDLQAMEQKLQGTEQKQQHMMAFLARVMHNPEFIRQLFSQSEMRKELEEFVSKKRRRRIDQGPELDSMGTGSSPEQVSQVMFEPHDPVDSLFNGVPSDLESSSVEANGGKAQQDVASSSSEHGKIKPSNGELNEDFWEDLLHEGGLDEDTRNPAIDDMNLLSQKMGYLNSSSTKSAQVIHEENQTAEGANQYQNQLDPTTMEGNEDMILSIKIHTDELHNVWDKLFVHPDERIHILEHLRSQCIDLYIQRLDYENERAFKLSSSIAKAEDEIDRLCLELDEAKISIPKEVLSLQAKLDFITSALEKLRKEESKRRLEFIEVCIINLSVGHLRKIYSLCEVLDKDFVAAIFQRSCNDQHEGCTLEDLEETERQLTETKKERLQKLQGIQTKILDLWELMEMTISEQHAYRDIMKNLNASLDEVLEYQSLSSDVLKRAETELMRLENLRLHKIKDIISGKRPEVEEVKRVIENVKAWELDNKRGFLYQGTEVLGDLERVADKINMASPNVCSSENSSSSSGDDSMVDPTYQPPDGNDSDESVDFLMSESQDELE >KN542432.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542432.1:1891:4212:1 gene:KN542432.1_FG001 transcript:KN542432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRGGGSPPDVVWYTTVINGFFKDGVRKESGRLSKRDQVAGRRVEDGSGRRMSCVGSCSRIRAFLRGGGSRRIAESWGETGKEIRGNSVKEMFVSSGHISSNCPMYGGGGGNKVEVSFKGYGVNEQGFYSMKLDVPEGGIKKKCRGILTVIRGKGSVARIETELNHLFKGLKWDWKVKQINDSDFLIDFPNEEARSKMTLVKCFDFNKFPIKASVSESKMTDNAVDELYFLWVKMYGLPDFARFEAAIRAMSDLVGELEEIEEKSINKGEFVRMKIGCLDPFAINCSVVLYINGVGYKIRWEVERDSLKGFDMIPPAADDDDEGGDDSDKKEKDTEKKDEGGDTDLAKKDEGDKSGSLKLTQSAPPMSRSGKGVYLLKNGGDQKGEASAVKIDGSSKVKMTVAEEKAMVVWHPEVDSQPEILDPESQGDLSSLEELMDIDLQKGLENPVLEDETGERCEIPTDSDIEKMRAEEEELEEDCQDQQHYQKPDTFQKVEKKNKKGAVPARREGLRVRDKDVPVQLKAELRKSMVNLNPE >AMDW01038801.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038801.1:93:829:1 gene:AMDW01038801.1_FG001 transcript:AMDW01038801.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ISSTPFFKPINVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQVTGLLRATCQPPQRREQKIIE >KN545281.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545281.1:142:1978:1 gene:KN545281.1_FG001 transcript:KN545281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YDIKPGNAILAEEKHLPMYNELASKVNVEYIAGGSTQNSIRVAQWMLQIPGHLLQTCLQRTATVYEFRLIAFLTIVRSLIAVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYADYIFGNETEARTFAKVRGWETENTEEIALKISQLPKASGAHKRITVITQGCDPVVVADDGK >KN540972.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540972.1:5756:9172:-1 gene:KN540972.1_FG001 transcript:KN540972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKASQPKSEKDPFNSFVADFEANNLHSGTNVASKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLASSLPSSEAKTWQAFPDPKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >KN540972.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540972.1:23612:31029:1 gene:KN540972.1_FG002 transcript:KN540972.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVAEPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEMNRAVNDSVLGFQAVREGISAMEFDKKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >KN540972.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540972.1:19557:21183:1 gene:KN540972.1_FG003 transcript:KN540972.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSQGIKRASRSHTYHRRGLWAIKAKNGGGLPKAGKPAAAAEPKFYPADDVKPRAPSTRKANPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQAYVIATSTKVDISGVKVDKFDDKYFARDKKAKAKKTEGELFETEKEATKNLPDFKKDDQKAVDAELIKAIEAVPDLKSYLGARFSLRDGDKPHEMTF >KN540972.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540972.1:12741:18269:1 gene:KN540972.1_FG004 transcript:KN540972.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENETEPQTNTEHLGRTDQSLINRSCDFSGSRGDSKTTWALTDLNINLTCPYTRRRPAQASPAKFAPQRPERTLFGFKEKPADAEEAEAEPASEGEEDAAAAAAAPFRNKEKVLVTCSRRINYRYRHLMQNVVSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLITFSTNFDEQPHWQLVKEMLTQLSHVMNFMENWGNAIHDEVIHASFLIFATPKDHRKAKPFHDHVFVFSIVDDHVWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRKKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGED >KN539377.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539377.1:100527:101045:1 gene:KN539377.1_FG001 transcript:KN539377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLICRDEKDRAVSTWHFIKRIKRIGSDVPFSEVYKSICEGTCACGPVWDHILGYWNASKTEPSRVLFLTYEQMLQDPMGTVRQLARFLGQPISDAEEETGVVAEIVELCSLESMKKQKINREGSQGVGITFSNDAYFRKGVAGDWLNHMTLEMGQHLDSILNEKFDGSGFTV >KN540972.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540972.1:33405:36793:-1 gene:KN540972.1_FG005 transcript:KN540972.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding LREGIGFACGGLQRQESEVAHELFSQISRMPEVRNSGGRAALADPSGGKFSEITGLNFECSSDAVERKSRLGNAAARQQIQLREDSSRSVDPATPVQKEEGVPQSTPTPPTQKALDAAAPCPGSTQAVASTSTAYLAEGKPKASSSPSDCSFQTPSRPNDPALADLMEKELSSSIEQIEKMVRKNLKRAPKAAQPSKVTIQKRTLMSMR >KN539377.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539377.1:18445:20854:1 gene:KN539377.1_FG002 transcript:KN539377.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal cell-derived factor 2-like protein [Source:Projected from Arabidopsis thaliana (AT2G25110) UniProtKB/Swiss-Prot;Acc:Q93ZE8] MAAASFAIALLLYLGLDLPEASPAQSYAADPDNVVEVGVSTFSVPITYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDSNSYWVSCFGGENESDTGDYWRLEIEGSGKSWRQNQKIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYLPVNQQK >KN539377.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539377.1:64584:67519:-1 gene:KN539377.1_FG003 transcript:KN539377.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAALRPLRRIPLARLRSRLISSSASGEGGPPAVASTDEAVRAAKLREEAYKQVANLDWSSNADFWKAVDIVKTLPPKRKEFGKQNSFNILLTPNFMTFMVNLVVPAVYLVAQYARSEIKRMEAEAEEKKKKDEELEKEKQLEADSIKDETDSKLSAVLVRLDTLEGVVNEIVDDKKKGSAPRFSNKEEATKKGETRSNSTDGQVVPAKSKDNNGATNASPNSTQQSPTGNGDKASSEPKG >KN539377.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539377.1:54748:55835:1 gene:KN539377.1_FG004 transcript:KN539377.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQTTVSMEVAIKVEGSGGGGGGGGEEGEVVAKKEEVAAVAAAMELDLLGALRAEEMMIRAKPLANWLVVDVM >KN539377.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539377.1:103773:105564:-1 gene:KN539377.1_FG005 transcript:KN539377.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKTTANGGSGAAAVSGGGRTVCVTGAGGFIASWLVKLLLEKGYAVRGTVRNPDDAAKNAHLMALAGAAERLTLEKMIEPAVSGARNVITAAADAGCVRRVVMTSSIGAVYMGGGGGEEVDETCWSDLDHCRDTGNWYCYAKTGCRFSSRKLAELGVAVTPASQCLYDTVVSLQDKGLLPFVPTAAMP >KN539377.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539377.1:39535:39825:1 gene:KN539377.1_FG006 transcript:KN539377.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSKLSGIQRQVLALYRGFLRTARLKSPEERHRIESVVSAEFRENARKIDRKNFVYIEYLLRRGKKQLEQLKDPDITGLSTLEINKVYKPLNLK >KN539377.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539377.1:72825:74992:-1 gene:KN539377.1_FG007 transcript:KN539377.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >KN541823.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541823.1:8739:9445:1 gene:KN541823.1_FG001 transcript:KN541823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALGQKAEVRRQPILSIKLEEPTPPGYLPGGVYPVMVSGHDNLVSKALTMASDAEQVLHVTMSNLLYPMTEDLLHRVFYAYRSKKIYMYQMETRVKASVQFQSHEDAEYGQKTFHGRNFYNGCCRMDIHLELSSPAAISSNSTLTTPFSLIIEELRTDLKELVATLQEKLVNEEERRTREAGLPFR >KN540459.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540459.1:123:3630:-1 gene:KN540459.1_FG001 transcript:KN540459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVIGPLVSMVKEKVSSYLLDQYKVMEGMEQQREILERKLPAILDVIEDAEEKGAFRPGVSAWLRALKKVAYEANDVFDEFKYEALLRDARKKGQFNVLGMDVVSLFPSYNPIMFRNKMGKKLQKIVGSIEVLVSEMNSFGFIHRQQAPPSNQWRQTDSIMADSEKDIIRRSRDEEKKKIVKILHNHASSNRDLLVLPIVGMAGLGKTTFVQLIYNDPEIKNHFELRRWCCVSDDFDVGNIANSICNSTEKDHEKALQDLQEAISGKRYLIVLDDVWNREADKWEKLKTCLKLGGKGSAILTTTRDSQVARIMITGVVEAYNLENLDEEYTKEIIQTRAFSLAGSDELSEIVQKFVDRCQGSPLAAKAFGSMLSTKTSILEWKNIIAKSDICNEKTGILPILKLSYADLPSHMKQCFAFCAIFPKNYEINVENLIQLWMAHDYIPLEEKYHFETTSGEEIFKELAWRSFFQDVKQTPLVCSNNGDRVQLRYTTTCKIHDLMHDIALYVMGKECVTITDRSYRKELLSNRSTYHLLVSRHRTGDHFDDFLRKQSTTLRTLLYPTWNTYGSIHHLSKCISLRGLQLYEIKELPIRPIKLKHLRYLNLSENCDIKELPEDISILYHLQTLNVSHCIRLRRLPKDMKYMTSLRHLYTNGCKNLEYMPPDLGHLTSLQTLTYFVVGAISGCSTVRELQNLNLCGELELCGLENVSEAQASTVNIENKVKLTHLSLEWSNDHLVDEPDRQKKVLDALKPHDGLLMLRIAFYKGNGFPTWMTDLSVLQNLAELYLVGCSMCEEFPQFCHLNVLKVLCLTSLDNLASLCSYTTSNFFPALRELQLHRLERLERWSATEGEEVTFPLLESASIMNCPMLKSLPKAPKLRILKLVEEKAELSLLILRSRFSSLSKLTLSVSDGNAGLELDQNYEAPLSEMELCGCAFFFPLGPSRPTVGIWKWFGQLVDLKIESCDVLVYWPEEEFICLVSLKNLAIENCNNLIGHRHVSGGSTRVPSDQLLPYLTSLSIRECKSLEEIFRLPPSLTSIYIHYCKNLQLMWREDDTESESVIQVERRSEHCNDLPSTSVPDQQSPSLRNNSLPCLESLTIGKCHRLLTLNHLPPTVKSLRIGLCDNLHSVQLDALKHSLEKLLIFHCEKLCSVSGQLDALKRLRIDA >KN540459.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540459.1:33861:38647:-1 gene:KN540459.1_FG002 transcript:KN540459.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNKNSIVEKFRQHFSSPQFKNIQEQQKQQERGSGTSQSLMPKLDWKKASEKALTLAGCSTVQGPPSQAVHTTTAGKQCEKKDKEPDIQSTPEVGTEEMLVYGSTEKKKLVSSTIENHVSCNSKNVNTAGKVHQMEPVQSVPLVHRVSPSASAHQNSLVNPAIGTNEVQHRRSTRATKIYETASQHLSKKRGFHEAAHESTKRHCSPTTSAASSFSIVGGEEHGGIESRRASPTNHHSAPIRVPSRRGGQRATRWDQRGGYGGGMVTSTSWRPLAAAHSDVIQRDAPRSPQSINNFHSRKHTWSALEAHHDIFVDQAAGDTQGGGASDAGASSRLILATDPRECNVSEIQLVDPSAGNV >KN540459.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540459.1:26860:27367:-1 gene:KN540459.1_FG003 transcript:KN540459.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVANDLAVVLGGSLITANMISDMLRRNHNVHFWLRILRRFERMVKNNFLKYGEHPKDIIEKEQPVDSTEFMTSYPTHACILVKPPRVERDDIPNYKKPSISFKELYFMTRMDLQQLQGSAEAPVDLVTHSDIL >KN540459.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540459.1:8581:18219:1 gene:KN540459.1_FG004 transcript:KN540459.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSDLASRPDLGSCMVAATSEMERLKQLFTARSVVAWSDEGQKVEIGTFADDIRSAFRIHRSDIQITKFYPEDFFLTFVNHSDREEVLQEPRLVTRSGRVYHFKPWNERRNAEESRCRSKKSSTYATVPQFTQLTFSFTNDMVQAVDNAKEASKVKVRIIRLWDGLNPNRHDSY >KN540459.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540459.1:42420:48560:-1 gene:KN540459.1_FG005 transcript:KN540459.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASTATMDSLLGKLGNLLAQEYDLIRGVRGDIQYISDELASMKAFLLDLAREDPDNRKKHWMKQIRDMAYDCEDCIDDFAHRLPNDSLDAKCCPWIVTLVYDLWTFGPRREIASSIAELKVRAQLIADRRIRYGVENPNTQKGKGPPDATSYDIAEDQLASHELGMNEPVGMEKAMKDLEVWVDGTACQEPAVVSIVGFGGVGKTTIAMALYKKVMYQFDCRAWVTVSQNYDLDAVLNDILKQIDPDYRQQCSSKTGTSENIKTLARFGSKLKRDVQRTGSLRQSSPRSIEETSNLKRTETTDNKLESQIKKLLDKKRYIILVDDIWSAKTWKTISDYLLLTDNKERSRIIVTSRFQAVGSTCCRPENKDLLYPISFLSPRDSKELFNRSVSESKSTKDRHKVQNNVPGDLWKSCGGQPLAIVTMAGLVACNQDQPKSYWAGLHKLLPEEVSITAGAQEQETSLNLDGVTRILDCCYNNLPGYLRTCLLYLAIFPKGWKISRKCLSRRWIAEGFVNAKQGLTAEEVAESYFNHILRRKLIRPVEHSSNGKLKTFQVHDMVLDYIVTKAREENFITVVGGHLMMIAPSNNKVRRLSMQSSSSKHGDSTKGMNLSQVRSLTVFGSLTQLPFHAFNDRIIQVLDFQGLKGFKNRHMKHICKMFVLKYLSLRGTDITHVPPTIVKLEYLETLDIRETRVKELPKEVEQLKLISRILGGSKNKNPRKGLRLPQEKSKKQQHKSMLTQDKEKEGMKALRILSGIKIDETTAVAGLHQLTGLKKLTIYKLKLNPEEPGTRKILTELRSSIEYLCSCGLQTLAINEEGQSNFINSLGNMSAPPRYLVALELSGMLKKPPGWIKTLRTLSKLTLSLTVLRTETLEHLRALPLFSLTFSFSFGEMEEDQDKKMKDIIEDNKSLSDGEIFVPGEGFKSLKLLRFFAPLVPKLGFCHNAMPALEMIEMQFQAFEGLFGIDTLGNLKGVRLREAKPREEDKQTAQINDLLVRDLKDSTEGLKVIIDHTFTS >KN541167.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541167.1:19813:22581:1 gene:KN541167.1_FG001 transcript:KN541167.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAILLAIKKISIAVAGEMLSLPRPIIAKKSELVVALPTNMELVKDELEIINAFLKKVKTRDCRDNVLETWITQVRRLAYDIEDIVDQFIYVVGEHQGKGFWGNLKKFVKKPESLFSLDRVATEVEKVKLKLKELSSRRDRWVQSTICRPDAEIPNYDDEQGVYQFRHSQVPDYDDNELVGVDEYRETLTKLLHSEHCSLRIVAVCGMGGLGKSCLVYNVFKRERSHFDCSSWISVSQSCKMDDIFRNMLNQLLGDSSEVNYDTSRMGIEVLKEELKRFLEDKSYIIALDDIWRAPVLLEIRDTLFNSGKGSRLIITTRIDEVAAIAEDACKIKLEPLSKYDAWILFCRKVFWKTENHACSPELHKWGEKIVNKCEGLPLAIVALGSLLSLRDKTEAVWKCFHSQIIWELQNNPDISHVEWILNLSYRHLPNHLQNCFLYCAMFPEDHLLRRKKLIRLWIAEGFVEQRGSISLEEVAESYLIELVHRSMLQVVERNSFGRIRRFRMHDLVRELAIKMSEKESFSSLHDDTSGVVQVVSDSRRVSLIRCKSEITSNLASSRLHTFLVFDTTMLQCSWSCFVPPKSKYLAVLDLSGLPIEAISNSIGELFNLKYLCLNDTNLKSLPKTITRLHNLETLSLERTQVTSFPEGFAKLQKLRHVLVWKLLYNEHSSFSNSLGMGTIEGLWNLKELLTLDEIRANRKFVSRLGYLAQLRSLYISDVRSNYCSELCSSLSKMQHLLRLHVKASNQDELLRLESLQLPPELQTLQLTGKLTGGVLKSPLLFSANVNSLVRLSLCWCDLTEDPIPYLSKLSNLTSLHLRRTYNGHQLRFHAALFPKLKGMTLQDMVEVREIYMDEGTLISLEYLKLDGLKQLAHVPDGIEFLSSLKEVYFWTLHAHFRGNLQESARMGRLNHISAMNLR >KN541167.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541167.1:25142:27561:-1 gene:KN541167.1_FG002 transcript:KN541167.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AAAQGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLAQALVTAATRCLCLGPALSSRGCAVASFVLSWITFLTAEACLIGGSVRNAYHTKYLGYYMKHDLVTCATLRKGVFAAAAALMLINLLASLMYYWSYSRAATGGFIKHQNEVGMSMTDYGLDKGGSMP >AMDW01039345.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039345.1:25:900:-1 gene:AMDW01039345.1_FG001 transcript:AMDW01039345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQ >AMDW01035946.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035946.1:25:592:-1 gene:AMDW01035946.1_FG001 transcript:AMDW01035946.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KERQEYEVVVESGRLVYKQSGVFVHTSDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKDGILKAIWPYSGHYLPTEENFREFISYLQENGVDLADVK >KN539854.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539854.1:50484:51752:1 gene:KN539854.1_FG001 transcript:KN539854.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAQRWRSLHGEGGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESGEGGNSGGQEEPKVARGFRSLYKTAGEKVKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDKLQKSGRVNVLRIVNAGDMVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSMAYGNCAVASPSS >KN539854.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539854.1:23802:24731:-1 gene:KN539854.1_FG002 transcript:KN539854.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGAWAHAAHGSSTPQYELHVYMTSFRWWYGTGMCTDLILMRLTRFQGDGGYAVGVSCALVLADPLALARFLLSWARTHARIRAQGKVTPLPMAQYLAYFQRPETTRKRTTIETCTASPGGGGASLEAVQWSELGLEELVLRDSKPVHVSCSIVTGGGGDEGLVVVMPDDGAGSLLVMATLPK >KN539854.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539854.1:52354:59208:1 gene:KN539854.1_FG003 transcript:KN539854.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLAASGRCAPATRAHHGLLFARAIQILAPPEPVSLHKLSAPDCGIVELRLERPEVKNAINWEAMRLLRGVVEKVEADDTVKVVLVTSSVPGVFCAGADLKALSIPTIAVIEGAALGGGLELALSCDLRICGENATLGLPETGLAIIPGLKRQSSSFTVLRRAGGTQRLPRIVGSSRAKEMIFTGRRCNAAEAVMMGLANYCVPAGEAHEKALELAREITQKGPLGIRMAKKAIDQGMQAADMPSALAVEGECYEQLLHTEDRLEGLAAFAERRKPVYSGNRDSRVNKEVCVVSVDEFSCTCPGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGILSIDHIPSILSKSFVPSFQNSNTSMLVFSAENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKKALELAQEINQKGPLAIRMAKKAINQGMEVDLSVGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >KN539854.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539854.1:66607:71661:-1 gene:KN539854.1_FG004 transcript:KN539854.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLTEHDHQPEQEPPHSENHLKQAEPNSFQFAEKETGYAGLQNFTGPKVDVGQTSGEQQHVKQMVGQQAPPGAQDARKRGYQPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRSGQANANQQANANQYSLQSQVSSSGSAQLHDQQVHVSTTPNQGQKNQALSSSQTFVQSGTQVQSSMTAHDNSIQRPDAKGMHVTPNRPPVMNSAISAQTMNKQQQPTQVQQASQQIYGTTNRPDQPYTRPIGGSTPLSSLSSESEIRPSSHPAKMETLPSHPMTQQNAAAQQMQQNKDVKTNASNPRSNAKQDSGTGKGRAVGTGGSSTKSQGKQGPPNVSTPPAAKSNKKTAGQKKSLETSGSTPPPPSKKQKTSGTFQEQSFDQLNDVTAVSGVNLREEEEQLLSAPKEESWASEEARKIAQEEDGKLFLQKGPLLKKLAAIVPKCNLKSIGGDVEHCLSMRIDTEKSGHRLVITSDVGRQILRMNQKAKEEWDKKQAEETDKNKKQNEVDGGGTVELDKEKEETRSKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDLAASSQRGTASRSHMAGKGPTDHHEASKRTHSAAFGTGGMNRQGRGPFAASHPKGPQRTISMKDVICVLEREPQMTKSRLIYRLYERLPGDSTRD >KN539854.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539854.1:20625:20975:-1 gene:KN539854.1_FG005 transcript:KN539854.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLAAAGLVVLLLAAVAPASAVDYTVGDTSGWSSGVDYDTWAKSKTFSVGDSLELQ >KN539854.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539854.1:63492:66175:1 gene:KN539854.1_FG006 transcript:KN539854.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADKIVEPKGPLVATAQLPNNVELLKSVVLNPSREGGSSQEQYERDLLAEGEESFRSEESSDEGGRSSFSGVSHPPEPIDVDLMSTVYVAIDEEKPEPPECLMRGLPVKGASMEDLSVHAMDAKPDVIVCTVNVNGLVEERKVHCAVVAPDAVEAVSATQASEEKDCVWDASFPPSGNVSPHSSSDSTGAVAATSIRGSSTSTCRSGVLTSESILTVEKTCESAKDSARGNSMESTKTSMSRASDSSGVSDDSNWSNITGSANKPHKGNDPRWRAILAVRGRGNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASASDSDPRRAGGSFCVQPACMEPSSVCIQPACFMPKLFGQRSKKQGRRPRCGVSL >KN539854.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539854.1:1577:3608:1 gene:KN539854.1_FG007 transcript:KN539854.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAGHLGDRLDLRLFLAVGMIGSGTAVALFGVGYFLSLHSLAFYLAAQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLRYGWGWSFIVPGLLIAFGGVLVFFFLAPYPEDLGFSPTTLPKAVSEASTDEEDSSSSASAAGGAGKEERRDAVGMWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSQTAIGGKEMSVTSAGYFSVLFDVGGIIGGILAGFISDHLNARATTAAIFMYLAIPSLYAFHAYGSTSEVANIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTSIIDGTGSLGAALGPFITGFISKRGWDSVFTMLIFCALAAGVLLSRIVKSEIVHIIQNRRNHTLDMHNRTAGEDLNTLMLHLSPFWICETMVLIYRADVFLRVPHRFWCSTTFRRRYLKSRQFIRVGGVEYSFVMPP >KN539854.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539854.1:33495:33992:-1 gene:KN539854.1_FG008 transcript:KN539854.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALSSAPPPCGGAAAGGELGALTGDGCIFERCRCRRHGAWSSSTMIAPPPRGAAASKLGILSDNGSFCSAPTHLSSQSHRCCQRRVRVPARSRLSSAMASSSGPEDLARFMSLLGERGRGNGEEKKTGKRASDASKAFSQEWRIDKFDRDSDSLDDSSDLKT >KN539854.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539854.1:75964:76966:1 gene:KN539854.1_FG009 transcript:KN539854.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLEANPDVMNQFMWGLGVAEGEAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLRVMNAASWGDQDDDEEESDAAATSTAGDKDCTITVLEILLVESTIVEFAELYVLVLRYPGH >KN539854.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539854.1:36989:40257:1 gene:KN539854.1_FG010 transcript:KN539854.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLFRDLARFRRAGCSVASYVYKGKLTFGIWEDNVLFDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQITNSQIRMSSYDAMVIVNESRKICSEDTGYGVHCGILLDKNDNYKQLLPGSSWNYYQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >AMDW01008429.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008429.1:24:167:1 gene:AMDW01008429.1_FG001 transcript:AMDW01008429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVQRVRCWDHRRQPAIGRPTLPDKALRLGDKAKQVAHSPSSSRSLP >AMDW01039872.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039872.1:105:262:1 gene:AMDW01039872.1_FG001 transcript:AMDW01039872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVTDRYGRAKEEQQQVANPNSELKEFC >KN539828.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539828.1:48504:51577:1 gene:KN539828.1_FG001 transcript:KN539828.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRETSCGSLLQKLQSVWDEVGESEEDRDKVLFQLDQECLDVYKRKVDQATKSRDLLLQALDYSKTELARLASALGEKSIDISPEKTARTIKEQLTAIAPTLEQLGKKKKERIKELANIQSRIEQIRGEIAGTLEMGQQVALPQINEDDLTVRKLREFQLQLQELEKEKSRRLEKVLEHVGMVHDLCNVLGMDFFRTITQVHSSLDDSIGNEHKNISNETLSKLDRTIGTLNEDKRLRLEKLQELATQLYDLWDLMDTPVEERSLFDHVSCNRTATVEEVMVPGALAVDVIDQAQTEVERLDQLKYSKMKEIAFKKQAILEDIYASTHVVLDTAVAHEKIQALIESGNMEPSELIADMDSQILKAKEEALSRKEILDKVERWISSCEEESWLEDYSRDDNRYNSGRGAHLNLKRAEKARILVSKIPALVETLVAKTRAWEENHGLPFMYDGVSLLAMLDEYVILRQEREEEKKRMREQKRQTEQLLNIDREGPFGTRVNPYRVTSAKKVAGTKPNGGASNGTPSRRLSTGNQLNESKSTGRSAGKDDKKGASKNTATSLNEAAPADKEAADSSTENFDADPVPGST >KN539828.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539828.1:70850:77640:-1 gene:KN539828.1_FG002 transcript:KN539828.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGSRYQRERVGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFDQLKLVKPPQKQGINFLAAIFPTVNAVQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSNFFGYLKERYDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGYLINSAVPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSKDGEPSRFRTPRRGYSSLSSEDRNSKSWRRGDSVNDLELTSLRTDNYDTYNEEMLLDDLKVCRPLILIVNGLADAMVSQAMSKSYVKIPTSATVTEALKLLHDKQQNCGLVVDCEDFLEGIVTLGDIRRMGFELHGDSFTSGDQLKPAVKTKQFTQAGKECVLINGDVAGKFFNHIIVSYSRIPARGIKQLPVVKRGVGHRTEGKRKLIALLHYDSIGHCLREEIENWKAIYQIKEDFHLIANGH >KN539828.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539828.1:31150:37654:1 gene:KN539828.1_FG003 transcript:KN539828.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPSANVVMDRSQDPFNSRGIAVPPAVKMRNMAGNNNLWIDPPPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAMPSNSRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQSDSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >KN539828.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539828.1:52552:55319:1 gene:KN539828.1_FG004 transcript:KN539828.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCEICGHYHNSEEGERCGVCGHRSGPVAGEPPATLDPAFPTEVLKDFLFLGSYNNASRSEVLKTLSITHILNTVPDCQNLYRNSFTYHCIQDERSLDFDGANRFLEQCERETSRVLVHCMSGKNRCDSSVVSIHVVSIVVNDRLILLWYKISRSAAIVIGYLMKSRGWRLSQSYQWVKDRRPQVQLTDASQHQLVEYEQKLFGPNVGAPAQSSVPTESFPPLGFGFPKPAGDIQAPVFNQQPVPSIFERVNPSNIPSNFTFGAMEANTPMDDNGASAPTSGDNPMDSS >KN539828.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539828.1:59662:61495:1 gene:KN539828.1_FG005 transcript:KN539828.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLLTLILFSGLGFLPRHADAARGYVTVSTSSFAYVVTVSLGTPAVAQTLEVDTGSDVSWVQCKPCPSPPCYSQRDPLFDPTRSSSYSAVPCAAASCSQLALYSNGCSGGQCGYVVSYGDGSTTTGVYSSDTLTLTGSNALKGFLFGCGHAQQGLFAGVDGLLGLGRQGQSLVSQASSTYGGVFSYCLPPTQNSALRRLTRLV >KN539828.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539828.1:21390:22871:1 gene:KN539828.1_FG006 transcript:KN539828.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQELHMSRGDGETSYAANSRLQEKAILKTRPLLHKAVEEAHASLSGLSRAPAGGKMVVADLGCSSGPNTLLVVSEVLGAVATSRSSCDHKSSLVAADVQFFLNDLPGNDFNLVFQSLELFKKLAEKEFGEALPPYYIAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKVPDELASGEVLNAGNMYIWETTPPSVVNLYQRQFQEDFSQFLALRHDELVSGGQMVLTFLGRKNRDVLRGEVSYMYGLLAQALQSLVQEGRVEEEKLDTFNLPFYSPSVDEVKAVIRQGELFDISHIQLFESNWDPQDDSDDDDVATLDSVRSGVNVARCIRAVLEPLVARHFGRGIVDDLFDMYARNVAKHLEQVKTKYPVIVLSLKAIR >KN539828.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539828.1:79931:80008:1 gene:KN539828.1_FG007 transcript:KN539828.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLHLLAFSLLFAVATPIRDITD >KN539828.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539828.1:56612:57620:1 gene:KN539828.1_FG008 transcript:KN539828.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKATAVLDEAARARLRGPFASGAASLRRDQDDDDDDLLVDLVHEFYDDGERGADATARGGVSSWLIWRIKQEWVI >KN539828.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539828.1:39206:44539:1 gene:KN539828.1_FG009 transcript:KN539828.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPPKASIAVRLMLTKRKKTAHVLMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALYSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGGSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAVEEKPQSTVISEVCTTKDMEMTTNATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >KN539828.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539828.1:905:4926:1 gene:KN539828.1_FG010 transcript:KN539828.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGGHATTKNLPGLMKKLRGLNEVISEEEIAAHLSQSYPDADQEIEFESFLREYLNLQSRVSAKEGGGGGGGGGKTASSFLKSSTTTLLHNLNQAEKSSYVAHINTYLREDPFMKKYLPIEPTDNQLFDLVRDGVLLCKLINLAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSTDVKDGEAYAYLLKALAPEHSPETTLETKDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTRQVTLNQTASRDDILLSREERSFRMWINSLGVDSYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVDKSLSNGRFFLELLSAVQSRVVNWKVVTKGEDDEEKKLNATYTISVARKIGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSEMSEQSEPSSMASDAASDIASEDAASITAASEGEEVNSLSDSMSNLTTDDAISNAPPAENGNGVAG >KN539828.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539828.1:63220:64702:-1 gene:KN539828.1_FG011 transcript:KN539828.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPLLLLLLCGYHSVVAHAGDGQSYKVLELNSEAVCSERNAVASSLSGTTVALNHRHGPCSPVPSSKKRPTEEELLKRDQLRAEHIQRKFAMNAAVDGAGDLQQSKVSSSVPTKLGSSLDTLEYVVSVGLGTPAVTQTVTIDTGSDVSWVQCSPCPNPPCHAQTGALFDPAKSSTYRAVSCAAAECAQLEQQGNGCGATNSECQYGVQYGDGSTTNGTYSRDTLTLSGASDAVKGFQFGCSHVESGFSDQTDGLMGLGGGAQSLVSQTTATYGNSFSYCLPPTTGSSGFLTLGGGGASGFVTTRMLRSRQIPTFYGARLQDIAVGGKQLGLSPSVFAAGSLVDSGTAGMKQYRSAPARSILDTCFDFAGQTQISIPTVALVFSGGAAIDLDPNGIMYGNCLAFAATGDDGTTGIIGNVQQRTFEVLYDVGSSTLGFRSGAC >KN539828.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539828.1:44901:46914:-1 gene:KN539828.1_FG012 transcript:KN539828.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVFVTTATAAAGVLILVVAAGAAAAAGARRHHVVGGDPGWAVASDVLAWSADRLFTVGDTLWFAYSAEDGGVAEVGGEEEFESCDAGSPVRMYTEGLSRVDLGGEGSRYFVSADPDKCGGGLKLRVDVRAPVAGRSFQVNFRTGQKLEYLRNLGETLGCKTKLRDSRVYQTIENTSAPCTGPDGDELYGKKSYHYDRTYESLNQILCNYSLYKISAEEDKTEFEQKLAALQNG >KN539541.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539541.1:65956:68485:1 gene:KN539541.1_FG001 transcript:KN539541.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDETAAAAPATTALSGHGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPMDPKNDHLRALDGAGERLVLLRADLLDPDSLVAAFTGCEGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADTGIKRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLEYCKRTENWYCYAKTVAEQGAWEVARRRGVDLVVVNPVLVLGPLLQATVNASTEHVMKYLTGSAKTYVNAAQAYVHVRDVAEAHVRVYECGGARGRYICAESTLHRGDLCRALAKLFPEYPVPSRCKDEAAPLVKGYLFSNQRLRDLGMDFVPVRQCLYETVRSLQDKGLLPVLPPTADDHHHPSS >KN539541.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539541.1:37229:39117:-1 gene:KN539541.1_FG002 transcript:KN539541.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVFVAVAVPVSLLIWTAAGLLCTSRVHAVTDTIPAASPKMDGSGAFGVLSMATKACGGGSGAAGLGSMLGQSNGGGCGVSGPAISGSLTRTDGGGCKSCCCSCSLVGGLNELLQLVSWLNLQMLLLVEALGGKLVGWLLRLLLIEALCGNIFPSISSVAYLRQIVTRQGFSRRHPNSCMFKASWSMETFFKSPCSSIEVEDSIRVEYRPSSRLLSIGLQSVLLRFDGELIDNIMLSPVKVKKNLWLNNNIMLRTSKGSPEMA >KN539541.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539541.1:81305:82803:1 gene:KN539541.1_FG003 transcript:KN539541.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEPSKGVKMPMGSADWNDYNTRVVYEIFVEQVAASNRPNTYLSNPGYDEVIEKFAARTGWDNTKETVTTTVERWKQLKADTPGCTKFMKMSSESEDGSSDEEYEFDLLAM >KN539541.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539541.1:95766:96506:-1 gene:KN539541.1_FG004 transcript:KN539541.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRRLASAIPSSSAPADELPGRGGDALGAERLEGSVPAGELVKAKATKKPVAFTRVWSEADELRILECLAAHVEKHGAPPGRSQLPEVLAGRGLDKEEFTVSEIYEKVRRLRTQYDKMLSGPRPVPGDNRFELSCAIWGNPVAPPPTSPAPEKSSIPASGTRGRRDLEELRPLYPYLVDEVERISTNELGGHVLKMGLEFIDDGTAVRMNGMAKKQRVLELKTMLKLDSMRNEVTRTLLNNMD >KN539541.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539541.1:27390:32042:1 gene:KN539541.1_FG005 transcript:KN539541.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRTDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGSLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENIPSLPEGKTRSLLIPPAPLTASGDWPLLRVMRAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNESQAKRARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVFCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQLR >KN539541.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539541.1:85904:86326:-1 gene:KN539541.1_FG006 transcript:KN539541.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNNREKNKYQKVDDEDWGAPSTNHLRACHAN >KN539541.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539541.1:2752:8218:1 gene:KN539541.1_FG007 transcript:KN539541.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRREVKEEALDDGDAAARAEASPFHKRSRLALQHWSTDGASVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGTASASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASVSGTQGIKQDAASGDCERQESIYNWNGIKVPGIRRSMSKSEIANHIGNHIYRQMYSGNLPAVHRGDSTSSKVTLDGITRFLLGSSQIIGDGDGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKPQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >KN539541.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539541.1:41230:41855:1 gene:KN539541.1_FG008 transcript:KN539541.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTEEWRRNADTHKMSAEEVRAAGVEASMRPPGRGHGPGEVLHQRGRMPYGPGTMALAGLGIFGVLGYLVLYHKARPGTPATEVAKCI >KN539541.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539541.1:42765:45720:-1 gene:KN539541.1_FG009 transcript:KN539541.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQQGKVGEDSGKGGAYGISACNLFNGMSSQYEVSKEEILLVMNEKVTKEEALHLIHGLRDAKRRINEKLDRLLEMFGVKVDGEAMWLRNSMPLLRSSTPTQRLQHLHHPSFVYPEGSLLLVNFMQDVSKDGIIYYDIEGIAECTQVRNNAHSKALGSAFDAAWPPPHENSTVLLIDVHAFAKLGIANMVEAKEEMKLFVAKLYVLDLSCATPSIGHFGNHGPFQLLVCKIWPQFLLWKIWSSEAEIKLLIVGHPKQYIKDTILVLVEVSLYDLGGNCSLFEAERGVLTGNKHFYGEQPEFLSDKLELDIRKKHMLKIIDACYESWSTRKWIDVTRKWLHRMLGGGEHASNFSWCLCWTLKVNIKVKKLLQGASKISSKLKNGGDIREAFEQ >AMDW01031720.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031720.1:264:407:1 gene:AMDW01031720.1_FG001 transcript:AMDW01031720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRRHPYEGGVGVCAPCLRDRLLALAAAQNEASSLPPPLPEPVPVFP >AMDW01051373.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01051373.1:654:849:1 gene:AMDW01051373.1_FG001 transcript:AMDW01051373.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGFGDARSGNGSRSHCSRGKAMLLALGKALPEQVLPQEKVVETYLQDTICDDPATRAKLERL >AMDW01020956.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020956.1:38:298:-1 gene:AMDW01020956.1_FG001 transcript:AMDW01020956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EARVVEDIERILREIRSDTDSDSDDEEELLGVDASTTTTTNSTTTTATAARRRSSNHTYTLGPKVTDGHGGEEEVLSVVVQDDDPDE >AMDW01031284.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031284.1:211:297:-1 gene:AMDW01031284.1_FG001 transcript:AMDW01031284.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVRVDLDKLPIKRLHAIDEAGNEHYP >KN539454.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539454.1:62153:63274:-1 gene:KN539454.1_FG001 transcript:KN539454.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGENAKIGLAAKWCPSLRSSYDRATLLCEAIARRMFPRESSEEYLFLSDEHYAYRVRNRLRREVLVPLRKVLELPEVYMTAGKWEQMPYARVPSVAMRQYKGAFEKHDKSGVAGFLDEVRTGHARLHVGAAMPHELVAAALKGEHDEAAELQWRRMVSALAAGGRLSNCIAVCGLSSGGDVAKPPGAAAIALGLLISELSQDPWKGRVITFDATQQLHKVCGATLVEKLRSLAASVRAAPKGSSLNLQGVFDRILTVATYGGLAKDMMVRRVFVLSDMELNASAWRVQDELKTIRSKFTAEGFTAPEVVFWNVGAPASAPVVATEANAAVVSGYSKNLVRLFLEWDGQLTPAAVMADAISGPEYDSLEVVD >KN539454.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539454.1:89625:93758:1 gene:KN539454.1_FG002 transcript:KN539454.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADYSRAKVWTVTSMTFAIWDARTRELLKVFGMDGQVESARLETPVMPEQPIEEEVKVKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQAMDGTIWSGCTNGSIILWDGNGNRVQEFQHHTSSVQCIKALGERVWAGYASGIVQVMDVEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRTELTNKELSYTRMEKINIMVGSWNVAQGKASAESLKSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGFGRAIGNKILLQGGVGLRIRVYDRKMCFVSNHFAAHLEAVNGNQVDEVRPDLAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIETNEKVRSMLEQSSFVPDTTVSTSEIILENQENIVFRITNKCETSKAAFEITCEGQSSKKQDATKSEILPRASFGFPLWLEVQPAVGLIKPGETAEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKECVLTVNIRGSTSTETKSHAISIRHRCPATSAPPPIISNPLSSSAAPPINALASEGPPSKRSSKKRESNHHKREQREQQQQDYAQFGSSEVHDLCRMRCP >KN539454.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539454.1:23275:26146:-1 gene:KN539454.1_FG003 transcript:KN539454.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFTPVGAGQEELGLEATGVESNELKIADTTELTKVNKAGVDLPKETAEEIRPCWSKPRSNVQETKGFVTFSLTMGPEYHISQITDAVVIARYLGATLVLPEIRGNELGKRRKFEDMYDVDKFMTSLDGVVKVVHSLPNAVSSKKPAVVRVPNRVTEEFITGTIEPIFQRNNYLRLATIFSSVSLKQKESGNKDLDSTACLAMFSGLQLKPEFSAVAKHMLDRLKEISEKSDGMVIAIDLQTELLEKKICKTNRGARRRGCYYPQEVVHFLKKDDIMPAANKGEFLKSGDSYLARALDLKICSESDVFVPAIPGLFYGHVAGKRIASGLTNIIVPAPVSSSSALASEFVSTYVSKKSHLAYSCYC >KN541149.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541149.1:502:7156:1 gene:KN541149.1_FG001 transcript:KN541149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KVILESAILTTVVVFSLTAYTFWAAKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGRISQMIYGGIASLIFSGYIVYDTDNIIKRYTYDQRRIRFFAAPVSSRKEFRGISPHRRGSSGGCSGGDVYGARASRTSTASSRRTPPRKHWICIEDKFRGAPPATTSHRRAARSSSWIHVWILAARVVFGKKKVEPMVKDAAAPEKGGRLKMESSNAQPQDSAYSVPKNPSMTCCRKRSDGATFLEDLKDHIEEFIHASMDEHKTCFKHHPKGHLHLIMISYSTLYEEYVFID >KN539454.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539454.1:65301:67366:1 gene:KN539454.1_FG004 transcript:KN539454.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETAPPTPPPTPSSNYSTPTSTPLLLATPRKARTENDSATYANSGNPCLDLFFQVVPDTPADRVRGLVAAAWAHDPLTALKLVCNLRGVRGTGKSDKEGFYAAALWMHEHHPRTLACNVAALAEFGYLKDFPELLFRLIHGNDVRKLGKAKAAAHKMRKAREKAATLAGRKRSRGYVGGSILDAMTPSKPLLSDFVCAELSKSKTKSKNKVKPETSSSNPGAAMEIEKPQEAAQPVAMEVDGKPEKEKGVGGKPEKKEVSKKARKAGKLAVQSLERYYGDRAYRFLFDCVAEFFAELLASDLEQLAPGGKRRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYAQLSDEHYAYSVLRRLRREALVPLRDVLQLPEVYMSAQRWSELPYTRVASVAMRRYKALFKKHDEDRFAQYLAAVEEGKAKIAAGALLPHEIASAAMRGEEDDVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMSGTPMDVCVALGVLTSELSEEPWAGRVITFSARPQLHMIKGKTLAEKLRFVQRMDWCMNTNFQAVFDQILRTAVDGRLPPEKMIRTVFVFSDMEFDQASANHWETDYEAICRKFGSAGYGDAVPQIVFWNLRDSTSTPVTSTQPGVAMVSGFSKNLLKIFLQNDGVVNPEAVMAAAIAGEEYQKLVVFD >KN539454.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539454.1:77099:79362:-1 gene:KN539454.1_FG005 transcript:KN539454.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKGTLLQGGDSSSQGGKRLRYSGPDLPEDIWRHIHFLMPLRDAARAACISQAFLRSWRHHPNLILTKKTMGLEHKAYRRVGMARDFTSTVHSILKNHSGIGVKRLKLDIIYDHRNLNICYLNNWLQIAITPGIEEITLLLPSKYTFPCSLLSGGNGRSLQYLKLVSCAFRPTASLGFLSSLTKLHLCEVRIKDDELTCLISKSLALKQLELLNCRQIICLKIPCLLEQLSCLNVSLCENLQMIESKAPNLSTFSYCGNLVVELSLKQSSQVKTLDIDCYDESNFLCHVITKFPNIVPNLETLTLHSIDERINTPMVASKFLRLKSLEIYFESLDPDKAFPLEYDYLSLVSILDASPVLDTFILCIQQGEMKHDSVFGDASNLRTMPGHKHESLKDVEIIGFCSATSMVELTCHLLENATSLEYITLDTVCDVDDLEDIGRCCTTNLRKTGECFPLRREMILEAHRGVMAIERYIRGKVPSKVELTVLEPCTWCHDLERLDALDKGKLITFPTS >KN539454.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539454.1:15912:20513:-1 gene:KN539454.1_FG006 transcript:KN539454.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAYKAERYEDLIQAMENLAMLDEELSHEEKDLLVFSYRNVIAAKLASIHNIKSIQNQELETNGSFVKQTIGYRHKVEHELGQICSRAINMIDKYLIPYSSTAENKGLYYTMKGDFYRYMAEIEAKSHKKKHEASALSIESYKHAMKIADDELSVTHSIKLNAAYHLSVLLYEINQSPERAFEVALEALLDAVPKLEEMDVLGSTALSSLEKNIMGWSADLPYAEEKYKENYEKKIEKDKSREKTVAR >KN539454.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539454.1:94788:102605:1 gene:KN539454.1_FG007 transcript:KN539454.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLMVAEKPSIALSIASALSGGRTVGIIAAVGVASGTGRIQGYYRAEKIGWTDMMSTRKGSTDVHEFDGMFRGSHAFFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFVAPVLRSECNPKAHIRRHLAQEARGCTYLVLWLDCDREGENICYEVIDCTGIPENEVGRRIFRAKFSSVTEKDIMDAMNNLVLPSKDEALAVDARQEIDLKNFVLQFFPLLYIRYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVASDGILKVTDISVKEECKARPPGLNTVNLLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSALAALVHNPLWSNDVRTLLDTGFVKPKQGHDAGDHPPITPMRLATEEALGTDAWRLYQYICQHFIGTVSPDCRYTRTSIEFTTGGETFHCVGNRVTSKGFTSIMPWLAVSENNIPAYKKGDNVTIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLIAKGEADHLQVVQHVLQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKYISTQPMRLYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILDPVSAPKWRLYCNRCNCIVLLPHAAHKISTTDKKCPTCESTIIKVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGSSRGRRGSSRHDDPKMSFRDF >KN541149.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541149.1:21419:22068:-1 gene:KN541149.1_FG002 transcript:KN541149.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSATLGSARPSSTRPAALLRRLPGLQRVANTGSVWWPSSSLTCASCRSLRDASYRVLQRCEPPKPSTDLGHHMTYIPEDHESMMPRRVLVLELGHPKESGVLVFFFQITSRNSWMFAVDAWPPEACSRARSSTHDAAAADGVPLLSLRRYVRATWRR >KN539454.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539454.1:68182:76039:-1 gene:KN539454.1_FG008 transcript:KN539454.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRDRCRRRKAQARNGVITSMAKRKGTFLQGDDTSQGGKRLRYSGPYLTEDIWRHIHSLMPLRDAARAACISQAFLRSWRCYPNLILTAGTLGLEQRKNWKVHMAKYFDRVDHILKNHSGIGVKRFQLQCGRRKLIARLNNWLQIAISSGIEEITLSLPPEYSFPCSLLSCGNGRSLQHLELVNCAFRPVAGLGCSRNLTKLHLHSVLITDDELACFLSESFALKHLDLSDCREMVYLKIPCSLEQLNYLDVSSCRLQMIESKAPNLSSLSYSGNLVELSLGQSSQVKTLDIEFYKTNFLCYVITKLQNIVPNLESLTIHSDVERINTPMVDAKFLHLKYLEIYFDGLDGDDEAFPPEYGYLSLVSVLDASPVLDSFILSVQQGGMKHDSVSGDTTTNLRTMPGHKHERLKEVMIIGFCSATSMVELTCHILENTTSLETITLDTSPGFPLSELAEQICRLERGEREEEEAADADADAVGLYHLMVDERTEAVGGSSTGGDTIMASPPASASRPLDPAWVHAKVIGNSKNALACLHCGKKIGGGGITRFKYHLAGITGQVQACKKVPNDVRRQMKQLVNEHRSRHDTRDGHYSGFEEDSSDAVLSNGGSGIQLRPSRKRRTGVIDARMEKQLNSPLQAAGLFFNPGIFFSPTFKMQSYAHRGLIKTISCLVPDDDIQDKIFLQLEEYKKGTGDFGLPIAIRQREKLDPVAWWDNFGNGTLELQGLAKRVLGQCCSATGCERNWDIFHRIHSRKISRLERSRLSDVVFLQYNQKLRERNLHKHRDAIDPISIDNIDVLDEWVSEEPSLLCRDDLNWESIDAPFAEPTSEDEELVAIDDEEAPTASLSWPAAAAEDSYCPPPDQDPYQYVTQEDGILPF >KN541149.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541149.1:12536:15097:1 gene:KN541149.1_FG003 transcript:KN541149.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGVDDDDDDDGVKVLDWGQEGQESTAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSHLKIPSAKAESRSSSVGGGGGQRCVVVVEYQHGGTLKTLLYKHRDKKLPYKKVVQLALDMARGLSYLHGEKIVHRDVKAENMLLDRKKTLKIADFGVARVEAGADGDDMTGQTGTIGYMAPEVLQGRAYDHKCDVYSFGVLLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPKAMADIMARCWDANPDNRPEMLEVVALLEKIDTSRGKGGMTPVPEHASQGCSCFGFSRGSA >KN541149.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541149.1:9228:10012:1 gene:KN541149.1_FG004 transcript:KN541149.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLYPMMLESPQLRWAFIRKVYAILSIQMLLTIAVASVVVFVRPVALFFVSTPAGFALYIFLIILPFIVLCPLYYYYQRHPVNLLLLALFTVAISFAVGLTCAFTKGEVILESAILTAAVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQVFFPLGRVSLMIYGGLAALVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRASDS >KN539454.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539454.1:35434:37027:-1 gene:KN539454.1_FG009 transcript:KN539454.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADVPPSAAYGFPGSAKRGKPEEVVVLMGKRRSEGFFIEEEEEEEEVLTESSSIGAPSPASSSIGENSGEEEGGDDEEEVESKLKAEDEQVGLGCLDALEESLPIKRGLSNFYAGKSKSFTSLAEATASPAAAANELAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHAVAEGDEGEEDDSDDDERQHRGKNGGRRESAALPLPLPPPRLTLHTQMGGMLEQKAIDLLLAGRVVTATTAYY >KN541149.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541149.1:24122:24962:1 gene:KN541149.1_FG005 transcript:KN541149.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDRLKKPASKMTREKVQDIVSFLYRLVRRFMILLWKREDDKFGERKDSAADPVAGGTGGAVAGAFPWSLIAAVAAPVLIAIACAAAAYYHYRRRHPVSRPRQAQPPQYVVSTRAPPTQAPPPGLLQLLDDIPEAAAVIAAEPPDAAADGIQAADAALDAHAPPPAAGDDLPPPALVQPPVAGFLAGAAPPPQQQQP >KN539454.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539454.1:4342:13568:1 gene:KN539454.1_FG010 transcript:KN539454.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFSAVRKALLLVTTCLQDNPRPDASNFPPGRSFGPPGPVGIDPHSQRGYLPPSMPDYHARNYSSNMAAPGPRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDSEERVIVISAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAVTARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPMKPHPGGGMPPYPPGGNAPPHHPRQEPAPPHPTGGMPPYPMPSFRADRPMGPFDMVDHRPPPPHSMEHMGADRMPYSYGCEQGGGPRPFLDQPSPRAWAPEAPNSEAPRNMPETVPPADFRKGAVAGTNQVATPSNATEVIIPRKYIGFICGANGSDLAEIKKLDFPYDRTRDFLQETHQWSLVKDATVLLCCLEEELETVGDDRISALPDDILLQILERLDLPMAIRTSTLSRRWLQLPRLLSHLIIYITHFIPAPPRRAVNFNVDQIMAAYTAAVNNLLLSSPSSSNSNTRRIIKRMQLSFFLSEDPSYLCSVGHAVGAIVDAGKTDLLEFSLWSDVGKLTLEHCQLLRQRFMSFSHSCPVAFRWLTNLALRNLAFQESDVSHILNTCHNLKFLALCSCVSDFVVLKIDAPHSELLTLEIVTCGFDRVDLIHLPNLRRVVCWDWCLPNPPIRFGNVPRLHNMSLSCSATYDQMPFRLTELISSATNLTILYLDFQDQMIWIEPQGPKLLYPVFSNVRDVYLCNIFYECDLNWTVFVLEAAPRLSNFYLKLCQHPCERNRCEDSAEKVNLLWDQMSSDFKHRHLNLLEITGFAMDDKMINYTRLIMERAVNLKRIRLLDQVPCDKCNVMNGMGSTSSNKWRFPVDQGEKSLIKQKLIDGFSSSAEITIG >KN541077.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541077.1:10403:13507:-1 gene:KN541077.1_FG001 transcript:KN541077.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVLQWLPVESVLRFRAICWSWATALSSDQFHGFHTAKNKIKPLPPKLFFVAQTAGFGSTSVHASSPLSRSVPGGDDHRELLFTLDNVRGDFMAMTPTPCHGLTLLHDAMALEYYVLNAATRSISRLPPCQTVPSGSAGLGFDAWTGEYKVVRLFREIISGEPHTKCQIYTLGGKHGDSWRPASGGVPFKFRTAGTYSISTSQQHKLLPVFVDGFLHWLTGSLFSFLRPHAAILSFSMTEETFRLVRSPPFQVSGVHLVDLSGNLCMVRDLRQMSSTLEIWKLNDLYSSDWSLEHRIDLSTEHVAQDLMKPDFIRVIGSIGSSGMSRKKNVVIATSNRKTIAYDPTSETLETILEIKGTPLPYQTARSALGPISLFEDSLAPVCKTNEEIALSYPLARVIKEALLRLPGDYAVQFKLVSKQWHRFIESGGFARGYDMYNNRDRRPKIRLVGKGTGGSSGFSFASIEKLLQESPSYRYVSGTRGALVYIPNRIPSDRFRHDHAFTTAYLAGFLYWMSEPRLSQSKTRAILSFEIATKTFDVIQCPSCAPTRHSRSPCESFVVEIEGMLCIVLANPFEQELDIWKMEHGQWDRAYRLCLKGWPGYSLGANVVVPMAVDPKDGRILLNTGRKLGLYDPTKRTDETLHVKDKEKTWQIQVHDGSQLKCQHSVRKFRIWLSPLEHDRFSYYEPAPASSRKNSACSNDTEIMPFVPILYEDSLASYPLAIKPRYYKIWFDFDKVMYVANIVTRTDAKCAKFGMDGQCKLSEIMF >KN539454.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539454.1:82216:84983:-1 gene:KN539454.1_FG011 transcript:KN539454.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPGYLFFSFGRSTSISGLCRESDMITGLALGFCRHVCCVTLVVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGNDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >KN539454.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539454.1:104955:105185:1 gene:KN539454.1_FG012 transcript:KN539454.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MARQVAIGGAVEGLALGIAPLHHHAPCDDLKDDGGDAEEAEDGDVGRLPPLLDAEDGHPLEDVGDPQYDHRVADGVV >KN539454.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539454.1:51940:52326:-1 gene:KN539454.1_FG013 transcript:KN539454.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCMAAREEDREAELKREMMQYNKAICCKVEAWKLIMQKKEQQSLFFLLLLLQQQAADGVEEGKRRKLENKKETNNNREERGLFTAILVLQFFFFSSGLHTDEAVNSCSED >KN541077.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541077.1:23777:33315:-1 gene:KN541077.1_FG002 transcript:KN541077.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVLGLAKSAVEGTVSIAKSAVEEGNRLHTSVQRDLMLISDEFEMMNSFLSVAKDHGAADDVTRTLVRQVRNMALDTEDCIETVVSLDNNPHWCRRLLPSCMPAAAPVLALEDAVAGLELLKARVDSMGQRNIRYSHIGDYTTKPTVQQLEALPNSTASNILAATAMFDDAREEKSTSWVDLVALINKKHMIFEKDDDHIHIEEANNNDDDTEEEEANDDEDKEANDDEDEEANDDEDKEAKDDAVHMIKEKDGDGEEGKLIKRLRSLDYLDNKSGWSSPKSTRSLVEDIDLEKLFDKNMVRSSSPRVQYQVEYYDSDGVNSVSSPVTEMKTWANKLSEFLLSPPESTKENKSPYVSRASAANGVDLQLQVISVLTTDNQDVVSIKKAYDHPETKQSFILRAWVKMMHPFHHSEFMSSLLVQFSNNYCAVQEENTVDVPDLMTLMTGDGIYISEFMKRISNHKYLVILEDVSSMCDWETVRVWLPDMNNGSCIIVHTQQLGIASLCVGHPQRISQLEKYSVNHSVRVIFKEDAARNDEGTAAKKKAARDWRKKNKLVGRVTDRWNMNLNAICREDILVVSVWGVAGVGKSSLVKETYFKEMLKPRTYNKFGWVNLAHPFNLLESLRNLLLDMHSESIQHCNMLTTADLMEECRQLFHGNKCLVVIDGLQSTEEWDSIKGALTFVPSNSCIIVITTEESVAQYCTPPTQGVCLNVKGLQIDMALKLFKSKVTGWPSLNPEETQQAMLILHKCGGLPKVIVSIAEVLSTNTVERLGWLSIGFMYRLEHFPWFHSLQDTFAWLHSYFRFCPDFLKPCIFYLSIFPGNHSIRRRRLLRRWIAEGYSRDDKGKTAEETSEEYFSKLEEVSMIQRPEPTAVVGFGSVRRMPLCQVSGFFREYISSRSMEDNLVFALKNNCSMNSQHTGRHLVIEESWDRDKNVFESIDLSRLRSLTVFGVWKRYFMSSKLKLLRVLDLEDASDVKDGDLDQIGKLLPHLKFLSLRGCDKIHRLPNSLRRLRQLQTLDIRHTSVTALPATVIVKLKKLQYIRAGSRPAPSEEASTSSKRMAFMPCTISKLCRCQLANTNHVIGVQVSRQIGKFASMHTLGVVDIRGDQGKTILKQLKKLTQLHKLGVSGVGLKNSDKFFSAISGHAHLTSLSVGLKKGSKDGCLDGICSPPVNLRSLKLYGLVRELPLWIKELRFLRKLSLQMTMLPQEGMDFLLDRLVSLRLSLSEFQDDELHFGRQHGFDNVSLLEISSNSSLEKLMIKFHERKDRQPTVKILRIRCCHVSSLRFSGLEHISLNEVWLSGIHLDTTLKQHLESELARCSRQGRKPVLRLEEPGSWTPWLRSSS >KN539410.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539410.1:46528:47019:-1 gene:KN539410.1_FG001 transcript:KN539410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSKQLRMPQEHISWILHRREPSFDEADKAAARRELYSDDHELVRSGWFDDLLALQRGFVERRKASWARFCEMAARVRAEFEANGFVEVDGGYFDRQEENRALVWENCGREFAQMLRENKDGEFGDRDDEAVSDDEHQHEDEEEDEPHDQESESDGDL >KN539410.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539410.1:98470:99083:1 gene:KN539410.1_FG002 transcript:KN539410.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEQHGQQEACPNSDGHLGKSWAKFRVEYGSNGFVEVEYDVDEFEETQRLQEERRLWRAHIWEKIFADDPPEEGEFVEYVNVYDQETRTFVMRPKELEEGTRTIAVFDKLKMKLVLKKLDQ >KN539410.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539410.1:11101:14630:-1 gene:KN539410.1_FG003 transcript:KN539410.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRDYQDGSAQYSSSLASRAQEEWNEWEIQCLVMASFSLQVFLLLSAPFRRRHGSRLLNGSLWVAYLMADYVATYILGRLTLLLTVADGNGGTRHHQLALFWAPFLLLHLGGQETITAFSMEDNTLWKRRLLDLVAQVAMSVYVVGKQWRQGDRLLVAPMVLMFVLGAFKYGERIWALRAAAARAPGSTSIASLVAHSYRKEGDWNGDYGRLVGISSEDKISNESILKEASVDFQASLDFFMDMTPSNISISPPRYAFYIDALLQLKTSKNVYGMAYKMAEMHVSLIYDYLYTKFGTVRFHASPKSNPTMVAALQWLVSLGLTSVALALFAMAMAGNTTSNFDYSESDILISYILLVGAIAMEISSIFIALTSLCWAGIAVAKHLHHLDAGEWSGKLAQYNMVDACVQKRERRQQTSPGGAVGALIRRILQAPCETPPPHIIVSPEVKKLLLDKVLEIAIEALHNNRWDFRRFQGQWALWVANRFEGSDAAAGLAHKALASDGPGELTNPSNGLSGYIMYLVAKRGVMVDSNGHIMIAKTQMGVPDFLDELHQDGFIQKLREDEQQYNDRFQLFIAGACMGCVELFKIPEARDRWELIAAVWTEILCYMALNCGATFHAKHLTAGGEFLTQAKMLLFVIRLPFLIKKMLMGKL >KN539410.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539410.1:48827:49369:1 gene:KN539410.1_FG004 transcript:KN539410.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRTATMRLSQDNIEWILARTELCTDDAPDIERYIPFVPDADVDEDHLPEIYYDEPEALLVHVNGILKATWAKFRDFQSWVRIEYGSNGFVEVEYDVDEFEEAQRLQEERRLWRAHIWEKIFADDPPEEGEFTEYVSVYDQETRAFVMRPKELEVGTRTIAVFDKVKMKLVLKKLDQ >KN539410.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539410.1:77561:79421:-1 gene:KN539410.1_FG005 transcript:KN539410.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKAAKEAAKQWANENQNVANNAPSKKIRNLAGHKKLSSIQNVGSSVLEFVDIVEINKTFCFQKVEQFRIEEYLTGIEEIDDDLRKLIGLFNQMIPLVKAAQEESLSQEGLSDVNREMKFATDEMRVLRKKINGKGGKAPIIPPRFLERYY >KN539410.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539410.1:9344:10263:-1 gene:KN539410.1_FG006 transcript:KN539410.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEICLLLLSIVLVAQYSDMATKVVADDDGGPLVRSIKAGSCNYILYPGSACFKDTCNTFCQRRIQPRDTVSASGLCTSQGCECVYCPN >KN539410.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539410.1:100426:100608:-1 gene:KN539410.1_FG007 transcript:KN539410.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MIREHRHENKGYAIVDDELQVRMAVTRALHPFVERYHWVGEEDEEEEQVADVVGGEEKLI >KN539410.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539410.1:62201:64339:1 gene:KN539410.1_FG008 transcript:KN539410.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVELEGAKENVDWILARKELCGDDAPDISRYIPFLLQSPSGVPGAEDPLPESYYDNPKALMRYIDNLLMDEFDKFRDFEKWVRAKHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTIEDGEFGDYIEVYDEKRREFIMREVEGNIGVPVFDKEKKRFVFVKKN >KN539410.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539410.1:37262:37858:1 gene:KN539410.1_FG009 transcript:KN539410.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVEELEACPNSDATATAARRTATMRLSQENIEWILARMELCTDDAPDIERYIPFVPDADVDEDHLPEIYYDEPEALLVHVNGILKATWAKFRDFQSWVRIEYGSNGFVEVEYDVDEFEEAQRLQEERRLWRAHIWEKIFADDPPEEGEFTEYVSVYDQETRAFVMRPKELEVGTRTIAVFDKVKMKLVLKKLDQ >KN539410.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539410.1:1573:5807:-1 gene:KN539410.1_FG010 transcript:KN539410.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGEATTGSETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDNRRPLSAAGDLTNFYLENGPKIFPQRRVGFLTPVANLIGVVRGPKYDGSFLHDKIKSLTHDVTISNTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTTDVSSGKSREFHLIDGGVAANNPTMVAMSMISKEVLRENQDFKLGKPADYKHYLVISIGTGTAKMAEKYTAPACAKWGVLRWLYDGGFTPLIDIFTHASADMVDIHASVLFQSLCCEKSYLRIQDDSLEGHTSSVDIATKENMEALIKIGKDLLTKRVARVNIDTGVYEPVDGEGTNEEALARFAKKLSEERRLRRNNLSSS >KN539410.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539410.1:96561:97139:1 gene:KN539410.1_FG011 transcript:KN539410.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGSHEEVPATATAKLRLSQENVDWILARKELCGDDAPDISRYIPFLLQSPSGVPGAEDPLPESYYDNPKALMRYIDNLLMDEFDKFRDFEKWVRAKHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTIEDGEFGDYIEVYDEKRRKFVMKEVEGNIGVPVFDKEKKRFVFVKKN >KN539410.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539410.1:41478:43649:1 gene:KN539410.1_FG012 transcript:KN539410.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADADDEEKGRAGVANGVLLAFLAPEEALALGGDHNEALHGELRVRQGHEVDAGGDDDDEARRGEDHGDAEDGEGLPFRLRAHGEGLPLPGLGVGGRARLYGEGLGREDDAEGLEVAVDDAEGGVDLGALELQEEEPAGGKKVAVSAAVAAARAVKNAAGKKAAKEAMVRWGQRNPNKNTPKKGSGTANCSIYKEPVEVGAFQSNQKIYEPDKRFMTGNEVIDNECIELVGLYNQMNNLQLETKDMIKGNAMRSDILDNRLLMRNLVQKMRSLRAMIKRKVRGEPPIIPPNLLEIPDIS >AMDW01036445.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036445.1:20:272:-1 gene:AMDW01036445.1_FG001 transcript:AMDW01036445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFRIIVLSLVIMASIQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFI >AMDW01026779.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026779.1:44:361:-1 gene:AMDW01026779.1_FG001 transcript:AMDW01026779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PASVAAVAEPLRLASRRLVLLPVNDNPNVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPAARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLA >KN542596.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542596.1:308:4732:-1 gene:KN542596.1_FG001 transcript:KN542596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMKLLLLVVVAVAVAASASSLTPQHAAVPAKGPRPGGGVTLHLDHRQVMVDNGIVQVSLSNPGGHITGVRYNGDRNLLHFDGQPNSAGYWDVVWNFPGSNNPRGMIDMLDSTEFKVVSSSEDQVELSFRSSYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKVQAEAEARKWPYSFPESPDFHKASQRGSVTGRLFVRDRYVSSKDMAAGMAYVGLASPGQPGSWATESKNYQFWTRATPCGSFSISNVRAGVYNLYAWVPGFLGDYKYTSQVTVTPGRSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFKISESDISKDWFFAHVTRKQGDGYAPTTRQIRFKLEHVVADATYTLRVELAAAQMARVQVVVNGRADAALTTAAAFGDGNAIARHGAHGVQWSLEFPIKGYMLVDGDEENVVFITQTRALSPFFGVMYDYIRLEGPSSSWRDPMTMRGR >AMDW01021226.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021226.1:57:281:1 gene:AMDW01021226.1_FG001 transcript:AMDW01021226.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGLFNGTPLEAGKVADSQPVDLFDQARRISQQQQHRPEDEAQAGRKIAESEPVDVFSDAGRVAHQQQHRQA >AMDW01035453.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035453.1:201:542:1 gene:AMDW01035453.1_FG001 transcript:AMDW01035453.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFAGHKGQIFCGVFDGHGPLGREVARHVRDVLPVKLSSSFALKTEQDPSSNTDKEALEKSDCTSLSGTSNEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVTVVRQ >KN538746.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538746.1:257870:260466:1 gene:KN538746.1_FG031 transcript:KN538746.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAAGEHAHGHGWSHGELSNSTTGGCYRENFLDLLASKNVTPEMFEEVPAAEHYNVAPAGTTLTTARSSFDHARSDVSPIKYEIAGSPLYLGGTNTVLQVQDMTMMSSTPACYGKHHHHHHQLTKEGSCSHQQQEQHELAISPMASFLQQISSGSASVGVHNSSLDYSGLGDQPDKICCQDGREMEASPFSMRSLPDLGSFAGYTPAIESTSVQPYMRCANSSDSNRQEQETVQARSSSSGSGAAATDRKKRKLEERQESTVKKSKQEASKASPPKQPVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNSSRSNKQFQGNSVPWGDQAEASKGETTEHDLRNRGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >KN538746.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538746.1:291846:302111:1 gene:KN538746.1_FG034 transcript:KN538746.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDDGEVEAVKVMACHALPQAAMARPVMVAIAQPNARVAMSPGRPPTGRTKAGGDASAEGRILEAPNLRIFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARSGSGMAVAVKKLDPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAQGSLENHLFRSEPRKGGSASPQQPLSWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGTHMGHTNFRVLHVAFCFPLYVLDIPAPFSIQQSRGLLWNSTMLSILRKEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYALLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVRSEYCKCRIPQIVPCFKGPSVIEFLYRSASSWEVLHEK >KN538746.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538746.1:302687:306455:-1 gene:KN538746.1_FG036 transcript:KN538746.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEQQEAVALCRGRADLLAAAVRHRRPPPASVAVEQRAPASERVYFGSFEPAQYHPYGGETRRADRAAAPPPSPPRASSWDFFNVFDNYEVYDNYCYDAPGTGATTPAPYTPSRSSREVREEEGIPELEEDDAVVKEVSSEYSAHGSGGARSRRSSIGGVSSSIAEVDEEENPVVDKGVVGVGVARQQTPAHGNVAASVPTPRRAADGADVAGEIKAQFVRAADAVRALAPILEVGRRSYHPRSSVYHVSSRMVSVIALPHSGYGGSDLLDVGGGEKLVGARSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNSKRLKFLDQKGAEAPKIDATRNLVRKLSTKIRIAVRVIAKVSKKINRSTCVVCLSESNCLNSEDILAFHIIFKSSLCQKKSLIAIFYRFVKMWQDKLNCYHIQCQAISEAKNLDSIISGGTSRDLAMELELELIKWIVNFSSWVNEQRSFIKALNGWLALCLNYQQEETADGVPPYSPGRVGAPLVFVICNSWSQAMDRISEKEVITSMQALVSSVRSLWEKQNVEQTEQLIAIREREKWNKILERKTLEINKEADTLNRKLALVPGRQSLLPTAQTYQVHFLEANSVQVSLKRVLEALESYSSNSLRALDETLRHAEEERLSRERAKVS >KN538746.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538746.1:288887:291180:-1 gene:KN538746.1_FG037 transcript:KN538746.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPYVDKAGQKKQDYEKTKANFDKKESTSSKKAKTHDDGEGSDKSKSEVDDDQDGGSDEENEDDEE >KN538746.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538746.1:268393:271531:-1 gene:KN538746.1_FG038 transcript:KN538746.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCLTSWNISESQFSSGVLTQEKEKRWGFLGPFFHDDVLQFASLSLTATAVDVDDMLIETLVLDASTGAVESVLPPPPRSADAGAGVSCGDYLVESRGKLIRAVLFPRDGVVATSAEDYYLNVYQLQEDGKAAAAWAKVESVGDSVLFFDKHGHGFSLEPNGAAELKRDCVYFMHEKRTWLDAGEYRFLCRYNMETGEVDRVVSLPDTFGDTWVVPGLCPSE >KN538746.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538746.1:222021:222996:-1 gene:KN538746.1_FG041 transcript:KN538746.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MYANDQSMMLPQMSATALLQKAAQMGSSTSSANGAGASVFGGGFAGSPAPSSIPHGRGTTMVDQGQMHLQSLMNSLAGGGNADHQGMFGSGSMIDPRLYDMDQHETNYRSVGDNET >KN538746.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538746.1:263973:264608:-1 gene:KN538746.1_FG042 transcript:KN538746.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGEGLLFYASDPPAAAWAPIPAMADCNASSINYSGGEFFVFEEDVCRTTIVDALTLAIAAVIPAPAVELPSEARIAVAGDELFLLVKSKWMYLFGDDIDFSKVFRVDHRSVDSAWQELTGIGGRALFVDSLHGFAMETAGFENLEGNTIYSVTTKEVNDRRSTTVKYSVSVFSLEHRSSKKLACRLNKLEMALRGETPSWIIPSLNEG >KN538746.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538746.1:272174:275288:-1 gene:KN538746.1_FG043 transcript:KN538746.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAMICMYAFADPSLRPIGNGYGTAHTPDTLPCGPMRRTDSNVPMDQVQDIFGGMARFPLFHKKGLLAIAAIIRSSIPRRPRAPTLTHSFLLREISGFVSVFCESRPEKRRGNPVNRGVGVPSLGVPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFRGPGRLAVDTMLVLSQASFCVGYLIFISNTMAHLYPVFAPSSNALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGEDVSVWLAKPPPVFAFGGLSAILYGIGVSVYAFEGIGMVLPLEAEAANKKKFGTTLGLSMGFIAVMYGLFGAMGYIAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVLAVGLSAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMSWPGVLSDVLLVLLGLSLAVFGTYTSLLQIFHSSSA >KN538746.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538746.1:279033:282643:1 gene:KN538746.1_FG044 transcript:KN538746.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQGVFKEIIDKDIVAYTSMITGYSEIVDSVAWNAFDIAIDMLQYNLEVNRVTMISLLQIAGNLGALKEGKSLHCYSIRRAIVVSDDILETSIVNMYTRCGAYQSAVATLQNSKGTAASWNAMLSGLTRAGQSFNAINYLSVMLREHKITPDSVTFANVISACVEVCYFGYAASIHTYLIRRSIPLDVVLATALVKVYSKCKKIMISRRLFNQLIVKDAVSYNAMMYGYLHNGMANEATSLLNYMMAEVCGKPAAARVIFDLLEQKSLVSWTAMMKGCLPYGHGDEVVQLFHLMQKHGEKPDSMSLVTAVQAVSELGHLNGLKQIHCFVYRSLFEKDKITANSLISAYAKYGRLDLSVGLFFSLEYRNLDTWNAIISAYAMHGFYINMLEMFKQMEEENIQPDELTFSTVFTACSHADLVKDGWRMFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGCKFIKLSTLKDKSTIFCALLSACRTHRNTQLALAISKELLEHGPQNPGIYALISEVYAQEGQWNEFTNTRARANLSGLKKHPGSSFIESMEQESRTDACMQVAKSLKIVQAITLLSGYLAESNWAMIIQEMGEKTPQWFLLYDNIEEIRGTDAATLEINNSSSWGLGLLGLDVWTEKNMFDTEELDGSDVVSKLDCHPLLGPYALLDAYSTGVCWRICSSTGPVRCA >KN538746.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538746.1:208900:216299:1 gene:KN538746.1_FG045 transcript:KN538746.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDAGVVSLAMMRKKKTEPRNAGESSGTQQATGAPGRGPSQRPERAQQHGGGGWQPANPQYAQQAGRGGGQHQGRGGRYQGRGGPTSHQPGGGPVEYQAHEYYGRGVQRQGGMPQHRSGSGGHGVPASPSRTVPELHQASHVQYQATVVAPSPSRTGPSSLPVEASTEEVQHQFQELAIQGQSPTSQAIQPAPPSSKSVRFPMRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDIILQDEEESLAVGQGAQRRERPFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDNHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWTCINFSRHVQDNAARSFCRELAIMCQISGMDFSIDPVVPLVTARPEHVERALKARYQEAMNILKPQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACASLEADYQPPVTFVVVQKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPPPGARSTKPAGNVAVRPLPDLKENVKRVMFYC >KN538746.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538746.1:228362:229681:-1 gene:KN538746.1_FG047 transcript:KN538746.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVLSSGEDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRYYTHTLPISLYYVLYAFAA >KN548386.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548386.1:160:267:1 gene:KN548386.1_FG001 transcript:KN548386.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVARLASERAVVVFTKSGCCMCTAVTTLLGELA >AMDW01037298.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037298.1:75:500:-1 gene:AMDW01037298.1_FG001 transcript:AMDW01037298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFLQLLEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKEKKLKSRKHRRRGHSSSDSESDSDSDGDRKHRKRKDRKRHRKHGHSDSDEAKRHKRRSKRRSSDSSDDTDSDEYDSGSEEENRGKKRSHRRKHRRHSS >KN542731.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542731.1:3336:3766:1 gene:KN542731.1_FG001 transcript:KN542731.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDLVHDDDEQRAEEQADGAHAQEQTRVHGLHPFGVWILAEEDVEEHVGETAASAGARRCATTMMSGGATTAKRVMERKRPQTRLELGLANVVAMADERNTSGVFPSPGLQLDGDDRGAWAGKRCYRRM >AMDW01012370.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012370.1:10:240:-1 gene:AMDW01012370.1_FG001 transcript:AMDW01012370.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FRLRAPKLRVFDWRCCYAKEVRVDAVGRLSDVVIELFAGRLPRCYNEAKRFLQMEDCDKLMKHILQGIMPGRWKYVQ >KN539772.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539772.1:39134:41638:-1 gene:KN539772.1_FG001 transcript:KN539772.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGRPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQRKLYQLGGLQAEGIFRINADNSQELYVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETATPSSAFPSSSGSPSDKDEPQALEHLDKPTICSTQQNNDCPMISGATLDHFLFRAEPLRHNDAQDLNFGKE >KN540303.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540303.1:3962:6667:1 gene:KN540303.1_FG001 transcript:KN540303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMKDGISTVARIGELVSEIKKLKSAAELNTADLIRQCVTAANTLASTKNEECLQHFVQLNGVGFLHQWLQDAQNCGEDISNAAEDLIVAVLSALECLPVENAQITSCGVLHTVEHLLSHSNTDINKKAGVLCHKWRSVPKCTSDVHDVVAKERDPDQLKLPEPKPESGGANEAAIAGDKSKSEVTVCSSVPLPNHSKTNDDCDIVKQSLVLIPPNSDGNDIIGDGNPSVPSLACHNGLENVPVMEESSANNDAKSGVAQVSLPDVTREAKSSGTNNPENPFVSNKMDVQNQNVSVSVDIKKGESFSEDMPRSEKNTVGGWDLAPLDMQDSSDDESTGKEEGPTSSSDTDVKGAVNELRLKRCMTSFGDSSKAADKKSKAEKGDTSTPLAEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLEARRQPEPPMDEPDNDNKSSTTGEDSGSSSSMKEDGSGITDDSGTFSRKHTRSMKLGGIDLNENQCTEEVDCHTKSTLSNSINLSTPIAVAASRTSSVFPARLHFEGELGWKGSAATSAFRPASPRRTPDGEKSVSASSQRTGNALFDLNVSESDNATAGEPLSAAILPLSSDLVRKDASATVGLNSLELDLNCPCDDEEAAITTSNVPSFWNRQQCNGDWSHPSSSSSSRQPAVRNFDLNDNTPIVDSFSRGADESSVKTSGRDVSDHSAVTILGKRIVLGQKEHSHQSEHNFLGPSVESRDPARSMQSYGHTPPDYSVVSYPSHSALSFPSPFYAPGTVPYMVDAKGTPVIPPLPGFSVPTVPSLGVGTSHPSLSSRAIPPSSSELSYFHPSMDLNYGRSYEGARREGASYWPVSFQGQTMFVDERMGNMSQGGSSGVPVLKRKEPDSGWDLYPRR >KN539772.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539772.1:71974:74630:-1 gene:KN539772.1_FG002 transcript:KN539772.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQAYILALAH >KN539772.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539772.1:7430:17715:1 gene:KN539772.1_FG003 transcript:KN539772.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAGAACLFRRLAALDFQPSSSRVSMIDPASSVSYGSRREDSRGYGEDSSTAFVAVEFKYLYKSFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTIRADSPSMLRNSGMNATVSSWTHNSIPPIVASSMVKVMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQKMFHATEFKHFLSAAFSILAFFSVIFCRLLETYESTLTVIVYCGLERKLLSSYSTPSDGIELGSTRSSVLADRPLSAPFIMCKGATVYSRLAQFSMMKKAKCTIVYVYHFSFCITAVGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >KN540303.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540303.1:47298:53784:1 gene:KN540303.1_FG002 transcript:KN540303.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQNEMEIFTTLIVNKMKDAKMFAGQGGPIILAQIENEYGNIMGQLNNNQSASEYIHWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDKVTVTKYTLDSTSACFINNRNDNKDLNVTLDGNTHLLPAWSVSILPDCKTVAFNSAEIKAQTTVMVNKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSLDHKGEASYTLFVNTTGHELYAFVNGMLVGQNHSPNGHFVFQLESPAKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGKGIDLSNSSWSYKTTFQAPAGEDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQADGDGQKCLTGCGEPSQRFYHVPRSFLKNGEPNTLILFEEAGGDPSHVSFRTVAAGSVCASAEVGDTITLSCGQHSKTISAINVTSFGVARGQCGAYEGGCESKAAYKAFTEACLGKESCTVQITNAVTGSGCLSNVLTVQASC >KN539772.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539772.1:44590:47052:1 gene:KN539772.1_FG004 transcript:KN539772.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPRPPPTPTSAAPAPCPRQIAAVVLNHPSSALTPASTRSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALALSARLRRPRQLTNSILDLFPRHRLAFTPRTFPTLFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESCKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGIVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPVVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRADDMVLAARMVEEMVDRGYLPRRFMFNLFERAPTEIFSLSLLVQLQFGMIGSKAVYQMIYGDWKVLATVSRKSESTATAPFLAISLKVGVFGVRVLQGHRAPVVIDLAKSILIFPGADHVDALLKKTDRASEHLYICTGRGTIKEIQQSAKFATANDQTG >KN539772.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539772.1:61613:63991:-1 gene:KN539772.1_FG005 transcript:KN539772.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dTDP-4-dehydrorhamnose 3,5-epimerase/dTDP-4-dehydrorhamnose reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) UniProtKB/Swiss-Prot;Acc:Q9LQ04] MGVATNGSSSTTSESAETAQPQAYKFLIYGRTGWIGGLLGQLCAARGIPFAYGAGRLENRAQLEADIDEVAPTHVFNAAGVTGRPNVDWCETHRTETIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHQLGTGIGFKEEDTPNFVGSFYSKTKAMVEELLKNYKNVCTLRVRMPISSDLSNPRNFITKITRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFTLEEQAKVIVAPRSNNELDCTKLKAEFPELLSIKDSLVKYVFKPNQKTSKA >KN539772.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539772.1:2687:5747:-1 gene:KN539772.1_FG006 transcript:KN539772.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSSTETSEVGATDHSGNTWIDILDSARHSTIDATAAALKKLKAMTDPIVPCIQELYATYPDLQRMVVPLGGTLMGTAVAWFVMPIVLRKLHKYTSENPLITLEGESTKKYMSYQTSLWSALEDPAKYVGPISHFKEKFIRLMHSIVIVQKWKTNFIANIMTNQSAIGMDRDRLLTFDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVATAFAARDVLGNILSGLSLQFSKPFLVGDNIKAGSIEGKVIEIGLTSTSLINPENLPVVVPNSLFSSQIIVNKSRAVWRASVVKIPVIIEDLEKIPTISEEIKVKLRSNPNIDAPYCYLSRLESSHGELTIGCNIKSMGFTSTCYGKLVPTDFVKSWDYIMQFYVSCLQINAFRAFKMQNDYVIIRTSAFHPEWRRDGDEIRENI >KN540303.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540303.1:27944:28135:1 gene:KN540303.1_FG003 transcript:KN540303.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAATAKAVLLLLFVINVVSIVAVAARPLEGDGWLESGVGMVTEVLRAAKSGSSGRTHCC >KN540303.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540303.1:22976:23902:1 gene:KN540303.1_FG004 transcript:KN540303.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMLLLLFVINIVAVFAVAVRPFGEDGWLVNSRGKARGANSLNCLTQFAINEPWIVILTYINFSAL >KN539772.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539772.1:52729:53557:-1 gene:KN539772.1_FG007 transcript:KN539772.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEADSPQPPSKLPRLSGADPNAVMGLGNLCFDYRSSMEPDPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEASAAATPANNGGGGGIVFSPTSVLLAHGAARAT >KN539772.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539772.1:18267:20123:-1 gene:KN539772.1_FG008 transcript:KN539772.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDAATCASLVSALCRLGAPLDAIRAYMDMLTQDADEEDGGLRPNEFTAAALLQACGLAKVARLGRMVHGHLVTSGFCCDPFVVGSLVNTYAKVGDVVSAEKLLLGMDSRDVVSWTALLSGCVLNGMLAEALKVFVMMLEDNVLPNNVTMLSVIQACSLMGGSGLFSSLHALVVRLGLENDVSVVNSLIMMYAKNGFVEEATCLFEDLYLRRGDVCPNSDVLSALLFGCTVSGSLKYGKGIHAHLIKMNDFPSISIENSLMGMYARFEQVDAAYVVFKGMQIKDIVSWNTMISCLAKSDHVDEALELFSILHGGDGLVPDFVTVLSVVQACSNAGLLQQGQMLHGYIIKSGSLYDVSICNALISMYAKLGRIDFSEQIFEQMDIKDIVSWNSMINAYGIHGDGLSSLRIFNELQDDGTCSPNAITFVSLISACSHSGLVSEGYRCFESMKNDYGIEPSMDHYASVVDLLGRSGRFAEAEQFIGDMPVHPNSSIWGPLLAACSLYGNLVLAEKAAIELSILEPESDIWRVSLSNVYAVAGRWKDSAKIRTEMKRVGLKKETGWSFVDVGGVEGFKFMAADTRHGDSEQIYAALRSMNKHMADVADDVHQSSLVSVIS >KN539772.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539772.1:623:1870:1 gene:KN539772.1_FG009 transcript:KN539772.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLQHVVFGIAASARLWEKRKDYIKIWWRPNAGMRGFVWMDQPVRESGVPDGLPPIKISSNTSGFPYKNRRGHRSAIRISRIVSETFRLGLPGVRWYVMGDDDTVFLPDNLVAVLRKLDHRQPYYIGYPSESHLQNIFFSYGMAFGGGGGMISAREMELPARTFLNWYKRADYKAHAFNTRPLARRPCEKPSFYYLSSARRTVARDGQTTVTTYQRWRHRNDMRPPCRWKIADPDALLDTVVVLKKPDPGLWDRVIITDLTLMIYEQRIEQFLITAVYKVY >KN539772.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539772.1:68030:70347:-1 gene:KN539772.1_FG010 transcript:KN539772.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVATTLFLFGQLLLCFSQQVRGANYTFMREAVEAPVMAYYDYIIIGGGTAGCPLAATLSERYRVLLLERGGSPYDDARVLNMAHFADVLADTSGASPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGPGYVRALGWDPKEVVHGSQDPKGEDDS >KN539772.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539772.1:20897:26145:-1 gene:KN539772.1_FG011 transcript:KN539772.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01320) UniProtKB/Swiss-Prot;Acc:Q8RX88] MALPYLEAVLCFMILMYIFETYLDIRQHRALKMPTLPKPLVGVISGEKFERSRAYSLDKSKFHFIHEAVTILMDTTILYYRVLPWVWKKSGELATNVGLNAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEAKHGFNKQTIWLFIRDMIKGILLSILLGPPIVAAIIIIVQNGGPYLAIYLWGFMFALSLVMMTIYPIVIAPLFNKFTPLPEGVLREKIEKLAASLSFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLNHTVYSFVAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPVIIGLIIFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLSALEDADSKKEN >KN540804.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540804.1:39421:39843:-1 gene:KN540804.1_FG001 transcript:KN540804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAEYEVYRCQSEELLLMTPQSQPIQFTLQPSSFELFAFAPITTIGDVGARFAQIGLVDMLNCGGTIVDVECRDGNGSEVNMKVKGAGRLLVFSSVRPQRCLVDGFEDAFEWENGGKLMVDVSWKQDKDGVSDVVFCY >KN540804.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540804.1:11519:11851:1 gene:KN540804.1_FG002 transcript:KN540804.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLISGVTTIVKLANDIDGAVKAASRSKKSCEKLAERVADIGDLLKGVDTSSPSTAAVATRRLVGRLERALRRALLLVTSAVRQGERRDRPVSPRPQPLQPRPRLQH >KN540804.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540804.1:27220:29950:1 gene:KN540804.1_FG003 transcript:KN540804.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQAATVAQFAGVDAYGLIKMIAEAAQTVRRNRATCLQLAQRVKMIGDLLEQLHGAQLMQQPETRNPVEQLEETLRRAYLLVRSCQGRSYVYRCFMGGRHADELREVQSEITFYLQLFPIVSYVATLNWVRHLNKADPSCKEAPLVRPYFVPFVILSWDGSCLAVVQKGVTVGEAVADTVESVHELYTRSVVV >KN540804.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540804.1:19158:20805:1 gene:KN540804.1_FG004 transcript:KN540804.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSMAANIAQLTGVDALGLITMIVEAAKAARRNKRTCLELARLVEQVGDLLRALQEQPGVTDEEDEVLMSLTNRPNPQARFEKFSYSQLVHATNDFSLDSQLEHSTLATLYKGKLHGNDVTIKRLSLLTSGAATARSILDWPTRFRIIEGIAQGLAYLHNYSRI >KN540804.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540804.1:41074:41499:-1 gene:KN540804.1_FG005 transcript:KN540804.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding RFVIHNTMSKSIESYYQESGRAGRDNLPAHCIVLYQKKDLGRIVCMLRNSGNFKSESFKVAMEQAKKMQTYCELKTECRRQTLLGHFGEQYDRQRCKHGCSPCDNCIKIPS >KN540804.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540804.1:14519:15481:1 gene:KN540804.1_FG006 transcript:KN540804.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLVSNVTSIVSIAADIAGAAKTVRQNKKRCQRLAERVGDIGELTKDLAVDGGGSSSSSSSPSPAAAATRRLVVKLEEALGSALLLVRSCQASSRRTYYSLVAGGWQYAEQFDEVNAEIDRCLRDLTVAIVSRIDRKLNAAADTNTDIVDVDVDVVPDDANIGTRDGGADQVDDKDNNGELIVYHGEQDGKSNSGDDVVGVHHQLSPPPPPPPPRYATN >KN540804.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540804.1:31128:36343:-1 gene:KN540804.1_FG007 transcript:KN540804.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRYEAANWLRRVVGVVCGKDLAEEPSEEEFRLGLRNGIVLCNALNKVQPGSVPKVVEAPSDSADGAALCAYQYFENVRNFLMGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLRSFSESKQVGRSAPLKYGGILKPSMSGKHFIRKNSEPFVKTMTTPDSIRMLVQTMLSDKKPEEIPSLVESLLSRVIHEFERRTANQNESVKHALDPNDDKLLSRADTPPEMESTCTCSTGNMDEEDHTSVSMKEEVSTAVLVNGENLVEHIQAKQTDKYFDQQQKHIKDLKSNLATMKSGMEHIKLQYSEDLDKLGKHVHTLSHAASGYHKVLEENRKLYNQIQDLRGNIRVYCRVRPFLPGKSGPKVLTEEGLGVNYRALNDLFNIQAQRKDTFCYEISVQMIEIYNEQKGIAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALAKKDGETESIRSTQSSPEIYRMRMGSAPPAFRNPMEEVGNLETRSNGTPRQKKRNFELPDVENDTSSWLDTSSQKEAALGEWVNNSQFGSSNSLLELGPDATQDVVFYQRNSPEPQWSWAGSVATEDSDDFEVTTSCSSEQDMVRPTSAPKAPGSANGSASIARKAQPKGAKSTDIRSTNPAKRAAPLQKKINGPPSASIKNGKQSSLSAADGKRAPNGKVSAKNFSLW >AMDW01040598.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040598.1:204:1578:-1 gene:AMDW01040598.1_FG001 transcript:AMDW01040598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARDYCNVENGSVVVGRLAQGSVDSDADAAQRSVGNNGDLGSGMKSSADPYAESISLLQRTQEALENEIKMFAVISKESNDNFDGNDDDWSGLVDLVEPLEGTSQNVKDPESRPEEASYLGRLFLEKTEAEIKSIILTRAAQTWAPLVDDQIALYNAQKSLSGDYKQLELKLQHTKNRAAMLEEMAEKLRAECRVLSGSSEVLRLQSRASRVSLFCFIQFVLLFTAVGTFLARLLPSPTEYVPT >AMDW01015468.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015468.1:32:241:1 gene:AMDW01015468.1_FG001 transcript:AMDW01015468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLP >AMDW01031004.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031004.1:163:351:1 gene:AMDW01031004.1_FG001 transcript:AMDW01031004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARRGGMDEPFAWESREYLRRLLIGQDVRFRVEYTASPSGRKFGMVFFAEKNVACMVVAAGLAK >AMDW01040640.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040640.1:83:1802:-1 gene:AMDW01040640.1_FG001 transcript:AMDW01040640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLTLGRNIVKNLHLAAKVPNAQNSACDYQEPLYDVQELRSIAPADMKQSFDIRQVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSK >KN538718.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538718.1:95644:96333:1 gene:KN538718.1_FG095 transcript:KN538718.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKELVLLDFWVSPFGQRCRIAMAEKGLEFEYREEDLGNKSDLLLRSNPVHRKIPVLLHAGRPVCESLVILQYLDDAFPDTPHLLPPASSSADAAYARATARFWADYVDKKLYDCGSRLWRLKGEPQAAARREMVEILRTLEAELGDREFFGGGGGRLGFVDVALVPFTAWFYSYERCGGFSVEEVAPRLAAWARRCGQIDSVAKHLPSPEKVYDFVGVLKKKYGVE >KN538718.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538718.1:46348:51126:-1 gene:KN538718.1_FG097 transcript:KN538718.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVENKLKDYEIVREGEAEIIINKKIAANEVFYNPVQVHNRDMSVALLRTFIAKREEEHKAMMDKRDKAHNKVCQGKSSGPNGENGSIGQHDEMDVDAEKETNKVADETEDLSTEATKTPSRKVARELKAPVVLEALAASGLRSIRYAREIDVLEKVVALDIDKACIEACKRNIKFNGASAMSKIEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCGPNGEVCHSKYGHYYWYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRVFTFPFSLCVLSELKNNSVKNAPAIGPVVPQECSACGKKFTIGGPIWSAPIHDQEWVVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDIPLFFSLHNICANVKCTSPSAVLFRSAVLNAGYRISSTHVNPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKNGDVGLATEP >KN538718.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538718.1:14920:17876:1 gene:KN538718.1_FG098 transcript:KN538718.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MGISARWLKSLVGMRKVEKQQQQSKEDGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRAHRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQATLQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQEVIKNLTPEPIFLLSQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGSALAKSKLKLSSREGCDEISSRPSGLGTRSSSNPKERTGHLDPQGNKRFSLPASGVEAGKRMTNKSAVNRSLKVTKHHLASSIELLRRVELQT >KN538718.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538718.1:177412:178839:-1 gene:KN538718.1_FG100 transcript:KN538718.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding LSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRACVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGLFALLSVPCHIEKPGSTGSAVSLLAYYALD >KN538718.1_FGP101 pep scaffold:O_longistaminata_v1.0:KN538718.1:2817:6268:-1 gene:KN538718.1_FG101 transcript:KN538718.1_FGT101 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRGIGGRQGWKGRQPAMEGDEMFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSVHGSKPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >KN538718.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538718.1:109399:110563:-1 gene:KN538718.1_FG103 transcript:KN538718.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNLIVFYLNFTKRIVGVNLIYDTIDESLSMIPMLSSHKATIHTTQMLVTRRRTTTAIDDDEGDYALTSTARLSVLHPRQMDSGKPPNSIKSMSVHFRGCVRRGHPKVTVSRLELGIKTWVTEHVLNLKTLWRQSALLAWVPICRWTWRPCIMS >KN538718.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538718.1:128309:130175:1 gene:KN538718.1_FG104 transcript:KN538718.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSFARACSNVSVLFGCLGIAFKFAEMDYVAKVDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNMLRVKRGIDMVKILFEQILVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDENSAKVQMQTFIRASGPVILYVEDLFTSRNLGMDW >KN538718.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538718.1:38436:45037:-1 gene:KN538718.1_FG105 transcript:KN538718.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-4 [Source:Projected from Arabidopsis thaliana (AT5G46210) UniProtKB/Swiss-Prot;Acc:Q8LGH4] MKKAKSPGSSSSSSAAAAPGVTEKNGLHVDPGAAAARSGGRTNGKEDAEMVLADQEELAAPSASAPAGVAANLFRKKATLPQPSAARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFQECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQISSSPFFQQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >KN538718.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538718.1:19326:21269:1 gene:KN538718.1_FG106 transcript:KN538718.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATMSSRSRRACAAAASVLLVLLVVATSVAAGGDTNGVYEPCADAAVQRGNGFTFGVAFAARGDFFSGGVQLSPCDGRLSLASKGAKLAVFRPEVDEISLLTVNTSAGGGFDPATSGGYMVAFAGRKYAARSPPVFVSNSSYTVTSFTLVFEFNKGTLQNLYWKANGCSACSGQPSFTCVDQSCAISTANCTGKSGSVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLVGLFSNLKDSLTSQFSIFF >KN538718.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538718.1:81262:84826:-1 gene:KN538718.1_FG107 transcript:KN538718.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRIFGAGFTGQEKMGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNSDLIHGCVVEGCEVAADHHHAADPARLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRSARPFPRL >KN538718.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538718.1:100122:100376:-1 gene:KN538718.1_FG108 transcript:KN538718.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFLGANRGTLQSNSPSNMSGHHHHHHQQQLQRLDGSTISFLLGHAAAAAHPAASEGQITSTAALQLWDGFRHSGMKEKSKN >KN538718.1_FGP109 pep scaffold:O_longistaminata_v1.0:KN538718.1:115048:123531:1 gene:KN538718.1_FG109 transcript:KN538718.1_FGT109 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVFVGFVTGAAIARRDFGLVYGERSEAVSVDVWLNPRAGASYWPASITVLLSGEIYIPLGGNRAIFGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASRPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSWSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEHMRLTRSSQIDRLLSQSITFLSGELSYKAESTTGIDIQQDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFAEHQKNSFSGSAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVSRPCRFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGRDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAAQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNFCFDPDADAGKFSHARAAGLAAVDRDSMRESSVAAMDTVHSADEDSMESVEYYPGDGNDIDMPSSSVHRNIEMDDVLDLNYSNQAQQSACVQPASGSDGREIGGSSTNEGEEVLDAVTAPAFARDQLSVGISGGSVGMGASHEAEIHGIDVSLQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMHPSLSCNAGMQTGLDVSKEEVTQAGKLLIADDVRMGLDYDPQNGLGSILLNLVICFSGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNTESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDHVTPNHKLARRASDYYCKQARFLACGPEGLCFHALCDLFLLPESDHPMSAAQSATEDDDEVARAAMPLCSFYASTSLPVAKPHSLPSSSSSSKPYTASPAPTTAASASASSAALSLHLPELPSRVKDKILSLELMGVDYGRALALNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSLLTASVRADLRPVFAFLTDDLGVPDTAYRRVVVKCPRVLACSVRDQLRPALLYLRRLGFRDARALAFQDPILLVSSVERTMIPKLDFLAGLGMPRDDAVAMVLRCPALFTFSIERNYKPKFEYLVAEMGGGVHDIKAFPQYFTFSLDKRIAPRHRAAADAGVSLPLPDMLKATDEEFMEMLDKEIELQKQKQEA >KN538718.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538718.1:112425:114124:-1 gene:KN538718.1_FG111 transcript:KN538718.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MTPGCVITIFLMIGVTFVPVGLVCLHASNHVAEISHRYDIDCVPNAYKRNRQAYIKDSSISKNCTQEVKVKYLMRAPIYVYYELDNFYQNHRRYVKSRSDKQLRYGQKYTHSSCDPIERNDGLPIVPCGLIAWSLFNDTYGFTRGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPALPLSQQEDLIVWMRTAALPQFRKLYGVIEEDLQADEIITMHIANNYNTYSFGGKKGLILTTSTWLGGKNDFLGYAYLITGSLSLFLTILFALIHVKNPSLSIN >KN538718.1_FGP112 pep scaffold:O_longistaminata_v1.0:KN538718.1:52570:53784:1 gene:KN538718.1_FG112 transcript:KN538718.1_FGT112 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAIRRREARLLPPLAVLVRSMAYVDVKMRWKKDASFDAVPVLSQARDLRPLVSLAGLLSPSPTPVSGVSKLRIPLEVPDRRVISFLRRFPAAFVESVGPEHNHPWFRLSGPAAGLLQEEREVFAARRADITSRLRRLLLMAPRRRLPLRVAQGMLWHLGLPEDYFRCRDYDIAQDGFRILTTGDSVCREEEDDGRELVLIDNGEHQEMPKSVLQMDAIRRFGSMEMVPIPLFQSKGLRLKQKIEAWLEGFQKLPYVSPYEDFSGIDRGSDVSEKRVVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHRVFERHPHVFYLLLKEKTCFVVLKEAYLAGGDTAIEEHPMLAVRRKYAGLMEESREIIRCRRSGKPFPSKHEDHEQIEDSEGANSAPILS >KN538718.1_FGP113 pep scaffold:O_longistaminata_v1.0:KN538718.1:21736:22513:-1 gene:KN538718.1_FG113 transcript:KN538718.1_FGT113 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSSLRARISSEYRETVARRYYTVTGEQPDEATLDNLAETGEGERFLQRAIAEQGRGEVLGVVAEIQERGGAVAELERSLLELHQVFNDMAVLVAAQGEQLDDIETHVGRARSFVDRGREQLVVARKHQKSTRKWTCIAIIILLVLILVVVLPIVLKL >KN538718.1_FGP114 pep scaffold:O_longistaminata_v1.0:KN538718.1:87212:90414:-1 gene:KN538718.1_FG114 transcript:KN538718.1_FGT114 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGIRVMNICRTVNKSSWAHDPGSNPPPKPWERAGTSSGPAPFKPPSGGSTSDIVEASGTAKPGEVVSAAESNVASNVNSTISRPVPPRPWQQQGYGNSYGGYGSSMYSSLGGFGGPYSSSGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKAKKGGVQGSETSSFEGPGHHFAEAPKANNSWDSVWTDDGRGK >KN538718.1_FGP116 pep scaffold:O_longistaminata_v1.0:KN538718.1:26936:37641:-1 gene:KN538718.1_FG116 transcript:KN538718.1_FGT116 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADQRIKPVVSEANESALPDLLSDPPQLEDVLSKADGADAENSWLPYIKDFMQDLASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGKRNATRCGLWWAEMLKTRGQYREASSVYYRISNEEPSLHSAVLLEQAACCYVLSKPPMLRKYGFHLVLAGNSYYISDQKQHAVRAYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEVIACSHQSLTTQSMFLNDFFHFVQSMGKKFDVYKLQLPVINMPSLRVIYEDHRTYASDADVNVSESIWQELEEEMIPSSSIVRTNWLEKSPDLRKYKDSCVCVVGEAVKVRIELRNPLQIPVAVSCISLICQLSTSLDASSAVNSVLTTGAGEDIANTKPAISTFEDDGNNFTVSKLDIVLGGSETKSVQLEVTPKVEGILKLHGIRWTLSDLLVGYQYFEFDTKRKTKKGKKGPRRTLSNTLIVIKGLPKLTGCIDHLPTNAFAGDLRLLKLNLRNQSEYAVKNIKMKLSHPRFVIPGDLSEVDFEFPQCLRKHVQSEISTVSTKRTQGDAKGLLFTFSQDIKIQGGATFSWPIWFHAATPGNFSLYISLYYEMESPSEITYRTLRMHYNIELRVVSGFLAKFKVVEGVVFPSLNVSFAIRMCSSRLKEYIVRMDILNRTPSESFILHQLSCNDSKWAISSLPLCDSICSIETVSANQSVSCFFKIKDLGTNSCKEAENSSCRSDMLFLSREGNSNTEEFDVSQSPITNFHYQERYQQGRLAKGPRDLLDFILISKAVGGKYSKSDPDVQLLSHHVCHCSAIDQSPIWWFMEGPRTVTHDFSKSYCEANIQLVIHNSGQHNISARVVTYDSVPDKSQTVNLQDSNSNQGGWYDVSLENEIKAISTAKGTHYQKQPSESISPFVWCSLSSAQVDLKPDTSTKVPLKVCIFMPGTYNLSNYQLQWKVHSSEVGQVDENQRSGGGQGHPFYVTVLQDA >KN540994.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540994.1:17624:19993:1 gene:KN540994.1_FG001 transcript:KN540994.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYLGRRFHLLPEFDQGKRSCRRRLAGHNERRRRPQTPLASRYGRLAASVGEEHRRFRSFTLDFSYPRVPSSVRNAWPAIQPGDRISGGIQWHGNLAPHGHSSAVAGYGANTYSGQGSSSSGPPVFAGPNLPPGGCLAGVGAATDSSCALSLLSTQPWDTTTHSAAASHNQAAAMSTTTSFDGNPVAPSAMAGSYMAPSPWTGSRGHEGGGRSVAHQLPHEVSLDEVHPGPSHHAHFSGELELALQGNGPAPAPRIDPGSGSTLDQTSNTMDWSL >KN542177.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542177.1:8056:10403:1 gene:KN542177.1_FG001 transcript:KN542177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRWRSQSIENKFGVILYFTFVAENLGLATSPPYLAISSSSSANYVNGVNFASGGAGVFNSTNKDQCISFDKQIEYYSKVQALLVQSLGEAQAASHLAKSLFAITIGSNDIIGYVRSSAAAKATNPMEQFVDALIQSLTAQLQRLYDLGARRVLFLGTGPVGCCPSLRELSADRGCSGEANDASARNSPGIGVGIITSDY >KN540994.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540994.1:26545:31130:1 gene:KN540994.1_FG002 transcript:KN540994.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLLLSALLFIALACSSNRVHGALNRHSFPEGFLFGTGTSAYQYEGAVDKRGQNIWDTFSRIPGKIADGSNADIANDFYHRYKEDLNLITAMNMDSFRFSIAWSRILPSYRNGVPIGPPAYTPIFFNYPPGLRELLLYVKRRYNNPTIYITENGNIFPFYFVEITENGNIFFYSTLLMKGKQGELNGTDEANNSTIPISEALKDETRIGFHYKHLQFVHKAIQEGVKVKGYFTWTFMDCFEFGDGFKDRFGLIYVDRATLARFRKKSSYWFADFLRR >KN542177.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542177.1:12095:15961:-1 gene:KN542177.1_FG002 transcript:KN542177.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASGIIKWTTNKLSSLVSPQIVSSSSDEQSASFRDLRDLQRTMARIQRTLDAMDEHNIRDEAERLCLWELQQFAYDAQDAVDEYRYELLRRRMEDQNNQGQSSRSRKRKRKGDKKEPEPSPIKVPVPDDLAARVRKILERFNEITKAWDDLQLNESDAPIREEAYDIKISTTPHVGDFDIVGREEDKENIIEILISDEAAQANMTVVSIVGMGGLGKTTLAQMVYNDERVSRYFQLKGWVDVSEGHFDVKAIARKIIMSFTRNPCDIEDMGNLQNMITAQVQDMKFFLVLDNVWNVQKEIWDALLSLLVGAQLGMILLTTRDETISKMIGTMPSYDLSFLTSEESWQLFKQMAFGFIDQHMDQQFEGFGRKIVGKCGGLPLAIKAIGSSLRGETNEETWKDVSESDQWGLPAEEDRVLPALKLSYDRMPVQLKRCFVFLSLLPKGYYFWKEDMINLWMCLGLLKQYCTGRHENIGRMYFDDLIQRAMIQRAESDEKLECFVTHDLIHDLAHFVSGGDFLRINTQYLHETIGNFRYLSLVVSSSDHTDVALNSVTIPGGIRILKVVNAQDNRRCSSKLFSSSINVKIPTETWQNLKQLRALDFSHTALAQVPDSIGELKLLRYLSFFQTRITTIPESISDLYNLRVLDARTDSLRELPQGIKKLVNLRHLNLDLWSPLCMPCGIGGLKRLQTLPRFSIGSGGWHSNVAELHHLVNIHGELCITGLRRVINVDDAQTANLVSKNQLQILRLDWSDGVCPNNCSHPSSQNDVATPDPEHEEVIFESLRPHKNIEELEVVNYSGYKYPSWFGASTFMHLAKIILCQQSCKFLPPLGELPRLRILSMECMTDVEHVRQEFRGNITTKAFPAVEELEFQEMLKWVEWSQVGQDDFPSLRLLKIKDSHELRYLPQELSSSLTKLVIKDCSKLACLPAIPNLTTLVLKSKINEQILNDLHFPHLRSLKVLLSRSIEHILLDNKNHPLLEVLVISVCPRLHSIMGLSTLGSLKLLKIHRCPYLQLPSDKPLSPQLQRLTITKCPLLADWLEVQISHQQCQLHESKDAWYEEQQALNELNDASEDEQREEFGLLYEDDNGEDNDEQDHEQSEDEEIQYGSDDSSEEDE >KN540994.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540994.1:21630:24586:-1 gene:KN540994.1_FG003 transcript:KN540994.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELRARQSTQWRSRRVVWDYWKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNMLAKLRDEHYYCLYCGCKDLQVAVNDILGPILRITFHISVSHLNVRNDNSLAAA >AMDW01085261.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085261.1:434:820:-1 gene:AMDW01085261.1_FG001 transcript:AMDW01085261.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLDFVLSEARKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIVSDDEFFTNPVVKGFYKNHVKTMVKKKKLS >AMDW01029194.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029194.1:118:351:1 gene:AMDW01029194.1_FG001 transcript:AMDW01029194.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLS >KN541440.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541440.1:1271:4807:1 gene:KN541440.1_FG001 transcript:KN541440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRHSFYQFQADRALPDLEKQVKELELERNSMIIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARVQYSTDEQSTFSIDENITWGVTINFEKVKTHSEDRRPEDSDYTVDVLTRCSVSKDKSGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVDEVISRFAKDGIPLLDPEEDMKVQSSSFRKASRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSAKIKAIKKTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGRVACEISSADELTLTELMFSGTLKDATVEQMEKLQDAPKPREELDLLFFQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >KN541440.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541440.1:7475:16478:-1 gene:KN541440.1_FG002 transcript:KN541440.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNFLHFGKAKTWYGVPRDAMLAFEETVRVHGYADDLNAIRVAFQTLNEKTTVLSPEVLLSAGVPCCRLVQKAGEFVITFPGAYHSGFSHGFNCGEASNIATPHWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPKNFYSVPRSSRLRDKNKNEGDIMVKENFVGSVTENNNLLSALLDKNSCIIVPNADFFVPSFPVALESEVTVKQRFTAGPCSISQQGAENMAADHVAVDKVTEIQDMSGSLYPCETSLVGCSNRKLYETKYGQRDAAALCLSTSEIQSRGIDTARSHPAGGILDQGRLPCVQCGILSFACVAIIQPREAAVQFIMSKECISSSAKQGGIGASDDTSNWIDQSHEISPPPGPASGTDDNVKHAVSLAHVSDRCRELYASNTDGCTSALGLLASAYDSSDSDDETTEDVSKHSKKNDSVNQSTDPQILETSASCSSTVQCQKTNSHLHEEECEARATSLMKPLQQIGGADIMLLCHPEYPRAESAAKVIAEELGIKHDWKDITFKEATEEDVKKIQLALQDEDAEPTGSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFGQENPDSLTDYGCQKSGSTKKKVAGWWCGKVWMSNQVHPLLAREREEQNSSVVYGKAMFTTISHGKVQDEASTRCNTSNRTPSRRTSRRKKGVSAEKSKPKNKRSTASDEASMHCSGLGMNSGVIHDQTENSDDYDKHGNGDEIEEGINPQKYQQRKLQNVTRKSSSKKRKDEKRTDSFHELYDEDNGVDYWLNMGSGDDATLGNSRQQSPDPVKVKSGGKLQGKRKSSKYKSNDDLLNEENKLQKMNKKSSSKKQKNDKINRQLQEDQTEDDHMDHLVDVAVADEVTLDNEDKITEDKIDDVKVKSRGKSQNGKRKGSKHQATDGLRAGNKVAKFPCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHIDERPLKCTWKGCKKAFKWPWARTEHMRVHTGVRPYECQEPGCGQTFRFVSDFSRHKRKTGHSSDKRRKNST >KN542505.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542505.1:342:2128:1 gene:KN542505.1_FG001 transcript:KN542505.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LNETETFGGIKNPEEGNEVANNVCTKKPTPFRRPQRRTKMPARFLTTPSAGRGTLPDDNSALNLLEFVLSHPDSFGSAILIQADLCFATCTDIVKSFSARQMAEGMFIDAFADFLSREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPIIQDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKILKRLSDGFQVPSDKLGAQILHHLIFNRFNSVQELHQDIETFRVADNAQ >KN542505.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542505.1:3012:6166:-1 gene:KN542505.1_FG002 transcript:KN542505.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVHTSTTSPLSRLGVGGDHWGDHHVNAGQPGSPSTPSGSKEGVDRPPCVQACEMNMPEKDRVYSHGSKLREEMVPKVGMVFDSYEEAYDFYERYSYNAGFDIKKSRNKPTFREICCTREGKNKYRGDESKRERMRGSARIGCKAYVKVKNVIREGEFVSVRFDDVIIEHNHPLTPSPSAVKLMRSHKHRDETLMEFVDTMQQSRVPNSSIGVPIVKTPWSFTEQLSRVYTRAVFKVFEDSLHDRMFCPHLLRAFVHAQVEKIPHMYVLRRYTKQAKSDVNYDRRDRPMAGPDGVKESYRTKLLSYDAMQIVKLGRRSKVAFDRATAVLKGLRKQLEEIPPDSDVGAGNAVTSGKGAEGVADTMRAGQSMSMSEHDCERVSRRPPPRSMTKGRASEPTEKVKLGARGDKKCTRGCGWCGLKVGHNSSTCPNNPANFKRIAEANNKGKCKRGRPRGSGVGGGRGRKAVRRNLIDEWAEGPVGGECSMRSEEGDDDCSVQSGGDTEDLSE >AMDW01027028.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027028.1:1:315:1 gene:AMDW01027028.1_FG001 transcript:AMDW01027028.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YHGFVYVAFQERATAISHGNTARSVRAHGDDALARICGAIAADEKRHEAAYTRVVERLLEADPDTTVRALAYMMRRRITMPAALMDDGRDADLFAHYAAAAQQAG >AMDW01029177.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029177.1:81:398:-1 gene:AMDW01029177.1_FG001 transcript:AMDW01029177.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHWNCPAHLASLASPRRFDLVVAADVVYVPESVPHLVAAMDALADADRGVVLLGYQVRSPEAHQAFWDAVPAAFPVIEKIPREHLDPDYAYEESDVFVLRRRPRQ >KN539789.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539789.1:79887:81055:1 gene:KN539789.1_FG001 transcript:KN539789.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVAPSEATPRHALWLSNLDLAVPKTHTPLVYYYPAPSPPAVDAEAEGFFAPERLREALARALIDCNGEGALFVVARADFTGDEMFTDFEPSPEARRLLVPFAASGEPPCVLAMVQVCCAARDLPDLMLCF >KN539789.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539789.1:54531:58082:1 gene:KN539789.1_FG002 transcript:KN539789.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSDVKKAGILNETLRPPLVPSEKHNASPVNRGRDVASRYNNGLSAHSAATTARRCTSPSPGRTSANECTPEQKRAQSADRRRPSTPPSRVSTPSTPASRSVTPVRNTVTEGHKSSRRITSTRNTDGLWPAMRNLSSSFQSESVVTPGNKKDKVVPSGSLDQTKEQASVIAERKRSPLRRKNIGEQCENAQPSEDQPRRVIEQHRWPAMQSGRMASNILSRSIDMSDKAGRSVPSTNISRGVSPRKTLASEGTGKGFNKSLDEVARRLAIHAGGRDDKVESRCHAYSQSTERCKSVSRPSRAVTLPVPVLHHSSSPSKASSVTSSISRSFQSPSRTRRSTPSRSQSAGSIQSGVASPIISYMVDAKKGKKNSSQIENIHQLRLSYNRYLQWIFVNAYAEDTMSFQKVTAESIIYNVWRNTSNLRDVVNMRRIMVQCIQQELKLHGILKEQIDYLEQWPALEKENSISLFRATEALKASTLRLPVTSGAKADVVALKNAVSSAVDVMQGLGSAVRCMLPKVEDRTYLVSELSVIARQEKAMLDECRELLAMAAKLQVQESSLRTHLTQLRPGIAHMI >KN539789.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539789.1:26506:29394:-1 gene:KN539789.1_FG003 transcript:KN539789.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRICTPGGGAPEARRGSLAAAGSAAHPGPDLIGFSSWVLPISAGYAVDRRHAAAGGVAACHGLSCADSGRRKNHPRASLVNGVVSSLEDSRGGETALCVSDPPEDASSSGKVLSDLRRDMVDGISGIPRISAGKKKGMKFRRRGQGGNRLTRRSAPRRASGKSGQDQRILLSEDDIAAILSSVTHESSIEECNSVLIRLEKHSGKTALGFFEWMKANGKLKGNAEAYHLALQAIAWKEDWETAGQLLHEMVADSGCALDAQAFNGLIYVCAKRRLVDWGTKWFRMMLEREVQPNVSTVGMLMGLYQRIGNLPEAEFTFAKMRKCGIKCVNAYSAMVTLYTRLGHFAKSEEVITLMNNDEVVPNMENWLVRLNAYCQEGKMEEAELVLKSMVDEGIALNVVAYNTVITGYGKVSDMQKAMEVFDRLKSAGLAPDETTYRSMIEGFGRADKYKEAILYYRKLRNSGFKPNASNFYTMINLLARHDDSEGATEILEDMRAAGCQCSSIVTVLVRAYGSVGRMHKVLQILKACFYKKILFDATSCSILVTGFVQNSLVEEAMSVLREKKWKDSDFEDNLYHILICSCKEAGCCDDAVRIYNQMPKSATHPNLRIYCSMIDVFSVMERFTDAEALYLELKASSCVLDMIAYSVIVRMYTKAGRPEDACLVLEDMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLSDTYYWILKSQVELDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGYLANTVTLNVLLDIYGKAGLFNKAEKVFLMARKQGLADIISYNTIIAAHATNGDFRSMTYFVQRMQEAGFPVSLEAYNCMLDAYGKAGQLEEFAAVLQKMKRAGCEFDHYTYNIMINIYGRKGWIEGVANVLAELKSRGGEPDLYSYNTLIKAYGIAGMPEDAVKLMQEMRIKGIAADRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVAATRT >KN539789.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539789.1:4280:7517:1 gene:KN539789.1_FG004 transcript:KN539789.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPTTQELQFLGETWTLVEDDILWYCTTSSSSATFCCNIDIHSKHVPLRILRSKGLLDKIPFTTVVTDLSTCHPTWFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALGDALYDEVLGEPTGQILVICGRNKKLTSRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVDNGCGKFSKSPEQIAKIVADWFGPRSDELKMMSQNALKLARPDAVFKIVHDLHELVRQKCFVPQYACAS >KN539789.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539789.1:20034:24140:-1 gene:KN539789.1_FG005 transcript:KN539789.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKQFSFYYRELGINQHGVLGTASAAIVTMVNGLPMTSAILSFVGISTAVAIADVTIDACIAKNGIDKPSLVPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPATLVFLGFFIYELKMYQHNVKEKVLNKVHMAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWHTSKEPPNPGFSQEFVGMVHAIGAVASMVGVLVYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLLGVPDAAFVTLEECCARVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSAGMLAAKAGGAARVLACVLSLSGEDAARVALINRSERFVDSFMASACMSLMGRCQVTEMASLSVRATRSGKMSWDVRILFSVEFG >KN539789.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539789.1:59288:63374:-1 gene:KN539789.1_FG006 transcript:KN539789.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase A catalytic subunit 7 [UDP-forming] [Source:Projected from Arabidopsis thaliana (AT5G17420) UniProtKB/Swiss-Prot;Acc:Q9SWW6] MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGRTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVPGDEDEEDIDDLEHEFNIDDEKQKQLQQDQDGMQNSHITEAMLHGKMSYGRGPDDGDGNTTPLPPIITGARSVPVSGEFPISNSHGHGEFSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGIVAGGAPDPDDYDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLVVLGFFLRYRILHPVPDAIPLWLTSIICEIWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFSQKVDYLKDKVHPNFVQERRAMKREYEEFKVRINALVAKAQKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHGKDGLPEAIAADGGMDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLILNIIGVVAGVSDAINNGSEAWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFTIKARGPDVRQCGINC >KN539789.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539789.1:10105:14958:-1 gene:KN539789.1_FG007 transcript:KN539789.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGACALLLLLLGVLAHDKLSLAGEVIDGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMDQFGGVFSYCLPLKESESSGSLVLGDDTSVYRNSTPIVYTTMVSDPEVESSGFSAGKVIVDSGTIITSLVPSVYNAVKAEFLSQFAEYPQAPGFSILDTCFNLTGFREVQIPSLKFVFEGNVEVEVDSSGVLYFVSSDSSQGEGGHELCEYPYTWRSVLRLLLGVLAHDKLSLAGEVIDGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMDQFGGVFSYCLPLKESESSGSLVLGDDTSVYRNSTPIVYTTMVSDPVQGPFYFVNLTGIIIGGQEVESSGFSAGKVIVDSGTIITSLVPSVYNAVKAEFLSQFAEYPQAPGFSILDTCFNLTGFREVQIPSLKFVFEGNVEVEVDSSGVLYFVSSDSSQVCLALASLKSEYETSIIGNYQQKNLRVIFDTLGSQIGFAQETCDYI >KN539789.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539789.1:38460:42997:-1 gene:KN539789.1_FG008 transcript:KN539789.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRTAVLLLLLHLVFVIAAAAAAVDRRRPAEAIVGGWRQRRRLQALMQEKATLLALKRGLTLLSPKLLADWNDSNTDVCGFTGVACDRRRQHVVGLQLSNMSINGSIPPALAQLPHLRYLDLSDNHISGAVPSFLCNLTQLLMLDMSENQLSGAISPSFGNLTQLRKLDISKNQLSGAIPPSFGNLTNLEILDMSINVLTGRIPEELSNIGKLEGLNLGQNNLVGSIPASFTQLKNLFYLSLEKNSLSGSIPATIFTNCTQMGVFDLGDNNITGEIPGDASDSLSDRFAVLNLYSNSLTGRLPRWLANCTILYLLDVENNSLADDLPTSIISGLRNLRYLHLSNNVHFASGDGNTNLGPFFAALNAIEGPIPADIGDVINITLMNLSSNLLNGTIPTSICWLPNLQQLDLSRNSLTGAVPACISNATSLGELDLSSNALSGSIPSSIGSLKLSYLSLHRNQLSGEIPASLGQHLGIVRLDLSSNRLTGEIPDAVAGIVQMSLNLSRNLLGGRLPRGLSRLQMAEVIDLSWNNLTGAIFPELGACAELQVLDLSHNSLTGVLPSSLDGLESIERLDVSDNSLTGEIPQTLTKCTTLTYLNLSYNDLAGVVPTAGVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAGELSLVQRVNICSDIAEGMAYLHHHSPYGYGSNPTTKGDVYSFGVLVLEMVTRKKPTDDMFDAGLSLHKWVKNHYHGRADAVVDPALARMVRDQTPEVRRMSDVAIGELLELGILCTQESAADTL >AMDW01020279.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020279.1:14:148:-1 gene:AMDW01020279.1_FG001 transcript:AMDW01020279.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQGDGSVERGSHGTVPGEAELGLPLGEAVLGADHAAAPFDG >KN539684.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539684.1:44760:48371:-1 gene:KN539684.1_FG001 transcript:KN539684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQNVPRNKRRAERSLPPAPAPAAIFNVEVCGGGAGDVPLHKRVKEVVQVQPPPPPSLQDMHILDGSSPLGLRLRKSPSLLELIQMKLAMENIKKKDIKSRSLIASERVKASNFAADFLKIGTWECTSQYEGDLVAKCYFAKHKLVWEVLDAGLKRKIEIQWSDIIALKATCPENGIGTLDLVLARPPTFFKETDPQPRKHTLWQVASDFTGGQASINRRHILQCQSSLLSKNFEKLIQCDQRLNYLSLQPYMIDSPVFRPKTESSIFENPNKSKSYHGFSYLEGEHESHLSKYMDHVSPCDFPLMSKKDGMKDDIANQQQSFSRPINWGASDVDLQVDVSHELKSPHPNSLSQARSLSIDDLLSHLDDCIVEQKPAVSNPSLPISEASSNELLEKITQQLLSDSQVTPASDEKRVMARVGSLLSLLQKDAVPANLPKFEPNDSGKIGVVEVGISSALDMGIANGTNPPSISRKDSYEELLSNLFNISEDFDD >AMDW01040427.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040427.1:66:1380:1 gene:AMDW01040427.1_FG001 transcript:AMDW01040427.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVALNASNNSFTGQIPSSICINSPSFAILDLCYNQFSGSIPSGLGNCSKLREFKAGYNNFSGALPEELFSATSLEHLSLPNNDLQGVLDGSHIVKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNMMSGELPSALGNCTNLRYLSLRNNKFVGDLSKVNFTRLNLRIADFSINNFTGTVPESIFSCSNLIALRLAFNKFHGQLSPRMGTLKSLSFFSISDNHFTNITNALQILRSCKNLTSLLIGTNFKGETIPQDETVDGFENLRVLTIDSCGAMGQIPPWISKLKKLGVLDLSNNMLIGEIPFWISDMPVLFYLDITNNSLTGDIPVALMNLPMLQSGKNAAQLDPNFLELPVYWTPSRQYRLLNAFPNALNLGNNSFTGVIPPEIGQLKMLDGFNVSFNRLSGEIPQQICNLTNLQLLDLSSNQLT >KN539684.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539684.1:79333:82592:1 gene:KN539684.1_FG002 transcript:KN539684.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGEDEAASIELQLEHHLQEQRASLTAVNEALAADPSNADLLEVHEELLSAIKDAEEGLLHLKRSRLVKQIDEIFPNQEPTSEAPEVAVDPPDDVEPEPLEPQEFSVGSKCRFRHKDGRWYNGCVIGLEGSSDARISFLTPTSENMSMCKFFLQQRCRFGSNCRLSHGIVIPTLSLKQFTPTRWQQSLVGSSILAASGHHSGLWRRAELESWDDELKVGQVVFQDDGSSARLPSDSLSISEYADASDEDGEGSSSDEGSDFSEDGDQEDETVHQGLGLLESKNLSGVQTETAIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHAVAASEVNDSVGPGKKRSRGGKRKRDKKFAEQARAAKAEEEERSVFSFINSQLVGQDVAEGSAVKSKKDSSGEANGHAKKEDRRSLLAYDDEVKELRSRVGKLEEMMKRNRKDKAFYEAASKKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >KN539684.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539684.1:21020:26879:-1 gene:KN539684.1_FG003 transcript:KN539684.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPRSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQHEAKGKRSNGGPADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTGSNGAADESKSMSVSKGAKPAAVAIPSRVSAIRTATSGGIRALSKSMDLTEKDIGTLSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMLSSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLASDGVNALLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEVVDTAVIGSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKSLSEDINATKKDNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSQVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDVESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPSTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLCLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALETEHSTSLSSAIEALRASTLRLPVTGGAKADVFTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAANESSMLNEYRELLGTAAALQVQESSLRTQLIQETE >KN539684.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539684.1:53941:55760:1 gene:KN539684.1_FG004 transcript:KN539684.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGSDRLSKLPDDILLNILDRLYVRDAARTGVLSRRWRHLPSMLSQLVIDFIHFMPNGASMLSDDVLVWTNAAVVEATKSILERRNPDEYTIHLLRMLFYLNEGDCISIGQTVGHAMTTQKVEMAEFTIIVEKLPTRCTDDDLIDYGRRFMSFFDACPTAFGGLTRLIVGNLRFGESDIHNVLKTCQNLQYLRLFNCDSGNLTVLQLEHPQLNVLNIANCRFESIKLNCLPKLAQLMVEGWLSFQDPLTFGYVPSLEVVRLAGVGLKRHKLVKLSKILGKISIWVQPELPQKLASVFYKLRLVNLFRVPEGCDLTWTMFILEAAPFLKELRMTVWDHWCNMEKDEERRESLYSSNKSIEWESSAEDFKHHSLSVLTIFCFQSEDFLVAFIKRIMEAAVNLEDVFLYNMLACDTCKDIRRPCKFPRTKRQRCSLKKRINEGNSFAKFHFLTSVTADHVPISEYP >KN539684.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539684.1:58889:67678:-1 gene:KN539684.1_FG005 transcript:KN539684.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPEERLDVLTAGGEKTGASKPRHAAATPYPAYSCRRFGWIRFDRIASEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGVKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKDATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDGIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >KN539684.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539684.1:16294:19267:1 gene:KN539684.1_FG006 transcript:KN539684.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAFAPGVPRVVPRRLTAGGHAMACSSSSARFMARRPRLVVVARYNSSSSSSYESDEEEEEGFGGGGGGWGRRDRGPDPDYDPALDIERIEYGHSPSSLASGFWNLCFCFSAFDVWNSRICVPNCVYMLFPSNCIEGGHSIGLEILGLNAKELDVPSDMLCSFHFTVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVGIVANDVKVMTFDVYSAAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTVIEDSDQSDDQPEESEPVPAQPEESKETETEVSANV >KN539684.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539684.1:84251:86393:1 gene:KN539684.1_FG007 transcript:KN539684.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIVTFSFSGLLFHWFTPSGHDCGINLFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSATVLSAPDSPRTG >AMDW01038281.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038281.1:293:541:1 gene:AMDW01038281.1_FG001 transcript:AMDW01038281.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGQAIFNLTVGEMCNRFVYAQSL >KN539684.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539684.1:56820:57927:-1 gene:KN539684.1_FG008 transcript:KN539684.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTDTTNAAAPLLQPSILLDAHVHIADRRPNATTAEDAQIQVSISPASPPNPSRLFVHRLNRDTVSLLYEDAQIVSAADGLLLRVTIVTTTAPSRLENDYFVYSYQGPGRPSLRRLPSPPFPFHDDEAGLLPLPVPGGGGRRLVDRGEEVEGCPKANRGIAVLDGCLRMVELEVHGEILPTRDPETGHLDREIKNWELYMYTNSKITGAWEDWQLVHRVEASHINIDQAIHDSLLQSGLLRDKTQDGKERKLHNLLTSQPALSLDGEGVVYLLTKVKFMQRQAWVLAVDVKGNKILGLAEFGTDTYLGLSLAYCPSRISSYMDASTTTDN >KN539684.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539684.1:31949:33975:-1 gene:KN539684.1_FG009 transcript:KN539684.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGESPGFQEASPRRASSSSDVTPSPTTTSSSRLSVGFSRTTVKWSSEISLYVRLSMMITGVSLKDDGKDRWSVMYRPLQTFRNKMGEMVYEMTKPSCNDYISSADSEQDFDMKQIKFRGEYGNV >KN539684.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539684.1:1711:2747:-1 gene:KN539684.1_FG010 transcript:KN539684.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSCRARLCEKDGPLDWILRSISSFFLRTCLFWEIWSFSSPGCSSIAYGASEEIGPFRIKTNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVSIIKSK >KN539684.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539684.1:70123:77198:-1 gene:KN539684.1_FG011 transcript:KN539684.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGHATAWWGRLAELALDPADAVAATAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAAEAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGMVNTLRQIAKPGDTTIDDSVESSAEKLVGVSDIISHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDANVKGDLNSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCGGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIKDLREVHTPRISGRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFKIDSSTTTSSRIQDVQSVLICAQRLGSRNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQIIKYLTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIEELWRSNPTQLTLLQMKGIGALHKELPKTLTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELHRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEDSQTVRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDDTATMICKFVVRASDESITREIQSDLQGWLDDITDGAVEYMPEEEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKEQEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKALKAHYNPLMHCLFRSGHPEDAYRVFADMAEKGIAPCDTTYNTLLDGMFRAGYAMNAYRMFRSGKVSYARMVLRELGRTDHAPNIITYTAVMKCCFKYGRFEQGLDTFLSLLDRGYISDVYPYCTVISALVKKGRLGEANNYCDLMLQNGSRLDSVCYNTLIHMRCQEGKLDDAFELVSMMEDGGLESDEYTFAILVNGLCKMGHIEAAEKQLFYMEIKGMQSNVVAYNCLVDALCKFQEVDAAIRLLQCMKLKDDFTYTSLVHGLCRVGRYHMASKFLRICLREGNNVLASAKRAVIAGLRSSGFKNDLRKVRVALNMAKLLRP >KN539684.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539684.1:86550:89298:-1 gene:KN539684.1_FG012 transcript:KN539684.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding PLPLTVFDRQLVLYRDAGGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGEGKCVKIPQLPDGAKIPRNACARSYEVRDSQGVVWAWMSGTNPPDERKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGYWGKQRTPHLRNHLRFEAPCVLTNTLEFVDKDGKEQCFSAHFLCRPAGQGKSMLLVRFGSTQTSPLVKVLPRWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLSPPPVPAGNSLSGKRSGATRDQMYRPAVAHWVAILTQTDGHPTSTSFPLSPTEVDHPVKSIAEYMLAKEKMRWKNE >KN539684.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539684.1:36381:43916:1 gene:KN539684.1_FG013 transcript:KN539684.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPRPILLRVGVLRVFFLLGCLVRVDSLRPRAGGSVLFRLKYARILRTFFVPTVKSLVRFHCYNAFASTDKYLCYTFMSGQQFVRSDAGHAEPSCSTPAHHQVFRPVTRSMTRKPTAAAASPDVKGGESASTSKRRDSTDPCFSTQSAAARPSVTRVRTPHKVASSAWKPLTQPIVMSEDLKRASVPSTNPSAKRSRVASSQAAEDSPTVHRGKKRNEESASKGDQLDGAVIPSPSKKLQTGKSPSDVLPKRKPTIRNKDGKLAAPLSMVKLETESGESSVIASSKIGPATTNDNCQSAELAQQLQLDTKNNSNDIITEAIAYGTNQADLLVAPVTTDAIASGSSQVNLSAFPVTAEAIPNRTHQVNHSAGPLNIKAMINRTSLVNKPVAPVNAKAIANRAQQVAAHNKLPSPVIAAPRQNLQDDLQRKLAKLLIARKQPSGQAGATAPLVTPKLEIGKAKGSSSNVLSDPAYANVKALLIKQQEQLLQQFKSANSQPQVHIKGPALTDKDEAPPVEPLGTRCQLCKLDIAFRPQVKRLMEVRRNQKGQRKKELILCDLILSAAGKEEEEQEQAMEEPASADPPRIFWKSRRRSASANGRSLQQELNKEAADEQLNNQAQEEAMKIDDSNAVSTDDDVHPDPKANLSEKRKALFEPLEPINGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQMKGVLFAVPICF >KN541017.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541017.1:5229:8518:1 gene:KN541017.1_FG001 transcript:KN541017.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGCFLDGFEVTCNRTFDPPRAFLAWGSPDSPFQGNADGYYLSYEAGVVLNNVWNLPVELVDISLARGEARAYGAVTTDCTTNETYHVFRRQATFFPKNLVISASRNVLTGVGWDMEAQLTAGSLRSSGYILYCGSRLMDPRFAVSGSCSGMGCCEANVTAGLHASSVTFVHKNNSLWSPNPCSYGMVVQKNWYNFTKEDLYGNQTLSRKHPRGVPFVLDFAITNVSCPAQGQPPLENYACRSPNSFCVNASSSAGYICKCLEHYDGNPYIPDGCQDIDECELRRQYPELRDVYPCSSDGICKNKQGGYDCPCKPGMKGDGKAGTCSERFPLVAKLIVGVVAGLLVLVTLVFVFLLRKEKQKMREFFIKNGGPILEKAQNIKIFKKEELKRITKNYSHRLGGGAFGEVFKGFLDEQHPVAVKKSNKVDQMQQDQFANEVIIQSQVIHKNIVKLIGCCLEVDVPILVYEFVSNGSLQDILHGENKVPLTLDKRLAIAAESAEGLAYMHSKTSTSIQHGDVKPANILLDDQFNPKISDFGISRLIARDVTVHTNDVIGDNNYMDPVYRETGLLTNKSDVYSFGLVLFEIITGKKAVYGGVSSFVRNYLDTYLTEIRANKMLFGKEAEEKDLEHLHSLVVISKECLDNNVDQRPEMTDIAERLQGIIRARKFLN >KN541017.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541017.1:24652:28001:-1 gene:KN541017.1_FG002 transcript:KN541017.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSEVQSSGLEVRPLKKAKGSKSIDFKSDEDMECSTQKLIQEDQQDNSQLLQQSQTTNSIKVDVESSDLHSNVQKSNETKPLLLDNQPEENKQTTVSQTYDYIPQDYEMTDNDICAQITIETSSSTDVLVKINDIALKQNQLLPLLNENEYLDDNVVGAYIYCIRDQAQLQGKNDGKSYFETPLISGLLKRDGELGIPENNNVHGNFITNKARDYLTHELIYIPVNIENNHWYLAVINAKKREIQNIIDFGRHPDYRKKLNVEQLVDSVCNGHGIDYNISKCKSAMVKACPRSRWNEDINLWRQIILPNVPIRNRELSGYYVSLFMQTWKYNELLLPDFQDGNELRKYFLAQLLAFQDNECEGNMPDGVRDLLKCITNNRN >KN539678.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539678.1:49284:54085:-1 gene:KN539678.1_FG001 transcript:KN539678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPLAVSGVAVATLAVLGLADDDINMPLISDNLDDYSVSSNSSTVDESGIRIDRIITSPKTHGIVGKGATYPTESQVIEGETHVIDVTNSKTEELYLGNTLKRPAVANGPTPDVKHIRRDSGESNHNGTIPDIIVGSNLALEVIAGPSHGINHYMQSGNKSMLPVTLGRVPPSHLVLKDSEVSGKHAQIDWNANKLKWEIVDMGSLNGTFLNSRSVNHPDVGSRRWGEPAELADGDIITLGSSSKLSVQIELQNQQPVGVGIASDPMTARRTGKKLHMEDVSCCQYPLIGVEKFGLFGIFDGHGGDGAAIAASRILPQNIANILSQQETKERVLSCHSASDVLRHAFALTEAALHHQYEGCTATILLIWFDQNEDCFAQCANLGDSACIMSVNGEIITMTEDHRVVSTTERARMANSGQPLKDGEGRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQAVRMTKACLASALIASDGLWDVISTNRAAQLVLEGKQKYSEQKTSADKVAHHVLSEARKLRTKDNTSVIFVDLDTLRSDP >KN539678.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539678.1:81579:84508:-1 gene:KN539678.1_FG002 transcript:KN539678.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILAGVPDNIALTHASGAGLVDGAFAGATADEPKSLHVFTFGTLRVVERHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHVYASSPFLLNLLLCS >KN539678.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539678.1:31775:34622:-1 gene:KN539678.1_FG003 transcript:KN539678.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGTGKSRLAVDLALRFGGEVINSDKMQIHSGLDVVTNKNAVVISLDSMETRRLSNHRFRILYKIQKVNMNKYLYEIVKMVRRKSNCSTQIQSPTCNMVNKTKGGKYERNQQIANKLIIEQ >KN539678.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539678.1:59844:67847:1 gene:KN539678.1_FG004 transcript:KN539678.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMEGEGDEEEMLGGGLIEELRREKSAKKRLDMDEGEEGGGEIAMDAEVKGKRSKRRKKDEEAPKDSARGKKRSEKERRVQLDSIHAESQRLLRETRSASFKPSVQPVYKPISSVLEKIRLRKLEILKKSVSLLFLSATPNDDDEEEEDDVSSDPVSGTAGDLGAPQVKEVDAEGKDPKIDDIENEGGMNSGDMNQCDSVPENKDALNCDKDLDNCGSKDPDKELLENSQDNLEDKAQSSDNPNNAADEIQLPPSSSPTESTDDISSEDEEYNDKENIAPSTPKDDVNVHEPLQRALAGDSCPDDAILKDFLDVEAEEEDDSDDDMMRFKDNEEDDGSDENEVFNDLIEAGYEEGEIDHEKRNALHQKWLQQQDAAETNKFMQKLKFGHQEQKKVMDQDEDDAEDCEDESENEMSYDLTPTNVVRQNSEKAKQMIARMFTDDNDTYEHSDDEEIEEHLARQRISKREVHNSSFISPLEDDSSREVFSLIKKLNIAPQPKRRGKQVTSNHELLTVGRDNSASSKSSFLGRTASGSLASSHRSAYRTYVFGRDDSNSSSKSCLSTSESNADTDQTNSSQPKKAKFSSSQPKQAATKTNSKGDNSSGVSLIESLLQRGIVMAACGYAFRRAELGAAKRQPEKDSSVGTRISRVVAMGSAGSTPRPEVSFRHRGVEYCKKVGVSLKCREPWGPSRAFWTNAIGPSYKLSFSVEPWLRDFSTSCVAPYSAGATEHQLSLDEAVQDKQMDNSTVGPDGKPRAPGPLKLVSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSNSMNAIKDEPQGTIDPSRVLEKAYTCTKARGSSTACIVALKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQTFHFPVAPGDVIIAGTDGLFDNLYSNEISAIVVEALRTGLEPEATAKKIAALAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIVSYVTSASAT >KN539678.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539678.1:74223:75997:1 gene:KN539678.1_FG005 transcript:KN539678.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGVLALQYDRPAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATIMAGRDYWGLPGWRFAFLMVAFLSLLIGLLVYFYTVDPRKVSPSHFGDDEDHHERSHLIGNGIFPPQSIWKDSWIAARSVMKGIVGSLPWTAVVFFTMWFELIVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLENGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRENVRRLPSVKEQELI >KN539678.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539678.1:19052:22305:1 gene:KN539678.1_FG006 transcript:KN539678.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSNVKIDGCWEVHLQHLLAGTSSSSTFYMYRTSNDETSDDEPKKIVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAVEEAGVRGDIVACQVSETHLHVFFSYITVKLQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELDSWPEQSTRRRTWLTVPEATSQCRYQWMQEALLTGFSDWHDNWSKGGGGDTNYDSL >KN539678.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539678.1:69078:71835:-1 gene:KN539678.1_FG007 transcript:KN539678.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGPTYRPTNGPLNASQFVQLPPSGDGGSEDSSERRDDADEKGLSPAKWRKLGRPDIEGETSQAAAMSEQHPVKAAPNLNIGMDIWSNSTMAAMPSGQAEVNAGTHLRRDKALSQMDERELKRERRKQSNRESARRSRLRKQERPKSFCHPPNYPVIFYGLTNQECEELSQKVTELTAVNSTLRTELDKLKKDCEDMEAENSQLMDEMAQSEGSSVITTLSIKIDTSKDRHGSSSQLNKRTNDDSKG >KN539678.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539678.1:40944:45813:-1 gene:KN539678.1_FG008 transcript:KN539678.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDVEFVDYDRDEEEDAMDEDDRGGGRGGRALPVPHIVSQGVMRSRGRLLGRSPSVLASNSDRFDSLADAGNPGHGPQRSIEGWILLVSGVKEDAEEDDLYNTFSDFGHVKDLHLNLERRTGYAKLLAVSSFHIQLINLKGMGYALVEYESFEEAQTAIKAMNGTQLLTRTVYVDWAFSRGPIQKLTSTSSMIISKPQMIIHCFNNQSEAITSAISDSTSQACCLDMLTGSYYVRNPECSRSVSLDIWLHLQGATTSVCLVITDAIVRFNCAYHYRFLAVATINTHHICAISSSLRWNLSVPKRGYHDCSTTGSGVVVVQPSNQ >KN539678.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539678.1:6370:11899:1 gene:KN539678.1_FG009 transcript:KN539678.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRLPADYFPDRVPITIVDTSSSPEHRAKLIAECQAADAVVLTYACDRPATLERLSTFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLIPTIKRAPDQTLELTGQAIDFLRGIFNMFDTDNDDALLPAELDDLFSTAPENPWSNNPYVDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLIYVGYSGDFGSAFTTTRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLGRQPSDALPMNGERFAANTVELSGSRKTLVFREIPEDDIRPLLADRESLAPCDVAVFVYDSCDEFSWQRTRDLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIEMPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNTSS >KN539678.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539678.1:78629:79189:1 gene:KN539678.1_FG010 transcript:KN539678.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSFFFLSLLLLLLLIHISIHCNAADSQLGSEKVTNLLFYLHDTLSGKDPTAVPVARAENAVPKPDNPVPFSTIYVVDDLLTEGPQRESKVVGNAQGMYISTAKKGLSLVLGIDFELTDGPYKGSSFVVYSRNPVMQGNGRELAIVGGRGLFRMARGFALLQTVYLDNVNGDAIIQYNVTLLHH >KN539678.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539678.1:46130:48660:1 gene:KN539678.1_FG011 transcript:KN539678.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSPSAASSSGDSFCYKCICQWVKIVSTKRAEPLSSVQCPLCKTVNVSIIHGFNGESFERQYINQDPRKRHLSDAHELITQFYSIRDIIGNTSSIQQFWKQRKYLRKNIWLQTWLRQEIQALTQDENVDAIIYHIHGVIESFMKKQEKEHTSKMAPPEKRREEFKSLLMEAARPFLLGQTERFVAEVELFLVSHLNIDAYSRLRVQRLKESTSHVSREQDVLPQDRSLEDHYLYFLGDETDCNDEI >KN542408.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542408.1:486:7131:1 gene:KN542408.1_FG001 transcript:KN542408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VKPWVKTSLTPGSAVAREYLKHSHLQDYLNQQGFHLAAFGCATCVGNSGDLDESVSAAITENDIVAVAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTYLRTAGVADRERLGSYGGRRGNDEVVVRGAFANARIVNKLMNGKVGPKTVHVPTGEELRVFDAAMKYKSEGHNMVIVIAGAEYGSGSSRDSAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADSLGLTGHERYTIHLPTSIGDIHPGQDVAVTTDNGTCFTCTLRLDTEKFRAVKIYI >AMDW01028524.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028524.1:14:331:-1 gene:AMDW01028524.1_FG001 transcript:AMDW01028524.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAAGGGVELRKADVLARVDYCSALLSSGFSDHLPPLAAVTRDPCSSSHGAVVPSTFAAKQAHTSANGGVMNFTFFSRPLQRPSGCESKSATASAVGTSTVPIESTV >KN541845.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541845.1:551:2339:-1 gene:KN541845.1_FG001 transcript:KN541845.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEKPATTPIPNGGSKQGLVTMNIPTYSKKDVALTADSVTAIESVKKALQFVIMKLTPVDRLSIVTFESSAKRLTKLRAMTQDFRGELDGIVKSLIANGGTDIKAGLDLGLAVLADRVFTESRTANIFLMSDGKLEGKTSGDPTQINPGEVSVYTFGFGHGTDHQLLTDIAKNSPGGTYSTVPDGTNLSAPFATLLGGLVTVVAQDVRLTLTPKTADGDLDKMEVADGTDYTQTTDAKGEITIKFGTLFSGETRKVAVNFTLNESPDTEEYNATLAVARHSYAAQEAPQPAQNIVRLRKPEPTTPGSDDGIEERSVQAEVVRRRHADLIGKASELANGQKLGDARETIMDAQNALGDILLDDGDRMVNALQAELLRLLEYMETQALYDKLGHPYALATIISHRRQRAAGRGDEEVISLYVTPRMIAYLAQAKKFEENPEAPVPSADEDVKQEMAANPLAAISAPLGFYLENAIQALQAIQKIIAANTI >AMDW01040882.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040882.1:542:2954:-1 gene:AMDW01040882.1_FG001 transcript:AMDW01040882.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VNDEHYRIKPGVVPVPSELAVRARKVVERFTEMKHYYDSFSQSKNDGYRRIVPDFQTVRQTSSFVVEERIIGREREKEIIIKRVMKSGESSSVACWEEIFLVLDDVWTERRDTWELLFMPLFASSVCRIMVTTRNEAVAKLVQTMPFYYLDCLSSDDSWLLFQQAAFSVDQQDDKTWIRLARILLYGVKCRGLPLAIKTLGSMLCYEADEMRWRYVLESDIWDLEPPQKEILPALELSYRHLPIHLKRCFVALSLFPKGYLIDRSEVFGLWKSLDIIQYEFFRLEADDGCLEIPPNVRYLSIHCISREMSVASHSLRAIIVLNRASGYIENPEALLLGCEKLRALVFYEKEFFLSKALEGFMGSAKLLCHLHCECLLDNRPDYSENTVQREPAITVSHELLLDNLRPYNSLRKLIIERYESSKYPSWLGESSFSNLTTIKFLWCKSERLPTLGELPSLQFFHVREIMYLQHIRQEICSHGHGFKGFPALKKLKFVQMPEWSEWSGVDDGAFPRLHRLSIRCADNILSLPSVPFLFLVSFQLMDCPNITGIPASATLHQLHICRCGNLEQLPALPSLTTLRRITTCPGC >KN541845.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541845.1:16513:18846:1 gene:KN541845.1_FG002 transcript:KN541845.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGSIAFFGTYRPPVPLDIFSCPANPPPQSAKDELLLTDGESYNQNGQPIPAAALKELLTFLGKKNPKLASECGATPEDAEKGRVTGLVFVSERDRGLETLHVALRFVAGGKQVKVLSLGNIYGADTFGGVRMEDSGCVAGGFKVGRTTVGHSLVYVSTKAPVKARRTPWTVVYRTDLADGKTERLTPLGQYDLSPAVSPSGKMVAVANFQQNRWNGEIENLKTDIVVMNVDKRAQGRSDRKVLIKDGGWPTWGSDNVIFFHRGFDTTPPSNTARWGVFRFDIAAGKEERVTDESIDAMTPAAISETQVAVATVREKSKQVLMKVERVVTQYRHIEIFDTASKTSVPITQKTRPEGDHYNPFVLDGGTRVGYHRCRTDKLLKVQNQKSTPTTSIQRRFDKVQPPESHADVGLFRVTGVFPSVSKNGKKLAFVDNEFKAVWLADGRGLRVVYKVRATKSVFSTSWNQNDDLDTLYVCEGPAFSIDKPVQIMRIPNVSREDYENMETFPLTDEEYNCAFPSTNAEGTKLVFRSSRNRVAGGERQHKNLYIIDAEKGEAAGVVPLTDGPWTDTHCSWSPREGCDWIVFSSTGRPEKDIVKGKDEPEKDHGLDPGYFAVYLVNAKDIKKGEVPVPVRVIHSAPTIAGHINHPVFSPDMKSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVNLRDTTDMAKNRDIQEFHRITHSRYEYSTPTWTGIADDEEDPNAKWKMLESLPNFTPWCPYARGEAGEKEGWHMTGHLTIQKRCC >KN541024.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541024.1:361:501:-1 gene:KN541024.1_FG001 transcript:KN541024.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWDSDYKDRSPLEYRVYVGNLPFSANDRSLKDSFANYGAISAE >KN541024.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541024.1:18535:19110:1 gene:KN541024.1_FG002 transcript:KN541024.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGGGGGLGGEVASPTPAKVEGGGGGGGGPGMPMAELEQVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDSDRDGFVDLSEFAAFHCGPTPAHGGEGGDAKDQEAASEAELREAFRMYDADSNGKISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGCVNFDEFKKMMGGGGRR >KN541024.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541024.1:9151:10709:-1 gene:KN541024.1_FG003 transcript:KN541024.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDNDYNGRTGSGSDSKGQESRVYVGNLPYRADERSLKDSFANYGAVSSEIAVDRETGRSRGFGFMCQNSEVAWSLPDDPEHGGGLLQMKNRV >KN541024.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541024.1:5801:6398:-1 gene:KN541024.1_FG004 transcript:KN541024.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWDSEYKDRSSLEYRVYVGNLPYSVDEQTLMDYFADYGAISAEIAWDSEMGRPRGFGFVNFEDDESVNAAIHGMNGQDIGGRMVTVARAQMRPRRWRA >KN539575.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539575.1:52058:52408:1 gene:KN539575.1_FG001 transcript:KN539575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDCPDNLRQLRDAVDAKIQAIRVRMARKRARARRQREESKKTQRAEDAKAATPARPVASERAASETTTTTTTSSSYGSPDGVLSMSAASVDGDCPLERMPSFDPELIWEMLNF >KN539575.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539575.1:66333:67393:-1 gene:KN539575.1_FG002 transcript:KN539575.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCGGEGEGRIMLATELAQLRAMARELEAKMDPDRVAARELCRALASSVDRSIRLAASCFPPPEHPPPAAGNAGRDAAFKKRQVMVPAMEGDGQGEEAGEGDVGAGHGVAGRRPELEEVRPEGHSWRQIPEGCNATKQVQRADGDPLLFDVVYLGDHTCGQAAAAAAAQRAPPEHAGQEQQRQSSLLAAGAEGIHQQFSSDFSAGSVGVDMDHEARFEDLFSSTLEFFQSEIQNL >KN539575.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539575.1:25979:28663:1 gene:KN539575.1_FG003 transcript:KN539575.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCGLRLLPLVCLLVIAAAAEEDKTNILQADKNNDNNIAHSDGGKTGRHDETNPNTVHHDEGKNDPDGNNKKDKSTEVISTAKDAAAVHHVDKDISTAKSSHVTDFSQDPLIKGCDPSHTCVIENKKFIACLKVPGEDSLALSLLMDNKGMDPLYVGITTPEFVTSAEDTIHVQANDHNETQVTIFNNGAPNMTIILRVAEETCNISIHRAIAREISQVMPMRLTSKYMLVPVFLLIGAVVACIKLRRRGIQDGGPAYQKLDVAELPVSTGGKKEADQSDQWDDNWGDEWDDEAPLTPTRHMPNLSSKGLASRRSTKDGWKD >KN539575.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539575.1:54050:54331:-1 gene:KN539575.1_FG004 transcript:KN539575.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVRRRAWDCGSPLYDSFELASVYGLLDSNLMALPFAERSAALDAAADRAPARRTAAKEQRRRKKAAAAARRTGKAVLRSIFRSVTCSRKL >KN539575.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539575.1:60797:62372:1 gene:KN539575.1_FG005 transcript:KN539575.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATTKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEDTKESASEDSQDIKEKGSI >KN539575.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539575.1:73768:75417:-1 gene:KN539575.1_FG006 transcript:KN539575.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQPWEPEAAVKRRKEIPFDNVIQRDKKLKLVLKLRNILVSNPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLRFRLTPAAERLYLDELHLKNESEGLAVTKLRKLLMMSQDKRILIEKIAHLKNDLGLPPEFRDTICLRYPQYFRVVQMDRGPGLELTHWDPELAVSAAEVAEEENRAREEQERNLIIDRPLKFNRVKLPQGLKLSRGEARRVAQFKEMPYISPYSDFSHLRSGSAEKEKHACGVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLVEKSKLVLLKEKMRALVAVPRFPRRGVPATSEETDGTNGAAQMLSEGSDVEDDEDEGLSDMEDLISEISGGKSDTDYHWGDGWVGENDDSPPDFEDDDDSSLKEVRVTMKNTANSANGKAHVPVFPDGRPRERW >KN539575.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539575.1:19561:22756:-1 gene:KN539575.1_FG007 transcript:KN539575.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKIRVANPIVEMDGDEMTRVFWKSIKDKLIFPFLDLDIKYFDLGLPYRDQTDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFSLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPVCIGRHAFGDQYRATDAVIKGPGKLKLVYEGKDEEIELEVFNFTGAGGVAQSMYNTDESIRSFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEAAGIWYEHRLIDDMVAYALKSKGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVGNHILSFLLFIDGPCVILMVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNASLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLAAN >KN539575.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539575.1:91711:93039:-1 gene:KN539575.1_FG008 transcript:KN539575.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVELWWEVLIRAPTKDVARSSCVSKQWRDIVTNPSFRKLHHDRHAAPPKDDVPYALLVSTDSVDGESVSTVFPAALVSPSPAVMTGGHHAPICRVTNAYGYHLANVCNGFLCFASWSGGKVVVCNPVTGEKLALPRAPPLRPDLVYASPFTFALGFSPATGVYKLFRFADHRINSYALAAGDGACSGWRQHPIPHPCRVAENTPTVVVGGKICVLTPGPVMVVDVASEEHRTYNPADYGCPWAQVAAVSGFELHGRLCLVIRTHTDIQFWAMPVEEDNGDQPWQLLYKIKDDNNDVRIGNRVFRRQASMSAWFDGETQTLCYKEGYDLYSRFIGTTTTTTSPAAPSLSQPEVLSWDCKIPLPVTPQSLPSCKWDIYAGYRPSLLSPLTFASQQINDHDDEDDESTSFVHNLLCALRHQKSLKRQPPMPTDHTNAKRRVCS >KN539575.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539575.1:90148:90776:1 gene:KN539575.1_FG009 transcript:KN539575.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTQYAFLLLSAVMASLFAGSAAGVYHIIGAGKGWRMAPNKTYYADWARTRNISVGDKLMFLYRSGVYNIVEVPTKELFDACSMRNITNRWQNGPTIIELTQPGPRYYFCGVGKHCEEGEKVAINVSVSAPTLPDSDADADDDDDADDSDSSAATPAAAADLLIYLAGLAACLLPALLLI >KN539575.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539575.1:58266:59763:1 gene:KN539575.1_FG010 transcript:KN539575.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRDAARVACVSRAFARSWRCLPNLDFSEESLGINRSTCKKDEKLGDLTSKIDWILTNHSGIGIKKLIVQVGSVYSRDSCHLAHLDSWLQCAVKPGIEELIVNLSSMNAKYNFPCELLSSGTGDSLRYIYLASCNFHPTRLSYLDVMTCTGLQVIESKAPNLSSIRFEGDLYVQLSLGEPLQIKQLYRLCNDAAFYARTELPSSMPNLERLIIHSDTEMVNTPMVPSKFYHLKYLSIALGGQTYDYLSLVSFFDASPFLETFILNALRERTERVTVFGDPSGLRMMPEHRHDKLKETLMEAHRALKAVQTYIKLKVPSKVELNVLEPCSRCHALDL >KN539575.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539575.1:30247:33179:1 gene:KN539575.1_FG011 transcript:KN539575.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVDQRRAAVAVLRAAAAAGELSLGKAVHARVVRAARFDVVQYNNLIALYVKCGRLGLARQVFDAMPSRNPVSGNLLMSGYASSGRHRDALALLRVADFGLNEYVLSLAVAAAAHVRSYDMGRQCHGYAIKAGLAEHPYVCNAVLHMYCQCAHMDEAVKVFDNVSSFNVFAFNSMINGFLDRGQMDGSTSIVRSMVRNVGQWDHVSYVAVLGHCASTKEVVLGSQVHTQALKRRLELNVYVGSALVDMYGKCDFPHEANRVFEVLPEKNIVSWTAIMTAYTQNELFEDALQLFLDMEMEGVRPNEFTYAVALNSCAGLASLKIGNALGACTMKTGHWGLLPVGNALMNMYSKSGSVEDARRVFLSMPCRDVVSWNSIIIGYAHHGRAREAMEAFHDMLFAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNTMMKEVGVKPGKEHYTCMVGLLCRVGRLDEAEQFIESNCIGTDVVAWRSLLSSCQKKLHFSVETSQLAFCSQVLNVRITSRPEYCHLELFKIEIPATKLRNLLKTAASLPQWRASGEAW >KN539575.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539575.1:13192:18874:1 gene:KN539575.1_FG012 transcript:KN539575.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVVELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKSGLSFVGRDESGTRMEIIELPAHRFFVGAQFHPEFKSRPGKPSPLFMGLIASSSGQLDHLLQQSCGVVSSPVRRGNSCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >KN539575.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539575.1:63964:65617:1 gene:KN539575.1_FG013 transcript:KN539575.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHAIQGFYLQALARLPSGELRSRYHRSLVKAGHCYGPMDPVSNIILNVVWYDAAHPPVEELELAMIPPKSMSSIATRSFLGLVSFLCTRYPNLGLHDAIRCLLDADGDLNAAAREAERRQHRPSCTIQEAYAAAAAAARHPKPDEQVEFLSSSRAMTTPLLLHNGGQLSSEDVRCLAAALLPPAPSAAVSPMQRKPTKPRRRRQLKLKAQIIRDQNKIRRKVKAALDRYALQNNEPGGYELHVICGMNNCVSGPVYCTDDDIVSYTPLIYFRCHVNFLARRRDSDPSAIAGEGALQLFFAECGNYRLNHDGICCPVTISPPCSENFHGREVDFEKMVCGEDTCGDEFDPEIDEEPFYTNDGIVNSLKVNILSGLEEEFIYRNSDEGTDEEEGDSDDDIDFV >KN539575.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539575.1:94611:96059:-1 gene:KN539575.1_FG014 transcript:KN539575.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICGETISVDELWREILLRAPTKDVAHSCCVSTQWRGIVRDPAFRKLHHDRHAVPSKDDVPDALLVVTVDADGQSVSTVAPATLVSPVPTTSQRAPMYRVIKNGMSYSLANVCNGFLCFASWSRAKVVVCNPITGEKLAIPRAPPIGPDYKSSRARFVLGFSPTTHVYKLFRIADRRMDVYTLPTSGEAGGGGWRQLPLLYPCTVVETTPSVVVGGKICVMTATGTPSWHPPEIPTLGPVLVVDVASEKHRMHSPPDNGCPAADATSFAAFELHGRLCLDIRMSMTNTVQFWTLSVEEEDDDDDDLSWQLLYTIKIDMKDGYNNGFQELEPMSDWFNGGYNGFIQVPEPMDAWLDGETHTLCYREGGTLYSRYIGTTTTQDLSLTEVMSWDSEIYLPEIPNSLQTCNWGIYTGYRPNLLSPLTFVSQQDDDEDEEDESSPYIRQLLCAIRHKKLLKRSLPITSTNHTSGKRICSGNSCIC >KN539575.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539575.1:81865:88556:1 gene:KN539575.1_FG015 transcript:KN539575.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHGFRRIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSTDGARGLTSVGLSNSESRPDSVTQTQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMTWRASDVANREKLAASRGDVANLRIGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHVNNEFNLPFGNQQPFLSPRPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQSAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLEPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQDLSAPSKLDIATDDIFNRKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGGMPYAQRLSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFEISVIAFCPQIFFQKVLKKLVSVFGYSIQIPVLGLKQEDIEHEQNTRDILGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDITEVEKRHSMRMGFSLEDMDVLAGSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRADNDALRVRQNFHELHSDANAIDEQADPLGCFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTDACSMTLSGHTNEKNFVGLSVHDGYITCGSENNEVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >KN539575.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539575.1:40237:42269:1 gene:KN539575.1_FG016 transcript:KN539575.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGATDEGHACEVVVAGGDGKAAAARRRRRLELRRLGLAAEDDAAAKRIRSVKDGSSSDDSSTEVVPRSWPACVSHGSVSVIGRGREMEDAVAIERTFMASTGDDAGAIRGGGGEGEEDFFAVYDGHGGSRVAEACRKRMHVVLAEEVSLRRLRGQSASGGDVRWKEAMLASFARMDGEVVGSVAAAAPRVDGTEPSGFRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRGGVALPLSTDHKLDANSALCHYRVLQFKICTDTVAWVVVPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPFVSAEPEVRVVERTDKDEFLILASDGLWDVVSNEVACKIARNCLNGRAASMFPESVSGSSAADAAALLAEIAVSRGSRDNISVVVVELRRLKSRAA >KN542464.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542464.1:1187:2772:-1 gene:KN542464.1_FG001 transcript:KN542464.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHVSGVAALLKAVHPDWSPVIIKLALVTTGVKISVKPSALQFNQGKKKQSFKKNANDSTDRSVSVSPQEAI >KN542464.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542464.1:7503:15164:-1 gene:KN542464.1_FG002 transcript:KN542464.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VSCTLMRLCIDRRIYVSKEEALESIVHSYRHSFSGFAARLTKEQANMIRGLPEVVSVRENQIHQLHTSRSWDFLGMDYMQPNNLLAKANYGEDIIIGVIDTGITPESLSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTQSSMSKNEILSPRDVDGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKACWSGGGCSTAGQLKAMDDAVHDGVDILSLSIGGPFEDQGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDKFVAQSFVVSGKSAGQFSEIKLYMGDDCNAGNIDNTVKGKIVFCFGTKFDLQLDYYNITKATGEKGGKGVILPQYNTDLVLGDVLLTLPIPFVPVDYEFTYRIYQYIKENDGTPKMKISLTQTTIGTEVSAPKVAVFSSRGPSPIYPGVLKPDIAAPGVSILAASPKTTFYEHAPYHFLSGTSMSCPHVSGIIAVLKSLHPQWSPAALKSAIMTTALTYDNYGMPIQANGKLPKIADPFDYGAGFVNPNMATDPGLIYDIDPSDYFKFFNCMGGLGSGDNCTTAKGSLVDLNLPSITIPNLRMFQAATRIVTNVGQDNAVYKAFLQPPAGVEMAVKPAVLVFSKEKKVQSFKVTFKATRKQIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEDIYSKIS >AMDW01040258.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040258.1:57:1094:1 gene:AMDW01040258.1_FG001 transcript:AMDW01040258.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATSQFAAAPLNLCASPSDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTSLPPFDAIEQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGLIYAGAQKNVGPSGVTIAIVRKDLVGSAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDAIDASGGYYICPVEKSVRSLMNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >KN539918.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539918.1:60573:66433:-1 gene:KN539918.1_FG001 transcript:KN539918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSAFCRSALLLVLLVLLPLSASASTKLYIVYMGEKKHDDPSMVTASHHDALTFVIGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELAKYPGVINVKPNTYGKAHTTRSWDFLGLNYYEKSGLLEDAMYGEDVIIGVVDTGIWPESPSFNDDGYGPVPARWKGVCQTGDAFNTTNCNRKIIGARWYSAGATDDMLKGEYMSPRDFHGHGTHTASTIAGGQVWNVSHHQGGLGAGVARGGAPRARVAVYKVCWGVGGNFGDAAVLAAVDDAINDGVDVLSLSLGGPNEIHGTLHAVARGITVVFAGGNDGPTSQTVQNTVPWVITVAAATIDRTFPTTISLGNNEKLLGQSLYYNATVSSIKFQTLVVVNGSSAINVTAGNVVLWPEPYNKDTIDLLAKEGAKGIIFAQGNTFNLLETLDACNGIMPCAVVDKEIANRIASYATSTRHFFSLSSMPVVKVSPAVTVVGNGVLSPRVAGFSSRGPGTKFPGILKPDIAAPGASILAAVGDSYKFMSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEGSARKVADPFDFGGGHIEPNKAIDPGLVYDIDPKDYTKFFNCSLDPQEDCKSYMGKLYQLNLPSIAVPDLKDSVIVWRTVTNVGGSEANYKVVVEAPAGVNVVVEPQVITFAKGGSQSATFKVTFTARQRLQGGYTFGSLTWLDDNTHSVRIPVAVRTIIQDFVSDTA >KN539918.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539918.1:3695:5531:-1 gene:KN539918.1_FG002 transcript:KN539918.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAFSRLSIYFCVLLLCHGSMAQLFGPNVNPWHNPRQGGFRECRFDRLQAFEPLRRVRSEAGVTEYFDEKNEQFQCTGTFVIRRVIEPQGLLVPRYSNTPGMVYIIQGRGSMGLTFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDAPVVALYVFDLNNNANQLEPRQKEFLLAGNNNREQQMYGRSIEQHSGQNIFSGFNNELLSEALGVNALVAKRLQGQNDQRGEIIRVKNGLKLLRPAFAQQQEQAQQQEQAQAQYQVQYSEEQQPSTRCNGLDENFCTIKARLNIENPSRADTYNPRAGRITRLNSQKFPILNLVQLSATRVNLYQNAILSPFWNVNAHSLVYIVQGHARVQVVSNLGKTVFNGVLRPGQLLIIPQHYAVLKKAEHEGCQYISFKTNANSMVSHLAGKNSIFRAMPVDVIANAYRISREQARSLKNNRGEELGAFTPRYQQQTYPGFSNESENEASE >KN539918.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539918.1:28200:33476:-1 gene:KN539918.1_FG003 transcript:KN539918.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLANIEGEAQQETRNSQTQTKPSQVREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQDYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWSRMRSSRS >KN539918.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539918.1:15465:16577:-1 gene:KN539918.1_FG004 transcript:KN539918.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPFALLFLAVTVGFVLLTAADDSANATATTTTAMAPSPSTDDAADPPVWLKAHATFYGGADASGTMGGACGYSNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDVMGSNSTDWVPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFK >KN539918.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539918.1:69975:72496:1 gene:KN539918.1_FG005 transcript:KN539918.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNVGSDPCSDAPMGRNIGNPDAINGEINRPLLHPQPTAETQHPIQFLGNPFKTLIGLLGIAQAIELIRLEDPQVILQVSNSKEDQHPTTPPSSQPPTATLDKTANQIIPSAKRALQFEKEVHIDKQRTETSTNAEENQLQQLSSQKRVTNSLQIRKTRNHESQLIHTNYPQAVSKIAASKSTAISITVQGKASTDNLSKLQPTQAHIVNYMKNKLPHMHIILDEENIKNNEN >KN539918.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539918.1:6687:7602:-1 gene:KN539918.1_FG006 transcript:KN539918.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAFLLVLLAVASASTAAANTTTTTTTNPVAAPTQWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGIYSAGIIPVIYQRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNIADWMPMARNWGAQWHSLAYLTGQGLSFRVTNTDDQTLVFTNVVPPGWKFGQTFASKLQFK >KN539918.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539918.1:51103:51798:1 gene:KN539918.1_FG007 transcript:KN539918.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLRWRVERKSEDRLLQDKEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAAAAIAAS >KN538878.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538878.1:74894:80815:1 gene:KN538878.1_FG030 transcript:KN538878.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNSSTVVALAGNKADLLETRQVQIEEAKTYAQENGLFFMETSAKTATNVNDIFYEIAKRLLQGQPAQNPQAGMVLSQRPNERLVSSASCCS >KN538878.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538878.1:7260:7823:-1 gene:KN538878.1_FG031 transcript:KN538878.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLSERSLRKAHVEMAAAPPEPAGVGLADVLREHHGGGKAGGGKVVFGSQLRIQVPTGRAVELVSSPIEFGVKNRDAHLAAMSPARRFLPEVVSSPSARVFAAAAVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKVAGGGGDGDAVRTNGFLNSCYACNKQLGHGNDIFIYR >KN538878.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538878.1:2438:3377:1 gene:KN538878.1_FG033 transcript:KN538878.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDVDVQQRKDGSPPAVAVTLRPLGLADADDFMAWASDERVMRFLRRPLCATREQAVAQIRDTVLGHPWFRAICVDDDGRRPVGQVSVWPYADEGGHRANLGYALSHGLWGRGIATAAITMVVARVFDELPGLERLEAVTDVENARSQRVLEKAGFKKEGVLRRYIVRRSGEVMDAVIYSFLASDRPSVHGATRGEAPIVIYGKSVLV >KN538878.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538878.1:64288:65606:1 gene:KN538878.1_FG037 transcript:KN538878.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGYGADVLLGQAEEDEEAMNAAANAMAAAAREYRRGNWTLPETMLLVEAKKRVSDGRRPAADQGLARWRWVEDYCWRRGCRRSQNQCNDRWDNLMRDYKKVRAHELALAAGGGGPAESYWVMGRTERKERGLPANLLREIYDAMGEVV >KN538878.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538878.1:35158:35505:1 gene:KN538878.1_FG038 transcript:KN538878.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQDYIASVRASFYGQWLCGLCCEAVRDEAGRKKAHPGVEEAVRAHMAFCRMFRSNPAVRVADGMRQMLRRRSSDMSKPDTSKKYSTVQVVDESSVSLY >KN538878.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538878.1:94917:96428:-1 gene:KN538878.1_FG039 transcript:KN538878.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKESPVTLDDAFAIHYAAAYCEPKVLAELLKLESANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICRRLTREKDRNEKSEKCKERSKAYLCIGILQQEIKRRPQILEDQMSAEESIATPLLVDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLTSANFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSEVLDKFLNEESTDLILLESGTTEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYETKLRPGNKKGKLSR >KN538878.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538878.1:81644:84244:-1 gene:KN538878.1_FG043 transcript:KN538878.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGYKYQAQELMRDYLLADPLVPYTSVLVGIVLCKMLNELVLTEEDLFSDRLKGPITFRNSIISTFALGVSVGYFIADLAMIFWLYPSLGGMEYIVHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARILLFIYVFYHIYLHYSQVMQMHAFGYYLTFVVPSVLFVMNTMWFMKILKGVKKTLGKWS >KN538878.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538878.1:51667:55179:1 gene:KN538878.1_FG044 transcript:KN538878.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMDKNHPFGIGQMENGNGSYASEAVTSDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVEQIGVDEDLPLFALKLINSAIELGGSSIRKHPKLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNIFEELANLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNATSRPELLPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHIKSQMGWRTVVLLLSITARHPDASEVGFEAIMYIMSEGAHLSLSNYAFCIEASRQFAESRVGLIDRSIRALDLMADSANSLARWSQETKGTGEETDKVLEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLTATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILVAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPSQEYEQHSSAGSPRGPNGVESRD >KN538878.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538878.1:102870:103316:-1 gene:KN538878.1_FG045 transcript:KN538878.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAGYGGRTRSALVFFFSTTTPFGIALGLALTRVYSDSSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >KN538878.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538878.1:153356:154105:1 gene:KN538878.1_FG046 transcript:KN538878.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKYGDVRRIRREITFLTDELSSMNALLLKLADMEELDPQLKEWRNKVRELAYDVEDCIDAFAHQHHHRLGRGGADPGGLIRRAARSMKKLRASYRAADQIHELRARIMEVSDRRLRYKLDEAASAAPPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVIAIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYDKLEVEQLIPILRKHLADK >KN538878.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538878.1:55784:59288:-1 gene:KN538878.1_FG047 transcript:KN538878.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPVNFHATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTQTAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVVQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >KN542107.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542107.1:8323:8682:1 gene:KN542107.1_FG001 transcript:KN542107.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWGATLTAEDSSLPPPAEDSRRTRCRPPRTGMGGEEEDQLTGAPDPAAAAKNRLLPAAKNCHAAPTTPTVGKGTVEDREEALRRSRRGAAWEATVGGEASPPSAPAVLPSALSPQHE >KN540628.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540628.1:207:2027:1 gene:KN540628.1_FG001 transcript:KN540628.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YAGGEQEGLIQERAFEEGWALREGMHLDVDAELRLVADVRREVEDDDDKARRKAMKELGLARARHFGWSNTYVFTKAMGEMGTRTIDTLIIGYAKQNLSCFLGDLDLVMDVIPGDMVVNAMMAAAVAHSGEVGQERPAVYHVSSSLRNPAAYSVLYEAGRRHFTEKPRVGKRGEVIPTKEMHFFKTIASFQVYMLVKYRLPLEILHLVNLLLCGLFSRLYSNLARQYHYVMHLVDVYGPFAFFKGCWMKKT >KN540628.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540628.1:11681:13567:-1 gene:KN540628.1_FG002 transcript:KN540628.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MGMGGFLVFPPGTHPDVERAFRAVDRDGSGSIDERELQDALSSAYHRFSIRTVRLLLFLFNKPASHSPSRMGPAEFVSLWNCLGQWRGIFDRYDRDRSGKIEKDELREALRSLGYAVPPSVLELLIANYNNGVSSRGALDFDNFVECGMIVKGLTEKFKEKDTRYSGSATLSYDGFLSMVIPFIVP >KN540628.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540628.1:8995:10878:1 gene:KN540628.1_FG003 transcript:KN540628.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISQVVADCKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHVPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRVF >KN540628.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540628.1:2872:6144:-1 gene:KN540628.1_FG004 transcript:KN540628.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKLIIDTDPGIENTSEFQPSRKLLLANSFPYKLVPDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPLVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADVVFTSGADADVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCKFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >AMDW01040210.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040210.1:2:1102:-1 gene:AMDW01040210.1_FG001 transcript:AMDW01040210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIKMDELHGEKRSETLQLLHDACAQWGFFWLENHGINEDLMYKIKGLVNKHYEKSMEKNFYNSETAKNLGPDNVVSNVDWECSFVYRHQPESNIHDIPELVRTTLPEYAEEVIKLAERLAEVMSENLGLDKDYLKKAFSNPSVGIKAAKYPRCSHPEFVMGLRGHTDAGGIILLLQDDLVPGLEFLKDGRWMPIPPTQGNRIFVNLGDQVEVISNGIYKSICHQVVPNKNGSRLSIATFYNPDPDAIVFPAPKLMYPSQYRFKDYLELYSTMKFTDKVSRFQTTKMIFK >AMDW01039160.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039160.1:319:923:-1 gene:AMDW01039160.1_FG001 transcript:AMDW01039160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEAALVAPDYGAVAEYAAPAADTWGGEWGTDAAAQPAAVPAQAGADWTAAPAPAAGGWDTAAAPAPGWEQGSAPVPAAAPTPNWGE >AMDW01027733.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027733.1:97:198:1 gene:AMDW01027733.1_FG001 transcript:AMDW01027733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTMKVVFSLALLLLPLASAVYFDFMYLVQQ >KN540071.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540071.1:40610:42423:-1 gene:KN540071.1_FG001 transcript:KN540071.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVASLLEVLASQNYAQ >AMDW01034948.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034948.1:260:502:-1 gene:AMDW01034948.1_FG001 transcript:AMDW01034948.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSCIEQ >KN540071.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540071.1:19690:20179:-1 gene:KN540071.1_FG002 transcript:KN540071.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTIKLAVAVTCTLLLAAACSGLEVGYYKTSCPRVETIVREEVKKFVYKNAGIGAGLIRLLFHDCFVEVLKDIIFG >KN540071.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540071.1:47522:50678:-1 gene:KN540071.1_FG003 transcript:KN540071.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHEEAVKEYEAQDEAREGIGEEGGEIFHGRVTGGKPGVAASPCPNVLQGRRWSPEHLVPAPLLLGLRQHGELHVRQVRDEVLLLPLPAVAMFSEILLLLVMADYKSTVFAGQRWRCLLYIYRVGGMGNGLV >KN540071.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540071.1:55104:56335:-1 gene:KN540071.1_FG004 transcript:KN540071.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDDRERRRGRRAPGEALPDDLVEEILLRLPAPSIGRCRAVCKAWLSRTSQPDFLRAHAARSCTATVVTAAATVETRTTTPCGRSCTTVRIRRLGRKCSGAVAASLAVSFVSASEPVRSMTAVIGFWDGILCAAHILFGPGRGVERYVLCNPLTEACTIVPAPATDGFLVGGYAHPTTSRFHIMHTNFFTTMETFWILRLGENSVWREVRRPALATTRVCIKFLCAPPVRLHGCLHWLASSSSAQFLVAVFNMEREEFRLMEAPGGQGVRYGSHSHTMMGMHITHCHGKLCALADEPGANALGLWVLDDYSDPTSWRLQRKIDYYYSCGAGGAGAALDDDPHAAAAQTFRARFSTADVVEVGDIAADYTPPDDA >KN540071.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540071.1:11286:16980:1 gene:KN540071.1_FG005 transcript:KN540071.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSWFKKPEASSTTVKLKGSVVLVRRSVLDDVTGRVFDNVHELLGKGISCQLVSSVDVDPNNGNRGIVGPEAYVKNWVTKIPSLTAESECKLKVTFHWDVYRQGVPGAVIVKNHHHSEFFLKTPYLPSEMPAALRPYREEELRHLRGDDNHGPYKEHERVYRYDVYNDLSEPGSGGCRRPILGGSDEHPYPRRCRTGRSRTRADPRLETRLSILETNYVPRDEKFGGTKQSDFNGFLIKAVTNGVVPAIRTFVDLTPCEFDSFDDIIALYEGGLKLPHIAFMEELKKQVPVQFIRALLPESGKYIFKLPIPHIIKEDKSAWRSDEEFGREMLAGVNPVVIKRLTEFPPRSALDPSKFGDQTSTITTDHIQRNLDGISVQQALEDNRLYILDHHDHLIPFLDDINKLDDTFVYAIRTLLFLTSDGTLRPIAIELSLPAHAAGNNDDGTIAGAVSKLSVVHPVHKLLQPHFRDTLTINALARQTLINAGGIFEKAFFHGHHALAMSAAVYKDTWNFTDQSLPEDLIKRGMAVADASCPGKVRLLVEDYPYAVDGLAVWSAIEQWVSDYCAIYYPTDQHLRDDAEVQAWWKEAREFV >KN540071.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540071.1:53648:53833:1 gene:KN540071.1_FG006 transcript:KN540071.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSTVAGGNMDGQGLAGLIMVMHWPREDMELEEMGALERKKRLFALRFVLDQPRSRRGM >KN540071.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540071.1:1493:1741:1 gene:KN540071.1_FG007 transcript:KN540071.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSPLICKNIGWKCPGNETMTAAWALRKKRAQVKDSLASEMQSSSGYRGVMATTNLQLCYVSRRLGTAAVANPASFRRE >KN540071.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540071.1:28979:29230:-1 gene:KN540071.1_FG008 transcript:KN540071.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACALALASACHGLQLGYYKQSCPRVEAIVRDEVKKFVYKDAGIGAGLIRLVFHDCFVEVCHIPFLHRKFAIHGGSEMRSV >KN540071.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540071.1:38204:39386:1 gene:KN540071.1_FG009 transcript:KN540071.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGMKLAVAVACALALASACHGLQLGYYKQSCPRVEAIVRDEVKKFVYKDAGIGAGLIRLVFHDCFVECILC >KN539037.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539037.1:90005:91136:-1 gene:KN539037.1_FG001 transcript:KN539037.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRHAAVHREEFERMKEIAHERIRLDFAVSQEQTNTTWEKMEGCGAQPAMARQKGAILIALYLLENKSTVGAAEVWHGSQGCDQCLASGWSS >KN539037.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539037.1:28059:39495:-1 gene:KN539037.1_FG002 transcript:KN539037.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTTNIAEDLSKCVLGDNAQKMHQGSASVSANRVPQGSLLLTRKSLCMAGGAARPGKVVGSALRYGNCSTSTAELYEHRRTDRNPALATNTVSPRGSYP >KN539037.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539037.1:94710:95144:1 gene:KN539037.1_FG003 transcript:KN539037.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPTAAAVAGDVRSSLALALVLAHDDMAVWKEATFETPEVRRQDDGGDLPPAPPYVAALLRDKAPYPMMRTKLVLRSEAMDGSTFGGYWYMTDSRCDGREEVTGEAASIVTTSIGKVRDGEAYVATRKSFFPESWKAVSEFLA >KN539037.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539037.1:141782:143103:-1 gene:KN539037.1_FG004 transcript:KN539037.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERPAEPSPCYISFPYTRPISGRTITIQRFPETLRGGINWSYTTPQAVSLGPYHHGSPLVGEVEKVKHHVMERLCFESGCTVEAVREKMRSVAGSARACYANDEKLSVRRLHVPRRPRLGAGRSDVYGGDPHAVRHIIARDIMLLENQVPWVVVKALMEFRTVPAAPSVDKFISVMAATFDVRSVNPFAGTATGSSSSSNDDADHEPTHILGLFQYRQVSGSARGLHHNAGLTTLSSIFSSAMELAEIGVRLTSSKMTKFGEMSMKKGRFLHGELSLAPVFLNEMTACWLINMAAYEACVGATQDDNYAVSSYISLVALLIDREGDVKELRAKSVVVRSTFSDDQVLGFFKLLSSRLRIGRRYYHIFECLQEYKKERWVWIVVHKFFYKNIKVIVTVLSVIGVLAGIFKTLVSLRPQK >KN539037.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539037.1:25679:27274:1 gene:KN539037.1_FG005 transcript:KN539037.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKEYGIMVVRQTEGRTNGLFVGKLPLRENPTKEVVLGDDVEPWSTRKRKLAVAMASASGRAKVARPAKAATLAPSEDPSDADDAEDVEVESEAEADDQGDDYLGEDAMDTNATACFATSESLGPSEEASGGELEKRVNGLTAYFTSSAAQMEALIAQLKTARYRVGLAGCFARRATGQRGSLLVSSLSEAPLPWRHCRCSRHLRRRVRLGGGRVRACSVLKANGCEHVAGFPQMFIGSFPRIERECLVNENSTRRNRKGYSSHPLLVMSHYVETNRTRTMSPPRVPFIHSFNYFTVDRLKVRMNFACVGTGKQTIRS >KN539037.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539037.1:102155:106164:1 gene:KN539037.1_FG006 transcript:KN539037.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCEWAKTDDGVFHLKLTGVDGHHYLTKEALHELRQKLAEIRELASSSSEPCRGLITSSSSSSPSSPTTGSFCDGIDHKSLRANMAAPVAEQARGLADGMAAVVRELLAMPMPTSRPRTGSWMRRWYFADGEAASRDGVVREAERLVGEWPAAGEDGKVHAEMRRQLYRESWEAVTASAMGFCTVQSPIDGIFVLTMASSDGHQYLTDDAIGDLIDSLTAVRDTPGLRGLVTTSRLGSFCDGVDHDAVGQPDEQEEDNVQDQLTMVSSTP >KN539037.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539037.1:9705:15204:1 gene:KN539037.1_FG007 transcript:KN539037.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFGGVLTKQNKSKAPLPSIVAELWTDGKAWDVQKLNTIFDQQAVNKILQVPIVQGEGEDKLCWKFTPNGECNSKSAYKEIRKKEAHYGPQTQLQDNVQASTSTERKMERIPEGNICYVDASWDDYKTGIGIFFHFPATHNALFVKANSCMADSPLQAELLALQLALEIALLLNFTDTIFLTDCSMVADTANKRNFQEDPGHWSLLPFWSQIQTLPDHLLKVHWILRSLNKIADKLAKEARENPSSPVFSCQNISHIAYPLRICFASVLNSNTRFTNCNVNHVLCL >KN539037.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539037.1:69136:69672:-1 gene:KN539037.1_FG008 transcript:KN539037.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRTARNEGYPRQSQVASARVRQASRHTDGILLVVILVHRFLRFVGLLKRLLLVAILEGPSPPEQVGRCQEPTPPRHRASTSRIRLLRGAEEEPFAVSVAAAGESEKRERNNREEHEEIGARQEVGCTGDAAADIPALLVGLLLFGYICLSSCSYSAVHGLTQGAQCRRCHRSLDP >KN539037.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539037.1:81636:89171:-1 gene:KN539037.1_FG009 transcript:KN539037.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLSKFGELASREAAVLVQVGNDIMLLRDRLEWLQAFVRDADRRRRLASDDFTRVWVRQTRDVAFDAEDALDHFFHKVSDSHPTPIRQNLIIRSFIGASLTTSTYGLYGLKNLVEPLPPNLAKEAEAMVTTTGGWPDGWFAAANPQKPPPHSRCRCVITEPPLRRHGEVEHRLHISSEEEGRHRRVTRKCRTIVFVSPARFSKAEMEISFRLMRGHDLGGQKKPYMYQFDKPGLDASMKRKIAKSLRAGGGRTPVDLEAQGYRGWRIWRRYLTGCTTQISVRHDLSGQIKRIKSRLDQISENHKEFKIEHTPGAWTSSITEVAAWDNIGDAPVGFDGYLRALENHLLSHEHTPQQRFISILGETGIGKSTLMLTICNKIIRDHEKHFDKLIWYNMPPNSSANDLLKQVYERALDKVPLEEEDTDITKKLRSFLHDKRYLVILGGINSITVLNCVKASLPDNRNGSRVVLILEPESQEVAKHADTLNKKVGADSKNISGSTIQLGRLNESQSAELFCRRVYGYNYTKPRGYKVSYNEQVFKITGGHPLAIVVLAGLLRSKEMPVEWDSVLQQLMPGVEARESQGNKIAGVLLTKEKPFEWDALLQQLMPTTEAKLSNRMTIERIFSTSFDDLPHDLKSCFLYFAAYPTNITHPADQIMRMWIAEGFIKPEKGKNMEDLAQEFLKELISRFLVEVKYRNECEKIELVQVHNRLLRFLQSEAREASFIEIHDNTDVLAPAAVRRLSIQNDSGNYIPFGNRFPKLRSFICRVEEGEGASATPDLKNDPKNIPRKDPLKFLCGSKFLRVISIGGIHLAELPDAIGDMIHLRYIGVTSCDLENLPSSIGRLLNLQTLDIRNSKVKIIAPKFWRIKTLRHVIAKQLQLPNSVGELNNLQTLHGVKPAENWGGLTCPLDMMTNLQSLELRGFNDANHGVALERALQKLELLGHLKLTGDKIPSSVFTAPSLRYVESLVLDGDIKWADNSSNTSNYSPEVALGICELRPNLTVLKLNSVSKELEEFIEKIRPHLTVYECPTRTDA >KN538837.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538837.1:81841:84257:1 gene:KN538837.1_FG025 transcript:KN538837.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRYAATHPHFRISICKACCTVAGRFGPRSFSPSQSQLEIEGFVFSLLRRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQLMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLSNLRSLRDSYAAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLSILSTSVAREQGETLNSQ >KN538837.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538837.1:53240:57720:1 gene:KN538837.1_FG027 transcript:KN538837.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDERRSLSPPPPQQRAPRFDLSPYLVLMLVVTVISFSLAIWQWMKATVLQQILVILKFVRAQKAFWLIYEDWLHLLVPTRMEFILLTDNKSSCFGQEKIRSCCSINTVDCKTTAETFRINRQHGSDFINSADWNLASCSRMLVFAIPVFLVKYIDQIRRRNTDSIRVRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQLQCLIAYMLTFFVAHKSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKLASKAGKILMNPDDDYVLQEGDEILVIAEDDDTYAPAPLAQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRFNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANADQAIINPEQKSEIRKWSLDDVFVVISKGD >KN538837.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538837.1:10588:13415:1 gene:KN538837.1_FG028 transcript:KN538837.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAPLCSSALVLLPCLFVIAMAALQSENSERWYLGIWYNQIYKHTPVWVANRGSPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIILWQSFDHFGNTWLPGGKLGRNKLTGVSTRLVAWKARNDPAPGVFSLELDPNGTSQYLLQWNITQQYWTSGNWTGRIFTGVPEMTPTGSYPNSQYTFDYVNGENESYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANDWMLFWSQPKAQCDVYSLCGPFSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGPVVGRSTDRFYTMGNVRLPSDAESVVATGSLISFTYRDLKSVTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFRQGEKQFRSEVSTIGNIQHVNLIRLLGFCSEKTRRLLVYEYMANGSLDKHLFGSNQHVLSWNTRYKIALGIARGLDYLHEKCRDCIIHCNIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTSRGTVGYIAPEWLAGTAVTAKADVFSYGMTLLEIVSGRRNVQEQGGTADDEKARPAMATVVQVLEGLVGIGVPPVPRSLQILADLANQSNNLQFFSDLPSK >KN538837.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538837.1:92:241:1 gene:KN538837.1_FG030 transcript:KN538837.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALPEDDGGVRCVCEDKVAGVEDDTDRGVGGDLGDVRGPNCSSCIVE >KN538837.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538837.1:88949:103017:1 gene:KN538837.1_FG033 transcript:KN538837.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPEQVVREVGKRLAQPRLGKDALVKLLKQAESALSELSQSSSLQEALHPLSKSLVQTTLLTHRDRDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFISVFADLAETSSPYLPRRILILENVAALRCSVIMLDVGCQDLVLDMVRIFFSAVKQGLQQSVCQAMLSIMTQILNEKVTQPLLDVILRNLVKEDKGASHKLAVDIIQNCAEKLEPVLRTFLSSCIFNKDVPANETRKQHHKIILEMFQCAPQMLFAVIPHLTHELLSDRVDIRLEAVHLIGRLLVLSNLRFAQENQLIFKEFLKRFSDKSAEVRIAAIDAAKVCYMAISSGNEAEDILTSLAGRLLDFDDKVRIRAVAAVCDMAKSNLNSFPAKVSVRKHVMLKLLDLYRDYCKKCSKGIATVNFHYEQIPAQLLTLCFDKDSEIFRPQNMELILAEELFPSSLSPKERAIHWVEFFSYFKPQHIKALHTIFSLKRRLQLEMQAYLSLRAKKEEPSDEIQKKFCASFRNMSVAFADASNVEECLKNLHQLKDNNIFKDLTELSYEGSSFATVQSIRDLFLKRIGNKHPLYNFCKVLSVKCSHSIFNWEMIYAILEVLFSHRNELTNHVEAACDLLLLVSKVFPSLFQGSEEYLIKLFSEESVLINEKTLEMLAHLAKSGCHLSIDFSDDVYPLLEQKCIEGTRTESKYAVAAIDSLIQSPNDEKFARLCELMSFCSVRRFCEPYISQQTSKILDKMKKVVAALDDNYNVPTLLQSLGLILEHSPSMYKLYDKKIMNFVQDILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEKFKAITLCENDRPYLKLAAGKSVLQLAALWDSQISPKLFRSVVLMARDSSYTVRKSFVCKLHDLIMEHAIPIKYACAFALASTDCSRDVRTESTSPLVVMLRTLIEMDDEHGHNTSSVPILMGIFRAIQMAGDLAEAEDPAECGITHKLHILSRIGLLIVKELDKHCKMSDSPRHFPLPSSYFRVSGSARKTDECCQGDLINDSFVKRILGAHGPVHPDDTKCSDNAERVSTEVAPDKEARSSLSNIVGQNASCHDKGKRNEKQDQTTNHSLEKEKVSSCGSAGTKLSSPASLGLAKEADSIDSISLLENQNRPESRSSTGETRASETDHNYSNRRETVVKDTGTVLVGRRIRLWSARDMCYICGTVETYDQSNGFHKDIPNSHPRCCSFKRVRGKGSADSQNKRQEMLLPGSSIVCDPDEDGDIDDNFVKRPFSNNRTGVAGLKKNSKRALDSSNAQTSSGLTAFNPVDNVRCTRSRKVQL >KN538837.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538837.1:45343:48218:-1 gene:KN538837.1_FG034 transcript:KN538837.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIIMLAYFIAAMLIRATGHRLHITRNRSLNSLELPEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVSDNDRYPSSRDVQQFMWKPVFYFVLWMLFWSCYVLLLSSMILNKVGGLQEMFEKSFFLIVFGTNIVFMVLPLAICLKHRPCFLAFVYTAIVAMLKSYPSVGDSALYLGLLGLFATELAEMKFTFFLFFGYIGISLLSPVMHNLWIWRGTGNANFYFATGLAYTCIQTVLVVESSLE >KN538837.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538837.1:24452:25972:1 gene:KN538837.1_FG035 transcript:KN538837.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIIHWQSFDHFGNTWLPGGKLGRNNKLAGVSTRLVAWKARNDPSPGVFSLELDPNGTSQYLLEWSITQQYWTSGNWTGRIFADVPEMTGCYPSSTYTFDYVNGENESESYFVYDLKDESVLTRFFLSEMGQIQFLTWIYAAKDWMPFWSQPKVKCDVYSLCGPFSVCTENALTSCGCLRGFSEQNVGEWLQGDHTSGCRRNVELQCSSNASVMGRTDGFYTMANVRLPSNAESVVVIGNDQCEQACLRSCSCTAYSYNGSSSLWHGDLINLQDVSAISSQGSSTVLIRLAASELSGKKQKNTKNLITIAIVATSVLVLMIAALFFFFRRRMVRKMTRVEGSLIAFTYRDPKSMTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFRQGEKQFRSEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDNKKHVLSWSTRYQIALGIARGLD >KN538837.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538837.1:74151:76437:-1 gene:KN538837.1_FG036 transcript:KN538837.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLVSGANGTVAAASTSRLQAVRPTPFSRLVLSQPSSSLGRAVSVKTVALFGRSKTKAAPARKAEPKPKFKTEDGIFGTSGGIGFTKENELFVGRVAMLGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDQPVTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVVFFFIAAINPGTGKFVSDDDEE >KN538837.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538837.1:86599:88053:1 gene:KN538837.1_FG037 transcript:KN538837.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTIVLYPGLYASHFVPMMQLADALLEHGYAVAVALIDVTMDDDATFAAVVARAAAAAKPSVTFHKLPRIHDPPAITTIVGYLEMVRRYNERLREFLRSGVRGRSGGIAAVVVDAPSIGALDVARELGIPAYSFFASNASALAVFLQLPWIRARAASFKELGDAPLIVPGVPPMPASHLMPELLEDPESETYRATVRMLRATLDADGVLVNTFASLEPRAVGALGDPLFLPDTAGGEPRRRVPPVYCVGPLVVDADDEGNENTHDECLAWLDEQPDRSVVFLCFGGTGAVTHSAEQLREIAAGLENSGHRFMWVVRAPRGGGDDLDALLSDGFLERTRTSGRGLVVERWAPQADVLRHRSTAAFVTHCGWNSASEGITARVPMICWPLYAEQRMNKVFMVEEMGVGVELAGWHWQRGELVMAEEIEGKIRLVMESEEGERLRSSVADHGEAAAMAWRKDGGAGAGSSRAALRRFLSDVGDREL >KN538837.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538837.1:103581:105877:-1 gene:KN538837.1_FG039 transcript:KN538837.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAVGEERRVEFGKHQIMKMTGLPGRDLRVLDPVLSYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHDDVLLASFLLDLRSRLSLPDAAPITTPAAADRGNGAEQGDQGSVPGLAISGAGNAKIPPFEFKVLEVCLEHACKDLESQTRSLEKEAYPALDKLGSKVSTLNLDHVRNLKSRMVDLSGRVQKIRDELEHLLDDDMDMSEMYLTRKLSFQGLSGSLSRADSNKYASVDHDDDREEEDHDDETESGRESSVYVKPDIEELEMLLEAYFVQIDGTLNTLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIELMKDPETPEMARMSNMHFWETTFGTVAGCIAIYLLAIYAGRKSKILQ >KN538837.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538837.1:108246:113152:1 gene:KN538837.1_FG040 transcript:KN538837.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIGNSSKGQLEKLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKCSALLKRSSQSVNKTLLHACCTCFFSQFYFLELAFQRLIFMTMLAWEGPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFKALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTGTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHLVYGAHRGEKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDMDGSVYLRKWMTSPSWTSSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENPRTTTCFLLVVYTIIFRNMLSYVLPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNVSLLKMRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >KN538837.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538837.1:58685:63316:-1 gene:KN538837.1_FG041 transcript:KN538837.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECKQLIARIFVNNPLRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKIVQEARTMPKSSRTGYWSDAGSDEEEEKEEEERPEENEEEEEDEYDKRVKEVHASGELRMSSLRI >KN538837.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538837.1:33142:36203:-1 gene:KN538837.1_FG042 transcript:KN538837.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAFNMSVAYQPSGMAVPEWLNKGDNAWQMISATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYNMSFGHKLLPFWGKARPALGQSFLLAQAVLPQTTQFYKGGGGADAVVETPWVNPLYPMATMVYFQCVFAAITLILLAGSLLGRMNIKAWMLFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNQVDDTLGVFHTHAVAGFLGGAPTGLFAEPVLCSLFLPVTNSRGAFYPGRGGGLQFVRQVAGALFIICWNVVVTSLVCLAVRAVVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNNDTHHNNNKAAPSGVTQNV >KN538837.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538837.1:77929:79462:-1 gene:KN538837.1_FG043 transcript:KN538837.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIFVLVNRWHNKDLKNMFEHEELFGGGWVGAYSALCFSCGYFAYDQLDMLRYRLYSGRIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSVFLHIRKLRRMAGFRDYNRKIVKLEWVLNWTTFVSARVACHILITYKLIIDAHKFDSGIELPLALFGMAGMNLLNIFLGLDLLKAYTRERNQQTHQD >KN538837.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538837.1:40024:44476:-1 gene:KN538837.1_FG045 transcript:KN538837.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC2 homolog [Source:Projected from Arabidopsis thaliana (AT5G64520) UniProtKB/Swiss-Prot;Acc:Q682D3] MAGEAGGDPRAWLAVDETAAAFLSRSLSARPLILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGKCCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFFIGSFYWMDRDSQPIAESKGRTLSLQSMTETVVQKLRNFLQLQSVLVMATKAPIYGEGFTGNDFQRGTSKQMSEDSTMRCTGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELHLHTSEWMQPSLNTKDKFSITDDGVILIH >KN541779.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541779.1:356:2534:-1 gene:KN541779.1_FG001 transcript:KN541779.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSKQVGMDTDGGGAAAAAMDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRINLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHERDARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMVRCREITEYNLKLGRYVPTRFCFRNIALFDLDKESKLGRGPPVKSLNCSEYIWMVHSMNISSIKEDTLTLTGPNRALGARNRVYFEFHLKVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVEIAIEACVAVNIQGVVSNFTGKVTAWTTEDHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDETEFELYLGQNDEECTLELGPCKLQVKISWTAAMKKRWWERSMKIGHKFVLV >KN541779.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541779.1:17682:20965:1 gene:KN541779.1_FG002 transcript:KN541779.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTWQLWLLSLLTHVILLFTAGSQSISGDDLSALLSFKSLIRKDPREVLSTWDAIGNGTNTTAPVFCQWTGVTCKDRRYPGRVTTLNLSDAGLVGTMSQQLGNLTHLRVLDLSANSLDGDIPTSLGGCPKLRAMNLSTNHLLGTIPADLGQLSKLAVFDVGHNNLTGDIPKSLSNLTTLTKFVIERNFIHGQDLSWMGNLTSLTHFVLEGNNFAGNIPETFGKMVNLIYFSIQGNQFEGHVPLSIFNISSIRIFDLGFNRLSGSLPLDVGVKLPRINRFNTLANHFEGIIPPTFSNASALEELLLRGNKYHGLIPREIGIHGNLKVLWLGDNSLQATQSSDWEFLTSLTNCSSLQMLDVAQNNLVGAMPSNIANLSKELLFIDLSGNQIIGTIPPDLWKFKLEFLNLSDNLFTGSLPPDIGRLPRINSIFISQNRITGQIPQSLGNISQLTFLFLSNNLLDGSIPISLGNLTKLQKLDLSGNALMGQIPQEILTIPSFTLLLSLSNNALSGSIPRQIGHLNNLIKMDLSMNKLSGEIPKAIGSCVQLSFLNFQGNILQGQIPESLNNLRSLEFLDLSKNNLAGPIPLFLANFTLLTNLNLSFNELSGPVPSTGIFRNATIVSISGNRMLCGGPPYLQFPSCSSKDSDQASVHRLHVLIFCIVGTLIFSLFCMTAYCFIKTRMKPNIVDNKNPFLYETNERISYAELQAATESFSPANLIGSGSFGNVYIGNITIDQNLVPVAIKVLNLDQRGANRSFLSECDALRRIRHRKLVKVITVCSSLDQNGDEFKALVLEFVRNGSLDEWLHATSTTTSTSYRKLNLVKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMVAHVTDFGLAKIMHSEPHIQSSSLIIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFIGRRPTDNFINGITSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDNVVKELNAIKKAFSAHTYA >AMDW01030597.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030597.1:66:325:-1 gene:AMDW01030597.1_FG001 transcript:AMDW01030597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRGAVNQEGLKYYNNVIDELAKRGIQPHIMLCHLDLPQALEDEYDGWLSPRIV >AMDW01008397.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008397.1:58:222:-1 gene:AMDW01008397.1_FG001 transcript:AMDW01008397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLYGFCFDADVKALVYEYMEKGSLDRYLFDSSPSPAAERIGFEKLHEIAVGTAKA >KN543491.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543491.1:1:4066:1 gene:KN543491.1_FG001 transcript:KN543491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDGSRVDLLRLFSAIRAAGGYAATSSSPAVWASAAESVCLDATLAAPVKLIYHKYLAALDRWIQRLVEAHGPFLDGNDGRKKPEPFFDSNGRENEEPLLECNGGDLQHPVLKRKREDMVGMLDWVRELAENGGEAGTTAAGSANGYYSLALAARKAVFAKRARRSSMTMNGALMQEIFPMDCKCCMSSSTTGIDTQEKCSKKIQLVIPQAGSDINELINVVENINVPSIGMEQENNIIGQAKYESRKHHNSDNWLFTSQQRNKIPVGSEFQAQVPQWTGEVPVNYDNAETRKWLGTKVWPLENGNRKLSYFCNPVGKGREGVCGCNLPGSVECVRFHVAERRLQLRRELDSAFYAWGFDRMGEEIALSWTDKEEANFKACVQLNAPSSGRNFWKRLHMLFQSKGRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFGFLGNRLGHNATKYDSSKYTLCIESTHCMDLNQ >AMDW01037224.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037224.1:54:158:-1 gene:AMDW01037224.1_FG001 transcript:AMDW01037224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYYSEVDHCAEEMNWPPHAGGEHYAVRRESYEE >AMDW01039153.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039153.1:9:880:-1 gene:AMDW01039153.1_FG001 transcript:AMDW01039153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RITIEKLRQKEHFMVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHEENNLLKKQNEELSAKLQKLGAVVARTKEELARYRVSDGKDPYEQMEEEELLRNRLEESEQDRSKLAENLSSLCATVLKVAGVRNHESDASLLKALEALNQIQLRIASMEAEVEDLKLK >KN538783.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538783.1:78744:81584:1 gene:KN538783.1_FG027 transcript:KN538783.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >KN538783.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538783.1:40047:41742:1 gene:KN538783.1_FG028 transcript:KN538783.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPIAKAAHELPSLYCLALKTPEFLVRAGKSGQAGNEALDLFDGMPPKSQVAWNKALGMLVGAGRTERALSFFHEMPGKNAASYATMIGGLSRAGAASVARRLFDDLPLGKHNVFTWTAMVSCHVRNGEPREAVQLFAALYGELYERGVLPNAHTLSSLLKACVALQSLAMATQLHALALKLLEEGNTDNTFVWNGLIDVHAKVGALCDAEKVFDGMRFKDASSWTIMMDGYSRHKLIDKALYLFRSMKNKDAFTWNVIISCLWQNRRGEDALRLFIDLLRLGDRDNGGAKPDASMYTTVLHICSVLSLLTLGRQVHARAVKSGLSRSHVFVGNSLMNMYSCSGSTADLEKVFDEMAARDVVSWNTAIQGLGQNGRGRRALAFAERALALGLYNGSTFVAILASCSHAELVAEGMAYFDAMEKVQLSQGMVNASYNLRLDSRC >KN538783.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538783.1:8374:10745:1 gene:KN538783.1_FG029 transcript:KN538783.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEKKHPHGCGSRDSQHPIACTDDPPMSESPTTDVLAHDLRDEDSDHHPASPMGRDSDDVFASTNSDNMLIGDIDEDSDDDGYADEETTCPGQNFTKEQAKEIIRKWLETYDKRNREFMVACEEFQKQGGDETSASCPIFPLKPLPETTDFCTTKSLCYHREYKTNDTSETASTIGWLEPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNHTRDDPVIVEQDSFTLPLCSPCRGMYVVEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEIRGRILSDHCYLDIHYMYLSQSIEAVIQVSTEIDSNDPRHVRFTASCSGFDREILLFNGKFCKDKSFQHIVAMKSKGKLVVHLEFEGSLFCWTFNNGELGAVNSPYDSVLKQFHVRVLFPPK >KN538783.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538783.1:1562:5305:1 gene:KN538783.1_FG031 transcript:KN538783.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding SDDDAGLTRFVDDTYSCVELDDVVDKETVCPGQKYTRDQAKEISRKWLATYIRRNAEHTEAYKEFVASGYDYAQLPRYPLRLLPKTSYHCIKKSIYCDHHEYLTFNTSETASTIGMNEPNDMLQIFSLKLSSYESYPISVYGIFAVRDELDKLLRNYVFNRTRDNPVLIEQDSITLPLCSPCRGMYILSYALFEVDLWVKAESEGGGSDDKQLLSEYAEIENRGLKDPMIYGRIPSDRCLLDVDCMFLLNSVEAVIQVFTKDDSDNPHRVRFTAFSSGFDHEIVLYDDRLCKKGKLFQNVVAVKSKEKLVIRLEFEGSMFRWTFQDGAVAAVSSPDDSVSKLFDVVVLFSPKS >KN538730.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538730.1:68919:83383:-1 gene:KN538730.1_FG023 transcript:KN538730.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIAQILKEAQQRWLRPAEICEILKNYKSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMHQAAVMDSPLSQLPSQTIDGESSLSGQFSEYEEAESGGTGYHSFTQMQQQQNGIGPVTDASMFSSRVSASSIGNYQGQHAMGHTTNFYSSSQHDSPLVLSDPNLELANNGHESLWNGVMKPDEGTVQMTHLQPPVHPEQGMFTTEGQGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQFSSNSYLTEAIAFQLSSATADISATENSVQQNDGSLGAAIGFPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLDVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFSIVDFSPSWTYAGSKTKVLVTGRFLHANEVTERCKWSCMFGEVEIPAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKFYFQIRLDNLLSLGPDVYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNEPLSHDQQDQYAENLIKEKLHDWLLYKVGDGGKGPSVLDDEGLGVLHLAAALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEGDKGGISDEHALSLLSMKPSKSGQLDPLHAAASRIQNKYRGWKGRKEFLLFRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVKDKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQVMCTENVKEKTELLHALINGKKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIADPSVKYRDGHKGSWKSAIKRFAGSSLRKCIYRMQ >KN538783.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538783.1:123483:125523:1 gene:KN538783.1_FG033 transcript:KN538783.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYRDYGTHHKFTLTTCTPEGVTITAAGTRKNESVFGELQTQLKNKKLTVDVKANSESDLLTTVTVDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGINASVGLNSNPMVNLSGVFGSKELSVGVDVAFDTATSNFTKYNAALSLTNSDLIASLHLNNHGDTLIASYYHLVKHHSNTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKH >KN538783.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538783.1:97576:102174:-1 gene:KN538783.1_FG034 transcript:KN538783.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADREKRKRDEEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKNSQDYVKRIEDDDDDDEDLVIPGQSSSTSKMNGSSQSVSNATDVLTKTSGSDNANKEGNKIWPSKMPKFIVKPKSTPTDPIKKQKTETTAAPDNGKAPVAEEKSEPAQNNVLQSLCQNYDSDESE >KN538783.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538783.1:35465:37161:1 gene:KN538783.1_FG035 transcript:KN538783.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHSLVRTPPSLPPFPPSPPPPLAEKLHQWILRKHEEESRLTVADIMSHIQHELDYGGNDPHVSPRVHQHSANPFANSSIQPSAGSYGQATVGFAPRPSISDQSKNTIFSNALSSPVRRSLQSYHLTQGSGNGGRNAETNSAGQNRETNSGGSNDTSMDMVSDSAGNEYY >KN538783.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538783.1:15894:19640:1 gene:KN538783.1_FG036 transcript:KN538783.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHSPARGSFGFQAATSPGQWNEVAASIDKVVEMRAAAPPADPTVVMASSSPEKKHPPGCGSRDSQPIACTDDPPLSESPTTDLGCHDPRGEDADHPAPPMGRDSDDIFASTNSDNMNMLIGDIDEDSDDDGYVDEETTCPGQNFTKEQEKEIMRKWLETYDKKNREFMVACEEFQKQGGDETSASRPVFPFKPLPETTDFCITKSLCYHREYKTNDTSETASTIGWREPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNHTRDDPVIVEQDPFTLPLCSPCRGMYVIEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEMRGRILSDHCYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVKFTAFCSGFDNEILLFNGKFCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNNFFLWRRSKSVQKA >KN538783.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538783.1:46403:47440:-1 gene:KN538783.1_FG037 transcript:KN538783.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRSKIQDRMAGLFTRQAAEYAAARPVYPKDLFVKLASLTAHHRVAWDVGTGNGQAAIGVAEHYDSVVATDVSAEQLRRAVPHPKVRYHHTPDAGADDDDLVAALGGEGRVDLITVAEAAHWFDLPAFYGVARRLLRKPGGVIAVWGYNYRVSPVEDMMARFLHTTLPYWDSRARYVIDGYRDLPFPFDGVGLGKEGEPAGFDMEHEMSFPGLVGMLRSWSAVATARQRGVDLLDERAVRRLEGEWGGASLVRKVTFKAFLLAGTVRADDPA >KN538783.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538783.1:85165:90231:-1 gene:KN538783.1_FG038 transcript:KN538783.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSARILFTMFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALDINLVECSPTLQKVQYNTLKCEDEPIGDETRTVSKLCGAPVHWHASLEQVPSGLPTIIIAHEFYDALPIHQFQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCTTDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGKITSLPCNSMLLMNSAGGQSR >KN538730.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538730.1:29987:32051:1 gene:KN538730.1_FG025 transcript:KN538730.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSTCVCTCVGMSFSLQGCRNLLADVYKSFFASKCRQRVAGTFQCRGDASENNTISPEELQIRDKLEADIEEDLEREIIDDMCRLARHLQRLYQHRDSRELTASATCYQMPLYHTTTQVLSEINIRINLDGQCKINITKIEQDDDIENQRKTCPNAYQSDKRQGHMKERQTYTVSCRKQQNHPVAPWR >KN538730.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538730.1:97759:100126:-1 gene:KN538730.1_FG026 transcript:KN538730.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGMPATLVTLDLGYNALDDVITAEHLSHLNRLKHIDLSYTSLKIQIVSEWQPPFRLESASFQFCQMGPRFPAWLQSQKSIDSLDMSSTGLSDKLPHWFATTFSRASELNFYNNSITGELPKKMRNMSLQRLFLGSNQLKGRIPHLPVNLTQLDLSRNYLSGPLPSNLPNLSEVVLFSNNISGRIPKSICQSQDLATLDLANNRLEGKFPRCFNPKNIVSVLLSNNRFSGKFPSFLERCTQLAFLDLGWNEFYGRLPDWIGDLVRLEVLALDHNKFFGGIPDKITNISCLIHLNLAANNISGAMPRHLSNFTSMSGSINGCGDIPDNNSPSEKDNVSVVTKGKDLYYDDAEILDMVTIDLSSNYLTGDIPEEITSLLSLRCLNLSGNHLSGKIPNKIGILQSLESLDLSRNNLSGEIPSSLSNLTFLSDLDLSYNNLRGTIPSGSQLDSLYTEHPRMFDGNSGLCGPPLGKNCYVPQKGHMRRKENVSKIQPFHVGILLGFIAGLWVVFCIMLFKKSWRIAYFRLFDSMYDKVYALVVVSWGKFAQENY >KN538783.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538783.1:113578:116089:1 gene:KN538783.1_FG040 transcript:KN538783.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTTSSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWAIRMRIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIRGAQKTAQLACACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFFQSMQQERAASLGNPTGSQSMKAQGTFARNGQQPMRSLSYGPHASPYRQSPRPNNGKLQ >KN538783.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538783.1:119321:122371:1 gene:KN538783.1_FG041 transcript:KN538783.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSSSSPATGSYSAEAAQALCCACVGQSTVAVEEAWGRYDAVLGPGCHFVPWCVGRRVAGYLSLRVQQLDVRCETKTRDNVFVTVVASVQYRALADRAYDAFYRLTNAHAQIQSYVFDVIRASVPNMNLDEVFGQKKEVARAVEEELARAMTMYGYEIVQTLIVDIVPDEVVRRAMNDINAAARLRVAAAERAEADKIQQAAAGVGASASSHRCPNYRRAAAARAFTATTIIPQSSTASGRQHSIEEHRFICHVALVICFEIARNTCRTED >KN538730.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538730.1:25230:25505:1 gene:KN538730.1_FG028 transcript:KN538730.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAKAKEVTTMTPIGGGVAWQAARKEGTMAGRLSEEEVMGQMWRGMVACPLVHEGGGWRWRKKPSSSSPHGGWWRLRPVTELELTHEGK >KN538783.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538783.1:42867:45645:-1 gene:KN538783.1_FG042 transcript:KN538783.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTAEEEAALKAGVAKHGTGKWRTILRDPEFTALLRLRSNVDLKDKWRNLSVTAGGYGSRERARVALKGGKRGPKALAEPMDADEKNPDIDDNAIIDAQPLAVVVEPMQLESTPEKEKSVARLDDLILEAIKKLKEPSGSNRTTISSYIEEQYWPPEDFQRLLSTKLKALVATGKLIKVNQKYRIAPSSNSSGGKSIKVYSTGEMNIENNNVRQLSKPQVDAELDKMKSMSKEEAAAFAARAVAEAEAAIAEAEEAARAAEAAEAEADAAKAFLDAVVTTMQNRNHASAVY >KN538730.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538730.1:114701:117912:-1 gene:KN538730.1_FG029 transcript:KN538730.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEYAQAFSYETDLDALLAFRAGLSNQSDALVSWNATTDFCRWHGVICSIKHKRRVLALNLSSAGLVGYIAPSIGNLTYLRTLDLSYNLLHGEIPPTIGRLSRMKYLDLSNNSLQGEMPSTIGQLPWLSTLYMSNNSLQGGITHGLRNCTRLVSIKLDLNKLNREIPDWLGRLSRIKIMSLGKNNFTGIIPPSLGNLSSLREMYLNDNQLSGPIPESLGRLSKLEMLALQVNHLSGNIPRTIFNLSSLVQIGVEMNELDGTLPSDLGNALPKIQYLILALNHLTGSIPASIANATTMYSIDLSGNNFTGIVPPEIGTLCPNFLLLNGNQLTASRVQDWEFITLLTNCTSLRGVTLQNNRLGGALPNSIANLSERLQLLDLRFNEISNRIPDGIGNFPKLIKLGLSSNRFTGLIPDNIGRLKMLQFLTLDNNLLSGMMPSSLGNLTQLQHLSVNNNNLDGPLPASLGKLQRLVSATFSNNKLSGPLPGEIFSLSSLSFVLDLSRNQFSSSLPSEVGGLTKLTYLYMHNNKLAGALPDAISSCQSLMELRMDGNSLNSTIPVSISKMRGLELLNLTKNSLTGAIPEELGLLKGLKELYLAHNNLSLQIPETFISMTSLYQLDISFNHLDGQVPTHGVFSNLTGFQFIGNDKLCGGIQELHLPSCQVKSNRRILQIIRKAGILSASVILVCFILVLLVFYLKKRLRPLSSKVEIIASSFMNQMYPRVSYSDLAKATNGFTSNNLVGTGRYGSVYKGRMRFKNSVSDVAVKVFDLEQSGSSKSFVAECKALSKIQHRNLVGVITCCSCPNLNQNDFKALVFEFMPYGSLDRWIHPDIDPSSPVEVLTLMQRLNIALDIGAALDYLHNNCQPAIVHCDLKPSNILLGNGMVAHVGDFGLAKILTDPEGEQLINSKSSVGIMGTIGYVAPGIANVAYALQNMEKVVKFLHTVMSTALVYCSLRCLQKYAEMAYPELLIDIVDPRMLSVENAWGEINSVITAVTRLALACSRRRPTDRLCMREVVAEIQTIRASYVEEINKIVSD >KN538783.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538783.1:24755:27934:1 gene:KN538783.1_FG043 transcript:KN538783.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MHRKLPPLPPLTLRRSSSSAAAAASPPPPPPRRLPPPVPLRDLLAHRLPPTPTPDRPPLSRPHPHADVLLRGRRPGCDASPESLHLEIVKRGLTHDLFLANHLVNSYAKGARLDAARGVFDGMPRRNAVSWTCLISGHVLSGLPEDAFPLFRAMLREGPGCRPTSFTFGSVLRACQDSGPDRLGFAVQVHGLVSKTEFTSNTTVCNALISMYGSCSVGPPILAQRVFDTTPVRDLITWNALMSVYAKKGDAICTFTLFRAMQYDDSGIELRPTEHTFGSLITATYLSSCSLGLLDQLFVRVLKSGCSSDLYVGSALVSAFARHGMLDEAKDIYLGLKERNAVTLNGLIAGLVKQQHGEAAAEIFMGARDSAAVNVDTYVVLLSAIAEFSTAEQGLRKGREVHAHVLRAGHIYRKIAVSNGLVNMYAKCGAIDKACRVFQLMEARDRISWNTIITALDQNGYCEAAMMNYCLMRQNSIGPSNFAAISGLSSCAGLGLLAAGQQLHCDAVKWGLYLDTSVSNALVKMYGECGRMSECWEIFNSMSAHDVVSWNSIMGVMASSQAPITESVQVFSNMMKSGLVPNKVTFVNFLAALTPLSVLELGKQIHSVMLKHGVTEDNAVDNALMSCYAKSGDVDSCERLFSRMSGRRDAISWNSMISGYIYNGHLQEAMDCVWLMMHSEQMMDHCTFSIVLNACASVAALERGMEMHAFGLRSHLESDVVVESALVDMYSKCGRIDYASKVFHSMSQKNEFSWNSMISGYARHGLGRKALEIFEEMQESGESPDHVTFVSVLSACSHAGLVERGLDYFELMEDYGILPRIEHYSCVIDLLGRAGELDKIQEYMKRMPMKPNTLIWRTVLVACQQSKHRAKIDLGTEASRMLLELEPQNPVNYVLASKFHAAIGRWEDTAKARAAMKGAAVKKEAGRSWVTLIDGVHTFIAGDRSHPNTKEIYEKLNFLIQKIRNAGYVPLTEYVLHDLEEENKEELLRYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHTAFRYISQIVGRQIILRDSIRFHHFKDGKCSCGDYW >KN538783.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538783.1:51685:53630:1 gene:KN538783.1_FG044 transcript:KN538783.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVLGNYWGLQTDAGLRAFLPKFELMDRINTFSDLKNKVGCSIRVCITRLDEETNDLIISEKEAWEMTYLKEGTLLQGIVRKIFPYGAQIRIAGTNRSGLLHISNISRGSVLSVSDILKIDDEFTEYSITLSISDLESTPGLFLSDKARVFSEAEEMAERYREQLPADSKNAKLDADLPGGTIPFDDEATLYANWKWFKFLEDGKLGAVTTEHNGS >KN538730.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538730.1:34874:37504:-1 gene:KN538730.1_FG030 transcript:KN538730.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPLSHLLAVVVVVVMGWSARPCEASLYQPPPPAMAYHDGAVLEGAVPIFRTNLDISPATTIALFPILVFVVAVEPNAGKFL >KN538730.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538730.1:3970:4377:-1 gene:KN538730.1_FG031 transcript:KN538730.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYSFSLKVEDGCKLGSVEEIATAVHEILVRMQEEQAFADAKTSL >KN538783.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538783.1:28849:32667:-1 gene:KN538783.1_FG046 transcript:KN538783.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRAAAGLEGASPPKLSSGNTSGSSSEESSASANEGTNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKSEVLLARYACAGDFSSIRDYFKQKKLDEADQGPFFGFLGKNEISNGRWAMFGFAVGMLTEYATGSDFVQQVKILLSNFGIVDLD >KN538730.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538730.1:41393:45353:-1 gene:KN538730.1_FG033 transcript:KN538730.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGLFLDDSVLAVCGTNRGRNQDQLLNVRVRFAKTAPRRRLRLISGHSGLPRGAAAASRQEISKGFCEFLVGQDDQDEVADAKKASGDCPKVKPVEFIDSPAAECGGDKVAPCDVEWVAFSADNSLHDRISAWVNSIDNDTFRIAEEEDDDDHHYRGDGDDDDGEHAMEHGDCVARAPRALEVGESSGKGHGKPKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHVMCDRDKD >KN541492.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541492.1:13026:15852:1 gene:KN541492.1_FG001 transcript:KN541492.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDILLGSHSYAALCVVTLLIGWLTHWVYKWMNPPCNGRLPPGSMGFPVVAKTLNTIVDVNNAIYLRYGPLFKTSLVGQPLVVSLDPEVNRFIFQQEGKLFRSWYPETANNIFGKKSLTTYNGTVHKFIRSFASKLFGLENLKESLLPELENSMRESFASWASKPRIEVQDGVSDMIFDLVAKKLIGLNVTQSRELRKNFQEFFQGMVSFPIYFPGTSFYRCMQGRKNVRNTLTDVMKERLSAPEKKYGDLVDLIVEELQSEKPVIDENFAIDALAALLFTSFATLSSTLTVALKFLNDNPKIVEELKVTNEITRISNVAPGVFRKTLTDVQVNGYTIPSGWLVMISPMAVHLNPELFEDPLKFDPWRWTEEKRSSLLRNYMPFGGGIRLCLGAEFSKLFIALFLHILVTEYRWKEIEGGEVLRISEIMFPQGYHIQLIPRT >AMDW01036477.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036477.1:35:256:-1 gene:AMDW01036477.1_FG001 transcript:AMDW01036477.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQ >AMDW01032124.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032124.1:3:446:1 gene:AMDW01032124.1_FG001 transcript:AMDW01032124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPRRLQAAFAAAASSNGDPSPTFPTRLQQVGLSARNRRAASRRVWESGERYTLEAFRAKAAEFEPPRHAAPPRNPTHLQLEALFWAACASRPFSVEYGNDMPGSGFASPDELPDAANATDVGETEWNMRVAPRARGSLLRAMARDVAG >AMDW01020943.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020943.1:8:293:1 gene:AMDW01020943.1_FG001 transcript:AMDW01020943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQ >AMDW01028550.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028550.1:81:308:1 gene:AMDW01028550.1_FG001 transcript:AMDW01028550.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQ >KN544273.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544273.1:120:2125:1 gene:KN544273.1_FG001 transcript:KN544273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDAAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQKLPTMEILYKQILDYLYLCQVFGCSL >KN546001.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546001.1:286:1056:1 gene:KN546001.1_FG001 transcript:KN546001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSMCSRNGLDEVTNSNMLTAQQQPQRQQYRHLERHHKKIVASEAHALHGNVETPIRMNKAKEFNEIENAIAKKVSNTMLWYTKCGSRFIQQKLQTATPEEKFMVFEEIMPHAIELVTDIYGNYVLQK >KN543269.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543269.1:1092:3033:1 gene:KN543269.1_FG001 transcript:KN543269.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNATHLCNTTKIYVVNGQFPGPTVDVTEGDTVVVHVINKLPFGLTIHWHGVRQMRSCWADGAGFVTECPIPPGSEHTYRFNVTGQVGTLWWHAHVTCLRATINGAFIVRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMMDGNFDDNPLSATINGKLGDLSNCSRMVEESFILDVKHGESYLLRVINTALFSEYYFRVAGHTFTVVGADGNYLTPYKTDMVTVAPGEAIDVLMVADAPPAHYHMIALANQPPEPDPQIPVFTSRGLVRYAGAAANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLAHPERHRVPMHVDERLFVTLGLGSICRGQNTTCKRRRSPETIVVATMNNVSFAHPKTTALLERYYDGTSKGVYTEDFPVRPPRPFNYTNRDLIPPGPLEEVLEPTFKATKLKRFKYNTSVEIIFQSTTLMQSDSNPMHLHGYDVFLLAQGLGNFNAKRDVRKFNYHNPQLRNTVQVPRGGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGNNGLSQP >KN543269.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543269.1:8492:9322:1 gene:KN543269.1_FG002 transcript:KN543269.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSTGHGVCQLRSCWADGAGFITECPIPPASERTYHFNVTDQVGTLWWHAHITCLRSTINGAFIIRPRDGKYPFPTPVKDVPIIIGIVEESFVLDVKHGESYLLRVINTAFFSEYYFKVAGHTFTVVGADGNYLTPFKTDMVIVAPDEAIDVLMVADAPPAHYHMIALANQPPEPDPQIPEYISRGLVRYT >KN543269.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN543269.1:4114:4401:-1 gene:KN543269.1_FG003 transcript:KN543269.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDDDDESQTSPPSSPRPGVGDQARHGGELRLEDEERPAAAYLRRERARRDGSDALDLHLSLRSRAPDMARVGLHLVDNRSRRVATAFWQLTH >KN539705.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539705.1:59825:61832:-1 gene:KN539705.1_FG001 transcript:KN539705.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTSYISRTTEQQVIATVAPAVDVGQSAQPFLTSPSGSYAAYLRRAVDSSAGGPGADACYVQIQQAGGGGSVWESECTLVGGADACDLAFSPVGLELFAGGHSLWDTGIDAEPGTLSLDDGGDMRIVSKDGVPKRQKLCHFYTVAEFGAEVTNGTVL >KN539705.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539705.1:31647:32994:-1 gene:KN539705.1_FG002 transcript:KN539705.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLLPVTLLLISLSRAMCTTFTLTNSCAYTFFKNACPRAYSYAYDDATSTFTCASGTASYLVVFCPSISRFQ >KN539705.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539705.1:62765:65674:-1 gene:KN539705.1_FG003 transcript:KN539705.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSSDGQPGLLQFGSSTSEKLTPNSMDYDFQILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDEIENGSDEDEFENRMKNLKRNMVLSNLGRTLLLRQVFFLTDEPLTITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >KN539705.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539705.1:79003:81753:1 gene:KN539705.1_FG004 transcript:KN539705.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/Swiss-Prot;Acc:Q9SKP6] MAGSGKFFVGGNWKCNGTKDSVSKLVTELNAATLEPDVDVVVAPPFIYIDQVKNSLTDRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHVIGEDDQFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAFADSITNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASGIRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >KN539705.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539705.1:20895:21471:1 gene:KN539705.1_FG005 transcript:KN539705.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGLDLIQGVEIGELELLIGKIKELSAGLRQSRTGVG >KN539705.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539705.1:66648:77361:-1 gene:KN539705.1_FG006 transcript:KN539705.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRMGGGVGEGSDYGSSDAGSYEYDDEAEEDYEEELEHHLRVHHHEHAVGEGRGEGERDGEGAGGSEFEEEGFDEEYDEEEVEPELDPAEYEDDEAYARALQDAEEREVAARLMALAGISDWRPVEPVEEHANDPQELVALGEAVGTGHRGLSAATLASLPSVTYKAEGVQDGNTEQCVICRVEFEDGESLVALPCKHSYHPECINQWLQINKAIGKRGGYKNRIWAVHFQPILGKDPVFLPRARMAARFLHSSASRSMLAAKIEGNQIHEEISFLQLGFVCKIRTEEGGEIRRLDLPTMGDANENPAQQGDANERPAWQGLPPRHLIIPYSIAAAMANRPIRLASQAQLLGGGGGAAAQQPPAQRAIAAQRHQPSRGPWSRIVPSLLPDGKSYHIIDTSFTSEEAWTVSPTTSNDRLGDGGASSSTAAPLTPVAHSALPETTPYVPAPPVPTPSTVAPVGLTVSPTTARYSFGYGGASSSSATPRAPTASLALRAPPYLRAPRGLAHPVPTPPAPATHVPMPPAPAPPVPTSPAPAPPADVPPGFTVSPTTTRHNFGYDGASSSSATPRTRTTSLALRAPAPHLRAPRTLAPPAPTPPTPATLVPMPPTPAPSVPTPPAHGPPADVPPGFIVSLTTTRPSFGYDGASSLSATPRMHTTSLALRAPAPHLRVSRASSPTVPTPLVPAPHVPTPHAPAPPADMPPGFIVSPTTTRYSFGYGGVSSSSAAPRGGRDRAELEAKERRKNRMDKRKAAAAARAQQPQPPPPPSPPAVFAISIVCVADAPGSSGGGSKKRGGGRKKQA >KN539705.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539705.1:6637:9890:1 gene:KN539705.1_FG007 transcript:KN539705.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGYVVMAVGMPGSLFIGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKVPGGGNTCVGAHCYRLVFVVMAIACVVGFGLDVLLCFRTKRVYAKIHESKRQSRSAVVQRVRNGEPAAVAVADSTQARSSLCRRWCQPVLVRVAAAHPFSDACAEEDLEHLAADLRRRRMESRGGGGLVGFPPEKGRGKELIFQLDWYQWMLTDEGHSSEGPVNSELMYDSCLDSLKKMMDLLKRYSSERHRVNSVHEVKVHSFQGGPRTIRIIIYVHKE >KN539705.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539705.1:38610:40529:-1 gene:KN539705.1_FG008 transcript:KN539705.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGQLRQAARADLYERPARRIFDDTEKALDKAMALVDKCRAHGVVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSASDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGKLEGQENAALAIGLLGRDPECVEQMVHAGACTAFAKVLKEGPMKVQATVAWAVSELAANHPKCQDAFASHNVIRLLVGHLAFETVQEHSKYAVTSSKMSIHSVVMDKKNSTRGALIPDLMDAGEHGGTRHPGGHVSQSKNEMYSLVHSTMAAKPNGSSGKVSNGGVVASKQHNVSLSGATTRGREFEDPETKASMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVDKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAALSLTKFACTENYLRVDHSKAIISAGGAKHLVQLVYFSEQVVQLAALALVCYIAHNVPDSEELAQAEILTVLEWASKQSFMMQDPLIESLLPEAKIRLELYQSRGAKGYH >KN539705.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539705.1:83167:87213:-1 gene:KN539705.1_FG009 transcript:KN539705.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding QECSVECGLVLEAHSVDETSEWRTFANESSDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDLVGKNKREVNLERALQFMPYCQGSLHTAEDRAA >KN539705.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539705.1:44126:55258:1 gene:KN539705.1_FG010 transcript:KN539705.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETANNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCCSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMHRADESCCGGGLEEPPTDIAKFSEGNDLHSVIKRKNRDQLLNEGSSGNSHNSTATLLATQSRKASFSCSKAVSNKKSKHNLSSQPTIKSFFQQPKSKPGDGSTNSLVTPPDTLHGMDELHDPKNDSLPESIQCTTPATKDQGNSDVPCSLSTDKCNDATLEWQRIQQRMKMTLPLCKGHREPCIPRSVKKGSNIGRLFYVCARAQGPASKPEANCGHFQWATVKSKEKRRLSLQLPLDVLIEKLSEKHENKSSENMLKGLKLMWLTVHCPSFSSLYTPRRSERLAAQNGGKHTHTVTKAQRVVMKRLGVIENENKADERDILRYLELFKAPLAPSHVQALAALSCTLLVWNAGRGALLARLFGSLVRRRDVWGRVRTRLGLSCSSPSADFADWWLAARKSVAKVDRKTFDAGVILVTWLIWKERNARVFEGIAAMIPQLCSAMGDEWETWIAAGAFAAASLQSINLWLVNLNQLKAPTFSPDMPFYEPGTFIFNSPLHVDIIIRHPTIQRNTIDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >KN538703.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538703.1:119946:120335:-1 gene:KN538703.1_FG081 transcript:KN538703.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVATPLRLSCLSDCQHYLCDHLYHCGSPLPLAPYGSGQHRPMHLVTSPSNSGPTTIAVVVLRKADDATTRGRRLSSDCRRSGTKAVNVGSTKHWQRLWASHTFIKGNVANVLSITLMPWGELYEKKI >KN538703.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538703.1:72124:76852:1 gene:KN538703.1_FG082 transcript:KN538703.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASCSRTWLLPEGVCWIASPEKGAVFCYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESVGTPSFGKKPGSRRKGYKEQVASATAGRGTENSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEEKLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVHDPDEVADYRGPEFHEPTPEVVPYLMEYLPEVRDPMATAVDIGEHSYNEDSDDEEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAEKPKEKSKKDQLSLKEAIADSENLTDFLMDFEEDE >KN538703.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538703.1:18367:18810:-1 gene:KN538703.1_FG083 transcript:KN538703.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMNSKGEKEINWGKSGETILEVAKELYLGLAGWLDRRREEPEKTAPNRREEEVSSRCRCGAAPQEGARGGWEGMEDIHAAGVDGGGGGAEEADMRIGSFSGWLRGRCDQVGPRGGRCGVRCVGTQFS >KN538703.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538703.1:266:16959:1 gene:KN538703.1_FG085 transcript:KN538703.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding EVVQIKIVFNLANDSFVMFVTEVGEKKGLEEDTKISYKSTSYLVFGIAVEFLWRKYQKSEASRAALREGLKLLQGENDKLLKERSELSREFEDCRKPVTIEAYGILVMILHLRLETSVCNEERLRGDSAEAARISESDARDMLEKEIIELKAHNSALQQSQSVCKDGNELIRITELEEEIRRLKQVLVEEKKKSNSEKKNAEEEKGKVLELQRLLNMETHKSEDYKRLSDTERKAANGLRASCEKLRSEASEARERLVAQVKKTEEANKRAEEEKQKAAREKKCANSEKSLAEKNKNLIETERKKLTEEKSRAERLFAKLEEQKKLNEDLRVSIEVERKNAVDQKNHIDHLSQKLEEEKERSENLQRKLEKLCAVKDTTSFGKHGQQRIDVVTEGANIRLLKEKLKLKKQQLKHAKNVSKLDKAKNALVRRELQRLKQDWIQLLSRFNMLDEHLAADGVEGIHVLTEFLSVHLYQETTLHISYPEKAAQDQSQQGSSIMPVVNEDDVQTRRRKCHVIAGKTPSFSVPAKVPFAEAGNAYAVSKFPSLLSFEEMIKGDCLKLLDLDNDADEERYRRAMQRTLSPDLPIILPQATKAPTHEKSHHLSDMMPNAFEYERDCPSSGANATDLEMRPNLLGVEGPAIQKLIQSTGKLGHNRIDCHDNVEQLRANDNDKSNSIVNISCSTKSDNAPTKRSLSCILHEDQAQNVVASPTDVPSNTSNSHPNSTLDLQHSHKEASNENSSNQIHSSSISDSGQQNIVGGCKTKAAESTDLNLNSIIGLRHGDKRSMCFVGLVSMKKRNIIRMFRYWETLIAEARETSEEAFVDTPLFERISSEPLLLLEEKVALIISLLLWDICRVITADPVLDGNFASSAFALTVKSYMETRWAFLKSNQLDVPVSLIEDFLVKREVVVCNKTGHVISDEDRYSLLDDETGIQVSTEPATIDQFISACALLASICVKVERMDIVLEVSYKVLLMGKSNLSWTLLAIHIIGSMCGDKFLSKSSNFLMTTIRLAVLLLEAKNISLCLLSSYVQSNRPAVFPTCAHCLFDVVDSVSVDGFISSLLDELHLCSQQWNSCSSTNKIIARCSPHLGSSGLEVNCGEPCYISKQVKLSEDGHNHTAGRDLCYFAEITSLLELFGNYMSCEWTYNNVVVRLLKILESCTCEEYSAALLILLSQLGRFFVDDVGYEQRAVSDLRNHLSVLMRTKVSNSRNMPVQLSAIGALLSLLPLAFDKIVAHSGQLPDLYVLQGRQISEWQSVLTTVLELPLDLAFYGLAKKFLVVFWVFLAEEANVLDLTCEDANAEP >KN538703.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538703.1:207227:207982:-1 gene:KN538703.1_FG086 transcript:KN538703.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding LLYAFSSVPRGLSFIDHRMDSGGAEAVVVDQNLLQSKNAEISFSSGQRAKPDAFELFRGSPRPILSRDFVEYCVVAPDNLARTLLLYFSNSLSPMEFYFQTVMANSALFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGKFGDDDDALLQRIDEEVLGRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >KN538703.1_FGP088 pep scaffold:O_longistaminata_v1.0:KN538703.1:141444:142964:1 gene:KN538703.1_FG088 transcript:KN538703.1_FGT088 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGDDGGRGLGDIPDLAGGGGGGDGERCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTDEMKSGSLSLGFPTNEPFAFPGLRSDIEALEKGLFGSIGSFLDDAERMTNDFLKSFGVPSINERESSPFDGQPTGRHIGGQPAGRHIEEGTAKDTKQNDYAEFSSKITDV >KN538703.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538703.1:67370:70075:1 gene:KN538703.1_FG089 transcript:KN538703.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRFVLLLLLLLAVAACVPYLTARVSAAPFTYSFPLGAGRKFLRLHFYPANYSSRDAADARFSVSVPAANVTLLSNFSAYQTATALNFAYIVREFSVNVTTPTMELTFTPEKGHPNAYAFVNGIEVVSSPDLFDISTPNLVTGDGNNQPFPIDAGTALQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYVFGAAFGVSYPKDDNVTIAYPSNVPVYVAPVDVYATARSMGPDKNVNLAYNLTWIMQVDAGFTYLVRLHFCEIQYPITMINQRVFNIYINNQTAFQGADVIAWSNNNGIGSPVYQDFVVTTVGSGAMDLWVALYPDVQAKPQYYDAILNGLEVFKLPLSNGSLAGLNPVPTVEPSLDGGAVKKSSVGPIVGGVIGGLVVLALGYFCFMICKRRSRVGKDTGMSDGHSGWLPLSLYGNSHSSGSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLLLGVGGFGKVYRGEIDGGVTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTKNAPLTWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDEGIDRPSMGDVLWNLEFALQMQESAEDSGSIGCGMSDEGTPLVMPGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >KN538703.1_FGP090 pep scaffold:O_longistaminata_v1.0:KN538703.1:35089:40614:1 gene:KN538703.1_FG090 transcript:KN538703.1_FGT090 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKFWLSDYFHYNWAACYPKHLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPISSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLREKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIKQMFVMQSPCSVTGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPG >KN538703.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538703.1:83754:89110:-1 gene:KN538703.1_FG091 transcript:KN538703.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGAGTDTALRKRQLTVRTTSSNGGNGFWVGGGKGAAAATPPEPVSPSARLLEDFFIVVVIGIATPVNDPVARAGIAAKFSRYPRFRSIQVTDEDGGNPRWVRTTLNVDDHIIYPELDMEAVAADPDKAVEDYVASLSTKPMDESRPLWEFHMLDFPTSEAAATTAIRDPHTLFKRVKHGEFQRKRIVHRGLSFEDVKLVKNAMNCAYVDMINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFSESLRQMKDAALSLGKHHTKA >KN538703.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538703.1:136727:138683:-1 gene:KN538703.1_FG092 transcript:KN538703.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAAASSFFRHALSTSPYSSSAAAATAAASSSAVNSIILRSLKEHYLEVSKMTPPPKISPPKPYTIVKGALDQASGPVLRRGYGDAGEEISISVARLANIMPPGADFDSDDDDGGGVSESISQLFLHVDISRPGSSKSLQFLCGLYPDAVGIHSVCLRPKTAESGTAALAGKGGDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGTLISEPKSE >KN538703.1_FGP093 pep scaffold:O_longistaminata_v1.0:KN538703.1:149610:165699:-1 gene:KN538703.1_FG093 transcript:KN538703.1_FGT093 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWIIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQPKFIDLGLLVDHLTQIMTCVQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLFVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQGVGRCFIKVVHFRLLENPKDDDFTLELSKRFTYDDVVEKVANQLGLDDPSKLCLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVELSYSDAEFRLFEVYKNKIRKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLVHVCHFIIEKQHIDYYGEPFFFLIRDGETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQVYAYDSY >KN538703.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538703.1:77149:79851:-1 gene:KN538703.1_FG095 transcript:KN538703.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKDPHTLFKRVKHGEFQRKRIVHRGLSLDDVKLVKNAMNCTVNDVLVGITYSALSRYYYRKSGDMDTDEDIRLRSILLVNLRPTTSLHAYVDMINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEPGNCLADYFFHRENKALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFSESLRQIKDAALSLGKHHTKA >KN538703.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538703.1:42128:45021:1 gene:KN538703.1_FG096 transcript:KN538703.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCSRVYHHHPVSPSTMQRSLARPSIHAGSASLSFRARPNSVSTVRCDADSPPEGSAVAGWAPPGPYTGRDPAARKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTELKPGILVECLTSDFRGDLEAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCKEGMITKSSIMLGLGETDEEVKQAMIDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKLPASS >KN538703.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538703.1:107346:115848:-1 gene:KN538703.1_FG097 transcript:KN538703.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAKTKMSASAYMPPLVPGHMVKSTSEFLPQKKVLAGFLNPSSGKVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDERPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVIKAVRNSVAAGGEVSALWVTHRLEELRYADGAIYMEDGRTIIQGYFPANFLPQFRAVFYYLLLFTYSNVDLGILSCHREGTSAPSVWRSDGSVGIYRPHPVQSGLHRFIRMEGREGGEEEGLGDQFERLPDEVLLDVFGRIGDVKALGRCALVSRRFHALVPLVDSVFVRELRRLHIELPTGELGIEDGVLLKWKADFGSTLGSCVILGASSVSSKPPPPPAAPPPTAADSSAASPDSSREPDELGNIPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHSTLESLDLTDADGQGVLTMDKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLREGGGIEVAGPTGASWILDAFEEPYRTAAQVLLKRRTYSLEMNSF >KN538703.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538703.1:56438:61772:1 gene:KN538703.1_FG098 transcript:KN538703.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWRREQWAVAWLSVAVLGAALVGAAGAFEEGTAVYIVTMKQAPVFHKRLDLERHGPAQPMNYGSYLVRLQNSLLKRTLRGERYIKLYSYRYLINGFAVVITPQQVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYNNYVVLGNNLTITGVGLAPGTDGDSMFTLVAAPHALKNNVASPTEMSLGECQDSSHLDEDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSAAGIIFYLDPFVIGFQLNPTPMDMPGLIIPSSDDSKVFLNYYNESLVRDETSNKIVSFGAIAKILGGQNPNYGISAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAIISGTSMAAPHVAGLAALVKQKFPYFSPAAIGSALSTTTSLSDREGNPIMAQRTYGNPNSTQSPATPFDMGNGFVNATAALDPGLIFDSSYDDFFSFLCGINGSAPVVMNYTGNSCSSSAMTGADLNLPSITIAVLNQSRTITRTVTNVASDESYTVSYSAPYGVAVSTSPAQFFIPSGQRQQVTFVVNATMNGTSASFGSVGFYGDKGHRVMIPFSVLSKVVHGS >AMDW01071652.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071652.1:28:634:-1 gene:AMDW01071652.1_FG001 transcript:AMDW01071652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQKDIAQKIIDSNADYMLAVKDNQPTLAADIAAVFDEALRDESFKAKCHETCEKGHGRTDVRRCWTLQVESDFGEPYDQWPKLAKIVRVETERTVNGETSVDHRHYITSRRTINTKTALAASRSHWGIENRLHWVLDVAFREDECRVRVQNAGENFAVMRHIALNLIKSTRSGIKKYDSVGVKVKRRLAGWDDDYMLRVL >KN540776.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540776.1:203:2308:1 gene:KN540776.1_FG001 transcript:KN540776.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWSGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVPQPAVVGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVAAQEV >KN540776.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540776.1:25128:25337:-1 gene:KN540776.1_FG002 transcript:KN540776.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWMERVKGWSKSLGQYKRWRVGPEAKEVEWSKWRSLASPPVEKSERNGSPSTPTNTYAFMAEDSIITAT >KN540776.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540776.1:12152:15001:1 gene:KN540776.1_FG003 transcript:KN540776.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR11 [Source:Projected from Arabidopsis thaliana (AT1G16880) UniProtKB/Swiss-Prot;Acc:Q9FZ47] MIYKLLVCSERIREGEIFFITEVLVAGAWLSSPAVRVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLYVNLDMRNIYHKSLISYLQQNVTSFFIVLFCTYQFLPRVTGILYRSTGRKIGEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTELVDVDIATHIDIYDDGPDRSLLVVETADRPGLLVDLVKIIDDINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEDASY >KN540776.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540776.1:3154:5981:-1 gene:KN540776.1_FG004 transcript:KN540776.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36990) UniProtKB/Swiss-Prot;Acc:Q9LD95] MVHDNGGGRSSSTACHYSPSLVAAEAEEHVHGRSKDDDDDAAVSLMGEKALLELLLDMALEQHAPGKKLPAEEREESEFDIYLRDDKSHVLYHPEFSSTSVSSSPLSVKSSERSDLGTASAVLTKDVALLAEETHILATQLKASQLYSVDSSKSNEELQSKGQVFIRSTRLLERRSKRRYAPRASIADVSCSADNSKKKEKSKKYGRVLDLDEPFKLFLRDRETTEFLTAKEERHLFSKIQAKPYFYPILMKIEEAHRKLEVQCGREPTLAEWAEAVGMSSKELQSSIRTGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPTNANVARRAGITIEKLAKLRAKTRKPRSMQDHVWSDEGVTFQEITEDPNVEPPDLSVDRMMMRQQVRDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMFGLSKERIRQLQNRALEKLKRSASSQGFDVYFDLLT >KN542627.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542627.1:1:6043:1 gene:KN542627.1_FG001 transcript:KN542627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATVRLGDGEAFDGESLSQDKRFGSKEYPLYYSQGTNYCDFFDVNITGAVVVCDTETPLPPTSSINAVKEAGGAGVVFINEADFGYTIVVEKYYGLPMSQVTAGDGAKIMGYAAVGSSAASHNATIVFNSTVVGVKPAPDHTNMELEDDLRPLQVTRFVEATVSKMLAFADHALAADNTWRPIDKLSRLMCLCTSINECGIRILSEILAFEFDCIFSKMNGAFSNTASKLDEAIYRMAKDAEAITRVLNRDSLENFQHSGEIHKATRLIVDYARLFWGYEGLLRHILLSKWDPHLDDRWSQLPITMIQQMLINLEDQLEKKSNSFSDPSLRYLFLLNNSYFIREEFLEPSNYVYILRHNAQIYAVPREEISSVNSYVFGDLLTE >KN542285.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542285.1:1007:1247:-1 gene:KN542285.1_FG001 transcript:KN542285.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVFPIAFLFCLSRVSSTSQFFTSMFSLGDSYIDTGNFVIMASPVVPVWNDKLPYGMTFFGHPTGRMSDGRVIIDFI >KN541544.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541544.1:2795:5218:1 gene:KN541544.1_FG001 transcript:KN541544.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGFATSKVLILVGAGLVTATSPSRSTIFYSIDSRFGSPPLCSDPDVLVFGRRRAAGLTSSIVLRNGRLSDVLAELQELMKGVNQGEGSSAYDIALLQSQIRNLAQEVRDLTISRPITILSGNSDSGGSLSSYILPAAAVGAMGYCYMWWKDATNAGVWYLCQIAGGLKDGINAKFFQEANEKLKLTELAQSERKAVKGLESVLESRKEQKAIDSKQNTTAIIDAEKPVKTVDGPVKSGAVHRCSRISFRKEGLAL >KN542285.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542285.1:14186:15825:-1 gene:KN542285.1_FG002 transcript:KN542285.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRAPKSHRAKRELLKHAPKLVETGKKTLVLHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEIGVENYKSIESYVYDKKLAPKLGSKPFFTFIGEHFESVEELKHLKEVLLDLFRGEVVENLNLAGVDRVFVCTAISPTTVYLMHCALRLKRSGTSIPRMELVEVGPSMDLMKNVTKDPVQGKLGKVYIPDQQIAKMSLSNDIKGLKRERREAKKNKEHVKKQKINVE >KN542285.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542285.1:9396:11889:-1 gene:KN542285.1_FG003 transcript:KN542285.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVFSIVFLLCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPPGLPLRYDKLPYGMTFFGHPTGRMSDGRVVVDFIAEEFELPLLPASMANSSSVSHGVNFAVGGALATGIDYFERNNIVSFKLLNTSLDVQLGWFEQLKPSICNTTTEQANGFKNCFGKSLFFVGEFGVNDYDFLWTAGKSIQEVESYVPQVVRKITMGVEMLINQGAIYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLRALNGVAKRHNMMLRVALSRLRGKYPHAKIIFADFYQPIIQVMRNPSYFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGPYADPPILTAIQHHH >KN541544.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541544.1:25912:26325:1 gene:KN541544.1_FG002 transcript:KN541544.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVESFVEDEGAFNSTVDDRFAALDGDRDGRLSYADMAGELMSLRVLETHFGVDGAAATDAELVDLYRGLFARFDRDGDGAVDREEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKRAVERELAKAA >KN541544.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541544.1:19029:20151:-1 gene:KN541544.1_FG003 transcript:KN541544.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAMFAAAVVAVLLSSPSPALAQKKSPPAAPSPVSLPPSLPPAPAPAPHYVDLAELLSVAGPFHTFLNYLEKTNVIETFQSQANKTKEGVTIFVPKDSAFAAIKQSTFSNLTGDQLKTLLLYHAFPKFYSLAEFKNLSELNPVNTFAGAPYTLNLTDDMGTISVQSMWSRPKISSSVYATRPVAVYALNKKNNYVLL >KN542285.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542285.1:3048:6050:-1 gene:KN542285.1_FG004 transcript:KN542285.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVFSIAFIFCLSHVSSTSHFFTSIFSLGDSYIDTGNFVIMATPVAPVWNDKPPYGMTFFGHPTGRVSDGRVIIDFIDIKNCFSRSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGVVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGHGCLRAINSVAKSHNTLLRAALGRLRRKYPHAKIIFADFYQPIIRVTQEPRRFGFAADGVLKACCGSGGVYNWNASATCAMPGVVACQNPSASVSWDGIHYTEAVYRYVAKGWLYGPYADPPILNAIRH >KN539566.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539566.1:43020:43571:-1 gene:KN539566.1_FG001 transcript:KN539566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRLRTKRGATYPPQQLGGGRLESSAHLQLVVTILWILLSLLSGEEEAGRGMPVGRGGGGRGACLVADGVFAACLDLRWRSPRDSMGKVVGLEKFIGSSSHPRPTLSRSARQLGKERAPAVRKIGGGEGGAAKICAAELYLSPTPMSLISRYLARSLHDPCRRAHRRTDRKRGAEEQGHRL >KN539566.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539566.1:85266:93486:1 gene:KN539566.1_FG002 transcript:KN539566.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MECPWNFFPAYCTDSLPTFPDEEAFACIERELGFPLDSIYSTISPSPIAAASLGQVYKARLKYSGQLVAVKVQRPGIEDIIGLDFYLLRGLGYLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEKQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLESDVDVSPIVPALKSFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRQVYVLMKWNRLENLLVQGSQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISSGNPAGPFKISDTEREQMIELRDRVFRIWGLLRSSDGFDPTILQPIVQVLQEPEARVLGSRVAGGKELQLVYWCLVIRVMALWCMHLQEGGGEPPHAKLGWAIDEDFGSFEALVKKMSAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENATA >KN539566.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539566.1:69073:75114:-1 gene:KN539566.1_FG003 transcript:KN539566.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEPSEATRRMGSPQGGGEGEVGEDDNKGGPVGGGWGDKRQQRGGAEGTTSILDDPSAPTFGDLDESKDPSDGILDDTSAPTFRNLDESKDPPDGLGRNQLEDFIEIIGTRGTDKRAEAAGYGDSFSRPETRCKCQACMKISLIDGLYSVYHFVPEHSHNLATKSQAHQLRSQRKINEAQVASVEVAKSVGISTKAAIDLMAKQACGFENLGFTRVDMKNKLVGDKRYEEVKCDFKATQSTPKLKAELRILRDVAEVYTPAVYKIFEEEVMQTLNCDIFYCGDVDAEKVYKIKMASKPKGIKLKRKEIRGSARPIGGLEKSSQKRKKKKNEDSPAEVVELQPVTEMQPQPYATVLGNLEVPNDQAFLHVSQYYAALDASTSNPTRVSMTPENQGLHQQGRSIQQFDTDLYNLFN >KN539566.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539566.1:45280:55084:1 gene:KN539566.1_FG004 transcript:KN539566.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MSPAPAPPYAQVMEDMEKGKELAAQLQGLLRDSPEAGRIVDQILHTFSRAMRALDKAAVSAAGGEGTQQSSGNTVVVKNLDDGQAWRKYGQKEIQNSKHPKAYFRCTHKYDQLCTAQRQPLKVEGGVGSSDQEEVLSSLTPGSSAVRGGGGGAGPFGPDQGDVTSSLHCSYDADAFAVAGMEFFKDDEVVFDLDDIMGGIILAPEGINGSICGTPEAVEKVLNFIQSDDRLKGLRMIQSPVTPEDEAIHHGHTSQSPVGAGEDAPFRWDHVRVKLKKEIVALGDPSVMPIKTVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPSWVDDQFQLAASDSQPCSENNDNTIGGQSEGLNSSEPKELPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEVPKAESLWEGECFVFDKRVSVDHGLAQGTHKLCYGCKKPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLEANHAAEEPKQVSSSV >KN539566.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539566.1:95440:96544:1 gene:KN539566.1_FG005 transcript:KN539566.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAQMDRLYGLLLSLSVEVPLPPHIVALMQSQCCCVVDPNGEEMDVDLGSAKDAALFQLLNLLEEFAQQQTKIEEQEPPKIEVLNASDAISCSSICQLEDGEIGDGGADMDICGGVSPLIDESSPLLKQQEDDELIDTSGGGGFPHSGSPSPSSSSPSSSSTGSSSGSSSSSSSSSGSSGSSCSGSSSSSSSASDSDSDDDADSASSRPDTSELPTEAAAKPLEQQQVAVHDTKLITEKEASPHTEMQELIARAQENQKLRRELDRKKALELERKRAREQLQEMERTARPVFDSIDPSVMKQLGISGEAQYIVSPVKSRHSLHRRGGGGLLQKLGFSVHES >KN540233.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540233.1:44514:45613:1 gene:KN540233.1_FG001 transcript:KN540233.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCVGNLYASVERLDDTYVQADAAKDALLSPVVLSPAASSNTSVLRLPAPSSSQPKSFFRCGSYNCFYYVTDVSGVKCPSCGNQMTTACTYAAPTAAQKLQAAAAEGAGKGFVQGIVTYTVMDDLTVSPMSSISSITLLNTFAVKDLGALKEKTVQLGYTEGLAILRVSLQSKTVLSDPKSFFRCHNTSYSACRSYVTNASGTKCPNCGSQMTTACTYIAGGQDQNTQNAAAEGAKGGGFVQGIVTYTVMDDLTVSPMSSISSITLLNTFAVKDLGALKEKTVQLGYTEPLR >KN538811.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538811.1:168117:170352:1 gene:KN538811.1_FG001 transcript:KN538811.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKSTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEKLLDVLDFLKVPRSKLVSRHVKIHTKPLSEQIENWDEVYNALNGTQYESFLNADYRI >KN540233.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540233.1:20389:26386:-1 gene:KN540233.1_FG002 transcript:KN540233.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSSPSSSSSPHAASLLLSLASSAPSASSSFSSLSHASSLSPFPPGAASTAAALLASSYLRLRRARDAAAVLGLTLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVRLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMRVQEAVEVKNGMVNRGVTADEVTYRTLVYGFCRMEELEMALRITQDMIRLGFVPSEANCSFMIDELRKKELIEEAFNLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAISIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSAMELHREMAERGIAWNNYTFTALINGFCKDKKMDEAARLFDKMIDSNVIPNEVTFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTHTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLMSKITESGFSPDCISYSTIIHELCKMGDISKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDKALGIYTNMIRSGVQPNWDTYRALLSGISLMLHYDFSCFRGTKQYIQISVYELMDSTHNKSHRRILFVDDHKSGEIILEFNGRLNKWGIISLRFDVKVKKLSPGPGSFPTHQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KN540233.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540233.1:46548:47267:-1 gene:KN540233.1_FG003 transcript:KN540233.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTGCRCSTCLAAAQTGKGFVRDVVTYTVMDDLTFMPMSSISSIALLSKLGVEDLSALEEKTVKIGYQEGLEILKASLQSKTVLTDVFLNRKKKARAGDKHHRSGDKNVDARATSEKKDAAAAAVQMEKSAPPMPHDFDV >KN538811.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538811.1:238517:238792:-1 gene:KN538811.1_FG002 transcript:KN538811.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LAAVAGAGGEVVVGGGGALAVEETEEEEGEQGKEKKRRIGPVHIEGRSGLVAQYASASSTVVARRRFIQIIEATCPFTPRLRLSTNKWENN >KN540233.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540233.1:58377:58649:-1 gene:KN540233.1_FG004 transcript:KN540233.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding ILTQQRNTAFVRNHLTPDSLNACEIAYMTLRGYLTGDSFNCGAGDEPIGINAIELCANNLTVCTRASEQVEKPAGVEATGRREQHVVSTG >KN538811.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538811.1:139437:139984:1 gene:KN538811.1_FG003 transcript:KN538811.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSITLKIPAMRCRAIISSPPPMTLEEFKKWFMKFDTNNDGRISGAELREAIRSKGFGFSAWWKSIVALHQADKDRNGYIDEFEIENLVTFAQKVLGIKITTWQQHLDNVQKAVKGVLAVTSVSVLAVHFDNYNEQKLKLNPFMFFGQYFFIAYW >KN540233.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540233.1:15368:18639:1 gene:KN540233.1_FG005 transcript:KN540233.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLLSLISALTIAGGSTDEATLLAFKAGLSSRTLTSWNSSTSFCNWEGVKCSRHRPTRVVALSLPSSNLAGTLPPAIGNLTFLRWLNLSSNGLHGEIPPSLGRLQHLRILDLGSNSFSGAFPDNLSSCISLINLTLGYNQLSGHIPVKLGNTLPQLQKLHLGNNSFTGPIPASLANLSLLEFLKLDFNHLKGLIPSSLRNIPNLQKIGLDGNSLSGEFPPSIWNLPKLTVLQVYDNKLKGSIPANIGDKLPNMQHFVLSVNQFSGVIPSSLFNLSSLTDVYLDGNKFSGFVPPTVGRLKSLVRLSLSSNQLEANNMKGWEFITALANCSQLQQLDIAENSFIGQLPISIVNLSTTLQKFFLRGNNVSGSIPTDIGNLIGLDTLDLGSTSLSGVIPESIGKLADLAIITLYSTRLSGLIPSVIGNLTNLNILAAYDAHLEGPIPATLGKLKKLFALDLSINHLNGSVPKEIFELPSLSWFLILSDNTLSGPIPSEVGTLDNLNSIELSGNQLSGQIPDSIGNCEVLEYLLLDSNSFEGGIPQSLTKLKGLAILNLTMNKFSGSIPDAIGSMGNLQQLCLAHNNLSGSIPETLQNLTQLWHLDVSFNNLQGKVPDEGAFRNLTYASVAGNDKLCGGIPRLHLAPCPILAVRKDRKERMKYLKVALITTGAILVLASAIVLILLQHRKLKRRQNSQEIFPVIEEQYQRISYYALSRGSNEFSEANLLGKGRYGSVYKCTLQDEGAAVAVKVFDLQQLGSSRSFQAECEALRRVRHRCLTKIITCCSSIDPQGQEFKALVFEYMPNRSLDGWLHPTSSNPTPSNTLSLSQRLSIVVDILDALDYLHNRCQPPIIHCDLKPSNILLAEDMSAKVGDFGISKILPKSTTRTLQYSKSSIGIRGSIGYIAPEYGEGSAVTRAGDIYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLESAMNIADQTIWLHEEANDTDGTNASTKRRIIQQCLVSVLRLGLSCSKQQPRDRMLLPDAASEIHAIRDEYLRSWMVENEQSILN >KN538811.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538811.1:171309:173031:-1 gene:KN538811.1_FG004 transcript:KN538811.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPFGGAELRETAVAELEPHVRWLPGQLAQPRTRRATPSPPPRDDDGDEFDLRPPPSRVHELEEAPGEFRHVGDAVVRDDANSTRRMTVSLMAPSFLARWHANATDSRSSGPPRKTAVIWVPTFWSPWRFLAAVVSSLVDILVGSWKLAPTVHGASSSTLRLSSWQWCSRAPRRTWRAPLKNTIYKLQSPVYLPPWTVITLLKIQSILLYRDPNASHFSVFSENTGTQNRN >KN540233.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540233.1:55244:55911:-1 gene:KN540233.1_FG006 transcript:KN540233.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCVGNLYASVERLDDTYVQADAAKDALLSPVVRSPAASSNTSVLRLPAPSSSQPKRFFRCHNSNSSNCRIYVTNASGTKCPNCGSQMTTACTYIAGGQDQNTQNAAAEGAKGGGFAVKDLGALKEKTVQLGYTEGLAILKASLQSKTVLTDVFIGLKPAS >KN538811.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538811.1:203435:203983:1 gene:KN538811.1_FG005 transcript:KN538811.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNVANICTMLAILVFSLQLFSSQGRPLPDDDGITSEMQIRRYLLSHGNGVVEGAVSPSSEIGGPMVGASGGVRPTNPGHSPGIGHHVVINGDVDDDDVRPTNPGHSPGIGHHVVVNGDVDDDDVRPTNPGHSPGIGHHAVVNAADDVDDVRPTNPGHSPGIGHAFVNKIDGPAGKKKL >KN540233.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540233.1:11262:12557:-1 gene:KN540233.1_FG007 transcript:KN540233.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDDMAALSEDILLQIFFRVGSIKDLFKFAVTCRRWLRRFTDPAFLRGLCLDSGEGHRARLLGFFFQQTRFYRCDKMIKMRVTQQSSVCPPTFLPAPWSPLGLTDRTLTSFLATDDDTFNYAEPLAARCGIVLMRLVPRTALMIACSHLLGVCNPITGECHVLPPLNLSGLHRYLTSYAIITSTDSDLDGKQPPSSSSSGRSTFSQLYLVVQHKKDCNEYFYSYSAATRSWSAPTMCVDGRRFSLVGERSAVVHKGAAHWLFIDRVSSATQDDILYKLTAAVDTSEISLTKLPFGAGGSPLLCVSGDGKLSVACVFPIHMRVWTQQDGTPATWLRTVIRIPLAVPYPDYSHICQPREKWFNFNRGSMLVLYRSNGVFILDLEKKVMEKVMDCLLPLFSDKLNRTAVAYEMDLVEFFVLQLSGLCRGSTG >KN538811.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538811.1:134337:136892:1 gene:KN538811.1_FG006 transcript:KN538811.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNTNDHGTARTRDGEETASVPVENPDSSHEMEKKLTHNLTENGQAPTGHTVPSSPDTVSKLKPAQESDDSLKDKTDLPTSTSKTEVNNISENGSTNQSTMLSDEVRTKQDEMNHHENIAATTNKKAETDVRPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAYRSQTLERRRVMQLELEKAQQEIPQFKQDSEAAEMAKLQVAEELGRTRRLVEELKHKLERAEIDVDQAKQDSELAQLRAQEMEQGIDDEASVIAQTQLAVAKERHQKAVDELKLVKEEMRSTHEKHTVLASERDIAAKRAEEAVSAAKETEKRVEELTLELIAIKESLESAHAAHHEAEEHRLGAALAKEQDCLAWEKELQQAQEELQQLNMQLVSKTDAKSKIDENTRMLQILSKELAAYTENKMSEEAGVIEEDGSDEAKEISRSIKRALASTRKELEGVRGNIEKAKDETNLIRGIAESIKSEVDKEKASLVTLQQREGMASIAVSSLEAELNRTKEEIEMVYIKEAETREKMAELPKMLQQAAQEAEDAKVAAHSAQEELRKAKEEAEQTKAAATTAEIRLRAVLKEIEASKASEKLALVAAQALQESEETSSVEDSPRTITLPISEYHSLSKRVYEAEELANERVAAALAQIELAKESETRTLERLQQETKEMHKKKDALEIALQRADRAKEGKLGAEQELRKWRAELEQRRKAAKHAANPWTAPPIRSPEQKGSYKEDDAVLTEPNSPMSNSSTDDFVVDQKLRKKKTFFPQMSSILSRKAQT >KN540233.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540233.1:31311:31772:1 gene:KN540233.1_FG008 transcript:KN540233.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAEKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >KN538811.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538811.1:193064:193372:-1 gene:KN538811.1_FG007 transcript:KN538811.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKSSNSISKAFFLVLIILASQVMLSHGIPPEMHRRYLLSHAADATKGVMEGTITPTEGEGFAGANDDVRPTNPGHSPGIGHAFTNNKIGRKLLLAADDV >KN540233.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540233.1:33393:41313:1 gene:KN540233.1_FG009 transcript:KN540233.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQVHAVIHKPVFLSIAQFKHALIFKAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >KN538811.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538811.1:140989:144044:-1 gene:KN538811.1_FG008 transcript:KN538811.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVERPDMLFGEASKSKKGEDFTFLLPKCSRRPGQAQADGEDAGGAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLDTNEEEVERVTASGGDVGRINIAGGDGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKESVSSKGLRDDTTCIVVDILPPEKLSPPLKKHGKGGIKALFRRRPSDELTEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPTGNMFKLHDCAVCQLVMKPGEGISVHGSIPRNSRVDPWGGPFLCSSCQLKKEAMEGKQHLTSMNAFI >KN538811.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538811.1:120076:124436:-1 gene:KN538811.1_FG009 transcript:KN538811.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVTPSRAAEPLSSTGGTGTGAHVSVEKAQRNDLGPLPLVRLRYDSDWADDERDTGLTLPERDSRERGFGRSEPAVAGRDIYGGMRDPFKKEPFGKDLIASSKEGGQDAAWRSPMSSQQDRERTDGRPYSAGRGSSAQSSYRESMNGDASKDSWNTSREPGVRVYGQNGAEPYRNARVGETPGERYGNISNNWYRGNPFQNSFVSKVQPFPGNKGPLNNEPPANFGREKRLTGTPAKPLIEDGGFDSITAVNLSAIKKKKEAAKPADFHDPVRESFEAELDRILRLQEQERQRVLEEQARAREIVRKQEEERERLIREEEERQRLVEEEARHAAWLAEQERLEAAKRAEEQRIAREEEKRKAAIEEERRKEGARKKLQELEARIARRQAESNIRDGDLASCINDELLPGAVKDKDVPQSANTDDRHDFDRMGERINTSASSESSSNNRYNDTVPRVHTLRDGHSSLVDREHAHFSGRTSFQDQESAHYSPRRETFTARRGNYPKKDSYDGFATVTVRPSSRGRTNDSPWASEEYHHGRAPRWDAPRENDRFDKQSDFDTEFFSSDRFGDAAWLPSSSHEGPNRHQGEKMFHSSEDNEFPFTRPRYSMRQPRVPPPPAVARSTIGPSTQHANSSFVESGLRESSSREEHTMQTEYGCVYQEASHQHGTSAEGIGLDEQQNGDRENPILGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGDRTVMSDIDHAASTLDAANTSRINTSSTVSHLEDDEWPSENNEDRHKQDEYDEESNSYQEDEINEGDDENLDLDDEFAEGHSTHIEMEPVILGFDEGVQVEIPPNPEVELVSMKNTHSGVMEQQVGSSSVCPSDLVTEAEKALRNLALDQINALTDETNNEPSNSLVASAPGSKLHQAPSTDPIMPPASAVSGRSEVPVNLQFGLFSGPSLIPTPVPAIQIGSIQMPINLHNQINPSLSQVHPSPAPLFQFGQLRYVRPIAQNVQPVSQAMPPIHSSAPAPYILNQYGSSGLPNEINQHTHQNIPREAAQSSCIEKSVVSAANLSFMLQHSDSQKLGTPAINQMVDAEGFHNLLDRSSLGESMCRVSKPESHRNHDLSLKRNYRPTSNNRESSQVNSDAKIVSGPKAPGAVPGGRGRKYGYAVKEPNIRSTSSVDHSNKDSRGLQRRSRRNIRRTEFRVRENVEKNHIQDESFSHSEQNEKPYSNGTAREIPLRNPNRREGDKSFRANEAIDLSAGPSTCANYYSKTERSTHKAPSYERSHSGNKKSRAGGAIPEGDVNASSQAAVARVVRQQGIEIPVDADGFIEVRSKRQIMSVRRELREKENRSKMRIAKAPRKQHQVSLHSSSSPNLNKGTVSLAEPAKKASLDSVMAVESRVIDPAESSVALKGDKASMTPIGQPLVNAESHTNYYAKKYVS >KN538811.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538811.1:229900:231568:-1 gene:KN538811.1_FG010 transcript:KN538811.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISAQSFLHGSILLGFHGPIVSDSVSSSSRAKSMPLKNALLSDICISTSAAPTYLPAHCFQTTDDATGKVREFDLIDGGVAANNPITKKIMVKDKEELYPVKPSDCGKFLVLSVGTGSTSEQGMYTARQCSRWGIVRWLRNKGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDGDYLRIQDNTLHGDAATVDAATRDNMRALVGIGERMLAQRVSRVNVETGRYVEVPGAGSNADALRGFARQLSEERRARLGRRNACGGGGEGEPSGVACKR >KN538811.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538811.1:149832:152121:1 gene:KN538811.1_FG011 transcript:KN538811.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVKYLVDSKLVFDTVERIVAESTDVAYVYFRKSGMERSARITKDLEWFREQGIAVPEPSTAGSTYATYLTELAESNAPAFLSHYYNIYFAHTTGGVAIGNKISKKILEGRELEFYKWDSDVELLLKDTREKLNELSKHWSRKDRNLCLKEAAKCFQHLGRIVRLIIL >KN538711.1_FGP091 pep scaffold:O_longistaminata_v1.0:KN538711.1:353278:359423:1 gene:KN538711.1_FG091 transcript:KN538711.1_FGT091 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAHAAFHPNVASLLSLLRASSSYSDHFLPMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSAYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMISGLVHAGSYAEALHLLACSTLQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDRMAGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPGVEHVSCVVDMLARAGDLDGAAEIVRRSGGGGSPVAWSALLSACRRRGDGGGEVGRRAAARVLELEPGKSAGYLMSMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNVSKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQKRKDYRRVDGVGTVTASIFYRYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILFRGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYAILDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWVGLTTLMGLRMASGILR >KN538711.1_FGP092 pep scaffold:O_longistaminata_v1.0:KN538711.1:420169:420610:1 gene:KN538711.1_FG092 transcript:KN538711.1_FGT092 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQVAAALVLACLALQATTTAAHFTLGVSDDRYTERSQLTPRLHGEPLLLHLIDRIKYRRPLRRSYRDEQPNT >KN538711.1_FGP095 pep scaffold:O_longistaminata_v1.0:KN538711.1:394814:395963:1 gene:KN538711.1_FG095 transcript:KN538711.1_FGT095 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQSQSHLDLVQLLIVVMMTMTTLVGGGEALSLDYYGKSCPKAEAAVAAAVKQAMAKDRTVPAGLLRLHFHDCFVRNRIQPQGVDPALHPSFAATLRRSCPPNNTARSAGSSLDPTSSAFDNFYYRMLLSGRGLLSSDEALLTHPKTRAQVTLYAASQPAFFRDFVDSMLRMSSLNNVAGEVRANCRRVN >KN538711.1_FGP096 pep scaffold:O_longistaminata_v1.0:KN538711.1:423338:426955:1 gene:KN538711.1_FG096 transcript:KN538711.1_FGT096 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAAEERDGGDAAAGPNPIPRSLRRVRGVLIPSSAWPPHTPLHRLRRCLHLPLPPLLDPPRPPRRVKLRPPHPPPPDFSLSPLCLPARVLAAGGMAARNTEEMPFNFLQILCGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKIFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLVSASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKDYIISIKLGCKTETFCVYHFPVSPCTVLGMHKKCIDI >KN538711.1_FGP097 pep scaffold:O_longistaminata_v1.0:KN538711.1:284925:288850:-1 gene:KN538711.1_FG097 transcript:KN538711.1_FGT097 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRPSELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPDLGRRQPLDQESLERSKGGSYPPWQHEAEVPDIWFDNSANSFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQESVVRGFCHELALMCQASGMASILEMLPAKLSFEHEQSTPRSIYKIFNMNSKICTSY >KN538711.1_FGP098 pep scaffold:O_longistaminata_v1.0:KN538711.1:400202:404996:1 gene:KN538711.1_FG098 transcript:KN538711.1_FGT098 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKAIKSCRPLSLLSLHEWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKKKKAQKGCAIFYADEKGNLPSLFLGFWLAQESDLAMLGAVSSMGGSFWHRHYVSLFVSCMAICNIEIQNSIEVKSAKAVDLGMITHGIWTGYIKRSVYIGIR >KN538711.1_FGP099 pep scaffold:O_longistaminata_v1.0:KN538711.1:366771:367271:-1 gene:KN538711.1_FG099 transcript:KN538711.1_FGT099 gene_biotype:protein_coding transcript_biotype:protein_coding MAYADAASLRPAREAEVDTLFAMQATLRSRGEVVSDGVRKMGEEKEALERRLQDVMMATDLMEAWVMENSKGAAGDTEADEAIETADVLSKQMLECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALAREQFFQRVLSTKVNKAQQQAQVARMAARAPQYAS >KN538711.1_FGP100 pep scaffold:O_longistaminata_v1.0:KN538711.1:386229:387157:1 gene:KN538711.1_FG100 transcript:KN538711.1_FGT100 gene_biotype:protein_coding transcript_biotype:protein_coding MALALALVDGELLLSAGSGVLVEEEDVLDLVLEVVMWCLLLPPPWSLLLCMEVLLKAYEKGGWIWGLSPSLSPGSSIHAGWAIQVELTLLRFNGELRGEVWLGPVKLTPKSTAQQQISNLCRFCEGVRRGLPVRQAVCMPKETQGCNRRGFAAAPCRFAPSTMPSFRRLFVLLVQFSLLCILLVLKPSLLAMYGGLPPYLLL >KN538711.1_FGP103 pep scaffold:O_longistaminata_v1.0:KN538711.1:335619:341196:-1 gene:KN538711.1_FG103 transcript:KN538711.1_FGT103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKSENQCTSQLRSLIDQVSSQQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDNWKAKDFICNVSNCFAAIPVTVGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASSTPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >KN538711.1_FGP104 pep scaffold:O_longistaminata_v1.0:KN538711.1:364080:365169:-1 gene:KN538711.1_FG104 transcript:KN538711.1_FGT104 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIAAGPEADAAVEQHPLTVQQLGLFMKITINHLQLDCCFQAIVLVQLCSSAGKMAYSSLCQVRAPFIQNTTCPVKDKNTTTGFFKKTITCVQ >KN538711.1_FGP105 pep scaffold:O_longistaminata_v1.0:KN538711.1:378108:380732:1 gene:KN538711.1_FG105 transcript:KN538711.1_FGT105 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAKCSDEVNDGTYFMAGVMAVTGLMATVLALSGIFHCALRRVGQPSIISHILAGVVVGPTVLGRAVDLRPLGMQDAGSALSDTIYFVRIIFMFFIGLEMDLRYLRHHLRRSLAIACGGSGLCLLLAALAGPFFYGLLHPGQGPFKPEKLYASTALFMLVLTTTASPVLIRIVTELKLTGSEAGQLAIGAAFANDMASLSVFSIMVVGTTAYGPDGEPTPSFPDMSIVMSMAFTACLAVLAAARAARLLNRLKRGRRYVSKYELCAMLLLIIALSLLEQVFGYSASMTAFLIGLAMPRDGPTARTLVDRLTYPVHQLVMPLCFGAIGARLDFAAVGSFTAMQFAVAVAFTTLLGAVGKVGGTVLAGRMLGISARESLVLGFLLNVKGYCDILAINFGNQAGIWGQTAQDLKVDHELRVLVCVHGARGVHTMLTLAELSKGTAPLAVYLLHLVELMAARKYAITHLYHDADADDDEWGYAREIEQVAAAVNTFTYDAGVPVRQMTAISSLGSMDADVRNGVEDSRASLVIVPFHKEQRYDGRMVCRREGRRQLNQRILQRLPCTVGVLVERRLGGDKGAEDVVKNQVVAVFLGGPDDREAVAYATRLAAHPWVSVTVVRFLPARQDDITIGIDEQLLGTTKSHGGEGAMAVAVEDEDEEAMADEEFMADVYARLVLAGQVEYTERYVSNGAEMVNSLSAMVGTYSLFVVGKGGGGGGSAAAGTSGMGGLLEDECPELGPVGEVLASDDFTACGSVLVLQQHSAHVHHRMRRWNPNIHITTTPSSSDHHIHSHPP >KN538711.1_FGP106 pep scaffold:O_longistaminata_v1.0:KN538711.1:413486:419868:1 gene:KN538711.1_FG106 transcript:KN538711.1_FGT106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epimerase family protein SDR39U1 homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21280) UniProtKB/Swiss-Prot;Acc:Q9SJU9] MGNLLLLLPHPAKAKLRPPKAAAKRGTLFFCSLSTDGPSSTMTVSITGATGFVGRRLVQKLLSEDHKVCVLTRSASKATSVFPASTFPGITIAEQGDWDKCIQGSTAVVNLAGMPISTRWSPEIKKEIKESRINVTSKVVNYINNASGDARPSVFVSATAIGFYGTSEISSFDESSPSGNDYLAEVCREWEARACQVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHQDDLVDLIYESLKNPSYKEIALKAVLGEGASVVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIARDL >KN538711.1_FGP107 pep scaffold:O_longistaminata_v1.0:KN538711.1:370876:376855:-1 gene:KN538711.1_FG107 transcript:KN538711.1_FGT107 gene_biotype:protein_coding transcript_biotype:protein_coding MAFERHTPPEGVVMPSHPLNRFVGLRLARAAADSQGPNGAAPGSGSGSGGDGEGKAANGADTKLPKNRRDILLEYVKNVQPEFMELFIKRAPPQVVDAMRQTVTNMIGTLPPQFFTVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPGPKEENDSADYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKNHVYLHFVELCHSFSMRNIEVRFDMERVLGAAPKIGELPPGENI >KN538711.1_FGP108 pep scaffold:O_longistaminata_v1.0:KN538711.1:330198:335278:1 gene:KN538711.1_FG108 transcript:KN538711.1_FGT108 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEPPKGWNPNYGVVGSGDRRLAFSRQLSSSTPRLARSDSSISMPPPPLAPTGAITFRWLATRPMRRLALLIALNVAYSATELAIGLLTARVVVMGKVIDMEDYMTLQGKLTKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRKAEDMNYHSVCLHVLADSVRRVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSALTKCFRQISACEDVSEVCQGRFWELVPGHAVGSLDIRVKNGGDCQSVLDYVHGLYQDLGIQDLTIQTDE >KN538711.1_FGP110 pep scaffold:O_longistaminata_v1.0:KN538711.1:342702:345401:1 gene:KN538711.1_FG110 transcript:KN538711.1_FGT110 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASPTGPPYLVQELTVPSRTFQGSVYEGSPLTAAILTFASSFWAGHSGLPLGSQEWLLHRRGFYRLPISHASRVCTASQPAEHGQEYELTEENVHIAYRLDGKALYGVPNNRGCKDSHVQGQDDHWDKEGMSCFSWNPSQRCWHGSNDGGSPCSQAAQAASAGAGGAKKFTLAQLSAATDGFHESNVVGEGGFGRVYRGRLDEGGQGLVAVKQLCHGGAQGTREFLVECMMLMMLHHPNLVSLVGYCADAGERLLVYEFLPRGSLDGHLFGGMRQELVWAARVRIAVGAARGLRYLHEVVTPPVIFRDLKASNILLDDDLNPRLSDFGLAKLGPVGDDTHVSTRVMGTYGYCAPDYAMSGKLNVKSDVYSFGVVLLELITGRRAFDAASSDSNSEDHQRFLLLRDWARPYLAGDRKRCFALADPALQGRYPRRAFYQLAVVASLCLRDNPNLRPSMTDVTRALDHVASQSQPWEDKQRATTTTTPPPPNSQP >KN538711.1_FGP111 pep scaffold:O_longistaminata_v1.0:KN538711.1:408010:408839:-1 gene:KN538711.1_FG111 transcript:KN538711.1_FGT111 gene_biotype:protein_coding transcript_biotype:protein_coding MREIWSSFGLWMLLLMLEAVSPAKIHGNPANDLVALVNANRTATKLPHLRTSAGLGCMALQYISDCIGIGIGCAGDNTVACQPPEAHITEVYAANCGVELPTVDVITGRLLGCHRQRSDAEAALEAVLSGSGNSTAARAVIRGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAAGKGVHQSHGCFSVPDNTSLSLSCSSAAAAAVPLLFFILLLLPVLQVY >KN540082.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540082.1:12113:26086:-1 gene:KN540082.1_FG001 transcript:KN540082.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIWQMNGNFKHSMVIPDRFLSHFAAKSSGTITLETPNGNMYKVGVGKNMKRTLLLSGWEAFVHANSIQENDFLSFRYRGSSRFAVTVFDASGLEKKKARTYIDILSSLDDHTTQSSASDTSDECQKARPNHHGKQAKKPSVSSSEDLSAEDGSSGHRSLESEDLGRFSSPYYLPGHHKLAEEQKAELVALVDKIQPEICVLVIIMNKTNVKRHPDLVVPKDYALLHFPHKNQIITLELPGKRKNWACKFRIRADGGGRHLYLGDFVHDNRILEGDLCILQPMTKNDASVFTMTVQLIRKQRTDIASSHQIRKINSGGISSSSHHDTTRYNQGDKRFEERFARRDSSSHFRKITKMAHEESGEGSPDENDSFKSDDLQTLPITDYVLSYKSYLSGAQTRQVIMLLQEIRPKKPVLVTVMRKKNVQSSSPFLGDICLFEPMKGGKFFVFTVHLLRAAPTDRLGGISDQRASSSHGRTNPKMASGVHIKEEPTDGGNGCSENKKHGASNESLQNRKSNDSPYVLPHRSHLSPFQEQVVLEKIEAIRCEVPICVAIIEDYNVDYSSRKCCLLELSSRYAAQHLPGTSQDVVLQCKGNTWETKMIVSGNLTRWFLTGGWPKFACDNRLRAGDICLFELKEERRLTMAVHVIFRLAKMLDMGRGRLAKFVGEVRVEGVPQLEGVGVMHAAAASGSLAMCTYLVETLQLDVNDVSNKGSTALFNAVELGNLDIIKYLLDHGGFLVIAANLCPAIERKGANLTVYSFQQLLVIWLYTVPQMFGGHCAIVKELLAKGAYVDPVSVYGTPLHIAALEGKDNTLKILLDHDADCNRVVNGKTPLLLAKRAASERCVELLVEDKVVNESKIAELKSLASRAAQIKDYLSAAEFYSKAIDLDPDDATLLSNRSLCWLHMGDGDKALLDAHECRKKRPDWPKACYRQGTALMLLKDYRRACEALFDGLKLDPENAEIEDALRYPLTPSHATAYYCNPVFHGQ >KN540082.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540082.1:60295:62365:-1 gene:KN540082.1_FG002 transcript:KN540082.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLGCRLLVWLLFVATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >KN540082.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540082.1:41994:43679:-1 gene:KN540082.1_FG003 transcript:KN540082.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQALEPFSVQSGSAAKNVPAAARTAKGPPVPLTLPQNSHVGTSQSHPSPQGASLRVAGQETGAPGHAGTQVGGGQSAWQPPDWAIEPRPGVYYLEVLKDGDVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNSAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSRSKDSSGDASGANDDNEPAGRPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVQVTVIPKGKEQPSPKESASPSGVTDKLKQVLTKVKSTAKGGIYDDLYGDTVPQLLGPSWAYRSDDQAEKVKAADEKKSSGNMDTNSADDNDDLFGDL >KN540226.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540226.1:13236:16353:-1 gene:KN540226.1_FG001 transcript:KN540226.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVHVVMGVRNSSAGARVRDEIARQVPAAKIEMLDLDLSSMSSVRRFAENFNALNLPLNILVNNAGIAFVPFKLSEEGIELHFSTNHLGHFLLTDLLLEKMKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYYFLTQRILFFTFIYLFNRSKEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMLSTLGKFFVKGVEQGAATVCYVALHPQVAGVTGKYFSDCNITELKSHALDRDLAKRLWDFSLNLIH >KN540226.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540226.1:40738:48987:-1 gene:KN540226.1_FG002 transcript:KN540226.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLQKALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQTIFAHFMLENANHMRKAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVSLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFLNLSIGTSRKESKILSPQAKDAAEIICRRLQLGSKLSEIIENKEDACALFDLYKNEQYLLTEYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYLGIKPSDVASACRPGGRLEASLDYTQREFSHVKLDGSNGGWVMDGLIARPLPLLKGFGLLDPALKAWSAGRRWPHKTTKNIKPIGEIQLQITVPVRNWDSANAKGRLCSSE >KN543435.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543435.1:2000:2491:-1 gene:KN543435.1_FG001 transcript:KN543435.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLHPIFPATAPGVSTTSTPSRDILRRGTRRLTTTCKAEPSGGNSTLELAAGAAGLASSSVVAWSLYTLKTTGCGLPPGPGGALGAAEGVSYLVVAALIGWSLTTKVRTGSGLPAGPFGLLGAAEGVSYLAAAAIAVVFGFQFFEVGSLPGPLPSDQCFG >KN540226.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540226.1:31904:32506:1 gene:KN540226.1_FG003 transcript:KN540226.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGGVIHVIVTRVLYPVTEEVLHQVLDPYGVGEKIFVVQFPSHVEAYATFLSRAAAEYARDILHGQAIYNDCCWLDIQLEPLIMTKEEDATKEGHTMRASPSAKASPQLSPPKAVILVEQEAVGMLAQHDSASLVPASEVHHQPEPVATTWAKQPPWHTVSHDAASPKPAPAFPVMPCHPPLRPPQQGDDPAGTCSRR >KN540226.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540226.1:26663:31364:-1 gene:KN540226.1_FG004 transcript:KN540226.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFLAGASSGIGAETCRVLVMRGVHVVMGVRNLSAGARVRDEILKQVPSAKIDILNLDLSSMSSVRRFAENFKALNLPLNILINNAGVCFVPFKLSEDGIELHFATNHVGHFLLTDLLIEKMKVTAIESGKEGRVVMVASIKDEKTDLYKDMTFNYIFLFSLARYNRFLAYGQSKLANILHSNLLSSHLKEQDAKVIVNSLHPGAVATNILHHWCPLYGAIRAIGKYFVKGVEQGAATVCYVALHPQVAGVTGKYFSDCNITELKSHALDRDLAKRLWDFSLSLIR >AMDW01040234.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040234.1:223:1308:-1 gene:AMDW01040234.1_FG001 transcript:AMDW01040234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGLERKGKVVADIKDAVDNYTNAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERIFREFEEHDFARTGSTATET >KN542194.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542194.1:451:4004:1 gene:KN542194.1_FG001 transcript:KN542194.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLTIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLNSLFNSYNPSMLLSTAYYRMDLYERPLNEVPVTNFFGSVMNTIHTDSAYSGFLAADDIGTIPSTGDNLLNHAVLQNKASQRRSNIEEVKEAQVRSHGWTDVLLEQLDVKNADTIVMYGLGTMGILLAISTWLSM >KN542194.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542194.1:12793:17196:1 gene:KN542194.1_FG002 transcript:KN542194.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAEMQKFVEKIVSMMKSEGLFEWQGGPIMMSQAEMQKFVEKIVSMMKSEGLFEWQGGPIIMSQLDKSVAELRFDVSVVDLINTCNGFYCDYFSPNKNYKPSMWTEAWTGWFTSFGGGVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPVLVSADPTIQSVGSYEKAYVFKAKNGACAAFLSNYHMNTAVKVRFNGQQYNLPAWSISILPDCKTAVFNTATVKEPTLMPKMNPVVRFAWQSYSEDTNSLGDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGTNDLRSGQSPQLTVYSAGHSMQVFVNGKSYGSVYGGYDNPKLTYNGRVKMWQGSNKISILSSAVGLPNVGNHFENWNVGVLGPVTLSGLNGGTKDLSHQKWTYQVGLKGETLGLHTVTGSSAVEWGGPGGYQPLTWHKAFFNAPAGNDPVALDMGSMGKGQLWVNGHHVGRYWSYKASGGCGGCSYAGTYHEDKCRSNCGDLSQRWYHVPRSWLKPGGNLLVVLEEYGGDLAGVSLATRTT >KN539162.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539162.1:37204:38662:-1 gene:KN539162.1_FG001 transcript:KN539162.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHRDWILRRCCGSIAACILTLAVLVGFIVLVIYLAIHPSKPSFYLQDVQLRSIDLSDPAISLNLQVTIASRNPNDRVGVYYKTLHVFTTYREEPITVPVELPAIYQGHKDVSVWSPVMSGESVPVGQYVADAMRQDIAAGYVLLHVKVDGRVKWKYSTDEMIQRKRREGRGNEMK >KN539162.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539162.1:109034:112772:1 gene:KN539162.1_FG002 transcript:KN539162.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 5, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47420) UniProtKB/Swiss-Prot;Acc:Q9SX77] MAGPRGNNAFSWNLRRLFSSNEKHLPAISDPEIESAFKDLMAASWTELPDSLVIEAKKAASKATDDKAGQEALLNVFRAAEACEQFGGVLVTLRMALDDLCGITGENVGPLPGYIEDAVKSAYKHYMKYLESFGPEENYLRKKVENELGTKMIHLKMRCSGVGSEWGKRPVVLPIEHWHTPLVPCNMLTFKKGITLIGTSGISGSYVELRA >KN539162.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539162.1:17288:21796:1 gene:KN539162.1_FG003 transcript:KN539162.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCSALVPNLLASRARMVLGQGGRRPHHASGVRTLFAGHTHVERRGMNQKKRDREEGGGRGDFHEDLLHPLPPSRTGEMTRAACEITAFSDRYEEFEKINTEVLGVSIDSVGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKDSKEYFASI >KN539162.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539162.1:40588:44029:1 gene:KN539162.1_FG004 transcript:KN539162.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLRKIGGKCTILPVLGHVTYHPIFFVPFGASGSSSKLEASEARMRFTDTLYHAIADAFLLLADAHQGFYEALIDLNQDIATAFLFHPFSSVVRALPPLSVFFVEMDDLS >KN539162.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539162.1:52257:54977:-1 gene:KN539162.1_FG005 transcript:KN539162.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGAQGEPILLAVFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLAAGNLDKLADFLEGMETECFGESATSDSLEGKTFLQAYKSILNSKATEDSLCNFARWEPGHGKFSFKHPWSQYQKIGALSRQCASSMEAMASYVITLTKSQYPEANPELSFKVRTACSEMSSHSAQALRELSAAIRTMTVPSTTSMSAAIKAAKTLRSELSEDKALLQVMHVAVTASLLSDLVTQVKKIAESVDNLARLACFKVPEKSQKEVVINIMS >KN539162.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539162.1:7544:11565:-1 gene:KN539162.1_FG006 transcript:KN539162.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWKALCNVVFWYNLVLGYLLKRKTPCGESEAAESSERMMTSPGFTEGVGSPLITPVSGKSSRTTKSMAKFNKAGPQTPISNAGKFIYGFQQKQVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTVEVPDPDELLPQKKLSDEHPYYIISQYKKMEELGETATPPRHASVVEPPSIATEAGHSSKQTMPLNVQQDIQETPELNASRAFGRMKKITPSDVDTDADYWLLTDDDISITHMWTTASEMQWDQIDTNDFLAEEISDTPCALNQPSAAASEPTGVGFNHG >KN539162.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539162.1:135101:136631:1 gene:KN539162.1_FG007 transcript:KN539162.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKESSSPTTTTTTTTAATRTSMRLPPQHQALEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLISHWSSSPASAASGDVSVTSSPAGLVRQVASPDADPSAALRQLAAYLSDDDVDEFEKNALVGAGGAAEAVASVLRRKGEREVGVEGCEAAVRVLAAVVAMDGVEDANKRRSGCSPAARQMALDLLKIYKVNAKSCLAGYDSKTTHIMPF >KN539162.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539162.1:46822:50075:1 gene:KN539162.1_FG008 transcript:KN539162.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGLKEFERRSLSSEGFGGFRGRGEFVEKFKLSLKSLNKESQESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKIVETLYSKRNGQLIYHSLSADRSLIGNENMTDELDLRIARVLESTGHHTEESFWKDPAKYKLSDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNITFSSPEEQENYIRNWLQERLGFEAIFKISFYPGKFSKERRSIIPARDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLKVGEKIAVDKEHGQQSFTKGAYFLGKMVWAKGYRELIDLLSKHKSDLEGFNVDVYGNGEDSQAVQMAARKLNLSLNFFKGRDHADSSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMASEPSPLTPEQRYSLSWEAATERFMEYSELDKVLNNKIGYSGQDGKRSKVRKMPLLPRLSEVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >KN539162.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539162.1:22104:22277:-1 gene:KN539162.1_FG009 transcript:KN539162.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAQNAVGAAFPGRFEDFRYGPGCSCLPRLAYWAVLKSAKMKDFLFHFISNVLAI >KN539162.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539162.1:3639:6684:1 gene:KN539162.1_FG010 transcript:KN539162.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNTARVAGIKPEVAITIPKRCKLANRPVGYKCGGTYCETKEGEAQTAEEITEKYGLEFGLWKVFSSKEEGEEEGKTRKSRTEQAKELLAKYGGAYLATSITLSLISFTLCYLLVSAGVDVQDLLGKVSCLPALLARAAAAHRLAAVAHEALPCFLPQVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGRIKKGGD >KN539162.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539162.1:96085:99019:-1 gene:KN539162.1_FG011 transcript:KN539162.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSESDRDDIFFDAFDDITSTREPSLSDDCSTSDEGLASRRFEYDIWGNEPMSVEERRQRFLKGMGFDEFLATRVDFSQPQGEITTVGPFADLGLEESTTSDISSVNSSVPENESVSDGSCCIGDIDSGERYTVQNDGYGELTSMLKDVASHKVMSLLEFDGVPGLSQSVQKLLRKVYSSSMEEKKNVFNKKKGVKSLWKSFMKNRSFGGICKHDVNVKNCTIGIPSRTKVQHRKKKTMEFSAVHMGQEIQAHKGLIKVMKFSPSGWYLATGGEDCIVRIWQIMEVEASSKLHGGDNPQNYDDKITIIKTELGRGKNHALAVVPKKGFRISETPLHEFQGHTDDILDMAWSESDYLLTSSKDKMVRLWKVGCDGCLGLFKHKDYVTCVQFNPIDERYFISGSIDGKVRVWDALDKRVVDWADTRKIITALSYQPDGKGFIVGTTSGECRFYDQSGENIQLDKELFMQGKKSAVRRVNSLQSRSSDSSRITITSTGSKIRVADGVDIIQKFEGPWNLKALSSPSLTSDGRYLISAGLDSNVYIWNFDIPSVADHKGEAKLVRSCEKFFSKDVTTAVPWPGLHQERQQVKASSSLTEEPVSSPILRRHGERRSPAARCFADGMKGTPTWPEEKLPPAKAADAPRLSDCLSTISPAWNTVIVTASRDGVIRSFHNYGLPVRL >KN539162.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539162.1:27711:29704:1 gene:KN539162.1_FG012 transcript:KN539162.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLQTLAVPAGSLALSLTCAFDDSALGAVNQSMKASPDTTDGNRPAPSCWPLLGGSHLLSRCCGGDCSAATTTHEHDTKASLPRSFVSSSSLPSWLQHCRDQQQLQESTHFADLGKTWGSICGKPSQRMTLHFSAPVSPASSISSYEHGHGHQQQQHQPHHSWLLADLDAKHPWKPKREDDDDEEKAKSHDDCSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDRSRSTEAKEETWLFFLGGDAHGKERVARELAGLVFGSRKSFLSVKLGASSSSPSASGSTEDHHRSKRPRTTTTSSASEAYLERLYDAVSENQHRVILIEDVEQGDHRWQVGVKEAIDRGVLRSQAGDEVGVGDAIIILSCESFEARSRAGSPLMSKKMKVEKEDQANTSDHDHKEIESGAPSSCFDLNLDMESDQAADELSSGDVCLLTAVDRVLLFRRQDEL >AMDW01085632.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085632.1:131:694:1 gene:AMDW01085632.1_FG001 transcript:AMDW01085632.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMEVDDVGVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKYYPVVV >KN544231.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544231.1:159:206:-1 gene:KN544231.1_FG001 transcript:KN544231.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRRGLATALALH >KN540696.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540696.1:26015:34562:1 gene:KN540696.1_FG001 transcript:KN540696.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKIADFGLSRFITEEKTMTTETLVGTIAYLPREYIEKKTISNKLDIFSLGVVMLEIIAGPGPTGRFRSTKIPSQEFTDQVLVHWKTRLQTRRNGSLLEAYCQQVKTCTDIALKCLETDRENRPNIVEIVNQINEKEAIIGELPIDHALEKLPSHNSESISLESRSASHLNLSDTKENQEVDHYNSSCSKEKEEDHEEDQIIPMVHPDVPIDVHPSEPWILTSNKKFRSIDILNYNTLLDNMHLSASFKLFLKIGLVTAAKFIARKQWFVVGDDYGLIRVYTYNSPVEKVKRFQAHTWNITALDVHPTEPYLLSGSEENIKLWDWNKGWECISKFDRDRKAYQIKFNPKDTHKFAVASLKDVRVWNIRSSRHEFTLSGHGSKVDCFDFFTRGNQLHMITGSRNKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHEKPGPSNR >KN540696.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540696.1:36541:38928:1 gene:KN540696.1_FG002 transcript:KN540696.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASSDGETNSSEVPIAATYIPRDVEHLGVAMALEWVADKEEETTADLLDVPPKYLEEYSSHNAGQVATLCSQLRSVQGQGIPPAGCGVPHDAANLWIKIENKLLRSCHSALTVEEIILSSKIKKCASHMIQSEGESSAAAAGLVGIAKEARKLSYLLSEDDPDMCLKYDMCEYIRAYAAEVLTKFEEEFSCNTAGHAAENGITASDKSEKPIGVSTVGDLNCEQADSIGKNEHDLKDSNVKWNSNLKKLKKAQKKRLKRAEKRRLRREKKRLKREEKRKLEDQTKG >KN545156.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545156.1:213:1565:1 gene:KN545156.1_FG001 transcript:KN545156.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSPAAFSLVLAVTVAVGLLGGALHYMLTRKREELAGEVVHDLQKKTRADDEPAEDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQSM >KN540235.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540235.1:50546:50866:-1 gene:KN540235.1_FG001 transcript:KN540235.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMLLALIEGAGLMLNRVLATPPPLPVDDPNLPAVMGGGGGIPGIPQVPVAPPEVASSSGGGSGWFGSLFGKKEEEKKASPSGGKSEMLESFDTPSTPIPTFEYK >KN540235.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540235.1:42661:45957:-1 gene:KN540235.1_FG002 transcript:KN540235.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSLLLLILAATLSVAVAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQTYRQIMAPGWTVGWTWARQEVIWSEREGTRLAVTGKKHDANANAHGRGNGGAAAAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKITIVNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVVSYGSINDTAMFYGLKYFNDQLMEAGPHGNVQSEVLMRKDARTFTFRQGWAFPRKVYFNGDECQMPPPDSYPYLPNAAPPPAAASLVGSAVAMAALVYLH >KN543268.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543268.1:1259:3218:1 gene:KN543268.1_FG001 transcript:KN543268.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPSEVEVLKLMESA >KN540235.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540235.1:33413:33854:1 gene:KN540235.1_FG003 transcript:KN540235.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKCNGSRASSLVTLLLIAALLFPAVCYAHVEAKTVCQETEYGCTQEKCHQMCLGDGRTVASQYCRHYDTQCCCTYELQANDNDKKDDGRLHA >KN540235.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540235.1:7731:9615:1 gene:KN540235.1_FG004 transcript:KN540235.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFGKRHGDLSTQDGELLEEMVTEGYDLLGKFNWADHLPLLRWLDLQGVRRRCNRLVQKVEVFVGKIIQEHKAKRAAGGVAVADGVLGDFVDVLLDLQGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVMHPEIQAKAQIMSLELHFDADLHGKKPPFCDIWLTFLFLIRGKITKRCFTVDPSLPLLWICLMA >KN540235.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540235.1:26819:29350:1 gene:KN540235.1_FG005 transcript:KN540235.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYRITKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLSMGSDGHVASLFPNHPSLELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDDKSEAVHLAISDNADGAEAPSALPARMVQPTDGKLVWFLDKSAASSLDAENDDAFEQHREY >KN540235.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540235.1:49641:50165:-1 gene:KN540235.1_FG006 transcript:KN540235.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQQARPSMERDPCPDRILDDIGASFGMGAVGGSFFHFVKGLRNSPSGARFAGGMEGVRMNAPRVAGGFAVWCGLFSACDCALVSVRQKEDPYNSIIAGAATGGILAARQGLRAVACASLQGAVLLALVSSFGIMMNRLPDAGSMPVNKTETCKEPQMPETFDVPSTPPPSFEYK >KN540235.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540235.1:13623:18017:1 gene:KN540235.1_FG007 transcript:KN540235.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGIATNLVVYIRSVLHGGIASSASTSSLWYALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVVQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECLTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQEFPSALGHDTLDAYLLSLARAGRAADAVKVFDELPPQLRTRQALTSLVSSLSAEGWPSHAEGAVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCICRVCRKKDPLRMPAEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIKSLYQAARISEGDEMMTWMRSAGFGDKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGTKSYSLLIEKLTRHNLGDRANALFREAVARGVTVTPGVYKIDKKYVKAKKEKKIKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMVRV >KN540235.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540235.1:39389:42568:1 gene:KN540235.1_FG008 transcript:KN540235.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLRMGVSVALLVSFFSSSLIPSSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQSPGWKLGWVWAKKEIIWAMNGGQATEQGDCSKFKSNIPHCCKKDPEIVDLLPGTPYNMQIANCCKGGVLNSWAQDPANAMASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGSAKVVRPTKFFSQDGRRTTQAHMTWNVTCTYSQIVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNKPGGCVEGNSPYLASVVNTHNKDSLTPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFKKDPKSFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASTRVMSSILLPFITIWTALTFLMVYA >KN543268.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543268.1:6747:9008:-1 gene:KN543268.1_FG002 transcript:KN543268.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GKSKDLLTMKQNEMEWIEYRSKLKDVIESSKLPRSIFYDLRGNHDSFGVPSPGGDHDFYQKYSINAILRRHGRVQSITLENNGWKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLIELDQSLSQFGKNLKRYYHRSTEESPLSEYYYQFNMHQGYEIHSDKESCSKEAVHAEEEFWEWEMGDWRKSRSMRILAIDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRATASRDFKCQVMGASTFDTVRALVFSRHEIVSVSVKIYDSRSGHLDVVFDSEMKRVNANETRGDMYLVPWNWRAFADPSPNRYWLQIEVMDITGDASVSQLRPFSVNGFASKVSWTWKEFFVMGIQWALVYHPALWCVLALIFTLLLVPRASVLLFKDQFTYKYLRPNGSQRVLLKYLVGGFIWLFVEFSRVTIVWSLLLVYMIYLLVFPWLFGHPITEDSNLASMTFRGWILGKSNSANEVFHAGTPDVMVIVLPHLCFVVLPTIVILPAMAAERTAYREQYLSQSGKKKDDHYQKSRRQKEHDNFWNSRWTRKFLFLLCLAVLWKHWKHCRALVKAYAMNPVIHSPVHFFFIPGLIAFAIYKTSSL >KN540778.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540778.1:8015:10707:-1 gene:KN540778.1_FG001 transcript:KN540778.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSVSVLHEDDCDQVKFFEEPRKNLQSDDENVDERPGWLPDGWIMEVYRGDDGTICRYYICPFSRITFTMKSEVLYHLFSEMDQRFLESKNCSVGNNLTRTHEWLPKGWLVEIRVGGDNMDKMYKFYVYPPDRVRLFSKDDVLLYIKEMNISEFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTNTRRIRRDRHESLRKRLNLRGMNQLRTQSSKLQKLSLKEEILSDEQGSSSDCAIQYNFTTIICFKFYVIKNDNN >KN540778.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540778.1:26104:28611:1 gene:KN540778.1_FG002 transcript:KN540778.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSVGILDDDDRDEVKSSEETTKNLQSDDDDVDDRPGWLPDGWIMEVYRDDDGTIYRYYICPLSGTTFTTKSEVLDYLFSEMDQCFLESKNHAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKILAILEFNPEGLPQGWVKEVVFRKTNTGKIRRDRHYTDPIKNYVFCTKKSAALYVEIGKHESLWKRLKLGGTNQLHTRSSKLQKLSLKEEILSDEQGSTSGCAI >KN540009.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540009.1:41457:46191:1 gene:KN540009.1_FG001 transcript:KN540009.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLCHFLVECEVAIIGTSKRTVGHFNNIIAQQSDTRLLCEDIRFRLLGNRLVYASDSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRSSSYRPMGRGVHCGNPAHLVTLLRAAPPPAPAPPAPPSPPPSLPPSPPPAPGSPESMLEREASEADGGPENMTLALALAETETEKAMPPTPPKVAEAAESPTGSPQKESALTIAKLLSGEDHAGTETKPVYTFCECVGFYLLGVPVGRGSALLRHGETHNSRPIREVLAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASASMAFLAFVALGFSSIISAYHVFSSVF >KN540009.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540009.1:59:148:1 gene:KN540009.1_FG002 transcript:KN540009.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GNAKYGGIMVWNRYYDVQNNFSNQVKSSV >KN540009.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540009.1:23823:24464:-1 gene:KN540009.1_FG003 transcript:KN540009.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIQDLIFHRGALLQGFHVLTNKEEVLVYAHTAPHRPGAPLKLACTRYSLRPRDDYQPDDALPPTFIATRYLAESRGRLLMVLRHYTGNPVVRRRTRMFRIFELTFGEPAEPRRAATPCWWVEIPELTGRALFLGRSCSRSVEVAQFPMLQEDTIYFLDDANLDLSMVLNNGSTYCNVDMGMYRKGEKIRPGARQFPREFTADCSPPIWLVP >KN540009.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540009.1:14845:15943:-1 gene:KN540009.1_FG004 transcript:KN540009.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGVGDEEAEAEAAAAAEVTGRGGARGDVGGGGGGAREAAAARTGGERAAAMRACGRSGNPRRSGLASTQQVNNSAVPANSEVRLRFQVACLFGSVSTENTLDVFMDGDTTPIHTTITSSHSLAAQFINEIARERPQGGLIVGIDTEWRADHLPDGKACYKIAVLQLCVGRRCLVFQIYQAGNMVPRELAEFLADPSVRFVGVAVNNDVQRLANDCNLRVACAVDLRYAAAAVLGQPELARAGLKRLALTVMGAHMEKEKNITKSRWGEPTLTWEQVNYACIDAYVSYEIGRRLLSGEPIPAAPL >KN540009.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540009.1:47012:53555:1 gene:KN540009.1_FG005 transcript:KN540009.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKPPPSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPSKYWVESSQKRIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRIIFEWHRATKYGGKSLGEIILMGAVEECTHKQVEVWCQDLAMGGRRVEINTNKCGTHPTPSKPYYVDKIDTSEQKIVHYQVNCRPARDRNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSQDPEYADEQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTGNNVETCGILGASFRDGTYYVTMLIIPKQEATAHSCQAVSEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQIL >KN540009.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540009.1:2377:8244:-1 gene:KN540009.1_FG006 transcript:KN540009.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G52920) UniProtKB/Swiss-Prot;Acc:Q9FLW9] MQIRSRYPRPIRLPSPAKEEENFQHLKAIQQLATSANGVWSKPNVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMLDTKSYTEYDVLMLDCFFAVYASSGGIGPEVRSGDLPQPIMLETGQEFTFTIKRGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATMSGGETPANLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKQNLRPDDNTTLALLASFNCELEIV >KN540009.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540009.1:38280:38873:-1 gene:KN540009.1_FG007 transcript:KN540009.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDTYVTEVAFGDAVITTTVTSSGAAVEGWLREVRAAYGPGLIVGLDVEWRPSYGPAQNPVALLQICVDRRCLIFQLLYADYVPRSLHRFLAGAEDSFVGVGVDKDAERLSDDHGLTVAKTIDLRPLAAQRLGRPELRQAGLQAVVRAVMGADLVKPQRVTMSRWDASCLSNEQIRYACIDAYVSFEVGRRLLCT >KN539022.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539022.1:100471:101401:1 gene:KN539022.1_FG001 transcript:KN539022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSINGVHVIGVPVTAKAFGIEEEVSLARGQSFRKADGDHLAVSLSHPSPYTSFGYKHSSKGQVIHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGAKILQAGGIERVFRKAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPVTVTSAKGDVARVPYKVVVPLRRIAQVRPSENADKPEEKYIHVVTVDGFEFWFMGFVSYQRSCKYMQQAISELQ >KN539022.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539022.1:58466:67268:-1 gene:KN539022.1_FG002 transcript:KN539022.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDEDGNESIDVKVQKALDCPCVAELKNGPCGSQFVDAFSCFLKSTEEEKISGNVQLIWAFSPMPYHCRKCVCVSFYYTNIKSSLKLFVDLIEHKGSDCVKPFIALQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRAPAWFTSPRTQKKRRVSKNIFLLKSLDELFQKGREAVDFPALQELMEKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLAGFTEQGFKRKLAVQTLFGKILYLSERPMGFTLGHRIHERHALLLEVVMTFGLVYTVYATAVDRSRGVGDIAPLAIGFVAGANVLAGGPFDGAAMNPARAFGPALVGWNWRHHWVYWLGPLIGAGMAGALYEFVMAEQPEPPPAADTQLPVAAEDY >KN539022.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539022.1:31257:32823:1 gene:KN539022.1_FG003 transcript:KN539022.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPAREKGRAPSPVPAASGKRYPSPRSNSPARAGAAGNENAAAQPAHGPSLSRSSSRKAEHSPYRRNPMAELDENTLGNHHSCNNNGRPQKKPTESGGALPQKVAERAKDQVAASRTAAKEKQEIVEVPVASSDTKVGNSGRMKATHSVSIVAETVVNQKGRSSRRSSRDFDNNGNSYASLLLEDIQNYHQQSTGSAAAPAPAFSLPACVSKACSILEAVADLNSSSSENKSFELDRSANDKCSANGRYGDGKVAGGGTLVVESEVVVKDDLMEPSLHKYVSVRDIRGEAEPQESAGSNSFAGNAWTPSWEPSSVDSTDRTWTASQSNNGDEVEQLSSGAVSPLELSWQSKQKLPSQEPSGGRSRVGSTGIAQRGRSAHRGGGGGGAVNARSDVRAAPE >KN539022.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539022.1:146786:151395:1 gene:KN539022.1_FG004 transcript:KN539022.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALLSLSLAVAFLAAASAAGATGASRSMRRPEEDLVAGLPGQPDVRFRHYAGYVGVGSGGGNGKALFYWFFEAEKEPEKKPLLLWLNGGHYVPQLAELIYDGNKGASRDRVINIKGFMIGNAVLNDATDQMGMVEYAWSHAIISDELYSAVRRECDSFKEEEDGGKPGKGCSPAVRAFLRAYDDIDIYSIYTPTCLLSSSAPASPRRSSPGLVAAPRLFSKHEAWRRMQRVPAGYDPCTEEYVKGYFNREDVQRALHANRTGLSYPYSPCSEAISKWNDSPSTVLPILKKLMGAGLRIWVYRCTARILEAPTIETHSADICLVNERVRL >KN539022.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539022.1:20693:21100:1 gene:KN539022.1_FG005 transcript:KN539022.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQPQPPAPTSRQEGCSGGDAAAPYPAEMLHAPAASGGMWVAPDESWFSTWGPGSSFWDDYDMDSARGLFLHPRFTGDETSMDHSGTQETVPAVAATAAGMSMPCDDVPVTSSSSDLPPQGTPQTPTFMWKED >KN539022.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539022.1:153693:154349:-1 gene:KN539022.1_FG006 transcript:KN539022.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPASDIAIHAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPEEAHGWGLIDEVIEHRPVSLVSDAVGSDLPNLGGGGDGANKATDEPSPA >KN539022.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539022.1:142825:143049:1 gene:KN539022.1_FG007 transcript:KN539022.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEVIVTGVMTNLCCETTARDAFVRGFRVFFSADATATASQDLQEATLANMAYGFAYIVDCQRLEAAFGKVK >KN539022.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539022.1:107865:108886:-1 gene:KN539022.1_FG008 transcript:KN539022.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVQTTPSPSHEVDAETDEELYKQFTDLVSSWPSSEPMPFLPLYRHDKGWYSSLMPMVGAMVADARVPDLGRLPDPRLFATHVPFTSLPSAAAASGCKVVYLCRDPKDNLISMWDFANRYEEMKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDHMIGLEATKSGKTELVVGTTANSSFFRRGQVGDWENHLSPEIAQRIDAITEARFNGSGLRPSGTN >KN539022.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539022.1:53988:57945:1 gene:KN539022.1_FG009 transcript:KN539022.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATADSRSGIKLFEACINSNLRSFLHSVTPTLEPYTVAKPGGYSGRVPELGRCFFLVDLWNHFYPLSAYGVGTPVRLPSGQEIEQYFVPYLSAIQLYTISDFTSCNEIVVGNNWFDANNYGWCSAADNWNGQYATTSLARYDSPRSMNGGPCFQYFECDSPYERMPLADKVYELCYNFPPLSYLSSIELSPSSWMSVFWYPIGHVPAMNKKDLTTCFLTYHSLSTLEDRTPFDSKDPLTLPPIGLATHKTDGDVWTSANSGDQELTTSLVGAADSWLKKLDVQHHDFNYFLNSNRNLIHYRSLTEASTSAV >KN539022.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539022.1:34426:36416:1 gene:KN539022.1_FG010 transcript:KN539022.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGRVCHVYLTPKHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARSAVVQDVPISRPLSRSDVERLQAALDSAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNLSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGIAPGGACLTVIFQYFIPGTRLTDKSISFYCRLPVLDPGS >KN539022.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539022.1:72877:76525:-1 gene:KN539022.1_FG011 transcript:KN539022.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLTSCAVWCTGSSTANLLQALEDYLPVLLGLVKEGSELRHGVQFVWTNQEDNAEANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAVAALQASKEFLKESKRASEAFHATPPTSRQDILPFEHFAFQIFLCFILSIRTLPRWSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDGRQ >KN539022.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539022.1:105452:105926:1 gene:KN539022.1_FG012 transcript:KN539022.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVLPCPLLVAQETDERRKGFPVRFTPWGGAAASEDRTIRVSTDVRIRFNAATICVQSTEWHVGDEPLTGARRVVTGPVVGPSPSGRENAFRVESVYR >KN539022.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539022.1:116001:118351:-1 gene:KN539022.1_FG013 transcript:KN539022.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAATFQVYRPMAMPTPAALPPSSQQITMPFTAAPVDAVLPAPRKAAAAQGGKDRHSKMVLFTCPLHLQQNADLEFAVPLKNIAAK >KN539022.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539022.1:122848:130184:-1 gene:KN539022.1_FG014 transcript:KN539022.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVARDVEAGGLDASTSGAADEKPSWFTPKRRHFCGIRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQGASTGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >KN539022.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539022.1:68946:71274:1 gene:KN539022.1_FG015 transcript:KN539022.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGQVVDRLMSASGPAGLYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGKEDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPMKATDPANITKVAFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGMTRDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPSKLEEAGPVSPFASSLAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRLLKWKVPGNWIERKTGISPADRNVLFRGIGQRMARSGFASFLLVGSYYFVIDQFL >KN539022.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539022.1:37579:42817:-1 gene:KN539022.1_FG016 transcript:KN539022.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQAGTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYYDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPLGKTPSLRGYVVDPELGPNES >KN539022.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539022.1:96041:96806:1 gene:KN539022.1_FG017 transcript:KN539022.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAACNEHVIGIPVSNRAFGIEEPDFPSGGAAAYHGEAKSSATARTSSRFGRTGDRLAQGIKEHVTLGPKLYETMKGKLTLGARILQAGGVEKVFRRWFAVGKGEKLLRASQCYLSTTAGPIAGMLFISTERIAFRSDRSLALTTPSGDTVRVPYKVAIPLRRVKTAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVSFQVTLKNLELAVAQAQ >KN539022.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539022.1:160924:161501:1 gene:KN539022.1_FG018 transcript:KN539022.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYRAVAAIPELLRKGVISESGEEVEKLGETLPAAK >KN539022.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539022.1:51463:52316:-1 gene:KN539022.1_FG019 transcript:KN539022.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVLLLPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPLGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTDESSEGSQNDAPQQIEIE >AMDW01036993.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036993.1:375:587:-1 gene:AMDW01036993.1_FG001 transcript:AMDW01036993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVYVWCVMTVQNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLLNLYLDGLLDKS >AMDW01007090.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007090.1:29:151:-1 gene:AMDW01007090.1_FG001 transcript:AMDW01007090.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRVAGYRVYGVEGKVKVSLKSSMRWIKGKCTRVVDGW >KN543137.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543137.1:7763:9318:-1 gene:KN543137.1_FG001 transcript:KN543137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLQLKKHRRNSEKKGS >AMDW01134467.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01134467.1:21:386:1 gene:AMDW01134467.1_FG001 transcript:AMDW01134467.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHEEEHEESSLQAAEAELSSARIELESIKAEGLRYTTSIERTRRETARVTDEIRRLTEQEKAASAQVKQLNAKLVKARSRLEAVTAADERADETISKLAIGDPSAAGGRRRGGGEGED >AMDW01006913.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006913.1:12:180:-1 gene:AMDW01006913.1_FG001 transcript:AMDW01006913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSCAHVVGVPVTSKAYAIEEATTARDGGKKVDGDRLAVSLTHPSPYTSFGYKH >KN543431.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543431.1:396:743:1 gene:KN543431.1_FG001 transcript:KN543431.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRAHAPCRDEVGEDEDDVAAGADDERALGAQPRDHGRRGHREEREGGVQDPHGHRAQVALLRPASSTDHGSGKAAIEISSRPIADEEDDEEEEGRDGTLTVLTELSRFCAAQ >AMDW01016347.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016347.1:28:264:-1 gene:AMDW01016347.1_FG001 transcript:AMDW01016347.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLVILLQALTGLLAILALWIVSHLAGDRPPPGPVVCATNGTSGCTVTNIYGSFSDRAICRAANVTYPRTAQLRL >AMDW01039451.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039451.1:34:909:-1 gene:AMDW01039451.1_FG001 transcript:AMDW01039451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPDRGRAVRRVVLRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWYGSFMIMIVACCILVIYLSLVYGIYVPDWDFRVSDVKNPNFGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHRPAWRRHK >KN541601.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541601.1:1434:2407:-1 gene:KN541601.1_FG001 transcript:KN541601.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTESHQGGEAAEQVEVKDRGLFDNLLGRKKDDQPEEKKHEEELVTGMEKVSVEEPKKEEHHAEGEKKESLLSKLHRSSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDHAGEHAPPPAATGFPAPAPPASVVTAAPTPAPAPVVTHGDHHHDTAVPVEKIEEEVSSPDGKEKKGILGKIMEKLPGYHKGSGEEDKTAAATTGEHKNSA >KN541601.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541601.1:23528:26002:-1 gene:KN541601.1_FG002 transcript:KN541601.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGPKDPNRRTWVVGCTPTPPPSELGFGLPMESDEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCAHVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHDMFQDRPPRPGIKKEFRWVKQEDGIEYEGMNKLRLLARRQETENMALIKIACEKVFSFGCSLPQNLLEEDKVLAKQQQELLDGIYKKYCILGSDVINDIKNLSRRYGVNLAGD >KN541601.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541601.1:19143:19520:1 gene:KN541601.1_FG003 transcript:KN541601.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPARFTTPSATPRHHIITTKPSSLRIWWVADLMRWMSRAKRRSASKQHADSDASSSSTSSSAATARPQPHVAVDPDERERKAAFERLDNLGRCIADVESIGEKVFRALVNTRVSLLNILSTSF >KN540033.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540033.1:61932:64051:-1 gene:KN540033.1_FG001 transcript:KN540033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDTSSSSSAAGGKKDKPMSVSAMLASMDAPASKAKPSKAASKPKPSKAPASSYMGDIDLPPSDEEEDDADLVAMATKPKAARATDIVLENFSVSARGKELLKNASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPRSIDVLLVEQEIIGDNRSALEAVVAADEELAALRAEQAKLEASNDADDNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAAKKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVANDDDDMKPADLSQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEQRSEIWVVEDGTVNKFDGTFEDYKDELLEEIKKEVEE >KN540033.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540033.1:36029:44738:-1 gene:KN540033.1_FG002 transcript:KN540033.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREWGRVSLEECSKPCKTYFLDVQSGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSISGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPSNYSLLSQVSNQQNVPSGSTSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNESSNMSDVKGGHMLSRPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAVTSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTNKVLLNLSTQQTQVSLIDLMALKQNQTCSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKVRKCNLKSIGSDVERCLSMRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSPKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >KN540033.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540033.1:21228:22283:-1 gene:KN540033.1_FG003 transcript:KN540033.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRAPSTRAIINEVNNSNSQRRHHIHQLHASYSFTRSSYPNKEHSSDLALFILRYLYHRSKSGRSSIGGARETEVSNARDGGKVGYSTRWMWWLRMSAEMEVAAPVPVHGKVNAVAQKA >KN540033.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540033.1:1155:7816:1 gene:KN540033.1_FG004 transcript:KN540033.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNKKAVNRDAITEEVAAAFAESNVIPERYYRPDEVHAGIVVGYDDDEAYELPVVDMGRLLDPELAGAEIAKLVSHGIDEQVVIGITSHTDGLSLTVLLQVDDTPGLQIKKDGRWFPVRPRQGTFIINVANILEVLTNGAYRSVEHRVLIHARKS >KN540033.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540033.1:17999:19537:1 gene:KN540033.1_FG005 transcript:KN540033.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >KN540033.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540033.1:50502:57003:-1 gene:KN540033.1_FG006 transcript:KN540033.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g13230, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13230) UniProtKB/Swiss-Prot;Acc:Q9LYV3] MYGKLGPLASARRLFDRMPERNMVSFVTLVQAHAQRGEFEAAAALFRRLRWEGHEVNQFVLTTMLKLAIAMDTAGLAGGVHACAWKLGHDHNAFVGSGLIDAYSLCSLVSDAEHVFNGIVRKDAVVWTAMVSCYSENDCPENAFRVFSKMRVSGCKPNPFALTSVLKAAVCLPSVVLGKGIHGCAIKTLDDTEPHIGGALLDMYAKCGDIKDARLAFEMIPYDDVILLSFMISRYAQSNQNEQAFELFLRLMRSSVLPNEYSLSSVLQACTNMVQLDFGKQIHNHAIKIGHESELFVGNALMDFYAKCNDMDSSLKVFSSLRDANEVSWNTIVVGFSQSGLGEEALSVFCEMQAAQMPCTQVTYSSVLRACASTASIRHAGQIHCSIEKSTFNNDTVIGNSLIDTYAKCGYIRDALKVFQHLKEHDIISWNAIISGYALHGQAADALELFDRMNKSNVESNDITFVALLSVCSSTGLVNRGLSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGRLNDALQFIRDIPSAPSAMVWRALLSSCIIHKNLALGRFSAEKILEIEPQDETTYVLLSNMYAAAGSLDQVALLRKSMRNLGVRKVPGLSWVEIKGEIHAFSVGSVDHSDMRVINAMLEWLNLKTSREGYIPDINVVLHDVDKEQKARMLWVHSERLALAYGLVMTPPGHPIRILKNLRSCLDCHTAFTVISKIVKREIIVIVGQGKHKEMKSIVEKLLNAFLLIIMRYLQMLDDFDDDVLRNRQVTKPSKVLVAAFLQFLKSCDNIVEASALEDDDLPDEDAHTEGKLNIFNPKQQEQKHFHTEVKKMTMMMALDHQSTRTLKSMTEKLLTKNRNTHQTPLLNRWRKKLKEIGKRKISYQIEKKG >KN540033.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540033.1:13301:15277:-1 gene:KN540033.1_FG007 transcript:KN540033.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDELPPPPVNEYSALKDSVLYSWAELSPGSFFLRLGSLWLITFTVLAAPIAAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSVNAPPSAASSKPSLRREELLRLPVEVRQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >KN540033.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540033.1:33185:35299:1 gene:KN540033.1_FG008 transcript:KN540033.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSLLAAGAVAVAVVLLLAAPARASNDEGDALYALRTRLGDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGTIPKSLSKLGSLRFMRLNNNKLAGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNNRLNGPELQGLVPYDFGC >KN539267.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539267.1:59222:67931:-1 gene:KN539267.1_FG001 transcript:KN539267.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQVISFGTLSKMFGLVSVMFQGILSCDSDRRDAIPEVPLRGSEGIAMEHQFFTKFLTTATLVRALNATTKHHDDLKIQVRLDGTRLYDGYMAEYDLDNDFSVVEVYSVRDVQVGPFQSALESLPHGEVLAVGRDTSGEIMVETVELNGDSRVSEDDRDLHCKISKPWEGGPLLSVDGDMVHRPEASIGEKSNSHPEVHGDFLNQEQLDLDSMGYPKLSSSMLGAGMILVNSFEDPFGDIHGEGVWRKFSRRASILNPNVVALASFNGFFIEWSGSKMILTSASLVRDSGDENKIDENLRIKVFLNNQCKEGKLEHCNLHYNIALVSVKYRALRPLNTSFDCKSSRVVAVGRCFNSGTLMATSGCLVPWTGTLDCQFLARSTCKITKAGIGGPLVNLDGNVIGMNFYDTRIGTPFLLWEEICKILASFETKSESGGDIGNSSGACFWKMPRDVKNKVNRWPVPKPRWCRPEDAESDDDDKLAFDDTGQLQYSYILGRKVKLLRLTIPISVPIVEAKSTDGPGVDPFAQRKQKKKRVEKQGKNRLENLKKAAKVGALPSHIQLAATSLPITGTKADLPKKSRKEDLENVAGMGSATASGGKFDEKLPGEKPPKHPGKHKKFIPVAEGEGMGNLGKQHNDKILMSLLARNSEQLDVCKANGLIALRAKRKGSPCQQDGDSQGAADIEIPDLPEDIWRLIHSLMPMRAAARSACVSRSFLSSWRCHPNLNFSSEAFGLNRNACGKEELAGLFYSKVDHILKRHSGIGVKKLKIQIYSDYSGKGSSYLNNWLQIGVKPGIEELIISLTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTQLKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLALQSCKETAFAPKLCSKFLCLRHLSIALIGFFPAYDYLSLASYIYAAPSLETFDLDVMQRNVQNVSIFAHPADLRSIREEQHHNLKSVTVTSFISVKSLVELTCHILESTTSLECLTLDASQTGFRCTTPGSKIGKCPPLDTDVLMEGHRGVLAIRRYIQPRVPSTVKLTVLEPCSCHSTEL >KN539267.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539267.1:44059:44637:1 gene:KN539267.1_FG002 transcript:KN539267.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGAGRPHPAFFRP >KN539267.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539267.1:10129:14118:1 gene:KN539267.1_FG003 transcript:KN539267.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVSTLDSTGPVLVHVITENEKESGGEFNSEITPDKEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEADNDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >KN539267.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539267.1:100013:100273:-1 gene:KN539267.1_FG004 transcript:KN539267.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCSSCTSLTILLTGATSSVNGSNQMPTSKISMIDRSIGFSLSSSSSDPVSSWILAFLIGYDNDEQQQQQEPSVLLASNNQKMHD >KN539267.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539267.1:6294:8632:-1 gene:KN539267.1_FG005 transcript:KN539267.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MAAFASAVRVLPSPPAAVPRRLRSDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGQGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLLLKRKERKFSVVHTQNAISPLALGDINTSIPSINLCDDIPCPLLLQPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >KN539267.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539267.1:106465:112026:1 gene:KN539267.1_FG006 transcript:KN539267.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEAGGAGEDELEMEAPSVSTVAIAVNGSRNSKHALKWALDKFVPEGKVLFQILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTAISEEISKFSVCKLVLGSSSSIFRRKNKGSKTATKICECIPSFCTAYVVSKGKLSSVHSATSDAIGTPESISSSTVSSPSSRSFSSSVPSEWGGTYGSANVSFHQPSLSSQRDQALANMNKLSNRTASPSGSGGSEISNHDDTVLTSSHSINSETRFSSSSSGNSIYKSFNRDRSFDNSDQASVSDMATNLKHSHDQEYLKLEIERLRVKLRHLQKLNELAQKESLDANQKLHKLGIQDIEDEIKLKETELTEEKVRRLIRKKEREEQEVARREDQLRNENAEREATKQSNGNQEGDENKTGERIFVRCFDEYNRGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEYMENGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNEAQELAFLALKCAEMRRRDRPDLSDHVLPALERLKDVATKAREMALNGHQTAPPSHFICPILQEVMADPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIMDWRTKS >KN539267.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539267.1:96141:97301:-1 gene:KN539267.1_FG007 transcript:KN539267.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHAAKKKKIPALMRQSMARNACATNTVNTKLVATVTLCPADLTSSGKISLGTSHPSGPHDHPNPATCAPWKNLARNKDSGSQRFD >KN539267.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539267.1:80910:81689:1 gene:KN539267.1_FG008 transcript:KN539267.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKATDSSQLIEQKFAMAKQSSHEAAIAGLKAATVTAVCTAIPTFASVRMSKWAKANLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKHSLEAAKARAKSEYTLTKSN >KN539267.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539267.1:35450:38861:-1 gene:KN539267.1_FG009 transcript:KN539267.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MLILQQSSASDGASAGSGSSWHPLPDCPAQEKCGNISIPYPFGIREGCYRPNGGFNISCKQGQAYTGGGLRLGNHHMVSSAKNRFTAIGCSTFAFIYGRDKNGGNGQFDPFTSLCGSFCFDEGSIEGGPECSSRGCCQVPISTNLRRFSLGFDNYNTTKEVLNFSSRSYAFVVEKDQFKFKSSYAKADNFMEELARGIPIILEWITGNETCEEAALEESYACVANNSECLNVTEAPGYRCNCTQGYEGNPYLKDGCRVVNTADINECNATRFPNSCKGICSNTDGSYDCICISIIFLIICISTLLIKIQRMKLEKEKQRFYDQNGGHILYQKIISGQVNTVEIFTEEVLKNATNNFDSGKKLGAGGHGIVYKGILRDNNVVAVKRSNFLHVTDAEEFVQEIIMLSQINHRNVVRLIGCCLEVEVPILVYEFISNGTLFHLIHGGSSSHVRRPVSLNLRLRIAQESAEALEYLHLSTNRPIIHGDVKSLNILLDDSYTVKVTDFGASRWLPKEAVQLMTMVQGTLGYLDPEYLQERKLTEKSDVYSFGVVLLELITGKTAIYRHDGDDKSLAASFLRAMEEEKVENILDTSLTGASMEELPLLQEVAKLGSMCLSTRGEERPSMAEVADRLKAVRVAWRDLLVSSEYEMMEVFVDSSEAPPSGNLSSAVFWTPDMQSLQVETLR >KN539267.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539267.1:15193:18230:-1 gene:KN539267.1_FG010 transcript:KN539267.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MACNMGGREKEMLCDRVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANDVADIVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRSGLAENKMEDLEIVMHDWNLFVEDTKSYYGVNMNVLTKAYRAEHEKYYLKSAIWNNLHPNQVIGQAAVIKEIDCLTATVDEIREVRAQVTMPIKLDMTRLAALAGWFDVHFRGSKQNPATQEVELSTAPDVNGGTHWGQQVFLLTPPLKVNEGDNVKVSFTMVRSKENHRLMDMEFTYELHESSGKQLPAITTKIYLE >KN539267.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539267.1:51827:52353:1 gene:KN539267.1_FG011 transcript:KN539267.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGTGRPHPAFFRP >KN539267.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539267.1:74549:75166:1 gene:KN539267.1_FG012 transcript:KN539267.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTRAHLDQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >KN539267.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539267.1:123750:125079:-1 gene:KN539267.1_FG013 transcript:KN539267.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGLDLGLSLGLGLTTAATWPAAGFCLNSGMAEQEVIRRDDVVAAAVAEDERFACSPGSPKQKVALAKSLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRWIKCKVNLVFDKCRIDPSVESLVVSKHKWELNQLLTVHLDWELVYRLVSYVN >KN539267.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539267.1:88723:89405:1 gene:KN539267.1_FG014 transcript:KN539267.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQRKPGDWNCKSCQHLNFSRRDYCQRCHTPRQDLPLGDGYVPGGVLTSLDIRPGDWYCNCGYHNFASRASCFKCGAIVKDLPAGQGGGVANGDFARALDSSAVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPREADTCSLI >KN539267.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539267.1:92880:95098:1 gene:KN539267.1_FG015 transcript:KN539267.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVATDWRDKDAMGDLAIAGHARALLEWHSTAKFCGACGSRAVPAEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIQVGEVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKKELEDAQWHSREDVKKALTFAEYEKAQRTNALKVNQICKGVEKRQSISADLKIESEEPAPMFVPGPYAIAHHLISSWAFEGAPKAPSSFSNL >KN539267.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539267.1:48295:48857:1 gene:KN539267.1_FG016 transcript:KN539267.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTRAHLEQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTARPHPAFFRP >KN539267.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539267.1:19275:25065:1 gene:KN539267.1_FG017 transcript:KN539267.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATGLEREEIAAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFSVAVDVARALAYLHHDCRSKVLHLDVKPENILLDDGFRGVLSDFGLSKLVGKEQSRVVTTVRGTTGYLAPEWLLGVGITEKSDVYSYGLVLLEMAEDDGSSASPRWTYFPKIAGDMAREGRVMEVLDRRVVESGEAVEEAAMAKVTIAALDSLTQPIAVADDGAGLRVTKEGTNSFGLPMTTTAPPLTELKPGHISAVSTTATVPLRLWAGVHDNECVAHVFMVFDLAQATTLGSFYNEDDGLLFDLIYARPTVVTRTSHPRGQ >KN539267.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539267.1:56726:57644:1 gene:KN539267.1_FG018 transcript:KN539267.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTFSFISRAHQLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >KN539267.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539267.1:113159:114434:-1 gene:KN539267.1_FG019 transcript:KN539267.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGRASTSPDSAAALSSASGKRERELERSGSGVDDDDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPASASAMQPSSSAAATLTM >KN539267.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539267.1:28924:31727:1 gene:KN539267.1_FG020 transcript:KN539267.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MDFISFQVYGRESSLFQPFNVPSNRPGHSTEKINSDKINKKISGSRKELGILSSQTKGMDIYASRSTAEAPQRRVENTIKSSSGKRLADDDEFMVPSVFNSRFSQYSTQENAGVQDPSTPLVAANPHKSPSTVSKSSTKCYNTVSKKLERINVSDVKSRTPLKDKEMETAQTSKNVEVEKSSSFQASKDMFGSRHAKVYPKMDKTDIINDSDEPHGGNSGHQAASRNGGSMKFQNPPVRRNEISSKPCSENTDSHYNLPQGGLEETGTKRKRLLEQHDAENIDDVSDSSVECITGWEISPDKIVGAIGTKHFWKARRAIMNQQRVFAVQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLGSKNKLVEENLKAPLLVATIDDVEPSLQQPEVSKENTEDSPPSPHDTGLGSGQRDQAATNGISKSNRRATPVASDNKKNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLSLPSTAGDFMNSAYGVPLPHQPQHMGAPGPPSMPMNYFPPFSIPVMNPTAPAPVVEQGRHPSMPQPYGNFEQQSWISCNMSHPSGIWRFHASRDSEAQASSASSPFDRFQCSGSGPVSAFPTASAQNNQPQPSYGSRDNQTNVIKVVPHNSRTASESAARIFRSIQMERQRDE >KN544527.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544527.1:147:3096:1 gene:KN544527.1_FG001 transcript:KN544527.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDEERPLLPLQSQDVGSEYTRDGSVDINKQPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTVFMIISFPVFIAGSRVYRFRNLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYEPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKMLLRMFPTWASFVIFFA >KN543387.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543387.1:735:1007:-1 gene:KN543387.1_FG001 transcript:KN543387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSSRRCPLVAWIEVGKMLATWIEGGVESGADEVKRKLSTKAINGRVEKGAVPDHEVESKPRAVAVGRSGSWFARPRGTRRVMRRAPS >AMDW01035278.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035278.1:118:501:1 gene:AMDW01035278.1_FG001 transcript:AMDW01035278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSPKPEKLTLKLEKYYPHPYEHIIGDELTERSFTCEHLKTVEIICMEDDEPLAKIVEGLFVENGMNSVRFDIKYWSQIPFQLPAFYRELYN >KN540166.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540166.1:9723:11331:1 gene:KN540166.1_FG001 transcript:KN540166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRYIRDIIMSCSIFRKRIWVFDGLPGFSARLRTVASKDGEGKEEMFVTDNGNYIVEMFFEDGIRGDLNDISDRLLRITGVVEHGMFLGMATSVVVAKKDGTVALLHKKK >KN540166.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540166.1:54913:56286:1 gene:KN540166.1_FG002 transcript:KN540166.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGIVRDVVVVDGRVKVADCFWFKNHQHQQQQQQQQPPDVINGGATSNVASRLRKVSIWRRMATWEEDDDWRRDYIFSVPDIIVDEDYALHLELLRPELQIDDATGRPTLRGLHITRPAISLNDDDKVYFMAKVDPWDKRGWVIAVDMRSKRLEDVGIFRAERVIGVDLSYTLCRISKYFSTSTGKTGHLKRQGQFCTEYPHKRQAGRELDGAICAPAGSDDGTSMDIEDIDDNMDED >KN539787.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539787.1:17604:25091:1 gene:KN539787.1_FG001 transcript:KN539787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPALPPGFRSAAALPVLTFEERNEEVEELEEATFVTPRKPKGKPKGKRNEGFLSIGGIRIYTEDISSPESGVGDSDEESESDYEGRDGNDDGDSDEEGSDVNEGGSESDEELSGSDSEEDLSIGDSSVDDEVVADYMEGIGGSEELLSSKWVAGMNLVDSDDDDEMDTDEDEDGFLKKVKGQLEGYALMNASEQYGMKRPSSADRLKGKGTAVRACDRDLASMRVMGLDDVMMVKDVRMANRLRKGAKVASSSSHLSRSWPNEGRKSKKYQSVPGEKKKHRKELIAKKRRQRMLGRGVDLDQINIKLRKMVVDQVDMVCFQPMHTRDCSQVQRLASIYHLKSGCQGSGKKRFVTVTLTADSSLPSSEGQIRLEKLLGTEPEDFTVNWENSKRPAQVKGLSAPGKLARNQTSSGKKSSKKQVSFAERPVSFVSCGTMAESVTETIAVATTSGEVSCEKIVESDSVKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGMMQPIQPIQRPKSLGLGVEFDSEAEAIKARSEPPTKARSEPRRNLRKVEIGGVGSFERHTKGFGSKMMARMGFVEGSGLGKDGQGIVNPLTAVRRPKSMGLGAKNKY >KN540166.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540166.1:46900:52462:1 gene:KN540166.1_FG003 transcript:KN540166.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIALPLVPYTIMRLCRAANVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLEPGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPLFTETVVKKIARKKIRSFQEFCDMPVEERATLLTQVAGLSDEGAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHQKANSDIDSGSDD >KN540166.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540166.1:25820:35024:-1 gene:KN540166.1_FG004 transcript:KN540166.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSPDADALRAAVADVLPRFLGSYTDDILAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVSWLWGYLSKKALTSADNSSIQHGLTNEIRNRSTKKNLQVAKALSEDTFIVNSDISSPQEHHTLQKHDSTEGQNVARRHISSTVTVTPERLVDDQCYWEGQHQKKDQRSSSGRNFSTLKSGVAVRTAQALPQDELRHEVCIGRNASARRFPLAVRSDDVLDPESMKKRGNVWDRLGKPAIKDRIGATEDDDMHVQNGLHKKAKLMVTEHELRCRMNSSTEGDLFDIENSRRYPRSYPDVNIVQAHEHTEKSNRSRLIGRINFGDIERNQLQVRDVIRQKSSPNLPARSVQLQSQNEFISEVKSSVTAASEPACNEMLDVKLKLKQVELDVLKLRSKQAQINNVKQGFLSAGPHANLDEDADSRTVLVTNVHFAATKEALSGHFMKCGTVLKINILTDAISGHPKGAAFVTFTDKESVEKAVSLSGSSFFSRVLTVMRKAEAPPGFLASVQPIGRPLQSWNSPPIQKGVSPRQIPGYHLQWKREQSVLEKSPASCPTN >KN542066.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542066.1:17263:18023:1 gene:KN542066.1_FG001 transcript:KN542066.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGMLCVSSSSSTSPASASVARGQRRRRVEYHPDVSKESDSGVHFQRINVAYQMVMGNMREAEERLEYWRLKYGLDDEDLDKYRNHLNDEDDDEWFDV >KN539787.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539787.1:42361:52465:1 gene:KN539787.1_FG002 transcript:KN539787.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVIPTYSDLLNQEFRGIPTRLNNIPHSREIRKYFYNDVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKLIQYGSYQVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGDYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKGCGVGVRGWGRRGGGKVNGKNRVVKYAADLMPHSFVGYGRDGWFGRLKPVQEVQWGRCISFSYVLCGKRIDLTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEKFVEKPTPDEITNAFRPRKNENEKSASGFWGFLSGIL >KN539787.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539787.1:26116:28139:-1 gene:KN539787.1_FG003 transcript:KN539787.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPVAPRFTFAIFLILLLRSGHSPAAAFYLPGSYPHRYRPGEALAAKVNSLTSPSSKLPFPYYSLPFCAPQGGVNRAAESLGELLLGDRIETSPYRFSMLKNATAFLCRTDPLPPATADLLMSRIDDAYHVNLLLDTLPVVRYVKNLAAPGVFVRSTGFPVGVRADDGEYYVYNHLKLTVLVNKPRNGTTRAEALMATADAVELISFAGGSKDGGGYTVVGFEVVPCSVDHDAAAIKGKKMYDELPARAAAGCDPSVVGMRVRANRPLVFSYEVAFVESSVEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAILLVILLRTVRRDLAQYDEHGGEAGLAPQADELAGWKLVAGDVFREPSHPVLLCVMVGDGVRILAMAVATILFAALGTGAVPFLLFAVILLLWAFVSVPLTLAGGLVASRVGHLEYPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELLFIMSSLWLGRVYYVFGFLLVVMALLVAVCGEVSVVLTYMGLCVEDWRWWWRAFFASGSVAAYTLGYAVYYLVFDLHSLSGPVSAALYVGYSLLMALAVMLATGAVGLAASFAFVYYLFSAVKLD >KN542066.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542066.1:234:1945:1 gene:KN542066.1_FG002 transcript:KN542066.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding GSPGVDLSTGCNTSHIDMSELGAQRCHCPFGTHEHSEVPSETKRSEAKPLETKPLEPKVSGVKRKVDAIPATTRSGKLQKPAQDWSCAICQVSATSEGALNEHLEGKRHKAKLAHCGASNAIKDGKSSLKETTVNKYDAGPSDAPKKICIQVDGAMHEVVQKSNYLWCDRCKVRCDNNVTMADHLRGKKHSGLNKVWTSINAVRMNKKKEQSAATWEETVNENESTEIPVEAKDDSAGLSTEEDETCRYEIPVKNRKNEGTDLATEVDQSDSEIETPVEIMREGLNMATDATDENVRMEDPLEIKKENSDETNLAPKEEQH >KN542066.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542066.1:7383:10864:1 gene:KN542066.1_FG003 transcript:KN542066.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRSGGGGGGGVRVCVTGGAGFIGSWLVKKLLDAGYTVHATLRSIGDEAKYKNTAEAALDAARVILRQCEESSTVKRVIYMSSMAATSPLKEDSTGFKDSIDESCWTPLAVDYPYRSARFDEYILSKLLSEKELLGYNHAGERRRPAVEVVTVPCSVVAGGTLQGKSTTGLDCVVSPVSRDEGSFRALRLLQRLMGSVPMVHVDDVCDALVFCMEQPSLTGRFLCSAAYPTLDDIVEHFAGKYPHLDLLRETETLPSVQAHTDKLGELGFKYKYGMEEILDDSVECAVRLGCLDASKLSLQG >KN542066.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542066.1:5904:6292:-1 gene:KN542066.1_FG004 transcript:KN542066.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGRKETAASRAQKEAVAAGVSVRESLQHAWASFLGLVRKVTARSEEEAAEADMRAAKMQVEATDEAEAKKEQLAD >KN542066.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN542066.1:3346:4827:1 gene:KN542066.1_FG005 transcript:KN542066.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGAQRYHCPFGTHEHSEVPSETKHSEAKPLETKPLETKVSGVKRKVDAIPATTRPGKIQKPAQDWSCALCQVSATSEGALNEHLEGKRHKAKLAHCGANNAIKDRKSSLKETTVNMYVAGPSDAPKKICIQVDGAMHEVVQKSNYLWCDRCKVRCDNNVTMADHLRGKKHSGLNKVWTSINAVRMNKKKEQSAATWEETVNENESTEIPVEAKDDSAGLSTEEDETCRYEIPVKNRKNEGTDLATEVDQSDSEIETPVEIMREGLNMATDATDENVRMEDPLEIKKENSDETNLAPKEEQH >KN542066.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN542066.1:11543:13548:1 gene:KN542066.1_FG006 transcript:KN542066.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRSGGGGGVAGDGVRVCVTGGAGFIASWLVKKLLERGCTVHATLRSIDSFQVGISNFHWGIINLDLLILNTRIYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAGVGAAGYRDFIDESCWTPLDVDYPLRSAHFDKYVLSKMMSEKELLGYNGGEGRAFEVVTLPCGLVAGDTVLGRAPETLESAVSPASRNEPSFAFLRLLQRLVGSVPLAHVDDVCDALVFCMDRPSLAGRFLCSAAYPTIHDIVEHFAAKYPHLDVLKEPEREVARVQPAADKLGELGFRYKYGMEEILDGSVDCAARLGCIDAAKLRPQEG >KN543916.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543916.1:4013:4306:-1 gene:KN543916.1_FG001 transcript:KN543916.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RGRKNKGDMTNTVECYMNEHKVTSEVAITNIDLLVEDEWKTINQARCEHRELLPAMQRVVNLCICVTFFYDKKKDAYTFSRDLKETVESLFVKPIQV >KN538687.1_FGP129 pep scaffold:O_longistaminata_v1.0:KN538687.1:211365:214222:-1 gene:KN538687.1_FG129 transcript:KN538687.1_FGT129 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLNLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESKRQHEKVPDDLVEQAKAAAQAALEEMDAD >KN538687.1_FGP130 pep scaffold:O_longistaminata_v1.0:KN538687.1:288894:291573:-1 gene:KN538687.1_FG130 transcript:KN538687.1_FGT130 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALGSLLTRRRFSPRAQAQAEAESSRGIFTQATAGRSARSLRALVKSPSVSPGSQIENFISCVHSQLMCSHLCMIQRDLAVGDGGAYGVVATIALAGLATILYFNESTDKSGGDLTMPTTTYLLMGAVKEPYPYLSKEAAIKAGFVDKDGTVQWASYLDHVNFQTHHGGMPPYDEEASAKEVSDWEEAVKQQDVNVDEATMKARFQDWMKEHNRSYSTEEEKARRYEIFKETAIRADKANAVRPMDVPFAPNGFADWTDEECNSLYSHPGSFDWERYIDHMNTMNANGGYIGNQDVIVSEAVKKKDKELAAKYAERRRRAANNQPEKRLTNI >KN538687.1_FGP132 pep scaffold:O_longistaminata_v1.0:KN538687.1:332768:336376:1 gene:KN538687.1_FG132 transcript:KN538687.1_FGT132 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNLWQIKPVRCRGFLEWAERYGPIVSVWFGSSLNVVVSTSELAKEVLKENDQLLADRPRNRSTQRFSRNGMDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAVTQPGSEHKPIVVRNHLAMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDRLTKKIIDEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQSLPYLNAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGANVMVNVWAIARDPKVWSNPLEYRPERFIEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHQFEWSLPEGTRPEDVNMMESNGVVTFMSTSLQVIAKPRLDNPDLYKRFPVEM >KN538687.1_FGP134 pep scaffold:O_longistaminata_v1.0:KN538687.1:265805:267937:-1 gene:KN538687.1_FG134 transcript:KN538687.1_FGT134 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKSIYTVGKELGRGQFGVTSLCTHKATGQRFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLVMELCAGGELFDRIIAKGHYTEHAASSLLRTIVEIIHTCHSMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGLHQFLHCCSLLELIVIVINGVPIAGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSIGVMLYILLCGVPPFWAGKLLLSTLVVAESEHGIFNSILRGHVDFSSEPWSRISHGAKDLVRRMLHSDPKQRISAYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLAKKGTKLTEAEVQQLMDAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLMDGREIKDIISEVDADNDGRINYTEFVAMMRKGDPEANPKKRRDVVL >KN538687.1_FGP135 pep scaffold:O_longistaminata_v1.0:KN538687.1:293782:297565:1 gene:KN538687.1_FG135 transcript:KN538687.1_FGT135 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPWMSLYRDQHLLAELLLLPLGGQPAPLDGDHGAVVLGDGAPVHSAVPALADHVPLVEPACPGEKSAVIISDYCLVRYSNASFAGAADERTVKLWWNTDNATQPERFKSLLGTLMGNLTDAAARASSPLMFAAGETDLPPFTKIYGMAQCTRDLAAGDCYRCLVGAVNNIPKCCDGKQGGQVITRSCSIRFEVYPFFDAQAAKEAMSPAPAPAPVTTPTGVNGSNHTVSKSVIVPVTVAVAVLLVVILLLIALCLCKRNRKPHKHMMIGSVDLGDEDEMRGSESLLYDLNTLRAATANFSEENKLGEGGFGPVYKGTLQNGQEIAVKRLSATSHQGQLEMKNELINRIYMDNSDTSRQQDLNWEQRFKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDADMNPKISDFGLAKLFNMEASVANTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLLLEIVTGRRNTCVHDSEDLLAFVIPAQIPSKI >KN538687.1_FGP136 pep scaffold:O_longistaminata_v1.0:KN538687.1:148403:150050:-1 gene:KN538687.1_FG136 transcript:KN538687.1_FGT136 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRH >KN538687.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538687.1:269039:272255:1 gene:KN538687.1_FG137 transcript:KN538687.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPNYPRRCLSRRPRANVPKDPARPRARPTSCSPDRAAAIASIRCWVSWPRARGVSDQHAIQCVGIGKPWLAWLRATTSQPSKLGFFLNLFFWPDFSRLLISAQAQEFNMVFASPGTDASTDPDIDKNIRMTLRRLAQNREAARKSRLRKKAYVQQLEDSRMKLTQLEQELQRARQQGIIISTSGDQQRSTSENEALAFNMEYMRWLEEHNKQINELRSAVHTHAGDDDLQSIVSNFMAHHEEIFRIKGLAAKADALHVLSATWRTPLERCFLWLGGFRPSDLLKLLADQLEPLTEQQLASICNQQQSSQEAEETLSQGMEIIQDSLAKTVASQLGRAGSSSPSPSNAADHTAAALGKIGDMESLLQQADDLRMQSLQKMQRVLTTRQSARALLLISDYFSRLRALNSLWIARPQQ >KN538687.1_FGP138 pep scaffold:O_longistaminata_v1.0:KN538687.1:221968:224232:-1 gene:KN538687.1_FG138 transcript:KN538687.1_FGT138 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGAVSSWGRNLEFVLSMYGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKAYKAVLCDVPFKYLSLLVICIAWFLSVIIFTSLLRAELNGCSLPPHPEATHAS >KN538687.1_FGP139 pep scaffold:O_longistaminata_v1.0:KN538687.1:244404:245750:-1 gene:KN538687.1_FG139 transcript:KN538687.1_FGT139 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIGNCFEYCVVHMCLALLHANLSSGATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >KN538687.1_FGP140 pep scaffold:O_longistaminata_v1.0:KN538687.1:299976:306399:1 gene:KN538687.1_FG140 transcript:KN538687.1_FGT140 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAGGRPLSGRARQVGRSREEELLGLLSDFSGGDGDSGESGRELSFSDLVVVEDTANNPSPRGGDGGGAAVAAGKQKAAATAAAGRPGARNRQALGDIGNVLNAHVVDGKIQLPEGINRPVTRSFGAQLLKKAQENAVAANKIVVQNPARKEPAPKPAKKVVPRPENAAKASTGAGVNENKKPSESEGAGSSSGSSALKYSRKKVVNTLTSVLTARSKHACGITEKPKEVVEDIDKLDGDNQLAVVEYIEDIYNFYRTAQICSETDSDVLAQLERRPTDYMSSQVEVNPKMRAILADWIIDVHYKFELMPETLYLTMYVIDRYLSLQPVLRRELQLVGVAAMLIASKYEEMWAPEVQDLIHVCDNAYSRQQILAMEKNILNRLQWNITVPTPYVFLLRFIKAAGGDKELENMVFFFSEMALKEYGMASLCPSLVAASAVYAAQCTLKRSPLWTSTLKHHTGFTESQLRECAKVLVNAHAAAPESKLKTAYRKYASEQLGRVSLRPPAVCLA >KN538687.1_FGP141 pep scaffold:O_longistaminata_v1.0:KN538687.1:344233:344664:1 gene:KN538687.1_FG141 transcript:KN538687.1_FGT141 gene_biotype:protein_coding transcript_biotype:protein_coding MTANHSGVEEEQDGGKTFWSVAVRGGGSNDINGRPIPSFANVGWSPVSCRSIDPSSSSLLDLAFISCGGGIMEYTWVGVVRPSPRCARTPRPSSHFFATVAALATGTPMPPCRELGGKVLQAGSHDSGEDSSIASDRRALRRQ >KN538687.1_FGP142 pep scaffold:O_longistaminata_v1.0:KN538687.1:338133:342122:1 gene:KN538687.1_FG142 transcript:KN538687.1_FGT142 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVCFHFTYISLLSIVAAKALELFLQDLCNRTYDITVQRGVKTVSSSHLKQCIHSYNVYDFLRDVVSKVPDMGTSDAGVDDKLGKRRKTAEDDSEEESKRTRNEAASQTSTGRGRGRGRGRGRRGGRVSEREIISAYEKFEENHEFPPGQFSKPSQLKVDVSVDGTDAIETKEATPLSNARASLRNIDLNIELTDYDDEGSAPLEVQPPAPAAGVVTTSSGPLVSEVNEEAKTKDFLGWQLPELTKMAMDPVQFALSSNHRLDEDEDYDNEE >KN538687.1_FGP143 pep scaffold:O_longistaminata_v1.0:KN538687.1:321212:323146:1 gene:KN538687.1_FG143 transcript:KN538687.1_FGT143 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCGGFMEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLELKKVRCQAKIAGTLGIVTSSIAYYVQGLVIQRTGPVFASAFSPLMMIIVAVMGSFILSEQIYLGGVVGAALIVVGLYSVLWGKHKETQEKQADTKLSLPTSKAAEAEEITCAGEDDGAGEDDDAERSKNHRASGGVRSSSDSNGHGASAV >KN538687.1_FGP145 pep scaffold:O_longistaminata_v1.0:KN538687.1:342984:343397:-1 gene:KN538687.1_FG145 transcript:KN538687.1_FGT145 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQQPRGVKVGGIHDAPAGRENDLSTVELARFAVAEHNSKANAMVELERVVKVRQQVVGGFMHYLTVEVKEPGGAKKLYEAKVWERAWENFKQLQDFKPLDDTTA >KN538687.1_FGP147 pep scaffold:O_longistaminata_v1.0:KN538687.1:194629:200870:-1 gene:KN538687.1_FG147 transcript:KN538687.1_FGT147 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGGGAAPVVGRKGTTRMHGNDSDPDSDRTQRYMSNSDDESFVDKDKDCRRGTVAPVLKKGPWTSWEDSILEKYIKKHGERNWKLVQKNTGLLRCGKSCRLRWMNHLRPNLKKGAFSKEEENKIINLHRKMGNKWSRMAVDLHYKYVLQPLHGGRTDNEIKNYWNTRIKKCKNNRWLLYPANVCNDALNEDQYGSVDPSVREKLTNNQLEDTTSMYSAPQFSDASISNILDRRLASKDYDSIEDQRNRIEVAAEYEIPLPVLKTTNNDIFPSASIFENHGISNGNLSALSTTDALQMELPLIQFDPNNQFVYSRAYATHLTNLALLNDQSEELLNNIDVLNYVVMKEELSGGSLSPTINMPCEAHNSMAASNELVREESRRRGAALDEMRTRVELRRARVEELLDAKEALSGEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHDLPNGRNLDSNTNGAHRTLSEENGSLPEDNGTHVLNVIKLPQIHALTFLGWQIGKERRKQKDICEKDLQRSAAVLGYAAHAVLLIASYLHVPLRYPLHFGGSRSYVSDCLPSAETASLASAERTCINMTEPKLTVYPLFVECQEDDSTKASYAIYLLHKDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYVYS >KN538687.1_FGP148 pep scaffold:O_longistaminata_v1.0:KN538687.1:217568:218322:-1 gene:KN538687.1_FG148 transcript:KN538687.1_FGT148 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSACSSRCLRLILGRPPRARLASAVEGFLWFKAVQTANKEAEEQDRRDGFS >KN538687.1_FGP149 pep scaffold:O_longistaminata_v1.0:KN538687.1:239235:241276:-1 gene:KN538687.1_FG149 transcript:KN538687.1_FGT149 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPDGALVSWDPDLVNPCTWFRVTCNADNRVIRLEIASNNIEGSIPPEFGNLENLISLDLCNNTISGPIPPSHGKLKSLKFMRIDHNLLTGPIPNELVGLSNLMILNVSNNDLCGTIPTSGPFDHFPPSSFANNPRLRYPGMIDDDDTGC >KN538687.1_FGP150 pep scaffold:O_longistaminata_v1.0:KN538687.1:227123:230225:-1 gene:KN538687.1_FG150 transcript:KN538687.1_FGT150 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPPPVPYVFRPQVPVAPLHIPTEFSPVFNNSWINESDESTNNHPQEKGIPTLITWSQGGNEVFVEGSWDNWTSRRVLEKSGKDHTILLVLPSGVYHYRIIVDGEPKYVPELPHVADEGGQVANLLDVHDYIPESLDSVAGFDAPPSPEHSYDLQLPGDEEFAKEPPILPPQLVMSVLGDTDNSKEQTPKPKHVVLNHLYIEKGWGSQSLLALGVTHRFQSKYRNTRFNFLLSQATCILSKGSTVTLKAMVGLYLRKHGDE >KN538687.1_FGP151 pep scaffold:O_longistaminata_v1.0:KN538687.1:282226:285591:1 gene:KN538687.1_FG151 transcript:KN538687.1_FGT151 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEAVLSAFMQALFEKAVAAASSELKFPQNIAVELQNLSSSLSTIQAHVEDAEERQLKDQAARSWLSRLKDVAYEMDDLLDEHAAEVLRSKLADPSNYHHPKVRICFCCIWLKNGLFNRDLVKQIMRIEGKIDRLIKDRHIVDPIMRFNREEIRERPKTSSLIDDSSVYGREEDKDVIVNMLLTTHNSNHVNLSILPIVGMGGVGKTTLTQLVYNDVRVKKHFQLRMWLCVSENFDEAKLTKETIESVASGLSSATTNMNLLQEDLSNKLKGKRFLLVLDDVWNEDPDRWERYRRALVAGAKGSKIMVTTRNENVGKLMGGLTPYYLKQLSYNDSWHLFRSYAFVDGDSSAHPNLEMIGKEIVHKLKGLPLAAKALGSLLCAKDNEDDWKNILESEIWELPSDKNNILPALRLSYNHLPPILKRCFAFCSVFHKDYVFEKDILVQIWMAVGYIQPQGRRRMEEIGNNYFDELLSRSFFQKHKDGYVMHDAMHDLAQSVSIDECMRLDNLPNNSTTERNARHLSFSCDNKSQTTFEAFRGFNRARSLLLLNGYKSKTSSIPSDLFLNLRYLHVLDLNRQEITELPESVGKLKMLRYLNLSGTGVRKLPSSIGKLYCLQTLKLRNCLALDHLPKSMTNLVNLRSLEARTELITGIARIGKLTCLQKLEEFVVRKDKGYKVSELKAMNKIRGQICIKNLESVSSAEEADEALLSEKAHISILDLIWSNSRDFTSEEANQDIETLTSLEPHDELKELTVKAFAGFEFPYWINGLSHLQSIHLSDCTNCSILPALGQLPLLKVIIIGGFPTIIKIGDEFSGTSEVKGFPSLKELVFEDMPNLERWTSTQDGEFLPFLRELQVLDCPKVTELPLLPSTLVELKISEAGFSVLPEVHAPSSQFVPSLTRLQIHKCPNLTSLQQGLLSQQLSALQQLTITNCPELIHPPTEGLRTLTALQSLHIYDCPRLATAEHRGLLPHMIEDLRITSCSNIINPLLDELNELFALKNLVIADCVSLNTFPEKLPATLQKLDIFNCSNLASLPAGLQEASCLKTMTILNCVSIKCLPAHGLPLSLEELYIKECPFLAERCQENSGEDWPKISHIAIIEIDDDSAMPDRSIRRRLC >KN538687.1_FGP154 pep scaffold:O_longistaminata_v1.0:KN538687.1:310100:311115:-1 gene:KN538687.1_FG154 transcript:KN538687.1_FGT154 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVHYHFDRLPMATDIADGDEVGNYIPGLKSIRFSDLEPTHTNKNMVDLILEAYSHARKAQCVIFTSFYELESNAMDALRRDLPYPAFSAGPCIPYMALQADEHHAGDEEEEPYMAWLDAQPVGSVLYVSLGSFLSVSPAQLDEIAAGLADSKVTFLWVLRGDSGARDVLRGGGGGGMVVPWTDQLKVLRHPSVGGFFTHSGMNSTLEAVHAGVPMLTLPIAFDQPIVARLVADEWRIGYGLRENGCGGVVGREEIAAAVRRLMDSDAAAAAKEMRRRASLMREASRAAVQEGGSSYGDVTSLINYISEFKN >KN538687.1_FGP155 pep scaffold:O_longistaminata_v1.0:KN538687.1:205583:207601:-1 gene:KN538687.1_FG155 transcript:KN538687.1_FGT155 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASNFIPGPYQELVDAKPIRSLAPMFPAPLGINVNQSSTPPLVCVTPVGQFPVGFGSGILPTFGSTTAFTTTANGVSYTGYTNNGAIDATPISAYKTRPGIVSLDGDEPYSGSASGRKSKRSSGSAADGSNGVKFKRPKPVYKNFVAGKELAFLPPSSSDPREVVEAVHMTFEALRRRHLQLDEIQETSKRADLKAGAIMMASNIRANVGKRVGLVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGADEDSVAICIVAAGGYENVDDDTDTLVYSGSGGNSRNSEERHDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKIQESWKERTKSGINCFKYKLLREPGQPDGAALWKMTQGWIDNPASRGRVILPDLSSGAEALPVCLVNEVDHEKGPGHFTYASQVKYLRPLSSMKPLQGCGCQSVCLPGDPNCACGQHNGGDLPYSSSGLLACRKPIIYECGDACHCTTNCRNRVTQKGVRFHFEVFRTANRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKFNFGPELIGEESTYVSADEFEPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQHDHGDDSHPHIMFFALKHIPPMTELTFDYGVAGSESSGSRRTKNCFCGSANCRGVF >KN538687.1_FGP156 pep scaffold:O_longistaminata_v1.0:KN538687.1:275942:279316:1 gene:KN538687.1_FG156 transcript:KN538687.1_FGT156 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGEAVLSAFMQALFDKVIAAAIGELKFPQDIAEELQKLSSSLSTIQAHVEDAEARQLKDRAARSWLAKLKDVAYEMDDLLDEYAAKALQSELEGSSRSRHLSKVRSSFCCLWLNNCFSNHKIVQQIRKIEEKIDRLVKERQLIGPDMSSTMDREEIKERPKTSSLIDGSSVFGREEDKEIIVKMLLTPNNSNYANVSVLPIVGMGGLGKTTLTQLIYNDPRVKEYFQLRVWLCVSENFDEMKLTKETIESVASGFSSVTTNMNLLQEDLSKKLEGKRFLLVLDDVWNEDPEKWDRYRCALVSGSNGSRIVVTTRNKKVGKLMGGVTPYFLKQLSENDCWNLFRSYAFADGDSSSHPHLEIIGKEIVKKLKGLPLAAKAIGSLLCTKDSEDDWKNVLRSEIWELPSDQNNILPALRLSYNHLPAILKRCFAFCSVFHKDYVFEKENLVQIWMALGFIQSPGRRTMEELGSSYFDELLSRSFFQHHKGGYVMHDAMHDLAQSVSIDECLRLDDPPNSSSSSRSARYLSFSCHNRSQTSFEAFLGFKKARTLLLLNGYKSRTSPIRSDLFLKLRYIHVLELNRRDITELPDSIGNLKMLHYLNLSGTGITVLPSSIGRLFSLQTHKLKNCHVLEYLPESITDLVNLRWLEARIELITGIARIGNLTCLQKLEEFVVRKDKGYKISELKTMMSIGGHICIKNLEAVDSAEEAGEALLSKKTRISILDLVWSDRRHLTSEEANEEKDILEQLQPHSELKELTVKAFAGFYFPKWLSTLTHLQTIHLSDCTNCSILPALGELPLLKFLDVGGFPSIIQINQEFSGSDEVKGFPSLKELVLEDMANLKRWISVQDGELLPSLTELEVIDCPQVTEFPPLPPTLVKLRISETGFTILPEVHVQNCQFSSSLTCLQIHQCPNLISLQDGLLSQKLFSLQQLTITNCAELTHLPAEGFRSLTALKSLHIYDCQMLAPSGQHSLLPPMLEDLRITSCSNLINPLLQELNELSSLTHLTITNCANFHSFPVKLPATLQILEIFHCSDLSYLPADLNEASCLTVMTVLKCPLIPCLSEHRLPESLKELYIKECPLITERCQENGGEDWPKIAHVPVIEIDDDYFIPNRSIRRRLS >AMDW01039112.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039112.1:4:243:1 gene:AMDW01039112.1_FG001 transcript:AMDW01039112.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMIVENRIVTGDELRELLHRFLELNAPHHHDAILRAFAEIWDEVFAGPDEPRHGPPRPPPRQRTPPRRRHPLPAWRL >AMDW01028146.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028146.1:3:273:1 gene:AMDW01028146.1_FG001 transcript:AMDW01028146.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FAHPRHPLTAVVASANPGWCDLCKGQIQPGRLVYRCAECAFDVHPLCTLLPMTIHPAAGCHGHVLNLVPARGECAACHRDCSIWHYRCGP >KN544942.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544942.1:381:1261:1 gene:KN544942.1_FG001 transcript:KN544942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IDKNIELDWGKRFKIINGIAQGLQYLHEDSQLKIVHRDLKASNILLDFDYNPKISDFGLAKIFDGDQSKDITHRIAGTYGYMAPEYAMRGHYSVKLDVFSFGVLVLEIVTGRRNSGSYDSGQDLDLLNHVWGHWTRGNVVELIDPSLGDHPPIEQMLKCIHIGLLCVQKRPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQDVSASDSSNPHSTAVSSNDMSITELVPR >AMDW01033142.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033142.1:27:317:1 gene:AMDW01033142.1_FG001 transcript:AMDW01033142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TITAKDFLTAVRQHLQEQHEASPLLGSVITCRRDDEVKDIILKLDSEKIHRIYVIDDKGSTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNST >AMDW01036848.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036848.1:288:616:-1 gene:AMDW01036848.1_FG001 transcript:AMDW01036848.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRDGKSSPLEPLTKVQRIYIGRLIEKHGDDYKAMFMDIKLNTMQHSVGTLKKLCERYHVVGKSIIHPLK >KN542855.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542855.1:6655:7158:1 gene:KN542855.1_FG001 transcript:KN542855.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWEEWFFIDEATSTAKERVDDGDSAMPKEKTLPPRMQILSRLRRLELSGCPKLKALPRQLAQINSLKEIELRWVSSLKVVENFPLLSEMLLIATCQALEKVSNLPQVRELRVQDCPNLRLVEELGTLEQLWLYEDMLEVTTLWVPGLQQQCRQRHGEDLDVYNWT >KN542909.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542909.1:1117:2833:-1 gene:KN542909.1_FG001 transcript:KN542909.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDPLTGDRRAVDVPALFHRWDMAVYHGSVRCVDGDGCYSKPFEVAVVGTDTSGTVAFICVYSSKTGNWGNVISPISPGDYMSFSSILDGDFLYWLLGNHGCPILQFNLVKQTATLVNAPPDLRTNSYGGFHIAPAEDGGGLVILAVTHFSLNVWKGKTNRDGIAGWVLQKTIELDRLLSFGTGPETWAPMILCFAEEHDVVFLWTHVGFFMVNMQSMQFKNIPQILKGGLYYPFSSFYTKEAAELLPPCDMSKKPKVPFAGALPDIEEYGSNIGEKLVATNSGPRHKKKINPKQTFYVGSLQVEIDPN >KN542909.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542909.1:7600:10075:-1 gene:KN542909.1_FG002 transcript:KN542909.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCRHGLVLLFNWVLHRLMVWDPVTGDRHAVDIPGSFLDGHGRSLVVVFRGAVRCVVDGGCHFEVAILGNHPLQTRLFTCVYSSKTGDWGNVISTEFYSAGYICHHSSALVGNSVYWLFQGDGISILQFDFDTQGLARIDVPPDVHAHVVTYCQIRIMPAEDGGLLLLVLPEFSLNVWKLLSVEPGRRGATPTILGFVEEHNEVLLCTDIGAFMVNLHSMQFKKLSQTMEPGFYHPFTSFYTKVLPSQFYATNFIGPLQNSVALALQYFSEKFFLSVNQFVSLQIA >KN539672.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539672.1:31374:36473:1 gene:KN539672.1_FG001 transcript:KN539672.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYELNEIDDTLHGSVGSRLSLFARELKSRRSSSSSWHGGTALRLPKDLYESLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPDQLLDLECVQLVFLADVAVHFFLAYRDPHTYRMVHDRRRIALRYIKGSFALDVLGCFPWDAIYKVTGRMEAVRWLVWVRLYRGRKVMAFFKRVEKDIRVSYLLTRIVKLITVELYCTHTAACGFYYLATTLPPAREGGTWIGSLSLGDARYINFREVDLLTRYVTSLYLAIVTMATVEGMSQTLYLDMVSRVGLFRGCSDDFLSQIVLKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVANGEDGSEEIISELRPYGIVGDVAVICNIPQPYTVRVCELCSLLRIDKQSLTSILQIYFKDNSQILSNLLKGKETESKRKQLESDITYLLAKQESELVLGVNNAAYHGDIFRLKSLISAGADPSKSDYDGRTALHIAALRGYEDIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDRITSLLVEHGAILNLEDAGGYLCRVVRGGRIDLLKKLLRFGISPNCRNYDQRTPLHIAAAEGLHLVASTLIESGADIQAKDRWGNTPLDEGRRCSSKPLVRILEQARTVATN >KN539672.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539672.1:15875:18705:-1 gene:KN539672.1_FG002 transcript:KN539672.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWARPVGQRLPLLNICMPLVHSFFYYSTIKSSMLKNFMLHCGNEEVEVLILLSVNTAVRDELDSLDSQRDSIEQRKEALRKKEKEMMKAQLQNRLAFIGCPDSQSNELTTFPCRNMLSMYIVDKNMKKLERFEFDKTTPPVDICNKLWKMV >KN539672.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539672.1:37065:44802:-1 gene:KN539672.1_FG003 transcript:KN539672.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVFLTWAAAIFFYPTKPAQAAFEGWMAATKQSLFGITGSIFLAFSAPILIVAALAYVYISVFPSDHIHVEILWGNLVFLVNFYSELSGMETLTVTYLFDIRKNKLKTLCFRLWTFPVLVDGPFGVVSAVEFIGIVLFIVYVVYSMTYYAVESVSLISKFGQISLTYSELLLYIIGLRFGSVGLFCMAFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIVWSLEGNLLGELAAWKEIGVANLPGVISLAAGLLMWVTSLHPVRKTYFELFFYTHQLYIIFVVFLAFHVGDFIFSFSAGPIFLFMLDRFLRFWQSRAKVDIISASCRPCGTVELVFSKPASLRYNALSFIFVQVRELSFLEWHPFSVSSSPMDGRYHMSILIKEGKPCMPKNVLVLWSVKKSNELSLLSAVDAQFISSSVSDKLHLDIQAFVTQESQPPLEEGIVGDDQKATGMFVKNGTTMSGLVGTGNNFWAGMYFAASTLGFVLAYALAQAYYVRRLNVFAWWHLGLVFVLCMAAGVALPGGLVVLLWHLSEKRKAEDDRWRSSTSSATLKENLCLYGLPNGTWAVTLPADEVPPELPEPALGINFARDGMQEKDWLSLIAVHSDSWLLSVAFYFGARFGFDKKARERLFMMTSSLPTVFEVVSGGVNTQSKTANGSSKNKSGSKPPKRPNSDSKPQKQVQAKYEEENGSRGNGGDEDQAETICGACGEAYANGEFWICCDICETWFHGKCVRITPAKAEHIKHYKCPGCSNKRTRE >KN539672.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539672.1:70877:72154:-1 gene:KN539672.1_FG004 transcript:KN539672.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSYLPATTESITKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >KN539672.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539672.1:5033:9478:1 gene:KN539672.1_FG005 transcript:KN539672.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALENAGLGKGSDGHAKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYKKISPFFIPYAITNMGSALLAMDSGFMGPNYSISTACATSNYCFYAAANHIRRGEADVIVAGGTEAAIIPIGLGGFVACRALSQRNDDPETASRPWDKERDGFVMGEGAGILVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITQSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSDIKINSTKSMIGHCLGAAGGLEAIAAVKAITTGWVHPTINQFNPEPEVDFDTVANEKQQHEVNVAISNSFGFGGHNSVVVFAPFKP >KN539672.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539672.1:51257:58488:-1 gene:KN539672.1_FG006 transcript:KN539672.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAQERETLLQNGNGGGWGVGGAKGSPPPAPSLGMTVLKVLMWVVFLTWVAAIFFYPTKPVQAAFEGWVAATRQSLFGITGSIFLAFSAPILIIAALAYVYISGFPSDHFYVEKNKLKSLCFRLWTFPVLVDGPFGVASAAELIGIVLFIVYVVYFMTYYAAGNVSLISKFDKTSFTDSDLLLYNIGLRFGSVGLFCLAFLFLPVSRGSVLLRLIDIPFEHAVRYHVWLGHLTMALFTLHSLCYVIAWSLEGNLLGEMAEWKEIGVANLPGVISLAAGLLMWVTALQPARRRFFELFIYTHQLYVIFVLFLVLHVGDFVFSLAAGPVFLFMLDRFLRFWQSRAKVDIVSASCRPCGTVELVFGTMLSVPSSFRYENLILVAGGIGISPILSVLSDIIHRVEEGKPCMSKNVLVLWSVKKSNELSLLSAVDAQFICSSVSDELHLDIQAFVTQETQPPLEEAIVGDDQKVTGMFFKNGATVSGLVGTGNNFWAAMYLAASTLGFVVAYALARAAYYVPRFDVAAVAWWRLGLALLLCMAAGVALPGGLVVLLWHLSEKRKAEDDSSSSSAHLFRSLEFLSDFVVAADDGGWQIPSLPPPPQSGRATLGAMEAILPQEGSGWCYQWRNSSEIRPLRESWPREQRGTARS >KN539672.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539672.1:578:2898:-1 gene:KN539672.1_FG007 transcript:KN539672.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWAGPDEIFLSTSLAGFLDSEALSLAGALVCFGFDFRFPDGMLDAVRGLRGSLDPVVGRNLLSYYEMDGSCLAHSAHLISLGACERVIVGELYCDVPLGLYVIRGENVVLIGELVWFWIEQDREKDELPAHMTCVSEAEIRK >KN539672.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539672.1:21525:21902:1 gene:KN539672.1_FG008 transcript:KN539672.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLGVRVERNPLESRLSELGVREWPKWGCEKSRFPWTYSAKETCYLLQGKVKVYPDGAGEDFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYKFE >KN539672.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539672.1:76343:80615:1 gene:KN539672.1_FG009 transcript:KN539672.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMIEDTPTWIVAAVCYAIVLISFAFKRSLHYLGKALKRRRRRTLYEALLKLKQELMLLGFISLLLVVFQDPIQRICIAESLMGHWLPCRGDGKASSHSRHGVAAASAAVVSGAGARRLLGEETAGSGHCSSKGKVPLLSLHAIEQIHIFIFVLAITHVVLSAVTVLLGLLQMRRWRHWENAIKADGDFGPKMINRVQQFKFIQDRYKGFDKVTMVIIWMRSFFKQFYGSVTKDDYTAMRLGFVMEHFRGHPKFNFYDYMIKALEKDYKRVVGIKWYLWIFVMIFLLLNITVKPVPGTLFRDETDHAAYNFLGEKLLLLIGTKLEHIITQLAYEVATKHTAVEGDIAVSPSDNLFWFHSPRLVLALLHFILFQNAFEFACFIWTMATFGFNSCIMDRLPYRVSRVVICVVVQVLCSYSTLPLYAIVSHMGSSFKSSAVFSDDVVDNLKEWADEARRHTRRAAADVGCLGAAAGSSRREGIHIQNM >KN539672.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539672.1:25161:25731:-1 gene:KN539672.1_FG010 transcript:KN539672.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRTAAAAMLACIAVVLASTAADGALLPWFGGGGARDEAVPELGLLAAADPFRILEHVPLGFWRQLRLPDNADLDSIAASLDNGVLTVRFRKLAPDQIKGPRVVGIAAAGGDDGGKKSIGGGGEEQNQQAKKVEL >AMDW01039296.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039296.1:246:824:-1 gene:AMDW01039296.1_FG001 transcript:AMDW01039296.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNWVSLLLLLFFMVAALSSFVATAHRELPMARKVDEIGDHLQAKLDNQASFVSVSRATAKAENDHQEAVMRKCKNGRKNCKNFRTRKLPTDADGKIHFDVHMPFTADYHSVRRHPPSHN >KN543203.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543203.1:1808:9754:-1 gene:KN543203.1_FG001 transcript:KN543203.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKPTATPHSASQDQKLEYQNILKTKIIRLPPPAPLASFSAAPFAMRTRFLATDYFAPSSSAAGRALALEFFSFPSLPVPALPPDPHFLPFTSADELPAATVADDGLDPLPIASALSDFLAAVIPQALPVPTVPAADEVLDDFLYDRGGYGEDFSSWESGAFRIPKASEILCLDYGYGVINREKDEKGEGTRSDGLEISSVMKRWEQLKELRFEVVEVDLLMALQEDIASFGEEESGGGVTLLLRVPDMKIHLDFIDIETDIKIRYQSDLAESVYQVEKVPVKDNDGNGHSSLRDCCCLEIAALDHGAVIPRLEVSRNSWELDDCLTETDRYGVFDNVVRHLDEAQIQHSVFKSTEFLRSTDMDMLTFVCEDAPCHDIQVDKPAEIKAAVEMDVVRINGNILLEKNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDNVFKMLVQSETTKMNISDEIFKDDFDPARRLYESMVSCELALVDDTFRSLPTPILNDDIAVRSRVPPIQEILCSLKPHPLSASDGIYLDWHLLLEGPCNREICCSYASMVEEAKTCHLSSELQRSCQSTSVFVSDFLEDFQRSPKLQDEDKHSDIYVPAPLSHDPQQLEATQKCEQEGGTRNHSSMKRPSPEKSSSFPELISHSGDLNFYLNVRSATKSGTNNENTSTLDVPHSEEQALSLSTRAKVDKLIEIHPVSPSNLIQGLIEQIHASYTSALQESTYWRHSFSDGQGLGISKQKLLELITGEGSEGSYNHCGHKDKMELIVLYALKQVAYYLCFFGLHAAHLYISNLTRSLENTPERLKHILWSISEAQRKSERQLFESHPSLSCIETILRSNKQIDQKILVVADRAFWLPLGQKLASMRMTFVEFGQNPATTFVDLVNKTNSTAWVLEELLKSDCILLDNKNIPASFPFDKFGIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKVDGKDFPVALAEDNHKDQDLKSTLDKVLLTLQKDLQERMNKMRIVDSLNFIPATNQLQGLQEKQSKHFSADATKELLPDDQPHRLQNLNKKNTFDSHNVVLADEQLHIQQTLSNKPVVSSQNVPTVEKSSSTSSVSANVLKDPQENQSATDLPSCVKNDCIMPGRLSVPDVVIVVNTGNHGKTMLVSRRSSYQQILALEKGGMQVVERDIDLPVDLILSAAVCLVWYETALFEANELTTSAETSGIKENVENIATNILMSVSFSFTGCIMVFEGEADFLSAVMDSSDSLYTAAASLDMNLQLFFSHTPRSTDEIILNCITNVTSCYKAPLPDIPESESLAESFLTSFPSINPVSAYMLLSSGGSLVEFLSWPHERRIQAVGKYLLSPKIISLFNALCKFGELGESRSVMTECSSVDSDISSAFLQSPRKRKQRSLQACAVPTNKLLFSDSLNQMPGDYAEHAEVFSPSKLRKFSDTDNTIPELPDVFAFEESLNMRNEGFSYQQKKHDVDAIPGNQVINDDFSNGLTPNNQAYNRRTGNMVDTFDLPWQPEFGGTHPSKSTFHTSRPSCSRTHSNPVFSTAFEINDDPGEWNISGGTKQTWKGLAHGGIVDDSYRHDMDNRYHEPRDEIMQHPASSLAFQKLDFGSHATSQGSCWEIDYLRQMSAKRKARQERSRCSNSPGMSIPRMRDSNSKILNPPPIESFRYRGDRDTPSRDQSPSIGTQHYGKGKEGAKAQNRRARKDFNVQPTSHKKRIEPSIDPTWTPIDKRARQKLSFVTYGKEKQSKLVWRNQNSPGVGCGFRKRFREEGQPRQP >AMDW01004673.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01004673.1:3:110:1 gene:AMDW01004673.1_FG001 transcript:AMDW01004673.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AVASWVGEKKNYHYDTNTCDPGKVCGHYTQVVWRKS >KN540943.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540943.1:18508:18918:1 gene:KN540943.1_FG001 transcript:KN540943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALLEQPAILPAPEPEGTSLLTIVGFAFLTFNSAMAIYRSDRDAGAIAFVTFSYFDLLLLFYCLRLFERTEHGSRRRAQIKAAVWLRTTMLTAVFSYKVAAIMPLPVQVLVWAMAAATVLGGFYAFFLHSDTKA >KN540943.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540943.1:36342:37901:-1 gene:KN540943.1_FG002 transcript:KN540943.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLASLNLSFNHFEGQVPNDGIFLNINETAIEGNKGLCGGKPDLNLPLCSTHSTKKRSLKLLVAISISSAILLLILLLALFAFWQRSKTQAKSDLSLINDSHLRVSYAELVNATNGFAPENLIGVGSFGSVYKGRMTIQEQEVTAAVKVLNLQQRGASQSFIAECEALRCVRRRNLVKILTVCSSIDFQGHDFKALVYEFLPNGNLDQWLHQHLEENGEDKVLNIIKRLDIAIDVVSALDYLHQHRPLPIIHCDLKPSNIFLDSEMVAHVGDFGLARVLHQDHSDMLEKSSGWATMRGTIGYDAPEYGLGNEVSILGDVYSYGILLLAMFTGKRPTGTEFRETLSLHNYVKMALPDNVINIADQHLLSKNNDGGERNSDGKRTRDTRIACITSILQIGVSCSNESPADRMHIRDALKELQRTKDKFSMSLH >AMDW01040122.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040122.1:324:1140:-1 gene:AMDW01040122.1_FG001 transcript:AMDW01040122.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNKFVIDKSAAPVVERKKKDKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMATLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMK >AMDW01038428.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038428.1:5:553:-1 gene:AMDW01038428.1_FG001 transcript:AMDW01038428.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRAHQALSARGCANLEVILNADAKNVEEHHEGDCQKLDCCHQPKPLVCQSSFSSGRFMWSRGFSSQAGASSGDKQDELEEGFSDLEVPPEADKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLVSPRVDVAGATKKWLNDGNTLDRSELFYVLLILRRRKLYTKALQ >KN541320.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541320.1:1:645:1 gene:KN541320.1_FG001 transcript:KN541320.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASTVDDDDN >KN541320.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541320.1:28189:29904:1 gene:KN541320.1_FG002 transcript:KN541320.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQLLKYRLTGANVVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQAGILLQENIQVGADPLYATSRHNMQHALREIETVLMAPDTDDATTSTKHEFEEIKPAQLVRQRSRTWSHESRQPLPGVGRSQFASGGYPTASYEFRPEKRQRELREDPQIIVKQLLTRCAEALSEDRTEEFHKLVQEARGVVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESKELLSYMRILYNICPYFKFGYMAANGAIAEALRTENNIHIIDFQIAQGTQWITLIQALAARPGGPPRVRITGIDDPVSEYARGEGLDIVGKMLKSMSEEFKIPLEFTPLSVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMEYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIRKLLACYSDKYTLDEKDGAMLLGWRSRKLISASAWH >KN541320.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541320.1:5108:10495:-1 gene:KN541320.1_FG003 transcript:KN541320.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNFPGLDLPVLLFSSLVLGVGLADWGIGFELIGFDCAIRFLRFCGGGQHGCAFRSLVVDAPGIYPQFSLYLLVYIEGSTQMMRHCRREWLLALCLISVQLLIPTGCEGVLVAASNMSPPALTPPLINQVDQLVEHAWVKCGLDKRTLEDVRRHFNYNHVLAILRRMSGQDIKDTSPDIDGGTSVLSLERRDAILNCLSKQNFMSIAGQDGLKILSADYIKALIASLRTDLAQESSTTKSIPEQAGKPVPGKTSTPKPVNKPTDSVSSPPDRSYKSAPTEKENPPTKSVAEKKKDSSGMPNAFIGLSIAGIALMAHLCLCCFMCHGTSSSDLRDDKPLLTLNPSNLSAASKSSQGNPIDVNKLGVVSLKSEAGQNGDVKLISKEGTNNVNVVHPVSSVSESTLMPPPVMPPPEGANNVNMVHPEGANNMNVVHPEGANNVNMVHPEGANNVNVNMVHPVGSLSESTPMQPPVMPPPIPKLLSPPAPQAPMPPLKASPVPPPEPSPPPAPKAAPPPPPPKSTGPGPPRPPPPAMPGSSKTRPPPPLKPGAKVGAVENSNEAKTKLKPFFWDKVTANPARSMVWDHLKSGSFQFNEQLMENLFGYNSTDKSSDTKKDLSSKDAAQLIRILDPKKAQNLAISLRALGVSPQEVCSAVKEGSELPSDLIQTLIRWSPSNDEELRLRLYSGELFQLGPAEQFLRVIIDIPYIFQRLDALLFMANLPEEASNVKQSFATLEVACQELRNSRLFMKLLEAVLKTGNRMNVGTFRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAERAAKEQNSGVSSVKTDDLSDKSEQTEDGYKQLGLKVISSLGDELQDVRKAAILDADQLTMSVASLGHKLMKTNEFLNMDMKSLDEDSGFHRKLTHFVQQSQTDITFLLEEEKKMRLLVKDTVDYFHGSAGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPVKAKAKQPSQSLQSFRDPRVNLFPAIQHLRADSSSSSSDDES >KN541320.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541320.1:2828:3475:1 gene:KN541320.1_FG004 transcript:KN541320.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAAPEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >KN539266.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539266.1:58961:61507:1 gene:KN539266.1_FG001 transcript:KN539266.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVVILLLLPLLPSTAHAAFPYFLACGAASNVSFPGDSPARTFVPDAPFLSSAGRVPAVTSTSSNTVPPLYAAARAAGSVFSYRFADADTATANVSRVLRLHFFPFTSSSSVNLSSASFSVSVRDAYTLLSSFSPPRDGVVKEYFVPGDGSGEFRVKFTPDTGSTAFVSAIELFPAPPELLWRRPVKPVGALFDSGDVNALPQQALETVYRLNVGGSKVTPANDTLWRTWLPDDPYFSSPRGLSEVNSTSTPIIYGTSIGYTREVAPDSVYKTQRAMDMTSQQLFLTPGPFNLTWTFALPPPAPGSDSDYLVRLHWCDYSLASSVVGTGIVFDVYVAQRLASKDLDRNAADAAEQPNEAFYLDYAATAPTTGNLTISIGKSDKSDAGGMLNGLEIMKLRRADNLNSAGSHGRRKKILIGTLSAALGVAVLACALLCLLAVLRRRRQAPTPAPEEKESTQLPWSQHTQDGSSWVDMSNASGAGMTGGLHRMSMQLNISLADITAATENFNERNLIGVGGFGNVYSGVLRDGTRVAVKRAMRASKQGLPEFQTEIEVLSRIRHRHLVSLIGYCNEQSEMILVYEYMEKGTLRSHLYGSEEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDAFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQSLERDEINLAEWAVSLQQKGELAKITDPRIAGQVNDNSLRKFAETAEKCLADYGLDRPSMGDVLWNLEYCLQLQETHVNRDAFEDSGAVATQFPADVVVPRWVPSSTSFLMDDSVTDSGIANSKAFSQLSSADGR >KN539266.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539266.1:85716:90553:-1 gene:KN539266.1_FG002 transcript:KN539266.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYYRFAWQMEVALKFLRVLDQGSGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFTRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEKNNLDRYLEAHLDGGAHSALENTQILLPVDQNKAYIEIYTLLAKPAPPPTSPSGIVLVDPTEAQKVHRLKAVYDQKVVPLITEEFGYTNVHQVPKVEKIVVNCGLGAEAGNSKGLESAMKDLAMITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNFTIGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFAEHIKSSVVIRKKRLKRHHFMSKGRGRR >KN539266.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539266.1:21942:24991:-1 gene:KN539266.1_FG003 transcript:KN539266.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGKCGESRCPEFCLATEGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQVNIHNIFGDYLLTLLNLCVSILSTEMHVQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPQGYYGK >KN539266.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539266.1:62459:64408:1 gene:KN539266.1_FG004 transcript:KN539266.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGKSNNQPTPLHAAARVFSCRASYDLAVRRRGYHLLRLHFYPFEPALASARFHVGAAGFLLLHNFSASAPVVKEFILPVHSDVLVLTFVPESGSNAFVNAIELVSAPDELVGDIGTLVTSSGTDQTNGLSSQVYEMLYRINVAGRKVTPFNDTLWRTWVNDERFLVSTESSNSGVWSFGGRIAYPKGSRLMTREVAPDNVYNSARSVSSQGKVTWGFPVPASSRYLVRMHFCDIVSKALNELYFDIYVNGQLAVKDFDISGATGFLAYPYYIDFVVDVEDEGALKLAIGGSKNSRSDEVSGILNAVEIMRMNKTNGGIDGDFAVSLGMEYVASKGIGEFARSLLCGFIFAGLLLVLLMLVVRLRTELRNNGTTWSWQPNDSGDGKLARAYQLVSTKTDY >KN539266.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539266.1:71410:72189:1 gene:KN539266.1_FG005 transcript:KN539266.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLQHLGDSFSYRWLKHAAQAPSFKRLVDDVVGGSSRYFIDMDPADLFSMRWTAPGTDFDFDFDLPGGDDDAASPIPLLASASQIFHDGRLLPHELDDGGRFGVQEDGDAARVAHLLSEPRLSASSPLFHSAQSTPASLSSSSSARSGASKNASTPPLLAAGRRGGGSSPWKILLRYLRFLMPLYRKVRALPPLRAPRRTRVSPASPASARARASTSSIDWCHGIADTAVHDAILYCKKSSVSKHPCTFTQMLISI >KN539266.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539266.1:19237:20562:1 gene:KN539266.1_FG006 transcript:KN539266.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEEETAPMLEGPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >KN539266.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539266.1:96899:103849:1 gene:KN539266.1_FG007 transcript:KN539266.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVVLFDNIFGVAEVEDDVSNSPDSTPKEAKPTNNEVTKVTRPQGSLRNTYFRYKKRERGKSVSGYSAKDLEGILVRKSDDNCKVDQEVEPTCCDEPDPIICQDTVSQADDVNWWGHKFGFKSGGFLGAKSRKNKSSATKGTSNVRQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPIKVAGHRWKGNKTSFGDSDEENSTQSNELSELEEDGDEEESANDNELIDANVNTVKEVCVDVKHKHKTKVKKLCKTILRQAPAQSMKLKELKVAVEAHSESMFSNFSCRREALLFLKRKLQGSRKFNIDGKRVQLVSHCGHIRLFVLLMSVPKYGAHYAPRYRCANGRTGNQWKQLLPHLRTRFADQCDICECITSAPFDAIDITREAVKDGADAVIAVGGDGTLHEVVNGFFCKGSPVHALDQGPDHSTALGLIPLGTGSDFARTFGWTNDPHEAIDRIVRGSFFDSSFIVCAVSPYSWSHSGHSSPGVKSKLDIGMMEGPDGNPHYFVNVADIHLSAKAGYFSSMYKRFGNLCYVFGALRAFWGHSNRDLRIKVNGGEWKTIRKVTALCIGNAKYFGGGMKITPTADPFGGDLEVVILQDFKWHDFLLKLHRLYGGTHLSVTGVSSIKVQSIEVAEKEVSADIFVQSDGEHFGFVPTKFSVLPGAVDFFC >KN539266.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539266.1:68993:70573:-1 gene:KN539266.1_FG008 transcript:KN539266.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDTGSSESRKEATSIAEVVRRKGRHGMAGLTGTGYYTIEEDGRKKNSVIKREELIQPGNMDQPAIFKSWNRTLVMQLGKQAWISRAIIKIQFKDQEPGPGKTSSETLKHGTIVSVNNQQDGSNDIRTY >KN539266.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539266.1:33365:35159:1 gene:KN539266.1_FG009 transcript:KN539266.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKLQLPLVHNERLWARPWRWAKTVFFLVSMLASLLLVCAPPLLIVVLDLLLPPALLSNFHRAANHPASLIDQARGFHFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAVACSVGSVCYVVAKAAVVFGAAPDGAVLGLQGKGQLVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKSLKQQFAV >KN539266.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539266.1:3310:5976:1 gene:KN539266.1_FG010 transcript:KN539266.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding FKAEVPRNAKRALFSDGDDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISRLAGHKSEVCGLKWSYDNRQLASGGNDNRLYVWNQHSAHPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >KN539266.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539266.1:82573:85385:1 gene:KN539266.1_FG011 transcript:KN539266.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTILQAVCAVALALCACGVAASSSSPAGAGCRKHVARITEYGGVGDGRRSNTAAFAKANLEDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGRNGTINGQGQVWWDKFHAKELTYTRGYLLELLYSNNIIISNVTFVDSPSWNLHPTYCTNVTISGITILAPLNSPNTDGIDPDSSSHVKIEDSYIVSGDDCIAVKSGWDQYGIKFNMPSQHILIRRLTCISPTSAMIALGSEMSGGIRDVRAVDNVAIDTESAVRIKSGVGRGGYVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNALPEVTGINYSDVFAENVTMAGRMEGIPNDPYTGICMSNVTAQLAPDAKKLQWNCTDVKGVASDVSPVPCPELGAAGKPCAFPEEELVIGPPELPTCSY >KN539266.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539266.1:109332:112115:1 gene:KN539266.1_FG012 transcript:KN539266.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVNLVEWLKTMVAERKAEEVVDPKLPEKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMDDLLCRDDKKPGRDAPQTSDRHSSRDGGNFSKRENQRYR >KN539266.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539266.1:47448:56905:1 gene:KN539266.1_FG013 transcript:KN539266.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGGRAAAAYTTVAARTISRHFRGLRDGIVAQLQAARKALGEKDVSNWFINARVRLWKPMVEEMYVEEMKDQDGGDGDGGQGSLNPKPTCSHASEARGDQQLVVGDGDGGEQKPTRAQLRHDAGSLASVVNVDVAAGAGGVARLHQAENFGIMDHLDFDAYDDSHHQQQHGGFGQALFGMKGGGGDRHHHKDAIVMHAAGKAPKCKASFFLCSLLLYFLLPVLALYVVALAVSPFYSGSSCPEESLASGDVAHLAAAGDAGNNRRNASSPPSDDAAPTGLGHIVFGIAASSELWKSRREYIRTWWRPEQMRGFVWLDKPPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALAVQLAHMQDGCIDRYPALYGSDDRIHACMAELGVPLTRHLGFHQCDLWGDVLGLLGAHPVVPLVTLHHLDFLQPVFPTTRSRTAALRRLFEGSARLDSAGVAQQSVCYDGDKQWTVSVSWGFAVVVTRGVLSPREMEMPMRTFLNWYRRADYTAYAFNTRPVARQPCQTPQVYYMRQSRLDRRRNTTVTEYERRRVAPVKCGWRIPDPAALLDRVIVLKKPDPDLWKRSPRRNCCRVMSSPKQGKDRKMTIDVGICRGGEFARIEVAR >KN540840.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540840.1:25770:27946:-1 gene:KN540840.1_FG001 transcript:KN540840.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWLVGLCAAACFFLCFTDSFHDGKGTVRIELMREYCSDAPCKWLLDLGKGGAHDTYTHNLFDGMPSLPDMSKEDQRISELVPINSIMNKEEKWLDEALGLISEKFEQMEAKCRFFEEMSASIKATTADFNSTSFSTPPTSSPPVPPKCLTECFNNNITRVAANSSHIGEVMPTNCLTISSSSDAKSNHTVAIVVTCVTSVVSSMELVPTDGTNGGTNINIPDSTKAMLANCSTVGPDVRVQTTPGLRARP >KN540122.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540122.1:54583:56695:-1 gene:KN540122.1_FG001 transcript:KN540122.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPEHAAPTKMVVAVDVDEVLGSFLAALNKFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGAQDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >KN540122.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540122.1:9700:11785:-1 gene:KN540122.1_FG002 transcript:KN540122.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLSKTKKQQQQKKKNKGARRLPLRQEEEEEEDFEADFEEFEVDSGQWEVESDADEAKPLAAPRSGFAKGGLKNTTVAGADGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDGAPVASQRSHAEPSSMNMPAFSIEEKPAVMSAGNNTMYNTNAYAYPAVEYTLQEPFVQIQNVSFVPAMNAIEDTFVNLSSDQGSNSFGCSDFSQENDIKTPDITSMLAPTMTGVDDSAFLQNNASDAMVPPVMGNASIDLANLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDMPVSAEFY >KN540122.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540122.1:43010:46265:-1 gene:KN540122.1_FG003 transcript:KN540122.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPRLVVFVAVLLLAMATTTQCHRHGKHHRHHAAKGVSGEGFMARDVTFRNTAGAAKGQAVALRVSADMAAAYRCGIEGHQDALYAHSFRQFYRECAVSGTVDLVFGDAAAVLQACELVAGAPVAGQSNVLTAQARGDPNEDTGFSVHNCTVVASPELLASGLTIDDDTTRCKRILRGYTLKVSKDVNIQITLSHQLQSYIQITLSHQLQSSDSHGHMSLTN >KN540122.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540122.1:42007:42623:1 gene:KN540122.1_FG004 transcript:KN540122.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRLIGTRKAALRFRAPAHGGTSSSQLSIAAATANKKVFEDQLRGIVCYRDDKGEMVCEGYDEGPRLGMRLPEKACFPWPVEVQITDFIELATFRVFEDADVLQIKNDQKRQI >KN540122.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540122.1:27283:35648:1 gene:KN540122.1_FG005 transcript:KN540122.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPSNSGSENYNFVDGSSESYAEEGSLPPSGYFMGDGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNNSNLRSNSIPPGVLQCNSTSGTFDTKLDTPGLAELPHTLSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNVSSFMEPVNLEAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAMASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNVRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHKIEYPINHSSDVEPQANSAPGNSASAKPRTRARRGQATDPHSIAERNPLEGLALVSGWAGSMALYELAVFDPSDPVLDPMWRQGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGAPDIPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >KN540122.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540122.1:49621:53312:1 gene:KN540122.1_FG006 transcript:KN540122.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHSLCKTHVYGFCVMFSHVDENISRLVQIGDWIARIIGLGEIISDFVLEGSAGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMLNSDRFPILVIMNLFVIKNCPHFRKMFPEYVEKYNQQKQMEQTVAEPETQENPAPAPSPAVQQQAAVVANKAKPAAEAAGEQKQKKRVPFWMMLVMFSVFGAVMALPLMQL >AMDW01039140.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039140.1:19:893:-1 gene:AMDW01039140.1_FG001 transcript:AMDW01039140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HSCVLICQIRSEYNFRSCFSMDTGCLSSMNITGTSQARSFAGQLPTHRCFASSSIQALKSSQHVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIF >KN541002.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541002.1:5134:11857:-1 gene:KN541002.1_FG001 transcript:KN541002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MDAAALAASLVPSWSAVVVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGLVSLLLLLVLSALGVYMGWMSPTVIADRGIELLSATFIFSVIVTFLLYYSGLRSHHKSSSLKPHVSGNFIQDWWLGVQMNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEELMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELSLLAATVNCFIFVIGYLVFRGANKQKHVFKKSPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVCNWGRPYEQLSLTPLLSTISQRKQWQMALGMQWQVCTNKIMCYDYANSCHMTHLQTHLNGGEQWHFPYRFHDNRVEFWHHVTMNSLRWLPWLLLRLAPFLCPDTVRTKNFMEGTLLLYGGHEELQMVHEMELLTPYC >KN541002.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541002.1:32914:33195:-1 gene:KN541002.1_FG002 transcript:KN541002.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKSALHGRRRFRSSLVLTRQGGKQAARQLVVGALGSTWEGERRASQAPVQACSAGDKGDDAAEGRPRASHGVGEGKIDAAPWEEAMQMLRCAD >KN541002.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541002.1:25045:31449:1 gene:KN541002.1_FG003 transcript:KN541002.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAILHLPPFLCSPSPVAGEPTDAIPNTNGVLHLLSPSYTRSEGVSESVEVGEVMGPPELLRLAKKKATTVARSTLSSLRRKKREEAGGGGRGLGTDDRWRWSFPSRGGGGAITRKDPVSVVVILSRLLAGEGAVSVVLLEVVVAALRVAAGEVSHAEARDTEQVPGWEGITGEVSARRYKKVAYLDTDVGQPEFTPPGFVSIHVLEEQAEDFKMLYLRTPKRCFFFGDCSAKKNPKLLLSYIFSLYDYFLKELYRFEDTDNPKKSAIPLVINTSGWVKGTGLHMLTEMLKYASPTHVIRLRTSVEGKNLPAGMFWLDEPEGDPAINLVEIRAAQHSPRHLLVKKEARIIRDLRIIAYFRQCLPMEFPVFSYNDLIQGFASIEPFQLPLSKLQVIDLHSQVSDYTVHHFLKGTIIGIATSASVPLSNQCSTPCCIGLGFIKAIDVSRDCIHLITPVSRQLLENADIFFRSSFTVPTCLLQVVSDTASDVADRLRELNCHG >KN544251.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544251.1:527:1220:-1 gene:KN544251.1_FG001 transcript:KN544251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLMNQFVGLDTVDHVFVNSFYELEPQEAEYMAATWGAKTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAECKAWLDERAASSVLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVR >KN541625.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541625.1:18129:21196:1 gene:KN541625.1_FG001 transcript:KN541625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGVLLLLDGAGKVVWSSSSSSSAGSSSSSTAQLLESGNLVVHAQGSGSGSGTALWQSFDYPTNTLLPGMKIGKNRWTGAEWYLLSWRSPADPSPGSYSFQLTVSPGEVTYSYVAKAGAPFSRVVVTDDGVVRRLVWDAATRAWKTFFQAPGDSCDSYAKCGAFGLCDSSAGATSICRCVTIVIELATLVPVFLLVMVAVEY >KN540315.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540315.1:1032:4214:1 gene:KN540315.1_FG001 transcript:KN540315.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGHVGLVWIGLWFSLCSLWIGLVLKCGRFRPSGAWFSGWGVFGFLFWIRGSSALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYANLKKLLSLDDDHEDINDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLLSLDLSSLSGGAPGRTRGLKISPVN >KN540315.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540315.1:51563:56227:-1 gene:KN540315.1_FG002 transcript:KN540315.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding LNSTVRGAFEAMIGFVLPRVGGLAIDDVQYRRETKAKPAKHGGELGNGRPACGGGYCSALRRAVARHGVGALRAAPPPPPLHAEALRVGTAWAVANVVVPFFVLQLAVLHLDLADTDYRLVAPDPLSKGAEKEVFQLAVLQILVSSPIVLLLFYVRKAAVRPAPAQGAAAAAAVDGGSAAAEDEDVELGRPVELAFVDVVTVDDGGAAAAKIGNADVVVVVDADDVGGIELPVRVADPSIMAEPIKQHGARRLGGHDPRVGGLAMMFSMGLSLGHQERIMACSWTELVIGQTLKCIIGPIAMFIASKIVGLHGDTLRIAIIQAAVPQAITSFIFAKEFKLDAEVVGTMVITGMLLAWLFLPLLYAILRLTV >KN540315.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540315.1:24928:27300:-1 gene:KN540315.1_FG003 transcript:KN540315.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPLAANLTAGTIGQGIGWTLWAKAAIVPGLLSLVFVPLILYLIYPPEVKTSPDAPRLAKERLEKMGPMSKEEKIMAGTLFLTFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPPLIAAMVLSFLSNIMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISIVNIIIWLGAGGFWWKMLGLW >KN540315.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540315.1:11108:11566:-1 gene:KN540315.1_FG004 transcript:KN540315.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLLAALAQREKMQQQTLRSMSSRDLGNAASLLVGSPVSSSMSKWGFPSGNPDWGADDEELGRLKRCSSFELRSGAANGNHEPDLSWVNTLVKEPTPEKMMITTTTTSAMDSIGILGQNTSRDHIVGGEDDTAGVISSWLEQLQLDEMVV >AMDW01015972.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015972.1:3:230:1 gene:AMDW01015972.1_FG001 transcript:AMDW01015972.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NEQYTWEVYDPCTVLTVAVFDNWRMFAFAGAGDEQRQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGE >AMDW01031819.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031819.1:31:423:-1 gene:AMDW01031819.1_FG001 transcript:AMDW01031819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSLDIWIHEARNLPNMDIVSKTVVDILGTKKKKKAANGAMTSDPYVTVQLASAIVARTYVVNDDENPVWAQHFLVPVAHEAPAVHFLVKDSDVFGAELIGEVVVPAEQLEAGEHVEGVYPVLDPAAGK >KN541620.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541620.1:10103:18086:1 gene:KN541620.1_FG001 transcript:KN541620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEVVSSALSKSSTVHTPTLEVTSKRYMGGMEASLVSGILNIVGKNLAPLVIKEFSSMAGVSKDLQELQDLVEEINNWLQTVGDKAIRNDRSSNWLKKLKDAAYDAEDLVNEFHIEAEKHEENVVGVKNVIVKHFWTKPKSVVFEFKTAHKIKAIKKRFDTIVKGRSYYSTIANSIPVDCPAQHISKTIGEVPHWTIVDETSIFGREKAKNWVISKLTESSIQQNIKIISVIGLGGSGKTTLAKLVFNDGNTINQHFELILWVHVSREFDVEKLVEKLFEAFAGDKPNHLPLQRVSRTISDKLAGKKFLVVMDDVWTEDHAHWEQFMVHLKSGAPGSSILLTTRSRKVADAVDSTCTFDMPILSEENSWKVFEQNLGNAAIGLDPEFLQVGTEIVKKCSGVPLAIKVLAGVLRGMKGIEEWQSIRDSNLLDVEDEEHVGIGYFDSLLKVGFLQDQEQGPRDKVTCKMHDLVHDLSRKILQDEFVSGIETIDQTRKCRYLTLTSCSGMVDRKLYDKVRAFYVSRCKLASNKTMKKQRCIRTVILKYINIDSLPLFVSKFEYMGYLEISNVNCEALPDAISHCWNLQALHVIECTRLANLPEFIGKLKKLRTLELNGAWNVKSLPQSIGDCDNLGSLYLDDCGIKDMPNSIENLENLRVLSVVYCTDLQQLLPSEPFGKLRNLRAITLALCMALEHLPQCIILWGHLKYVDLSYCTELRELPEGIGALKKLEVLNLKRCKRLCGLPAGCGQLIRLQQLGLFVIGDSTKHARISELENLDKLNGELQIKNIKHVKDPFDAEMVHLKRKTGIRTLSLDWSSRWEVWASHMEEKISVEERTEEELLNMKNDLDLLNNLEPPLEIEELTVIGYRGLQLPRWMTKQSDSCDLNYMHMLKQSNPPQLCQLSKLVLVNLPNLEHLWRLADLPRIKTLELREMPKLIELLTTTTGLENWEEEDQVQCCFPDLSTLIISDCPRLIVKPYFPDSLKNLTLEGSHEQLLSSGCFFHPHHAAHGHGNESSSSSCIVDVKYPHLTYLKLGRLIGSSSGWEMLQHLTELRTLEIYRRKVSIYFALPAKFSGDLMFPTVLIRSNM >KN541620.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541620.1:25297:25467:1 gene:KN541620.1_FG002 transcript:KN541620.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQ >KN541620.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541620.1:21614:21880:1 gene:KN541620.1_FG003 transcript:KN541620.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNEEYKSVEHRVVIKSSQDARVSIAVFFNPAKRDASDLFGPLPELLTAERPARFRRFSVPEFMRSRRESGHGKSSIDSFRINNAAD >KN543468.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543468.1:1056:5212:-1 gene:KN543468.1_FG001 transcript:KN543468.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVQVYPLASLARPTNLASMGRWAGPKLKRPALVGDRVGFARSPKHAPPPFPFPSAASGAGATTTPPPYSDPPRRRDGGVSPPSPSLRHHGSASPPTSVIHGGGAGRERVGAQGGDAAPQASKRREIRLLQSFVAGLQTPVPRSGAPSPTPIGDWTTLKVCTEGYLGLEVSSCRAAVECHHLQSGKIFLIGMSTISDQKKRTLEALQQRYAAAKAKKLQDQPRCQTKNKDSAPKPSLDASRKGKAPDFIPCRTSAQFPSKKGLANSSSSASSGAFLGSNIRRSVEKPILYTLNFNLLRMIICTKEAFRYLFSDLDSTDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDLYKPMHEMWKEYITELTKSTPKKQLSENLLSADLHGALVIVAECKSASSYQGVCGIMIRDTAETFGIISDDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQEQIR >AMDW01038165.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038165.1:24:764:-1 gene:AMDW01038165.1_FG001 transcript:AMDW01038165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDGTQFVVDCGRTIFFSGFNAYWLMMMAADPALRGAVATAFQQASAHGLNLARTWAFSDGGDQPLQSSPGVYNETMFQGLDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWARDAGHNLTADDDFFTSTVVKSYFKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRCYADPTGAM >KN545031.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545031.1:41:2239:1 gene:KN545031.1_FG001 transcript:KN545031.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGLQDVLATLLNYLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVCEVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMFV >AMDW01040814.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040814.1:462:2497:-1 gene:AMDW01040814.1_FG001 transcript:AMDW01040814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQ >KN540511.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540511.1:9577:12478:-1 gene:KN540511.1_FG001 transcript:KN540511.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MINLMIPAEDSVPVLITLAVKFLSECPLALHQLEHVDILAAATIIKSKQQTTFQHSIYQRLPQNIARSCNAHHGKALTLLSSYGPPTTVKLEERAGSFFICKVWKTFVQQIGLQFGQYIRLKVTSSSELEVLLFDKDKHNKLPMESSSKTHIKSTSKKRLYQPSKDINTTKTPIKKRSAILISDTSTEGTSSDSESEQTSDSLSMIDYSDTSSDSEPQQTSNALSSINHSVYHRSVHTGQAHTTHPQINHDTHSPTPFL >KN540511.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540511.1:33361:39226:1 gene:KN540511.1_FG002 transcript:KN540511.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDVEDSDDSNAGDGAGEALRKSRNDLKREARRAVQWGMDLAKFSPLQIKRILRAASLDREVFDALMLVKRFGPDVREGKRRQFNYIGRLLRGAQPELMDTLIQYSKDGDDNRLLALMSENTFLMEDEEIEDLPCNEEEGDKEHIEIADRWFEGLLSKDISVTNEIYAIHNVEFDRQKSFTGM >KN540511.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540511.1:23650:26509:1 gene:KN540511.1_FG003 transcript:KN540511.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSAFTFMPFMQYVTYKPQSPKNTLALFQALVQIMNLRKFYTSIRFVPAPYYKAYGYAIKQVENCTFLDGYIGTSIVRECLKADLLALLMKMSNESHVKSPYVTYLMNEYKWHHLLWLFRRRYLHSESLSSPPILQVCGLVILAGLCVLCGPVDEGGFASICSLHNREIFRLFISVFADLAETSSLYLPRMILILENAAALKCSVIMLAIGCQDLVLDMVRIILSAVR >KN546349.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546349.1:114:975:1 gene:KN546349.1_FG001 transcript:KN546349.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTEDMLAELFGSYCSVVVDCRICGDHSSGLRFAFIEFQDE >KN543401.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543401.1:805:3380:-1 gene:KN543401.1_FG001 transcript:KN543401.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGVRLRPAIWQEVAFLLQREAAATEVALRRIIPQVAAPRRTARWSRVARPEMGVDPHPQPESVDKPNKQSGSGSEAPSNGDAVSDKKNSTAKADTEVAAKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSAAVNSTAVKTDAKDVVVATSTDSAGSVSDVKADLSNRSDAPPASGSGHANHTSDVTVSPAKGNAKDGGADTNKASGNVASTSNQTASPAMVAKKEGESPSKNQISVASTNSKNQNQTSAGVASGGSSGTTSKQKETTSQGSVGSSKDHPAQAINSKTGNYSELLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWVRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLRWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDSDFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >KN539862.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539862.1:7431:8561:-1 gene:KN539862.1_FG001 transcript:KN539862.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVSPALFDNGAGCGACYELKGESGKTVVVTATNQAPPPVNGMKGEHFDLTMPAFLSIAEEKLGVVPVSYRKVACVRQGGIKYTITGNPSYNMVMVKNVGGAGDVVKLTVKGTKRVKWTPLQRSWGQLWKTEANLTGESLTFRVMTGDHRKATSWRVAPRDWTYDNTYQAKKNF >KN539862.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539862.1:63870:65034:-1 gene:KN539862.1_FG002 transcript:KN539862.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLAAKNGAVLRDRTEVIDIAKQGDGSILVKTSSGEEFHGAKCIITVGTWASKLVKSVAGVDLPVQPLHTLICYWKARPGREHELTPESGFPTFASYGDTCIYSTPSMEFPGLIKVCAHGGVPCDPDRRDWCAGDDALVDLVARWIDELMPDHVDTSGGPVIRQSCMYSMTPDEDFIIDFVGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEAKTATEAGVELGYFKIGRFKGNPEGNLAENKVKI >KN539862.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539862.1:57721:58894:-1 gene:KN539862.1_FG003 transcript:KN539862.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRCPRLRKLSIPFWNAAAVTVRSPSLEEMEVYANFKITIDIVAPALKRLYLDARRGINTGAGGFTLSAPSVEDLTWNCECQAVSDSFGVRWRMWSLSFSSSCLDHHGIMQMQQMDNNGEAETAHSLSLSSSSSQRRPRGGVLSLNLETNVMTGDSTRSFEKEIFRFQVTSNFSVLELDLKAQGHVYGAIVLHLLGICTSTQRLRVLLDEFLSKDSCFASCRCDQPNNWRNQSISLTDLKEVEIRGFRGQDHEVDLLKVLLRCATVLERVTVRFSRKVTPSDCRCREISGILEDYPSVKCSVYYLQSGKQVFICRQQ >KN539862.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539862.1:22076:23289:-1 gene:KN539862.1_FG004 transcript:KN539862.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRRRRRAWLFGWDGEAASAVVTAGSGLDATAAIRQCEAGDGCSGHSSKGTTLLQSARFSSILAVDPYPSEIFSRGNTSDYQAHIRSWWDVFEGVVDFAEVSVDDLGAGGPDLEVTVARDMGVEGLEPLEILFSAGRPVGVEGLDELDVCPTEDGGLRVVAAEFKLFDDVVLNGRVVLDGGSG >KN540227.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540227.1:574:6656:1 gene:KN540227.1_FG001 transcript:KN540227.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNQNQTASGVRQGSSRQDLHASFRPAGQFTNGQTPVVNPQGFRSTHTQSSGNASDGKTMWRTLRLGAVAGVGGAGAVAAGNHLNLHLQGGEAAPKGEAGGAGDGMLMA >KN540227.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540227.1:41538:45973:-1 gene:KN540227.1_FG002 transcript:KN540227.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGGGGGCRCSGAKRHGRLRGREARVGGGREGEMEREERGKVLNGQLKHHISHEQSNGHMPFGFLLPHPTQQRTAGGGELDGVDDGSGCRRERLDNGVFLTWEDVWVTAVDSRGEAATILNGVSGCARPGEVLAIMGPSGCGKTTLLDTLAAVAVVTPPEAEGNTAGRSAPPKGGAAGSAVVIVIVRASFAPENKGRDPYTVIATEGRGCGSTPRTVPPSPEPLDGGEEVKEAEGKVEEGWEENKMEEKRREKERGKNKREAEMRCDGEKKNVE >KN540227.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540227.1:32357:35410:-1 gene:KN540227.1_FG003 transcript:KN540227.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVREAIYYSAQIQLPDTMTVANKLARAEDTVREMGLTSALDTRIGGRSSKGISGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRITDLAAREGMTVIAVVHQPCSEVFELFHGLCLLAAGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEKLRYEPAVADEAIDILVNSYKSSDTSEVAKQEMRQINEMDRRMIGRNRAGFVTKTLVLTRRSFVNMYRDIGYYWLRLAIYVAISLSLGTIFYNVGYGPDSARSRSSMLMFIGTLLTFMAIGGFPSFVEDMKIFGRERLNGHYGVVTFVISNTLSSTPYLLLIAVVPGAIAYYLTGLQKQLDHFIYFALVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLNSGFFQLPNNLPKIVWKYPIYYISFHKYALQGFYKNEFSGLVLQNDLEGHKTITGEKVIVELFQVETGHSKWVDLAILCGMIVIYRLLFVVIIKVLDMAKPMLKGVTLRCYTKSVIHGLE >KN540227.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540227.1:19091:28559:1 gene:KN540227.1_FG004 transcript:KN540227.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTETEKFGTNGRAIKMVPTTELKRSKSGVRVRPDTVNGSPNGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIHVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQRSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGHQKVMAPHKRENEPSAAAYITHLSGKHLTTLFVRPGASNNSELLLAPGIDVSHSSFFNRADAPGAHGAPAGFLDTFDVAINGALRAAPAAASPAFLLPNLNDDATATLHAQAVAVLNHDRMQIRVALSWKVEKNRGWSRRHRRLQRNRQRGGAEVSEDGGSGWLSRGALPTGAPRQRVVGACVPASGGSSGLNPQIWGGTEEVTCQKPAATRSSMAEMEAGDKKNSEWWRPWQCETMWTAKSGDGINKWTITSLAPLRLLPLSRSKSTTASPPQPSN >KN543627.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543627.1:2743:4464:-1 gene:KN543627.1_FG001 transcript:KN543627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPIHEPAARQYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRAPRAPLAQLAGHGYAVKRVKFSPHRQGMLMSCSYDMTVCMWDYRKEDALLARYGHHTEFVAGIDMSVLVEGLLASTGWDEMIYVWPFGSDPRAM >KN542748.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542748.1:8150:11184:-1 gene:KN542748.1_FG001 transcript:KN542748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESYESMYSPGGGLRSLCGTPASSTRLSFDPHPLVFDAWDALRRSLVCFRGQPLGTIAAVDHSSDEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDAKRGGAERLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETAECQRGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAPEGKETMDRVATRLHALTYHMRSYFWLDFQQLNDVYRYRTEEYSHTAVNKFNVIPESIPDWVFDFMPSRGGYFVGNVSPARMDFRWFALGNFVAILASMATPEQAAAIMDLIEERWEDLIGEMPLKISFPAIESREWEFVTGCDPKNTRWSYHNGGSWPG >AMDW01028792.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028792.1:21:171:1 gene:AMDW01028792.1_FG001 transcript:AMDW01028792.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGTGVFAEILEGEVYRYYADGEWRVSASGKSVAIVNPTTRLTQYRVQ >KN539908.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539908.1:11041:13200:-1 gene:KN539908.1_FG001 transcript:KN539908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGICLVNKKRRLTLRPCVEVDHSSKRVRSQCAKFESLPEGLDADAFVQTHYQDIVSRIISQLTLKEAVVMSSTSTKLRRAWIYHPNLYLDTSIVFGSSDRHKRVPSTETFIDTVNFILRTHSGLGVNKLAVMFELRKEHAHDIDGWVSFAVTSKARVVTLNFSPYHGSHDHSYNFPCHLFNGKSGSHLQVLQLDTVTLGPSPPGFCGFANLTMLTLENVLVLGDLQFLLKCPALEWLTIRMCSQLHNLYAPEPLPRLTFLCVQDCAIDKIDVHAPNLTTFKYRGRFKVIIALRECLKLKTASIVSPIEDNLYYIFTELPNGLPHVERLHMNVFVKTQIPGFTQAPHKFINLRHLTMRITYEIAKRFGRNAVLQLAYFLEAAPFLVDLTMDMLCLDFYESRPAKDVIMNRPHYSLKRACITGFNGNGGQVALVKFILKNAVKLEEMVIDPKRRITNQMMGEHKGRRMIKEKLVPKDKNGLLVIL >KN539908.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539908.1:42743:45434:1 gene:KN539908.1_FG002 transcript:KN539908.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADDEWDDLNDDAVFELPTGKPILLIQSTPEKTKSDLDRLRAWSTPSPKDKGKAVVLCEERVKSADLSGSHSDYVGGDSCSSGEDQEVEEIRKAYKEFKKKLKDGEVGNLDDVIYMGSSRQINDGALVQIQGSRISAKLYTGLGTCCSQASSSRKRQLKASTSARAAGMVGVEQPRKKLEVRRSPRLNRG >KN539908.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539908.1:27557:29548:-1 gene:KN539908.1_FG003 transcript:KN539908.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRKGDSDCPELPPDTLRLIWASLPIKSRVRARAVCAAWSSALPDKIDPFPWLLRLPPAAHGDAAGAASSPTVFFPSTGTSAGFELPFHRPGTR >KN539908.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539908.1:25127:26561:1 gene:KN539908.1_FG004 transcript:KN539908.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARGAALALLLCLSGAAVGAWARPVAAKGDDNAAGEEKSLWLKKQFGKGLGAGLGGGYGKGGGLGGGGGGGLGGGGGFGKGGGLGSGFGKGGGFGKGGGLGGGFGKGGGIGGGIGHGAGGGFGKGGGIGGGIGPGIGGGPGGYGKGFGLGGGIGKGGGLGGGFGKGGGLGGGGGLGDGGGLGGGVGKGGGLGGGIGKGGGLGGGFGKGGGLGGGGSLGGGIGKGGGGGLGGGGGLGGGEGGGLGGGIGKGGGIGGGFGKGGGLGGGGGLGGGGGLGGGSGLGGGIGKGGGLGGGFGKGGGLGGGFGKGGGIGGGFGKGGGLGGGGGLGGGGGGGLGGGGGGGFGGGGGSGIGGGFGKGGGFGFGGGGGGFGGGGGGGGGGGGGIGAKH >KN539908.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539908.1:61716:67706:1 gene:KN539908.1_FG005 transcript:KN539908.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQGDGPLGSSTSAHSVNPILTQTFPKVPEPEMGSLYSDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQVNISSTGQYSAGNLSRVDHRLSQNEIDSVQNSISAFDLGCLGSPQIQKPTIGCGTEINNPINEVQKPANGNGTGINNLNTGMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >KN541479.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541479.1:6135:11655:-1 gene:KN541479.1_FG001 transcript:KN541479.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVKPTNVEVHLAKEQRLLVAEVSSVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGTGCVVSSGPESCAKLDIVVLEGDFNNEDEEGWSGEEFESHVVKEREGKRPLLTGDVQVTLKEGVGTVGELTFTDNSSWIRSRKFRLGLKISSGFCEGIRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKAGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWDILVEHAKTCVLSGKYYIYYSDENRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYAIEYDGKALLNSKPKKKAAPTGQAETHPPLSQPASYEQRISSASMTGPSPAGGSGTGTDSIGYDGNQAATQPSQLQSTSANVPVPYDDTFSFLPPSMLMGSDNQETGNDGMGLELGQLQQAISQSQSIQPANVGYDDWTRSQNGQFADDFTEDIRMKSHQMLESEDMQQLLRVFSMGGASTSLQEDAFGFPTYMPSPLPNLGFEGERTRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >AMDW01039699.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039699.1:396:719:1 gene:AMDW01039699.1_FG001 transcript:AMDW01039699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSTDCT >AMDW01049782.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01049782.1:95:232:1 gene:AMDW01049782.1_FG001 transcript:AMDW01049782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PKWEGGEYEGDDEPRFEALLLAMEMGAEYVDIELKVGFTLSPFSL >KN541479.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541479.1:19798:22520:-1 gene:KN541479.1_FG002 transcript:KN541479.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGATVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKVIQHKSYDGAAADVWSCGVVLFELLAGYLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIARCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEINLASPQDSRMHNLLNGNAMHMMSTCSSVEAYQACSMVDSCLQAHLIWSVINQVMFYE >KN541479.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541479.1:15638:19311:1 gene:KN541479.1_FG003 transcript:KN541479.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINAHNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMELGLRPGIPVGTSLIDAHAGGVGVMESVPEAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNIAFISSLTQDIHVLPDFHGNRSPLADPKSKGVICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLYIQEHADITGKENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQ >KN538913.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538913.1:73630:73830:1 gene:KN538913.1_FG001 transcript:KN538913.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARANTVGPLLLDIGRNPLRQVDPYHCHFAFGGMLAGEELVDGDAKAVGVGLGTRLLEHIYAIRNS >KN538913.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538913.1:150083:154009:-1 gene:KN538913.1_FG002 transcript:KN538913.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSAGDSINSSSIMQLSRSCAIVMLIAYFGSLLFQLKTHRQIFELEETLWFNYNTLSGSKDCKDSSDSSSSEDDATDKSVIGFASAVVWLIGMAAVTTMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGFNLVFSYIVIAVCFFVMKALPSD >KN538913.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538913.1:25853:27330:1 gene:KN538913.1_FG003 transcript:KN538913.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSNKSSGPTTRIAQKKNRKVCEQAYIMEQNLNPSSDGQGVYQFKTGLASVIKEWDLEQLK >KN538913.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538913.1:80426:81029:1 gene:KN538913.1_FG004 transcript:KN538913.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRTHDFPAPMNELEFQPSATCPKNYVIFDQTCTKSRVMFHPSLAHKFGGGSSAYDNNVDNVGYDDDDSCSVRQKEDTDEIDALLSSEDGDEDDVVSTGRTPGYRDGSSPDSTCSSSYGGGGQARPGRKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVRYLKSLKVEVKKLGVRGSDN >KN538913.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538913.1:116646:118778:1 gene:KN538913.1_FG005 transcript:KN538913.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMVESVELHKREAFMARFEAKVDELSAAVDRILDKVSPSLAPSTSQASVGAVSSLGATTYTDAQQVFDEMPSNKEPTTTSVLRIMVSQVLYPVTTEVLHQVLVPYDVEQVMIVFEAVSYVEAVVSFKSIQDATRAQHALHGRYIYDGCCLLDVKYVQSSSSKQKVAMPTMCSTSTLATSAMPLTDLVGTETSHISYTLSPTSVAEAQSSIKSIAATSSRLSKRNQRVVKWVSRIRITRRPVHLAWRRKDTVLTHVAGVSLFSEATDQVFQEISCMLVDSKAHKLDEMDMKVFYSSEEFITIIPITHIIDGIAGKVQNPTAEMKVCNVFTRRLLCARNVFSPWNYGTNGDYLLLDKILSWQRNGFSPWNNEQHELHKECIYGGFQEGHEHPVMHCFHSCALPQCKHMFHLVVWTNNGLISEGVLWNSRILRIYCMEFQPLDYLQIEFNWEANVQIWNNFRTMSISGFSIWQMLWLKVPWPPPARFSVYPFWMILKVAVPVLSHPLGSCRSMKNIQTLLVANKSNISISIDSRILSWSQTTVHQPIYIKRTVCWGEIIHAFTNVINQYLKLHTAMSVKKVPLQDQGILMSIVMNYAKEFAGVTSFISQWIVKDNCVVHKVAFLVERQCNFRTREFCSFKAICQGSFAAAIGTSLLADASVEEPLLTGCFRVPVIILEAVSRNIMSFPKDLPDARMANIFLVPWPPPHQV >KN538913.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538913.1:10205:15822:-1 gene:KN538913.1_FG006 transcript:KN538913.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFRSHENVIKFNEAEITKRGVCKSLAIANALELLKVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYLYPDLQCGEIVHLFSLVFSEELLISPSLPSEGVGETYEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKHKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLDRFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYSQYNCLRAPVSEIESTGDTRNIMKEKYAVPINLQETVKKLGDEHIVSVLNVQSKSSSHLHSQSPGDDEGPSTWHWGSGCSHVCKSHIYHPILPWVNSDGSINSTVYECLSRRIIGYVMQYPGIMEEGVINRLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTMLQNILRQGHNREPSKCGRRWFLHLIWHPVVLRHDISTHDFVKVILRARDATKELVINTVTPKMYE >KN538913.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538913.1:163596:164355:-1 gene:KN538913.1_FG007 transcript:KN538913.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEAGKAPSAAVAAEEEEKEAAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGAAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGREN >KN538913.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538913.1:188400:193620:1 gene:KN538913.1_FG008 transcript:KN538913.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKRIQHEERKCANQRRLLSDAETVRWLNYAVEKIWPVCMERVASEQFLLPIFPWFLEKFKPWTARKAVIQDLYLGRNPPMFTDIRVVRQSTDDDHLVLEVGMNFLSADDMNATMAVQLRKRLGFGITANMHITGMHVEGKVLVGVRFLRQWPYIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDLEKFASESTDSWFSVDEKPPIAHAKVEILEGADMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWMEEFKIPVTSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWIALKNMKTGRIHIAVTVLEDENEKVPNDDDEQCGTPKGGKASTPRSSFSSRTNNESESSEEFRKISDEYEPVDIEGLEKADVWVHRPGSDVAATWEPRKGRARCQDSRILRENDVYCDSPKSCQSDSQRSDSSTEEPANGKSRRHLHKVKKGLGKLAGAMFKNPKKESDDEASPCVTPHPNIKPLGEKRVSVRYVVDEDPSENLPDDQHSSPERDESESPTKRHLRKKAVHMVKHAGNKTAHNLKNMFSRKGSDKSKEGQDDQKDDPDTIVVEPSKVKKDNPDASEVDIDPSQVENDIPDSTAIDPLKVDSNVANNIVVDALEYASESDAKDKPQ >KN538913.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538913.1:96142:109011:-1 gene:KN538913.1_FG009 transcript:KN538913.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHVDPNNDDLLLPFISRILMEDDIDDKFFYQFPDHPALLHAQQSFAQILHALSSSSDDTTNNMSNSSDDGDTQSAPDDMEMLNMAFLKGREEANKFLPTNNTLFSSFKAEPVEKPNGGYRPEKVSENVCLIFEMITKSRDHCGQPTRANRPLPAGSLGRWNMRGNARIPNHQNPETSLLLLLLDAMAPRSDSATGGAAAAQAHGSDFDSIDPLFHLLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFALILLTYFAVVSGLVYDVIVEPPGIGSVQDPATGAVRPVVFLPGRVNGQYIIEGLSSGIMFVIGGVGIILLDLAVDRNRPRSLRVSFGGSGVAAIVIAYAMAMLFLRIKIPGYLW >KN538913.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538913.1:37680:46632:-1 gene:KN538913.1_FG010 transcript:KN538913.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRRRRRHAMQDAVKESAGHVDVEKYIAEQFGSWASFRPQYMIGSGNNKDCEECLAANSPADRMWVGGLPQYILRMLRTSCGCPQPAPPAPLPDAKRRTARLGLLAWSNAAHSAEHARRLVWCSGRDWHGGATQLGRGAARWRWEVRSVLANPTPMRSSSCCCCCFILVDMGTDMLVSTALEEVCARLSLGLLVTEMWTALSGAFNTAGLPMDLAVKRVLFARLIALPVISLVVEGALAHPPRMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTHCSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKRVISTNSLYLSRYVKNLNMNSYKRIEITKPKLGCNEETNIDALQEDGTLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQSGHRAWRNIVHCLRLLKRFNPDEFKPKGCVTERSDTIATTTGTGCGDLCGQRLSVVIPQYGSFLGKRLGGKYNNSKELHDRVSSMHDRLNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPNSVSPYGFVVNNKLLYEEDCHDEPPVHRLLSSHEACVGVSQPVEQGTSF >KN538913.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538913.1:7823:9115:-1 gene:KN538913.1_FG011 transcript:KN538913.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAELPEECLAGILHHFLCLSDHSMFSGVCTRWRTIAMRHLPPMQPWLFMPSTNATSFFCVACERTHQGPRLPDNARGARFCGAHLEGWVAAAEIPHDDRSVPGNRAPALLNLCTGERVLLPRSLRNNNPDTTFINHIQAVILSYTPCQAHPYYAAAIVSGKPNIMFWRPGMNEWTPPMLKWGSGFKIWQKQLSKDPIEDAKYFSAGSLGVGFYVLSNKEDLLVYTPMANDRHRELTMSSVNKYLVRRLQEPPTGHGGDRGGARPLPRGVPRAAAHGRQKLTLDTLADQRIFLARGCSIAVEMRNPCPPSIYFLDDSARFSGAGSSTSQAQQVQGPFPCGDTGRCCEQGIIRCLPRESPSDSSPWTWFYLPPNGGLCEWFEMQATKQLEQLRLHVLQDG >KN538913.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538913.1:125801:127341:-1 gene:KN538913.1_FG012 transcript:KN538913.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAVRSLVVLFLAVASPFLVAAGGGKLNASSSSPLYGIEFPPFNAGVADGGCDGKLMAQGVEEVTRSPSLKLHMTHRAAAEAAAAGRTRKESFLDSAGKDAARIHTMLRRVAGAGGGRAATNSTPRRALAERIVATVESGVALKGVLVGGEKLNISPSTWDVGKDGSGGTIIDSGTTLSYFAEPAYEVIRRAFVERMDKAYPLVADFPVLSPCYNVSGVERVEVPEFSLLFADGAVWDFPAENYFVRLDPGGIMCLAVLGTPRSAMSIIGNFQQQNFHVLYDLQNNRLGFAPRRCAEV >KN538913.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538913.1:170221:171198:1 gene:KN538913.1_FG013 transcript:KN538913.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEDLMKRMKLTAAEKKGIRVGSAGSSGASSQEPRAIGKVLAKKLVNADGLANSLGKIWCPIKGVGCKDLGENHFLFTFYQASGKRRAMEDGPWMFNKDLVKRDQCGVPWCMSFFPISVMFVASLAIWISKNLLFIPERKKWEGGSMEKVSANRVQMQRKSGWSSSRGSDAPSWRKGASNASREKEAGNRGEEEVTSPLKISVIREKSDQTKKGVVSGGECQ >KN538913.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538913.1:57793:61372:1 gene:KN538913.1_FG014 transcript:KN538913.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRAGCVGFVAHGAGDGREDEFWVMGGYDGYTTVGGVVPSDVYCRDAVALGLWSGKWREIGDMWQEWERERLGPVAVISAEDGRVTEVFMLDGHDIFRYNFSLNKWSKEATLRRKIPSTQSRGFVAMNGELYVLTSAKLPVETSSPWKQSKKRLALEFQVYNPAAKTWRVLSTYPPVNVPIDFRTAALCTVEL >KN543423.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543423.1:380:753:-1 gene:KN543423.1_FG001 transcript:KN543423.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDT >KN541377.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541377.1:2632:8229:-1 gene:KN541377.1_FG001 transcript:KN541377.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSGNEDGRWSTAVLEAEAAKVAERRAELFADTEVVDEEGEDRVEVGADAGAKPTRPLALDTLASSSRSLLSALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSALPPLLLPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQDVRTLAELSIDDYLYPDADFYNVSALPPLLLPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQVSCLANGRPDHLWQYWRWQPNNCSLPTFDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHANNWKDVDYLIFNTYIWWMNNEDIKVRRPNSTSWSEHDEMPRIETYGRVFKTWSTWLEQNVDPARTSVFFMTISPLHNSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKAEPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSTHPSLPPQ >KN539888.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539888.1:75326:75994:1 gene:KN539888.1_FG001 transcript:KN539888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEITAVCSSVALAIDEEIRAICSSVALALDEEYSYRPVELAGGGSSGAMARRSEGRHSIYRVPEYIKNMTNPNVYRPQVVSLGPFHHGDSALLPMEKHKGRAVAHFVHRSGKPREEFLDAVEEIKVQLQDVYEDLDDIWRHGTCFVEMMLKDCCFLLEMARVFEQNGRVEDYEPDDPVFSEHGCLYLVSEIRSDVVLMENQLPLLFLHKLMRIAYPDDFQ >KN539888.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539888.1:53573:54752:1 gene:KN539888.1_FG002 transcript:KN539888.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSWVVEMEKLLGVSEGGSSGQSSVVAQMVRQQEGHSIYRVPEYIKDMTNRKAYEPQLVSLGPFHYGEPPLLPMEVHKRRAVAHMVNRSGKPLQKFVSAVEEISEQLRNAYENLDETKWPEQRFVELMVTDGCFSLEIMRTLKAHGKVAEEDYGPKDPVFSQHGWLHVLDHIMSDMVVMENQVPLLLIKKLMFVAQDTYDFQRSTEFGGFTEYH >KN539888.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539888.1:39217:40363:1 gene:KN539888.1_FG003 transcript:KN539888.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPSPATSCAMHQERLTNEEVDEMIHETDGGSWMNYKEFVTLGVEWDYSVDILHDSGSEQDESGDEGDEHPPFPEEVAVHQAGSPPVPPLPAVGDQLLLHAVEAAVRAHHRSPAQAAPPHSLVAAEQVADDPSRFQQRHVPGRVDDVVHER >KN539888.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539888.1:1441:2226:1 gene:KN539888.1_FG004 transcript:KN539888.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWLACCLTIDGRVQDDESINSLMICCLCYAVTYPVLLQVDNDPLCLVDDDDPLCLHPLHALQKGTSGARRHRRGLVTNFVMPCAAELHEAGIHFKLSDRKGFAGGVSFEGGVLSIPRVLFWDNAERVFLNLMAFERLQPGAGNEVMAFVYFMDNLIDTAKDVALLRSKGIITSGLGSDEAVAKVINKILTKGAVMSPDSSIHDVLREINAHCKKPWNKWRATLMHTYFSNPWVFISLLAAIILLLATLMQTIYTVVPFYNK >KN539888.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539888.1:33041:33646:1 gene:KN539888.1_FG005 transcript:KN539888.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWVVEIERYISGDAGGGMPGAMPQESKPRHSIYRVPEYIKNMTNPNAYRPQVVSLGPFHHGDPALLTMEKHKCRAVASLVKRSGKPLQEFIAAVEEIKVQLQDAYENLEDKWYQGTRFVEMMLKDGCFLLEMARVFDRRVDDYEPDDPVFSEHGNLYLIHYIGSDVVLMENQLPLLLLQKFMRTVYPDDFQVHIHDLE >KN539888.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539888.1:62422:63120:1 gene:KN539888.1_FG006 transcript:KN539888.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEFLSDCTTITPAASTVDDKPGLHLLDILHKNVSGTHRRCQGDSIEPVMPSATELHEAGVHFKVSNSCGFAGAVSFQEGVLSIPQIQFYDHIDRMLLNLMVLEKLHPCAGNGVMAFLILMDNLINTTKDVALLKSKGIIENGLGSDEAVANLMNSTLTNGSVMSPDSGLHDVLREVNAYRKKPWNSWRASLIHTYFSNPWVFISLVAATALLTATLMQTVYTVMPFYKKG >KN539888.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539888.1:10649:22913:1 gene:KN539888.1_FG007 transcript:KN539888.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSWVVEIERYISGDAGSSMPGVMGRGSKPGHSIYRVPEYIKNMTNPNAYRPQVVSLGPFHHGDPALILMEKHKCRAVANLVKRSGKPLLEFIAVVEEIKVQLQDAYENLEDIWYQGTRFVEMMLKDGCFLLEMARVFELHGRVEDYESDDSVFSKHGCLYLFSGIQSDVVLMENQLPLLLLHKLINVAYGHGFLKGASGARRHRQGLATNFVIPCAAELHEAGIHFKLSDRTGFAGGISFEGGVLKIPRIFFMDNVESVFLNLMAFVRLHPGVGNDVMAFVYFMDNLIGTAKDVAILRSKGIIESGLGSDEAVANLINKILTKGTVMSIDSSIHDVLREINAHCKKPWNKWRATLMHRYFSNPWVFISLLAAIILLLATLLQTIYTIITFYKK >KN539888.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539888.1:49378:52175:1 gene:KN539888.1_FG008 transcript:KN539888.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAPSVMAMASSGTWEVEMEKMIKDTGPRYVETKRRREGRHSIYRVPEYIKDMTNRNAYRPQLVSLGPFHHGDPALLTMESHKRRAVAHLVKRSMKPLRLFIMAVEEIKEQLQDAYENLDDRWRHGTSFVELMLTDGCFLLEMGMLFQQGGRVQQDYGPDDPVFSEHGYLYLMRQIFSDVVLMENQLPLLLLQRLTWVAAPGSFHKSTCAARRIGQGLKTLPIMPCATELHEAGIHFQLSDAKGFAGGVNFQGGVLSIPQVFLFDDAECVFLNLMAFERLHPGAGNEVTAFIIFMENLIDTSQDVALLRSKGIVQSGFGSDEAVANLINNILTKGAVMNINSSLRDVIREVNAHCRKPWNKWRASLIHTYFSNPWVFMSLVAAIILLVATLMQTIYTVVPFYKNISSPEPQPHM >KN541576.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541576.1:3068:7217:-1 gene:KN541576.1_FG001 transcript:KN541576.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRREGGAVESARLPELTGNVDSASCQHTCPKRSQLAKSQEDKIKFHILSHQKLSRSSQDSDASSMCYLNMEQLMKCLLSLFDMYDVIHKNNSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLSASIIQSKEMVFARSILRFYHLGNFKRFFCTIAAEGTDLQLCLLEPFLNEARVRALMYFNHSGYKLQHHPLTDLSEILMIEELELETLCRLCGLEISKNEDTKAFAPKQASFCLPTSIPQINGIYISRENQR >AMDW01032533.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032533.1:16:409:-1 gene:AMDW01032533.1_FG001 transcript:AMDW01032533.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVESEHIDTQFVHFQGTNLI >KN541576.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541576.1:15907:17442:-1 gene:KN541576.1_FG002 transcript:KN541576.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSILSPDFQRQFRIPDRDMGMDMQMPEGFKSIFESFHYMIMFRVALSVLSIGAGFLMVYLIYRCIKEHGLPSININKNGGGGGGGGRLGAEMTPLLPDSQIRGTTVENFLEKIAGEKPVRFTAQQLAGYTNGYSTQLGAGGFGAVYKGMLPNGLIVAVKVLHGNLDDKISDAQFMAEVGALWRTNHVNLIRLIGFCSGAADDKRALFLHFRIFSDPS >KN541576.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541576.1:11776:12156:1 gene:KN541576.1_FG003 transcript:KN541576.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVGLPFLHKNKTGKKCKEKKKKAGQTEQLLSLSLCLAIVVVPQPSSHYRALAAALHAPTHLCPLLTKSGGPKAGSGIEVERERKRVEKEGWVVVGLRGREDGGGGGFGFRAPPLRGEETHGRR >KN540865.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540865.1:15052:16617:1 gene:KN540865.1_FG001 transcript:KN540865.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTHEMSSNPCAGGRATILGIGTAVPVHVYEQKSFPDYYFEITDSNHLVDLKAKFANICEKTSTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAARKAIDDWGKPASSITHIVFATTSTGCLPSADVVLIKLLGLPLSTKRVMLYQAGCFGGTTALRVAKDIAESNRGARVLVVTSEVMSLVIRGPSESHIGNLVGQAVFGDAAGAVVVGCCPTADERRPVFELVRASQDVIPGTDDAVVVKVRQEGVVITMHRDVPLHVSNAIGGVVKSAFHEIDTKISSYNEAFWLLHAGGRGIVDGVEEKLDLGEEKLAATREVMRQYGNTRSSTIFLAMEEMRRRSEERRMATAGEGLEWGMLIAFGPGLTLETMLLRAMPRNN >AMDW01038552.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038552.1:57:593:1 gene:AMDW01038552.1_FG001 transcript:AMDW01038552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TRPFDDLLGINTSHYQPQLARQTSDIEFVDPAILAVGKGRMPGISDSGLEMKTSHTFASQLQTSNDPRFQLLMQQNVPSHQNLGFADHVQDAFNPMNDNYLASRLLPQNHGSLSSYTQMSLQQPRSSHLTNGHWDGWGDLRQGNNVSMPDMSRMLYPTEANNFHMLGSNDLYNRAFGL >KN540865.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540865.1:39020:40099:1 gene:KN540865.1_FG002 transcript:KN540865.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQKFSVFLLVGVAATLSLATNSPVPQRPAGGAPREREALLAFKRGITNDPAGRLASWKRGNHDCCRWRGVQCSNLTGHVLELHLQNNFPRHDEATALMGHISTSLLALEHLEHLDLSNNNLAGPTGQFPEFVSSLRNLIYLNFAGMPLTGMVPPQLGNLTKLQYLDLSHGIGMYSTDIQWLTHLPSLRYLGLSNVNLSKISDWPRVMNMNSYLMVLDLSGCSLTSASKSFSQLNLTRLEKLDLSYNNFNQPLASCWFWNLTSLRNLDLSGNYMLFGQFPNALGQMASLQVFKFWNAEQCILAPNLLRNLCDLETLVIEGLRSCNMIEVLDNLMHCSTNKLRVLKLPGNNIVGSLPAA >KN540865.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540865.1:31320:33141:1 gene:KN540865.1_FG003 transcript:KN540865.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLLPVERHQVQQPQRPCPRAPSSEQLLTVRRIRSNSFGMVPPQLGNLTKLQYLDLSDGIDMYSTDIQWLTHLPSLRYLSLSNVNLSRISDWPHVMNMNVNLRALYLCDCFLTSAIQSIVQLNFTRLEELDLSHNNFHQPLAYCWFWNLTSLKYLDLSGNNIVGSLPAAVSKFTSLDTLDLSENQFFGSIPYEISMLTSLTRINLRVNNLTGEITEKHLAGLKSLKTIDLSSNQYLKIVVGPEWQPPFRLEVAIFGSCQLGPMFPSWLRWMVDIKELDIWSTGITDQLPHWFWTTFSKATDLVISSNNISGSLPANMETMSLERLYLGYNQITGVIPILPPNLTHLEIQNNMVSGIVASKTSGAPNLGYMDLSSNNIKGPIAGSICELQYLTYLNLANNHLEGEFPHCIGMTEVQHFILKNNSLSGKVPSFLKGCKQLLYLDLSHNKLHGRLPSWIGDFPAVQSLILNNNVLSGHIPTNITNLTNLQDLDLSQNKFHGRLPSWIGDLPEVQRISLNNNSFSGHIPINIANLTKLTQLNLANNNISGILP >KN542484.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542484.1:4745:9858:1 gene:KN542484.1_FG001 transcript:KN542484.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLAGSRWIVSPIMKKIINDARKYLGKDMEHELEDLETTVMLQFKLVIEAAERSPRRVELERWLWKLKTAFYDAEDLLDMHEYKLLEREATSNNPTSSTPNVLLSHVSDVSNLLPANRKLLRKLKELKNILVEAKNFHHEFLSADGTAAAIMGPNVNSSNTTTTSLPTSKVFGRDADRDHVVSMLCNPDDTDASGERNYSTLAIVGHGGSGKTTLAQYIYNDERVVNHFDVRMWICISRKLDVHRHTSEIMESATNNNQGVHFTNLNNLQCALRGTLQESQRFLLVLDDVWFYGFQDEEEWAKLLAPLVSRQRGSQVLVTSRSASLPAPLRCKQVFPLQNMKDTEYLALFKYHAFAGEQQNHEELQDIAGKIAPKLGQSPLAAKVVGSQLSRNMTVAAWEDALKSDNLGETRGALLWSYQNLDPNIQRCFMYCSLFPKGHLYEINELVHLWVAEGFVADSSNQSRTLEDVGRAYFREMVSACFFQQVRTKFENIYNMHDIIHDLAETLSKGDCFRLEDSVTEIPHSVRHLSVYVDTLEHHKQSICRLIHLRTVICMEPVMDDVNKLFREVFCKTKKLRVLLLCFHNGNKLPQSIGELKRLRYLNIHKTSISELPGALCTLYHLQFLRIHGDVNNLPTKICNLSKLRHLETCSRYPRPSSGFIGQLVVPKIPYIGKLTKLQHILEFCVAKQKGHELQQLRDMTELSSSLIIKNLENVRTKDEALEAVLDNKIRLRRLLFSWSYPDDLHIDGSLHSEVLEGLRPPRELRHLSITGYRSPVYPSWLCEDYIVNLNALDLRSCSAVEGLPPGVQLMKHFHHIFLCNIQNLKTLPCFPGGLQSFTISGCPLLRFISSGELGQHELHTNLMKADNLSSQFSMIWETQRGSDVHKRKIKDALVSESSSMKQLMALMDDDISAQLQILKSASGSERDEALLEEDTIKAWLYCQEQRTKLIYARPSENLLLLPSSLQFLSLSSCSLTDGALAVCLQRLTSLKSLYIMQIMSLTSFPSPQVLQCLTVLENLYIRSCWCLRSLGGLREAISLSELEIDSCVSLELVDGNGIATMPPSIEKLSVSGCVLGTDFLTTDFPHLHSISITCCRSSSSLAIGHLHSLESVSLNNMPDLCFLEGLSSCSHLQDIHLINVPKLITESFTQHNACKSLAINSEAMLSLMLSIKDFVLPEKLCFENYDEASLTFGTSANFTSIKSLEFNDSKVMYLPNSLKNLSSLERIKFDRCPNLSLLPDFPDSVQQIEIQECECLKRSCQAPNGENWPKIEHIRWKLIEFSKHDEGIMWCNSYKKLRPYYYVHMLDKKLARHRHDKVGIFSRIHNKVGIATYPKKLQPGQPVACEGTGAPSPTQ >KN540865.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540865.1:17560:18156:1 gene:KN540865.1_FG004 transcript:KN540865.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQISGNLPTNLEIMSVDTLNLRSNQITGEILRLPTNLTILDISNNSLSGQLVVSGLECPKLQAIDLSSNNIKGEIPSSICELKDLYILTLANNLLEGEFPTCFGMKELGKIRLRNNSLSGRFPPFLQGCKELFILDLSLNKFSERLPSWIGDLQNLQDLVLSSNLFSRYIPTSIANLRQLRHLLLANNNISGALL >AMDW01023684.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023684.1:232:303:1 gene:AMDW01023684.1_FG001 transcript:AMDW01023684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IYENASTVFLKKNEFERTSRNGPK >KN547114.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547114.1:508:579:1 gene:KN547114.1_FG001 transcript:KN547114.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSCLSSFVNLERLDLGYNCLVTLE >KN542752.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542752.1:9934:10497:-1 gene:KN542752.1_FG001 transcript:KN542752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTDKLQSLQINPNKETNLVAVFKNDYVDDEDDEDMDPQVTLGFIEEPEGPEDWHLLLPQHFPNKAGGVPAWLDPVNLPSGKSSCCDFCGEPLRFVLQVVFHLYDKLQVYAPIQNKETAYHRTLFVFMCPSMDAYY >AMDW01039561.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039561.1:562:768:-1 gene:AMDW01039561.1_FG001 transcript:AMDW01039561.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GRLFLMSTEENKDKTFDPYIAALEILVTREDVTVFLYKKDGNEMILAIGINFSTKLYAKTKIRLLIHI >AMDW01026017.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026017.1:25:186:1 gene:AMDW01026017.1_FG001 transcript:AMDW01026017.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMGNIDVLTGARGEIRLNCSAVNPSSSSSSSSAGMMIETVFPGAGGEVAAS >KN539634.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539634.1:57050:59494:1 gene:KN539634.1_FG001 transcript:KN539634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNRDNPNIGSRYYLVNVYVEILRDSIISDIQRDHMEAIEKLVPDLASLRARLCPSYMDIDVFWKIYFTLLESNLTEHTSEVDENVPGSVHHVNEIESDSAPNVCEIESVKSTQEGYQSPDDRVLIKTRSNQSIDQWVFAKSKSEQSMDQWSEIPSDVESSRDGRRYISGEELSDADSAHIVVMDKYMDSLLSDRRSLHYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFEILDS >KN539634.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539634.1:33375:37594:-1 gene:KN539634.1_FG002 transcript:KN539634.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQNFKYNKSDVEMKEPSVATILTSFENSFDEFGSMSTPLYQTATFKQPSATDNGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKSGQEIVAGEDIYGGSDRLLSQVAPRHGIVVKRIDTTKLSEVTSAIGPLTKLVWLESPTNPRLQITDIKKIAEIAHYHGALVLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKKVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSAVREERGLTDDLVRISVGIEDADDLIADLDHALRSGPA >KN539634.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539634.1:64072:65039:-1 gene:KN539634.1_FG003 transcript:KN539634.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAFSGEWRMMTSIVWQRCVIHGLRRLGDIPGNPDEMACDVAGAGDALRAPSSGAPAGILLEQQADEFEEDGNVDDESERTSVMSRRGGCDRQGDDILRLGDITSPVKKDGSSQYVHGSISACVISQLPNLVICISFHMLCDSSN >KN540781.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540781.1:17965:19402:1 gene:KN540781.1_FG001 transcript:KN540781.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNNNCNVPPTSGDNTRHSKKMKTVETTSSGLFSLPIDLVEDILMWLPSRSLARLRCTCRSWNELISSGGFVDRYLQLQNAAAARHGAPAKLVLTPLSKRHARSFHAPMCCRDCPRIIGARPCRGLVLFCRPCALTYSVCNPSTGGVLHLPPCHSEWYMSSAGIGFDSATGKYKVVQLVDPSSPKVVGTQCRVLTVGDDPALGWREPLGGACTILQEDHAKEGGCIADVDPVFANGRLHWTLTPMFLVGDTPQGILAFSIGDESFATVPLPPFASADLDVCSSSVCVATEVYLENVRPSKLLPQNKDIFAPAGTVLAELDGCLCMVRDLRHRRNMDLNETTMFEIWKLESYETGEWSLDYRIDLPRGKAVLLATTAHEAHVYDPEAAVLHKVASMSDHERRTCDAAFYLDNRLRLTLYQESPVQMDGMEHDGGDAKLIGYSILNSEQN >KN539634.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539634.1:74232:74765:-1 gene:KN539634.1_FG004 transcript:KN539634.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEMEEEERGGGGLSGVDAEFAFGVDAWLRELEREEAARRTGSSGAHTSNGLGGGVVGHKASPEHVSAADGMDGDEVGPNVDIGTVEGLLGQGKEERVRISSWCGADQCGTARGGTRDCAEGVGASRHAARPARHFVEQVAGTCSRLAGKKGWETDFKGRGSGPDRVKRGLAQGGK >KN539634.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539634.1:28948:29700:-1 gene:KN539634.1_FG005 transcript:KN539634.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALAVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >KN539634.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539634.1:67901:70992:-1 gene:KN539634.1_FG006 transcript:KN539634.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRRGAGGGDLPIPPQKSGRRRRQYGHALLLILVVLSVAHAALLFANAATNRTLASYINHSTNLLLRLEQRTRTQENHDNVPRDLTAVSPNDTESMAHILSEDKASKVQPSTSGVDDHHMDINMEEEDEGYFEQQVLDYFKHYLEKNQAPKPFFHAIFWSLPGEKNSVPVKLRWSVSPEKKTETEKLPPPAAEDGVFRVSADEVVLCRPFGDERSEVHILARDAMEFVPMSSLEKAAKALQPLTIHSFVYALD >KN539634.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539634.1:12682:17496:-1 gene:KN539634.1_FG007 transcript:KN539634.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVSFALKLGGKIMGMATGKVEKLLGVPGEITKLETTLGDLRCYLVDADNRRSLEEAVKRWVRELKDVMYDADDILDLCQLVEDEGYDDARTNPSCWNASKFWFCNPVASHKIGRKIQALNRRLDDLSRRRSRLKFLPSVCSAAGAGSSLDDRCRTGPSVEQTFIVGEKIEQDARSLVNLLVNRVDDDHDPARSSNGNVFVVAITGVGGIGKTTLATMVFNDSELENHFKEKIWLSVNQDVNEIDLLKHVIEQFGGNHEHCRGDTVLLENALEHAVRKKMFLLVMDDVWSDNVWNNFLRVPLSSGASGSRVLLTTRNEGVARGMRAQHLHPVEKLDRFDGWSLLKNQCANLLANQKYGKFYVDITVKLQSTPLRKDESEICALEDIGMKIVDRCDGLPLAIKVIGGLLRQRNNTRNSWLRIYNHSAWSVNTTDYLNRAIILSYEELPPHLKQCFLYCSLFPKDEVIRRGDIVQMWMAEGFVQDEVSNSFLLEDLGFEYFNELASRNLLEQKREFYDHSACTMHDMVRYFAQSVGKEEGILLTEGQNTSIPTIRTLRLRQLSVSKKEVNWGALKQQVSLRALMLNKNSMVDSNDFLNSLSSLRVLNLQNIVNLVELPQSICHLKHLRYLAVAGTSISTIPSNIGDLKFLQVIDLADCTNISQLPQSILKLQKLRFLNLRRTRITSIPHGFGRLKDLVFMAGFPTHSSDDRTDGWCSLEELGTLSKLKILEITGLEKAPSGSSAAKANLSSKPNLTELYLLCASMLGTDNGDVQCSISAEEQDRIEKVLSNLCPPQSTELLTIGGYFGVELPKWMQMMSAFTNLTRLELKDYACCNRLPNGMGQLPFLDHLWIERAPAIKHIGRELLFPSSYGSSVAFPKLKTMGFKWMTRWEMWDWEEQVRAMPVLECLSISYCELKYIPTGLACQARALKSLYLESVRQLVSIENFPSLVDLQLIENPKLERVTNNPSLKNIYIWECPALKVLEELPSLNSIYWWDLKAEKLPECFGVPMLKKLFVHCNRRLFGLISLQDTTSEWGKIQHVSQLKAYGCTLRIDLSGYTLPTGLSGYNFLRELIDLFGYVSYTKEPYSFETRTYETSEQAQRYMASIASIFQISVHAIQLRLRMCPAYLISQVAQKPTLNSLFLLFSQTTCPQGEGEEDVAME >KN539634.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539634.1:40561:40764:-1 gene:KN539634.1_FG008 transcript:KN539634.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRDGISLWLIEYNAGEEAAEKEKQQQKKVTQKSELGKASSVHAIDKHQHRAIEQQGAVLFTDIL >KN539634.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539634.1:49861:50217:1 gene:KN539634.1_FG009 transcript:KN539634.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTQPTSLRKYLGALKDTTTVSLAKVNSDYKVEKFYQSSEECLEILMLNCLLLYALLRRGLSIVFFPKQELDIAIVKATNHVERPSKEKYIRGGISCLLAESLKHLCQFIAASFD >KN540781.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540781.1:33869:34525:1 gene:KN540781.1_FG002 transcript:KN540781.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKGRAGRKKVEIKRIEKKDARDVCFSKRRQTLFNKAGELSLLCNANIAAVVISPAGRGFSFAHPSVDDVADRLASMAMGIPNNHSLGGGYHDSGGVTNIVQQQKIEYVELQKSLEKSEKKKRVQEAMEKERAGHLMQSLTSEVNLLGQDELEELHNKLSALPYTSIAKFYQVLQDAKGTRMPLPQPHIEIACQSQFLFEEQAVTPANADFPGSST >KN540781.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540781.1:26974:27426:-1 gene:KN540781.1_FG003 transcript:KN540781.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKSPEQDICYICGDDDHMEHFCPYNYMFGRYFSDTCRGECPPQEHRITSRDHREFLRRFLRVTNLPPGFGVWDLEDLFSPFGALLMWDVPEFRNYRCGCTSTTRIHMSFGFVVFKRREDGERAVDELNGYQAGDRRLRVDWVYPSCV >KN540781.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540781.1:22627:25589:1 gene:KN540781.1_FG004 transcript:KN540781.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARIRGEEGIGKNSYSKTWRKEISKKRPAQQGGVNQPLVVPQFFKFHKFMRLFSLQEMLFLRRRKSKLEQPQEEVELFSEEEEYSSKSAGLTSYCYLTQQISCELVILTHNIAAGSSTCFWRDPDTEFCNVCGDDKGNHLELMCPYNYLSPAEYFPCRARLALWGNYTTTLRYKCSRHREEEQSEPPTRDEANARRFGFLRCLVRVSNLPELCPPEQLVELFGRFGPLRMWYVATRGSGGTCKGFGLVVFQRHRHAEEAVEALNCWEFGGRKLRVDWAYPCLN >AMDW01033687.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033687.1:265:411:-1 gene:AMDW01033687.1_FG001 transcript:AMDW01033687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSHRATHLWVVEDGDADKGAVLVGMIGYMEILRAVTRGVVVPPA >AMDW01032065.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032065.1:149:352:1 gene:AMDW01032065.1_FG001 transcript:AMDW01032065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AAELLPPCDMSKKPKVPFAGALPDIEEYGSNIGEKLVATNSGPRHKKKINPKQTFYVGSLQVEIDPN >KN542885.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542885.1:1227:3014:1 gene:KN542885.1_FG001 transcript:KN542885.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGYLYESYPRQEEFDRSAAGRAAKAQMKAMKEAKTSSNQGEPVLKVSLSSVPSGRWDRKFFIGVDLASLGMLIMVDKSLTALDFREDSAESFSDV >KN541874.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541874.1:2267:10105:1 gene:KN541874.1_FG001 transcript:KN541874.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSACTSAVEGAVSARRGVRRVAVSLLQNRAHVVFDPALLKVISARLRCDPFAWLSPFVEPSFARLREQTGIRELVDAHAVVEDIIEAIEDAGFDAEIIPDTAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKKLSGVKGAVVALATSLGEVEYDPSVINKDEIVEAIEDAGFEAAFLQSSEQDKILLGLTGLHTERDVNALHDILKKMIGLRQFDVNATVSEVEIIFDPEAVGLRSIVDAIETGSNGRLEAHVQNPYARGASNDAHEAAKMLHLLRSSLFLSIPVFFIRMVCPHIPFIRSILMMHCGPFHMGDLLKWILYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYTEEREIDALLVQPGDILKVLPGSKVPADGVVVWGTSHVNESMITGESAPIPKEVSSAVIGGTMNLHGVLHIQANKVGSETVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIITFLVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAIVEYAFHFHFFGKFPTSKDGIEQRKEDRLSQLLLQVEDFSALPGKGVQCLIKGKRVLVGNRTLITENGVNVPPEAENFLVDLELNAKTGILVSYDDDFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAKAVAKEVGIEDVRAEVMPAGKADVDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTRLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >KN539002.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539002.1:127377:131989:-1 gene:KN539002.1_FG001 transcript:KN539002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obg-like ATPase 1 [Source:Projected from Arabidopsis thaliana (AT1G30580) UniProtKB/Swiss-Prot;Acc:Q9SA73] MPPKASKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIDPNEARVYVPDERFDWLCQLYKPKSEVSAYLEINDIAGLVRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDKEVTHIDDSVDPVRDLETIGEELRLKDIEFVQNKIDDLEKSMKRSNDKQLKLEHELCEKVKAHLEDGKDVRFGDWKSADIEILNTFQLLTAKPVVYLVNMSEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCAFERLLADMPPDEAAKYCAENQIARQAIVIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >AMDW01030257.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030257.1:67:363:-1 gene:AMDW01030257.1_FG001 transcript:AMDW01030257.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGYSYKGDGNEESEDLNSDDEDEEEEDEEDEKGFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIVSTFVVLNVVYVACL >KN539002.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539002.1:56366:65238:1 gene:KN539002.1_FG002 transcript:KN539002.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTGKRPQQHRDHDREERRDQKRRPVARAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTGAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKVHEAIVDALSITRDSDDEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLPPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSTEATDDAKSAAVEAVLLLQSKINDDNEGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGNLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPRSSSYGYSSLQAIDDGYSAVSSYASKGYGGRPPHMEMTIPASGLSKVMGKHGTNLDNIRKMSGIRMFCILEDKFQRFSSEMQNMWSCWLLLILFWALAGFRIELSTVAARTQNNLDCKNLTFEFTEQLLVEANLRQILRSFALLVIWGIGGGMSVDIDASGRKSTGKGVYQFVDKYGANVDGYSPIYAPEEWSPTGDTYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAG >KN539002.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539002.1:145996:153976:-1 gene:KN539002.1_FG003 transcript:KN539002.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFASHHLMILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITVDLTICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSHIANECRGGRYGYCHDSKWRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAELFVANGLPVEKIYVRRTGSTCHPLDGMSRRNTTGWPVPRDGAEPTGRPGPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRAAAPVDRLSALPDALLHHIMSFLKAWEVVRTCALSRRWRHTWASAPCVDIRVPSSRRERSPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLVLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYYHVPLFENLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDIYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHSGEVNKQVPRLSNFWNMYFTHHFQVNTVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >KN539002.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539002.1:162571:166659:1 gene:KN539002.1_FG004 transcript:KN539002.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQQHRFLCLVCSSASSRSEPCLLLDLPRRGTSTLWLAGSLDPSKKNRKGQPQYGMADHGFRPFSASISAPSTAQQHTGSSSNTAVIQVATPPSHTDYGNIYLADDGYHWRMCGQNTIQGEPCQTIFYYQCAQANCMVQKSVARSADGQTTQTFSMGVHNHPQRSVRWLRDGSERLEPMSQVGVLVGASDAAGATVGPSVPETGNGNDQTSGSSDSDEDNDSDVGIDGDAAAAAADANALQRHVAAPIQGNIARTASEVGILDHRARHENSHPRKKRRFKSKVWEEFKAVLIDGKVQSAICNHCKNCLVGETTKGTSHLHRHLKSCPAKAGTSRVQKKQRISTLQPDSSVSKDLKYGQVNPLEEFMRAIVSKLCPFSAMYGASFAPFLAGRNPVLYMVPQATLEEKFLSVYEKEKQKLKEKITATPGGVFLSLDSWYWDADVELYIICITVHFIDGDWKINRKTIRCSPKLVGSYMLGLLPRLKSNIVNVKVVLKEVVQDWGLQEKLLGVTLQPSEDSIKEASLHLEDDLTGRKYLVAKCKLLNIPCIIACSPERKQKYEQVLSQLHLDGASYGSKNWYSQFCLYEAALQFIKSFPLPEDRRHQTNPLRPSFDDLEAIESFCKIARPIYIAVKAISGPHNVMYNSYFHVIWRLVAVIRELPSMNNTERSFHVPVVQKEFDNNWRKWYLWLSIAVVLDPRYKLGFIELCFRQDFSHDAGMYISEVRAKLYELYIQYSYDNGQSNEILNNRNSDSVTYIGYFQVLFSFHNPLRL >KN539002.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539002.1:40897:42570:-1 gene:KN539002.1_FG005 transcript:KN539002.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTNEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVRSGRSNIQFNWRARVKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVVVEEACRFLKVGLLCTQDAMKLRPNMINIVRMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPSDPQSLLMRSFATTDPSTSSDTTTRSSL >KN539002.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539002.1:155746:160424:1 gene:KN539002.1_FG006 transcript:KN539002.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPRTGDRNGDASPCWKYLFLPLDRVQGCLVGKQIGYGESSRTTAQHVGSSSSTPVIQVAALPSHAYYGNIDVADDGFHWRMCGQSTIQGGLCPTVFSYQCALPNCGVRKSITRSADGQTIETVCKGCHNHPRQSLRWLGDGSERLEPISQEIVLLEASDASGAAGGPSVPGTGNGHGQSSGSSDSCRDDDGDLGIDGNASVGDANAVKSGQVPAPAKEITVHSACEVDILNNSVRHENPQPRKKVRSKSTVWEEFEVVLIDGKVQTAECKHCKKGLSAKTSGGTSHLIRHLKICPAQHGTSRVQKKCSSLADLPIVKSWKDDQESSLDEIIRSIVSNLCPFSAMYGASFAQFLAGRNPVLNMVQQATVEEKFLSVFHNEKMKLKEKITATPGGVFLSLGEWQRLFYIQVRVACLTVHFIDEDWKINRKTIRCSLSVFGKSDILSLYPHWQSDIVLAEKVLKEVVQDWGLLDKLLGVTLQRSVDKKAPLHLEDDITGRNYLLSKCKLLSIPCMVDALHELMDSTVLDMESTWSHYMTSSPERKQKYQEVLSQLHLDRPSLGSKGWYFTFYFSEAALQFIKSFPLPDAKPNCQSGPWEPSFDDLEATENYCKIARSAYRVIKVVSGPHNMTFNSYFHVIWSLRAAIQELPSIKNIGRVFDVAYMQKKFDRNWKKWYLWLSIAVVLDPRYKLEFIELCFRQAFSHVAGMYFSEVRAKLHELYIQYSYVNEQSKEILDHKNNCSDIQISAPLHNKGQNSTTAQAAVEEFKELYEYLGGGLCTQDDSFDILKWWRGNSSAYPTLAMMARDMLAISGCAVSSESAFDQCDQRAELFDGKLRPETTEALICAQSWIKSSGTADADDGNKNTSF >KN539002.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539002.1:28782:31507:1 gene:KN539002.1_FG007 transcript:KN539002.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYAACVGGKEWYFFSLRDRKYATGHRTNRATRSGYWKATGKDRSITRRSSISSGEPSSSAAAAAVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPQPLHLKEDWVLCRVFYKTRQTIPSPSSEEAVTLPNELDLPATPSLPPLIDAYIAFDSAPTTTTSMVGSYEQVSCFSGLPALPMKGSISFGDLLAMDTSAEKKAIRVLHNSNTAKLEFSPDWGQESGLSQMWNPQ >KN539002.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539002.1:66942:67193:-1 gene:KN539002.1_FG008 transcript:KN539002.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKAVGVAVTVAGVLVVAASAVVAEAKPVANTEKVPPELAPQVLLELTPSMDKREAGDMAEVKPADNTEEAPSELQAETEI >KN539002.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539002.1:125178:126822:1 gene:KN539002.1_FG009 transcript:KN539002.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLARLRCKPNTNSSSPSRTLFGRRVTMISSSRWMCRGSAVSGSAIMAAAVRREEDEEMRSYLSPEKLEMLTQMEPWVEEHVLPLLKPAGTYTVSDYCSIVEHLVREWRVEELAAGLSGEGRRARDYVCELPQKIRRMKEKAHERAVKAQKKPISIPINWIFDRHVSVMLP >AMDW01009179.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009179.1:36:224:-1 gene:AMDW01009179.1_FG001 transcript:AMDW01009179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTYCDAYSNPQPQEILQLVPHP >AMDW01037956.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037956.1:109:696:1 gene:AMDW01037956.1_FG001 transcript:AMDW01037956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AQNALESAYGQFESHDFSKVLEYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKS >AMDW01137274.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01137274.1:239:457:-1 gene:AMDW01137274.1_FG001 transcript:AMDW01137274.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRWELERGGWVAGDEEERIERVERCDVEGLQGQWDKFECYMLVETFVLTRMDGSVALSYQFSHTDKIRTRWE >KN540008.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540008.1:10436:10724:-1 gene:KN540008.1_FG001 transcript:KN540008.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYSTSVQLLLVLLVLLVFVGGILGRSEPSTCANNPAFQQSCPPIPGRGH >KN540008.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540008.1:56525:60173:-1 gene:KN540008.1_FG002 transcript:KN540008.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISELSDDLLLHILSSLPTKDAAATTVLSRRWRPLWRRTCVLNLYSEPFLARHDAFFRFADGALAAVLRRGDDPALKKLSLAVDSSADLSNFDSRIGAILSHRAAAGLQDLRVDCLPGGHLLQTIVDAAPRLAMLRLDRVHLLIAAAKTKEETAVLRLRCPTVTTLALVAVTSRIEALQLDAPSLVSFSYGGHPMAISLASPPANLALVDVDISRPSFFTGKYEPVWRVLRSLGGGDTTTMRSMTLRVHCVDDILDDGGGGGALPVFPNLAFLHLEAQYLHSRYQTPISLSAMAKLLQSCPAVSELRLRLTTKDDSHSHPASEEQRFNRRISGNSRIESSSSSSKDEGDHELKRQRVSELAIECLEKTVRKVTMEFTAKEMDSFPVHLTKFLVENAVVLEELHVDDTAQFFLDHKVEKWRADSFQRRNLPIVGLFEVLAMILRGQTLVLSPALSMMVDQLRKLHAFLPDGLLASSQDVFREIKAYALEFCSKEHQALEISLIYVKKNKGAATVASTEAWSDTKIEGKDLYQ >KN540008.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540008.1:45133:49367:-1 gene:KN540008.1_FG003 transcript:KN540008.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGIKRKCPSPQPLLCTSPSIVSPIDVGKLGEVSADLESSQDSSKLYISDVASGATAEDDVELIARALSSVAAKPPEAMAGGGHTHWTKDELQAFLESYMEEIAARNITSSCPKAQGYANSQAKMLAKTWTWLESMATGLGRNPYTGSIDASPEWWESMEGILFHSSLWGGFANATQMRRGARSFMRGHIRFISEHHAVFRGRTVVGNRSSVPLNLNSSCCQPTLVSLMFSTLSTCRSRHHHLSHHQGLSGVGAKVWQHRVVDLGVPKRPKVTPQEMREYYIRFHYAMKFPNGGGFPSTIILRRYHMHGTYEVCPMEGIGMFLYSMAGGYFVRAINNRMVRCNATLVQLMRCTYLFSCGPQLQLYAGTVTTRLQGMCSPLLVGMNAFVGAGWSGSVHD >KN540008.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540008.1:24231:27761:1 gene:KN540008.1_FG004 transcript:KN540008.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRRQRRPVPPLGTLLSSWLLILVVHSCLSSFAVHPAAAAASSSSPSNTDFQTLLCLKLHLSNDPGGFLGSWKQNDSLGFCLWPGVTCSKTNTSRVVALDLGSSGLNGQIPPCITNLTLLARIHFPDNQLSGQIPPELGQLSRLGYLNLSSNSLSGSIPNTLSSTYLEVIDLESNKLTGGIPGELGMLRNLSVLNLAGNSLTGNIPISLGSSTSLVSVVLANNTLTGPIPSVLANCSSLQVLNLVSNNLGGGIPPALFNSTSLRRLNLGWNNFTGSIPDVSNVGSPLQYLTLSVNGLTGTIPSSLGNFSSLRLLYLAANYVQGSIPVSISKLPNLQELDISYNYLPGTVPPSIFNISSLTYLSLAVNDFTNTLPFGIGYTLPNIQTLILQQGNFQGKIPASLANATNLESINLGANAFNGIIPSFGPLYKLKQLILASNQLEAGDWSFMSSLANCTQLEVLSLATNKLQGSLPSSIGSLANTLGALWLHANEISGSIPPEIGSLTNLAWLRMEQNYIVGNVPGTIGNLANLNSLDLSRNKLSGQIPHSIGKLGQLNELFLQDNNFSGPIPSALGDCKKLVNLNLSCNTLNGSIPKELFSLYSLTTGLDLSHNQLSAQIPQEIGSLINIGLLNFSNNHISGKIPTTLGACVRLESLHLEGNFLDGTIPDSFVNLKGISEIDLSRNNLSGEIPNFFQSFNSLKLLNLSFNNLEGQMPEGGIFQNSSEVFVQGNIMLCSSSPMLQLPLCLASSRHRHTSRNLKIIGISVALVLVSLSCVAFIILKRSKRSKQSDRHSFTEMKNFSYADLVKATNGFSSDNLLGSGTYGSVYKGILDSEANGIVAIKVFNLDELGAPKSFVAECEAFRNTRHRNLVRVISACSTWDNKGNDFKALIIEYMANGTLESWIYSEMREPLSLDSRVTIAVDIAAALDYLHNRCMPPIVHCDLKPSNVLLDNAMGARLSDFGLAKFLPTHNSTSITSSGGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMVTGKRPTDELFNNGLSIHKFVRNAFPQKIGEILDPNIVQNFGDEGVDHEKHATVGMMSCILPLVKLGLSCSMETPNDRPTMLNVYAEVSAIKRAFSALCVEK >KN540008.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540008.1:61845:64811:-1 gene:KN540008.1_FG005 transcript:KN540008.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILVCLSSKCLEKLVEILREEFVKTLFVRRDIRRLHESLKYFDSVREDADALALENRVTGTWWSDVKDVMYDIDDIVDFLRAHSYKQRCCDCVLFSRLAQLPLDYRIARRIKYVNERLVQITMNSKMFVPLAMRSPQTLQRNGVSRYVAASVDELDVVGREIKETTDNMVQMIIGCGHQSTISVYGILGMGGIGKTTLAQKIYNDGRIRERFHLVLIWLSISESISENDLLKEAIEKAGGQSYQGKSKDQLLQALLNCITGQSIFLVLDNMTSSHIWIDLLRSPIERCADAHVLVTTRSRDVLSQMNAIHVHEVHKLKEHDGLELLMKRSFRTEDEINVFGDIGSQIVKKCDGLPLAIKAVAGFVKKEGSRSIQEVAEDYYHELIMRNLLQARPEYIDKGISTMHDLLRLLGQYLARDETVFMDEGNDETPPNVRRLAVGNAVEEIPGIQDQKNLRCLLVYHHDACRSVKRDIYRKLEHLRILILVGAGLQSIPESVGHLVLLRLLDVSCNEEIKQLPGSIGNLTCLEYLSVSGCEKLASLPASLMTLSTISFLSIGGTALTQVPKGIGNFRRMDNLRSVFQDGTSGFRLDELDSLSKIRRLLLIKLEKASPPASPVLCNKRHLKELGLSCTMGRTSYEDSEVKKIEEIYNKLCPSQNLQYIFIDGFPGDVFPEWLSSEPQDTLPNLAHLHFNHCISCPELPPAGQLPMLQVLHVKGADAVVSIGHELFGKGVVSPTHTIIFPKLELLEIVDMYNWQSWSVSTESLSDGTSETISRPVFLMPCIKYLQLINCPKLRALPEDLHRIVNLRRVLIEGVHSLQEIVYHPGIVWLKVKNNKSLRRISNLSKLQLLLAQDCSELQQAEELSSLKTLYMVDCPMEQMFWDCFSKEQQSMLTHVVTTGAYGQDIYPLESVFS >AMDW01033864.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033864.1:156:426:1 gene:AMDW01033864.1_FG001 transcript:AMDW01033864.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLIQSVFELHDGSGIVITVGKYVTPNHKDINGNGIEPDYRRIPDFNEATEYLSRCQSKELS >KN546334.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546334.1:729:935:1 gene:KN546334.1_FG001 transcript:KN546334.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSSDGPHILTGGLNALDGTDYSDERWADIVK >AMDW01005586.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01005586.1:9:209:-1 gene:AMDW01005586.1_FG001 transcript:AMDW01005586.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LLPGCAAAGEKVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQ >AMDW01060553.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060553.1:1666:1941:-1 gene:AMDW01060553.1_FG001 transcript:AMDW01060553.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >KN542166.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542166.1:9255:11107:1 gene:KN542166.1_FG001 transcript:KN542166.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKDQRAKDSGKVPHQHAQLTCKDHPEEAPQPGYPRGPKDIPRGGNDEKPQGVTYYYAPPSATTDGYPAGPSNEGNHNIKSTDADKKQRRGKKLWNCLPGCFSPGSLNEAEG >KN542166.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542166.1:17725:18369:-1 gene:KN542166.1_FG002 transcript:KN542166.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SAAAASSAAFASASAFQSDFLADPIALGAFHAHQKGVLVVCSGGNCGPNPTSLSTPPPGSSSSPPPASTAPSTPPSSSATALSSRYTAFLPSPISIFTSWAMKSTSPFSSSMAGNRHQLLQPEHHRRPVPTGVWATGCRPVHAGVGGKVSRLATFFSMATNRRAVQLRAQVLTCHSLTPCCNCYPGSLDAQKLVVPVRVRCWLSSCTTTRSNPS >AMDW01023451.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023451.1:59:247:-1 gene:AMDW01023451.1_FG001 transcript:AMDW01023451.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARLTLFGCLKMRMLPEALAGIPELEEVVLYRMPIMVERIKKHGGEDHHKVKHVPVIQTI >AMDW01040873.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040873.1:462:2841:1 gene:AMDW01040873.1_FG001 transcript:AMDW01040873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDKISGGGKREGSQRSRMRKYRSQLEQEVKKLQRQLEEEIDLHVALADAVTQNAGPVLNSSVKIPYKAQELLTNIASLESAVSKLEKDLNELYYQLCHERNERLLAESKPGCLPSTSPDHSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLYSELEYEQDVGEDPEERQMVSLNRLFEKHRDVSLNRLLEKHWDEEMQESCSTDKEGEEDEKIDALSFEQSILKITSMKVGNLWNNPNQLSEEMVRCMRNIFLHLSESSKMSPKESSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNRNDEMTTEASNFDPYKVNGKESRRDIGNYHSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNSMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQK >KN541526.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541526.1:7216:7936:1 gene:KN541526.1_FG001 transcript:KN541526.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKKELCRASGMRNNVGVVPWFHGSEEVPRGPAMHHAAAGAMWSRSSALRDLARSVLLLCSAEAAAATLRLLCSPAFPIHGLDIFMLNLAIKLYDVHLQSLLSYDRYNNRCVITEPENPTAFMEV >KN542022.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542022.1:5722:10560:1 gene:KN542022.1_FG001 transcript:KN542022.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILMVLDESRAVWYSCNFLHLLCEWVPAVFAGFLLPKAIGGPCGCGCGQLGALLPLPEVTEVSRNGPSDAPQKASPATPRSSRVAKTGGNETDSAGITPTRTTPERSPKVTERRSPRSPITEKKRPSRLSELESRVSQLQDELKKAKEQLSLSESRRRHTQQEAEEAKKQEQAATSKLEDLQCQLAEFSAAEESRLQELRKVSQERDRAWESELEAVKQQQSVDSAALSSALSEIQRLKQQLEATIESDAARAKQCEFAESELEGLKQEMELQLATIEGLKKKRPSRLSELESRVSQLQDELKKAKEQLSLSESRRRHTQQEAEEAKKQEQAATSKLEDLQCQLAEFSAAEESRLQELRKVSQERDRAWESELEAVKQQQSVDSAALSSALSEIQRLKQQLEATTESDAARAKQCEFAESELEGLKQEMELRLATIEGLKGILHSANLQ >KN543366.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543366.1:4136:4416:1 gene:KN543366.1_FG001 transcript:KN543366.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLMDRGSLEIQLYNFGKRQYVQPGIFKGAEPIIRTRAIDIPRGL >KN540755.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540755.1:45:1422:1 gene:KN540755.1_FG001 transcript:KN540755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CNGVCMCLSTLRYLSSSFLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDAEKESRACFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNKAVQTPNLQTV >KN540755.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540755.1:33079:41143:1 gene:KN540755.1_FG002 transcript:KN540755.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNADKSPFQRTYASQIKRCGEMARKLRFFREQMSKAAIATSTQFSGTSLEIDDLEVKLGELEVELTEVNANNDKLQRTYNELVEYNIVLQKEMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDETVTDPLSGEKVIKNAFVIFYSGERAKSKIVKICDAFGANRYPFPEDLGKQLQTIQEVSGKISELKATIEIGLAHRDSILKNISSEFEQWNTLVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQESPPTFFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAIMFGDWGHGICLLLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPAYSFGVDPVWHGSRSELPFLNSLKMKLSILIGVAQMNLGIMMSYFNAKFFRNAINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENELFPGQKLVQLVLLLLALVSVPWMLIPKPFFLKKQHEQRHQGQQYTMLQATDESVTELEEHQDDPHHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLVLSWGYNNIFILIIGAVIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFVPFAFASIIEEED >KN540755.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540755.1:7938:19651:1 gene:KN540755.1_FG003 transcript:KN540755.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVANAQQFWNEWEIQCLVLASFSLQVFLHFFSSIRKGNTSRLLSSLLWLAYLLADYVATFTLGRLTLHVDDPRHQLVLFWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVSQVALAIYIVAKSWRPDKQLLGPLVLMLISGTIKYAERTWALMTASSSMSPGSDSMADHVLGVQDDVILDAKSYFDELHSIFPGKNVLDIEGHNGGRRTDDDGYEGLVMAAGKGFRLCLDFLTDMTPFLVWSNTDTIIDSAIKKLRTSNPETQVQMAYKLVEIQLSLIYDYLYTKYGALQFRLGLVSSGIERLITFFSTLAALGLFVGANLKGPFNYSREDVMVSYVLLAGAITLDISSIFMLISSYWLQLHRRGGLFGCSFSLAKCVHAGSKPLWSEKIAQYNLIDACIQEERGGIICGWVMRRTGIVSDINMSNTVSPELKKLVLDKLFEVASTRSVSDYWDWDFSKYRGMWLQWWLQEGRIQADIAQGILTDGITDTDLYFPMTVIVWHIATEMCWFADEDDYSPCRAPSMELSRYVMYLVAKRDVMSGSNGHFELGKARRQVKRILEGRGISDERGLLKYARQATGQVTEPCFGRGRAISEHLLKISNRALRWELISMLWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVVLGIPFLSSNLVFLMLKLLSSCLIRLRSSLTRALQLWNEWEIQCLVLASFSLQVFLHLFSSTRKANTSRVLSLLLWLAYLSADYVATFTLGRLALYVGDPHHHQLVLLWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVTQVALAIYIMVKSWHPDKQLLAPLVLMFISGTIKYVERILALMAASRAMEPGGDSVADHVMDVQDDVIIDAKSYFRELHSIFPGKDVQDLDVRDGRIREADEAYQGLVMAAGEGLRICLGFLTDMTPFLVWSSKEDTIIERTVEKLRSSDPDTQVEMAYKLVEIQLSLIYDYMYTKYGALQFRLGLVYSVIARLITFCSTSVALRLFVGTDLKGPFNYRREDAMVSYVLLVGAVTLDISSIFKLISSYWLQLHQTGGLFGCVFSLVRFVNPWSKPLWSEKIPQYNLIDACIQKERGSIICGWVVRKTGIMSDIDMSKTVSPELKKLVLDKLTEVATTRSVSDYWDWDSSKYSGMWLQWWLQEGRIQDDIAQGILTDGITDTVLYFPMTVIVWHIATEMCWVPSMELSRYVMYLVAKRDVMSGTNGHFRLGKARRLLKRIIRASTVHDEKTLLRYVRQSPGVTEPCFSHGRVITDHLLKISNGAQLWELISMVWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVILGIPFLRSDMKPGKF >KN539270.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539270.1:40209:42598:-1 gene:KN539270.1_FG001 transcript:KN539270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRLGDFGLARLYDRGNDPHTTHVVSTIRYLAPELGHTGRPSKASDIFAFGVFMLEVTCERRPISQDTNGGHLLLVDMVLEHWRQGMVTDVVDPWLQGDFAVEEASLVLKLCLLCSHPLPSARPGIRQVVQLLDGAMPLPELSQAHLSCNMLALMQNQMGNSCSVGCCIVVHALLPRRDLASGAWIVLVIALLCSDVAGAEGLFSCHFTASCAKTTHELCLQSARSGSDGIDMSRACRIPGRQAGNSGECTQRWNHEWLDAAQLDEAGEASSGGGAARQGCADAMFGSALCDFVLCIYTVSIHVDHAGVDIHDAAERFLTTSSFTTMRSDLIRSFGKKRTAQSSLAMSSFMVGVVDGAPFAEADGDGISAQLRCCSVAYVVA >KN539270.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539270.1:104149:117625:1 gene:KN539270.1_FG002 transcript:KN539270.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGHLWTMVLTGGKLGGMPAASGTKQGYEQGGERGDAQASRMPASRRVGAQASKAFMHRGDSEQNINFVAWDIEVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLCDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKSSPEDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQRTLATTVFCQYHGILETSNQNSPSTSRGYQLTVHGHLQNPEDTSP >KN539270.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539270.1:24871:26147:-1 gene:KN539270.1_FG003 transcript:KN539270.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGGKAASSKADPFDSDSDSDLVPKKKPGAYTAPSGAAKARYKDDFRDSGGLEQQSVQELENYAAYKAEETTDALGGCLRIAENIREDAANTLVTLNKQGQQISRTHEKAGESLLGSLGGFFSKPWKPKKTRQIKGPAHVSDNSFKKKASHIEQREKLGLSPSGKSANRSYAEPTTAMEKVQVEKQKQDDALDDLSGVLGQLKGMACDMGSELDR >KN539270.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539270.1:46564:61232:1 gene:KN539270.1_FG004 transcript:KN539270.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGNAIGATYINNLDHPKVTHAKCLMLNLGSNSGDDQTVVTLQTLVDMTKGVFAPDATIEVSSPRKIAEMDLVIVMPTGYSMLFFDKGASELLPVRRHVMWQLLLEQCKRNHWPPPNSVYQVNGIWELWHVPWLDFNYFRTRLCLMPPCPPSTQIGTIMLWLVANSWLRIVELKPWPDPQSSQCSTGGRWTELKVPWSAPDCECSMGDDLCSANCIRNKALPVALSCAPKGDLNHKKIDGSQKDTLVALLILEHSHYVGDEEKVTQGMLNGLIAVGCNYLILIMCAAIITTTFHLAMITTQGNNVYGPNQLHEKLIARLIPLTMRGLPLPIHGNGSNVRNYLYCDDVAEAFEVVLHKGQVSHVYHIGTAKERRVIDEAKDISVRDSILWPKLKHVDVLEDGNNRWTRDFSSRSIVLKGRQDCGLCWCALDVIRDVKVDMVLQLLKQYGSAKLMIINSLSIPWDPGGSRVTLAGRSSCHIGYITREALTEEIKYKSLHQTYPLRQQHPEIKMSQLEMVEMEDKKQQQQQQREEAEAEAEEDEAPVVPSSLRAAATRSLSSLSSSLRWDHRGDDDDEEAELRWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLATARGLSRRPHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGANLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAGIYSTFNYLSHVCLLTQLNQVSLFFLCKVEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGKIVYHGSKSCIMNFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSRTEETYNFVTIGHFCEKFKASQVGQNLVEELANPFDKSEGYNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKVVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALILLLVNGFPELAIALLILFLVHTGALSLFRCVASYCQTMVASSVGGTMSFLVILLFGGFIIPRLSMPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLKFHSLKRYFDTIWTSATGTSRAIISRDKFATFDRRGKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFSPLTISFQDVNYYVDTPVEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIQVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVDEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGLHSCNVIRYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVDFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQKFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGVLFWQQGDINHINDQQGLFTILGCMYGTTLFTGINNCQSVIPFVSIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAVKFFWFMYTIACTLLYFLYFGMMIVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFRRDLLPLAAIILAMFPILFAILFGLSISKLNFQRR >KN539270.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539270.1:84649:86558:1 gene:KN539270.1_FG005 transcript:KN539270.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGGGREQQMQIVCVRGGGGGGEEDGSSEWDQSSSRSALSLFKEKEEEIERKKLEVREKVFSMLGRVEEETKRLAFIRQELEVMSDPTRREVETIRKRIDKVNRQLKPLGKNCLKKEKEYKACLEAYNEKSNEKATLVNRLMELVGESERLRMKKLEELNKTVESLY >KN539270.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539270.1:7300:17913:1 gene:KN539270.1_FG006 transcript:KN539270.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTILLSLALSTLLAILLSKLVSISKKPKLNLPPGPWTLPVIGSIHHLASNPNTHRALRALSEKHGPLMQLWLGEVPAVVASTPEAAREILKNQDLRFADRHVTSTVATVSFDASDIFFSPYGERWRQLRKLCTQELLTATRVRYRDEFLGALHEAKNQLTWLTVADLFPSSRLARMLGAAPRRGLASRKRIERIIADIVREHQGYMDMFSGGSETSATVMIWIMAELIRWPRVMTKVQAEVRQALQGKVKVTEDDIVGLNYLKMVIKETLRLHCPGPLLVPHRCRETCKVMGYDVLKGTCVFVNVWALGRDPKYWEDPEEFKPERFENSDMDYKGNTFEYLPFGSGRRICPGINLGIANIELPLASLLYHFDWKLPDEMASKDLDMQEAPGMVAAKLTSLLLAPVSSRFAACSPILSCERRVGGVEFCSPFNFFFCDLFRMKFISAYLLATLAGNPNPSAEDLTTILESVGAEVDHGKMDLLLSQLAGKDITEIIASGREKFASVPCGGGGVAVAAAAPAAGGGAAPQSEAKKEEKVEEKEESDDYTLHFVFFYIIDSNHVMKLERQNPGFPSTVAGGKPRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYQDRNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMNKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLRLYGFAHKGLESPKIKRVRNQTTKPIDDKENLDVKAKISTTS >KN539270.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539270.1:72126:82956:1 gene:KN539270.1_FG007 transcript:KN539270.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAGSDDLQVPALDDELSTEVGAEVEIESSGASGVEGVKRVVEELAAEQKPRVVPPTGDGQKIFQMDSMLNGYKYHLEYRYSLYRRLRSDIDQYEGGLETFSRGYEKFGFHRSAEGVTYREWAPGAHSAALVGDFNNWNPNADRMSKNEFGVWEIFLPNNADGSSPIPHGSRVKVRMETPSGIKDSIPAWIKYSVQAAGEIPYNGIYYDPPEEEKYIFKHPQPKRPKSLRIYETHVGMSSTEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHAYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLVVLMDVVHSHASNNTLDGLNGFDGTDTHYFHSGSRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDADAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFALPVQDGGVGFDYRLHMAVPDKWIELLKQSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPSIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQVLPNGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMLEFDRAMQSLEEKYGFMTSDHQYISRKHEEDKMIIFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHTAEHFTADCSHDNRPYSFSVYSPSRTCVVYAPAE >KN539270.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539270.1:28176:31964:-1 gene:KN539270.1_FG008 transcript:KN539270.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MGIAEVALHSMPGAFAAHSPASNLPLAADAAGVRRKRSANSLQSSRALQGRVRFPGLRAVECQCKRIDDLARVTEGNGAWVKDAVDKASHALGDVRVPGQAVGGNGSVNGSAAKPPPPQRRKASSVEDEAWELLRESVSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLTVQERIDVQTGIKMILKLCLADGFDMFPTLLALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQTLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILSNDEDAEILNALSTNRKRGKKVLKKTFIV >KN539270.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539270.1:1332:3695:1 gene:KN539270.1_FG009 transcript:KN539270.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPPSSLSRSSRVCVGVAHAVPHRSAETVPDAAPQLLLMRAHARAGRMQPARQAFDAMLPRDRSLVAWTVLMSGYATHGPASEALDLLLRMVEWPLRPDAFVFSVALRACAAAGSLGVGRQVHAAAAKMGYVGADLFVANGLVTMYASCRSLGCAEKVFSGIAAPDSVSWTSMLSAYTENGRDTQALMLLMEMIHGGVSCDAYTLSVALRAASSLGHVRLGYQLHCYMIKSGFVPSEFLENCLIEFYGRCRKLQLMQKVFDEMNVKDLVSWNIVIQCYADNLCDEEALVHFRDLMYKCAECDEYTLGSILHVIARRCAFDYGREIHGYLIRAGLDSDKYVMSALMDMYVNWATLRKSRSMLPLRMLKYYLSVQGKLDQFIVASSLKSCASDLDLAAGRMLHACVLKFDVNPDPFVTSSLVDMYAKCGSLEEAHILFSRTKDPCTVAWSAIISGSCLNGQFERAIHLFRTMQLEHVQPNEFTYTSVLTACMALGDVVSGMEIHSNSIRNGYGTSDSVLRSLISFYLREGQFNQALRLCLSLSNSEISWGTLFQEFAELGDHLGILNLFHVIQRSGGVLDYPTACLILSSCGKKAHLPEGLQAHAYLMKRGLSSTGCMCDYLIDMYSGCGSLTHAFEAFRNTSGRNSSSWTSIIMASVENGCPETAIRLFVQMLRKEKSPNSLAFLSVLKACAEIGLVNEAFQFFVSMTEVYKIQPSEEHYSHMIEVLGRAGMFKEAEHFIDSVVPSESGASAWSLLCSAAKQNGNAKTMRLAADRLSKLTPDGC >KN539270.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539270.1:96426:97308:-1 gene:KN539270.1_FG010 transcript:KN539270.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARCFVVLCVAVAAALASLGGGAVDAAAAAAAQEMRRGFSAAHDRSYSQFEQVLSDPTGVFALGFLRVNFTMLDLAVVHLPSSFPLWRAIPDRPAQWSAPASLSFDGDLVLTDPAANKMMVAADERKVGYFKVRSLEEAAAASGRRAAGVKAALLAVGVTVLVAAAAFGAYRVWNRRCRTAVDARRQVVADDEGLSPGPYKNLGSFSSVELSSSFRR >KN539270.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539270.1:33673:35008:1 gene:KN539270.1_FG011 transcript:KN539270.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLGHHATSPTVLPFPLTVVGVGHRKLSNGKNGGSRASSLPLCPRTSMVRIGSGSSARAKVNRLGTWQWRRLEVVATTKVWQRWGRRWHKYGYVGVVRWWRRWRRHKVAAEEWNWSRVELTWTHGWQVGRGHSIDIAGRELLRQHEEVAWRDKRGAWRRHRRRVTRMRTIAGASCWAKGQVVAQAMRTR >AMDW01018891.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018891.1:79:255:-1 gene:AMDW01018891.1_FG001 transcript:AMDW01018891.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FHPSYFVCRRHDDQKRYYQLRDGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDADA >KN543289.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543289.1:900:4086:-1 gene:KN543289.1_FG001 transcript:KN543289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTRPAMSVLLLLFLPLAAAQPWQVCQDSRGKYTSNSTYQANIQSLSSTLPAKAAAPSTGLFATDVAGNAPDTVYALAFCRGDITNASACAGCVASGFQDAQQLCPFNKAASLYYNFCLLRFADENFLATNNSDVVMLMNSQNFTASVGSVRLLLFTLLNATAESAASSSRRFTTSRLDVSSLPTLYCLMQCTPDLTAGECAACFEDFPRLTLQYLDGARGARILATRCTMRYEIYPFYSDHKSKVWIVAIVAPLLAILFCFMLSIVWIRRGRKGEVNMQNNIAAVNRLEEDALVWRLEERSSEFSLFEFSELLEATDNFAAENRLGQGGFGPVYKGQLHDGVEVAVKRLASQSGQGFTEFKNEVELIAKLQHTNLVRLLGCCIQGEEKILVYEYLPNKSLDFFIFGIAQGLLYLHKHSRLRVIHRDLKASNILLDQDMNPKISDFGLAKIFSSNNTEGNTKRVVGTYGYMSPEYASEGIYSIKSDVFSFGVLLLEILSGKRNSGFHQYGDFLNLLGYAWHMWEEGRWLDIIEASIPQTIPTEGLRNYINIALMCVQENADDRPTMSDVVAMLNSESAVLPEPKHPAYYNLRVSKVQGSTNIVQSSSVNDVTITSNPEGR >KN539617.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539617.1:25051:28602:-1 gene:KN539617.1_FG001 transcript:KN539617.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSCLVLDLDYCVHVEANLSRFPRRGEIIPLDSTIGRSTNQIQESPATPNEQKMKSSSSSNSEDYDPENDPFFLEETPFFPVADGDVFSPGFGKFLIKELTSQGYPLPAIIDGGMHLVNTFENEFVDDIWSKLTKKVASNVSRVVVSLASFKGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGQIKCKKVLSVGRVFKLGKLMATGGILTDKPSKLDCKELMVSTCRITKAGIGGPLIDFDGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGKEKRVHVDWTLKFSSSLLESSSSEIRRGEGGQLADRLLVALAPQGSPEGGFSGSEV >KN539617.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539617.1:77311:81066:1 gene:KN539617.1_FG002 transcript:KN539617.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGPQSRPKRTRPYAFLASLETQDFYKSQIEILKKEMQCMSKGFIEERKVLQREMQLFYQNSQLQLNEQISEQQRRMEQIWGQFNTLISDTSTPGDHVKKHTNHRCCNDKFSRHVITADDGSPIKVAIYDHDNKIITNGPLSSMQVRIVVMNGEFNKDNKVQWNRDSFLQNIVYGRPGKLPLFANELYLRLENGVANLYGAKFQDNSSFLPSKQFRHKLLQHKGIKTVEDFLCFFHKSPKELRKILGNISDQDWDMIINHAHKCEPRPGIYSSYTEEMNVSHESKPRPGIYSSCTEEMNVSQEHESFHTSNGNCYLKGSCSMQPSPAPANLPVSENHTEQIDIQATCQQISSTNNGLPSGASSENISNKAKFHPNTLDQSVKACGEFQTMQASQQVSAIENEVLSRVSEEQLSQVSTSAGDTMEEFLASLEKDLLEDDSRSDFTETYWGDVYNAVKQTAGLPRVNEAHNVSRGGISPASEVGSTVYGGISPASEQCCCALKPSKKEKFKSWIMKARDLKMTRNPGWCW >KN539617.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539617.1:19250:19594:1 gene:KN539617.1_FG003 transcript:KN539617.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTREMQELEGASLEVEDIARAAVFLASDEAKFITGHNLVVDGGFTVGKVLVRDPPGSA >KN539617.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539617.1:29943:34888:1 gene:KN539617.1_FG004 transcript:KN539617.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMEGALDHHKLPSLPASRHKMVGMKGALEELRELVVRQSDRQSERKLRVICIVGFGGIGKTFLADKLYTSVSKEYPRHAWVNASGKRAGKVLKEILEELGKQVDNSKGKGKGTDGGGASSSNNIPEIDVHGASTSKRIRKVGVHGGSSSSEGPKINNVSTETPQSENVDLKSCLGNNRYLIVIDDVQRREVLQGIIREFPADVEDSRIIVTTSVQSVASDINSDSRHMYKVKTLSCDDPKELFFQVASMEKYPEVDRNATLSAIDRCDGLPLALVSIAEFMNRNVAEINTVSAKEKVIAKICEEALQACRDCDDRCRDDRSAKGCDDGCCSDNNPLARMQRVLFDNYHSLHNDAIIQSCLLYFSMFPRGHPVKRNSLIRRWMAEELIQDGISSTDPVDVAAKNLRVLIDRNVIQPIDENVKRCQPPGMMLEYISHKSMCEGFMRVLHCHQQPRTDEYIRRLSLHNYNGKNIAERDSSTLFSRLRTLAVFPAKLKDAVTVGLGVKFADYKLLRVLDLEECNGLDNSHLQEICDTPLLLLRYLSLGGSITAVPRKIARLKRLQTLDLRGSNANTVEAPVEAILLPELKHLLGVFRLSRFDFLVKGLKKKLSKTELETLAGFVIGKSRGVSRLLFHMSMLRKIKIQCKPTADKANLTHVSRAIEKFIRNVHNTPGHRSLSIDLVGGCKTEFLDFLRAAPGTLNSLKLQGKLKEFPRFIVDLTGLTELCFWLTNLRGQDIISGVRGLGVLKYLKLLFSPQLMDPSKIEIAKLNTLKEVALQKGVKVKPVDL >KN539617.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539617.1:55558:57476:-1 gene:KN539617.1_FG005 transcript:KN539617.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQQNKKECEQLARRVFTIAELLQHLQDPDVLRRPEIRRPLTGLDDTLRKAHELVMACQAKSAVYSHMDITRRLDRIYNILLPNDMAGPSMSAVSMPQIPVPAASQDTAKICWNWKEREPHGVQEFNFKELAKATKNFAPERKIGEGSFGSVYMGRLPDGRVVAIKHRRRNSLQGYKEFMAEITILSPIRYKHIVPLYGYCDVLVEEKQRRLLPPFRKEKEEKEHLLVYEYMENGSLDHHLHGPTSSSPVMASWKTRMEILLGVSQAIEYLQYCGEQPIIYRDIKPSNILLDGNWAPCLTDFGLALTWEGPGHEDPIVGTYGYAAPEYVMTGILNPTVDIYGFGVVMLELLTGKRPHFFEESEEKKREDKREECEEEAQKREEWEKEGTTQKLHEDLKKRRDLVSFALPLIEEGNLRKVLDRRPSAEPTPRQLQAVELVAQTAARCLRLQWEERPAISEVVANLETALELARCDG >KN539617.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539617.1:14793:15032:1 gene:KN539617.1_FG006 transcript:KN539617.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIIAETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLLVDGGFTVGKVLVRDPPGSA >KN539617.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539617.1:85454:91734:1 gene:KN539617.1_FG007 transcript:KN539617.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNCVGQAANIAQLAGLGALELIAMIGERVEKVRWNKDECRQLSLRANTFTSLMPWLEDDAETAEVRKEKEKLEDVLRRACVLVERFSSKNSVCLFLLAGRLADELRAMLQELDRQRGDLQLVLQVMDREDTRLLKMTHDSVGAQDIAQGVPVGLLPFNTRVLANCDIRLQNVCAGNILLDSDLNPKICDFGTSKTLKPGVDMDYTNIVAGSRGFIAPEYNEEGRLSFKSDVYSFGATLLQAWDLWHEGRTMEFIDPSLNGEPQTEIKRWVQIALLCIQKSPEERPSMSELVAMLSSSKCECDQLQTPSQPAYY >KN539617.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539617.1:35720:36367:-1 gene:KN539617.1_FG008 transcript:KN539617.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSDRCFSYNTQEKIRPLLIPSAIVVVAVIGIFLTVSFAVTPRMKANVEDARLNAFDYGNSTASSSFSYNLSVALAIRNPNKAIGIKHTKTLVAVIAFHDRRLHNSTVVVVDEGYKQRPGKVKLICLTIDGEISSDLLGTAAADDFKKQNATGLFQVDLRLSGEITNHPLVIPRKHELGTSCPLSLQLAPPGPEVVVFHQVNCNPVKPDKIYF >KN539617.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539617.1:22062:22301:1 gene:KN539617.1_FG009 transcript:KN539617.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIIAETFPAATAEEVRRMVTREMQELEGTSLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSST >KN539617.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539617.1:2918:8873:1 gene:KN539617.1_FG010 transcript:KN539617.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVFFLPGPSPQPCRTTVLLGLIERGLETGKRALSSDAGRNGDSAFYFAMTGKNRAGLTMLTGFVNRFSSVSRPEPESEIPHAYSGAKAAAIGVVRSAAGELARHGVRLNAISPHGIATPLAMRGFGDVLAWADAKRVRRVIEDDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGGKNRAGLTMLTGFVNSFSSVSRPERLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHAVAAELGPDAAYTRCDAAAIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNVARA >KN539617.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539617.1:66105:70266:1 gene:KN539617.1_FG011 transcript:KN539617.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEILRMNRMMQQQNERIKLVLRENQELREKVSSLTAAISEVVGYHKRIPAPRMLPDQNCSEPLRLQFVNSCSNDKYSTHKIEADDESPLQVAIYDRNNKIVTSEPFSSMRVQIVAIDGDFDDDHKGQWTEEYFRSKIVPGRPQKGHLLSGKLYFRLQNGVGYLNAKFQDNSSFVPSKKFKLGVMAADERISERIQEGITESFAVKDVRGYLTKKNPNPSPRDAVYKLSKIAKNGDRHKLLEQNGIKTVEDFLSFYNKSPDDLRKILGKISDQDWDLIISHALKCNPRPGIYSSCLQESNVSHEHEAFFRSNGSYYLQGSCSMQPSHTSQGLLILQKLTEQLDVQGTRQQISSTCNGLSSGGLSVIVPNRSKFQPDTSDQNLMHHGQLERIQVVDRQVSSVGNEVMSVSSMDNNMLEVSSSQQQHSLGHINTAEIDGNGLSHANPSDWNSSLDWIHGHADVQLESMVNAQRRENLLSEYVGRGEHDFTGTPGSGGSCSAAEQNWGHSPVTAAEQNWGHSPVSEAGSMNYNGAVNEAGSWSHRGLPPSRAAGSRRHRRHSFSPARGAGSRRHREARSSSYGEQVFGEASSSDCLWFTPLPPVLFSDNISNTSKYFTDEE >AMDW01033625.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033625.1:204:492:1 gene:AMDW01033625.1_FG001 transcript:AMDW01033625.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMTTKTKPWMAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGAVTMLPFAFWFERLRSINFVR >KN539617.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539617.1:23589:24759:-1 gene:KN539617.1_FG012 transcript:KN539617.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLASSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >KN541582.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541582.1:11646:11909:-1 gene:KN541582.1_FG001 transcript:KN541582.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGESLNPNTVVGAMVEREAKLMRYKEKRKKRCYEKQIRYASRKAYAEMRPRVRGRFAKEPDQEAVAPPSTCLDPSRLELGQWFR >KN543161.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543161.1:2983:9523:-1 gene:KN543161.1_FG001 transcript:KN543161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKMACLLVMTPRRVFVRKQLCGAWVSILGMCKSIALKKLELQLLRQNCKVMNILKGQISLPVQMMAYLEEWSLLENKYANSLSGTVEALNATVLRLPVSDGAVADFQSVKNAVGSAVDVMQTMRNSMSYLLPKLARTNVLVSQPSIITRQEQVLMAQCRELLSTLALMHVKYSSLQGQMIQLSDLKRAKSVSSSEYPY >KN543587.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543587.1:1813:5688:1 gene:KN543587.1_FG001 transcript:KN543587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLAPPTQPSAREPSKIRGGDEHIEQLEPRGRAAERRHGPHARCTDLLRNDGSGVADAELDEVGEDVDARAEVLGVGEQPLEDKLRKYLLLLAILVATVTYIAGLDPPGGVWLETTDEHLTGDPILPDTRRLRYDLFYYFNATAFVASLVLTILLLPFRVEGARLMAVRGVMVVDLLCLMVAYIAGSCRGRLTTIFASALSATIFVYIVVHALVAPSTESEKEKKKEKEKTVHDAQIDHSSTNDDSPADKEKVMEDGHLHGCSSNPVDKKEAVEDGKLRPKERRKVLMLLAIFMVTITYTAGLSPPGGTWEHAEEGDAAAAGGHHRAGDPVLQEGHYWRFVAFFVLNTVAFVASLTVIMLLLSTSMGNNGRRLSALNVAIAFALLGLMGAYASGSCRETETTVTDPVERARSLILLLATLIATVTYQAGLDPPGGVWRDDDNGHSGGGLILPATHAKRYKVFFYCNSAAFVASIIVIIMVQSRSLISRRALEAAVILDLFGLIGAYSAGSCRDVRTSIYVFALAAAIFVLVVAIYVVISKLPHDKKGKLEEKSKLEKKQKLLLLLAILAVTITYQAGLTPPGGFWIEHTDEDHRYGDSILADNYPLRYKAFFYCNATSFMASVIAIVCLMSRNLSSIAVGYCNALYACMAAGLVGLMGAYAAGTTRRLRTSIYVFALIGAVLIFAALHIQFFHKILIGCLSFFSSNKKQDEVTKNHDQATGSKGSIGKNCTNNHDEATDNHDEVVVESKGTAGKKCTNNHDEETTDEYKEKYKMRKYLTLLGILAASVTYQAGLAPPGSVWPTNDGEGHAAGNPILGDTDGRRYHAFFYSNSTSFAASIVAIVLLLQGTLILPELNDPDRFGPMHMVVVLDLLGLLVAYAAGSSRDWGTSGYVVAMAVMVLAYIAIYVFLSLRDRKGSEGGATTE >KN542054.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542054.1:306:14370:-1 gene:KN542054.1_FG001 transcript:KN542054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISGHCERELYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIIQQVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTYWPLDDNEEGESVSCDDLILHPDTSHKSIIVEIKCRHNKNEKGQSETMDDLGVPGRWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESQKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQMPSSAQGKVSGVIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLYTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHEKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDSAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDIPRIHGKDSSKTSRREADQ >AMDW01083834.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01083834.1:1066:3090:1 gene:AMDW01083834.1_FG001 transcript:AMDW01083834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDDTTATGCLLDPWQIIRNSIAGVRGKRKRNEEIQHLQGEASSSQALCATTDINNLSSKSSAVQIIEYILDTLEMRDTHELFAMPDDIQVTDYAERVNRPGDFATLRQKNKDGMYNTLEQFENDVYMVFQKAMSINSQDTIPYREAMSLLHQAKQVFLSLKSNQMYSESELAAWRQKNLVSQSPAKLNGKFDGSKVGSGSGAGSAAPTTPQRPSAPARKKIAAKTGAAAAAAAASVKSTTRQRAARESNGTPGRRARKAAAAAATPATAEHGTGASASVEQRRLAYTDEADHGGWRPVPVVSKGQHATLVYRPQTAAHTYQDSLRRFVRHAGLKARVAAEFRSLECD >KN538836.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538836.1:172034:176931:-1 gene:KN538836.1_FG023 transcript:KN538836.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGAASPVSGSGGPNWFPASPTIGSKISRASRASAPTIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYVFKWVKKRQAGALDNFLIRLTSPHANDLPW >KN538836.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538836.1:188025:190564:1 gene:KN538836.1_FG025 transcript:KN538836.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVLDLFACSLDQVGAAELRYPIEVSGGLMVPTESFESEQFKSCLRHIFKREGVGYLNMNFNATIEIVTSREVKICGALGPCISLRRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDVSLQVFIEHLQELAVQG >KN538836.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538836.1:215023:216489:1 gene:KN538836.1_FG026 transcript:KN538836.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g04750, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G04750) UniProtKB/Swiss-Prot;Acc:Q9SR01] MSMLASSIPPDEQTFLSLLKSERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGGCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRIGVADRGLILSNALLDMYAKCGEMNMAMRVFDEAGERDGISWNTMVAGFANAGLLDLASKYFGEAPARDIISWNALLAGYARYEEFSATMMLFHDMLASSVIPDKVTAVTLISAVAGKGTLNSARSIHGRVVKEHGTQDSFLASALVDMYCKCGSVKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAGASTFGS >KN538836.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538836.1:198066:198941:1 gene:KN538836.1_FG028 transcript:KN538836.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNSTGSTPLEADGSIDPPPLSLSLLSVPTDSGSVLHKTDTINAATLGVAAINVAARMHIGGGSIIPEAGIIVAAAPEVVGRR >KN538836.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538836.1:220525:225705:1 gene:KN538836.1_FG031 transcript:KN538836.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINNSSPSDCSSSIFPVTFSVVKNFVFFVTFHYFTLQADWIQHRYAVLCIAGIILEDLHNTGGRACMEGLTSEQMVAFQEAFLLFDKNGDGCITLEELAAVTRSLGLEPTDQELNDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVIMMKNAERKISG >KN538836.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538836.1:169916:171001:1 gene:KN538836.1_FG032 transcript:KN538836.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMIRVLLVEDEEINRVVARAALKAAGGGDIVDEAENGEVAVQRVRDAAAPYDLVLMDKQMPVMDGHEATRRIRGMGVTTPIVAVSSDGLPADVDAFIAAGADDFTSKPLSKEKLGIILAKFRLA >KN539032.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539032.1:126979:132876:-1 gene:KN539032.1_FG001 transcript:KN539032.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAADGRDIEEVDRLKKRIRTLEMEETKLNQQMERVIRGIEANEAYLVGMVLRIMEKGPEDETAEDDCDVGFHLQPKIIFHPIAGVVYPSKLKPGDLIGVDSTSNEHYCGIGGLEKQIEELVEAVVLPIIHKNCFQRLRIHPPKGVLLYGPPGTGKTLVAHAFASQTTATFLKLTGPQLAVVCVYSVSFSCSIFMHWEVQQTIVELLNQLDGVGSYESIKVIAATNRPEVLDPAFLRSGRLDQKIEFPHPSEQARIHSRKMDKNPDVNFEELACCTDDFNGAQLKAVRHEDFVRAIAQVKDGNY >KN539032.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539032.1:88109:89341:1 gene:KN539032.1_FG002 transcript:KN539032.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MMISYGGAIVHADGKAKAAYYAGGVHRIVKVGMSERLPGLRARLAALAGCGDVFIRYALPGEGLGLLRDVADDGDLWSLVSLLFYYKEVPVSSKPGRIRVFLFAADHHAPPHRTASAPSLPALAASGGASAAATPVTLGLPRSASSPSLATSGSGTAVRMKFGPVVLVPVMVVFPVIPVYPIGAVDYRGVLLVA >KN539032.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539032.1:139914:141434:-1 gene:KN539032.1_FG003 transcript:KN539032.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKDPPSRDRAARMSPNLKRSSGIESSSAAGYGPRRARRTLSAAGRSTSARSVSGSSRTQPFPKPTLARVKSERATTTAAASPRPPALAVPASNSLKDMARTAPSASSKAPSTLQKSKLSPRPSPDKAAASLKPITQRSPASVTARGGRTPVVSSSRVPGNTAAKKRAESANGGSASSKARSGAPQRATTASAISKEEKEDEPSMQFEESESISTPSIEDHLHEQLPDPVDLKPLDMSASDSALYDQQAPSSDIPEQQSKNEEVKESFSEDKDVVVGNELHNGGQGADDIAKNITGIVKADDQSQQAEKEEAKAKVDKVWRKDEPKSNDVIEETKSKLLEERKSRVKALKLNEMLILVDWEGNLILLLMLCKLPEVKYVDRKQEL >KN539032.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539032.1:155731:156226:-1 gene:KN539032.1_FG004 transcript:KN539032.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHADLSMVEGLDEMMSVVAGAPTALAGVCARVEQRPPLPVTGSVVGIIISQGDLRSRVHAGDYGG >KN539032.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539032.1:19656:19982:-1 gene:KN539032.1_FG005 transcript:KN539032.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRADSEAPSRGRGVGGGGGGGKVLVHVPSSEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRLKLVHMYDVVVKTRNVFEVRDAATTAAPP >KN539032.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539032.1:150602:152398:-1 gene:KN539032.1_FG006 transcript:KN539032.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPAPRAQPSPRRTGDPDLDAAKVSLGVLDAISQVTLALQPLFKRSVAFVNRKDSDIAILVAVWGGLHEFGDRMQQARGDDSSGKRVRINTGGALDDVTNLTAAEVRRKRERERYASLSTEQKQARLQKNREYQQRKEATTSLSGCLCST >KN539032.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539032.1:2868:3705:1 gene:KN539032.1_FG007 transcript:KN539032.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFASTTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFNEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >KN539032.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539032.1:98453:102268:-1 gene:KN539032.1_FG008 transcript:KN539032.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTSHNYIHSITQHEIPIDDEQWNDGLLATIREKVHMEADRKAMANQANVPADPQFQSRTTYRIKNKVIYCLDGARIGIQYETYFAGEPCEIYHCVLESKSFLEKMTVIEHTLPFFLPIREVESEFLSSNAIKFIDHLEEILQSYVDRREQVRLIKELYGNQIGELFHSLSYNLIEFVMEDFECKVTVSIRYSDLLFTLPSQARVLAWPLRSSRGISVTERRASRSASAQLVPFRLPYAEDALKTLSLPEAFAEIVLSLPRALKRILSSQESD >KN539032.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539032.1:158416:158529:1 gene:KN539032.1_FG009 transcript:KN539032.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVEERVRGEEEEEDDRPQLSAAVVEALLEFLLEQ >KN539032.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539032.1:117685:123483:1 gene:KN539032.1_FG010 transcript:KN539032.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHSPAGLRSGDSGAGEFEFGGGTQVPGRNWGCPDWIRGDGDGALGFGTRLFDLSQVAAGLRVSVFFPHGFWVGKFWFCLEVTECTVDLGISYRSFMHVKDNRPLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSRSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASAPPNDSSVSCYELASWNKITAWETKPCITEAAVVTATCQNKQRSAYLLGSVLFRIGPIHCVPLINSNEALVLHTELWCSTPNKLRSHNTEFSLLMFYSQLCVLQPWTSRGCPWGWKNYEWEGL >KN543755.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543755.1:3857:5414:-1 gene:KN543755.1_FG001 transcript:KN543755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VMHDVNAIIGELSESGQFVKHVNQSLASCPTEVLALVKQSILQAVEPLKELLPAVMNVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDHIHYLSEDDKAKLRRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGANTDASDNIISDTDKICMQLFLDIQEYARNLRAVGIDAREIESFRALWQCVAPRDKQDDIQF >KN544820.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544820.1:187:1083:1 gene:KN544820.1_FG001 transcript:KN544820.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGASKETPTQQQKPAESVSTPPERQERSIQAEAKTTSPSPAASPKPTEVLKPGSKQSGENIEESRARKAHSDDIATRASFQTSQQESLVLSPRSETVLNSSVTTHTDPYGEGLAIPHDDIGTLPMGLFTGEFSDTEALLDGGTNPETGLSLDSPTFSIATQKDHVDSHLPENDEACTESKFDTGREESSPQATPTGAEKLSSESKKLFMDIEHIIAQVPKAPNMNSDAGKSSQFNEFHGDNSVTLPTFRCCFSSWP >KN542535.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542535.1:11157:13300:-1 gene:KN542535.1_FG001 transcript:KN542535.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGSNNNGNSDGGSNRDEEVQIQIADHINFSDYRDIIEWQSRHDFPEIPNSSKAATSSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGTTSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGYQLYVDGKKGRKTTVSVEETS >KN543046.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543046.1:703:3220:1 gene:KN543046.1_FG001 transcript:KN543046.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFILGTSFIFPTKIKTCITVCFFAQEDKCALKTAKENLLQPVTVPFKEGRGQEFKQPSGSGIDVSRFEESELTNVGEGGVFPVAFKVQMDVSGNQESEGAHETEQSKYLVKYAIFVKKDNAEYGVHVVQQILWVNGIRYVLQEIYGIGNTADKNAHEDDSGKECVVCLSEPRDTAVLPCRHMCLCRECAQVLKYQTNKCPICRQPVEGLREIEVDNKPIPQQGSQQLTAPQ >KN542170.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542170.1:5611:8819:-1 gene:KN542170.1_FG001 transcript:KN542170.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLLSIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLGNTMTQVISLYPSGTVLASIMYTIPMIHGVDTYNLSWDSPGRNLNVETYNYLAFLGCGIGVYLFHPDTGNLVGHCTIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNEIIPQPFNNITIKAFLTFRPYIFSIADLLSNKINASTVGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTGAWRYGISEESDMLSNDFHSVEVVVNILMNALYQTIVMGHVITLKEAIVVVLMNNFSIAAITPVGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRIFSLEDLEKATNNFDPTRILGYGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTTKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQNLRDKTTMDILDSQVVEEASHREIDEMASVAEMCLKTKGAKRPKMKEVEIRLQLLRAARSRAYKEDLQRSSEIKPLLTPKYKCTSLNSTKNVEMGLVANPESQVVSRCYTMEREMMYSSQFPR >KN542170.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542170.1:13545:16311:-1 gene:KN542170.1_FG002 transcript:KN542170.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding KDVTASDVTASENTQTDGPSQSTSAVLLQCPLLCRVDAPDAAAHPARRALDALRLANPVLREFLRSLPDAADALLLDAFCVDALDVAAELAIPAYFFFPSGASALAALLHLPYYYPDVPSFREMGMALVRLPGMPPLRAVDMVATVQDKESDATKVRLYQFKRMAEAKGVLVNSFDRLEPKALNALAAGPRQSVVFLCFGSKGAFPAAQLKDIARGLENSGHRFLWAVRSPPEEQSTSPEPDLERLLPAGFLERTKHRGMVVKNWAPQAEVVRHEAAGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNRVLMVEEMKVAVALDGGEVGGALVAVAAEEVEAKVRLVMETEEGRKLRERVVETRDMALDAINGGGSSEIAFDEFMRDLEKMNSLENGGGRSC >KN542170.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542170.1:10459:11403:-1 gene:KN542170.1_FG003 transcript:KN542170.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEIALEPGYAFRPSDDGLITLFLRPKIAKIPFEHRLINHADVYSADPAELVGEHRPAPGTHGSGSVWYFFCSPRYTSKRKASGRRQRAGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSSGSARSFTRLGWCMTEYGLDDDATDGADKQVLCKVYRSPRAVCAEARTAAAKCSGSKRKADDGVDHPEAQPPSARPRQEEAGSEHDEQSSELLPELDLDALLSAPMDENLESTFSKAATEQYMRYLLNDEPLPWAPTTELVDGGGGGGDEFIQTANGPCMDGEVFARLAAGETLDDILGSTSS >AMDW01035262.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035262.1:22:316:1 gene:AMDW01035262.1_FG001 transcript:AMDW01035262.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ENGKSDDEEELRKAFRIFDKDDNGFISRNELSVVMASLGEEMTEDEIDDMMKAADSNNDGQVDYEEFKRVMMS >KN539926.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539926.1:4953:5171:1 gene:KN539926.1_FG001 transcript:KN539926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRCDALSVLVRGVVTEEGDRVSGMISQHAAPGCDAATIAGMASALTDYGRCNLQHTAGSFACLMFGGGMD >KN539926.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539926.1:60205:60621:1 gene:KN539926.1_FG002 transcript:KN539926.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHQVYSPGEQCRPGISYPAYSLPQCRTLVRRQCVGRGAASAADEQVWQDCCRQLAAVDDSWCRCEALNHMLSGIYRELGATDVGHPMAEVFPGCRRGDLERAAASLPAFCNVDIPNGPGGVCYWLGYPRTPRTDH >KN539926.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539926.1:56273:56771:1 gene:KN539926.1_FG003 transcript:KN539926.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSALLLAIVSVLAATATMADHHKDQVAYSPGERCQPGMGYPMYSLPRCRAVVKRQCEALNHMLSGIYRELGATDVGHPMAEVFPGCRRGDLERSAASLPAFCNVDIPNGVGGVCYWLGYPRTPRTGH >KN539926.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539926.1:51682:52078:1 gene:KN539926.1_FG004 transcript:KN539926.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHQDQVVYTPGPLCRPGMGYPMYPLPRCRALVKRQCEAISHMLGGIYRELGAPDVGHPMSEVFRVCRRGDIERAAASLPAFCNVDIPNGGGGVCYWLARSGY >AMDW01027956.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027956.1:112:300:-1 gene:AMDW01027956.1_FG001 transcript:AMDW01027956.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EVEKKTSAAWSGGGGGGNMVRDAVDYRGCPADRSATGGWVAAALVLGIELCERLSTMGIAVNL >KN542040.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542040.1:10692:11645:1 gene:KN542040.1_FG001 transcript:KN542040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKIGQWGGNGGFAQDISVPPCKLTSVTIRSGQAIDAITCLFKENGWTGACGWSMGWSWWKPYYVQDWPYRACEGILWNPWPVWNLGRHCDIPEDRHRCYNV >KN542070.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542070.1:2543:7872:1 gene:KN542070.1_FG001 transcript:KN542070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGDYPPTRLQAQEDAANLVAGTKMASNPENTVGVLAMAGDRVRVLLAPTSDPIKFLACMHVAGSAERFGRPISLRKEGYNTIKELTTTVCCRKQIGAKALTRPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEALRLSEIARQEAAADGASRYEYSVDPNADPELAEAFRLAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPFVTGAESASDRPADDERATEEGFRMIREALARSANSAHAEISDNSSSGQELELDPQRIGEICFQFEVEDIINSILHLDPNDPLRTDMLARVAAMQIQEQEEEEEGDEQDEADDDV >KN542070.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542070.1:11342:13549:-1 gene:KN542070.1_FG002 transcript:KN542070.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKLDLPENILRDYIPDIDKVKWILDNNHNITYFTEDDIRRITSNYKTKLGTGAFGEVYEGVLGEDRLVAVKRYIQVNKLDEFAKEVIVHTQVNHKNVVRLIGCCKDKNAPMIVLEYAANGSLNDCLYHADTPISLGTRLSIAIECAEALWCMHSMYNPIIHYDFKLSNILLDENLHAKISDFGISRILLTDNTNLTMNVRGSIGYMDPTFAREGCLTPKSDVYSFGVVLVELITKTKPADMEKDIVRRFVQASAKRKGAQELFDVGIANESNIKILEGIGKIAKDCMEEDIDKRPEMNDVAAHLRELRRTLEQGREKTSWQFFSGIRNDLKKENQQERSNFSSSTVFYKIKNLGIFKWNAIDNFKKNGGPILQNISNIKIFTKEEILNITQNFSTALSKSSSSDIYLGDLDDNTRVAVKIFTDVSESREEFVGQLTIQSQVQHQNIVKLFGCCLEMDHPISVCEYVPNGPLSNYLVVEKGEETGGVSPRARSLLDMNTRHCIALGVANAIACLHEECLDKPFDGITPWEILLDDDFCSKLSKLTPTIIATKTVTTPILDKHKYVAPERFHLSHKPITASTKADVYSFGALLVEIVFGIRDIMFWEELAGSKEPFDFLNIVFPEAHLKQRIVDCLDPHIIRAEADDATRSVATAERMVITAMWCMQFNADCRPTMRKVIDMLEGTIDIAEPPHPIRSYVYDNMPALPCCNSDEDKPFIDSLPYFISDEDEFELL >KN543921.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543921.1:1813:2223:1 gene:KN543921.1_FG001 transcript:KN543921.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYSSKTTNSSSSSLDYDFYSQLPAATTDDGQENERWSW >KN541209.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541209.1:13013:14131:-1 gene:KN541209.1_FG001 transcript:KN541209.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVWDPITGDQHRFPLPPHPHAYCTGAVLCAASDCHHLDCHQGPFLVVFVGTGRHDHSWACVYSSETGEWSSQASIVLDSYVEMLPSVLAENTLYFYCEYGTKILGYDIGKHELSEIDPPLGHDGGILIESEYGGLGFATVEACGLVLWSQYVCYDGIEEWEQSRIIELDMLIPNFFYSGGLVGFAEGTDIIFMLTDVGLFAIELKSGQVKNVGESRPYYAVIPYMTFYTSDLARKRLAQPAGMQ >KN541209.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541209.1:30502:32025:1 gene:KN541209.1_FG002 transcript:KN541209.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVKNSSLPTRIAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVRGNKQHLFWIRPNNTAERGVEAKLIFVYNDGSAPMNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTFLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGADQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKISMNMPRQRTIRGAKKAKLLRRRDHWTQSRTDAISDFFNLWAAHAPVQLQGSILDWTQKEKEAQLAPHLLHLKF >KN541209.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541209.1:5494:5922:-1 gene:KN541209.1_FG003 transcript:KN541209.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVNGDAICRNKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYIAETSPEKVTFKTGTGFSDTFDAAAFALGE >KN541209.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541209.1:14908:15336:-1 gene:KN541209.1_FG004 transcript:KN541209.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVCRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDAAAFALGE >KN541209.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541209.1:23388:24669:1 gene:KN541209.1_FG005 transcript:KN541209.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVVQEVLGGAVSYLSSNREEVVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRIFKRAYEECSEVVEKCKVRILEVAEEQGVTHGYFPMQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKSFCLLLILRLSESTDIVRIIINCLQLLGPHFMRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGNYSSRGATDKCMYASLHEMQEMAVLVPKFMVMDTFV >KN541209.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541209.1:2573:3959:-1 gene:KN541209.1_FG006 transcript:KN541209.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVWDPITGDHHRFPVPPHPHAFCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKASIVFDSYVEMFPSLLVEDMLFFICENGIRILGYDIGRHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKILLGGGRHNQLEYRLYSDSSRPFRYASGILQSYSFLLSFQIGNRSKKRVCDRARSRCFISVCNESERG >KN541209.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541209.1:16491:21284:-1 gene:KN541209.1_FG007 transcript:KN541209.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRRRRERRQLARLCDLVAGALLPHLVSKAIRGWEEEEEEEEEEEDDEGCELDQEIISCSAEVHSCSLPANQHFDDGFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHVFHLLLLLLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTSNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSLARFEELCEQD >KN541209.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN541209.1:7334:8912:-1 gene:KN541209.1_FG008 transcript:KN541209.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEILLRIPPDDPASLVRASLVCKPWRQLLTDPAFLRRYRAFHRTPPMLGFIHNVDHSSNSSYIPRFVATTSPSPFYPDFPPPSIEFPTYWWALDCRHGRLLLQLFNPIDLMVWDPTTGDHRIFPQPPYLDFYCSGAVLCATRGCRHVDCHGGPYLVVFVGTGEGDHSWACVYSSETGEWSSQASIAFDSYVEMLPGLLVQDTLYFRCERGKRILGYDIGRHELSEIDPPPLGHEVGILMESGYGGLGFATVEDCSILLWSRYVGDDGIEEWKKSWVIGLDFLNLVGNPSLSWELAGFAEGAHTIFISSEIGVFTIELKSGQVKKLCKEGYYTVVPYMSFYTSDIAIWRPEEPAED >KN541209.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN541209.1:988:1416:-1 gene:KN541209.1_FG009 transcript:KN541209.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVNGDAICRKKSIDLLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYVTDASPEKVTFKTGTGLSDTFDAAAFALGE >KN541209.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN541209.1:26526:28064:1 gene:KN541209.1_FG010 transcript:KN541209.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVSSVVVHEAVNQIIHGLVNWNERKPSAEENMERLEMAHIRLEAALETSCKWRITDSSLLRWQKKLKRAAQECDDTLRKCRQHILEQEETEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVQRFEWFADGANEFLRFVEFGGTPHQYLFFDPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFDSKNANALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKQHGQNHSCESSNLGMPSVQGVSLGPVIEVSLQCHVLVPEFREQGTIVKGKPSLKKCPHMKVDLVYTPHGSSQDLLPEIKNSVIEVINGDKQHCLNTNIALELMEEIMLPRAVDCFHENAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHAPVQLQGSILDWIQKEKEAQLAPPLLRLKF >KN541209.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN541209.1:9436:9864:-1 gene:KN541209.1_FG011 transcript:KN541209.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRASAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVAEASPEKVTFKTGTGLSDTFDATAFALGE >KN542768.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542768.1:457:879:-1 gene:KN542768.1_FG001 transcript:KN542768.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDIVDWLMWGIIFFFLLACIGVALCFLALTIATVVGLIRRRNDDANNKYDMLIERLLLRPKDDQDNEQCVICLSESEDDVDGGGERGRWRMLPGCAHAFHKDCVVRWLRNRTTCPLCRSDVAVAAADDIISTADNMV >KN542768.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542768.1:1524:1970:1 gene:KN542768.1_FG002 transcript:KN542768.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLFTGALAGSILYAVCVLLLCCVDRRRRHAGAPPPDPKIWLPDHAHHRRRRDESSEMECSICLGELEEGERCCTLAACRHEFHKECIYRWLANRNTCPLCRHISLPPASSPPPAPPPHHASPPPSNVPAAAHV >KN540547.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540547.1:835:1566:-1 gene:KN540547.1_FG001 transcript:KN540547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHFTRAAGHEIGTGTFLDMFLFSSSPATEEGPPCEKCGHLIKQQGVIMSCLDCFLDGGNPYLFDYAVSPAFFLAKARGGTCTLAASDPADIVIHRAQHLLNSGFGAYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEHWPPRDVAVAPPPQESHQECQGNDVAPQECHGDGHGGCVSESLS >KN540547.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540547.1:30582:35005:1 gene:KN540547.1_FG002 transcript:KN540547.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEVPQVPEYSPSLDEEGVCKTAFELIFAFDEAICLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMKMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDTDGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWRYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVI >KN540547.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540547.1:11418:24729:1 gene:KN540547.1_FG003 transcript:KN540547.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAGYSYSGWCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVHSDIPRVLKETSETPSSKDWATEELNATGKFEFMDLSVDEAEHSMEMHLPYLSKVFQGHSVKVVPILVGALNSQNEAMYGQLLSRYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETDAETLFDEDQDRICSVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLGMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRASPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIELNFFFLDGNKVDSTVKAYWSNACFTFHCAYSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVAANYQVV >KN540547.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540547.1:9570:9995:-1 gene:KN540547.1_FG004 transcript:KN540547.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGATASAVGQTTASWRNWPDLLRSGGLGGRAASERRYGGVVEARMWACGRAPSIPLARRLHETGPGRNGGVDGSSTALEDWKGERGVGKMSAPSPARALVECGGGDTRGARRHMKAESSRGRKSRWMDRVKSRSRWRR >AMDW01019804.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019804.1:51:281:1 gene:AMDW01019804.1_FG001 transcript:AMDW01019804.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRLLELTLVSASDLKKVTLFSRMHVYAVASISGSNVPMPMHGTHADRNGGSNPAWNTVLHFPVPARFDTRGLALH >KN540143.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540143.1:2893:17597:-1 gene:KN540143.1_FG001 transcript:KN540143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAAALLLQLQLLLVISLSTAQPGFISLDCGGDGDFTDDIGIQWTSDDKFVYGGKTANLSSQNDLPKQLKTVRYFPVDDRKYCYTMNVSERSRYLVRASFLYGNFKSSNIFPKFDLSLGATPWTTVVVYDDTTPTVVEAIILASAPTLSVCLSNASTGQAPFISTLELRQLNGSLYETDYEKQFFLKLSARINFGAESNASVRYPDDPFDRIWRSDLVRRANYLVDVAPGTERISTKRHVSIRTDGEEPPEEVMRTPVVGQNGSLTYRLNLDGTPGNSWAYAYFAEIEDLAPNETRKFKLAIPDLPQYSTPTVNVEENAQGKYRAYEAGYMNMSLPFVFELVFQKTDDSSKGPILNAVEIYKYIQLTMGSQDANNMAILVSRYPQESWAQEGGDPCLPASWSWIQCSTEKAPRVLSIILSGKNITGSIPVELTKLPGLVEFHLEDNQLTGALPSSLGDLPNLKQFFSGNSNLHVAHNTITHPVIIIVCVVIGAFVLLVAAVGCYLFAYNRKKKPSDVPAKQLSSPLSEVATESVHRFALSEIEDATDRFGRRIGYGGFGIVYYGKLADGREIAVKLLINDSYQGTREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHEGTLKEHIRGGPAYVKVTSWVKRLEIAEDAAKGVEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGISKPVVSGSHVSTMVRGTFGYLDPEYYGTQQLTEKSDIYSFGVILLELISGQEPISDDHFGPHCRSIVAWATSHIESGNIHAIIDQSLDTGYDLQSVWKVAEVAIMCLKPTGRQRPSMSEVLKEIQDAIALERGGRELVPSIQHPVSKCSPSVNMDSVELEQNSRFDELLELPGLR >KN540143.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540143.1:58914:59420:-1 gene:KN540143.1_FG002 transcript:KN540143.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISKPLLLAILCCIVCLYSSSGGAIVAAARELGGDAAMAARHERWMAQHGRVYKDAAEKARRFEVFKANVAFIESFNAGGKNRYWLGVNQFADLTSEEFKATMTNNKGFSTPNGARVSTGFKYENVSADALPASVDWRTKGAVTPIKDQGQCGKYTNNRCTLVVQW >KN540143.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540143.1:47933:53689:1 gene:KN540143.1_FG003 transcript:KN540143.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGQRTVEIRRMIYLTIMSTVDFEEAGHKLAEIKLEPGQEMELCIMLFECCSQERTYLPYYGLLAQRLCLINKVYRKNFEKCFAQQYLMIDRLDTNKLGNVANFFAHLLATDALPWHVLAYIRLTEEDTTSSSRIFIKILFHELSDHLGIRQLNKRLSDPKMKDYFDSIFLMDHPKNTRFWINFFTSIGLGGITETLREYLKTMPAMQQQKSESSSDESGRKPNEISQNSSLVDLESLSGHSVAAPCQTWNDMWQVVKKKKNRMAEPRFPPPETLKIALLCLLLPLFSPATASIPSAASTSLDRSCIADERAALLAMKATFFDPNSRLASWQGEDCCSWWGVRCSNRTGHVIKLRLRGNTDDCLSFYGDKLRGEMSYSLVSLQKLRYLDLSCNNFNWSQIPVFLGSLPSLRYLNLSYGFFYGSIPPQLGNISKLSYLDLTSYNYPYNQLYSVDLSWLSHLSSLKYLVMNYVNLTTAMDWVDEINMLPALKVLYLQQCGLRKTVPFLRRSNLTVLEVLDISWNNFHTTIAPNWFWNITSLTFLNIRPCGFYGSIPDEIGRMASLEEVYFQGNNLMSTMIPSSFRNLCNLKVLDLQGTNTSGDIRELIERLPNCPWNKLQQLGLSHNNIGGTLPNWSEPLANLIVLLLSNNNITGAIPSWIWTLTKLKFLDLSSNKLNGIVKEDQLGNLTDLLFLGLGNTHLQIKVSSNWIPPFKLQAVLLQSLQLGPEVPPWLRSQTSIQHLQIANTSITTIPDWFWIVFSRAEFLDVAYNQITGTLPATLEFMAAKTMDLSNNRFTGMVPKFPINVTYMYLQRNSLSGPLPSDFGAPLLQSLTLYDNLISGTIPSSLFSLEQLEILDLSGNILGGEIPTYQEDSNPRTRQLIVVNLNSNNLSGEFPLIFRSYPRLVFLDLSYNRFSGNLPLWMGKKFLPILSLLRLRSNMFSGHIPTELTKIDQLQFLDLAENYFSGSIPDSLVNLSAMARTSGYSVLLDEIVLTGQGAMYDIIFFYELVSVQTKGQQLEFSRGISRVVNLDLSKNNFTGAIPQDIGALVALKSLNFSWNLINGEIPETIGQLKQLESLDLSHNELSGEIPSSMQDLNALGTMNLTYNNLSGRIPRGNTMGSYDASSYIGNIGLCGPPLTRNCSGNATSKDLPGNHVDLEHISLYLGMAIGFVLSLWVVLCLLLFKTSWRKSYFMFVDRQQKKISVSVKIRCAVLKRKLGANNR >KN540143.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540143.1:41946:43716:1 gene:KN540143.1_FG004 transcript:KN540143.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALVAAAAQQQQLVPCMYIFGDSLVDSGNNNNILSLARANYQPYGIDFSGAAPPGRFTNGLTVVDMLADMLGLRPPLIPAYAMAQPGDFARGLNFASGAAGIRPETGNNLGRHYPFSEQVEHFRAAVRQMGPNAGSPERLGRCIFYVGMGSNDYLNNYFMPNYYTTAQSYDPAAYAADLLQEYSRQLAALHALGARKFVLAAVGDVGCIPYELARIDNNQDDDDAAPSSDSGTGISISLGGVGLTITCLPMQQPCGDRSKYVFWDAFHPTEAANRIYAARAFNSSAAAGDAYPINVSQLAAI >KN540143.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540143.1:61087:63345:-1 gene:KN540143.1_FG005 transcript:KN540143.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDVITDGGVGSSCGVDADGAAHHKQDGVHAGESREVLQAMEKQEAATHDPCADNSVPADADDKQPLKESSIVNQGSAESVKRRRLPSLLQKPAKFSSPSSGNAASSSKNLGTTIAARISQLESATGPVKHTDSALSQVKPPIEAFPKDVPEITSRTSQLEEQRSSHVTRVKEKLFGFTSQSAHQKANTPRKEKGKTQNESFKARPLPNFYRRNKQAKDSSHQVKGTSTVPNIERTRDRSTSRYR >KN539824.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539824.1:76769:77167:-1 gene:KN539824.1_FG001 transcript:KN539824.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGLAAYRAVLRAARRTFAGDRLMLAESAVEIRRRFEEHRGLAPGSGEAARALSDAREAAHFITHMIVQAQRAPSGSFGTSPLPFTSLPFLSAWDLSGFRDIFHCVSILELYLPKLEIEVDARRRVGN >KN539824.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539824.1:56005:61358:-1 gene:KN539824.1_FG002 transcript:KN539824.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCIQFGRSVSSVIPKWPEFSEDGTIHSVDQEGKCRAFDSNCGDHDSFAELKDFLNCEDPDLQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNEEVSHDKGRSAKVMPIDTVFLSTKLHGRSIQNKNLTWCTSPENAMIYTPESPLYQVDDCDMKQNDLQSSRSQCSANSLSNSVLNINKADSYSTSNYFNKEGMFPQCTPKHDLRCFSNFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESKVDFLERFEKAVSKLLVSDGLESYLDAGSEVTTIWHLLNSSSEVRYKSSARQDILDQLLDSISTSKKDKVIRASVYVLLLMLSEDRNAMRGIKRKEFHLSNLASALKRDVHEAAILIYLLDPSPLQIKNLELLPSLLHVACNSDTKKWPAVLPLTPTSASIALIEILVTAFDYVTNNVHLGAISSPHILSKLVDVAKNNNLEEGVALAAILVRSAANSLLQEIKKLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLDKPDGKSVFRDEAMEVLLDSLSSQENCTVQALAASFFCNLGGTYSWSGESYTAAWLAKKAGLTSTSHRNMIRNIDWVDPCLQDTEIGPWSSKSARTIIRTGVPVLHALAKGIQSKAKGTSHDCLVCAAWLGSELAALGENNMRYSACEILLHDIARHLHPGFELDERLLACMSLYTYTSGKGKQMLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVKEHKRAVTCFALSDTGENLLSGSADKSIRVWKMAQRKLECVEVIQIREAVEQFEIYNDKIIVLTPNNVLKFSYSSRSTQTFYKSKHVKSLAVAHGKAYLGCTDLSIQELDVAVGSKIEIRAPTRSWRIRKHPISSIVVYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAISKGTNVEAMAVVEDFIYLNCDKSPSIIQIWLRENQQKVGRLSAGSKITSMFTANDIIFCGTETGLIKAWIPF >KN539824.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539824.1:29799:36401:1 gene:KN539824.1_FG003 transcript:KN539824.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVEYHEEFVTNPRGLRLFTCGWLPSSSSPKALIFLCHGYGMEVSGFMKACGVELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICELEEYRDKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKGLALGSNLMAYLISEKVKPHPLVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSISVEQSLSQVSMPFFILHGEADTVTDPEFEHAPAACLERAAWQNTNF >KN539824.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539824.1:3571:4098:1 gene:KN539824.1_FG004 transcript:KN539824.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPLPLLLFLLLKPTAYEALATFDFPPGILPKGVVSYTLNDATGDFTATLNTTSTCAFSIQGSYSLRYQRRLSGRIAADRLTNLQGVSVKILFLWVNIVEVTRHGDELGFSVGIASADFGIDNFLESPQCGCGFDCHDHRDLSLPLPLPEPSLRLRGAF >KN539824.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539824.1:12431:13204:-1 gene:KN539824.1_FG005 transcript:KN539824.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAASCVALLAQRRGLSAAITAAEGSARIIDDKAVKLGTAAKDVATATATTAEEKTAFWEPDPDTGYYRPVTGTKEVDAADLRAEMLKQRMLHD >KN539824.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539824.1:72789:73497:1 gene:KN539824.1_FG006 transcript:KN539824.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MANLETLKINSYTNYLENMDFLGRLRGLTLLFLAKFLHSKICHVKQTCAVTKQLYGSILFSAVKRADSIQHGKTSTNQHGDLRQGEQSKAMKRTAELGMKRGNAGASLKAPKAWVPWSSGQHCKNPMLAKGRELFKDAFLTLNPAPKTSYENAKELFLNNTY >KN539564.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539564.1:51243:51836:1 gene:KN539564.1_FG001 transcript:KN539564.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDASAVARALPRDFPPIENLSFEGFPRYTFKIGNRIHCTNLMYRPGSRTLLTQEEAHEIIREGLRSDIRRGRIQLNADFLQQLVDQVRDHILQRQRRGTATAMDGVVEVEDAYRNGGFGAIPASSKAMAELQEAMASDARERGCAVCLEDFEAGEKLTRMPCSHCFHATCILDWLRLSHRCPLCRFPMPTQDQSY >KN539824.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539824.1:10073:10618:1 gene:KN539824.1_FG007 transcript:KN539824.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLLAVLAVAAAVAEAAAEKKPTAYEVLESYDFPVGILPKGVTSYTLDATTGDFTATLDTGDDDDSSSSTCEFAIEGSYSLRYQRAITGRIATGHLTDLRGVAVKVLFFWLNIVEVTRRGDRLEFSVGIASADFTVDNFLESPQCGCGFDCDDGDGISSSSSHPPPLEPSLLRLRGAF >KN539824.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539824.1:36706:38553:-1 gene:KN539824.1_FG008 transcript:KN539824.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGLPLMVTDFRKRIFGDQDSTATTTGGQQG >KN539564.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539564.1:18010:18513:1 gene:KN539564.1_FG002 transcript:KN539564.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYHSNSKTVEFFEGDVDHSYAVAIAPESKYSVHNMPGFIIHRSLEAASDSSESVYKGSEDYFTFACRYGDTSPNLVSRLITGPVFNLNGQVLGIVVDDIEYKFWPKKPRKEDGSQEIIHEDLFYRAGYFLKVAMRVNNLQQDLRSMVKDNDWQNGLKKIATERRV >KN539564.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539564.1:55116:58988:-1 gene:KN539564.1_FG003 transcript:KN539564.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAKEAERPASVMRRRSWDSKLQLVISVLIPTLQQLTGINVVMFYAPVLFKTIGFAGTASLMSAVITGLVNMFATFVSIATVDRLGRRKLLLQGGIQMIFAQFVLGTLIAVKFGTAGVANISRGYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEISTNNNSSSPKKNLGGSCPCWYTMNPASRRHYDSLVARYGDQDALADRFGGQNDGPDAWWSNLRVRMSQDHLLRHEARRRAATLEAFEDRENSHDGAFGCGDRASAKAMVTLHQPNLGETREQDCAVCLEPFEEGNTLRMMPCFHSFHQRCIFSWLRISRICPVCRFTLPSQADFESEKAEKERVSASPEDGTN >KN539564.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539564.1:86724:87164:-1 gene:KN539564.1_FG004 transcript:KN539564.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFLPLFHPLNFLLCDKHNTTSTCPSEHSETKARTELAHGNVAVVMAALGLSFNAEGNEVEDEALVLLEEKQASWEELEETFSVFDGDGDGFISPLELQNVMRRLCLQRDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVI >KN539564.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539564.1:9141:9887:1 gene:KN539564.1_FG005 transcript:KN539564.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRDTKDYVAELLYEHQGYDLAIIKVNGVSGECPILQFGDLEGVAHRANVVQLGYILGSQFALNLDPSVSPGSVIRPANQNGMMGSQDVVYSAAARHGASGSAVMFDDKVIGVLYSMSTNSQVAYARSSTTVHMALKNWLHPNDAAITTEKMIELVVKPLNDSELDD >KN539564.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539564.1:64946:74960:1 gene:KN539564.1_FG006 transcript:KN539564.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVSKRCSPEEEVIADILFALPRGPRPVLLRRRGEINRTGGDSTRDGDSAEKSRTGRGHEIRGRAGDVEAEAARGGYSREDLATKGGSVGSRDGGGEAFQSKREGALRYRCTIEDLSTSKGPGGGGGYDYELVGRGGVAAAERDTRCRYSVQDLSTVGKSGGMYDGGNGPGFKEGAQETVDCVQGFKTHPELGLPANDSGTDTETVDVSVSEELIRLQTTISKPKTNTATDDSADDSAAEIEAFDVSEELIRFQTVVSKPWDAIWREAPLVFCDSFLCPTGDRSGLSQSELKDAVASILLNHKGVVSYFRIDSSRSLNLQTLETWFNILSEKKVKEMVLFNCSGPQKLIEFPMDSLDGSQVEVLRICFFRIPEVYAFDLSKLHLLDFSYCKFDTEHLLHFVEACPNIRELHLGYYDGNVRIRSDKLEIFQVWCSTMKSVNIEHAPELRKLTIAASPGKYSSSLSVRVINSLYLEHITCNISNQWITINGSNIQTDDKVLPNVRKLYIGLSMSKRRQREQLSNILNCLTHLEDLTIWRMDTVANNEDYDAALEDWSPKLRVKTCLKSLQICKMEGYQGGKLESDFASAVLVRANRLKRLIIESDKEDVFKKAVGILQKSSMAYPVSLEETYVILLLFSFCFLAQQSLYSFPCSSSGLPEHDFSALRYGWEVASPLLYGNVGFKAGEVRIDCDENRGVVVMVLDALTRYQWVPDTHLAKSLKVQKKKLCLILEFLEKQMFVRRCEVKAKTGRNVSNTATTAGVSAIPRNEKVKSKHPKWYCCINYAKICSVVRYHIMQMEANLKSQLENTNTVDKYTCPNCGKSFSAFDVKDLVSCTDGNFYCESCKHELVACSEYGNYNEREGRSANLLDFLENMKEKLRPLKTKLDLLEDLPAPDFGSTPDFKGTYNISDWSRTSVPLPEPTNGDDSFSSPCAKDDESDAGVSELKILPSWLIRKGMKLKQAHLSNSSTVCGEGGTNIQEEYMKAYYEAIQKRQEDRIRHSGQSSVPGGPSVSSERPMGVKRQKLCNDINNNALECQGEEPPGDTFRT >KN539564.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539564.1:1028:5932:1 gene:KN539564.1_FG007 transcript:KN539564.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLRSNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLHKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMAAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWVGESPSGEMPSSHQVHRDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLRIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRHPRRCCANSKMDNGLVRYVVRDGKDEAAVAEFDSEHGGADEAMQESDSIS >KN539564.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539564.1:35502:37941:1 gene:KN539564.1_FG008 transcript:KN539564.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRSVYQATVVKYAVVFEIRKIVNFILLTVAGSFKTGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWYEDFCRRCILSHGPENTFFMVTDSSSPDNETTQTALEDEETRKAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDVQ >KN539564.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539564.1:94092:97330:1 gene:KN539564.1_FG009 transcript:KN539564.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLALMDKVLDVDAKKKTVTVQAGIRVAELVDTLREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSSADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSRWRTPKFTSKYGKDEAIQHVRDLYRESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDLDYIEELLQLIEKEDIPAPAPIEQRWTACSRSPMSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSKTQTNLWDGYSAYEHWAKIEVRTFTA >KN539564.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539564.1:38719:42316:-1 gene:KN539564.1_FG010 transcript:KN539564.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLMVDGDAGRRAPAPATSPAAEEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWPDDVGELLAGDPVLQKTYPRRYKAFFYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMILDMFGLMGAYAAGSSREVAMSAYILVLVILVCSYVSAHVLLYGLTAQVSAPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHVAGDPMLRGNHPYRFMIYTRMRVLMVDGDAGRRAPAPATSPAAEEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWPDDVGELLAGDPVLQKTYPRRYKAFFYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMILDMFGLMGAYAAGSSREVAMSAYILVLVILVCSYVSAHVLLYGLTAQVSAPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHVAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGATMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYITIQSMVFFSEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRGRVREKLKQCMPQWLKNLLELEEHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEKKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLLGAFAAGSCRKVKTSIYVFVLVLAVLLCIAFQVALVVSGSLRRLVDSLLSKLGAPLEEDAGERLPHTAADGDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKAFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSIGVARWMKKVMDKVGEKLTHCFSFEDL >AMDW01048810.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048810.1:14:1718:-1 gene:AMDW01048810.1_FG001 transcript:AMDW01048810.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINGIVGVAQGEVKLCCNFSDDLEGIKDTLVYLETLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKEQFDGGSYAQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSHINSAVNFEEKQTTSYRNTDIAIVGRDADLDNLMDILMENSAEELSIISIVGPVGFGKTSLAQFVFNNTRTE >AMDW01026871.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026871.1:48:278:1 gene:AMDW01026871.1_FG001 transcript:AMDW01026871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIRGELDEQEREEFFRLKKIQGYKQRELERQVDAAKRYAEEKVAGEVALKRGVSVAAAETMLLENGDRDDDIIF >KN540767.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540767.1:3717:10006:1 gene:KN540767.1_FG001 transcript:KN540767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAEDWGESSSPPRPHAEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSQKNASPSNSTNSSASANQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLSVNHENVLQFYGACTRPQKYCIITEYMPGGNLYDFLHKQNNVLDLLTILRIAISISRGMDYLHHNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEVPYDNMTPLQAALGVRQRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRLTCSCFLSNSTECVISLGLLQMKQMSRHSSSPLLNVHTKIAF >AMDW01038936.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038936.1:394:826:1 gene:AMDW01038936.1_FG001 transcript:AMDW01038936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSML >KN540646.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540646.1:1830:3499:-1 gene:KN540646.1_FG001 transcript:KN540646.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTASERLSELPDDLLIRILSFVPVDPVKEAASTTLLFRRWRNPLWLETGTFNVDLTSEQLSNHAHNCLAMWRDEGDAREALRRDRRPRRLKKLSVTDLPCEDFRVLDLTGFNLEEEIDDECLWDWIAYPCLTTLRLRRCTVPLDELQRLITAAPVLADVRLESVTFPDQESVDGMTTDKHVRLHCPAVTAFAMVNCHMFCRSFELDALALICFRYAQISSYEQSISLKPPTPCLEKANLESISGTETFRSLLHDMCHIKVLKLTVYSIVGDIKFERLPCFPNLNHLVIDELCGFAMGNGSAAAAATAIGELLQRCPVIRELWIRFSWSKYLHESPDLAGYLESMACRFDESDYCDRCVVSAADRGRQELDDSWKNSLRKVTVQFQKGKLTCSQVELVKFLAENAAFLEEFNIDGENEDVTDKINTKIATWRASSSSSREKEAHRAGVGGERPSRRPPPQHLHRPETRCLYNGWHPAFRR >KN540767.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540767.1:26295:27705:1 gene:KN540767.1_FG002 transcript:KN540767.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQRLQIPRCRNEGVEARPRRSSLLRQPIFVGQLSVYIQPHWTMGVKLTLPALHEQFQMVKSDVNG >KN540767.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540767.1:19548:20136:-1 gene:KN540767.1_FG003 transcript:KN540767.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPSSWLLFLLLLGVGATAAARGSKKLTPPVSTAYEWPERDQLSGDPPLYNVEWVNGTSYLFNTAASSCRTFQFPVGILPPDWIATADYLGRDTVDGFECHVWRNFLFSRYYADVATGRPVRWIFNGNTRHVLVFEAGVVLQDSSKWQAPPYCFFASSTSI >KN540767.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540767.1:12877:13472:-1 gene:KN540767.1_FG004 transcript:KN540767.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLSSPSASQRGGGRELQGPRPAPLKVRKESHKIRKQEPVRQQDAWPQQQHSPAAIEHAAARSSGADLPPLPSILSPVPGTLPAIPPSFFSPPSLISPAAPFLGATTTSSAAPSQSPIGGSAYYWDLFNNINMQHYHHQN >KN540646.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540646.1:23015:24169:-1 gene:KN540646.1_FG002 transcript:KN540646.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGKLRELILAAPELAELRLESVTFYEQPFGNKRILLPCTAVTTLAIVDCDTGGSSVELDVPALINLRYVQITPFDSSISLKSPPPCLAQVDLEQISTSGAAMFRSLLHGMCCHIRVLKLKVYSIVGDLKFAGNSPVFPNLEHVGIEELCGFAMDDGVLSLAMAVRGLLRCCPAIRQLRLRFRWSEYLQDCPDDDCIHIADLTAHLESMACRLQHSDHCDCCKVSESDEPAWTRVTRQGFNNSWQNSLRKIAIKFQTGKLTCFQVQLLKFLAENASVLEEFDIDGGNHHVSDHMTREVGRWRTASSMEKEAPPGVGAGQISGRRPAPPSVPVIPDLDSLLQFPALRHRRPQPPSQDDLGGSRMAKPGSRKVYINGRWRWRSSS >KN540646.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540646.1:12958:14446:-1 gene:KN540646.1_FG003 transcript:KN540646.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWHRQHRLDFRGIPPLDLSLVVGVGARGRRPGSAPPPPPRPQKLTVTVTADRDDAYLRSDCRDFSRYLKPIVFDGTCVGLLRHVDELRLECQVAGGSSSSAAAASSPQYKYKYAADPGVEYYLSMDKLPCEDFRVLDITGCCLQEVTAAEWLWEGDWIGYPCLTTLRLRRCTVRLCDLQNVILAAPRLAELHLESVTFPDRPSLSGFIFDEHINLHCPAVTSFTIVNCHIDGRTFELDAPSLICFRCAQLTVYSIVGDIKFGHLPLFPNLKRLVIEELCGFAMDGGLSAAATAVGDMLCRCPAIRELWIRFSWLEYLNESADDHLDADLTAYLKSSICRLQESDYCNHCKVSESDTPAAGSTQNFNGSWQSSLRKVVIQFQKGKLTCSQVQLVKFLAENASVLEEFEIEGGNHHDSDHIIKSKVGRWGAASSMENEAPPGVGVGHISG >KN540646.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540646.1:7988:11261:-1 gene:KN540646.1_FG004 transcript:KN540646.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTASFHPPTIGTSTAPDLPVLAPSSDASLGGYSCAHSLKSRRQARQLMRHRAVAWRQKARNSSSTKNPSTQLLGQGPSTRGWGEAGPSTWEDEGDARAALRRRRHGLKKLAVTVTADRDHDDGYVFGCVRRDFSRYLNPDQFRGTCVGLLRHVEELRLECQVASGSSPPRYKYAADPGVEYDLYLGMLPCEDFRVLDIAGCCLKVTTTEWLWDWIAYPCLTTLRLRRCTVRLCDLQNVILAAPRLAELHLESVTFPDRPSLSGFIFDEHINLHCPAVTSFTIVNCHIDGRTFELDAPSLICFRCAQHLVIEELCGFAMDGGLSAAATAVGDMLCRCPAIRKLRIRFGWLEYLNESADDHLGADLTAYLKSSACGLQESDYCMVSESDTPAAGSTQNFYSSWQNSLRKVVIQFQKGKLTCSQVQLVKFLAEKAAVLEEFDIEGGNQDGTDHINSKIATWRTHSAGACAGEVVVASAAVLPPPAEDTRWDRAWYKYNCDFPVLGKGPPWIWDGTGYKLHFPILPRRQHRPTSDRGY >KN540646.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540646.1:24944:26708:1 gene:KN540646.1_FG005 transcript:KN540646.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAFFLDADEALEEFVRPQRRRLGSLRALTVLANATSYDDCERFLWGRSPGKVHAKIGAVVAHPAAERLQELRVVCRIRRERGSGGGGDTPRLPGSGFYELQLAALPCARTLRFLDLTDCRVGVPPLSAAAIFPCLSAVRLRRCALSPDAIHGVLNAAPVLAHLWLEKIFFKLTYPAYFGGGERSVFELALRHRLHLRCPTLTSLTLLVGELRRDHDADDDACVRLDAPRLRSFHYDGSLEMLSLKSPMPHIKWVDLELCFGGQSASSPLCRFISRFRHASTLKLNMYFCDIGRLVDADRKLALFPNLEFLELRSKYTYQSDDSTAAMANLLSCCPALRELRLDLSMVYGHHHYHLRHERNLQNGRDEFKNSMDKFNRASSLIVSMEDCSGVPDLAGFDESDFRFLRTSLRKLEMRFKMERLDCLEVQLAKFLVENARVLEEIHIDDGSQDYCSHLNHKVKKWRDDAVLRGNLPERAKLKVYHF >KN539669.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539669.1:64287:68894:-1 gene:KN539669.1_FG001 transcript:KN539669.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRVGGEGNDLISELNDDVLVRVLDSLPTMADVVHAVAASRRWRHLSTRVPSLRFGFTEDGVDAKPKRREKFDRFVAFVNHVLDARRHRAAGDLHPTFPRNRAFGVLELNTPNLRSLKMCCYAPGTLRISAQRLEELRSSNNVIDMRWQCVKQLDVGDLQSCMRGLREIDLSSRGHPVRDAGIHLLQRCTAVESLGVRLISPDKEYVVIWDAEAEELIDEEEEEEKEEVDDMMKDVPHLPGVISLTIRDSTLNERALMTGVTCLLARCNSDTFSST >AMDW01019873.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019873.1:4:282:-1 gene:AMDW01019873.1_FG001 transcript:AMDW01019873.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVVTNFLTHSDSTLERLRKAIEDLEIVTSADAARLMGRTTYRQQLDTHVLPQDRETGFTPVVPNKMFGRDKEMEMIVQWLINPLDGNAETQ >KN539669.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539669.1:5925:12156:-1 gene:KN539669.1_FG002 transcript:KN539669.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVFWIDDLLTEAYILQLSNKKQGLICIPIQVSAIRAKLLRGLVREMKRSTGDDDVVIKILYCGICHSDLHSIKNEWKNATYPLVPGHEIAGVVTEAGKNVTKFKGGDKVGVGCMVNSCHSCDSCNQGFENHCPGVIFTYNSVDKDGTVTYGGYSSMVVVHERFVVSFPEAMPLDKGAPLLCAGITVYSPMKYHGLNLGSATSPGKRQEALERLGADAFVVSKNADETMMGTMDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPMEIPPFALVASNKTLAGSFIGGMADTQEMIDLAAKHGVTAEIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLKDAIDIGNTLKDAIE >KN539669.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539669.1:52303:54490:-1 gene:KN539669.1_FG003 transcript:KN539669.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEATGGGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWYFMSRGTKHQSHQDYMEKANKARSERLSSGQSSAPKA >KN539669.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539669.1:35635:36982:-1 gene:KN539669.1_FG004 transcript:KN539669.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHLNGKSHKKKAAALALSAPPPPPAEPEPEQDEEDAASMISPASDGGGGGFSPTKLSMLTSAGVVYEVMQMDGYLLCEGCNVRTADRVTMMCHLDGGKHVSKATKLKQQQAGKPPAPATATASPMNGAPSVTVMRSHLAGRKHKSMAAATAKAKGAEAAASTTMAAAGKVGGNLEAGAMAVAEGVATNNVADNTCPEKGTVDIVVGGEHHAVKQIGEFLGCASCNVMATSESGMRLHLAGKKHRNKSMAEKAAMDDMEIQQVKVQVEGRMLAVLREANGALLCEPCGVRCSGKTDMVLHLYTKEHSDKCGAPPPA >KN539669.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539669.1:72089:73509:-1 gene:KN539669.1_FG005 transcript:KN539669.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRSLKNLYLSSDGLRIGFGDVSGRLLRRCPAVESLDVHLTCKVSHADEEGEEEEEGVIDDVMMMNDIPHLPLVTSLTVNPFSPEDEPFSDVDEHTLIASMVRLLERCSSLRYLELNMNDAYLVFGVLRLPQPMPMMG >KN539669.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539669.1:25187:26756:1 gene:KN539669.1_FG006 transcript:KN539669.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKETEAKKKRLQMEKLKKQRLLAEVKFLRKRYKSMSENPSQTFVYRVKNPALPPTLRQPGWSHGEEYHTVRAIGSSSKGTSAHRRLNAAPRASPVIDLNEACEPSSEEMEELHGYQEPVRVGRVMRYPMEGDFAAGPSDAKMAAFWDVRSAASSRAGKRKISWQDQLALRV >KN539669.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539669.1:57749:58729:1 gene:KN539669.1_FG007 transcript:KN539669.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLVRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDAQNIFTRIIDEGLQPNVETYNSMIVPLCESRKLDEAWMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMERALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >KN544083.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544083.1:14:2142:1 gene:KN544083.1_FG001 transcript:KN544083.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGTPWADGTASISQCAVNPGETFVYKFVADKPGTYFYHGHFGMQRAAGLYGSLIVLDSPEQPEPFRHQYDDGGELPMMLLSDWWHQNVYAQAAGLDGKDRHFEWIGEPQTILINGRGQFECTLGPARKSFEKLLNENVETCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVVFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTPHLHMGMGVVFVEGEDRMHELDVPKDAMACGLVARTAATPLTPATPLPPSPAPAP >KN544083.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN544083.1:2742:4125:-1 gene:KN544083.1_FG002 transcript:KN544083.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding IKHEYSAWDDKNPTVQTCNANNKITPGSHTPQDVVPEAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKFVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >AMDW01130985.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01130985.1:152:888:1 gene:AMDW01130985.1_FG001 transcript:AMDW01130985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGKTLSVRKWHAAFTREGRLDIASVLNRIQKGKPFMCFPGRLSNVILSVGKGVHPTIRGEVWEFLLGCFDPGSTFDEREQIREKR >KN538784.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538784.1:182470:183554:-1 gene:KN538784.1_FG025 transcript:KN538784.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISDTTIWDRDFPWSWDVNVTEIYMSFIALSFWAQELDFPEEQIQVSDALTEMSQGQWEGCPKSEVYTPEMVNLMDSTQPDFSAPAGESLRQVQFRMMEFLNQTVVRLPEKVAMGDSLSQQNEAKGLSRQSSTNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNETEDDFSPKEINQRHILHEANLAPSVTSIAIFSHATPIRCLVAGVLDCNPMISQRICIEDSSITVLEHSLKTGWQIKRLNDTAHLRLL >KN538784.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538784.1:206668:218181:1 gene:KN538784.1_FG027 transcript:KN538784.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLVKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFSDAIFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVAHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLALDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYGVIERLEENLEIQSTFDAYEVDQSVGEHKDLLLQIVGQLTNAKENKHFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMSVWGLALPRALHTINCINFGIRISVIYLPTWLTILSGSENDTYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIMDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIDYWAILQEKDNTWQTFEKVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKSLINEYFADLCVGTGQGNLCREGATIVDGISCF >KN538784.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538784.1:241648:247740:-1 gene:KN538784.1_FG028 transcript:KN538784.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEKNVRKVTNKDKANGEKVFGFNTFWVKSVQFKRYCAAQLVQRLDDAEHMYGLLNRCQHPNILKPTGFWLWEHFDALKKHNKAEAFITYPLIDGSLLDVPMEDLFLIEKFIENDKPKCRAYGFTDEGSKDICDILSAVSYINDQLPTTINSGSTSSKCENESQGTSFPLSPLRIYNGQIYYNKMAEGQYQILFENFYTELPNHMRDKNSCGRKAPTVDVIKRLNWEAAATFLDELLQKNMLEPNDELKHLKDKLKTNPPANYTDLLWEPGLWTTYVKIHFLREIFWCIESDEVTRKLVLRKMDPPGIESCMNDVGECKRSVELLVQKSKADYMIELVSHIRQLGWG >KN538784.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538784.1:222643:236028:-1 gene:KN538784.1_FG030 transcript:KN538784.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATETELVCFILKSISIIKLLMFHILELLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTISQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWECKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSGSLLGLILPLLLRYLQCVHALWNREITYDLSKKFAKAKRLGIDEEEGFQEIEMRQWLQDIRESGMLHHGRARVPYYFGNLHGSLEIVRKLEETLLLEFTREVCDLLGVLALPELNKGLTSFQNRSLVGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWEFEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSNHYYEIVERTGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREGHKDFLLDIARRLAKAKATEYSEPLIPQMEDFLPHLQPYAFAFIVATLKDPMYDNAKVQVQLHEEFDNYLSSGELDGHLQSMKGIYSELPSSTVPTPFSTLQKDLIDLSLKRRIEIVGMHHQVLTYSKCLRCLLENESLKDDLRSLMGELEAEGFFDVNDKHIDWGKKCFSELVDKFNVKVFAGHSLPRHYVIRGIMDYWTILSWKGSNWEDTFDKVVVKACGNLIAYLPQLWSDTRYYNHQYHDIVREPFKQCKKRSNAHLLAIITTWQIMGRSLWNVDMYYCHKMLTLSLN >AMDW01037316.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037316.1:5:622:1 gene:AMDW01037316.1_FG001 transcript:AMDW01037316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLFFISCDPKLRGLSMSGKTVWRNPGGYLPGRMAPLMKFYVFMSLAYLLVMVVWSSQYIRFWRDIMPIQNWITLIIALGLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQ >AMDW01039897.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039897.1:29:1093:-1 gene:AMDW01039897.1_FG001 transcript:AMDW01039897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEAIAIEAQSESKWRQLGELAMSTGK >AMDW01040614.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040614.1:1067:1340:1 gene:AMDW01040614.1_FG001 transcript:AMDW01040614.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLQKLELHQAAAAPSDVSSVTGGIIRDLSMMVIPIEHHMVHRGHGIFDFQQMNWFLIM >AMDW01038587.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038587.1:282:757:1 gene:AMDW01038587.1_FG001 transcript:AMDW01038587.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTGVTDSMLFLSRVPVALRPPLSPGSTEQIDGCLSQWNHPNVHKALSQAKAACVLLDLCRGPLSPWVPMITAK >AMDW01123701.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01123701.1:157:315:1 gene:AMDW01123701.1_FG001 transcript:AMDW01123701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ADEVRMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >AMDW01040821.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040821.1:55:2271:1 gene:AMDW01040821.1_FG001 transcript:AMDW01040821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFAWVLEAPIIGSIVLYILKRDNLVNKLVSDAEIPEPPLFTAAHTWQDIPEQNVSLTKPDMSPAERVQEAVVCLPARLESTLADPPSPGFRRWTIRDFTSAYISGEITPVMVARRFLAAVKECSGPDLNMALFISCNPQDIIRQAEASTLRYQQGAPLSAMDGVLVAVKDEIDCLPYPTTEDVI >AMDW01031388.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031388.1:134:382:-1 gene:AMDW01031388.1_FG001 transcript:AMDW01031388.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HEAQLVDEGTALSGFQQVSSRFGSSEDDYADSDDTTTEQPYIRPPVFRPRFADHKLSVIPTLRIKRERELKRRPQQNGWVFKR >AMDW01031408.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031408.1:69:366:1 gene:AMDW01031408.1_FG001 transcript:AMDW01031408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQ >AMDW01039803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039803.1:43:964:-1 gene:AMDW01039803.1_FG001 transcript:AMDW01039803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YMELSERVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENTRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIR >AMDW01028061.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028061.1:60:338:-1 gene:AMDW01028061.1_FG001 transcript:AMDW01028061.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYNLLFIYTAELFPTAVRNAALGCTSQASQMGAILAPLVVVLGERVPFALFGVSGLVGGFLVFCLPETKNKPMYDTMAGLEEGEKTLLK >AMDW01047814.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047814.1:104:400:1 gene:AMDW01047814.1_FG001 transcript:AMDW01047814.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKN >AMDW01036999.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036999.1:168:617:-1 gene:AMDW01036999.1_FG001 transcript:AMDW01036999.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSGEIPNKIGTLQSLESLDLSKNKLSGGIPSSLSSLAFLSYLNLSYNNLSGMIPSGRQLDTLSANDPSLMYIGNEGLCGPPLQKNCSRNYTFIHSSKQEFKPMTFYFGFGIGLVVGIWVVFCVLLFNKIWRIAYFRLFDKLYDRVYVFLV >KN541410.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541410.1:531:2723:1 gene:KN541410.1_FG001 transcript:KN541410.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISHEIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRNTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKHLGNSLQDKDLDPPQSVVDARSILC >KN541410.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541410.1:23543:24480:-1 gene:KN541410.1_FG002 transcript:KN541410.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVAVRFGFYLRERVDGKSRMSMASAISADVPWNNEYGPVNEKWETKVQIAIRDVPLHGNTDKKMESLVSSFCDIQMYRYDSANNVHFVYGYAESVEKIPKSGHLKIKYETEGGYALKLFEVRFEGNLYKEACGEVCAVGKKDDEYSDDVDRDLLVEGWEEEDRLERIASNIFLLFLLSAEMVRKATTQAAILLVGALNLIVAGS >KN541410.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541410.1:20205:21419:1 gene:KN541410.1_FG003 transcript:KN541410.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDECIFSFTNIRDADQARSFLLPSFVALIDDMGIKINQWKPTDEFGFDIADQHVSLSISGLPLHLWSPAVVEHILSPHCGLEFVSTCTESRQNMLSYKCIAWAQQRIPIAPSMKISVDCSPQNTSMLDMPHTSKTLLTYELTLFISQYTSDTAPNCPYKPITNVTRYEDTYTAAQSFCFIPESIIDTQLQASLATSIVASDPTGYTGNMFTELTYRQHCQLLTPRYNICEEICSIVKKETNAVIQAHPIAPHQCILLIPTSLQGAQLQKHLLSMRAIQTSAGELTLEAWSVSLGAQPDIIHQSVDLFLHQVPANFYTFSIIQFCLGPSCLLQTYDTNAEALITAETYRCTAWCHSIADIPKTIMIKKVPRRFAKEFKIHDDLDITLRTARISIDVLPASPITHA >KN541410.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541410.1:11364:16363:1 gene:KN541410.1_FG004 transcript:KN541410.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYCGARDTGTPIHVDLVLLDEKGTAMYAEIPGAEAEKYKDILHESGVYSLAKFLITPSKPAYKPIDNRYMIKFTPWTKIEEITTVPPEFPTYTFNLVPFSELSSRVGMQEYFTDVIGMIVGVSKIAYIRMASNPSDTAKRVIAIRDLSCNHLCANCLSFHKKRLDEETLSGGSACRWYLNEDIPEINSFFQRVDNTCAKIEWITSGADSFSSSRRPAELQQKTIAELKNIDPWETQASTYLCTVTIAKLSPGQSWYRLCVIGTDGTDSAEFVLFGRVAQQIVGRTVMSLIKFESKSDSIPREIVAVVSQKYTFTIFVTERSLLQRNISFQVNGIETFYGKQTSIPQKHADTSPNAMAGSGSNLHSSSSDQLNPSSGAAPVAHQVDTARADDIGQISASKKQMRPIRLPLPPAKKTCLHAERSKELCAGSSHLVHSDPSNDELNTAQLQSIPRAGDTMLDKDVPSDNIRKQGEPVPSTADKKKSGAIASSVPSVKHKPALPKAKHDIAEPFNGGSFALRCMADPLIIEADFFPKHPKVIKISNRIVLDEILRQLEWKIVHMKTLNFTADSFCSIVTVGPIEVTIDETQNNLDFYGEIVDSTGDALESAYAATIEGLKSANNLIIQDYNYAQLDQTNKKLQQALSWSDLFQHSCIRLKDTIAKAKLQTNNAGGSVLAISGASVPPGSI >KN541410.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541410.1:28116:28397:-1 gene:KN541410.1_FG005 transcript:KN541410.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding QVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPELLGDRQIGRWLKSGDNALVRDSAVVVAGGEVKQELALKLQFTESGEVELEMQWFPLNM >AMDW01027641.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027641.1:2:253:1 gene:AMDW01027641.1_FG001 transcript:AMDW01027641.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLG >KN539816.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539816.1:80457:80670:1 gene:KN539816.1_FG001 transcript:KN539816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLMSFFGILVPGVPANCIGDIADAPAADIGGKDDGGAAAGGTTVDGTGAAGGTAAGAVAGMGVGSGGGR >KN539816.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539816.1:47082:52337:1 gene:KN539816.1_FG002 transcript:KN539816.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISPPGSSKQAGLRRPSPGNSLKDLCLVSKQGSIAEVESALALLKKSGGSIDGRNVFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLALEDTKGRTPVDLLSGPVSQANGDSPDSVAMEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVADTGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKAFKYVSAAKYHTVALGTDGEVFTWGHRLVTPRRAVISRCLKKGGNTNLKFHRMERLQVISVAAGVMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNVVNISAGKYWTALATAGGDVFMWDAKKHKDDLPMFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQGKKAMLEWNGGMEELDEDIMFNDVQPDSGISGSDGVIKKGAPSLKSLCEKVAIEHILEPKNSIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVAAPSVMNASPETLANLERLLDEKSSEPWSHRRLPTVTATYPAVIDSDEEGDEAGGFLRLRDSQKSASKSYGISSYGNFLEKDSNAGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAALEGELAELGIPTDLRTPVCVAEEKTNKKSSVSKKQKRKNKQAAHSDTPLVKREDRDQIYVKDLQEVLPVHISAEKEASVADSIKPSEHVTFINTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGAKVTKGSASLRDIQSEQRKTNEPITAKAKDRFEDSPDSAGRMRLSSFIPDARSTPITVTPARVVPASEGDKSTLSWSSSATSPNVSRPSLRDIQMQQEKRQTGISHSPKTRTSGFAIPSQGASPEVGGIKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSNVRIVKPQVQ >KN539816.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539816.1:67566:74283:1 gene:KN539816.1_FG003 transcript:KN539816.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSPPPPQEEVVVFAVNGERFELRRDGGDPGESLLEFLRSRTRFTGAKLGCGEGKATPNPLLLLLLLGCLASVRSAPASELDGECWFLGFAGGCGACVVVVSAYDAEADEVAHAADLGLNCYWKKGDDASVSKLPPYKEGSIAAFPEFLKDEIRSSLGIDHSISSASMAGSVSSWYQPKNVEEYYKLIGSLSSSSDKSRTKVVVGNTSSGVYRDAELYDRYIDLRAIPELNSVSKDAKGVGIGAAMSISQVIEILRGEGNSYKDVVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSVCIQVSSERMNVTLERFLDMAPCDCKTLLLRIYIPHCTPSGISSSSESVNKTGDKPASSVLFETYRASPRPIGNAVSYLNSAFLAKLSSDETSGNCILEKLCLAFGAYGTQHAVRATNVESLLVGKPITASLLLEACTVLKKTIVPGEGTFKPVEAVHLNGHIISDNNGNMNRGPDTHVDVSPKEINNVKSDLHGNDRILESSKQVIEISEDYLPVGLPAKKVGAELQASGEAIYVDDIPSPKDCLHGAFVYSTKPLAHVKSIELNPSLEQLKTVAIVTAKDIPKGGSNVGANTIFGPEPLFGDPLTKWAGEPLGIVVAETQKTANIAASRALVDYSMENLDAPILSIEEAVRRSSYFEILPFLLPQKIGDFSKGMEEADQKIYSTEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSSQCPEVAQETIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALSAFKLQRPVRIYLDRKTDMIMTGGRHPMKIRYSVGFKSDGNITALHIELLVNAGITQDVSPVIPHNFIEALKKYNWGAFSYDARICKTNIATRSAMRGPGEVQGSYVAEAIIEHVAAVLSTDVNLVRQRNLHTVESLSLYHSECMEDALGYTLPSICNQLITSANYQHQLEMIRSFNKSNRWKKRGLSVVPIVHKFASRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLWTDRRQELLERAKMVGVDLSAKELYVPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGRSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLVVSDGTWTYKIPTVDTIPKQFNVKLLNSGVHKKRVLSSKASGEPPLLLAASVHCATREAIRAAREEYHCSRSGSSPPFFDLEVPAIMPTVKELCGLDNVEKYLESICSK >KN539816.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539816.1:59208:63774:-1 gene:KN539816.1_FG004 transcript:KN539816.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENSQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNIAPIQVVIVPIWKKGDEKSAVMEAVSSVQNTLKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRLEIGPRDVTNKSVVISRRDIPGKQGKEFGVSMDPSILVDHIKGRLVEIQASLLQKAIAFRDRQTSSELNGALFTFSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGAKKCFMTGNPAEEVAIFAKSY >KN539816.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539816.1:55663:58799:1 gene:KN539816.1_FG005 transcript:KN539816.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETVRKLVVEVVEARNLLPKDGTGTSSPYARVDFDGQRRKTHTVPRELNPAWNEALEFNFAGPHADGDDRLVISLEVRHGKDAFPVGSASISLATIERRVDDRKVASKWIDLLPSDEAMKKGLLPMRTADGKGCTDAYAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPSQLPDGAKDAAGAAAFSRPMGK >KN539816.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539816.1:75395:76225:-1 gene:KN539816.1_FG006 transcript:KN539816.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQCETVDAVGMVPMEEEKKSKEEIHLKIKSKDKSSGDEDEKKEIEIEVKAKIVDKEEVKLDSDDGAKSAVKSKDSKKDKEKKKSDKKDDEHDDEDEEGKKKDKELKEKKKDKSDKKEEGKKKKDGDEEEEGKKKEKKKDKDGDEKEGKKEKKKDKDGDEEEEGKKKEKKKKDKGDKEKTNDPAKLKAKLEKIDTKIQDLQAKKEDILRQLKEQLKEELEGGKSKNAIEEKPAQTSEKGIEHNKPIEEKPAETVEGSRECKNNVKEETHVAAA >KN538875.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538875.1:48844:52068:1 gene:KN538875.1_FG027 transcript:KN538875.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSGRGVAGAGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKKGATFDSVISMLKGVIPELSCPIVIFTYYNPILKRGVSNFMAIIKQAGVHGLVVPDLPLEETALLRNEAVMHGIELVLLTTPTTPTERMKEIAKASEGFIYLVSSVGVTGARSNVNLRVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKNMKAAMP >KN538875.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538875.1:28306:30674:1 gene:KN538875.1_FG029 transcript:KN538875.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAEALRLLDACGADVIELGVPFSDPYNDGPVIQASAARALAAGATMDGIMSMLAEVTPELSCPVVLFSYLGPIVRRGPANFTAAAKQAGVQGFIVPDLPYLEACSFRSEVIKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKNALP >KN538875.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538875.1:55396:58802:1 gene:KN538875.1_FG030 transcript:KN538875.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKLEKDLAAVAMWRASGTVPPERPGAGSSLLNADVSHIGAPNSIGGNATPVQNMLSGPSGGSGSQLVQNVDVLVKQPTSSSSREQSDDDDMEGEAETTGTARPADQRLQRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLLRRLADVNQKYNDAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAASDMSSLSMPFNSSPSEATSDAAVPIQDDPNNYFATNNDVGGNNNYMPDIPSSAQEDEDFVNGALAAGKIGRTASLQRVASLEHLQKRMCGGPASSGSTS >KN538875.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538875.1:77631:79051:-1 gene:KN538875.1_FG031 transcript:KN538875.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFMEDSAAVARPQRGRCNCFNGSNYEESDDEEGFFLPSDHSSASAPAAAGDALESLKH >KN538875.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538875.1:21187:22952:1 gene:KN538875.1_FG032 transcript:KN538875.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIVPDLPYEETCSLRSEAIKNNLELVLLTTPATPADKMKAITAASGGFVYLVSVNGVTGSRQSVNPRVEHLLQEIKQVTDKAVCVGFGISTPDHVRQIADWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKDALP >KN538875.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538875.1:153343:154078:1 gene:KN538875.1_FG034 transcript:KN538875.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKEHDLEAGGSSEPLYPGMVESPDLRWALIHKIYVILSVQLAMTAAVAAFVVKVRGVSEFFVSSNAGFALYIFLLFLPLIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAYTSVEYP >KN538875.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538875.1:60226:65066:-1 gene:KN538875.1_FG035 transcript:KN538875.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIKCVYLYRFPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLRDKYIDEVNQIRDSLAVMGNNSTAFSLPQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYRDKMDGLGLPVPVDRLQQVHETAIDQARMLFDKQHFGKHHAAQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRSFVRECVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDR >KN538875.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538875.1:35832:37946:-1 gene:KN538875.1_FG036 transcript:KN538875.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLQKDLAAVVLILVLLWRNETARRHKNRRDKYGPLLNRDVWRSATVSRYFNIVLHAMCELARELIRVRSIDTHAKITSSPNRFYPYFEVNPTSSICMEKKKEFVKGKEAEPREYITWTDDATRFMLEWYVEVRKDKPYTFKWKNLHHLQCADALNEKFGLGITKNQVERHFRQCKEKWSWIRAALSKSGYGFDATTCKFSIDPSEKDSKKLGNYLGAVPKLMVPLLLISAL >KN538875.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538875.1:6914:15821:-1 gene:KN538875.1_FG037 transcript:KN538875.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVAAARRCSTRSSRSRAEQPSGRSRRRRGRRQVRSIRTFKFEGIVTREFFFSDKCAEQIQFLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQSMVSCTHMLCLAGEETAGLTIQRSKFFCALLMSEYYGSLIDLGIAELLVNQDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVRFFPKNEKYVSLFSGGNTPDMLEKRNKWRKQIKENLMAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAK >KN538875.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538875.1:43167:45485:1 gene:KN538875.1_FG040 transcript:KN538875.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPMSASSSSAPASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLVPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKQATDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARSMKDALP >AMDW01030728.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030728.1:56:376:1 gene:AMDW01030728.1_FG001 transcript:AMDW01030728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RYESLCIDASRDLKIFAEDKVKMLTFGKHLDACHADWQTKAEYYEKKAKEARAAAAEYHQLVKCNEEMIINHPAAVDSLSQKVAELENKCANAKTNAEAAKKQKEAG >KN541003.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541003.1:19471:21949:1 gene:KN541003.1_FG001 transcript:KN541003.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSESGSEMILTHQLRQMETEDSNSLSIAMDNPDVLEIKATKDAKVLAADSALGIASRGKLEAGPIAAIRMPMLKASNFKGGTPEVMETSYAILVLVLPEDGSLGWGEEELEIVEVVADQVAVALSHAAVLEESQLMREKLAAQHRDLLRAKHETTMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQENMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTVNREYLSLVRRAFNLHSLVKEAISVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIVLHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGNLLSSSSSQRLQGPNSTSSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLGETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLQVILVDSDDTNRAVTHKLLEKLGCRVLSVTSGIQCINSFASAEPSFQLVVLDLTMRTMDGFDVALAIRKFRGNCWPPLIVALAASTDDTVRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >KN542142.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542142.1:6546:12569:1 gene:KN542142.1_FG001 transcript:KN542142.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHRLELQEGESVEATARSAKTMVPVLPPDSLGVASPPPYLSISNTSVYLRGVNFASGGSGVSNLTNMGQCISFDEQIDQHYSTVHATLVEQLGPRQASTHLAESLFSVAIGGNDIINRVLLSQLVGTQDQFISSLANSLKRQLQRMYDLGTRRLLFVGAAPLGCCPMLREQSPTKECHAEANYLSARYNNAVTMLLRDMSAMHPDMSYAFFDTYNALLQYIRQPEAYGYTEVKAACCGLGDNNAMFQCTPASSYCANRTSYMFWDIVHPTEITAKRLTKVAFDGSPPLVYPINISQLTAS >AMDW01040208.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040208.1:129:1305:1 gene:AMDW01040208.1_FG001 transcript:AMDW01040208.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKMLLLNQQSFFGLSRGISC >KN544286.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544286.1:1891:3271:1 gene:KN544286.1_FG001 transcript:KN544286.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLLELNNDLTGEVDDNGSTPLHFAASLLWSGSTKKWMSVTPLIHVLRANPLQLYQPDYKGSYPIHVAASSDAKETVIYFTKEQAGIAGFRDSKGRTFLHVAVERGRWNIVFHVLRTPSLARILNMQDNDGNTAMHIAVQNTNKYIFCTLLRNRKVNLNILNNKGQSPLDIADSKIRSGFFYGWNPENLIMPALIFCKARRGCRQVDHFEEQYISQQQQEDEEKESEKLTNSSQTLGIGSVLIVTVTFGVMFAIPGGYKQDDHYNGGTPTLAGRYIFDAFIMADTIAFICSALSTINLMYSGMPMVTLSLRRRHFNLSLFLVVSSVTSLGAAFALGMFLVLAQVARWTAAAICVLIMIASVCLFMQPLHGLRVATALCDRMGNQALPVIARILLRETLIIYWPCVIIFGWAAISTKYGRK >KN540900.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540900.1:22548:29004:-1 gene:KN540900.1_FG001 transcript:KN540900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGEHHSSRRAVEDGVEHLESELTSMRAALEKVSAAAAPPDQLDGQVRLWARDVRDMSYHIEDAIDTYLLREAAAAGDRAHQEGGGGGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNIKAVLSSILCQVSQLKYENFTSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNIDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLKGISQKILKRCGGLPLAIITIASLFANRQTQTEDHWNSISLDFMLNKDWTLHELQKLTVGVRRESEDIFKLNPLSGWAEFSPFSILPRWINSSLTNLSYLSIIVKILQQKDLGVLGDLPALCSLYLSVTGALKERLMIIGHSRELQMLSFCFQLKETKVFHSDLDMGLENLTSLKTVHFRIDCRYARLWEVQAAEVALRNATNLNLNSPTLDLSKHFERLMYWDGMEEIPEMKIFKEENVGIVKIGPWGGNRGRRYDIEVAPHHLDSIRVHSDLAVHSFEFEYSDRNGQKHVAGPWGGYGGSNVHMIQFGPSEFITRVYGTIGSYNTPSDVVTSITLVTNAGCYGPFGQENGIPFDFPVQGNGSIVGFFGHANLYVDAIGVYVTPSMGTRKEEENVGLTKIGPFGRRGGNPFDIKVTPHQLESITISSNIVINSLAFSYISHDKQQHIVGPWGSGGESNYTIQLGPSEFFVKVSGTFGPFGEFPNVITSLTFVTNTHHQYGPFGQGGGTPFHAPMSGNGSIVGFFGREGLCIEAVGFYFCAL >KN545259.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545259.1:1404:1604:1 gene:KN545259.1_FG001 transcript:KN545259.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAARAMAMARAVVAVLLLVQILGAMAVSARTMKGEGWLEDGIGMVVDMLGELKSGGNSPTHCC >KN540859.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540859.1:35643:36332:-1 gene:KN540859.1_FG001 transcript:KN540859.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGDTGHDVTGPDVTPLLSSAAHHRPSSHRQNWASHFAQKHDGWGVNGNCAEGQRNIGGKFHRCRRRIKPTSLAPNQEGMTPTEPDLAGGRGHDGSGAARGGFGLPAARSGGADDPVQKAEESPPGQPGRSALMQRRHGVAQPRMVGQCGLWRRRRGAHAVVAGEGTVWQKAREQSTMRLAEDEDDGMGDDRGADALGAGVSTTIRGRHSWAQQAASSRCVDSRRGRW >KN540859.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540859.1:36917:38145:1 gene:KN540859.1_FG002 transcript:KN540859.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAMRKVKKVLLVVGMIASTILGEYPHQAAAARFSARRPPLGPGRLPPPVPGGPGVGGKPRPEFDLPPVCSVGFPCSASEPESNHTTFSCELENRMGDTIWFQCDGDLFYFSVGSGQSIGRLYNDVQDLGPRNKVSCAWAFQENYKSSIPAWDGNWPEASSCRVDDASGADGQCRLLFENREALNDLPEFYDLLHLTAFRITNILHLDCEKIIWLNTVSKDE >KN539084.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539084.1:117291:133790:1 gene:KN539084.1_FG001 transcript:KN539084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) UniProtKB/Swiss-Prot;Acc:Q3B724] MATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANVHIRLEPKPEPLSKACSFATFYFADLTIWISMWIMKSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGEFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQKRIYVGRGMHESSVSLFKYTLFWILLLCSKFAFSYFVQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >KN539084.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539084.1:71169:75286:-1 gene:KN539084.1_FG002 transcript:KN539084.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKGLMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTSTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRERNNLDFSKECNKPQSADTDHGPYQPTCGSSDQNGRSSRKRKELHGEDDDEGDDNDYQENDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQTFAPSAALPSFNPHGLLTRTSAAAAFGLQELAAPSSTIQTATGNVTIGHCLEENQQANLAQGLTAAIGQPQLQQNWIHQESNGLSDVFSGSALTNTLSSTLQRVPSSSLPPQELLECKQAKVSMQTSIRIPPSSSALLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTIILPLDTARHSDYLHFGGASNSLQKMDGQKQDHIQSSNIVWSSMPSTQLPTDTQIHNTQNQRLDSGSFNHNIGAHLADQTNASASILPQMKFDTRTSEEKMKQKNTYDLGSSKLQGGFNSSGCNFDGLLNSIIKVV >KN539084.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539084.1:35528:55362:1 gene:KN539084.1_FG003 transcript:KN539084.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQGALILFSSVLVPVGLISEAVTAELALISVVSPLTEMEKILDCEETKPDASEETSSSESGSKKKPIKRYLIKWKGISHLHCTWVSESEYLETAKIYPRLKTRLNNFHKQMDSTDKSDDDYSAIRPEWTTVDRILATRKSSTGEREYYVKWKELTYDECTWENDSDIAVFQPQIERFNEIQSRRKKSTDKCKSVTREIRQYKESPKFLSGGTLHPYQLEGLNFLRYSWYHNKRVILGDEMGLASREIIRKYEFYYPKEKPKKLKKKKSSPSNEDKKQSRIKFDVLLTSYEMINMDSTVLKTIEWECMIVDEGHRLKNKDSKLFGQLKEYHTKHRVLLTGTPVQNNLDELFMLMHFLEGDSFGSIADLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLTRRSGGHVSLINVVMELRKLCCHAFMTDEPEEPANSEEALRFSDVINILIPLPAFYYFQTHIDAEVLEFSDISCCYLFISRLNKHNAIFEVVDIWRLLESSGKMELLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKGTNIVQEELDDIIRHGSKELFDDENDEAGKSCQIHYDDAAIDRLLDRDQADGEEPVEDEEEDEFLKGFKVANFEYIDEAKALAAKEEEARKKAEAEAANSDRANFWDKLLKDRYDVQKVEEHTTMGKGKRSRKQMAAADEDDITGLHDMSSEDDDYSYDDDVSDNDTSLQSGLAGRRGPYSKKKQRRRRTGFESLWVQPNSANTIPSNAYELSGHAWAVKQDIGQNGFNYDWKEFTPRLKGKSVEEIQRYAELVMIHLLEDINDSGYYADGVPKEMRTDETLVRLANISLVEEKVAAMEQGKITKLFPSYLLYEFPSLVGGRVWKAEQDLLLLKALIKHGYARWQYISDDRDNGIFEAARQELRLPTANELISSHSNNETNGNLESTQEGQSNPTSMIHYRDTQRKIVEFIRKRYHLLERCLNLEYAVIKTKTPVPDDLAEQDFPGGHRPAVPDYSEMLRELPVLEPISKEVAPEGTTDQSQVSHLYNKMCFVLEDSAVPALNSHFGDKAASSGLANSLHKFEAVCEDVSRILRSHENGTTPKEEVMLDASSKETTSPRDPATEVVANAFAFADLGLMESIAKAYKVKFVVDVAQLGEEDPLWQNGSLYFQALKIPWYSTTSSHGQIIGNFLKRVMMPYDQSLEIIGMDTGSLQEPIHDGKTRASSREQIKWLEQSIAATSSNWKIVVGYDPFFVCAEAHTLETTKLYEPLQRIFAKYGVNAYISTGGHCGYFRRDNSMLYIGNPSPDDLTSSDGFILHIVNLLEMESLLINLEGKVVERFVVNHHRLEAL >KN539084.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539084.1:310:4594:1 gene:KN539084.1_FG004 transcript:KN539084.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding GAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSLPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPAKRTIPEKISGLSGMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSRDHYLKKDGKTEAPKASENNGLASTQKASSPQIDGATLSAQSKQITSTGHKEVSSLDRSASFTHVIVNQAVAMVPSQELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDRGAGLAAQTFPTKDAIVSNGVVPSSRDPISSEKVCGLQKSIKQDDKTVKELPMSENNIVSGLEQVNARKQTSSEVSMKVVAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVCEDMANATCSGNNTSEQQASTSTTTSFETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVVGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVNAKLGVPQHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRIYQPKSWKKHAHVRR >KN539084.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539084.1:24153:29522:1 gene:KN539084.1_FG005 transcript:KN539084.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSRDTVAGGGGEGTQDDFFDQMLSTLPSAWADLGGGGGGAAASEGEDSGADEIAAGASPKRQQGHIPKLPSLLKYYSSLLKYYIPTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSETQKVRATRRFQQPKKAQSVSELDKPSRPLRSRPKTMRPNHEAATLLLRKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDADAAGEEVRFQCPVTGLDFNGKYQFLALRKCGHVLSVKALKEVKTSACLVCHKEFDEADKMPLNGTEDEVAALRLRMEEERGKVKEKKEKKVGNGLSGSKHAAAAVMAGGAEKLENGKKGEAPSSKRFKAGDHAPAYANKEVYASIFTSSKKSDFKETYSCRSLPLGRN >KN539084.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539084.1:100736:113337:-1 gene:KN539084.1_FG006 transcript:KN539084.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPDMGAGVALRFSHNDWTLEEDSKALHFLQPDLVLFTGDYGNENVQLVKSISDLQLPKAAILGNHDCWHTYQFSEKKVDRVRLQLESLGEQHVGYKCLDFPTIKLSVVGGRPFSCGGNRIFRPKLLSKWLLSFLVASPGWFLIAYYFCCVVTCSEIELQWYGVNDMAESAKRIYDAATNAPKEHAVILLAHNGPTGLGSRMEDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANRTIYLNGAVVPRVKHVQSISQPAISTSEQIGLEGLTGLMVPTSRAFTIVDLFEGAVEKISEVWVTVGDARAELEQELVLYKQPHKSVPSNIAIWSTMGWLTKFFRGSTHKISEGQYHSKPAEETIWNGPSNSAVVTMVYPLESTFGQLDSLLLATDLRQLVIDDVDCCDLDVSSEFDNEDIARAISLSLLEEEQRKAKAIEKDMHLEEDEQLARAIQESLNVESPPRARENGNANGGNMYQPLPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACNQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIIAGSGSNGASTSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >KN539084.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539084.1:12170:18248:1 gene:KN539084.1_FG007 transcript:KN539084.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKKKQEEEIMSMNLGLGASLVLLLSKGAVELNKMVELRAQMEALVSEIRKEAQSKHKDSAARCSLQTPSVHCGCINPSRLAIERANWRCEKTENSEGCSEQWQHGTVPGSRFSRYRLGHGGRKLMGSEDTKDMLKNVDWKTVGGSVTTDPSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAACAAGVGAGMLLERTVPLSGKWANDLIATSEEFSLLLCSAAKAASQK >KN539084.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539084.1:62862:70196:1 gene:KN539084.1_FG008 transcript:KN539084.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding METPLQLLLDPIPVELRGKHLLSACVASSSLSDQAPIHPHTISSKARNNAILKFFGCTIAVIATCMTITVVTFCSTTMYMTEVMGEATKGAMDSALMHLAGNMRPLLEANRSVFTIANTLHVQGNMASFSHVGPKLFLAFSMQPLLAQISYAAVDGAAFAYYRAGGGDGEARAMFARPNGTCYILNSILDTTKVESGKVQLEEVEFNMADVLEESVDMANVVGINKGIEVIWDPCDFSVMKCDNIIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIVRGSIGAPSRFAYRSLENNFFSFFFGAKEDRVSQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGNQAAEEDIEEGPSTVSELDIRASVFRETNCFKGWHCILFVHGDETRRVLQAWMESIGMKVWMVPGVESISSTLEKARSSRNDCDVDRCFSSKEMVSQVLPTTLRNNNIMARNLGEHHPLGMLLVVDVSNGQLENIQRQARDFTQMRSQVPCKFVCLTDLRTSYKDFRRFEEMSCDLILRKPVHGSRLYSLLMTLRDVQSSPMHRSSLVGHENSVTRHQNSANIVAFAEVGRLDQGLKTEEDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGATVELAGDGAKAVDMFRDAIERASVSEEHSVPLPYDVIFMDCQMPRMDGYEATRRIREEESRYGIRTPIIALTAHSMEDDLQKAIDVGMDLHMTKPIERRRIVEAVHGVCKGKN >KN539091.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539091.1:65414:68182:-1 gene:KN539091.1_FG001 transcript:KN539091.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDSDIDELVTGMLETIIQPACPGRLRCCRQAGWTPMANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHHLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKRSEFNREPLYAKFRLREPFHKPILSSEPTISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRNGIARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQM >KN539091.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539091.1:121205:123262:1 gene:KN539091.1_FG002 transcript:KN539091.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAAWACAVDRATGAADSAKRFFLSFRRPPPPPPGPNPIDILKRLQRQAFYDIMQLREKQEKIERVLTLFKASKSGPFAEESTRVKGIITVAGSLSSKNKKDSGPDSSETNSGISSQFVFQTNVRKKDSLLAELVTDHRCQPSENDSIGSPFVLSKVMYLANINDSLSVAAVPVGARCDDFSTDPSLQEEHWLASFRSSLRPPLLIKRHNYAAGLILRSKNFAVSLAELISAAGKPNNSGEASRFFTGFGQMSCQMQNEMKLTMSAALHGPGLISRKSKPTAGGCVDFDLKIDEDSRVGAWIEVKKANPRLVRWALTLSETPEDDLGWGLSLRRGTEGSPERLQLEGFLNLHLGKKATLQPGLMFNIDGRRCAPALVFQSSWFL >KN539091.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539091.1:36730:40608:-1 gene:KN539091.1_FG003 transcript:KN539091.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLFLLLLLLPPSLASPDRDIYALAKLKAALVPPSPSATATPPPLADWDPAATSPAHCTFSGVTCDGRSRVVAINLTALPLHSGYLPPEIALLDSLANLTIAACCLPGHVPLELPTLPSLRHLNLSNNNLSGHFPVPDSGDGASPYFPSLELIDAYNNNLSGLLPPFSASHARLRYLHLGGNYFTGAIPDSYGDLAALEYLGLNGNTLSGHVPVSLSRLTRLREMYIGYYNQYDGGVPPEFGDLGALVRLDMSSCNLTGPVPPELGRLQRLDTLFLQWNRLSGEIPPQLGDLSSLASLDLSVNDLAGEIPPSLANLSNLKLLNLFRNHLRGSIPHFVADFAQLEVLQLWDNNLTGNIPAGLGKNGRLKTLDLATNHLTGRIPPDLCAGRRLEMLVLMENGLFGPIPESLSDCKTLTRVRLAKNFLTGPVPAGLFNLPQANMVELTDNLLTGELPDVIGGDKIGMLLLGNNGIGGRIPPAIGNLPALQTLSLESNNFSGALPPEIGNLKNLSRLNVSGNALTGDIPDELIRCASLAAVDLSRNGLSGEIPESITSLKILCTLNLVKCLHSFRINLSSVMHYPVNSD >KN539091.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539091.1:12548:12749:1 gene:KN539091.1_FG004 transcript:KN539091.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLHFLFLLLFLSLLQVLEMLFD >KN539091.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539091.1:102365:104499:1 gene:KN539091.1_FG005 transcript:KN539091.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKAASSLLLGVRGYTSTLKNASTASCRLSAGHPIEVTLWEASPPALSHFSVHCPDLPSFNGNLLRVPKAIAAAVDDADGQLLLLLRVPIVQLGSPQDNDYLVYHPDPPSPKLDLLPNPSPPRLGDHELAILSCGDDRYVVAALQVRSEFTSTLHLYRSSCSSGSWTSEEVSVEEPVRDRLCPIPDSAKRQLYHVTTKTITLGGAKGTVGWVDLWRGILLCDVLDEMSPRKLRDMPLPWPAKGNWRRYLNEDVSFCRDIAISQHKDSIKYLEMEIVSPRTVTTTIPTSTSADPTSYLEWVRRSREPQPTRRRSVVHPGSWRITTWSMPIPVTSWDDWRRDCTAESREVHLDTNPSHHYELLIHSLMLSNSGDEHREEAQGQGATSSLSLGRLRMCYPALSCIDDDVVYLLGNAAGRGAKMGGMMVAVDVRNKELRGVAKLDPEKNTLYSMRCYLATGISKRLNTTTVVPCQKNKRIEQVTRTFYEIIAILFDVDTGVGLPEEDAEAAE >KN539091.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539091.1:123809:129402:-1 gene:KN539091.1_FG006 transcript:KN539091.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIATTSRSLLPLPPFSSNRRCRRRASFLPVAASNRRHHDDDEEVAKAHEPTSLAPYGLSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGGDRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRGEDGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSGCPIPVADDPDSVTIPKRTPNTIVKRLSYITVDKQDKDPSPLFGGRQSWKQREDSFKLNATMKAITFLYCVHCGFMKNSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMKPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >KN539091.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539091.1:2467:7883:1 gene:KN539091.1_FG007 transcript:KN539091.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLPSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILHSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFDKLTTDFAPQDHSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTISCEMNKKSGSQLSITRVQTLHYADKVKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDPSRSRKGMDLQPESKLNTSPVNNATYSSPLSDSERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >KN539091.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539091.1:105799:106863:1 gene:KN539091.1_FG008 transcript:KN539091.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAENAASDSVRGYTSAGKNATTASSRTSTGHPIEVTFWNEPRPALSHFSVHYPELPIAGVGHARGCPWRIRSRVDRIRMEGVMVAVDVKNKELRGVAKLDTTKSTLTSIR >KN539091.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539091.1:88983:89845:1 gene:KN539091.1_FG009 transcript:KN539091.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHLFAVVAFVICALLAAASTTAEAFAASGWSKGTATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFDDGASCGQCYALTCDARADPRWCRAGASVTSLAYLDGQGLSFRVTATDGQTIVFAGVVPPSWRFGQTFASTQQFK >KN539091.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539091.1:98363:99841:1 gene:KN539091.1_FG010 transcript:KN539091.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAGAGAGEGGTVLVGVRGYDSGHHEKEGGSTATSRTSNGRPIEVTFSNEAPPALSHFSAHGSDLPPAAHGDLLLAPKVIAADDGLLLLRVPVNPVPGGKSLFRQDDYFVYHHHQPARLDLLPRPCQQYCLRDDDFAIVSICGDKQQYVVAALEMINLPSQFALHRYKSSSSGGGGDGDEIAGNWTCEEVFVEEAVRDRVCPIPDSAERPLYHITTKTIALGGAKGTVGWVDLWRGILLCDLLDEMSPPKLRDMPLPWPAKGNWTRYLSDSESLYRDITVSQHKDFIKYVEMEITMPRVVTKTIILSSGDRAMPAVDPPDSFLEWVRRSREPQPQPTTRQRSSVRRPGQWRLTTWTMPIPVTSWEEWRPDCTANLHDFHVVDNPAHHGLLNKLMLSTGDDEEAKGSSLSLGCLAMSYPALSIDDDDVVYLLCNSANRDCDMGGVMIALDVRKKEIRGAAKLDGKKNTLFSMRCYLATAISKHPTTTAGT >KN539091.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539091.1:130631:132532:-1 gene:KN539091.1_FG011 transcript:KN539091.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPREGTRMAGLWEREVGCLPPKLFANSVMASQDFVRSLGVQKRLRKHRGCVNTISFNEDGSLLLSGSDDQAAVLWNWQEGTPTFAFHTGHSDNVFHALFMPFSGDRSIVTCAADGQVRHSQIQEGGRVITNELVDTEVAVHKLAIEPGNPHTFFSCGDNGSAFLFDLREKYVAELFKCAEVDHFGGDTIELYAITIDPRKPSCFAVAGSDEYVRIYDSRKIDANGNSSFGRPIEYFCPPHMIGENKDGISGLAFSQTSELLASYSYDNIYLFSREHGLHFNNIEVGKRLMMDEIEGDCHINTAPLPFCRDKLPAPQIFKGHRNKHTMKGVNFLGPNCDYVTTGSDCGRVFIWRKKDGELMRVMKGDKQIVNCVEQHPYGIVIANCGIDKDIKIWAPVGSENLDEV >KN539091.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539091.1:31344:33938:-1 gene:KN539091.1_FG012 transcript:KN539091.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAPVQIGPSSPSSPMSPASPATPVDAYANAPPPSEDVLLRIPGAQLHLIDRLRSYPLAAGDLSLLRIRSGDTSLAAIAFLHPIQWPLARDVASVKLDPCHYSFSLTVPPSADDPNPGPLHYGLTLSHPDPRLDGILATYTSFSVQSVVGGEALASKVRDEVEAAAYWTAVAPNVEEYGGKVANAIATGAGHLAKGILWCGELTVDRLRWGNEVLKRRMQPGDADAEVSPEMLRRIKRVKTVTKMSEKVATGILSGVVKVTGYFTNSIANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGTNVLSTSSTVTTGLVSHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKNVLKPTSLAKSTIKAAAADYRAKQKK >KN539091.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539091.1:15163:17669:-1 gene:KN539091.1_FG013 transcript:KN539091.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRFLLAGFSLPRLSSPLLLTRVSLGSSPAAYGMEYPATTKVRRLEHHRSVSDALRPPPSFQIQHLPEEIQQLIVSFMPLRDAARASLVSRNWRMLWTCHPNLCFDGTKQEPTGEGTLKIDRWYFSKTVNHVVRRHKGIGLNKFSINCDLNKDEFKHIDGWIRFATASKAKVIDVNLQSRHRNRWPTRKHLPEDVYHFSLDALDAKHDPALESLFLAVVSIEVHPNISGFTMLKRLALQGEAISIMLHGCPKSVKATIVFLEHNQLDHVFTVLPSALPVKELSLDLHMYDYDLGQVHTLTRPRNMFMHLRHLKCEVYVLTSAPNTYKGVVQLAHYLEFTPLLEVLEWHMYYYKKYRCRVCKTKEELVYFILENAVALEFMSIEPHTILADDDHCDFSDIAEDKKIRKWARRTSACFGKQVQVKKKKLAQYFTM >KN539091.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539091.1:50347:52596:-1 gene:KN539091.1_FG014 transcript:KN539091.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMNFSDTGASFGFLVSHMVKGGDMVSCTVSELQPLRAEPVDIPLDIVYEDDHLLVVNKPAHMNFSDTGASFGFLVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDVDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNLNSGRIEVPIARDPNNRIRMIATPGSGHRYARRAASR >KN539091.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539091.1:77971:85145:1 gene:KN539091.1_FG015 transcript:KN539091.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSYLALALAVAAVLAMAAVEVSGLGFDLHHRYSPIVQRWAEERGHAGVSWPAGAEVIGSPEYYSALSRHDHALFARRGLAQGDGLVTFADGNITLRLDGSLHYAEVAVGTPNTTFLVALDTGSDLFWVPCDCKQCAPLGNLTAVDGGGGPELRQYSPSKSSTSKTVTCASNLCDQPNACATATSSCPYAVRYAMANTSSSGELVEDVLYLTREKGATAGAAVKTPVVFGCGQVQTGSFLDGAAADGLMGLGMEKVSVPSILASTGVVKSNSFSMCFSKDGLGRINFGDTGSADQSETPFIVKSTHSYYNISITSMSVGDKSLPLGFYAIADSGTSFTYLNDPAYTAYTTNFNAQISERRANFSGSTRSGPFPFEYCYSLSPDQTTVELPIVSLTTNGGAVFPVTRPVYPIAAQMTNGEIRIIGYCLAVIKSDLPIDIIGQNFMTGLKVVFNREKSVLGWQKFDCYKDEKMTDDGSGVGSPSPSPGPTTHVFPQPQESDSPAGRTPIPGAAPAAPDDKFVTSDVLEME >KN539091.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539091.1:97158:97784:1 gene:KN539091.1_FG016 transcript:KN539091.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPPLPRQLVALLVLSLLLRSATAAEYTVGDGPWDTGTNYATWSDKHAFLAGDILVFQYVRSQHNVLQVTEATYRSCDTGGGGVAGVIKSYDTGYDRVQLTEPNATYWFICDFPGHCLGGMRLAVKVSAAAGGGSSPPSGVPLHPPAAGGAGRSQWPAWGLTLAVLLVVFHYCIIIF >KN539091.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539091.1:115877:120365:1 gene:KN539091.1_FG017 transcript:KN539091.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDFEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSISRSRAIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTACTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRVCDRCMKCPLFTLPSKTMLVSSTASSRSTDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLVSAR >KN539091.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539091.1:90718:91989:-1 gene:KN539091.1_FG018 transcript:KN539091.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MIERSNSTPSATPARPPLGVDEEYSQAFRSKSFLDLWSHAHHHLTHTLSSFKLSTSTPCAGGGGAREDDFLHAGGDGGAADDSEQSCSYTVLDDFVLEPSPESLARGAHCRQRRRRRPRRHSVETLLIEYFDEVAREEEECEEDLRAQLAELEEHVCLCLITINRTRRLVAHEMARGLPSPSPSPVTTTSED >KN539091.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539091.1:46976:48196:1 gene:KN539091.1_FG019 transcript:KN539091.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDDDQDELRIMLAGSESMEDQTAAAAGLDSRVKASLVLGTESFAISSESGILSEQLAAMKEKSMEILKGYITKHNAPADVPDEPIEGLSDDEGDAPAKNPPKKPKKQK >KN539091.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539091.1:18874:24129:-1 gene:KN539091.1_FG020 transcript:KN539091.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWALSSALLLLFLLTTLPDPGMSLPPPPLSSALLIFRDFFGGAPNLLILVIFKGFSSLIHTHCSTATKKLQVNADDSTDELVDPPKVEEKIGGVPHGLSTDSEVVQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTESVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEAEVEEKESSIKEEAEPSSYDKDEL >KN539091.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539091.1:134771:136594:1 gene:KN539091.1_FG021 transcript:KN539091.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLTFRYSGSPSPYRGNPKSRSRSRSPAARSQSRSPVPDPRSQARSRSRSREREPDAVNHGNTLYVTGLSSRVTERELKDYFSKEGRVTSCHVVLEPHTRVSRGFAFVTMDTVEDAERCIKYLNQSVMEGRNITVEKVIDMTVESHVGDTAAEEEAMAVMSTTAIATAGLRLQCTHPTGTQGTTLHTGTPETTPPTGTLEITTTEGEAGDTPHTDLLLMAVAGSGGSDLDHCRILPTGCLREATDAEPVVVAMTGKVAPLALVALDT >KN539091.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539091.1:61576:64101:1 gene:KN539091.1_FG022 transcript:KN539091.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFFHAAPRSGSNVSLASLARTAGGRRMMHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYRRNAIGDLSGCSVCLQDFQTGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >KN539091.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539091.1:108870:111170:-1 gene:KN539091.1_FG023 transcript:KN539091.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHHQEYYQMAAAAAAAYVEELEKEVRRLVDDNLNLKKQCKEVLITNSIHK >KN539091.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN539091.1:27286:28547:-1 gene:KN539091.1_FG024 transcript:KN539091.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPDTFLCPAVGGADPDGSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVSAPSDTPSDAAETAESPTENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHATLEAEVSRLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSYLGGAQVMNSCDFRCADQMYCSPGMQVRTMGEDGAVSGQVLGQGACDIASIQCQGAKSGSAKHPVCGVMEHGYYGIPKAVRRCQGVRRPLRLTRKLEEKGQKQVINPS >AMDW01067495.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01067495.1:719:1088:1 gene:AMDW01067495.1_FG001 transcript:AMDW01067495.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTN >AMDW01015803.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015803.1:101:232:-1 gene:AMDW01015803.1_FG001 transcript:AMDW01015803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RRVENSANWAKIRSCLQDGKVCEKLGARRETMDQFVGRNLSPIQ >KN540566.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540566.1:1846:5430:1 gene:KN540566.1_FG001 transcript:KN540566.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TVLRCYTLMSCFLLAISQTASVAGSIAGRFMVISDHLKPTTLRQQRCILTLLLHATFPKKSSPAPLIAHEPDSRTRPWPWNNRRTPHEMERIMVSAATGVMNSLLTKLTVLLGEEYKLQKRVKHGIEYLKDELSSMNALLEKLADMDVLDPQMKDWRNQVREMAYDIEDCIDRYMLQLHDEPDKRAGMKGLFRNTIKKVKKLGARHEIGKQIKELRTHIDEASQRRYRYKLDAILDSCSTCAVETIDPRLSALYVEESSLVGIDGPMNELIKLVDDREQSLKVVSIVGFGGLGKTTLAKQVYKKVGEQFDFQAFVLVSQKPDVQKIFRNILSQIKDLGNESREVGWLIDELRIFLKDKRYFVVIDDIWSTQAWNIIKCALPENTYGSRILLTTRNVNVAKTCCYPQNDTVYEIRPLTEADSKGLFFRRIFGSEDRCPIHLRDVSVEIIDKCGGLPLALITIASLLNVKSKNREEWLNIRNSIGLGLEENSDIDDMKRILSLSYSDLPHHLKTCLLYLSMYPEDCQINVDHLLRRWRAEGFIKVRCGRNLMEEGEFYLNELMNRSLIQPEHRRIDGRAMTCRVHDIILDLIVSKAVEENFVTVVSDPSILVSQDKIRRLLLVYCGRENVMTMPSMASANVRSLGIFGYSEQMLPISDLHALRVLDIDAGNKMMEICDIRKLLQLRYLRILAPTHLPEQIGELQFLETLDLFDTCGIVKLPASIVKLRQLKCLSADCAMLPDGVGNMQALEELSVVIVDESSMNFLQELGSLIKLRRLGLRWYIPDDDYNRSTYGYTLALSLGKLLSSNLRYLQILGPRTDAIPLDFLSSSYHLMQELYIYPCLLHGNPERLVSLASVTSLTIRIQQVTQETLEILGDFSALLSLTLTSEDETTERLSVYSNKFGCLKYLHLGYLANGVMFHAGAMPKLETIDFMIKAHSSQSACSHQNLGIHHLSALKVLNVNIDYDCEEAMVEEVEALEAAIKNEASLLPNCSSQHIDIISRQVEMVTEGWLEGDDVMMEQQDEMPSPQLLELQSAD >KN540566.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540566.1:48269:48469:1 gene:KN540566.1_FG002 transcript:KN540566.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAATGVMNSLLAKLTALLGEEYKLQKRVKRGIQSLRDELSSMNAFLEKLADMDVVDPQMKEWRNQ >KN540566.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540566.1:41133:41656:1 gene:KN540566.1_FG003 transcript:KN540566.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAVQICFDRLLSNPLLSSDMYNSNYGWRQGANCLELAEDGTENGEKEEDDFKTAGAFMEDVIQELKSDACNLARLLRLD >KN540566.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540566.1:12026:38221:1 gene:KN540566.1_FG004 transcript:KN540566.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFMVSVATGAMNSLIDKLTMLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARRAVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFPLFENTCGSRILVTTRISTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKYDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQSKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSLKLQNSDLNNVVNLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIKKLPASIVKLRRLSCFSASGARLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYIRESRKDRTHYTDSLASSLGKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVKGVGAISAGNVSWSCHLIGQYCKSTLCTSVMPLTGRKRTNSTRTNGFRSSSIHIRGAICRFSIMLEAESESARVEVAEEWLLRLD >AMDW01040788.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040788.1:234:2029:1 gene:AMDW01040788.1_FG001 transcript:AMDW01040788.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSENFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >AMDW01023314.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01023314.1:200:304:1 gene:AMDW01023314.1_FG001 transcript:AMDW01023314.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSK >AMDW01028993.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028993.1:79:145:-1 gene:AMDW01028993.1_FG001 transcript:AMDW01028993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGTCAAIALLVLVALAASA >AMDW01079843.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01079843.1:1565:2487:-1 gene:AMDW01079843.1_FG001 transcript:AMDW01079843.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIQNLLRPLRCRRHGDPVLLRRFCSLGPPPPQRHAAGDVFQLNTAINEHFRAGRVAAARRVFDEMSERNVFTWNCMVSGLIRNRMLAEAREVFDAMPVRNSVSWAALLTGYARCGRVAEARELFDRMPDRTVVSWNAMVSGYARNGMVKRARELFDMMPWRDDVSWLTMISGYIKRKHVREARELFDSMPSPPTSVCNALLSGYVELGYMRAAEVG >AMDW01020764.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020764.1:40:160:1 gene:AMDW01020764.1_FG001 transcript:AMDW01020764.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HYAGYVGVGSGGGNGKALFYWFFEAEKEPEKKPLLLWLNG >KN540265.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540265.1:54976:56292:1 gene:KN540265.1_FG001 transcript:KN540265.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGEHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPDAMARARGEIDAFADGKNEAKMVIPFGMGRRGCPGENLAMQMVGLTLGTLIQCFDWERVGEELVDMGESSGITMPKKLPLEAFYQPRASMVHLLSS >KN540265.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540265.1:1463:3965:-1 gene:KN540265.1_FG002 transcript:KN540265.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAGVRTHVAAVEQAVVQDAIAQSEAPVVVVTGASRGIGKAIALAFGKAGCKVLVNYARSSTDAEEVCKEIEAFGGQAITFRGDVSNEVDVNSMIKAAVDTWGTIDVLVNNAGITRDTLLLRMKKSQWQDVVDLNLTGVFLCTQAATKVMMKKKKGRVINIASVVGLTGNLGQVNYAAAKAAVIGLTKTTAREFASRNITVNAVAPGFISSDMTSQLGEEIEKKNLITIPLGRYGEPEEVADLVEFLALSPGGSYITGQVSYSRVTIQVLTIDGGMVM >KN540265.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540265.1:41417:44412:1 gene:KN540265.1_FG003 transcript:KN540265.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLHRAAVRHGAGGAARVRVELKSRLFELLMNTMMAMISDKTYYGDSLEAGTDTSADTIEWAMSLLLNNPNVMRKARDEIDAFIGQPVRLLEASDLTKLQYLQCIIMETLRLYPPAPLLVPHEASTDCSIAGFHITRGTMLLVNTFAIHRDPQVWNEPTSFIPERFENGRSEGKMAIPFGMGRRKCPAENLGMQMVGLALGTMIQCFEWERVGEELVDMTEGSGLTMPKEVPLQAFYQPRASLMHLLY >KN540265.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540265.1:35717:39646:1 gene:KN540265.1_FG004 transcript:KN540265.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGASTVWDFLPAALRWVDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMEHDGDGRELPAAAARPRSMIGVLLSVQRQDPEECPDQLISSLCISSLEAGTGTSTDTIEWAMSLLLNNPDVMRKARDEIDAFIGQPVRLLEADDLPKLQYLRCIIMETLRLYPPAPLLVPHESSSDCTVAGFHIPRGTMLLVNTFDIHRDPHVWDEPTSFIPERFEDGRSEGKMAIPFGMGRRKCPAENLGMQMVGLALGTMIQCFEWERGGEELVDMTEGSGLTMPKKVPLEAFYQPRASVMHLLS >KN540265.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540265.1:21083:26139:1 gene:KN540265.1_FG005 transcript:KN540265.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAATGVLSPLVGRLSTLLEKEYAGLKGVRKEIVSLREEVSSMNATLLKLASEEDPDVQDREWGNQIRDLSYDMEDCIDDFMLRVDKHGHTTASPVPDDDKGFFQRNLSKLRTLGARHDIAGKIRELKARVDVVSKRHERYRFPASSSSSSSSGGAVPIDPRLHAFYAKEDSLVGIEQPRDEVISLLTQGQGEEALAKKLKIVSIVGFGGLGKTTLASVVHRKLGQEQFDCRLVVSVSQSPDIMRIFHRILIEEFKVKPCIHNDLQGMINQLRNHLLHKRYLIIIDDLWDVSVWENALLCAFPDNNLGSRVIMTTRDNTVAEKCCGQQRDCIYEMKPLNETDSRKLFFKRIFGSEDDCPNELKDISDEILRKCGGLPLAIITVASLLASQAGKVKEEWEHVQNSLGSKLGTDPSLEMMRQILNLSYKYLHPDLKTCFLYLGAYPEDYVIWKDDLVRQWVAEGFVHGLESAGGYFNQLVNRSMIQPVKIGYDDEVLSCRVHDLMLELIIRKYSVEENFLTAVVGNSQEIKGSVHNVRRLFHYSDVLGRRRSAPALRIGLQKVRSIASCVTDIHQVRFQDMKFLRVLVLELVYNPKDESTTQAVVDLSVICKLLLLRYLKIQSEYLLKLPPKIRMLQHLETLEIASKFDKAGLAIPSDLAQMPRLSYLSILPYMAGGLPANVGTMTQLRSLAFLVLEENTLDSIKSLHHLTNLRELYIISASGDSSAGGDEDETAHVDALQSSLSGLADCKLYLTAWSTWLSRVPQWVGRLRNIYGLEIGVGELCKDGVSVLAGLPAMARLDLWIRSAPTESVVIAGDGFPVLKHLIFTCRALCLTFEAGAMPKLRRLDLEFNDDGGGDGGFGNALVGVEHLAGLRVLSAKIGGFRSAVDAAATTGEEQADDRSAAMSRLRDAIDLHPSRPRVDITYTQGRYGLS >KN540265.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540265.1:46998:49057:1 gene:KN540265.1_FG006 transcript:KN540265.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAMFGSVAVVLLVVVLAAAALRRDDDEVSEEARWFRSVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTRFLQRLIDDQRKDMDADSDDHAPAKRRTMIGVLLSLQSKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMARARGEIDAFADGKNEGKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFDWERVGEELVDMRECSGLTMPKELPLEALYQPRASMVDLLTKI >KN539543.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539543.1:61242:62072:-1 gene:KN539543.1_FG001 transcript:KN539543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVEADCDRIRGPWSPEEDEALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQEMIRAEVHKYMASVGVRAGCGDAGGADLHMPQLVEGVMRAAAERVGRMH >KN539543.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539543.1:33981:35559:1 gene:KN539543.1_FG002 transcript:KN539543.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRWDSMESWSMLLDTAMGPSGEPTSSRDSGRRGEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQVSFLFPYTRQVFVRWRAFFCLTPRPPSVRPVVASSLLPYTTPAKCSTGGEQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETACQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNIRPPLSSSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRIWSSVAKIFRMGCITNNLSIPVHA >KN539543.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539543.1:72698:80553:1 gene:KN539543.1_FG003 transcript:KN539543.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPPPHMPKEVHVSPCKLNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVDSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRVSIDANACEKEEGEITTSSFEKDAISAHDPIAVSDTAGFGNAVNDQKQKNTIFTNPSGRNAASAIGSTEILDPPNGSGSAHPGKEEVRSPKNPVDNNNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVAVAINSLSVSNARELPRCDDSSMEESKVHKDVDANNAVCMDGVASNCDTTEVCENEDARRECEKNLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVRTRRTTELGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKKDIQEKEDRVPMESIVVCTSSGNEDIQVNEGRKPMELSEANAFSGSEDIQGKECRISMGSSETNTSSVNHVSASNEKDVSLSEDTQKKESHRPIESCKNTTFEIMHHEEAPSAEEVITDVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATEDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSNAAELLDLHRDHMSSENYSFVHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVAEGQQGLTVETTRKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDYATSVSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDSLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTVLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGQDTQNKLNKPVVRRSMNFVRKADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPVDLVNSSTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKEMLPAENSNSEEKKDLMIACSINGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGNSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDNQFESVTGNQLRNNRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >KN539543.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539543.1:88467:90112:1 gene:KN539543.1_FG004 transcript:KN539543.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKALQGTSYENMTITVQNYVESLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFIIKNSIRVVCSPSYNAGYIPHKDVALPQILQPFALLAGGNDIENRTILGFWAVHRNSKIRVILARVWENDTELAISNNRINRAIGNLVYQKQFYRTKFCVCPGGSQVNSARISDSIHYGCVPVILSDYYDLPSSGILNWIKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVGSLLLSSRQIHMSHDISSQ >KN539543.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539543.1:24347:25679:-1 gene:KN539543.1_FG005 transcript:KN539543.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRSKSLAATNLLGHASTTQPGASSRRCSCMSAVDAASSGNRDNLRKTGRRFYVRAGEGAKITWMIKPKMISLDGERKGASRNLKNWMASNDLSCEGTVRVREGFIREGDTASVIGVLKKHHAYDIVDAPSGVVTTGCQFTRCMFPVHVEGLILVGDEDPDDEVYMV >KN539543.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539543.1:81465:82579:-1 gene:KN539543.1_FG006 transcript:KN539543.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIVHLYSRLQVYLPARYDPQVSKRLEKTAQYFKTLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPFTFFATSIGIVAAFISVFWAFGYIRLSQRLKRTANEPAKAPPRADVVKNLKNGIVLNVLGMGAAILGMQATVGALVAKALATSAVPYYQGISPGQSPVLSLDVFLVQASANTILSHFLGLSSTLELLRSVTLTKVEAASSPRSQPA >KN539543.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539543.1:4849:5172:1 gene:KN539543.1_FG007 transcript:KN539543.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLQATTPAAAPAPAPALAPAPANQAIFQPMIIPPGGGVVAPDDFQLPAISDDELVLPHKRPKLLGVYTPPDSPEQFVMEFFADLGDDDDILSSSFWQDPAGDGEDTQ >KN539543.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539543.1:35954:41369:-1 gene:KN539543.1_FG008 transcript:KN539543.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAALAAAAAALLVLAAFGGGVEAREHESAWLPAAKKMAAPKKVAVAEAEAKVPAVIVFGDSTVDTGNNNAVATMLKSNFPPHTSDNGKACSHRLLLRRAEMTRKAIRYSQKRSRELCAVVSRMAVIPLWKEVEYFKEYQRRLRRHAGRAAARRIVRDALYVVSIGTNDFLENYFLLVTGRFKQFTVGEFEDFLVAQAAGAIGCLPLERTLNALRGGCVEEYNQVARDYNVKLNAMIAGLQSSLPGLKIAYVPVYDDMLNLINNPSTLGLENVEQGCCATGMFEMSYLCNEKSPLTCPDADKYFFWDSFHPTEKVNRFFANSTLQICLRELLS >KN539543.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539543.1:56467:56739:1 gene:KN539543.1_FG009 transcript:KN539543.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLRQRVIVFALVVVACLVLATTVADARKLKRMERDGDAVVESPAVDLEAIIGSTEGAGDGGLQWLKSVSLDMLGGIKDSGPSPGAGH >KN541662.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541662.1:6063:6299:1 gene:KN541662.1_FG001 transcript:KN541662.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVEMKVDRKRGNLVEAAELERAVRCLMDEGSEDGRKAREKAMEAKTACRNAVEEDGSSFVALQKLSQEMIHVSSK >KN541662.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541662.1:24575:25141:1 gene:KN541662.1_FG002 transcript:KN541662.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATATVVLIPFCVSGHLTPMLEVGKRMLRVGFCGNADDGRPAMSLTVLLAQLPESHRAPEIDEIIRREAAGASEDSGFDVRFHCLPAEELPDFRGGEDFISRFMQQHASHAREAIAGLESRVAAVVLDWFCTTLLDVTRDLGLPGYVYFTSAASMLALLLRLPALDKEVAVDFEEMGGAVDLPGLPP >KN541662.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541662.1:18303:20619:1 gene:KN541662.1_FG003 transcript:KN541662.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAAHMNCHLVFPLLEFLQWRPGRVYAVEEILQAKLRLLIQGTNMVDYAMDTHKLLHGDTDDDVVVPVPDDMVERRHEVVTRLGALAAAAAPIVSALKNHHLGPDKEHNIRMLHERFQIGPDQIEALYQYAKFQFDCGNYPDAAENLHRYRALCTSSERSLSAQWGKLSAEILNNNWDVALEELNRLKEMIDSKVILNDPFLGKRIEEGNSITVPLRDEFLENARLLIFESYCRIHRCIDIGMLSEKLKMSYNEAELWIMNLVSNSKLDAKIDSASGTLIMAANHANIHEQFIESLKNLDMRTFMLAKSTMEPA >KN541662.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541662.1:8888:10499:1 gene:KN541662.1_FG004 transcript:KN541662.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVLLGQPSGSHRADSGFDVRFHCLPAEELLDCRGSEDFISRFMQQHSSHAREAIFGLESHVAAVVLDLFSTTFLDVTCNLDLPGYVYFTSTASLLSLVLRLLIPNSD >KN540585.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540585.1:22906:23416:-1 gene:KN540585.1_FG001 transcript:KN540585.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSQLTENCDIAVAPLRLESITVKHGDVVDGHSFSYRDREKLPHTAGPWGGRGGQETTPCELHASLPRTQINLGPSEFVTEVHGEYGSYYGHNSIANLTFVTNRGRHGPFGEVDTSGWDRFSVPIKNNSSIVGFFARTGDSYLSAIGVYVRPF >AMDW01038441.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038441.1:136:796:1 gene:AMDW01038441.1_FG001 transcript:AMDW01038441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ENELKLASSTVEFWNLSQVPDSSAGSYEVQTSRLLTPISKGKGYLDASLTYRTEASGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRTIYLPWVPGAYQEVELKAVGGCGKMPEDYKLSSSDESVASVSDSLIVRTKRPGRAVIK >KN540585.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540585.1:38745:45741:1 gene:KN540585.1_FG002 transcript:KN540585.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIDGFRFMLAVEAAAATSPFEGMRNQVQELVNRCCEKQWITEAIIDADAPAALSSSPSLPRKNASELVEVDEKKAELIKLLKHKERVCIHGSAGMGKTTLAGLAYQAVSEQFDCRTFVSVCPSQSMMHVLTSITGEVIASAIIKATSDKQRELTVNNNTAPTTQGTGEADEQCLIDSILELLTYRRQVTDSSAPVAGTGIADGAKTDGSALPTTDTATLASTGIADTKTDGSSVALAGTGGIGDAKTESAPHAATGADNTKKALIDRISTRFVTNKRKLNASAQLAGAGVDDDKQYLVNIVLKLLGEKRKVTDCAPVAGTTDVEDDEQYLVDILSQFLADQRYLVIVDDIWHCQQWEVIRKSLVKNDRGSRIIMTTRVNSVVEKCCKDDHAVVCEVTALSMDAAVALSEKIFNVHTAPSDKKSCSSIAKLSGRMPLAIICTSAAVAQLLSPPSATNRFDVALCQALKGFAEIPCMKPLVESLVLGYHCLPLHLKTCLLECSIYPPNQRFERDDLIRIWMDEGFADEEQAPGYFEELVKWGYISISPAEGRRHSRVAEYEISAMVLAFLRFQAEEHGFVASAGYFSNIESLCGRRHSRISVQGGLGSWVVSRLDFSCMRTLVVFGRASLIPFDRLSHLRVLHLDEEDTSLEGAADLYNFPDLGDDDLVDICELLLLRYVKLKGCKITMLPPQIGQLKLLETLDVRGTGVRELPREIGELQRLKTLNVSNTAVTQVPKEIGKLHMLKTLDVSDTNVRELPAEIRELENLETLDVSNTMVTKLPREIRALQLLKTLHVSGIDVTETELAEEIGQLQHLETLDVSNTKVAKLPMEIWNLQQLKTLNISNTNVRELPWEAGQRSNSISVVAGNKDSPKVVNLLEGAMDNYGHICSRENISITLFDRFGSSWEPIPVARFKIPGKHISLPDWLNKETLSDISSLEINLWKLREDDLKILQEMPKLQVLALRVEVLPRTAITGAGFSRLESFCVDCRVPRLSFQSEAMPVLKHLQFKFYAFRATKQEPMGIVHLSSLRSVDFRCASGYTTDAPGIREIINQVRKEAKEHRNRITLCINTKEIVHDIVAGSTGTAGSSA >KN538764.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538764.1:122289:125789:-1 gene:KN538764.1_FG025 transcript:KN538764.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDEETTEEVAMVVQSRFRRVCVFCGSSHGKKKIYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVHNGGRHVIGVIPKTLMPREISGETVGEVKAVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIRPSARHIIVLAPTPKELIEKLEEYSPQHEKVVSKMKWEMEQMSYPQNYDIPRPKEGKMIIEAQRGSRLWISDPKRMESEPVPDFQSEETVNSEISARENASLGLHNHKDQGTSSL >KN538764.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538764.1:82090:82745:-1 gene:KN538764.1_FG026 transcript:KN538764.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAKCRQDYMAIVKQSRQLIEKLDAKNEPKKRQKRSKNSEKEKAAAPSSSKKMDREELEKTIRGFLKELDSTEAAPMRTTGCTSAMRPSTIDQDFNTQ >KN538764.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538764.1:40668:41288:1 gene:KN538764.1_FG027 transcript:KN538764.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMHRPGLGMLRLPCPVLACSLPGGGGGGRRNIQADSSSSPRTWRKPEAGWIKLNFDGSSKHATKIASIGGVYRDHEGAFVLGYAERIGRATSSVAELAALRRGLELVVRNGWRRVWAEGDSKTVVDVVCDRANVRSEEDLRQCREIAALLPLIDDMAVSHVYRSGNKVAHGFAKLGHKAVRPRVWRAAPPEEVLRFLQQDADQR >KN538764.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538764.1:33747:35637:1 gene:KN538764.1_FG029 transcript:KN538764.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLARALARVLAESLLGHAAGERFPEGCDDATCFLRLNRYPPCPFPRPDDAFGLVPHTDSDFLTVLCQDHVGGLQLMKGSRWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHRVMTNATTERYSVAYFLCPSYDSPIGTCREPSPYKAFTFGEYRRRVQEDVKKTGKKTGLSSFLV >KN538764.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538764.1:194213:196039:-1 gene:KN538764.1_FG030 transcript:KN538764.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding EAPCADHQISLNTVSPQEAPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEQSEDIRNEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTRSIFEQRPNEAGFQSDPVAVELSRLQMLRSLMAKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGLQILADKVYKQQTLAEGFQTMFVSHGSRARRSMPEPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSCMGSSPRHPFVTINHHSMDYLGRSATPLALSRGAGMGSGIAYHAPESHLHSVVNPLLASGLQLGIASLEQ >KN538764.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538764.1:133153:135952:-1 gene:KN538764.1_FG032 transcript:KN538764.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MACNGSRAVRFQNDMELPHWKTSSVPECTSSSRSTKHRKAQHQQQQHHDPRKWRRGGGGGGSGKDRVLSRAFSEELESLMSSGANHLFFDPRGQLIHLWSKIFLAACLASLFVDPLFLYLTGTRQNMCIELKYSLAFTLSMIRSLLDLFYAAHIFFRFRTAFIAPSSRVFGRGELVIQPCKIARRYLAGTFWFDLVTALPLPQFMIWIVIPKLKESATANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQEACWREACHVEGPSCQTLFFDCKTVSSNRTMWYELSNITSLCTPSNGFYQFGIYGEALDNGLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEITFATVIGVLGLVLFALLIGNMQSYLQATMVRLEEWRTKRTDMERWMNHRQIPQPLKQCVRRYHQYKWLATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLPWALDPRPAASLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRNKRRRASMELRMREGGEARPGGSVRCRRHSCDGKALIKKPMEPDFTVEEED >KN538764.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538764.1:68269:71777:-1 gene:KN538764.1_FG033 transcript:KN538764.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASAPEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDATVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSSYYARYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >KN538764.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538764.1:45418:46545:-1 gene:KN538764.1_FG034 transcript:KN538764.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVCKQWHAVTSEHHFMHTNFSRSRDGHSIAGFFLSNELHNKFSYNPPLRDSSATHPAPPDLSFVPEGGDGNTVPGKIYVTSSCNGLLLCRRPIESSAAWCCYVCNPATKRFAEIPTPPDGRGRHLNLAYDPSTSPVYKVVALGLAGVHVYSSQTRSWRAALRYERGSNPFAGIHHSRAGVLWNGSLVWVTSRSRSLLRFALDDGEGELSSLPMPPARHLQPENRWICGYLGVGEPAGAGRGHLRMIGYTEEEKLAARFDVVEMAGDCREWRVLYRVDLTRVKELYPDIQRKTRKHHLIWPRRARLVDCLDLWPLHVAEHGSLLLFGIPGKIMAYGMEDQAISVVWEDAAPPQPRFFRYAWFDFYPYSAGLFAV >KN538764.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538764.1:99528:101457:-1 gene:KN538764.1_FG035 transcript:KN538764.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPAIHLSSFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLAHGHHHYHHNHPSFFPFPDVSAPAGIQGARHAQFGPSLSDLHLTHLQSSLMYPGLRRPDHVGPTPIPPPRISTDLTMGSSPPARAVSMGAKKPDDAKPPGLMLFGHRILTERQMSLSGTTTSPAATGNSSLNWNTEKGASEGSGSGVIQNSPTDNTSSERLQWFRENSTASELGLEPGQCKVFIESDTVGRNLDLSSLASFEQLYGRLSEMFCIDSAELRSRVLYRGATGEVRHAGDEPFSRDFNKKARSAQVSCSPVSFFFFIINNDQLRVLVDSRVLARCKNPVTTHQRLECRGPLAQGTSACSMQTQTQRCAISDLPGGLTSRLQPPGEAIPLGSLALAASSLTHDDEICDLHRAAYPGLVES >KN538764.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538764.1:130988:132291:1 gene:KN538764.1_FG036 transcript:KN538764.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSAVLADLLSRSISFVIDRYSQQQQGVEENLQQLQRMLLRIQTVVEEANGRRITNQAMLLQLKTMRNVMYRGYYFLDNFRYRIALGHAPDEVDDHSLASFPFNPLKRFRFSTTARKIVSEDQEKKELLKMLGRLESIISEEFVMSLRSYPRMVRQPYCSYLLLENCMFGRQAEQERIISFLLEPHRAGAEGVAVLPIIGPARVGKSTLVENVCHDERVRKYFSTIVFYYTGSTEDVVADTGVIKHQNPASTKQSLVVIELVDDMDDETWRRILSSLRGDNIAAPVSKIIITSQSNKIATFGTTETLQLDMLPKEAFWYFLKTIAFGSTNPEEEPKLTSICMEIAAQANGAFLHANIIGGILRSNFSVQFWYKVNIQGTCLQLKVDGL >KN538764.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538764.1:84768:86733:1 gene:KN538764.1_FG039 transcript:KN538764.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGTSSSLFSRQGGGRGGRRHVKFTDILLGRRNKGRGKEKGKTLCNSMSLPTSNTYYDLVLQTRSEEEKRKRKKKKKGAEMIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYD >KN538764.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538764.1:47594:48103:-1 gene:KN538764.1_FG040 transcript:KN538764.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRMVTSAFLLLAILVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCKTESFPDGECKSHGLERKCFCKKAS >KN538764.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538764.1:113008:115374:-1 gene:KN538764.1_FG041 transcript:KN538764.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVSIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQIVSYSLNTTVNHSDSISCIFSALPIHAPYRAILAAKRILLLPAFILNPLNLNEAHQHNTEEGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLATKDVNGDTKKANGVTHGC >KN538764.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538764.1:63057:66200:1 gene:KN538764.1_FG042 transcript:KN538764.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLTTTWPWRFFFCLFFHLLFLFPTNSLNQSYCDPGDASALLGFMQGLSGSGSGWTVPNATSETANCCAWLGVKCNDGGRVIGLDLQGMKLRGELAVSLGQLDQLQWLNLSSNNLHGAVPATLVQLQRLQRLDLSDNEFSGEFPTNVSLPVIEVFNISLNSFKEQHPTLHGSTLLAMFDAGYNMFTGHIDTSICDPNGVIRVLRFTSNLLSGEFPAGFGNCTKLEELYVDLNSITGSLPDDLFRLSSLRDLSLQENQLSGRMTPRFGNMSSLSKLDISFNSFSGYLPNVFGSLGKLEYFSAQSNLFRGPLPSSLSHSPSLKMLYLRNNSFHGQIDLNCSAMSQLSSLDLGTNKFIGTIDVLSDCHHLRSLNLATNNLTGEIPNGFRNLQFLTYISLSNNSFTNVSSALSVLQGCPSLTSLVLTKNFNDGKALPMTGIDGFHNILVFVIANSHLSGSVPSWVANFAQLKVLDLSWNKLSGNIPAWIGNLEHLFYLDLSNNTLSGGIPNSLTSMKGLLTCNSSQQSTETDYFPFFIKKNRTGKGLRYNQVSSFPPSLILSHNMLIGPILPGFGNLKNLHVLDLSNNHISGMIPDELSGMSSLESLDLSHNNLTGSIPSSLTKLNFLSSFSVAFNNLTGAIPLGGQFSTFTGSAYEGNPKLCGIRSGLALCRSSHAPTMSVKKNGKNKGVILGIAIGIALGAAFVLSVAVVLVLKSSFRRQDYIVKAVADTTEALELAPASLVLLFQNKDDGKTMTIGDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGNDRLLIYSYMEKGSLDHWLHEKPDGPSRLSWQTRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDEDFEAHLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVANFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVLHMKEKNCEAEVLDRAMYDKKFEMQMVQMIDIACLCISESPKLRPLTHELVLWLDNIGGSTEASK >KN539277.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539277.1:40024:46871:-1 gene:KN539277.1_FG001 transcript:KN539277.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQYSENIKYDELHKYSDTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVTDCANYFTSICEKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKISDDMRPHPIVVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKSLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASSRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERLLEQGDSVIVVDNFFTGRKDNVAHHLRNPRFELLRHDVVEPILLENHVLHLYIMKSGFLNNYYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >KN538730.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538730.1:315212:315439:1 gene:KN538730.1_FG045 transcript:KN538730.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTATTMTTAASGQTDGSGLRRRDSVTDGREQRAIGRADAADPAVAVHTTMGRVAAGGLAAVYQSWGTSVGAD >KN538730.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538730.1:317833:324117:1 gene:KN538730.1_FG047 transcript:KN538730.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFELLLLFLLLCSPCLFSVSDGRTVRAAKRGAPSPHIRAVNLGGWLVTEGWILPSLFDDIPNKDLLDGTQLQFKSVTQNMYLCAEQGGGTILVANRTSASGWETFKLWRIDEDTFDLRVFDNLFVTVAGDGVTVVATVASPGPGEAFQIVRNGDKTRARIRAPNGMFLQAKTSDSVTADYDGETNWGDDDPSVFVVTRVGGLQGEYQICNGYGKAKATKVLRGHWSTYIVESDFKFISTSGLNAVRIPVGWWIASDPNPPAPFVGGSLKALDNAFKWAEKYNLGVIVDLHAAPGSQNPYEHSASRDGSQDWGTTDANIAQTVQVIDFLTHSNTELLSFAGGFPGAVLDVHYYNLFTSNFNGLTVDQNIDYVRTNRSDELSTVTRPNGPLTFVGEWVAEWNVQGASNQDYQRFAQAQLDVYGRATFGWAYWTYKNVNNHWSMQWNIQNGIISLKP >KN539277.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539277.1:70500:71798:1 gene:KN539277.1_FG002 transcript:KN539277.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAHLLPLLIVLAGATLHQAAAAHAMHESFKDDPRPLILLGKFRFSRNGSLSIAATASGLADMKGPSLSGFFLLPDGMRLDQVFKKMMRTPTYRRCILSSRRIVRLVTFAALNGRGRYEVASTFPITRAGEYSLYFANCGSGTRVTVDVRVELSDSNPDGGEDPVAMVYSFFAVCYGVFLIVWLHRTLARGCSTARPVHDVMSGLLAALMLHCLTAAAAYDGRYTSVVAGTARGWNVPCLALRLVKNAMLFPVVALIGAGWSLPEPFVPGRELNVLTAMVPLQVYMAIATTLSGDAPAFTAGGVAWTWGHAFVLVQLACCVAVLMPMGRAIRALRKEADTDDKAARRLGKLALFRQLYLAVAVYLYHTWMAVFILKLLVGASSGYRWASVAVEEAAALAFYLFMFCLFSPAEEDIQLEEDTEELIQAGV >KN539277.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539277.1:6362:12038:-1 gene:KN539277.1_FG003 transcript:KN539277.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFDIFKLKASLVHNWNNYVHKDKEELAHAKKRRNLGKPVDHWYLQEELGPSADGGDTVNQYWRHMLKENDQGMDLPDIRGEKKRETEVEVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLANVKRIQICDTGMDLLSLAIVLSFLASLLMHLLVLAFYVDEWTENHKVLLFWQVRPVVHVFQSRDFQSLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICKGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >KN538730.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538730.1:336454:355329:1 gene:KN538730.1_FG048 transcript:KN538730.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLSELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTMARFVCRIGCVKVARLPKKLKRHQQMGKMVSQFRIYVQEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGSSGIGKTTLAKQFYNRIGGQFDCRAFVRVSRKPDMKRIFREMFYQVQRKQPPDDYKELTLIDSIREYLQDKRYLIIIDDLWAASAWDLINQAFPERLFGSESDCPQQFKEVSNKIVQICGGLPLATTNLASLLASQPVILMDLCLYIRDSLSSAFRTNSSIEGTRQVLNLSYNNLPHYLKTCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLKKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSLEESFVLVLDCYQKDVELSDKVRRLSVHFGDTKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACNIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIYLPCLLHLHLPFDTNMMDLIGRMTPPSTLLSLEPSSSNSLPSRGIISNLNNLRDIHLTFCTPPSKYIERNMEVLGSLLGRLSNLKNLILVSSSSQKNIMVSGALEVNISWEGLAPPPLLQKFEWLLDNCIFSRVPRWIEKLGNLRILKIAVRELLKNSVDILKGLSALTALSLSMHAIPVERIIFDNVGFSILKYFKFNCSTVPWLKFEAGAMPNLRKLKLGFNVLRKDLHGTTPISIGYLPSVKEISVKIHGVGSDAESVLTYTVSNHPNNPRINEKLVDWTTYGEGGRHMVIKEKDHGFQEEQNEQEHLDKYKQAEIRISTSANFLDIKQILEDAINRWLCPSEICEILKNYRSFFLATEPPNKPPSGSLFVFDRKILRYFRNDGHNWRKKKDGMTVKEDHERLKCDYLSFRIRLTVVCQSSLEVLTCLNATMHMGKKMRISKGGCTGCWKSVGGPYPKAFSKAELEGPLTSPVLKKIKTLFFLRALSPEKKKTTHLPCGKSAHEAPHLECYEIAPPKNGFAHLPRSLPRVTQTEKATPPFALQEAAAFALGSVCMEGSSDAAIARTSDGNKCLLEAASAVEVVALSWRDGLFEGAAVAAGIVITMPTTAFGSVRLWFGVCSTLIEAASTARSLRTGALLRLVLHRPAFALRRFTMLFCCFASYQPSQSPPFLVVIDRRRLGPSPLRVHSVTGDSSVTKNNFQGGSSVTENSFQQHDESLEASICYPFLKTASDIEKGSFKKTDSFTRWGSKEPADVDDSQIQSSCIKETDSSIEASSREPMDLFTVAPEVLHDQLFSTVSSWTFPDSKAKFSSATGDSSATDNTFQQNDGSLAAAIGCPILKTASDILKDSFKKADSCTRWMGKELAEVDYSQIQSSCIEEADSTIKASSREPLDQFNVAPMDLQDHLFSIVDILPRWTYAGSMTKVLVTGTFLHTKQVTERFRWSCMFGEVEIPAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKFYFQIRLDNLLSLGPDVYQATITNPCKEMIDLSKKICSLLANNDEPLSDDQQDQYAENLIKEKLHDWLLYKVGDGGKGPSVLDDEGLGVLHLAAALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLRALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAAHVRGHQVRKHYRKIVWSVGIVEKAILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVKDKPAGDDYDFLQEGRKQAEERLQKALARVKSMAQYPDARDQYQSILNVVSKMQESQ >KN539277.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539277.1:47526:49248:-1 gene:KN539277.1_FG004 transcript:KN539277.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGDGSLREGCRRREPLWIVFDVSGTIHLSTGLRVSSFKTVDGRGQRVTLSGKGLQLRECEHVIVCNLEVEGCRGHDADAVAVKPGSRHRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIVSQCNIYEAGEKKKVFKYMTEQAADRDQSSTGFIRSEGDLFLNGAEECAAHDSEAAADELWDFKIQELDFYQSCSVQPASMALKELLECFTGWQPVPLPEDTCLKEETDSAPADTTA >KN538730.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538730.1:324793:329401:-1 gene:KN538730.1_FG050 transcript:KN538730.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCVDRFAHRATGHGLASMGARAKFAAVIQELRRKSEELSRLRASYAAAAGEPSCSVATGSSALTLPASSSEAHTLASDIVGMDGPRDEILELIGETQGQLKVISIVGFGGLGKTLLARQIYESDAVAAQFHPRIWVRAAGKNAEDVLMEILQQLWMPVHHCHASNLVVNLRNCLESKRFFVVIDDMQREYWNSSFRNAFPSDTGLSSIVIVTTAIQSIANACSSRNSHVYVMRTLNEEHSRQLFLKEASWKDYPPGSEAILKKCDGLPLALVTTAQFLQSRCQQQPLGCAKLCDNLGKHLVTEDTLARMKRVLVHHYSSLPGHVIKACLLYLGIFPSGHPVRRKTLIRRWSAEGFVGADHHRSSLDVAIDSFEELVNRSIIQPVDVSSNTEVKTCQTHGMMLEFILHKSICDNFITFLYGQARLPDKIRCVSIQQNSGSKTRVDSDIDLSLVRSLTIFGKAHKSFLNFSRYKLLRVLDLEECDELEDEHLKKICKLLLLKYLSLGRGITVLPKEIAKLKFLETLDLRRTVIKFLPIQVLELPCLIHLFGVFKLQDADQQMRKLKSFLTEKSKLETLAGFVTDRCQTFPQLMKHMTNLAKVKIWCENTADASSSSNSDVHLSEAIQEFIQRGTDVNDVRSLSLDVGECSQEFLNFSLGDSCYLSSLKLKGNKICRLPPFVTSLAVLTDLCLSSSDRLSSDVLAALSNVRALRYLKLIARHLDRFVIERGDLQSLRRLHIVVVSMMTMSKQQPEIQEGALPNLESFHLLCKDLDGPCGHGCIRIDSLGLGCLREIVLDDGVRETAKEQWKDAARRHPKRPKVVFVGAGDVVDRRRRWWHQALKRTAAEQMIVFDGDDKMICAARHYLLPGSILFFMALKK >KN539277.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539277.1:56978:58072:-1 gene:KN539277.1_FG005 transcript:KN539277.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQSHDQPLQEEDYIDMDLSSPAAAEAVTASARASLLCYSTAMAASPQNSREFEFHMSAPVDKWEPAASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNASPANSCYVSGELDAEHYFPECTSAGIGAAEEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYIKTIFATKAGNPDGKTGTPSANELSNAQFKSWRKNPFGQIRSNRYIASPISNSVTLGGRLKEDECGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSSILSSTDSGVGPVLRRSSSASSEMDNPIQGAIAYCKRSQQLASVRKSASDAGFRFMSSSASRIAAESEDPDDIIEICRR >KN539277.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539277.1:79461:81272:-1 gene:KN539277.1_FG006 transcript:KN539277.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSGKSTLIDALANRISRDALKGSVTLNGEPLTGNVIKSISAYVMQDDLLFPMLTVAETLSFAAEFRLPRALPAAKKRMRVLELIDQLGLRAAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVQVLRNIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGPPSAIPAYFAEFGYPVPDDENRAEFALDLIREFESSPAGTGQLVSFNKTWQVMHAARHNPNDDPWAPTMSLKEAISASISRGKLVSGSDVAGEAASMHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVITGAILATVFYKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLHERYIFLRETAYGAYRRTSYVLSNAIVAFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPFEGVLQNEFGRGGECYVRGTQMFDNSPLAVLPDAVKTRVLASIGTALGVKIGPNTCVMTGHNVLREAAVTQLGKWECLLVTAAWGFFFRLLFYFSLVLGSKNKRR >KN539277.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539277.1:3871:5648:1 gene:KN539277.1_FG007 transcript:KN539277.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQASGLMRDGAFPAASLSGRQPLDRAATALEILEKKLAEQTAEAEKLIRENQRLASSHVVLRQDIVDTEKEMQMIRAHLGDVQTETDMHMRDLMERMRLMEADIQAGDAVKKELHQVHMEAKRLIAERQMLTVEMDKVTKELHKFSGDSKKLPELLTELDGLRKEHQSLRSAFEYEKNTNIKQVEQMRTMEMNLMTMTKEADKLRADVANAEKRAQVAAAQAVAAQAGVAHVTASQPGAAQAAAVPAASNPYSSAFTGHPSAYHQGATQAGVYQQGTTQAGAYQQGSTQAGAYAYPTYDAATAYQMHAAQANAYAGYPGYPVAGYTQAALPGYPSAYAAPQQPISSSVATDVASMYGAISSAGYPAGVVQSSSGAANAGQAPATYPVAYDPTRAGQM >KN538730.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538730.1:289073:304220:1 gene:KN538730.1_FG052 transcript:KN538730.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDFLDGTKLQFKSVVHNTYLCAEHGGGDIVVADRTAASGWETFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFVIVRSDRDNSRIRIRASNGKFLQAKTTVSVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGMKKATEVLRGIHRCNVYFYSTMSLEHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTNATIIQTVQVIDFLTSRYAKSPSLLAVELLNEPLAPKVSAGMLKKYYQDAYNAVRKYTSDAYVIMSNPISADYSNEILQFAGGFYRAVFDVHYYNMFNSSFDNTTAEWNIQFVRNDRSAELRSVTKQNGPLTYVGEWVAEWKVNNASEEDYKRFAQAQLDVYSQATFGWAYWSFKHVQNHWSLEWMIKNGYISLNQPKLPIRAVNLGGWLVTEGWIKPSLFDGISNKDLLDGTQLQFKSVTNNMYLAAENGGGSAIVANREKASGWETFKLWRINETTFNLRVFNNQFVSIGGNGAVIATATVPGPNETFQIICLDSDKSRMRIRAPNGKFLQVKAMGSVTADHGASTNWGNDDPSVFVVNNIYGLQGEYQICNGYSAGNATEVLREHWNTFIVEDDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNIGIIVDLHAAPGSQNRLDHSASRDGSLEWGTSAANIAQTVDVIDFLASRYAKSSSLLAIELLNEPLAPDVPVDTLTKYYQDAYNAVRKYTLQAYVILSTRMSGDPTEFLSVASSLFGAVIDVHYYNLYNSMFDNYTVEQNINFVRNNRSSDINTVTKQNVPLTFVGEWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNDLLDGTTLHIKSVIQDKYLAAKQGGGQTIVANRVVASDWESFTLWRVDETTFNLRVFKKQFMGIDSNGTVIATATTPGLSETFQIVRSDTDKNRVRIRAPNGSFLQEHWSTYIVESDFEFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNAVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYYNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNITKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >KN539277.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539277.1:107908:110334:1 gene:KN539277.1_FG008 transcript:KN539277.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGININRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNTQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSENGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACKSKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDDEIRSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPSLENKNIAETEEYMKIREHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVLEKKLRGLSTDLQVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQAGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPVADMRAGPFG >KN539277.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539277.1:102858:103169:-1 gene:KN539277.1_FG009 transcript:KN539277.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KN539277.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539277.1:51057:54128:1 gene:KN539277.1_FG010 transcript:KN539277.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEEGGGAAGAAAAAGEEEGGAAMARAEAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGIAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >KN538730.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538730.1:309687:313735:1 gene:KN538730.1_FG053 transcript:KN538730.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDEERPLIHHLPPQEQCSKYTCDGTVNIDSVEFTECICFYGVSKNLVTYLTSVLHESNVNAAQNVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTVSASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDGADPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAMFIAGRRAYRYKKLGGSPLTRVFQVLVAAVRNHRLNLPDDSSLLHELPGVTEGDYRTQHTNQFRFLDKAAILSDKNCAPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRPMYKVIIVSTMVVENEMPIYICGLSIHVNTMRHLERIYNMGRHESQHNTSDGGVTSCKIRLHHSLANENIMLALPGLMRGSCSETLGVLDRPPSWGQPHAKPWRGLSHMLSPRED >KN539277.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539277.1:23773:26073:1 gene:KN539277.1_FG011 transcript:KN539277.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGPYGHKKTDGICDGVCGEPASKAVLTMSRLRCALRGFDFRALLALLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMAKLCKLHGWKVRETPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLKKPLHFKENRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPEILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPSYLLQNVDRYRYLLPGYCRRESG >KN539277.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539277.1:8:1735:1 gene:KN539277.1_FG012 transcript:KN539277.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENILEQKKANPIALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >KN539277.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539277.1:67274:68623:1 gene:KN539277.1_FG013 transcript:KN539277.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARALLLLLAVAGALLRPAAAEIKQESFKDDSRASILFEKFGFSRRGFVSIAITGARTSSKLAKAEPDQFGFFLLSDEALFEAIYEQPPPTDLNPNPEPNPGCVLSSPYVKPLFSFADLDGNGNYNKTFPVTQPDEYSLFFANCAPETAVTMEVRTDMYNTNLDGSKDYLSVGQAPVPAIYAFFTVCYLVFLAVWLYVTLYRNRLSAHRIHHLMSGLLAARMLYCISAAEDQHYIRIAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYLFMFYMFRPAERNQYFALDEDEEEAAELALREEEFEL >KN539277.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539277.1:114813:115588:1 gene:KN539277.1_FG014 transcript:KN539277.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSASSAASYGSDVSFMNHSPAAALPAVPTQIPRVAGGYLDGNVSGGFPHFGGAVSSSSSSPPSYSSSLPSSYYNNIQRSISSHSLPHHLQLTDHFGGAFFSPSSSSSHQLPLPPPLSSSPSSSSGDLFEFTSPCPVRRVFSTGDLQGMNGSSPPRPLPSGESCGQDGSGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITVTIPLLHAQKIAL >KN539277.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539277.1:89539:96689:-1 gene:KN539277.1_FG015 transcript:KN539277.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITSATDSYATYLNSVQPGYNAPQYPNYYYNYPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSCGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILRTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEENVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPNKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMSMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLLCVMLHSNNKRDLLSSMASLPKDAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVREAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >KN539277.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539277.1:33476:38403:-1 gene:KN539277.1_FG016 transcript:KN539277.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSAAKKQRIATHTHIKGLGLDANGAAIGLASGFVGQAAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIEIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSGLYLDAKSSARLLQEQQERYIT >KN546574.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546574.1:655:898:1 gene:KN546574.1_FG001 transcript:KN546574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVADAASLLGLLLVVSCCSVAQSVTTNGGGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDL >AMDW01020337.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020337.1:17:286:1 gene:AMDW01020337.1_FG001 transcript:AMDW01020337.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKHKMRVNAVCRGLHLGDRFPVWVGKEKAEKATGEVMPLRRWLDPEKDVASTVLYLVGDESRYMTGSTIFVDGAQSIVRPRMRSFM >KN541897.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541897.1:18626:19540:1 gene:KN541897.1_FG001 transcript:KN541897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLPAAYSLLVLVLPPIPGGALAAPTHAGCNNSCGNLTFAYPFGVGQGCFRNPDFELVCHRDGNDTQPPSLLLHGGTNGLQVVEDIAVNNISVNSFGVTLSDAITVVPGVDVYRYNWTSPKSFRLVDTVVYIIGCDIDVYYENKSVPLCNITCPNKTMTEADARMNCNGTGCCGFWLEVLLINQVAAGPLVTRRAMPVPVRIAHAGQQTLDICATAILALQEIPIFRRAALVTKFISQAKCPGEKSF >AMDW01037441.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037441.1:230:643:-1 gene:AMDW01037441.1_FG001 transcript:AMDW01037441.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRYEALIKRLGDLEEKVAALTSRPPEMPADKEDLLKAAVTRVEALETELESTKK >KN543985.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543985.1:2650:4782:-1 gene:KN543985.1_FG001 transcript:KN543985.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding HVLRHPALPPTSKLSFFLAATPPSCPLLAATFPVLVRALATHSPPLLDALLPFALSSSCPSELLPALLSALLSASRVDAALALLDAAPPDLLPRLAAAALPSLIASPDPISAVPAIRRLLPIASHPPPVRATNRLLLALSKENLYDDFRHVFDEMSRRGLPSNIRLCNICIHAFGKWRRLDMSLKLFAAMKTASPPLVPDICTYNSLIRALVVGARVADALVVYDEMKSFGIEPDVFTYRAIVDGCCKSFRMDDALRLFQEMRGSYGVKGDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSSSTHNTVIDGLFKNGRAEAACRLFYDLRRKGQLLDGIAYSIMVREFCKEGKGDQVAEAVELVKEMEERGFAVDLVTVTSLLIGFNKSRRWDLEEQIVKFIRDSSVLPDAIRWKSNMMSALQGPQDREKDGTSIFPFDGNIDDVMSLVNPVVCTGANEETPKDEPKDDWSLSPHLDHLAKHADHLNNSAIFTIDRGQRVQAKGKLSVACKLFEIFTTLGRKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDIATYNLIIQGLGQMGKAEVAGSIISELSKKGVYMDIVMYNTLINQLGKAGKVDEANSLLEQIIGRGIKPDVVTFNTLININAKAGRLKEADKYLRKMIAEGIAPNYATETILVFLDKEIEKKRQQPR >KN541651.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541651.1:6789:7241:-1 gene:KN541651.1_FG001 transcript:KN541651.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKDEKRRGLVRYRRLGVSEGRLRYAEVSQKEPFVLSSFALDDNGSSWTLEHRVALSRLRVDGGLPLQQEDTPQIGVIDPLNASIMYLKIGVQCISVDMERGKVLGRSLLGDESYTRSCLTALLTPCVLPPWLPSYRIPSAGSFSCFL >KN542102.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542102.1:1643:4676:1 gene:KN542102.1_FG001 transcript:KN542102.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADAYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFAVIASRQYPDKDAAISFFEGVTAKLRETKERRIDEPVLYVKMQIAAINLEKGDQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCKVHNAALSAQPALVQNERKLLEKINILCLMEIIFTRPSEDRTIPLSVIAESTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >KN541651.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541651.1:12938:15391:-1 gene:KN541651.1_FG002 transcript:KN541651.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AIKEVFDKKIWLSVAQEVNEVDLLRTAIKSTGGAGDGRESNKSLLVLGLVNAIRDKMFFLVLNDVWSERAWDKLLKAPFSHGAASSRVLITTRHDEVASSDDMEEVEIDDTLKDIGMEIIEKCGGLPLAVKVMGGLLRRREKRRSDWQQVLQDFMCIVQCHSHWMWISKGFLHRGTDDLEKLGEGYYQELIYRNLIEPDEEYANQCVSSRHDVVRTFAQHLFRDDAFVVSTLDERGKGALQTKKFLRLSVETNDLQPNDEFEWRLIQGQGSLRTLIVIGELKINHGDSLINFSSLRILHIEDTNCSASLVESCAPQTFEYLEIESENLVKLSNSLVKLGQLRHLDLLGVSINGIPRQFCGLTNLRYLYGFPAQADGNWYSLQELGPLAQLQRLSLRKLDNVLAPSLATEARLGEKSHLIYLRLDCSSRLGEDGLVEDEKSVSEEEQRQIEEVLDELAPPRCIESIEINGYFGQRLPRWMMSRGASAFERLMIVMMEDLACCTQLPNDLCHLPCLKFFQVMCAPAIKRVGPEFMTIQPSSSQHRHGAHAFPSLKEMNLIGMEEWEEWEWDQQPNNVHTMPALEELMLENCKLRSLPLGLSSEAMALTSMDLYNIQQLNPIESFASLVKLELQDNPNLERVTSLPKLQKLIIIGCPKMRALEGVPELRRLELEDYDMEQLPRYLQQGVSPTHLV >KN541651.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541651.1:11028:11665:1 gene:KN541651.1_FG003 transcript:KN541651.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVQDQSCEGKGGSGKFCSWNPGVSVVINPEKPRRGCLEIREEGGEVFISPLNMPTPFTAMKKLDMDEVIKDIAK >KN542102.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542102.1:11654:14025:1 gene:KN542102.1_FG002 transcript:KN542102.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPGPNLQKAMVAVDESEFSHHALEWALRNLAPTIAPPLLVLTVQPLLPLGYVSAASFGSPLGTPVVAPELIKSMQEQQQQLSQALLDKAKQICAQHGVAVETMIKVGDPKEMICQAAEESKVDLLIVGSHSRGPVQRLFLGSVSNYCMHHSKCPVLVVKKQE >KN542102.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542102.1:7849:8751:1 gene:KN542102.1_FG003 transcript:KN542102.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSISMSRRLFKGLTINPALASGMTCQHHQLQQRAPVSGTAKGKAKLKSGQQLKRNTIGAKKGGAPSTGGGGGGGGGAKKGGAPSTGGGGGGGRGRREAIERITQIAESCLNASTPLRHLSPKERLREAKREELGLISKERQRELDLAKAKAKSKGTREGDGGHVLMGPPGLDYISIGLVDEDAIPKYELTVEDGRRLAKQYSQVLMRRHRARQTAESSLLSLKKEAIAALPEKLRAAAMIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >AMDW01026245.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026245.1:80:220:1 gene:AMDW01026245.1_FG001 transcript:AMDW01026245.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGTPGAKTMSSNEKLVALLKKQAAASKPYGAIGAATAHVLEPHGLLE >KN540816.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540816.1:6600:8406:1 gene:KN540816.1_FG001 transcript:KN540816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGNALYWMAYGERWLTILQFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGVATLSRGSLQMWERKVCSEGVAKWVLQKTYDLKNVLNPEFRLKIGYLTKLGYAQDIKVMFLWADHSVSMLQLDSLQAKKVWESCVIAPIHPYASTYVADYVSLRMHYSSPFIYTRNYGLRKTSKYTYELEAGVSTFYLLYVVVPLVCRVEAVE >KN544720.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544720.1:47:466:-1 gene:KN544720.1_FG001 transcript:KN544720.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVGLGECQAGVALEKMERRCEREKQPGQPRATSHGSSAGHWGSAAGNRTMSRHAVHTVWKRDKSTASWSWPEISRSKSSGRLGQPQLDETASLDVDQIRSDQINCSSTELPCMNYDVCKNVINENYPTCNASQHMI >KN540816.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540816.1:33486:35734:-1 gene:KN540816.1_FG002 transcript:KN540816.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding HKSKLWVIPIVVIPVVAFFCFIVYCGWWRRHRKGIMGLQARRTESLQGDEELVWDLEGKSPEFSVFEFDQGQFSDGTEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSHGEEKILVYEFLPNKSLDLFIFDENKRALLDWYNRLEIIEGIAHGLLYLHKHSRLSVIHRDLKPSNILLDSEMNPKISDFGLARIFSSNNTEGNKTRRVVGTYGYMAPEYASVGLFSIKSDVFSFGVLFLEILSGKKNSGSHHSGDFINLLGFAWSLWGEGRWLELIDESLVSKYHPAENEIMRRINMALLCVQENAADRPTMSDVVAMLSSKTMVLAEPKHPGYFNVRVANEEQSALTEPCSVNDMTISAINAR >KN540816.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540816.1:28927:29632:1 gene:KN540816.1_FG003 transcript:KN540816.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGDEPNAFVRVYSSETGNWGNVISTAFSFGNLAYHSDILVGNSFYWLLQWDTRNAILQFNFERQKLAQIDVPPLDMHTDWDEHCRIVPAEDGGLVFLVLIDFSLDLWKNKTNCDDAAGWVLERTIQLDKLLSVEPGPHITSPCLVFVEEHNMLFVSTRIGGFLVHLESMQFKKLPQTIEVDHYYPFCSFDTKVIVTLPA >KN540816.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540816.1:15276:16868:1 gene:KN540816.1_FG004 transcript:KN540816.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCFYTSETGRWSDLIFTPAPFLVFAFVDPGVLVGHSLYWFPTGLGSAILQFDLDGQTLAVIEWPSNPNCYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVARWVLQRTAELNKVLELGSGVKTSHLVRLGYAEDVKVMLLCADTSVFMLQIDSLQSRKLWETDIMAPLHPYASTYVADVH >KN538682.1_FGP251 pep scaffold:O_longistaminata_v1.0:KN538682.1:95313:96120:1 gene:KN538682.1_FG251 transcript:KN538682.1_FGT251 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSKELDYVLVPMGMAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFSPGAGDGQGEIVVGGGGETALSVNYFWSLGVRAFYFSCPVFLWLFGPIPMFASCLAMVCALYFLDVYTEWDEKPEEEEELNGNGDGDDAGAAACHEQPKMATGNRVTPQQDVV >KN538682.1_FGP253 pep scaffold:O_longistaminata_v1.0:KN538682.1:62217:65650:1 gene:KN538682.1_FG253 transcript:KN538682.1_FGT253 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISAPPTSSSLLPASLQVGRWSSSAARSARPVAFGLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALKKGEDAGLALVKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYAPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >KN538682.1_FGP255 pep scaffold:O_longistaminata_v1.0:KN538682.1:21961:25181:-1 gene:KN538682.1_FG255 transcript:KN538682.1_FGT255 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFLEIQPSELSFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQREAPPDMQCKDKFLVQSVAAENGATTQDISAEMFNKVAGKVVEEFKLRVVYVPTTTSSAMPEDSEQGSSARPFAQENGIHNSTMPQPVFRSSADPTKERPTEPSSMISKLNEENRVAIQQNQKLRHELELLRKESSKSSGGFSLTFLAIVGLLGIIVGYILKKT >KN538682.1_FGP256 pep scaffold:O_longistaminata_v1.0:KN538682.1:119906:125899:-1 gene:KN538682.1_FG256 transcript:KN538682.1_FGT256 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQEKDHPVEPSKHIRVDDEEITSPPVEEKAAAAADKKFPFFGLLCYADGLDWLLMVAGTMGSFLHGMGPSMSYYLVGKGIDVVGNNIGNREATVHELSKLIPYMWALAIITLPGGMIEITCWMYTSQRQMSRMRMAYLRSVLSQDIGAFDTDLTTANVMAGATNHMSAIQDAIGEKVGMLSMLVVPMLLMVGATYAKMMIDASMKRIALVSAATTVVEQTLSHIKTVFSFVGENLAIKSFTKCMDKQYKLSKIEAMTKGLGLGMLQIATFCSYSLTVWVGAAAVVDRSAKGGETIAAVINILSAAIYISNAAPDLQSFSQAKAAGKEVFEVINRNPAISYESNGTILEKVTGNIEIREVDFMYPSRVDKPILRSFSLSIPAGKVVALVGSSGCGKSTVISLVQRFYDPISGNILIDGQNIKELDLKSLRRSIGSVSQEPSLFSGTIMDNLRIGKMDGTDEEIIEIAKSANVHSFVSKLPNQYSTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQEALDGAMKGRTVILIAHRMSTIINSDKIVVVENGKVAQSGTHEELLEKSPFYSSVCSMQNLEKESGKSEERFTDQVREEQDNGSGTSNEPSSTAHEQEKSLELNPNQPKQDIRNRASAFYRMFLGTFMLEPGKILLGSTAAAISGVSKPIFAFYIMTVAIAYFDPDAKRIVAKYSIILFLIGLLTFFSNIFQHYIYGLVGERAMNNLREALFSVILQNEIGWFEQPKNSVGFLTSRVVGDTSMIKTIISDRMSVIVQCISSILIATGLSIGVNWRMGLVAWALMPCQFIAGLVQVRSAKGFATDTSTSHRKLISLTSEAVSNIRTVASFGQEEEILKKADLSLQEPMQTSRIESIKYGVVQGVSLCLWHMTHAIALSYTIVLLDKSLATFENCVRAYQAIALTITSITELWSLIPMVISAIAILDPALDILDRETQIVPDEPKVHCEDRITGNIEFQDVSFSYPSRQDVIILDGFSLAIEPGQRVALVGPSGAGKSTIVSLLLRFYDPCRGQVLVDGKDIREYNLRFLRKQIGLVQQEPILFNLSIRENISYGNEGASETEIVEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPVILLLDEATSALDGETEKVVMSSLAAKEWKSKEGELSNKITSITIAHRLSTVTSADVIVVMDKGEVVEMGSQETLVTASNGVYSRLYCMQSKGMKD >KN538682.1_FGP258 pep scaffold:O_longistaminata_v1.0:KN538682.1:2739:12122:1 gene:KN538682.1_FG258 transcript:KN538682.1_FGT258 gene_biotype:protein_coding transcript_biotype:protein_coding MLPETNAQAAAEEVLGDAWDYRGRPAARSRTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHAGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASIAAVVVAAWRKRRLELPSDPAMLYDIDVGKLAAAEVELAASSKKSKLKQRLPHTKQFRFLDHAAINDAPDGEQSKWTLATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGASFQIPAGSLTVFFVASILLTVPIYDRLVVPVARRATGNPHGLTPLQRIGVGLVLSIVAMVCAALTEFTCRQRAANELAAQDAVAGLMALSNGQIQGAGVDKDSNSGDVVCRVKRTEYSPPIEGEYDDTGDP >KN538682.1_FGP259 pep scaffold:O_longistaminata_v1.0:KN538682.1:131541:137462:-1 gene:KN538682.1_FG259 transcript:KN538682.1_FGT259 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHDEDHSISSSQFDEMDESNSTIPVPSESSADEKPFPFLGLLCYADVVDWLLMALGTVGSIIHGMAFPVGYLLLGKALDAYGTNINDQEGMVHALYKVVPFVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTAKIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIIIAFASCWEVALLSFLVIPLILVIGATYTKQMNGISLSRNAIVSEATSIVEQTLSHIKTVFSFVGEKWAMRSFVRCMDNQYKLSKKEAVIKGIGLGLFQAVTFCSWALMVWIGAVAVTRRKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYEKHGSVLGKVHGEIKFRRVHFAYPSRQDKPILQGFSLSIPAGKVVALVGSSGCGKSTVISLLQRFYDPTSGGIFIDGHSIKKLDLESLRRNIASVSQEPSLFSGTIKDNLRIGKMDANDDEITKAARTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALEKAMGGRTVILIAHRMSTIVNADTIVVVENGKVVQTGTHQELLEKSTFYSNVCSMQNIEKEAGKRVASSSDNVIEDEIDEVYDRQLSPKQGQQNKLEQLNSKQPKQEKDDIAKILLGSSSAAISGISKPLFGYFIMTIGVAYYDLDAKRKVSKYSLIFFTAGVITLASNIFQHYIYGVVGEKAMKNLREAIFSSVLRNELGWFEKPKNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATVVSMYVNWRMGLVSWAVMPCHFIGGLIQAKAAKGFYGDSAIAHQELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMRVTKIESMKYGVVQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFEMLDRDTQIVPDKPESPSDGWLMGRTEFQDVSFNYPSRPEVTILDGFSLVIEPGQRVALVGPSGAGKSSVLALLLRFYDPQRGRVLIDNKNIKDYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEETSETEIIQAAMEANIHEFISSLPKGYDTVVGEKGSQLSGGQKQRIAIARTLLKRPAILLLDEATSALDGESERVVMSSLGAKDWKDRNEGSSKITSITVAHRLSTVINSDTIVVMERGKVVELGNHHTLITADDGVYSRLFHLQSNMKD >KN538682.1_FGP260 pep scaffold:O_longistaminata_v1.0:KN538682.1:102633:104066:-1 gene:KN538682.1_FG260 transcript:KN538682.1_FGT260 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNSVSWNAMVSGFARSGDMSAAEEWFRNAPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADEALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSFVSMYCKCGDLSSACKLFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVHLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYAPDLDFVLHDVDETLKVQMLMRHSEKLAIAFGLISTAPGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRGGHCSCGDYW >KN538682.1_FGP261 pep scaffold:O_longistaminata_v1.0:KN538682.1:117183:118012:1 gene:KN538682.1_FG261 transcript:KN538682.1_FGT261 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMNSVVHVSTSPSPSPATSPPPEGKQEHGEVAAVHVVGVGDDEAVMVVKDEEAFGGGGVDSSGRAQWLRAAVLGANDGLVSVASLMIGVGAVNESARTMLVSGIAGLVAGACSMAIGEFVSVYAQYDIEM >KN538682.1_FGP263 pep scaffold:O_longistaminata_v1.0:KN538682.1:201626:205328:-1 gene:KN538682.1_FG263 transcript:KN538682.1_FGT263 gene_biotype:protein_coding transcript_biotype:protein_coding AYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEVDSLFRTSIPGIFAIGDVAAFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETIEVGSFEPKIATFWIDSDSRLKGVFLESGSSEEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >KN538682.1_FGP266 pep scaffold:O_longistaminata_v1.0:KN538682.1:49424:57311:-1 gene:KN538682.1_FG266 transcript:KN538682.1_FGT266 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDPVMFDNDDEEGPISFKRSSTSVKNRPTPTKQEGSSGNAGPIRSPKAVASNQQKNGLTGVSRPLQQRPQSSSPNPRPLGSGQPNSSKEHSSHNSNLVDKSKLKRPFVEDKSDDSEDDHKPIGLRRKVEDRNLKKPAVGSGTANDSDDDKPLSLKINSTKTPSSSANKAIVLKTTPKIEQPGDDSEDDKPLASRLPTNAALKRGGNVSDDSEDEKPLAARFSKVTGNASASISSSKDKVLSASIKASSSSKMSNNDASTSRNSIKRPIDNNNQTSSALKKARPSDVSASASVKREPKADDNDNVPLSQRLKMGESSKSKPPAKNIVKKSPSSLKKDNKKMKMKMKTKKTMKNSQFSKTMKVPPGSGGGQKWTTLVHSGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYATKQTFIDNFFTDWRKILGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGKGAPIPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSALKGQSDKEKYEKSRKLKHHVHKIRDTYTKDFRSKDKTKKQIAVATYLIDKLALRAGNEKDEDEAETVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELLVYKAIEEFRAGKKPGQDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDELKADLSKVRKGKNLGNDKDGKPKRNLAPEAFEKKISQIETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMQTQCFLVIYGGLL >KN538682.1_FGP268 pep scaffold:O_longistaminata_v1.0:KN538682.1:26856:30281:1 gene:KN538682.1_FG268 transcript:KN538682.1_FGT268 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNTRLRREYLYRKSLEGKERQHYEKKRRLREALDEGKPIPTELRNEELALRREIDLEDKDTAVPRSLIDDEYAGAALREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHDITDLVLVHEHRGQPDGLIVCHLPFGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKTGERTANIVKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKHGGPKSLDLKEVGPRFELRLYQIKRGTVDQAEAQNEFVLRPYMNTAKKQKSLGA >KN538682.1_FGP269 pep scaffold:O_longistaminata_v1.0:KN538682.1:79665:82187:-1 gene:KN538682.1_FG269 transcript:KN538682.1_FGT269 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVFAALCKIGSVLASSASITLGGRLDANLTIINEIESRIKQIEVELKLMQAFLRQAQKQEGYSEPTEVYLQEVRKAAFEIEDIIDEFLYLSVKHKNRFFNGEFMSYFRKLGKTSWHKIARELKDLQCHLQNLQNLRVQYEIQLPNGNRVSTDVEDHRLPHHLSYPADEMVGVEQERTMMMNWLKTCSTSVITVWGMGGSGKTTLANSIYEDERIKNQFDCHIWITVSQKFNASDIMRKMVRHMLQRCPPNIDSIDGRDLVEILKRTLEHRKILLVLDDVWSTDVWMDLASTVERSNNNGNKVVITTRIKEVASLASEDQVLELQKLNDADSWCLFCRWAFKNCIDRSCPQELESLGREIMAKCDGLPLAIVVVGNMISFKKQDMEEWSKCNDQLTWELRDRLRDQELSSVMKILKLSYKNLPSHLKNAFVFCSIFPEDYMITKKRLVRLWVAEDLIKPEKRRTVEEVAEEYLNELIDRCLLQVVERKHFRKVKEFQMHDIVRELAISISEDETFCMTHSKSHPGEPEYRCRRLSIHEHNDRIKSVSDPSRLRSLYHFDVTCSSFPSVGTPRSARYLNVLELQDVPITVLPEELSGLFNLRYLGLRRTKIKQLPQSVDKLFNLQTLDVYLTNVDKLPTGIAKLKRLRHLLAGKLSAPLYCGIVEKSRGVQAPKVVWESMELQTLKGVLANLNLVEHLGHMTQLRTLEIEDVGEEHYPKLFASISKMRSLRTLKVLSAEGNQGLNFEALSLPPQNLRKLHLTGRFHHTVMESNFFQTVGAKLEKLYLTGSKMNIDPLISISCLSNLKVLQIGDAYDGASFVFQSGWFPKLHTLIMCNL >KN538682.1_FGP270 pep scaffold:O_longistaminata_v1.0:KN538682.1:100364:101060:1 gene:KN538682.1_FG270 transcript:KN538682.1_FGT270 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFVSSGHAVVGGGVAGEAELSVKFFAILVCFLLAFLLNVHYFWSLGVRAFYFSCPVFLWLFGPIPMFAACLAMVCALYFLDVYTEWDKADDEEDDLDDDDAGCVPAKC >KN538682.1_FGP271 pep scaffold:O_longistaminata_v1.0:KN538682.1:112023:114483:-1 gene:KN538682.1_FG271 transcript:KN538682.1_FGT271 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPYDTSHLDTIYLGQKEKEIAMNKILQLEKQLDAKQKLELEIEQLQVVQYMEGEEGVDVKKHTEELNEKIKEMEDMEALNQTLIIKERKANDEPQDGKKLLISGLSELSGPRSNIGIKRMSELDEKPFLAACKKRYGVADGEAEIKAAEFCSEWQEHLKDAKWHPFKIVNI >KN538682.1_FGP272 pep scaffold:O_longistaminata_v1.0:KN538682.1:106874:109000:-1 gene:KN538682.1_FG272 transcript:KN538682.1_FGT272 gene_biotype:protein_coding transcript_biotype:protein_coding MILCRRPFLTSARSLSSAAVRRGDLAGAEEAFSTTPRKTTATYNCLLAGYARAPGRLADARHLFDKIPAPDAVSYNTLLSCHFASGDTDGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKAVFLAMPVRNSVSWNAMVSGFARSGDMSAAEEWFRNAPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADEALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSFVSMYCKCGDLSSACKLFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVHLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYAPDLDFVLHDVDETLKTKENGKISCKCF >KN538682.1_FGP274 pep scaffold:O_longistaminata_v1.0:KN538682.1:69482:77664:1 gene:KN538682.1_FG274 transcript:KN538682.1_FGT274 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAAAVVAAVVIAINNQFPGPLLNVTTNWNVRVNVQNNLDEPLLLTWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGFGPVTVNNRAVVPVPFAQPDGDITLFIGDWYTKSHVELRKMLDDGKDLGIPDGILINGKGPYSYDNALIPEGLQHETVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMRLVEAEGTYTYQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRMNTTAGAARPNPQGSFHYDSINITQTFVLKNELPLRINGKRRRTINGISYSPPENPLRLADLHNLTGVYKTDFPTMPGNAPPKMASSTLSASYKGFLEIVFQNNDTGVQTYHLDGYSFFVVGMDYGDWTPDCRSRYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRSEKLDNWYNGQEVYVKVADPLGYNITEMIMPDNALYCGLLKDLQKPQIHQVAKLETAVASMESMPASNMGDKNGKSAKDVVSNGDKKGQKKAMGRITQGDDSDSDYDDDEDDEGGSKDEDDEEFMKRVKKTKDWAGAAITTRGTFIPQGKIVGANERKLYLFIEGPTELSVKKAKSELKRVLEDCANHALNLPGSAQTGKYSVI >KN538682.1_FGP275 pep scaffold:O_longistaminata_v1.0:KN538682.1:31723:36821:-1 gene:KN538682.1_FG275 transcript:KN538682.1_FGT275 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSSIRGRRGCFSTSLLLAVELAVVVLALSLFKAIAHGSPTIKGCTVALLPVVTYRGLGVEIEDLCLSAFRESKSDDRRFYIFSPTKTLHLKTDSKEDRVAWIEALILARSFYSLRSLSGRITFVQSDVSVSTARLRNRMQQEGLNESLIQECEQIVLTEFSSFRKQLKLCYEDQLSLFGSCRHHFEEGKDASIIQGALTRNDFSSSRHGNFSEYSTTESDEFEKQDGDYFIEPNDRSSAMLSSTSCGEGLLDIQMEDSSHLLPQIKRRSKLPEPTEKERGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKGFEDLEYSHLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPERGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPIGVLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDDDGNKPSFLIGKWDENMYYSNLDTSKVKSVDQLKGAVLLWEKNKPSPNPTRYNLSSFAITLNELSPDLQSSKLQDNGWKPRWFQKDGEDGTYRFKGGYWEAREHGQWDDCLDIFGEFSET >KN538682.1_FGP277 pep scaffold:O_longistaminata_v1.0:KN538682.1:85707:88387:-1 gene:KN538682.1_FG277 transcript:KN538682.1_FGT277 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVLKELREETSAMRGSQMQVSPAQAQLLAMLAQILGAQRCIEVGVYTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKVDVKHALAADSLKLLIDGGEANSYDFAFVDADKRMYEEYYELLLKLVRVGGLIVIDNVLWYGRVADPLVPIGDGMTICRKLVDT >KN538682.1_FGP278 pep scaffold:O_longistaminata_v1.0:KN538682.1:144104:156369:1 gene:KN538682.1_FG278 transcript:KN538682.1_FGT278 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHDIMYKTNVEIDSSCLLAMLKFLDVLMQCSLEGACGKGPSARKTALDTVSECVQILSTHLQHIEAVQVVNYTKLRRQIAKIKVNVVISINPSFQGYRFLSKDYGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIENSTMSRFYTSSLRCLHSVLSDPKGPLSAHVPGFVANLQIFFVYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDNDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLAALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLVNVLNCLEAAFSKEPPSSNVFEVLTQDGCAGPSHTQQESSVVAVLLHYIEQEIHFSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQTQSLEDQRDANFGPPKEESSIKGRCLVASIKSCEVFVQSFINASIQNYGSIFQGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIDRLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALNDTAPAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPSNSVLCGDPVWLERMVHALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLPDMPWPVGLVECPYTQVTSHDSTTEAAATRPDYGSSFPDVVRGIEHVLESLSSNSLSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPSANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHIVAQRFEQLARSLELV >KN538682.1_FGP279 pep scaffold:O_longistaminata_v1.0:KN538682.1:45025:45457:1 gene:KN538682.1_FG279 transcript:KN538682.1_FGT279 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPQTRRRGASSMGVAMASIRPQQQPDGGRLKPSSHLQYVVIVLWISPLSSSVSDGDQGWVNGACWAAAGNLLPTLIYTEEARRLDGEGSRVEEARRLILPSLTNVVVLVVNLHSHVS >KN548303.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548303.1:544:600:1 gene:KN548303.1_FG001 transcript:KN548303.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSKQGKCGGDRRPRHK >KN539164.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539164.1:68719:72067:-1 gene:KN539164.1_FG001 transcript:KN539164.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLLACSAFFLLCCSCSPSHPSSLPSMEDWKLTSTPLHNVICCIWLKCHVAGRKGVRSCSSAPPEAIKKCKDALDQVRITKKARKEKEQEVRDSINLDADGDEDEVAQSEALDEIGGSARRNVEPMDKFTLPMEPSDLMNTRTAVQQKQVSAIVKKRQHALKRFIAKWVYVHGWMVEGGDEESDVEAVTGLTWKLIEEACGTEQYTQLRRSARLRTREVNEDIEQHVEEEPINTNDNEEDEIDFESDQDEVMTTKDYEEEGETDN >KN539161.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539161.1:60965:64365:-1 gene:KN539161.1_FG001 transcript:KN539161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTRTANCLIVVLLWDWDWVLDISEALCNFLIGDALFISLYRTGYVQEQVNIGSSPPGSKVRWFRSSSDEPRFINTVTFDSEENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGSHSTGELLTEQESTLLTGRTFCVHR >KN539164.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539164.1:2389:4262:-1 gene:KN539164.1_FG002 transcript:KN539164.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVYYMDNLIDTAKDVALAAEVQGDSPKRRSPHLPLCTDLIRRGSEMGFGEAVGPFVVDELQRQAVEPRAHIREIEG >KN539164.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539164.1:40045:41327:1 gene:KN539164.1_FG003 transcript:KN539164.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSGSDAARCAASRVMPATLEHLNYGLTNDGEAITGYPVVGYQHRIQASSSCTGAMEDGGLIPTSTCLWNGRLRGHLFYNSGFSIALSRAPAFVADVARLRDLNPSAFCQIDSKMGLLMRYVAASSAYLGKAEDSVDFDVTYYRSYSPGAPRAHADVFDEIEQMALRKHGGVPHWGKNRNYAFDGAIARYPNAGEFMRVKDRFDPDGVFSSEWSDQVLGVGGASPVIVGDGCAMEGLCVCSDDSHCAPEKGYFCRPGKHV >KN539164.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539164.1:33658:34383:-1 gene:KN539164.1_FG004 transcript:KN539164.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDRGINHRVLDLLSYTTTPTAPTTPVDEFLGLHPLDVLQKSVRGTRQYRQRPIGDGHMPSAAELREAGIHFKVSTGEGFAGTVSFERGVLRVPKIFLYDDAERMFLNLMAFEQLRPGAGNEVTAFVSFMDELINTAKDVRLLRDKGIIDSTMGSDEAVANLINNTLTKGSVMDEDSSLNDVMSEVDAYCKMRRNRWRAILLHTYFSNPWVFISLVAATVLLIATVIQTVYAILSFNSKT >KN539164.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539164.1:95909:96316:-1 gene:KN539164.1_FG005 transcript:KN539164.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQHGDSGDGVATAFPPHLPLPLPLGLFVFAVKSGRRWRQGIGLEGADNGSRRMMAVVVWLFFLRGIQAHLTQ >KN539164.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539164.1:18343:18888:-1 gene:KN539164.1_FG006 transcript:KN539164.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSKPGHSIYRVPQYIKNMTNPKAYRPQVVSLGPFHHGDSALVPMEKHKCRAVANLVKQSGKPLQEFIAAVEEIKVQLQDACVRKSRRHMVPRYTLRGDDAQGYGCFLLEMGRVIQLDGRVEDYEPDDPVFSDYGCLYLLSIIRSDVVLMENQLPLILLQKLTEVARGRDFQVRIHELE >KN539161.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539161.1:38244:39983:1 gene:KN539161.1_FG002 transcript:KN539161.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKANIDLYKSEYNREVGVNSSVKDFIEAIQRPDAIAAGYVGQFIIKPFLGFLFGTLAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTSSFIAGYHLAGTWFRESADVKALQRTVSFETGMQSSLLALALANRFFPDPLVGVPPAISVVLMSLMGFALVMVWSKRTKE >KN539164.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539164.1:51145:52524:1 gene:KN539164.1_FG007 transcript:KN539164.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPTPPPHAGAGRPWDDLPAEIVDAVVSHLDIFGVARLAAACTSWAKAVAVNPSMPFGMPCLLTSNIDGERCAFDVAKSCTNRHVDDGGELPMAAYVEGLRDQRWVGSRGSWIVSMDECCNARLVNPYTDARIDLPLVSTLPGITDPYDHFRCCTAISRIVLCEAPSPGDGSDGVDEGAQHDYLAVAFVERSGQFLCVARGGDRSWIILKKKEDHRRVTYHDVIMHKGRIIAITRHGDLSVWNIRGCSSSQDDVLDCEPEILRGPKIELDDQYSVGLYYLAEIADGDRLLVACTFCVSSTYCRRAYGFRYETVEGFVEKGMLLYETDVADDPDRWRRVTSLGNHSLFLGANYPLCVSFQINPTVPLWLQQARPECKCKPEDELRWQNVRPNCVIIALTGTRFSREPCDGAVYDLERETYDPVSWSWGNKDDFCCQDAMWFIPTLRDSFRATKAKF >KN539161.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539161.1:3643:6710:1 gene:KN539161.1_FG003 transcript:KN539161.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGDGAMPDPTVRNLLEQESLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFNNLYAMEIDPKVENDDFANEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPIWSNLLEKDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLTPYKAALKRGTVEEVEQRVSLLKSALQEAESELDRLRKGKQVA >KN539161.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539161.1:131950:134674:-1 gene:KN539161.1_FG004 transcript:KN539161.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFAASDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTVIVQTLISVKEIVINAVNRAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLENMKDPHDPNMTLGQAFVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKKIYAL >KN539161.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539161.1:138:855:1 gene:KN539161.1_FG005 transcript:KN539161.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding SRILALMPKISMRVLQVALVQGFTEHLLPSEQDEEDDNGDEEHNETLSSSTTTLRPASSVASAYTLLTPSVKVQLLIYFMLKYAMEILLAESSVVTGYYFGWDIGTVSLFLAVLGLSVLPVNAIVGTYISNMFEDRYGDQGNSPML >KN539161.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539161.1:129041:130640:1 gene:KN539161.1_FG006 transcript:KN539161.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLSAVPARKNEDESLVLFGELYRHEKEKDVNLLEPMYSVEFEAIQGTSRMFKLPSGKKDYLLPDGGKHDYDWLKTPPATPLFPSLEMEANSSQMVFQRELPILQPVKTSRFSIKPEPTSTSTRTESPTSSSSKSATPTAIPSSSSSKKNFTKGVPVLSEVTSTYKMDKRSSYTPLKNRQQIAAPTTKSTAASKAAKKTSTSKKPEFPGSTNAVNKMAKPGIPDKPLKKTAATAPKARSKDPTIGMKDLKMDAGTARRMPCPPAATVGSNNELNKVAGKGRRRTGGEPAPGNGSRATEATTNGRRRAVAEIEKEHGQRLGSLAKK >KN539161.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539161.1:120398:120833:-1 gene:KN539161.1_FG007 transcript:KN539161.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQACVPPPALNHCRNYQLC >KN539161.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539161.1:31254:37191:-1 gene:KN539161.1_FG008 transcript:KN539161.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRTRTAALGAIRAPATARKKRAICAQAANPLNPKVESKYSYSDSSLIHVCQKHDPCGMCWGASKVQVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAISSVSDSVIPVFREQAEQLLNSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMDNHTTLLLQTGRSVYAAGFVLSTLKRFMPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAQNAAMVTVEEVKQLPPLQEREQSGGGRGGGRFGNRRFSGGGGGRGGGGRGFGGGRGRGGGGGNRFNKSVCVFEIMMINFAIAVVYNIDLILAT >KN539161.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539161.1:7856:11143:-1 gene:KN539161.1_FG009 transcript:KN539161.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKDVGILAMDIYFPPTCVLQESLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSMTVVTSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPIAFESKYKASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCKKYEKLAGKQFSISDADYFVFHSPYNKLVQKSFARLYYNDFTRDCSSVDNDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKPLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHASLDGQRIVMFSYGSGLTSTMFSLKLNNGQDPFSLSNIASVLNATEKLESRHMTLPEKFVETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTRVDSMYRRFYERKADEEIAAAKAKYSNGHATNGYANGH >KN542429.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542429.1:1394:3823:-1 gene:KN542429.1_FG001 transcript:KN542429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDAVVIRPKNGTRFSSDEAKEIVHYQTVSPKTLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKDKQADSTLVDTLKNSFASSEFSMAFPYIAMSDDDKLEKSLLSGFAENCNNGFGDNHITYTDTCSVSEDLNKHHNMDSIHGLVASQTKKNPSGQTDLIVFCDGGFKDNTKSEGELLSELVTLLKKSGAKYTILYASQPFGLLENPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >KN539161.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539161.1:51865:55220:-1 gene:KN539161.1_FG010 transcript:KN539161.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRPCRSSLPPPLSPFLDPLAPVPSYACLFLLFKGLGLLPLSHAPGTDSHGFNEGLPGQPPLWEGYRRLQSDLNVGFPQPNLQMLPLAPVKLEPVTEEHQSRGKNVIIADNLVGSNMTFYRGSNMLLGSSSNANRDQIHQLGSQDRILQEHRCIETTENEANDSMCGSSSMPHRRRNSSVGSSRMTRNLDPGAGSSSSHGGNGPVVGDTAAAANLGNGEFSEADKKTIMASEYLSQLVLSDPKKVKRVLCNRRSAARSKERRLNYKLELESKVLVLKIEIEKLSEKLATAQRTFNELLAQNNELKIKIQETGRERQMKEAIFKSIGYESLQVVVDGEFVMPNGTHEETVARLIELLEPETQAGPSQIQGYQP >KN539161.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539161.1:68326:68804:1 gene:KN539161.1_FG011 transcript:KN539161.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKDFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGDLDLKDDFSEQEEQLRLFDMDMKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNTHAGNRNNNGPSLECLWEGKV >KN539161.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539161.1:122592:122888:1 gene:KN539161.1_FG012 transcript:KN539161.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVFGVIKKRRRRRPGRCYERLHSAGGGAGGVYRSQSCRFPVRAPADDEEELEFLCYDDGGRRRASPAGDISGKLSRSLRFSSMRVLACVSGA >KN539161.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539161.1:123852:128138:1 gene:KN539161.1_FG013 transcript:KN539161.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MAAMGRSVRVVLDSSVLLDPSGVTAEKEEVVALRPEAEALLRRLRYSNLRVSGFLEKTAKLYSFGYMPLTSPSGINLLNELMLEWSGTNSCFYVTGVHEGLLSELQNHNWAVIAIGNEDVIKNSGVIHISMLQELLITLATSIKKEIGNSSTLVVGYVMKQSREEDFAKAIFVHSVTDYYVLDLFSNIIFFTLLAERSIPHLSYSLFSLTTTLYVKQVENFCGSELQKQLAEAKLSFPLIVKPQVACGVADAHNMALIFKIEEFSNLSVPLPAILQEYIDHGSKIFKFYAIGDKIFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQLLQNEVQDSKLLDINLVEEAAKLLQELLGLTIFGFDVVVQESSGDHVIVDLNYLPSFKEVPDNVAMPAFWDAIKQSYESRKQMTQT >KN539161.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539161.1:46587:50873:1 gene:KN539161.1_FG014 transcript:KN539161.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALVWDYEKRTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEEGSSIGMKPPLCLDMDPMSGLRERDVSRIGTLLDGIGRCSSLAPRLRLRRRRETTRRSDGMEMEMVNLKLYLENRCILEENERLREKASALHRENLALRADLRKTSSPATTAAAASS >KN542429.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542429.1:11114:11419:-1 gene:KN542429.1_FG002 transcript:KN542429.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKVVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KN539161.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539161.1:20035:20544:-1 gene:KN539161.1_FG015 transcript:KN539161.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELLRAHLLTLSLSILPWREKLRYVAALLRHRRHDKKAGSGGGIPMPDFRAAAEHFCLPSSGRPMIWRLGQGLGLGEGEMEAALMAFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLAVGSGLKANSLVWERVADDDHFATERHGRTTLGPWADCIHKYPVTEA >KN542429.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542429.1:5707:8517:1 gene:KN542429.1_FG003 transcript:KN542429.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATPSLLFSSPTPRRPSSCLPPPPPCSSSSSSYASHGFKLQQPQLLFINRLSSRNSNGSGRRNISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMIVDVSSDTNGAEQEAETAQMPLALENSTCISKLARTAITKLYSHIDDAECKPVL >KN539161.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539161.1:57959:59443:-1 gene:KN539161.1_FG016 transcript:KN539161.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MPERNALSWCSLLHAFVVSGHMELAHELFDEMPSKSNVAWNTLLMGHSRGGNAKQCLAQFNQMRMSGLTCDDATLCILVDACAELPNPSTGFAIHKVVVQSGWNGIPEVNNSLISFYTKFSLLDCAVQIFESMKTRTTASWNSLIDAHARFGYIEQAALLFESAPETNIISWTAMIGGFARNGLTSEALAHFVKMLTQEYIQPDDFTFGAVLHACASAPCLASGRMVHSCAFQGGFASYLYVANSLVDMYAKCGDVEGANNIFDAIHQKDLVSWNTMLFGFAINGLSKEALEVYEIMTSHNVSPDEVTFTGLLTACSHSGLLEQGRAFFASMVSVHGVQPKPEHLSCVLDMYARSGNIAKAIEMMEQYPEIVKSPGSGLSEALLSFCSSENLDFWVGRKVGDDVVARAPARDTGYVMLSNLLCASGRWDEAERVRRAMAEQGVKKSPGCSWIEVKGKVKVFVSSEQAIDLTDTVYGVIYLLDYEMRNSMPLCDV >KN539161.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539161.1:26949:27934:1 gene:KN539161.1_FG017 transcript:KN539161.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVRQLQSSINIFSDDGPDLRWVGDENGSAGSTCWSTINRSKITIGEAGIEK >AMDW01034191.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034191.1:152:490:1 gene:AMDW01034191.1_FG001 transcript:AMDW01034191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ILVDGKAIRDFKNNEDQGVPFPTWQSMRTFGSLWSAEDWATQGGRVKTDWKQAPFVTYYRNYNVTWCRPSPGVAWCGDEPKDSTRFDLDANTLSDLQWVRSNSMIYNYCDDSV >AMDW01024440.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024440.1:78:260:-1 gene:AMDW01024440.1_FG001 transcript:AMDW01024440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEREASSEWGDGVGVLGFRVKASSREPAAQKAANVLEPDLRSHWSTATNTKEWILLELS >AMDW01008570.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008570.1:25:210:-1 gene:AMDW01008570.1_FG001 transcript:AMDW01008570.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQ >KN540753.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540753.1:9153:13051:-1 gene:KN540753.1_FG001 transcript:KN540753.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSDDMDSSSGCSRGAHKRLLQDSRSYDQENAMKKVCIGTRTEYTYAPYHDGYQWRKYGQKMIRGNSFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIYTNEHTCGTSNSASDYMASSMQIQQIADASLRKAQAAERLRKAEVETPRLMHSPPPRCRGGYNMAMKEEKDVIVSSLLTVIRGCHIAESAGNNSAAALPANRAPPAVARSDHHSCSYAISPELLPASDDLTLDFMLDSVLDPHWVEPLDLAWFKESTHTG >KN540753.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540753.1:34566:35732:-1 gene:KN540753.1_FG002 transcript:KN540753.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPEGGGVEPDAVTLVGVLSSCAHTGARRVGLDVERYVRDTIPGFRDNLPLCNALINFHARCGSLPQAQQLFDEMPKRSVVSWTALIIGYGMHGQGDIAVDLFETMVSEATAPDSVAMVGLLSACSHAGMYDEGRKYFSTMERDHRLRPKLEHYTCMVDLLGRAGRLDEARELIASMPMAADGAVWGALLGACKIHKNVEMGEEAFERVVSLEPANVGYYVLMANIYADAGQLDGVAKVRAAMRRRGLRKEPGCSYVEHKGKVHLFMADDHSHPQARRIYELVVELERMVKEKTGGDVVEERAEKAVAEAAAVPLVGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKTVSALTSRAFLVRDASRFHRFENGACSCRDYW >KN540753.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540753.1:23965:33771:1 gene:KN540753.1_FG003 transcript:KN540753.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDKEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPPAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >KN540753.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540753.1:19104:20234:1 gene:KN540753.1_FG004 transcript:KN540753.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVERLLVGLLAAAVVAIAVSKLRGRKLRLPPGPTPVPVFGNWLQVGDDLNHRNLAALARRFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVQFGSRTRNVVFDIFTGKGQDMVFTAYGDHWRRMRRIMTAPFFTGKVVQRHRAGWEAEAAAVVDGLRADTAACCLQRSRRRCGRWSGRSRSWVNHGEIQEKLRRELDTVLGPGRQITEPDTHRLPYLQAVVKETLRLRMAIPLLVPHMNLRDAELAAYGIPAKSKVLVNACSPEEFRPERFLEEEEHVEANGNDFRYLPFGAGRRSCPGIVLALPILGVTIGRLVQNFELLPPPGQDKVDTTEKGGQFSLHILKHSTIVAKPRTF >KN540753.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540753.1:37632:39169:-1 gene:KN540753.1_FG005 transcript:KN540753.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPNAIIYYCSKCRTPIRGKNPEPTDDAEYALSQLEILSADTASVFSDDPDTLSRTSSVADGGGEQPPVRTSSAPYTAFDRGSIRTGSRSGEQSGEERGGSPMHCRVSELRPTSRITRRPMSGDMGAFRDDGSSYGLDNDVPTSAAASYRRRASPLSSQELEASSSSMGSSGYQPSGASSSSMASSSVYEPILHKQLIAQELSSSSMGSSGYQPSEASSSSLYESSGAARSPLTDPVFQRDLLQALDNLRRVIAAVEQSYGVEAHLQQAGMPPKSASCNDADAGGGDA >KN539973.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539973.1:15243:20045:1 gene:KN539973.1_FG001 transcript:KN539973.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSDDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTESVGPWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKKQLEAALKMDSPDGGGGEGQSETFVGPRHSCVEPREREIPIEDLSISGNGDSKHDKKGWFGHWGKRVQSSKLEGTKKMAPPRSSLCVDEKVSDILIESPSNVQTRPGRHSVDVVRGDESRRGKERDYRRPAASSECGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVLRILYGILSDIVATDTYTVILSAQMIIVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPVAQSSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFVIPADYVWTTPEEKKKKTQENKRRMSGRFEDFDELQGSIFMVEFVFSVLSGLLF >KN539973.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539973.1:64227:65708:-1 gene:KN539973.1_FG002 transcript:KN539973.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSTSSAPADKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIQEVK >KN539973.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539973.1:55770:62506:1 gene:KN539973.1_FG003 transcript:KN539973.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGDHGSDLSADDSPWSEGSWSDDDDEGSLSFEDSGEGSDAESDEPDAPAVEESDSSEDEVAPRNTIGDVPLEWYKNEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCIKVWNVGGVVHRIAWNPSPDRHILAAVVDHDLLLLNAEVGDEDAQMKTKGLLQIEELAQEEDNGDKKPAVKWVKHEKFDGIMLIHHKLSKKHSHHPFRKLPGLPIAAVFHPSQKMFFVATKKFVQVYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVVRLYCD >KN539973.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539973.1:28741:30123:-1 gene:KN539973.1_FG004 transcript:KN539973.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGLHGQVQAGIDFVTAGQSSSGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYKMLRIEGQESMGSVNFRLAEQLKVNALTFRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLARPIFPSSAVQGKFAEGGGGCECTENCSIGCYCAQRNGGEFAYDKLGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLRNRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIMAANGDCLVRPSRFPPRWLDWGDVSDVYPEYVAPNNPAVPDLKFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNAAYPHLMIFAMENIPPLRELSIDYGMIDEWVGKLTM >AMDW01015012.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01015012.1:51:248:1 gene:AMDW01015012.1_FG001 transcript:AMDW01015012.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVAGAWRPVRPLPGAFVVNIGDTFM >KN539973.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539973.1:68458:71644:1 gene:KN539973.1_FG005 transcript:KN539973.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFSRFKAAFARNDFDTCVDLLSQLKVRLTKFPSLPPSFQQTPNAVEELKIARDIYEHAVVLSVKIEDQDAFERDFCQLKPYYMDTCGIIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLPVTALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDELAGCSEKGYDYMSIAEARQVLMFSSDKELHQYIAECTLMEAHSDTSEQCWDRKC >KN541270.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541270.1:708:3766:1 gene:KN541270.1_FG001 transcript:KN541270.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FIKDELQTIQAFLMVAEASKKSILLKVWVQQVRDLSYDIEDCLDEFTVHVGSQTLSRQLMKLKDRHRIAVQIRNLRTRIEEVSSRNTRYNLIENLTSTTGERNLIMEDIRNQSANNIEEAELVGFSGPKRELLDLIDVHAKDGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCYAWITVSQLFVRVELLKDLITKLFGEEVLKNRLRELEGKVPQVDDLASYLRTELSERRYFVVLDDMWSTDSWKWINSIALPRNNNKGSRVIVTTRDIGLAMECTSELLIYQLKPLEITYAKELLLRKANKKIEDMKSDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEVKEWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNRLVNRWIAEGFIKARTNMTIEDVGKSYFKELINRSMIQPSRAGIRGDFKSCRVHDIMRDITISISREENFVFLPEGTDYDVVQGNTRHIAFQGNKYCSKTSFDWSIIRSLTLFGERLVELEHSVFSSQLRMLRVLDLTDAQFTITQNDVSNIVLLCHLKYLRIAIYRYNSSYIYSLPNSIGRLHGLLTLELGPTYISALPTQITKLQSLRILRCRKYYYSSFTTCLTNILCLPMIFTPFVSASDRAEKIAKLHMATKGFRSKSNGVKVPKGICKLRDLQILEVVDIRRTSSGAIKELGQLSKLRKLHVVTKGCTKEKYIGTLECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKFYLLRSKLKEGKTMLILGALPNLMVLDLYRNAYLGEKLVFKTGAFPNLRTLRIYELDQLREMRFEDGSSPLLEKIEIFTTRLESGIIGIIHLPWLKEISLEYESKVARLALAIR >KN541270.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541270.1:20028:28052:1 gene:KN541270.1_FG002 transcript:KN541270.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSWHTRRMRARPGGTRRGGVAETAAGGEPEEPVALSYLLCGCELLAVLSVNRDGRSSRAESMAETVLSMARSLVGSAISKAASAAADETSLLLGVEKDIWYIKDELKTMQAFLRAAEVMKKKDELLKVWAEQIRDLSYDIEDSLDEFKVHIESQTLFRQLVKLRERHRIAIRIHNLKSRVEEVSSRNTRYNLVEPISSGTEDDMDSYAEDIRNQSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEYIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGLSSLKQLLQELQGKVVVQVHHLSEYLIEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLSSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIRRNHLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGISGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYLSCIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIGHFHYDDFSLNHPMKCITNTICLPKVFTPLVSRDNRAKQIAEFHLVTKSFWSESFGVKVPKGIGRLRDLQVLECVDIRQTSSRAIKELGQLSKLRKLAVITKGSTKEKCKILYEAIQKLSSLQSLYVNAALLSDTETFECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKFYLFRSKLKEGKTMLILGALPDLMVLSLYYNSYLGEKLVFKTGAFPNLRTLEIYNLDQLREIRFEDGSSPLLEKIEIGKCRLESGIIGIIHLPKLKEISIKHGSKVAGLGQLEGEVNAHPNRPVLLITTWGAEAEGSSIEVQTADPVPDAEGSVTVAVEATDPLPEQEGESSQSQVITLTTNDRSVTPYMAA >KN541270.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541270.1:9804:10691:1 gene:KN541270.1_FG003 transcript:KN541270.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGELHNLMDLHFRWYAYVGEKLVFIEGAFQNLRKLVVETEDKLREVRFEEGTSPQMEWIEICHCELISGIVGVKHLPRLKEIGLKSAKVARLGQLEGEVDTHPNRPILRLSEKRSYHDLGETHVSAVEVEVADEPLAHQQPVDVDDRTTTMLIPESPAAFSTSRAEVSYRCSRGRILF >KN543659.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543659.1:3203:4528:1 gene:KN543659.1_FG001 transcript:KN543659.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSLLFLVCFFTVAMSQCAAAAKARHFRWEVSNMFWSPDCEEKVVIGINGQFPGPTIRAKAGDTIVVHLKNGLHTEGVVIHWHGIRQPGTYFYHGHYGMQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYTQMVGLSSNPFRWIGEPQRDIRCVYAVSC >AMDW01018886.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018886.1:43:252:1 gene:AMDW01018886.1_FG001 transcript:AMDW01018886.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIAVAVASVSFGAVLAVFGGLLGGAVGKAQLKVCTFFLTSAFVGAVSLGVVASVAALARKASVAVAAA >KN540057.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540057.1:26969:31287:1 gene:KN540057.1_FG001 transcript:KN540057.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGETPPSSSAAAAAPVLAALASLQTYSSALSAFTSAWRALYSDATALDSTLASRLEGFSELDLLCSAMDGPGLRAYLTDHRDALQDSSLPALDTALLVAPDPGRLVLSAAAGFCRAPPTEGAAKVACRLLVDLLDRLRALGVKPSPEARDEARAIAADWKRSKRIGPQAVLKKETIAFLLLVGAFGLVDDVGGASELLDLVVSVSSTERAVEAFVGLGLDLEKHMPGMSVCVNINMKTLFPPVFIHTMIKKGKQLEAVKFIQALNLVEKYPLLPVLRSYISDAAKAGNMIRIRGDDSACQTEADAKERMLLGVLQKFIKDQKLEELPILEVVKQRLAQLEKKSVERKRAASAAIEAAHEVRKKIQKQEKQQQQVQSAMRSRVPGKAAQNSLSQNIHSVDSLSRPLMSSQSMGISGVSNLYQAASSQNIIPSISPSPLSQHPVGIKNQTLNTPPVQTRYGGLADYYGLSSGRPRPDSVSPGSSVTSAHTSSRSKLYSADPLAAVSRASDKKGSSYNYSLSSMSTYNPNP >AMDW01039171.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039171.1:400:927:-1 gene:AMDW01039171.1_FG001 transcript:AMDW01039171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGNIWAILDPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSLSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVAMRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >KN540057.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540057.1:9130:10278:1 gene:KN540057.1_FG002 transcript:KN540057.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRCATLLASLLQLVAVAVLPAAAAAAAAAGGAAGRCTTSTPLRTYDKCIALPTQGATLAWTYDARNATLDAVFTGSFISPSGWVAWGVNKDAPAMTGARVLAAFSDPSTGALLALPFLLSPDVKLQASPLVSRPLDIPLLASSASLVGPARTVRDGATMTIAATIHLSPNRTKLHTMATEASPTASATLQWTHGSLNALSWGFLLPVGAAVARYLRPCASTGPAWFYAHAAIQATGYALGAAGFALGLVMGSASPGVTYRLHRGLGIAAATAGSLQTLAMLFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLAYCMALATLAGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVKDRAAAF >KN540057.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540057.1:33036:34489:-1 gene:KN540057.1_FG003 transcript:KN540057.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MHALRANPEDPLWRGVSRISQGRTPEEIRSDMLRGPMPLQLVFFQESAAHVIRLLDEGAETGVDQYRLSALAAIKSDVHRVMEDREGCQVFMALVRACAEQEDEIHAIIAAAAAASAPPVDGNGKHKTTQLLHVTRQDYGEASLRSLILAAARYPDLCKLLTNCLVCERVMDHTKGDRLLHDCFRAMNYEDSKILIKFACYHANKMLLASAGSRCLVECFMNARGEELEHLEQLILANATMIAKGHYSNYFMQKVLEHGGEALKRELVALLMADVVSLSRQQFGSYVVEACFLKGSSDLKRIVISTFVSLTNDQLADVVQCGYGNYVIQKLFEACKDDYPEETMLLARRIERLPGEVLDRMSAKQVMKVVRRLFPRHRIY >KN540057.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540057.1:49822:56901:-1 gene:KN540057.1_FG004 transcript:KN540057.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPNTRNKSKRPRADDCESPSAVFKYVCIALLLWPKLWRFDRLVLRKIHSTGAITKGDIKQLYMVWKPVCHGCHGNSKDSPNCFCGLIPAANGVRKSGLWQRTNEIIRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRLGIFSLEPDILKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKFPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLDDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPGQLDMGKRLSNPSSSYTYGLSAILIHKGSAANSGHYVAHVKDESNGQWWEFDDEHVSKLGLHPFGEKPGKSSNKTDQKPQGSSTADSVTNDDNNSCHEAAFTSTMEEMFSSTDAYMLMYKRIAKDENGIESNNISSNNSLPHHFVDEIDERNTSYVKECEEYESKKDVHLAYITERRQEVKSVLTEAPATPEEDSYFWISTDWLRQWADNVNPPSPIITGVRVHSSIDNSPIQCEHGKVPASKVTSMKRLSAGAWHKLFSKYGGGPTLSSDDFCMECLKDGAKNSVSADVYRDRKASLRSIAEAALAGNNPDGPLYFVSRPWLTQWLRRKNVDIPSDADSGPTIALTCTHGNLLPEHASGAKRVTVPEDLWLFLYETSGMKIDDIVTFPSDSQPCGICSQQLSVVASVEDNLRAVKLKQRQSHEKLTSGKSLALHPGQKYYLVPSSWLSEWRAYITATGKNISSLPEPQSLEVTINSLICEKHSRLLQRPLDLVCKRGTITQKASNTDGLTMISESDWILFSEEWNVAHGKGLCAEIVFSKSSQDNLQSSEAVPILVEDLDQSTNDLSNDLGGREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRTTSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQELHRGSVEIEDDFATLADKCIFPGDVLWVKDSEIYENRDIADEISEQKVVVQTEEGFRGTLLTSSASAQLCQDISFSD >KN540057.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540057.1:3439:5683:-1 gene:KN540057.1_FG005 transcript:KN540057.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAAAAVGGGEDGGGSKRSWYAVGERAVLVPYLREHVPRYHGWMQDPALLEATASEPLSLDQEFDVHRSWTLDPLKHTFIVLDNDLIEGGFVVGNPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSTFCYSRGKGLGQEAILIMMAFAVEKYGIHTFRAKINESNTASLKLFRKSGFKDASYSSVFKEVTLEAPATALPLVSPLTIGSW >KN540057.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540057.1:41161:45829:-1 gene:KN540057.1_FG006 transcript:KN540057.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MACRIEVWPPSATAGRSCLFISRSGGGGGEQPGRLYNLLREEILLTEIWNSDNNGKINSLLIVRKCIIPQRLQHINKIQKVAPENMSMQASNRPYRYPDNSQIPYYSRSSMHVGQNGTYHVQQNHEDLYASSDDGSQNGNSKAQGLQAQYCTLDSSLGNFVYPAHSSTSSHISGSPISQQDSHSEHTSGSPASASCVTEVPGLRFTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTGDLKQVIAACGKAVDENSWYRDLLMSELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSFELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAASHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVQKGWRGTSLLENGGQGFQWLALGRTH >KN540057.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540057.1:328:2755:-1 gene:KN540057.1_FG007 transcript:KN540057.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRGSESDGDELGGAAAEGTSPNVGGASPPPLAAAPAVCFIRSAGDFAGGAFIGSIVGYGQGLFTKKGFKGSFSTAGSSAKSLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGTPQALLQSCATFAAFSCIMEGLNKQQAAMAQTLGGSALTVSHQNGGVLPPFTLPPLLDASDALSSCCQSLVLKPKH >KN541221.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541221.1:8137:10530:-1 gene:KN541221.1_FG001 transcript:KN541221.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILLNSGEPHESASFTDYRANNSSQAHHFRDGSTTASTSGTDDAYVYPLPHHPSKIDWVEVVGAKQRTGDVSFGERMVGVREYTVYLLKVKSGEDDWEIERRYREFYALYQQLKLLFAEKGFSLPPAWRNVEKESSKLFGNASPDVVNERSSLIQDCLCSLLVSSYPFGTPTPLVSFLSPGSPAYEYSLLKTLIPRSLQRLSSDSHSKGSSCNGTSHKDSASMGKTISLVVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTMLQEIVQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPAKVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFRGLGARRYLLDGNDFFALRDLVDLSKGAFAGIKIPLISAFKLQTCLMTKAYLGKRMKLQSVVRVDQSSINNASGKLAYVLAVRVLPAKAGKSLRLNKLCVTMQADHQLS >KN540057.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540057.1:16758:17966:1 gene:KN540057.1_FG008 transcript:KN540057.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQTGPVSEPPMLNTEIITQKVTGFNTETTTKEKPIGYLDVFVHQARDIHNICIYHKQDVYAKLCLTSDPDVSCSTKVINGGGRNPVFDDGLRLDVRTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMADGKLVQEFSMTSTDLLHTPAGFVQLSLSYVGCSPDVIPIPAPNKSALVVNGSGNDSSVPCELEKIEFPDLNVVNENQIMVSKYFEMETLSYEDSVKVDNAKLVQSDAAVPGTELFNKNLDEYREGSPQSCVSTTDYSTGTSVTPHSVSEPSDTTLAASPTGSQREKSQDVTDGEADSSEVPLKGEVVKPVISINLNPGESVVQEDIVNMYMKSMQQFTESLAKMKLPLDVENSSSSTENNTDSITTEKPSPSKGSRVFYGSRAFF >KN540057.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540057.1:18890:22557:-1 gene:KN540057.1_FG009 transcript:KN540057.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMEERRSGRHSLLAEWAVISMGTSFSLDDPTPVKSTEFEKQYPDSNVLLVTVTDEESRRIEQQSDNQTKAEIMEVLRNMFPDQDVPDATDILVPRWWSNRFYKGTFSNWPIGVNRYEYDQLRAPVERVYFTGIDSAEILIDCAQNQMCKYHVPNPKCLFYFQMQLVATIRDRAKELFKKMEEAKLCARVRNRDAAYAACLHIACRNEGNPRTLKELGSVMRDCQDKKEIGRMERIIRRHLGEEAGTAMEMGVVRAADYMSRFGSRLGMGKPEVREAQRAAQTLEDKLDVRRNPESIAAAIIYMVVQRAGAQTSARDVSKASGVAEATIKEACKELSQHEELLFSS >KN541221.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541221.1:14053:18754:1 gene:KN541221.1_FG002 transcript:KN541221.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVKDNEVYEEDLVDYEEEVENGADGGANAANASADVVKKCVPPREMDPLVIFLLFSLARHWLNRQTQALFTQKEGPMSPQGLQLHFTVKISENSLRVEDSNTALRRWMPVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KN539767.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539767.1:10705:13249:1 gene:KN539767.1_FG001 transcript:KN539767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQDTIEQLYRELAGGRRLSAKLQALLEGPLDRRGQKEAVDVSRELGRVFMVSLYMLKPCSNSSRRPEGVTRTAPETRTDDSICLHTPARVKRVRSEEVLVKNGREEVVTRTEIITPSPYKDGYQWRKYGQKNIQDSNYLRLYFKCTFSHERRCAAKKQVQQRDAGEPPMFVVTYLNEHTCQQPQAVPGTPNTAGRHLYWTVTSGRPVGRERTYQDEQAAGRNSSCLA >KN539767.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539767.1:51433:54308:-1 gene:KN539767.1_FG002 transcript:KN539767.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPRALPRAQRALRLHPPRRHVIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWSLERLDLSGNRFSGAIPDTIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPQITAFAGLQYLNMSSNSFAGQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRMGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSQKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >KN539767.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539767.1:36945:46470:-1 gene:KN539767.1_FG003 transcript:KN539767.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADFAASKRAMAYALCKHLSLDPNTISSTSIEESDIATLFSHIVKSSEDEVLKWVEFSSNFVGKCGEQHVLLGNLNQDLSQKSVLLGNGFKPSVADIVVFATVQVFVSHLGENELQKYPHVLRWMDYIQNIVDFGTTVQKVNLTKSVFDPPSHPKKADKGDTDPSSKKVVAGQKNVGKSDANIDSKKAAPENKAPENKANPTPAKNNKPSGDKKKAQEKSAGKPNEGVADKAPQKTAEKDSECNVSILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKFFSPEELVNRHVVLITNVKPGKLRDVMSAGLVLCASNQDHTVVEPLIPPEGAKPGERISFAGFDGKPEDVLNPKKKQLDKITPDLHTDGNGIATYRGVPFTTSAGPCRSSVPNASIKGSDSRVKYCYYTNGRRIFSCRERRPKLGSESGDDKEKGKGEMKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFGIILGFALLAMGISSLRSQRAGGRRPRLLLKGQAG >KN539767.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539767.1:33276:34670:1 gene:KN539767.1_FG004 transcript:KN539767.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSSSAVVLELMTMGYQSAAYLGELLRAASPAQAGDEQQELAAEILRCCDRVIAKLSRGGATGATTGKKRKAAESAAVTSSSLPVTPTKRRARGAEAVREVRSGTTADGFIWRKYGQKEINGCKHPRLYYRCAFRGQGCLATRRGKNTC >KN539767.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539767.1:65687:68536:-1 gene:KN539767.1_FG005 transcript:KN539767.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGCITVLRSKKKKPLALTKKLVDARESTSSRLPEPEAHVPSLQSAPPSFRNKAKIHQSEKKASYSRVRVLSAPSSLIVVDQDGLPYAEFDDQDDSRGKGGSIKGHRFSNPLPLPLPSPEGKSLRNFGSFKAINASGPLDASGPLPLPPKKCDGLKNFSYEELSSACQWFSGDQCVSESLTSTSYKASFRDDFTDPKTIEAIVSRLLSSTQSLKEFKTQVNTLASLQHPNLCKLIGFHAREESNERMLVYERLHHGSLDKLLFGRSDGRFMDWSARLKVALGAARGLAFLHDEGPFQAMYNDFSTSNIQIDKDFTAKLSGYGCVGFNTEEEISNASVAAANLSVETLEKGVLTPKSNVWCFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILRCLNKDPSERPTMRAVVESLASVQDIKVPCRYPLQEPSAAPRKVMLKSTSLNGIIHHHPVITFSPSPPSRNQHLLSPRSSTSALLPPRTSCALDDPRVSSIKKSPSPILRRSGVEGF >AMDW01060160.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060160.1:84:282:-1 gene:AMDW01060160.1_FG001 transcript:AMDW01060160.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRLCVGLQAILVMSLLLPPPFLPCVLAGAGPGGELVAGEEKHQGRVFASGISTRSLRILSQNP >KN540733.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540733.1:12944:15398:1 gene:KN540733.1_FG001 transcript:KN540733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSASENQAAEASKGDDEKMDPPKMKIRIRLPPRKRLSNGLLKADMDGPGDRNNAQSNGVPVQTKSTIPSKQLTIPAAQCYASSISTSILWNEGNNNASSQTLPNEPNCDTSSDKLPEEASDSIPSKKLIIKAAQNYTSSISTDTSSDKLPEETIDNISSKVLTITAAQHQIDSISTGELCNEANNNTSSKILPNEPNCDISSDKQPEEANDTIPSKNLMITAGVCMDDVSNYSAKEGLCEDANDTIPSTNLMITAGVWGHDVSNYSSKEGLCEEANDIIPRKNLTIVDGVWEDDVSNYSTKEGLCEEANDTIPSKNLIITAGVWGDNVSNYSAKEGLCEETNDIIPRKNLTITAGVWGDDVSNYSAKEGLCEEANGNIPSNAHPATIKELPAKPLESTPSKNLTTIAMLGEEENNNPLRLFHETDINIPSKVVLPKKSKNNQRRNLVTTAVKCEEANNDPLSRRFSEDANRNIPTRNLSDKTKNNAQSNRPTNPDRKNNPQKKLSTSAVHAAPARKNTSEIKMANSEMKPSTSFGQAAEQGINLANLKAIKQYQEFEEKVKRTVYLDYFSHQATESVIRTALNQFGTVREINFVVNYTIPFSIPQSALVIMETEKDAVAVVNMLNEFPFMMSGMPRPVRATRATAEMFNDRPRRPGNKLEFRWVGPSDADYHIVKKLKLMSRRHELDNLALVKHELEEEHFLAKHQEEILNCNQRKLEVMDSIMLTGKFTHLQHIYSVKVDEVFCNKWLV >KN540243.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540243.1:26831:30447:-1 gene:KN540243.1_FG001 transcript:KN540243.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRACRQPRASPEHDKGALLYHVPPPEGKERWEIKDDEGNVQLWLQVPGLTEDDLEITTTDELLEIKRKAGGGGDPRRLDDVHGVGSFHLRLLLTKEFLSSQVTAELKAGMLEVTIPKNTNLRRTVVRIGSQSPPPAAGRTAPPKVVVDPPPANLPPKNNNLVRNTSLQPRIDPPARESPKNNLGEGISVQPKDPPVREPPKNNLGGRNVPPNDDPPVREPPKNNLAGGRNVAPKDDPPTNETPRNNLAGGRNVASKDDPPRGNNGPLKDPPRNANEPPKSPPGTREANLG >KN540733.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540733.1:26713:28555:1 gene:KN540733.1_FG002 transcript:KN540733.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQAVLDPDNQKPSSKNPGYFLSKHTCAWLACGFVLLALLHLLCCAPAGTRPAAAFSPLLQYINNTYSFVSTVPGVGKSCNYSDGKWVWAPGHVLRYNATRCNVKATHDCIRNGRPDTGYLDWRWQPAAAGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLTAAFPYRLQYRATGDPGKYNLWRYAFPSHAVTVSYYWAPFLVRAEGKSVDDGVPYNYVHLDEPGERWSADAATIDVAGRPVQSPTACTRMEPYREGEKELEWVFREIRDVVYDVAAEARRGGETAVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGVDENMFSDCLHFCLPGPVDTFNEILVQLLKKRR >KN540733.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540733.1:16416:21897:-1 gene:KN540733.1_FG003 transcript:KN540733.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGQEVHMLPFSCVQTNNKNSNYKVLPGGLDCSDLREIVCLLRLIKGGADREGQKMCEQIIASVAADIQTMLEDTKLKFEKQRQNLLKVLSNTSKEQCDSSLSKEFIKFQESYEIFCKEKDVHVQAFRDLFSKVEVEKKALLEQFENHRKEETATLSELDNTFSEKITRAEQSLRKTEVDNTFSAKITHAEQYLRRTQFNNMFSGKKTHEEQSLRRKEFDKTFSEKVTHAEQPLRRMKQDDKSFIILRKSVGSFLEFGSDDDFDLDDD >KN540243.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540243.1:40199:47132:-1 gene:KN540243.1_FG002 transcript:KN540243.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAIGNGALRSNAAGADTKGKPIKCKAAVAHGPGEALVIEEVEVAPPARMENELQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFTGECGACTYCESSKSNLCKTYRVNPFRSTMVTDGGTRFTMIDRSSGARNPIYHFLNTSTFAEYTVIDSACAVKINPKAPLEKMSLLSCGVSTGVGAAWNTANVSKGSTVAIFGLGAIGLAVAEGARLRGASRIIGVDINPEKFSKGKEMGITDFINSKASGKPVHEVIMEMTDGGVDYSFECTGITDVLREAFVSTHDGWGLTVVLGIHATPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPEIVEKCMQGEININFDGFITQEMPFADINKAFQLLEEGKSLRCLLHV >KN543087.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543087.1:926:5929:1 gene:KN543087.1_FG001 transcript:KN543087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTIGAAVGWGMKAAGWVVSPIISNLISKGFSYLSFDTSEKLKQLEIKVVQLELMLGLEAAQIYPHRNRLEPLLKNLKSAFYEAEDILDDVEYHRLEKQIRSHRNRRNWVHKMQSILPSCSFLKNQVHSFTSLHCFLLFSLKSDYQLMENEPDRGNMPAEASPCISRDKLKKSIDKLENIINEAHRILSLLNLPDHSYVDKRQINNANTQSPVTTAAPPPVVIGREKDRDSIIEMLHKNVGDIKLESSGFVSNSIIGIHGIPGSGKSTLAQLVCACEKKDKQDRKKCHFDLIMWIHVSQNFNVDKIFKEMLEEATGETCPEFKSLNTLEQKIEEVLSGKRFLLVLDDVWYKKGENQQQLEKLVFPLKAGKAGSKILVTSRTTDALIAVGAGSCIPISDLDDNVFLKLFMHYALEGANVDEPDRSKFKRIGADIAKKLRRSPLAARTVGGQLRMRLNVEFWTYARNRDLLNETMGALWWSYQQLDEQVRRCFSYCSIFSRRQQLERDYLVTMWVAQGFIKTTDAGEDLEDVGNRYFDELVSCSFLKKHTRLFGCKDYFTVHDLLHDLAEKVVGSECFRIQKDWAGDLPRGVRHLTIETYDKKMITERIPKLETLRTLIIYMNNTGMVPDKMVLESIFTRMRKLRVLRVQSIKLGPEQDNIFVSLPASIGKLKHLRYFGYMSGYVHKLVLPSTITKLHHLQVLEFDSCGDLVFSSDEDLSKLTNLRHMMVLSFCPFSIRHLGRLASLQAAPHIEVKKEVGYEIEQLEHLNKLQGKLHISGLENVESKEAAVQANLAAKERLKELVLEWDVEKLRSDRDQNSNAKVLQAEVLEGLCPPRDLEVLNIKGYSGPRYPSWLIGQQNSPKSLQKLELSSSTRLGSIPEHSELFGHLRSLRISNCCW >KN540243.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540243.1:32825:34538:-1 gene:KN540243.1_FG003 transcript:KN540243.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLSIRPAVSSAGGLQSKKPAAFVSLASERKSRPLSPCFAISSNKPGDPKIDVSPFSISPVVLVNPVPVDGERWQVAENKDEVSLWFDVPGLSPADLIVEIDEDVLVIKKKKKASPKSNYNTPTSGAIADHQEATADEFSGGGIYARLLLPAGYSREGVQAKLTSGVLKLTIAKVKESVRRKINVDISVK >AMDW01036979.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036979.1:415:657:-1 gene:AMDW01036979.1_FG001 transcript:AMDW01036979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELIIVIKVAGVHMEAGMHHQIKEDTVLNLPTETTNVDVRDLVSARREETSGQGSRAMAVMSNLEGMQAIKVSLFIKP >KN541486.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541486.1:11384:18465:1 gene:KN541486.1_FG001 transcript:KN541486.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQILVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPSGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVGSNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEVKEEHRVNSYTRRKSKSRKPHHRNGEMRVACNLGMKPNTRNPLCGLSGATIWARHQQMPHISTDVLAKNGDDDLPIFCVAAILMFNDNMLKINVKRCVRMAIKLRKKYIYKVYLQGNLYICTVYLKTRDEAVEG >KN541457.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541457.1:7997:15082:1 gene:KN541457.1_FG001 transcript:KN541457.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDRHDEIRGGIDDQTVQNLGKDVFETPSAGMQTAITPHNASDSIEVYWTVDPSAAAIDPPPGYFAIMHFSELQLIKGNAIRAFSIRLNDEWLDLSMTPDYLYADASYNTVPFRFSNRYNLTFRATANSTLPPIINALEIFSVIPTTNVPTYAKDVSGITAIKKQYEVKENWMGDPCVPKTLAWDWLTCSYAISSSPTITGVYENNPDLCINDTCPSPNGKPKLAFYISVPVVAVTVILVLVLFCLLRRKTKGSANNTINPHNEPTSHSHGSGSYGHGSMQFENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEGTQVAVKLRSLSSNQGVKEFLREAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDRNKRNLTWTERLRIALESAQGLEYLHKGCSPPLVHRDVKATNILLNMKLEAKIADFGLSKAFNHDSDTHVSTSILVGTPGYIDPEYHATMMPTTKSDVYGFGVVLLELVTGKSPILRTPEPISLIHWAQQRLQCGNIDAVVDARMHGVYDVNSVWKVTEIALKCTAQVSAHRPMMTDVVAKLQECLDLEHGRAGSVAVLSIDHVSKTNTIFEMDHLEKIPLPTMSSSPSTR >KN541457.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541457.1:16057:20746:-1 gene:KN541457.1_FG002 transcript:KN541457.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVGHRVCVTGAGGFVGSWVVKELLHRGYVVRGTARDPSAQKYPHLQTLEGAAERLSLCYANVMDYNSLLVAFDGCDGVFHVASPVSNDPQFVPVAVEGTKNVINAAADVGARRVVFTSSYGAVHMDPNRSLDTVMDESCWSNLNFCKRKGINKLVIPEMLSDSTVSFTSACDWYSYGKMVAEITAVEQASKRGIHLLVVVPPVTTGQMLQPTTNLSSHHFIHYLNGTKKDFPNAVAAYVDVRDVARAHALVYENPEANGRYLCVGAVLHRSELLRLLRELFPQYPIPTKCDNKSRPLIKPYKFSNKRLRDMGLKFTPIKESLYNMILSLQEKGDLPTTVVPRASL >KN540929.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540929.1:4381:5454:-1 gene:KN540929.1_FG001 transcript:KN540929.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAPPLLLALHLLACSHRRCGTAPHRDLPLPAPCRLESVLLLNDRCFSSHELKVVCYLHIYVYCSGYATEKSTTNMSA >KN540929.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540929.1:36715:37727:1 gene:KN540929.1_FG002 transcript:KN540929.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDETSDDQYCWIDAGSIDLPQEDSSSSSTISMSASGTGYYSSIYGGYAMSGKTPLLQGFAVLGDVILVSLRTCDFYLFRCSTCHWCRVYLVGDCCHYIPLNGRAVFSEEDDHIYFFRDSCLYAYKFSLEEGKMAPPIKLASLYDDFSAHGNAFIAGLSNRVLCVAWIGMDLACGCTTRHLLATTLTVIGARNHRGEFVPRSTQILHSTWRNVDMLSTTVQDHGSFDRFCFLQEFGEDDLWLEEDTNDAVDSSSQVSLPCCRTFPKVSL >KN540929.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540929.1:13398:13700:-1 gene:KN540929.1_FG003 transcript:KN540929.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADAGYCGIGWVDKSCEFGDDDRVLFLCDQSSFAMEHIRRRRRGCAYFLLDGKDKHCSVYRYDFADGVATLVEDLPPQWTARSIWYSPQLNLPSRTAR >KN540929.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540929.1:8757:11915:1 gene:KN540929.1_FG004 transcript:KN540929.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRGGAAEEEEEEEGCDLFQCFCKWRKRCSPRIPRVSPGRDYVCISSDASGDSTPKWSVLVGCTSIYEPFHNLRTHRFRVSDSGRVMGCSDDMLERFRGVSPHDDEHTVFSSATAAMAPNSRNMCIICAHSPSFDQRSTDTSGGGGGGGQDIGLLPKVFFMDCVDKSLTVLPPIPFPHGSYQSVSAHGELWTLATVEDPGPSGVKRRLLVYRLDGVSNSWAKISDIDFPYRRPSVNIFCGGPLLQGYAVISDRFILMSFIDLSFFCFDCVSSSLTRVTTEDETFQYVPIRGRAAHVAHNDNGIYFIERGTLFRYNYSPESNKPLKPPEVIDAICPYRKEGYGFVIHLRNDILCAVWMNMNIPCKCATRHVLITTFRIECQLDKDDFEPKVLEVLHSTCRRIGMLRSKAPGHESYDRLCFIQEYLDDSPEIDPSIALMMGARSSYSEADEVDPKMLLCCREFLSIRELSSCVVLEECRVMVKSEFYFICQSGQHTYLYKISTARGKLTCHETILEAEHSLETIRNGDVGIDDPPAWHFVNYGVKLYVIPSVPQYNHYYEVDVYRNSSLILESKRPSICFSAVCRVGQRIVALGDTLEAVYILDLQNVEWVFCKTSSTFLDLRKEIKISGFVDLGNDSMMISEVDACECFILDLKKKQWFVVEPPNGDIWQYCVGLLSGTCMFIEGFIYTCSDGEMVAYELIEKDGLYHWDAPVIMRLPWKKFSNRKFMAFCPICKDVIHDDIAFSIVEARPFGSSHTVATTIVQVKLQETTQGSKRPVGIAHADISTSSIEQNGWILSNYAFTL >KN539834.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539834.1:194:1697:1 gene:KN539834.1_FG001 transcript:KN539834.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TPIVSFNTSGCASKTFYSSVREAIPPVRAFCRTYHRYATSLASRTGALVVSVEYRLAPEHPIPAAYDDAWAALQCRRLGVPPVQYKVDTLWPFVTLVESEGEDHGFHLYSPLRGPRRQAGRSWRASCCWSCTSTSTLRCTQAMAIRRCQGAQLICLRPGRILLSVPSRPYLAAFRDEPDKVIARSGKRCMEINNALIVGQEKASRRSFGLISARAKPDNWRASKNPLLSASLF >KN539834.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539834.1:57385:57888:-1 gene:KN539834.1_FG002 transcript:KN539834.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDVTRVESEGEDHGFHLYSPLRATSKRLMQSIVQFINQPPSPCPPPPAPAPSPAMRWPATILPELDEWSSTYSDDAANSSQILLGMPARAYKAIFVDRMDRKAAKTGRLSSNPTVNASLSIGPSGKASKTSCYGMSFGRTRAYNFRGVAAAGSGQCQPPFRGVTI >KN539834.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539834.1:30057:33960:-1 gene:KN539834.1_FG003 transcript:KN539834.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILERPKAKQVTLKIAAKMFVHALYGMESMKLKKLHGNVKAAGILFCVTGVTVLAFYQGPMLGSFNHHHLFQQGNSSDDPAGNAHSKTQWVLGIFLMTLSNVLAGLWTVLLGPLIEETSKLMNTALQISWAAVQAFVVAVAVERDFNKWKIGWDVELATGVVVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDPTSLGSIFAGTLLIGGLYNVLWGKNIEEQDEVNDIVADKPEFEMQGKEAQMPGDAGTKV >KN539834.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539834.1:13039:15606:-1 gene:KN539834.1_FG004 transcript:KN539834.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSIKAYAAVVLIRIMYSVMQVVSKVALDQGMSPLVFIFYRHTTAALVLIPIAFVLERGKAKRVTLKNAGKMFIHALYGVTGCGDLFNLGLNYASATSSSALYNVQPVVTFILAVIFGLESMKLKRFHGHVKSAGILFCIGGVIVLAFYEGPMFKSFNHHHLFQQGSSSSSSAGDTHYSKKQWALGIFLMTLSNVLGGLWNVFQGPLIEDTSKLMNTTIQISFASVQAFVVAVAAERDFSKWKLGWNFGLAAIIYSGVIVTALSYYMQIWTIAKRGPVFLAMSMPLTLIFTIIISSFILGEAVSLGSIVAGVLLIGGLFNVLWGKNLEERDELNKIGPVIPDLELQDKEAQVPNDRATN >KN539834.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539834.1:76405:77696:1 gene:KN539834.1_FG005 transcript:KN539834.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAAVPVTVDELRRAQRADGTAAVLAIGTANPANCVTQADYADLYCRVTNSEHAAGFKDKLDALCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLEIAAAALTVLTFRGPDCPHTVTCQALFGDGAGAVIVGADAARPVEHPLFEMVSASQTLIPGTEHVITMQLTEHGLDGDIDTKELVPLAANNVKKCLSDALTPLGLDGVEWNDLFWAVHPGSPLILDHIESALQLNQGKLAASRKVLRENGNMLGSTLIFVLEEQRRRMDEEGDGAEWGVMLGFGPGFTIETMVLHAPESRKSN >KN540290.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540290.1:20314:25609:1 gene:KN540290.1_FG001 transcript:KN540290.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNAADTLFISDLNSQDKNAVYIVVLADVYLSLLSELNSLINLWVLPFFGSRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSITVYYVYSPVFGVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQVFFNLLQECEMCQSSHPLLPTEYMWIHSQSPSNYRLV >KN540290.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540290.1:47946:53954:-1 gene:KN540290.1_FG002 transcript:KN540290.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMAQRDARKFSVSRIALVKPDEARGVEDVLLSAAQSGGISEKVSEERLISLLEQINTRTSKQTKVTCILYVLPSGSLMIFAMELGLPLEKLVYLNLPNLHTITKVQLEEEQSFLMVVYNPKVSAVVDTCHPRYFWCSHRRTSTGLEVAFRASLITFKLGRQQWEGMLTGMKISLATELDTTHIYKVDEAGECLMIPTVSTLYIIISMGCAWKISEDCQVVNVSLKTDSWRTELLAEGVDRRHQTFSYCSRFKKRTRAEISSEKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPAKSLHGSNLSAPTVLQFGKTGKLSVERADPRK >KN540290.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540290.1:44586:46748:1 gene:KN540290.1_FG003 transcript:KN540290.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQSDDGASHGHMSTVVETAKELEALAGGYDEEEEDCTPLPNGLICSRQNWEMMYGKFGGSFEDETDAPPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQILTSPQDSCLTLTGPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHHGHRIKPGFPHRQLESTEDSRMEFVFAHLQSAVEATITARVVEGSPDFRARFTARTAGIDEDVLLLDSQDGKVVVNDGGFVVLRRRVVCVQGTGKLILRLEASTDHGGDGTAVVKEEIFCARKTSRSQGCFMLGFCKLSIIIAWSLLP >KN540290.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540290.1:26680:31553:-1 gene:KN540290.1_FG004 transcript:KN540290.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDMEAEGASGELGHKKADLEKPTEEEKASNGGGGDAAKTPSEDHHDRARRRAMAMEYDDDDDEYYDEPLPNPLDAYRQSWVRSYCTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQDSLLELIGPSRAILLFDNPLFEIDLKVKGQGRPSEDKALSYYAFLYNNICHRDKASYAITKVVPSDNSMMEIKFAHLAYAVEATIAIRIIGGSNSFSARFTARTKSIDEDMVLLDSRGRNVPIADDGLIALQRRIVVVEEKGLLILGVEATQGGAAENKTVVQQLKAALLVPNFNRLVLSTTSIAQGHNAGLYGEGAAEAGKICKAVLWIASLNLLELECVSGFRPMMLYALSNICTFIGHQSLQRNCSNKHKPLALDFGSVQMFLLSIRFWEC >KN540598.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540598.1:3222:10086:1 gene:KN540598.1_FG001 transcript:KN540598.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTSLPIQQQSSFKLPSAVLQKAITTAGNGTMLNITWEDQTPRQFMSFVHFADFQNTQIRQFNVYFNTDQPFPYNAPYLADGYVYSPHWYRTTDGKFNISIVATAKSVLPPMLNAFEIYTLITHYNPTTISNDFDAIMAIKLEYKVKKNWMGDPCFPADSVWDGVKCRNTGDNIFRIISIDLSNSNLHGAISSNFTLLTALEYFCYDSAPKDISLWLLSRIVSLQCHCVKLIDWVINLSGNQLNGPIPDSLCKMNEGSFIFSYESNQDMCNKTSPSSSRNRAGIIAISVAAPALVLAVIGIAYLIWRVKRKPNISAHNPLRVPETTNAPGNENYHWDHLKQNENRQFTYEELEKFTNNFQRLIGEGGFGRVYHGCLEDNTEVAVKMLSGTSSSGLNGFLAEVESLTTVHHKNLVSLVGYCSEKAHLALVYEYMSKGNLFDHLRGKAGVGENLNWATRVRVLLDAAQGLDYLHKGCKRPIIHRDVKSSNILLGQSLQAKIADFGLSKTYLNEAQSHMSATVAGSMGYIDPEYYQTGWITESSDVYSFGVVLLEVATGELPIVQGHGHIIQRVKQNVALGDINSIADERLRGNYDVNSMWKVVEIALMCTEPVAARRPSMATVVVQLKESLALEEACKDGGLQANSLGDAVAMLSTYGPSAR >KN540598.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540598.1:32438:44531:1 gene:KN540598.1_FG002 transcript:KN540598.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRGDGARRGDQRPREVAADSGGAPAATAAPGGEARLRLPALALLGLRRQGAAAAGRGSAAGGQERRWRPRAVLQRGTAGDGGARRRGTTAIGGVSTYVPFQVPDLKNSPDIRTNHLDHLVRDTENRQFTYEELNKFTNNFERLIGRGGFGSVYHGRLEKTTEVAVKIRSEYSRQGLHQFLAEVKNLTKVHHRNLVSLVGYCWEKEHLALVYEYMSGGSLSDHLRGKIDVGDTLNWATRLRVVVEAAQGLEYLHKGCNLPIIHRDVKTNNILLGQNLKAKLADFGLSKTYISDMQTHISTDNAAGTPGYIDPEYQLTGKLTESSDVYSFGVVLLEVATETGGGPNGVLPQEAQPGWQGPADEARARDEAELVGIDEASDELINALATEAVVSVVGGGGLGKTTLARAVWNRLKPQFDCTAFVSVSSAPDMKKVFKDMLLELDKTKYKDIHNLVRDEKQLIDELRDFIQNKRFPHACRYLIVIDDIWDIASWSAIRCALVENNDGSTIIATTRDFDIAEQIGSPHKLKTLPPKSSKKLFYGRIFGSEDKCPQELVQVSGKILKKCGDVPLAIITIASVLARTRNMAEEWYKVYNSIGYGLGNNHDMKNMRKILSLSYHNLPNHLRTCLLYLSIFPEDYEIERSRLIRMWISEGFIHPEKDGDNLFELAGYVSGMPHSCRVHDMIHDLIRSLSSKENFVTVLDGISQQTSPASKVLRVLDLENCYLTEGCHLDLMHVCNLFHLRYLRLYECNFDRELLKEIENLKFLQTLIVKREVRLPSTIVELKRLMFLHVHTDTILPEGMDNLTLLEELSLIDINKSPNFAKELRNLTKLRELELFWGEMNESLEEALIESLCNLQRIQNLQILPFGNSSLDFIGERWMPSVYLQSFVATGSSRFTIVPAWIRKNPSLLTNLTNLSIKLQELRQEDLKALGRLPALLNLRLYASRSECLLTCVGEFCCLRSFNLRNRDSLRLTFHQGAMPRVQRVLLSFYVQDTKDGANGANGDFDFGLENLLSLEHADVFLSRITGTMDRDMEMERAKSALRHAAQIHPNHPTLEIH >KN540598.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540598.1:19776:25782:1 gene:KN540598.1_FG003 transcript:KN540598.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLFLGALAMAAATCAVGQPENHWVAAGQESGRFRSELTVRSFPSGVRNCYTLPTVAGAKYLVRVIAFYGNYDGKNSSSALQFDLYIGVNYWQTVRADGDEVYEVLFVAWASWVPVCLVNTAQGTPFVSSVELRPLVDALYPAVMTNQSMATDRRYSMGSDIAITRYPEDPYDRYWWGMTDAAWLNLTTTSAIQTENTIAVPMSVLQTAVTPTRNNTVLSVTTWQDLTANKYMVFLHFADFQSSKLRQFDAYPDVNQMLQSYKPPYLSADYVYTPDWFRADGGGYNITLAATANSALPPILNAYETYLLITHETPMTFSPDYCVFYY >AMDW01132750.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01132750.1:1:743:1 gene:AMDW01132750.1_FG001 transcript:AMDW01132750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AFGGFFVDEKAARVENIFLEFLRRFKEADAAEAFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRSALAPP >KN541670.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541670.1:15224:17660:-1 gene:KN541670.1_FG001 transcript:KN541670.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNVFEKGTNGFRRFEYSDLAAATGHFSNSRKLGQGAFGVVYRGFLKRLGREVAVKKIVSKSSEGSSQKNKESSEGHKDFFAEVSTISEARHKNLVRFYGWCCRGHSWNILHLMCCCFRTKKNKELFLVYELVKNGNLYDYLYKSEAEEVLSWQTRYEIAKDIGSGLLYLHHECNPYILHRDIKPGNVLLDENFSAKLADFGLSRVANPGNGTVQTTAIGTEGYLDPLCMRDGKVRINRSSDVYSFGIVLLEIVCAGRHRVQIWDLYRSGGDVVAAADSRLAIDDNGADERRQMERVIILGLWCSASEAQQRPTMLQAMDVLERDAQLPDLNLVVNSTLASAETKMPPVRRPGKDMTEETALVAGSSSSQLAGYKPTR >KN541670.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541670.1:23445:24418:-1 gene:KN541670.1_FG002 transcript:KN541670.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLHHCAGYLLLLLLLSVSLDASHLTAAAAAPAMSFSFNFSDPSTDHHLDELNLEGDATPQDGLVNLTCSYELCYSGRMTYAHPVQLYHQHQAANGRDELEVASFFTSFTFAIRPVDNGTTRGDGMAFFLAGYPSKVPPKSAGGNLGLVSEETKIAVGSQRFIAVEFDTVANSFDPAGGDHIGIDINSVRDPGHTKRCQALNGTMTASIAFNSSTQMLVASLVFHDHPSQQPVEVSAQLPDLVTALLPPQVAVGFSAANAASVRELNQILTWSFNSTLALVDKDFSL >KN539188.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539188.1:47454:48095:1 gene:KN539188.1_FG001 transcript:KN539188.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQGVEPKLETEPVPVTLLSADGKVFEIAAKVARVSPTIERLTMGHDRRLSLPTIRSKTLGKVIEFAEKIAYTTDSLEVDLSWVTEFFAHVDPTSLTCLILAASYLEMDDLLHLACERTAEVMAGRTPEEVQKLFSLKPVLTPAEEAKVRERNKWAFD >KN539188.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539188.1:100516:103358:1 gene:KN539188.1_FG002 transcript:KN539188.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARARIVASAVARLNLVPWATRTHATPAAALAPPVGDVPHAQWRGLPDDVVARVLVRLPVLDLFRLGYLFSPRWLDIWRANPLHLHDRQFASPRLAADDVADAIANVLELHVGDGVQFVGVQGDVGSDDDDDGDGGGGDEVVGADGDDLGVIVNPGLVDDDDDGGDEHDGGLDVAEDEAAVQNAGVVDDSGPGVAVELEEGPEDEASGVEDESADQAGRHRPPSPGGIGVDDGVISDDDLYGHDDIPAGGYEIGRVYSFRVETTRWRLDHLHRWCAALQRGRVREVILANLAIEGHPDLPQGIRDCGTSLKGLHVSFFTVEADHIDPLVNLRGLALCGCAINHGVISRALRPESEIRRLTVDFNRQLGDVSVQNTRLRSLEMFDNLMEGSTITVDDAIQFRNLDLYPTRPSRICIVDAPSLRRIGSLDLFNTVLEIKGVVIQAGMVQRPPKKRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEQLEIMRDDEVTQEERLLKADDEHIYQGNNFFRDLGCFKHHLRRIYLTGCDGLPDHH >KN539188.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539188.1:16246:18276:-1 gene:KN539188.1_FG003 transcript:KN539188.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSSRVLATVLWLAYLSADSVAIFVLGHLAVRASEPGHQLVSFWAPFVLVHLGGQDTITAFSRQDNELWLRHLLNLVTQVAVAGYVVAKASWPDVRLRAAMIIMFVSGSIKYAERTLCLYSASPEKQRSDALNDLSDDLSHLERPDFQPCSMTSSVFDWILKGHYPDNSRGRRESYILSVDSPQNTLQSTICANDVPGILQRKFVSNPSRYKAYDYVGEDMEMSYQDHYTKYPLRLFFYYKLWCFCCEYESGSLDWLIQILRMSVFALYPVFQLLSAPIALALFTAANKAGHLHPSSSRRSADVTVSYILLVGAIILDVSSAAMLVFSKNKANLPTGILRAANYIVPAWTRKRWSEELAQYNMIERQYAAVQADTASTMASSIRRWICNCKCFGSLILDKPITVSIIGHTPIKKHILDNLLHFGTRAEWNCSSSRGQLALRKWKAAAAAGSALHKSTAGGVDFLTSVLIWHIATDICYYHTRQDSSSYDDQVKTKERKEMSRQLSNYIMHLVFNSGVMLTSKSQIVHDKARDEITKYINLSSAASQGNNPDEKVIAVTKKLLLQGGNNNNDDDDEQAGNNKEFLQRAKAALYYPVVPHARKVAKELININDEAHRWGLIADVWVEMLYYIASRAGVAFHYEHLCTGGEFVTHILYLMSILGPFMPISDGASAP >KN539188.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539188.1:56590:58375:-1 gene:KN539188.1_FG004 transcript:KN539188.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEILYCESVLKSLEENLPEPPRSTQLFLSRVGNIGDNILSDNGAEDHTLPDSAVSIIFCYLPIKDVFRFGFKLSKSWLELWKKNPLVLHDAQLLPDCVRENIMDRSLFRYNVSAKVTRILDIHPGPVDYMRLEYTTWKGGNAQLRVWIEKLISKGVNELVLFGRWPQAKLDVLPNNIIHLCQLRKLTLCFFKIPELSVDHSISFTELRDLIVAHCELREIDISILLLKCGKLSRISFGHITNDCFTISSESLVFIMLWYCTIDKLFILNCPELKSFVQSIEQGSRQETQILVSAASQIGLMSHLYLDQQTLYMDDHQIMIGMMNHPQYKALKSLSLGVKFVGNFVLDIIRELLLCFPNLMHLTITRLDPDERHATALIKWRTLLQGITCVKDTLESFSLRNSGLDDCEIDLAESMLFYAAQLKFFFVLASDSASGASLQKLETVIYGTHPASDSIIKLELGVEGSG >KN539188.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539188.1:93565:94508:1 gene:KN539188.1_FG005 transcript:KN539188.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGSAASGDRDDPFLPLPELAAAVRRAVDSCETPTVATYTPKPSYSTVIMSSSPVVADSSSSPPDTLVAALILNRCAVAISTCKRHDGAAASFSFMDERSRIRSVSLRATAICAIAFLHGKLYAVTSKEGLHVLDLNVGDGGGGGGAVFRPCIADDPEKKSVHVDVQRRGHLVVRYLVESGGRLLMVRWWKSLPPPVWSADRPPSSLRGRALFLGKADSRSVVAGGGGGGAGAREDCIYFMRRSFWYPSKEEDLGQSGVYDMRSGEISPLRLPERGTAELRLHCEYPRWFFPADY >KN539188.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539188.1:9069:10882:1 gene:KN539188.1_FG006 transcript:KN539188.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMALSIRSAMSRTTQAESWLSGDEEPCPPRLGLLAALLVGAEQREWTEQQPEGVLVVVLLDDVGVDGAVALARLAGEGEELGPRHCTHPALPRGHSGGGLRVEVGRVRDGEVVPIPWARLDEDRRYVLDGGVGGQLAAPLPAFVAVSPEVPSGAAGDKEMVAASHGVPLRRSGRRVNHPPRSSKSASFTDAFRWKRLTFCSNYA >KN539188.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539188.1:104991:109075:1 gene:KN539188.1_FG007 transcript:KN539188.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTIAVVAAAATRLRSLRMFNIQVGTVAVDDAAELRNLHMRDTRPSRIVINGAPRLRRIISLDIFHTVLEIQGIVIQAGMVEQPPEIRSVRHLGLRVNYTAMVDMLPRQIEQILRSFPRVKSLDIWRCDDVTQAEGLLQWDDVHYDGSNFFDGLESFNHHLRWIYLRGFRGGKCEVALMKIMLDKARVLTLLRMEYSPLPSSLIELTLKELDLSLWIFKMDTPNDAVRGDLVSFVAADASGRDVPFIFVQSNPKLKIDQINHDGIMVSYIHGAMEDEACMDMIDVHGDHQE >KN539188.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539188.1:123448:132949:-1 gene:KN539188.1_FG008 transcript:KN539188.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRGGDGVGFSVQARRLAELAPGHIWDLPAMESGDIYDTVQLYRRNAERFTSRATGGLLPQGVLNVQTIFAQRVQDLDTLGHLTRAAIVLGMEDLKDECYNRMLQDHQMGPQQNSQAYQLYHAILLYFCLDTYSFGFLMCWKCLIIEILSTKISSADEIIENGDTCVDGVLLTPTCQVHGEVTVRWRSAADQGGGVRVVDDSDGEGAAGRCRLRFPGGIGADDGVISDDDLYGHDDIPDSGYEIGRVYSFRVETARWRADQLHRWCAALQCGRVREVTLTNLTMEGFPDLPQGILNCGTSLKGLHVFFFTVEADHIDALVNLRVLGVYGCSGMITRALRPESEIRVLTIDFNHLGDVVVETTRLRSLWMFNNVVQGTVIVNDALQFRDLHLLPPTRPSRIFIGDAPSLRSIGSLDLFNTVFVIKGIVIQAGMVQRPPKMRSVRILGLRVNYTDMGHRVPREIEQILKCFPCLEKLEIMFTTPPIPAANVANAITNVLHHHVGEGVQLLPVQGGGGGGGGQGEGALVGGGDADEAVTSDDEIYEDEGIIQNAGHEIGRVYCLRVETTRWSLDHLVRWCAELQRGGARVLMLANLAIPEHPELPQAILDCGASLLGLHVFFFTVEAYHIAALVELRALGLYGCIEGHGMIDRVLHPESPIRKLAIHGGMGRTFAVAGATRLRSLVLFDNQVGTVAVDGAARFRNLYMSDTKPSRIRIGAAPRLRRILSLDIFNTVLVIQGLAIQIGMMEPPPQIRSVRHLGLRVNYTEMDVRLPRLMEQILKSFPRVKSLDIMRCDDVTQAEGLLQWNDAHYDGNNFFDGLESFNYHLRWIYLEDFRGGKCEVALMKAMLDKASVLRQLMIQYSTSSVPQLTLNQLDLSLQNFKLHTLNGAIRGNLVSFVAADASGSCRDDEVTVAEGLLKATDEHIYKGNNFFHGLRGFRGGKRELALIKAILHEARAGTRLRMEYSTRSNPELTMDQLDQLVSPDFRAAHSK >KN539188.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539188.1:134020:136430:-1 gene:KN539188.1_FG009 transcript:KN539188.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEAAPAPPLLVLRFRNGEKRFALTDQPRQLPLGYAIDAPFIRSARTFGLLDEYARIHARGGHGAVPDIAAWDRAFMEREVTDTDELHDLFMIPTIAVANLRTTQAQSTDRGYEQYQVFMFCAMVVIYNVSLFVEMLQHRHLFNLFPRKMLHIILAMADVPIEYSLAWNHACSQAASTLEMDGLSVLCAQKTADVVKKRTVEEVKALLGIADVGMTPEEELKLQHDNDAILCLR >KN539188.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539188.1:61713:89561:1 gene:KN539188.1_FG010 transcript:KN539188.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASACNGLDALPHWTPSRRRSSARVVELDAAALGDAVTGSFPARVDRVAVAEIELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTPDLQFGPELVFRCSLFRGLVGSYMPSRKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVSAFWEIAPKFIPSLLVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSPWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLNNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSSLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDACFKFADLTLLVDHIEANQQSHIFGPMSANFQLSTSRKYASSFFVARNILSINLGGRIVGCMAFLFMDDLFPIFQVIKGMQMLALNSELGDIKYSQCFIGRLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISGSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVIHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEYLPFLEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEVTMSLSSIEMILSLFAPFHEILSSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSSYHLVNKKSNYGIAFNDGLLEFVRKPGNPFKVKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIHSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTAQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISQAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMYVNLNNWSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFKDTPALREIKIDKISPWKPFLKMSQNSRLNVDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHVCADSVITDRYKHLSLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRVIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPSGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISNSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKIASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNVKSFLVSKGLLKGDSSTIRPLRHLRNEPEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGNGESPSSSSVGVLARRSRVWSVGRWHNKKQTGQRLHMAATPLSTLATLVALIESLHRRLTASLGYTKSKRGKIQIRRAKWKDVLIKFVLIKKDLLAEPFISFALNEMNNQVGSSSQKNLNVACYEDLTKILGLSRFDSENLSDVSNTGLPMRCALDPTNPACMRCIPPENGLKSADVSSDNLQDMSSCCDSPHSQSGKAKFMCSFGGKIMPRPSDGKLRYVGGETRLISIPRNFSWNELVQKTLKIYSQPHIIKYQLPEEDLDALISLSCDEDLQNMMEEYSSLEKANSSPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKRSTSGNSLMSQSKHHLDNSPLHFRDTPVRQTDRDSGAKSLGGNSLNEPPSQFFIDPCTQPMVSESSATPSACLTRQRTMKQSRMQSSADKSILNQEYENKSEVYNGSNLKTMFPDHQHNKQNNTDTVIGVGTSPRHFQIQSQAKDLAVPRNESGLSSHTNYDMPIPVETPFYSEKLSVHPENAVLSAEGMTHAFSDPLLKDRTQVLAANLPLAAGSHIASSFSQEIYQTKELERKLSMTRPDFVCVKPTDVARTEEPRHLVSNHTDQPYNQGIVSGTSVEPTIYYQQDSLSSNVRQEGHDGGSTVQQWDKPYHQENRAGLKSYRARGARMSSDELDALESSVPTSVPANDHSCSFLNECSIGSRIENSDLGSQLDKLNSGRAAADYETAGCVSGNDKVFLPINSFDAFASQISMVNRESSVYQNGKLDQSSVHNYGLATSPLTGMSDSNVSANLPSSQNPFPVCVSSREVPLEYNITRSYVVNGFDNESMKLNDRMHNNVQMDAPVIVEDVTDNAPLGILSSRSLVPLVEVAAEEQQQVIISSLKDDDARSDVPELANEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKRLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKNCEPEWRQLMEQCWSADPDIRPSFTEVTDRLRAMSSVLKGHSQGNK >AMDW01008002.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008002.1:11:215:-1 gene:AMDW01008002.1_FG001 transcript:AMDW01008002.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCKVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVST >KN539188.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539188.1:26584:27025:1 gene:KN539188.1_FG011 transcript:KN539188.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVFVLRKNNSENIKKLFRGKADAILIKIIKEFPSRANTEMGNVQTSDTIANFWHAVPDLFYLLV >KN539188.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539188.1:1437:5431:-1 gene:KN539188.1_FG012 transcript:KN539188.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFAETSGSLLQSSEDEDDDEEDEEEDEEYGEDAMPVRSSGSLSPAHWRGASKSRGCFRCGKGGSFWARGDKEACLACGARYCGGCLLRAMGSMPEGRKCLDCIGRPVAESKRDSLGRGSRVLRRLLSAAEVELVMKSERECAANQLRPEDVYVNGTKLSPEELVMLQGCQCPPSKLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVGGALDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKANNQCGEEPVNVVNRPIPDYLEQRTIQKLLLVGSGTSTILKQAKFLYKSRPFSVEECEDLRLIIQSNIYNYLGILLEGRERFEEEAIADRRTTCQSDPSSSGHCEPELCNDVTEYYIAPRLKVFSDWILKAMAIGNLEDIFPAASREYAPMVEELWKDPAIQATYNRRSELPFLPSAASYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTDFSFPQLALDGRGFDEPDPQDTLLRYQLIRINNKGLHENCKWLQMFDDVRLVIFCVAVSDYDEYYEDASGNVVNKMMETRQLFESIALHPIFEQMDFLLLLTKFDILEQKIGKSPLTSCDWFSDFTPLVSRNLINGTSKSSRGSSTGSTLAQMAGHYIAVKFKSLFQSFTERKLYVSYVNALDQESVRSAIRYGREIIKWEDEKPVFGSSETTYSEEPSSYSH >KN539188.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539188.1:96931:99567:1 gene:KN539188.1_FG013 transcript:KN539188.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVTDTVTLYDLFVAATALGIDGLSDLCAQMTADAVKGRPVGEVKALLGITDVGMTPEEELKLQHDNAAILYLR >KN539188.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539188.1:110203:119913:-1 gene:KN539188.1_FG014 transcript:KN539188.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAIGLGRLMTLIMTWCGLMQMKFTTPPIPAGNVGNGIQFVPKQGGGGGGGHGGNEVAAPDGGGGGGGHGGADDSSSDEESGLCDDVIAHDAAMLNGGFEIGRVFCFRVETTRWSLEQLNRWCAALHRGRARVIVVANLHLPGYPRFPQALLDCTSLLELHLFFFTVEAYRIDRLLVLGLYSCAWGLGMIDRAIHRESEIRELAIDGVEGPTFRLAATRIQTLRMYENQVGTVAVDNATQLRKLHMHHTWPSRISINGAPRLRKIVSLDLFTTVLEIQGIVIKAGIVEQPPEIRSVRYLGLRRCDDVTQAEGLLQWNDAHYDGNNFFDGLECFNYHLRWIYLTGFRGGKCEVALMKAMLDKASVLTQLRMEYSTGSLPQLTLNQLNLSLRNFKLHTPNGHKMSPYPSLLNPKELLIFVNSLGLHVEVLYCFSYGVYAVASSSSHIILVLQIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYARVFDLAQTHLSHREIWRVLLYAILLIVLLSPFDMFYLSSRFYFLRTVWRIMLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATTAWLEADSICGSHSVAIPLVLVFPYLCRLFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDKWVSFYRPLWLMSSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSTWTNFLYGQIWVYYWVLASNLVLRCTWTYKLSAHLRHNYLTVFTIAALEILRRFQWVFFRVENEWNKMTAKQSLEMSSDMPSEGDRLLDSNSHTV >KN539188.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539188.1:37267:38979:1 gene:KN539188.1_FG015 transcript:KN539188.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLLQFLRPADTAVAAARSSDDDDDGGAPSSVPPSPMSSWSGRTSSAAASPSPYVMSPWVNLPGFGVGGGGGDEVVVGGGGTGLLGSLVKEDGHVYSLAAAGEGRKNGRPDVDLPSCGGDLVGDLSGLWAQRMVRDLDISN >KN545935.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545935.1:65:738:-1 gene:KN545935.1_FG001 transcript:KN545935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLCILCLITLLQLDGGAAISGGTNDGSERWGYVQVRPKAHLFWWYYRNPQRVSSPAKPWPTILWLQGGPGASGVGLGNFLEVGPLDGDLKPRGSTWLQKADLIFVVRNTNEDDDDEFVVVAMLSLDLQPLCLIIMVMAEVKLYALQDSPVGTGYSYVEDDALL >AMDW01027439.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027439.1:6:371:-1 gene:AMDW01027439.1_FG001 transcript:AMDW01027439.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHPDMITPGVDAQGQPIDPRQMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQLREEDQAAAAHTALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMH >AMDW01040783.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040783.1:224:2255:1 gene:AMDW01040783.1_FG001 transcript:AMDW01040783.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSSRSRDKRDADDDVDARSSWKEDDEHEDVEDRKNRSGKSTRYIYDDEGDEDDYDVRRESRVSKVPRRSPEERSERRSSDGYKDRDGDSSRRRREDNNDWDSSRRSGSRTSGHDVSRSKSRSSDRTSSDRADTRDSRSSADQSKNRSAREAHDYRNELSERWEDTERRKGSARTDKNDQDRRPIDPRYDSPPARDDRIVDSVDNTRQNTLHYNAKSEESDEKRMDQVEGTNRISDNVETKDMLPYVDKDGHALPRDGRNKEARHHREKDDGDQGHSDSDNERNISMKEKIRVDAHGDYKSYRGRDRNRELEGSKEHWGSRQRHDSKEPNDYDAGAEWRHGQERLDGGNFHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGRESSVSGRRINMGSLQDLTPGTSDQSEENKRNYGNGEDAQERYYDDVQNMDGKIPSDCQTGRGGAIASNNSGAGQSGSGSIISPTPQQGLKGSRPSRGLRGRPNVRDPQRMGLPVPLMPPPPFGPLGLPPGPMQPIGPNMSHSPGPLGPGVFIPPFPGPLVWPGARGIDVNMLSVPTNLPIPPVAGPSFTSSVAAGPNHSIHLNQTGSGLGSPANAPGTGFNPLSTLNCEILQDKPPAGWTPQRGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELT >KN541993.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541993.1:4952:6725:1 gene:KN541993.1_FG001 transcript:KN541993.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRRWAPLLLLLSCALPCCSGKQAVHDDLNQDQVTNPAMPITVPSTNPAPIIITVPSTNPTITIPSLNPLPTPITAPSMVNPSISPAPAAYPLPTPSTSPPSAPLTNPTSNPMTPVVSMPPPSLTTTPPTAPAVSGQQLWCVAKGGSTETTLQNALDYACGIGGADCSAIQPSGSGSCVLASSMSSTASSSVAGGYNPALTTPTTSSTSPIITPTTLSGSGSSVLNPDGSGSGSGSEFGSDIPDMSNCWHSVSPNCWSLAALFSMLSLA >KN541993.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541993.1:9064:10774:1 gene:KN541993.1_FG002 transcript:KN541993.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQVLEGASAPARPMRASRAAAPPGKTRPAATARRPATTRVSGNSKDEKKSESELEALRREVDRLRRRNEELEQQLALAHRTVDQLRQQQQVAADKNFQAPSIPPSPAPAPLPPLPPTSATVIPQGVPVPPPPPPPPNTNSNSNSSRRNPLRQQGPSKATALVSMYNSFNTNSAASGIVGELQNRSTHLLAIKADVQAKAGLINHLIAKLQQTTFTDVDQVLTFVDWLDQQLSTLSDETAVLKHFSWPERKTDALREVAFEYRHLNSLLTQISKYSPNDNPTLTSYEAILTKTSDLQHKLEKSMSRLANLRSSAMPSYKELRIPADWMLDSGIASKMRLASLKLAKVYMKRALKELDRETGGKALLAQTVRFAYRVHQFAGGLDSEAMRLFEDLTQLPHKASSPP >AMDW01034678.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034678.1:68:481:1 gene:AMDW01034678.1_FG001 transcript:AMDW01034678.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SAVEEEPTGKSKLNLKFWQDAGSIECVQHHIKKVIMREFRGTKSELTFLKFVAERAQKLERMVVVVTNGCFCSSGCQGDTQAQMETLMASAKWASEGSKLVAFENPHSQVGTPAWSFRFAFNFDWSDPFDYGYDQASL >AMDW01036504.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036504.1:9:637:-1 gene:AMDW01036504.1_FG001 transcript:AMDW01036504.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YLVADPPPFFRGLVWLDLAFLWPVCVANLYGILARRPWAAATSIMAGVYMLTYMSAIFGEMLGSGRTTPKLIQLTGYCCCISCPNCSEEKALDQ >AMDW01040782.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040782.1:436:2011:1 gene:AMDW01040782.1_FG001 transcript:AMDW01040782.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DNNGKINSLLIVRKCIIPQRLQHINKIQKVAPENMSMQASNRPYRYPDNSQIPYYSRSSMHVGQNGTYHVQQNHEDLYASSDDGSQNGNSKAQGLQAQYCTLDSSSGNFVYPAHSSTSSHISGSPISQQDSHSEHTSGSPASASCVTEVPGLRYTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTGDLKQVIVACGKAVDENSWYRDLLMSELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSFELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAASHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVQKGWRGTSLLENGGQGFQWLALGRTH >KN542592.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542592.1:7120:7701:1 gene:KN542592.1_FG001 transcript:KN542592.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVKRKLPSQEPTLSASPSSVSPLGTGKLVEASADLESSEDSTQSYLSDAASRATAQEDVELVTRALSRVAAKSPEAICQFVHRLMPAKVVRSLDWDLLESDRLRKMAGGGHCHWSEDELLTFLQSCLEEIAARNITSSCPKTQGYANLQAKMLAKVGKHVTKAQVKNF >KN541918.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541918.1:7562:8499:1 gene:KN541918.1_FG001 transcript:KN541918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPSWENLNLTVNFFSTKNTKFAAGCPPLPGHMKTAVCSLEDLQYCTDGVSSEEDNNVDEPPPKKNQEPDAHAPARDELSVSEHGLVQLPEEEIRSAGNESDYDDFAPIDWSVFGAAAARGLDEPLEYDEWIGQEDHHLLSEAQPLEHETRTAASEVSDAECSTDELGYMSWSGTHETRKESDGSATSPRCSSGLSSDDEGGRVLDGVSGQISSPFPQVGRSSSSDEGELAPLFLEKDVINLVTPIAPRLARRGDGETARIIDLTNSPTVIEL >KN541918.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541918.1:17539:20908:1 gene:KN541918.1_FG002 transcript:KN541918.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAMERELLEAFEAARKAAAAIGRRIRYLTKHPHSSIKATASDLLGHWKKVVIEEDKKNGALQNGKSSSTVVKVEKVEPMKVEKASPRATVNNNNMDTRVVNLKGGKVEKFSNAELRTQSIKVEKVQKVVHKVSSVENPSPVQGGPPRLTSIVKCGDASRDRIRAILGDAFSRVSEETRKDDREEVRNIIEEVKACDPFRIAVMVECALFQKLGNFNGPNKQRYRSLMFNLKDDHNTDFRRRVLLGQVQPERIADLTPTEMASDTRKLENKKIEEKALFECERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >KN541918.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541918.1:13067:16317:1 gene:KN541918.1_FG003 transcript:KN541918.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MATAAAATFLPHTPTPRHRLAVAVHSPTHHRLSLVFSGPPDGTLSVVEKADAGEEAAASPPRGGGGGGGGKERRRVVRKAWEKLVRWSRSWRRRNRSDVVETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHSHINCKYLPNHRLPENITATTSASDALAGADFCFHAVPVQFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQALGNPRQPFIVLSGPSFAVELMNKLPTGRNLIVIQLYMAAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGDIMLTCFVNLSRNRNVGLRLGSGEKLDEIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKKAVMELMNLPQVQYLSENTFYSFNENLLMEN >KN541918.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541918.1:10563:12210:1 gene:KN541918.1_FG004 transcript:KN541918.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >AMDW01035064.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035064.1:66:360:1 gene:AMDW01035064.1_FG001 transcript:AMDW01035064.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AYVDMINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKAMR >AMDW01009631.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01009631.1:22:168:1 gene:AMDW01009631.1_FG001 transcript:AMDW01009631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTQITKKIMVKDKEELYPVKPSDCGKFLVLSVGTGSTSDQGMYTARQCS >AMDW01037385.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037385.1:25:615:-1 gene:AMDW01037385.1_FG001 transcript:AMDW01037385.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TPPSKCSLERASQPTRRASTPVNMLQTPEKRQGADILTRLKSPDVSVNSPRIDRIAEFPIPSFDDEQLQQPTTKLKLYPPSITDQSITKDKCTFQVLRSDSSKNHTGDSSDPSILGTDSNPLITSSSDWMKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >KN543130.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543130.1:4702:4935:-1 gene:KN543130.1_FG001 transcript:KN543130.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHRDLHLHRRGRGRHIRPYCHRCQQIYAARGAQRWGDLRGPRAPPPPELVVAIITTVVAPAVGTTNPSRRRPDKS >KN543130.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543130.1:9463:9885:-1 gene:KN543130.1_FG002 transcript:KN543130.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADWFRMISDGVEEDEAAKSLFEMAHGCSQWEMASKDPSLNTILNDSMTADSKLFLELVVAEKGRIFHAILLKVWTT >AMDW01060888.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060888.1:398:1275:-1 gene:AMDW01060888.1_FG001 transcript:AMDW01060888.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IPECGLLQTCLEELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSEPVLNDGQSGGDSSRDNVIEGVRRKFIEKVVAQHEEREEDDDSD >AMDW01040597.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040597.1:33:1589:1 gene:AMDW01040597.1_FG001 transcript:AMDW01040597.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDVLEDLLGDGIFNVDGGMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGILERGPAAKGRERVDMQDLLMRATLDSFFRVGFGVNLGVLSGSSKEGLAFARAFDDASEQVLFRFFDLLWKVKRFLNISSEATMKQSIRIINDFVYSIIDRKIEQMSREQHEFAKKEDILSRFLLEREKDPGCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYAVCKNQRVQDKIAREVRDATTGDRDVGVQDFSSFLTEDAINKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMKFLWGDDAEEFKPERWLDDSGMFVAESPFKFTAFQAGPRICLGKEFAYRQMKIVSAVLLYFFRFEMWDDNATVGYRPMLTLKMDGPFYLRALAR >KN541057.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541057.1:337:2387:1 gene:KN541057.1_FG001 transcript:KN541057.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLLIGVIGAFLASGYSKILTSSALRDMNKVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEESALPVSAKLAPEHNEENQMEAPLLTCERGIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGIFQVFPQPLLTVRLSFSNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGSP >KN541057.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541057.1:23682:29587:-1 gene:KN541057.1_FG002 transcript:KN541057.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEVIDFIDEDTVVKYVMCLKENNEKLKILNCTYEESFLKTMSCPCMKLDCESLPCQHMFAVLFYLKMDAIPESCIVRRWTMKAKSVFPSDRYGETFSWSDQMERYRMLRSFGSEVLMKCSIPEECTLKVMEMLEKLDLETEDCDDYLQRKYSLISYRINIAREMEDDEVRNEAQVESSVQPRFDEITTEALVTEHKPVIMKDMTIACSIQRFLAVVANFDKSKIAQIERIGFGRMLSLPDITLHRKLIGQIAERYDSKTETVNIQGTAIPITTHDVKCIMGLPADGMIIKPKPHMNGEDYKYYSMYKQHKGKSISLHEWARQINSAKHPDEHFLRRFVLFTIGYILCPTTKPIVSSQYLALLKDIDNIKNINWARITRDYLINCLNELKGGRRNLEGNVPLLQFWAWEHVHINDPMCTLTYVGRPPPLMAYWNEMNVMTWLKYDKKCILETGTVVVVIDDPEEIKGDIVPVQCEGQTDEKKIDGFMEDNTVDVIHIVPEPEEIKGDNVSEDCQGATAKNNNVDFIKDDTPTNEEPDYIFKATKERTAADGFNSHGRGDDDESPHHVYIEDDVNVPSSPENFKYPEASSPENYKDLEAHQSANFDAIMTQLMQIQQGCQFLDNKISTKLISIENTCIQNRRDIQAIKYRLGTTSRSRTFRKFKPAAKQEETVIDSRADCRQDLVDDSKNYDSQPNGTATSPHIIESDDNSQQNPTQVIDAYIQIIIDKQSDTPRGQGIALLETATQCQLWKINGTDKGTCNKRYRDQRSKVAASYLEHEMIFLPLNRNSAHWYVAVLDGVNEKIQILDSLHMDRTSYDAEKTLTTTIKGIEKYLRYAKQDEHKTYKWNSTNITKWPICPMQVPQQKDGWSCGLFTLKCIEHWNGKDLSPEYDAMASILC >KN541057.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541057.1:16531:17550:1 gene:KN541057.1_FG003 transcript:KN541057.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAQEEGSGGTHTHTQANGLILMALLEEEEAAKKMKADILLQMELARSRLESTRQEEDEAQLLLKNIIDSVSEAKARADVARSNAEEAEKELQAALADEAMSIGRIAIAQTQLSQVLQRAEDARNNAADAESEIQNAQNRIDHDQAHASLREANDRAQRGSRFHREGDLRRADIEANQREQRRRDNEANEIRSRCRWRLFLLFSALVVLHVILFGVKVLLKIYCEGWGSAKQLIEGAAYVALLTSFGYWKYRVDGLLELEVDRRFEWASMTLHAMSRFVFESLCETQINGFVVSSVRGFVISSVVAHCAAFSVEVMLSILFHAKLEIGARIPVLEW >KN541057.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541057.1:7500:9676:-1 gene:KN541057.1_FG004 transcript:KN541057.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKWALEKAQALQDLEEEFNQQTAKILTCYQLPKHLRLDLHEQHRNDYMVPDDLRLKFVNAVFEGNPGMLLDHEEKLKAQARKEADKFWTEAAAAANKAQALQDMKEWYKQLLVNHAFDIEGIPERIKEAYIREIKLDDEELMFKNHVEKKFGICNHETRLRVRAWEESQQFRIKTMADKWAAKKLHALQDLEKAHIQRFVNIFDKIDIPDYVQQAYFQKYKVPDDLRLRYINDVEIKFRRMPDDEEEPPKGYISEDYNKLKAQALQDLEYKFNQQTARILKCYDLPEHIRLGLQEQHCNDYKVPDDLRVKFITAVFKGNSRILDHKGELKVQARKEAEKFWIEAAATEKKTQALQDMEERFKQQFIKLGYARKGIPEHIQEYYLTDCKLHEDTLLKFRNDVEEKFGMRNHEMQLKIRAWEKTQQFRIEMMADKRAAKKTKALQDMEERYIQDFMNIVDKLDVPEYFQQAYFQKFKVPDDIRLRYINDIEEEFRMLGDKEGYKGWQELNKGYV >KN541057.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541057.1:32095:34160:1 gene:KN541057.1_FG005 transcript:KN541057.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVIQVLLIGVVGAFLASGYSNILTSSALSDMNKVVFTVFTPSLMFASLAKTVTFSDVISWWFMPINIGITFMAGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCDEDGNPFRKRQQPLPLSLGSPIHHCPWLLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSCESKVAKKCSWTTTNLKDTIHHVVEELMAPPTLSACLFFLSCRNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGNLSP >KN541532.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541532.1:390:3990:1 gene:KN541532.1_FG001 transcript:KN541532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RAGCWDEISKSALPVIVAHNSTEQETGLCNTGSQQLNLREMHREADHHHRLLATLARHRRLAAAATLFSSTLRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPDATTFHILTSTLCQAHRPAAAADLLCCMPSLLLDPDPASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSISDYHAVFDALLQEGKVAEAYEVMKNKMGSNRLAPALAYFKLTMQAFSECLEFDSVEEVFDEMLLRGLVPDVDVYNVYIGALCRKGDLARARQMMTCMEHAGCPPDVRTFGVVVAGCMSAGDMGTVRELVQEAIRRGLQWDPPALSELIGLLQAASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKMPIETPKPLKIKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISGKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRAVKQEPAGDIVVPQPDVHARVVKE >KN541532.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541532.1:23323:23847:-1 gene:KN541532.1_FG002 transcript:KN541532.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRRFLNLVVESNRDGLYSLRRVPANRLFYPSRRAAEAATAKSEEEVKAYKEEHEDRRHPGLHFMERFGQFPSPMINFQASPTYEHSSKNLELATLLGDDENKILTVDNSGHTLLFDTVSYSVVKFPSLKSNKGRGAISLPVDRAAPQEPDGLYVMRSSHQRLLLRGAQLRL >KN541532.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541532.1:15789:21115:1 gene:KN541532.1_FG003 transcript:KN541532.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVALLHLTVVCQYVLCGLYWGYTKKTRPELVENGFFVLGVAAPVVAVVYTVCSPLGKDNLYCELACHDAFGSVTQHPTKGHAAVVEPEWAGGMFDCGGDATAWWLSLSCTFCVFGWNMERLGFGSMFVHTATFVLLCFAPLWVMGVSALHIHDVVIGDMVGGAGALLCVCGLLYGGYWRIQMRERFGLPASAACCGSPSVTDYARWLFCWPCALAQEVRTASLYHIDGETFYKKLPVVDDAEDEKRQPLLASHHVQFHEPPDTMIMAASEGSNDHMVVVHEEMVPPAVQVVVEQVVVEGDKSEEECSAVHDEKIMGLHLPESVIVVDAEIPASLSDGSWTVEKATKKRLILVPCSSVMAPTEHEDDAQHKQEQHKKHKEKKKERLLDFLRAAPSKAPWFSFSGAAFLTRLTSLRTTNHPAASRRLPAFVRSVDWRALRAKCLAWAKHPMNAALLVWLAFVAGGVAFVFLLMTGALNSAVPDASRRRRWTEVANQMLNALFTIMCVYQHPKLCHHLALLLRWRAADVAELRALYCKNGAAGLRRERLHVAVVVLLLHATCFAQYGYCALFWFFGRDNRPDLAVNLCMALGLGFPIAAALYMVYGPLGRKIVLIPASTDDEENVNSQVDEANAIAMTAQCDSNRNRAVVAKPEWAGGLFDLGDDPTVAALSLSCTFCVFGWNMERLGLGNMYVHVFTFALLCAAPVLVFAVAALNVHDDTLRFVVGAAGALLSVLGLTYGGFWRAQMRRRFGLPAHRWRLLLAGVAPTNRLRPLTVKLLHARLLRLDLLAALSPLLLRALSSSSLHLHALRLHCLLPNPSHLTFPIALKSASRLPDPLRAGEQLHARSLKLPSHTNPHVLTSLLSLYAKCGLLHRAHRVFDEMPHPSTVSWTALITAYMDAGDLREAVHVARNTVTLQLNSYRR >KN541532.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541532.1:5228:13143:-1 gene:KN541532.1_FG004 transcript:KN541532.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSHIHAHALKLGTLAHTFNMNHLLIYYARRGLLYSALKVFDEMPQRNLVSWTAMVSASTRNGAPHLGFRFFVSMIRSGFFPNEFSLATMLTACHSMLAHSSNKLLVALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVSNGFGHHAISTVLLMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMFSGFAHDEDDKAVFGYLIDMSRTGCKPNEVTFSVLLRLSGAKENESLGLQIVALAYRHGYTDNVLVANAVINMLSRCGLLNRAYGFFCSLTSTNIVTWNEMIAGYGLFSHSEETMRLFRSLVCFGERPDEFTYSAVLSAFQEAQGARDHEQIHATILKQGFASCQFVSTSLIKANVAAFGSVQSSLKIIEDAGKMELVSWGVVISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLKACANAALIRHCRCIHSLVLKTGHSNHFCVASAVVDAYAKCGEITSAESAFTAVSSATNDAIMYNTMLTAYANHGFAHDEDDKAVFGYLIDMSRTGCKPNEVTFSVLLRLSGAKENESLGLQIVALAYRHGYTDNVLVANAVINMLSRCGLLNRAYGFFCSLTSTNIVTWNEMIAGYGLFSHSEETMRLFRSLVCFGERPDEFTYSAVLSAFQEAQGARDHEQIHATILKQGFASCQFVSTSLIKANVAAFGSVQSSLKIIEDAGKMELVSWGVVISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLKACANAALIRHCRCIHSLVLKTGHSKHFCVASAVVDAYAKCGKITSAESAFTAVSSATDDAILYNTMLTAYANHGLIHEALNLYKEMTKAKLSPTPATFVAILSACSHLGLVEQGKLVFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKCVIDAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSDGAYVSLSNVYADDGEWQSAEETRRRMVQNHVQKLQGHSEETMRLFRSLVCFGERPDEFTYSAVLSAFQEAQGARDHEQIHATILKQGFASCQFVSTSLIKANVAAFGSVQSSLKIIEDAGKMELVSWGVIISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLKACANAALIRHCRCIHSLVLKTGHSNHFCVASAVVDAYAKCGEITSAESAFTAVSSATNDAIMYNTMLTAYANHGLIHEALNLYEEMTKAKLNPTPATFVAILSACSHLGLVEQGKLAFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVIDAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSDGAYVSLSNVYADDGEWQSAEETRRRMVQNKLQKVHGYVAPEYANSGHLNEKSDVYSFGWFCWKLLQVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >KN541532.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541532.1:26289:26681:-1 gene:KN541532.1_FG005 transcript:KN541532.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQPSLSNAHGADDEPCRVIVDVERSHREHHHRFAANVEQLASSLRLHKHRPVAIIVVTLRCHRHCVRLADLRLRSLLESLLVGVGISTIFRPSGPYTMYKPTMMGNPKLSTMQRLIAKSWHVSQWKN >KN542529.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542529.1:5445:8961:-1 gene:KN542529.1_FG001 transcript:KN542529.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPNLIPLLAIFIISYSLPLAISDDTDTDREALLCFKSQISDPNGALRSWSNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSNNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELTSCRNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYTNKLEGSIPTGFGTLPELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGRIPEFLANSSSLQVLRLMQNSLTGEIPAALFNSSTLTTIYLNRNNLAGSIPPVTAIAAPIQFLSLTQNKLTGGIPPTLGNLSSLVRLSLAANNLVGSIPESLSKIPALERLILTYNNLSGPVPESIFNMSSLRYLEMANNSLIGRLPQDIGNRLPNLQSLILSTIQLNGPIPASLANMTKLEMIYLVATGLTGVVPSFGLLPNLRYLDLAYNHLEAGDWSFLSSLANCTQLKKLLLDGNGLKGSLPSSVGNLAPQLDWLWLKQNKLSGTIPAEIGNLKSLTILYMDDNMFSGSIPQTIGNLTNLLVLSFAKNNLSGRIPDSIGNLSQLNEFYLDRNNLNGSIPANIGQWRQLEKLNLSHNSFSGSMPSEVFKISSLSQNLDLSHNLFTGPILPEIGNLINLGSISIANNRLTGDIPSTLGKCVLLEYLHMEGNLLSGSIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGTIPSNGVFGNASRVILDGNYRLCANAPGYSLPLCPESGLQIKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHEAPGNSTSLADLKGSIGYIAPEYGMGAQISTKGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTEILDPNMLHNDLDGGNSEMMQSCVLPLVKLALMCSMASPKDRLGMAQVSTEIHSIKQEFLDLSGGGKIV >KN542529.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542529.1:13300:14946:-1 gene:KN542529.1_FG002 transcript:KN542529.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ELGHLGQISYLNLSINSLEGHIPDELSLCSKLKVLSLCNNSLQGEIPPSLTQCTHLQQVVLCNNKLQGRIPTKFGMLHELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGGIPEFLANSSSLQVLSLKQNNLTGGIPLALFNSSTLTTIYLNRNNLVGSIPPVTTIAAPLQFLSLALNKLRGGIPASLGNLSSLVRLSLAVNNLVGSIPGSLSELRKLERLILTYNNLSGPVSSLQYLEMANNSLISQLPPDIGNRLPNLQSLILSMTHLSGPIPASLANMSKLEMIYLVATGLTGVVPSFGLLPNLRDLDLAYNHLETGEWSFLSSLANCTQLKKLCLDGNSLEGSLPSSVGNLAPQLEWLWLKQNKLYGKIPSEIGNLRSLTILYMDNNLFSGHIPPSIGNLSNLQVLSFALNDLFGQIPDSIGNLAQLIEFHIDGNNFSGSIPSSLWHWKHLEKLDISDNSFFGYIPPAVGNLSSIRDLIFARNNFFGHIPSTVGHLSNLSILSFAQNNLFGHIPEFIGNLVKLTNLFLHGNSFSGSIP >AMDW01039121.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039121.1:171:314:1 gene:AMDW01039121.1_FG001 transcript:AMDW01039121.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >KN540005.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540005.1:13119:14824:1 gene:KN540005.1_FG001 transcript:KN540005.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSRKGGRGRKALTAVLDNDANISAGKADVAAAAGILSPPQKAKRATSKSSKGKAAAAAAAEEQASVVDAVSELQGMLEKLRLEKEKAEEMVRERDEVIRRKEEEQGRLQAELKKVQRAKEFKPTVSIPLVKALIEKDQEGEKKKGKGKAGHERKKPCPAYVLWCKDQWNEIKKESPYADFKEVSNALGAKWKALGAEEKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLEEEQMQRTAKELLEQYLKFRQEADDDGDGGDNKKASKKGKKKKKEKDPSKPKQPMSAYFVYTQQRRAALVAEKKNVPEIGRITGEEWKAMSEAEKAPFEAAARKQREEYQVEMAAYRQRKQEEAACQEKEEEEQKKIMKQEALQLLKKKEKTDNIIKKTKEEQRKKKVGGAAAAADPNRPKKPASSFLLFSKEARRQLAEERPGVASSTLTALVSVKWKELGEAEKQAWNGKAAEAMAAYKRDMEEYTKAAASGGGGGEASPCTSSSASS >AMDW01035572.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035572.1:157:294:1 gene:AMDW01035572.1_FG001 transcript:AMDW01035572.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IASEGLKHRVFEVSLADLQNDEDQAYRKVRLRAEDVQGRNVLTNFW >KN540005.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540005.1:64840:67499:1 gene:KN540005.1_FG002 transcript:KN540005.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLEAKKNRKAYADQVLSPRPSPAAAALMVKPTPPISPRLVTELAARGGASCRPLVIPSSAADIAGIVGVPDVRVEFAGPNLVLKTVSHRAPGQALKIIAALESLSLEILHVSICTVDDATVLSFTIKVGIECELSAEELVQEIQQTFL >KN540005.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540005.1:27033:33174:1 gene:KN540005.1_FG003 transcript:KN540005.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIPDKRIVVRFPNHVLPASLREHMGKEGATVDNMDPSCPFLVLEKYDRKKSYEVSHVEVLTNVSSILKEFGFCPKEEYIDTMNPSPYNPDNSYRDVFQEGQTKPVEQTSSPNSPEKKALRIRTLKGCDPTFTRRGYFRYRRLPVEAQFLSTAADDKSLRLHGGQLRNYSSTSMSNESLVPNHNIGLLGRIQQLIDSHQSRRCSTAAFDVLRKVLKHA >KN539301.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539301.1:114620:118268:1 gene:KN539301.1_FG001 transcript:KN539301.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNSLSMVEARLPPGFRFHPRDDELVLDYLERKLLDGGVGGAAAVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLKEDWVLCRVFYKSRTTIAKLPTEGSYNNIDSVATTSLPPLTDNYIAFDQPGSMQNLEGYEQVPCFSNNPSQQPSSSMNVPLTSAMVDQEQNMGRAIKDVLSQFTKFEGNVKREALQSNFSQDGFDYLAESGFTQMWNSLS >KN539301.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539301.1:65638:66249:-1 gene:KN539301.1_FG002 transcript:KN539301.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDLKKAIDIMDAAVHVEEIAGEEREALLPVPADLRVQEEIFTIFFSLTQ >KN539301.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539301.1:45282:46597:-1 gene:KN539301.1_FG003 transcript:KN539301.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQDDANGGGIKYLNKRIRSSSQVVVLLAAGIVVPMVVEHSNSKKQSSLHLPTELSAFFTLSSGLFLRGILVGELHEPRPCRRRYVAIKVFVHICALFLVSLSFCLTLMMRMNVVVTSVTVAATAVLIAHRLWQCARTELDDDLDAYRDFDEIIHQLIELASHITSIIFLGWFGMAFFYFVNFPEEARDARFLLSELFTFITSVAASLTMLKAVPRLRRTFLTLTLAAELEAMTWALVSGVAATAIAIAASKVGGCQLETLE >KN539301.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539301.1:2273:2972:1 gene:KN539301.1_FG004 transcript:KN539301.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSTGEDGGRSGGYKALAVCQNPRGARGVKAGHEPSL >KN539301.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539301.1:30826:31107:-1 gene:KN539301.1_FG005 transcript:KN539301.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIAVAVASVSFGAVLAVFGGLLGGAVGKAQLKVCTFFLTSAFVGAVSLGVVASVAALARKASVAVAAAVLACCGLGTLVLAALALFYQIGA >KN539301.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539301.1:88147:91789:1 gene:KN539301.1_FG006 transcript:KN539301.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPCDILAGRCGSGRILRTVHLSVNQESISDILITLEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSASQITIAVSSASALYCILNTLATARVSIHAEIWQALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMALLRIILWIWPSSRYHVWSNRNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAPHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTASHKANQILCHNKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCVCSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSELLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMNLAGMVHVVVLLKSGKSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSFGSDGKITDEWGRSLYHVRMSDRVDSCGLKKILEYAYTNFVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSDIILEAQSNEEMKCYHGSCQLSTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALDKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLAQWEMVEAAVSSVAHLYPKLRDSGQLEKLDDDVLNMLRTEYVRHSQHGGRSS >KN539301.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539301.1:9108:13150:-1 gene:KN539301.1_FG007 transcript:KN539301.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVDYFLTYVLPLLTAWSRRSRSAPENTTTTSTPTSQVEAINADERSTSAAAALLQDEDLEAGPVVAIVPAVREASEAAGQSPSTGTNRVSFRDCRPTDQRSSPKLKEHLNLSFFLIITPAGVLFNLFVARTATEPISKSPSLVVASVSAFTSLVSGFWFMIDTMRQMRGRRDPNNPPCSWYKLFLCLSVMSLVFTVLSLIASFLPKTYYYFPVVLLPTMPIFGIAFHDVCWSDEHGHVLPVGNNNVDPHKADRKRSVQLVISMTTFSLMGSLGTSIGYKKNYSNRADHSFVKVSIYFMIGAAITGLVTLLINGLNKQGKKDWKRVAAGNAIMLALLVPSVLIVAETFLGGALLAGTSSPLVIAVLIWYFMEFHVPCDDSSNGEEKELKPLYTLALTATSVSFGAIMAVFAGFLGGGEGKGKTLEVAIFAVASCFLSGVSLCALTFRTPKKASVGVAARLLACFTFVLIVLATLALVCYVG >KN539301.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539301.1:55912:58087:-1 gene:KN539301.1_FG008 transcript:KN539301.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKARKSTPHVVKGEAAAMAAAGDEERELPPGGSELHPQPLQEMGDLSQDKEMQDVHHDSHHGEDIEAGRNHQSNLPTENGSSASSIERKEGKRLMHLYLKLALLLVTISTVPLIDILFLRGDAPKLPLGLKFASFFAFTAFVTAISLMFHTLKLMTIIKPEHIISAGAINSQLKVSILLLATSISSFVLTCISITCSLLPKAYYFLPISLVPSILVGVFHFIYNSKSDVRDVRPEESKALKKALKSATQLTLSLVTTSFSGFIGDLLGIYHKTEKLGGQYSYAKVSIFFMLGSGLAGILALLLCRLLSNSEDHRIWWQKTVLAIANVVMLAMLVPALLLIAATILHGLLVPAVVFPVVAGAAAWAFIEFCIAAVGEEEEEDGKSELGTMYAIAVAVASVSFGAVLAVFGGLLGGAVGKAQLKVCTFFLTSAFVGAVSLGVVASVAALARKASVAVAAAVLACCGLGTLVLAALALFYQIGA >KN544895.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544895.1:2204:2464:1 gene:KN544895.1_FG001 transcript:KN544895.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQ >KN543346.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543346.1:4498:7751:1 gene:KN543346.1_FG001 transcript:KN543346.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLPVWISVALLIALSIVASASSLGLSKSNGSDTDLAALLALKVHFSDPDNILAGNWTAGTPFCQWVGVSCSRHRQRVTALELPGIPLQGELGPHLGNISFLSVLNLTDTGLTGSVPDDIGRLHRLKLIDLGHNALSGGIPATIGNLMRLQLLHLPSNQLSGPIPIELQALRRLRSIDLIGNYLTGSIPDSLFNNTPLLAYLSIGNNSLSGPIPGCIGSLPMLELLELQYNNLTGPVPQAIFNMSRLTVVDLGFNSLAGSIPGNTSFSLPVLQLFSISHNRFTGKIPQGLAACPYLQVLRVGDNLFEGAFPSWLAKSTNLSVVSLSRNHLDAGPIPAALSNLTMLTRLGLEMCNLIGAIPVGIGQLGQLSVLDLTTNQLTGPIPRLDLGENQLHGKIPESIMMMRNLQVLVMEANSLSGSIPLNTGMLNNVELIYIGINKFSGLQLDPSNLTKLEHLALGHNQLSSTVPPSLFHLDRLILLDLSQNFFSGELPVDIGNIKQINYMDISMNRFVGSLPDSIGHLQMLEYLNLSVNEFHDSIPDSFGNLSGLQILDISHNNISGTIPKYLANFTSLANLNLSFNKLEGQIPEGGVFSNITLYVVIRMKVKKHQMISSGMVDMISNRLLSYQELVRATDNFSYDNMLGAGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFYTECHVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVALHCDLKPSNMLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTRKRPTDAMFVGDLSIRQWVHRAFPIDLVHVVDGQLLQDTSCSTSSIDGFLKPVFELGLLCSADSPEQRMEMKDVVVMLKKIRKDYVKSTAKTGSTAQQ >KN542943.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542943.1:101:4920:1 gene:KN542943.1_FG001 transcript:KN542943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGDLRQGTMANQGGGPSKHIMVEASNISGQSKDVSNPLMPNKEEIHGEEKIPNDKENGGDVFGGVEQFGYSQEEEYEPIDDTKLEMLRLLIPGHNSTISSMSRTMTYSYILLVWQLLNSVITYLQQVQKYGVWNAYAIINKCKNMVSGVGRWSYAAYAFTNPISQKDYMMSNTWMHLFLFSCNFHVKIKSPQVEIKRMYNPSTFCFNSMPSFFFLQIDLCFIEVRLINGMGSDWLTGSLRICREWRGTLWRQSSICL >KN540842.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540842.1:6046:9660:1 gene:KN540842.1_FG001 transcript:KN540842.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQTTWAASLPLFRLRPRPGSPPPCGPRLPFVPPRVICIKTVLVFGLQGQLGTSSGWFPTTSWPRWKTRSKTVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEVTSFIGGDKRDPEWIDVKLAQAKYLLSRVTQFEKLISNKFNCKPSVMIAGDFNSTPGDKVYNYLVSANSDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSADVQGGLPNFHHPSDHLPIGADFQVLGSEG >KN542943.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542943.1:7073:7405:1 gene:KN542943.1_FG002 transcript:KN542943.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEGDGDGATCGGGQSQLQGGHEGETREEDVAAAPSILPETGGITHLVPNLRLFSHSVAGFERLHCGGDPLHDLNTRMAALESSASAAAVARASSVSAADYSLHHFRHL >AMDW01025415.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025415.1:27:338:1 gene:AMDW01025415.1_FG001 transcript:AMDW01025415.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FKLCKVRSAQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHAFATRLGN >KN540842.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540842.1:31374:35217:-1 gene:KN540842.1_FG002 transcript:KN540842.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGHLLGNDYMSLGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSMVLSACDILSQSDAFKSFSKKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPYHGQE >KN540842.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540842.1:10352:12782:-1 gene:KN540842.1_FG003 transcript:KN540842.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKMEGERRVEATRRWVIAVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLAIALWPAISLPVLTIFLLLSNFGASICEVANDAIVAEAGKQATSSSGSGQLQSFAWMFGSSAGALGNLVGGIALSYFSPKIMFLFFAILLVLQFCTTVAIPESSLQLPKADTNLSAVSSVRKQIKELSYALCMPEIFWSVIWFSLSYAAIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVTLLAWSVAYNKYFKTTPAQKVLSVLQFLTALVMLSDVLFVQGIYRNFGIPDSMYTIVFSGLLEGLMLFKVLPFSVLVAKLCPSGCEGSLMAFVMSALALATIISGYLGVALAEFMGVSGGDFSALPTCLLIEAACTMLPLFFSSLIKERREKEKKEE >AMDW01028046.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028046.1:113:355:1 gene:AMDW01028046.1_FG001 transcript:AMDW01028046.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGARQTMLFSATFPKEIQ >KN542430.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542430.1:10926:14072:1 gene:KN542430.1_FG001 transcript:KN542430.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPLVLVLAIFFAVATAIAALSSPLPHPLDPLSPTELTAVRSAVLASSLVASRPISFHYVGLDELEKPDVLSHTNAAAAAILPRRAFVIARAGGLSHEFYVDVTNTSAPRVVSHGGTANYYARPLEGVTLLVDVEKLVIVAYRDRAAYLVPKAEGTDYRAGKAGPPFNRAVPAPGVVVQPEGKGFHIDGHIVRWANWEFHVGFDMRAGTVISMASVHDADADLWRRVLYRGFASEIFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPEADCPANAAYMDGYYVDADGKPVKSENIICVFERYAGDVAWRHTGVAGPIAPITEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVVSLSGILEMKATNYTHVDQITDDIHGTLIAENTVGVYHDHFITYHLDLDIDGTKNSFIKTTIIPKRNTGVRATGDAPTPRRSYWTVLYEVAETEAEGQVNINGAPTDLLFVNPSKKTKVGNEVGYRLIPAGATATSLLANNDYPQRRASYTKKQVWVTPYNKSEKWVSGLYAEQSTGDDNLAAWSKRNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPTISGAFELRPFNFFERNPLIRTRPPGNSPNCSCSFGRSG >AMDW01038727.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038727.1:58:425:-1 gene:AMDW01038727.1_FG001 transcript:AMDW01038727.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQTLHAEARKAIKEEKRPVVYTLDEPEKDDRNNLSLSQGNLANDKAQVDLMQNRGKRLEAALTKQLDEEQSMEPRLLLTS >KN539534.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539534.1:53906:55909:-1 gene:KN539534.1_FG001 transcript:KN539534.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGGTPEEEGGGADVEKAAAETGGPWGYWYVSFFQQVASIGNNIAIQIAAGSSLKAVYKHYHTTDDGAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAACTASTIGFAGTAIGVTIYDGHRIDRKEVDYSLQGSAASKIFRAFNALGTIAFSFGDAMLPEIQSSVREPVRMNMYKGVSTAYSIIVMSYWTLAFSGYWAFGSGVQPYILSSLTFPRWTIVMANLFAVIQITGCFQIYCRPTFAQFEQRIQVKDAGYRARMWRLVYTSAYMVVITLISAAMPFFGDFVSVCGAVGFTPLDFVLPALAFLKAGKLPENPGLRHAVKVITSAVAVLFSIVGALACIGAVRAIALDVKTYKIFHDM >KN540493.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540493.1:5621:10084:-1 gene:KN540493.1_FG001 transcript:KN540493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIHHGEAEAENNNLCQNVQIDDLSYLQFGLEIGKDDNQHAHWDTSGSSPLCHSIRAKRGETFSIVIFLVSGDAARGCTHQLRPHSETVMLSRVIWKFWCIIFNDSLFMATILDSLIGSCVNKLQGIITEEAILILGVKEELGKLQERMKQIQCFISDAERRGMEDLAVHNWISRLKDVMYDADDIIDLARFEGSKRLNNHSSSPRKTTACSALSPLSCFSNIRVRHKIGDKIRSLNRKLAEIEKDKIFATLENTQPADKGSTSELRKTSHIVEPNLVGKEIVHACRKLVSLVVAHKEEKAYKLAIVGTGGIGKTTLAQKVFNDKKLKGSFNKYAWICVSQDYAPISILKQLLRTMEVQHAQEESVGELQSKLESAIKDKSFFLVLDDLWHSDVSTNLLRTPLHAATSGIILITTRQDTIAREIGVEEAHRADLMSPAVGWELLWKSLNIQDEKEMQNLRDIGILIVQKCGGLPLAIKVIARVLASKDKTENEWKKIVANNIWSMAKLPKEIGGALYLSYDDLPQHLKQCFLYCIVYPKDWTIYRDYLIRMWVAEGFVEVHKDRLLEDTAEEYYYELISRNLLQPVGTSIDQTECKMHDLLSQLACYLSREECYIGELKPLVDNAICKLRRMLVVGEKDTVVIPFTGKEEIKLRTFTTDHQLQGVDNTFFIRLTHLRVLDLSDSLVQTIPDYIGNLIHLRLFDLDGTNISCLPESIGSLQNLLMLYLKRCKYLHSLPLATTQLCNLRRLALDKTPINQVPKGIGRLKFLNDLEGFPIGDGCDNTKTQDGWNLEELADLSKLRRLNIIKLERGTPCSSTDLFLLAEKKHLKALGLQCTKWTDESYSEENVSNIEMIFEKLTPPRNLEDLMIVSFFGRKFPTWLSTSQLSSLTYLMLIDCKSCKHLPPIGQLPNLKYLRIEGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEVLIIKDMPNWEEWSFVEEEEEEVQEEEEEAAKEGAATSKQKGEEALPPTPRSSWLLPCLNTLELADCPKLRALPPQLGQQATNLKNLLIRTARCLKKVEDLPFLSGFLSVGGCEGLERVSNLPQVRELFVNECPNLRHVEELGGLEQVWLTKDMREISSLWVPRLQEQHHQLHGDEYELEVNEWL >KN539534.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539534.1:18841:24832:-1 gene:KN539534.1_FG002 transcript:KN539534.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQASEAATEKGLPLGMDVSMVDEYASQSKLLQEFVKIPTIGKAWIFNSKTENTSRAIVSVGQTDLLANKKRSFLLNSHISKNSSNSVDFQWSPFPIEMSGVSAVIPSPSGRKLLLIRNSEDDSPTKLEVWGPCQLENEIHIAQSVHGSLYVDEWFEGISWNQEETLVAYVAEEPPQPKPEFNDSGYKKAGSSEKDCKSWKGKGDWEETWGETYSKKRIPALFVVNISSGEVRAVKGIPRTLSVGQVIWAPSSSHSLVFVAWSSDNGYQKTPRKLGIKYCFNRPCAMYAVPDPFMEEADKPSLNVSKGETAPTTRLTSDLSSAFFPRFSPDGKYLVFISAKSAIDSGTHNATNSMHKIDWPADGKLEGLSVADVLKERSSEGASLGSNALHIRYQVLNVLAVIREVSRVSPQDSDYSWNVLALDKDNILAVSSSLITVPQIYYGSEVCQTGKPNQWEWQEIATPFPNPSDKISAILADHMFSILKIPISNSSDKLADGAKLPFEAIFVSWKDSATRPTIVVLHGGPHTVYPSSYSKSLAFLYSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVIKKGLIDASKVAVVGGSHGGFLTTHLIGQVFLDSVDLMFHGLQAPGTFVAAAARNPIYGKEGKNCFSEYPSFDDLCQFHQKSPISHISKVSTPTLFLLGAQDLRVPVSNGLQYARTLKEMGVETKIIVFPEDMHGLDKPQSDFESFLNIGVWFKKHMSK >KN540493.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540493.1:29053:32103:-1 gene:KN540493.1_FG002 transcript:KN540493.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLDSFIGSCARKLQEIITKEAILILGVKDELRELQERMEQIRCFVSDAENRGMDDSAIHNWLSRLKDAMYDADDIIDLASFEGSKIRHEIGDKIRSLNRKIEKIAKDKIFATLENTQPCDDKGSTSDLRKRSHIVEPNLVGKEIVHACRKLVRMILIHKEMRAYKLAIVGTGGIGKTTLAQKVYNDKKIKGSFSKQAWICVSQDYSPVSLLRQLLRSMDVQYAQDESVEELHIKLESAIKDKSFFLVLDDLWHSDLWTSLLRTPLHAAATGIILVTTRSDIVALEIGVDLTHHVNLMSLNVGWELLWKSMNIRDEKDVQNLHDIGIGIVQKCGGLPLAIKVVARVLASKEKSEKEWKKILAKNVWSKTKLPKEISGGLYLSFDDLPQNLKQCFLHCIVYSEDLFIYRDYLIRLWIAEGFVEARKDQLLEDTAEEYYYELISRNLLQPVDTFFDQSICKMHDLLRQLACHLSSEECYIGDPESLVGNTICKLRRMLVVTEKDTLLIPGTEGFPIGVGCANTKMQDGWNLKELAYLSQLRRLIMIRLERGAPYSSTESLLLTEKGHLKVLHLYCTELTDELYLEEDVNNVEKIFEQLIPPCNLEDLCIVSFFGRSFPLWLGTTYLSSLKHLILMKCKHCEHLPPIGLLQNLKYLKIVGAVAITKIGLEFIGSDVSNSKTIEAVAFPKLESFIIKDMPNWEQWSFVEEEEGEAAKEGGEDGDSTNQKVKALSPRSFLLPCLKTLQLACCPMLRALPRQLGQQATSLNELLIRGGSCLKTVEDLPFLSGVLSVGRCVDLQRVSNFPQARELYVSGCPNLRHAEELSNLERLWLTKDMQYICSLWVPGLQEQCRQVHGDELEVNEWV >KN540493.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540493.1:23495:26575:-1 gene:KN540493.1_FG003 transcript:KN540493.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLDSLIVSIVKKLQDIITAEAILVLGVKEELKELQRTMSHIQCFLIDAEQRRSEESAVNNWLAELKDAMYEAEDIMDLASFEGSKLLAQHPSSSRNSTGCTGLSMFSCLPNIQRHHKIAVRIRNFNSELEKIIKMAERYLKLRNMQPIVAVPSVRLMKTCHLVEPNLVGKETLHDCRRLVKLVLAHMDQKVYKLGIVGTAGVGKTTLAQKIYNDNKIKGIFSKQTWICVSQDYTEVDLLKEVLRAFSVYQEQGETISELKSKLATTVKDMSFFLVLDDVWNPEVWTYLLRTPLLAATNGVIIITTRHDTVAQAIGVEEMHHVELMSTDVGWELLWRSMNFVREKEVQHLRDIGMEIVHKCGRLPLAIKVIASVLATKDKSESQWRKVINRSAWSMGKLPTELRGALYLSYDELPRHLKRCFLYCALYPEDWLMLRDDLIRYWIAEGFVEEQEGQLLEDTAEEYYYELISRNLLQPVHLYFNNIICNIHDLLRQLAWHLSGDEIFYGDPQSLDANTLSKVRYASISTHDYSVILSDVDKEYIRARTLRIHCGKSTLVENTIFKRFPRIRILDLTGSPINKIPDCLGDLIHLRLLDLDETKINCLPESVGSLKYLQILNLQRCVSLHSLPLAITKLSNLRRLGLRHTPITEVPQGISRLKFLNDLGGFPIGVGRDSTKMQDGWSLAELRPLSQLRCLNMIKLERAIPCGGTDSLLKYKGHLRVLYLCCTGQIDQEYSEEDASNNEKIFEQLTPPSNLEDISIVRFFGRSYPNWLCATMLSSLKHLELLGCKSCMHLPPIGRLPYLKYLKILGATVTMIGPEFVGYTVDNPGSTESAAFPKLECLVISDMPNWEEWSFAEEAASTLEEGHDNGNGARPKGKTAPPELHLLPCLEKLYLYGCPKLRALPRQRGQVASSLKELHVRGANCLKTIVDFPFLSDFFSISGCESLERISFLPQVRKLYVGACPNLRCVEELDNLEQLGLPKDMQDMSLRWVHGLKEQCYQLHSEDLDVYTWLGDQEMPIYM >KN539534.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539534.1:58457:60352:-1 gene:KN539534.1_FG003 transcript:KN539534.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNHARSQDFWRRFWSMLSYACSELCLIILLHVAAGASYLATRFARIHKLRMPCILCTRMDHALHGKPWFSSDLVCAAHRSEISSLAYCSSHNNLAQCDDLCKRCTVATNDVVDTRRSKSRQLCSCCSEPFTKARNAHRISETASVSGEINREQIPADHSKDKAFVVGIEEVNESDSSPRTYEQSTKNNGASGNAGTAKLAPSGSTVPMRVFVDRNSSVKNGFISRANLSSPRPSQIISAKDSNSTTQQEVKAFLSQMSTVRGIDSSWSDGAPSPGINAQTDESNANGRRPSLERNYSVIEPSDANLADEVEGESSPENLKRLLELNKKSMSALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLVQNEQFNGGKHDIAGIMNETDMPFEVLNDLDYTKNTMSGFEDEMAYILELISRLEDKLCVSTNRLASDDAKINQEGLIGGADFGSSPTHGESTSDQQDDGNKSVQNHKDNCSCSHPEDGKMSDANLKDEVSLLHTRLQALEADQEFLKHVLNSLRCSPDGLQCVQEIASHLLELRRIATQR >KN540493.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540493.1:16572:19667:-1 gene:KN540493.1_FG004 transcript:KN540493.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATILGSLVGSCVNKLQGIITEEAILILGVKEELRKLQERMKQIQCFINDAERRGMEDSAVHNWISRLKDVMYDADDIIDLASFEGNKLLNGHSSSPRKTTACSALSPLSCFSNIRVRHEIGDKIKSLNQKLAEIEKDKIFAILENTQPADKGSTSELRKTSHIVEPNLVGKEIVHACRKLVSLVVAHKEEKAYKLAIVGIGGVGKTTLAQKVFNDQKLNGSFNKHAWICVSQDYTPVSVLKQLLRTMEVQHAQEESDGELQSKLESAIKDESFFLVLDDLWHSDVWTNLLRTPLHAATSGIILITTRQDTIAREIGVEEAHRVDLMSPAVGWELLWKSMNIQDEKEVQNLRDIGIEIVQKCGGLPLAIKVTARVLASKDKTENEWKKILSKNVWSMAKLPEEIRGALYLSYDDLPQHLKQCFLYCIVYPEDWVFDREELIRMWVAEGFVEVDKDQLLEDTAEEYYYELINRNLLQPEKQHFDQSKCKMHDLLRQLAYYLSREECYIGDPEPLVDNTICKLRRMLVVTEKDMVVIPCTGKEEIKLRTFTTDHQPVRIDSTFFMRLTYLRVLDLSDSLVQPIPDYVGNLIHIRLLDLDGTNISCLPKSIGSLQNLQILNLKRCRYLHSLPSAITQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGGGCDNTKMQDGWNLEELAHLSQLRQLEMIKLERGAPCSSTDPFLLTEKKHLKFLHLWCTEQTDESYSEENISNVEKIFEKLTPPHNLENLAIMNFFGCRFPTWLGTTHLSSVKYMLLIDCKSCVHLPPIGQLPNLKYLKIEGATAITKIGPEFISCRVGNLRSTEAVAFPKLEVLILEKMPSWEEWSFVKEEEVQEEEAAAAAKEGGEDGTSASKPMGEEALSPRSSWLLPCLKELQLRECPRLMALPRLLGQQATNLKELLIRDASCLKMVEDLPFLYGFLSIGGCEGLERVSNLPQVRELFVSCCPNLRDVEELGSLEQLWLDEGILEISQLWVPRLQEQHCELHGDELEINEWPRTPN >KN539534.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539534.1:77383:80584:1 gene:KN539534.1_FG004 transcript:KN539534.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLQALALNLTAPRRLPFSPLRVTTATAPLAGRLSTAAAASGSSPESPASEPDLDSGLYLVATPIGNLEDITLRALRILKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPNILKRLHEGEAVALISDAGTPGISDPGMELARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRKERLEISACQAATQIFYVPPHGIHQFLSDAASSFGDSRSCVIAREITKLHEEFWRGTIGEANEAFATRQPKGEITVLIEGKLISADETPSEDFLEHELRELMTQGHPLSAVCIQLSF >KN540493.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540493.1:40370:43261:-1 gene:KN540493.1_FG005 transcript:KN540493.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSVHNWVSRLKDAMYDADDIIDLASFEESKLSNGHSSSPRKTTACSALSPLSCFSNIRVRHEIGDKIRTLNRKLAEIEKDKIFATLENTQPADKGSTSELRKTSHIVEPNIVGKEIVHACRKLVSLVVAHKEEKAYKLAIVGTGGIGKTTLAQKVFNDKKLKRSFNKYAWICVSQDYAPVSVLKQLLRTMEVQHAQEESVGELQSKLESAIKDKSFFLVLDDLWHSDVWTNLLRTPLHAATSGIILITTRRDTIAREIGVEEAHRADLMSPAVGWELLWKSLNIQDEKEVQNLRDIGIEIVQKCGGLPLAIKVIARVLASKDKTENEWKKIVANNIWSMAKLPKEIGGALYLSYDDLPQHLKQCFLYCIVYPEDWTIYRDYLIRMWVAEGFVEVHKDRLLEDTAEEYYYELISRNLLQPVGTSIDQTTCKMHDLLRQLACYLSREECYIGDPTSLVDNNMCKLRRILVITEKDMVVIPSMGKEEIKLRTFRTQQNPLGIENTFFMRFLYLRVLDLSDLLVEKIPDCVGNLLHLRLLGLDGTLISSLPESIGTLKNLQMLHLQRCESLHSLPGAITQLCNLRRLGLDGTPINLVPKGIGRLKFLNDLYGIPIGGGSDNTKMQVGWNLQELAHLSQLRLLCMDRLERATPCSRTESLLLTDKIHLKVLMLGCTEQTDEEYSEEDVSNVEKIFEHLIPPHNLEDLCIIAFFGRRFPTWLGTTHLSSVKFLILEDCKSCMHLPPMGQLPNLKYLRIVGATSITKIGPEFLGCGVGNLRSTEAVAFPKLEWLIINNMPNWEEWSFVEQEEEEVATAAKEGGDDGAATLFPRLSWLLPCLTKLDLTSCPKLRALPPQLGQQATNLKEILIYEASSLKTVEDLWFLSYSIHIEGCGDLERISNLPHVRVMYVRDCPNLRCVEELGSLEQLWLYEDMQEISSLWVPRLREQHNQHHEDELEVNEWLPY >KN539534.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539534.1:12872:17055:-1 gene:KN539534.1_FG005 transcript:KN539534.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTSEEYASQSKLLQEFTNVPSIDGAWVFQTNNEDRSTAMYSISQTNLLANNKRKYILFSHIMRNGTNLLDFQWSPFPIQMDGVSAVVPSPSGSKLLVVRNGEKGSPTKLEIVDQSHVEKEIHVAQSVHGPLYTDEWFHGISWNQEETLIAYIAEESPEPKPVFDDTGYRKEGSSEKDCNNWKGQGDWEEDWGETYSKKGRPSLFVLDIASGEVRAAKGISRSLSVGQVVWAPPSSCGRQKYLIFVGWLEHNGFQNTRRKLGIKYCSNRPCSLYATLCPFEESDVDNAPVSDSKLESASVAINLTPSISSAFFPRFSKDGKLLVFLSANHAVDSGAHNATDSLHKINWPSDWKMDQYLEITDVSIYLTSELCQIPIVMCPQDGCFPGLYCSSMLSNPWLFDQCTMILTSAWRSTEVILSIDVLSGKATRISPENSEYSWSALAVDGHNVLAVSSSPIDPPQIKYGHQVSLKDQTCTWVWDEVNNNPLMAANNKVKALLSHHQFSILKIPVTNPSDDLSDGGKLPFEAIFVSCKDSSHKPTILVLHGGPHSVSVSNYSKTSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTALDYVIKEGLIDASKVGVVGISHGGFLTTHLIGQAPDRFMVAAARNPVCNLSLMIGTTDIPDWCYAVACGSEGRQHASEFPSPDHLRLFYQKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGGEIRIMMFPDDIHEINIPQSDFESFLNIGVWFKKHLSIPASDAAA >KN539534.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539534.1:61574:67189:-1 gene:KN539534.1_FG006 transcript:KN539534.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLARMKAMRQQRRPCSGGIAGPISAGFRGVGGLHRAIRNAGMNSYCDKKDVTVAFSKTKRQEEDEVIWRMVEQGATDDEECGGTDCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHRGERLRRLCEETKACVQVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYEYTINDDGLDVRYNDIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIGNFLTLMYLLEPLVPSIDKFDISGLQLSIYTDADGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLFDPHVSIPISPVDMPPFHYSDHREGLLHEASPGYYSLYAESFQLERPWTDTCYSRYPMENFTHADIFEYRQEAPVFFGKYRSVTPPHCGHEAEAYLSSPMEFCLHNSLNTYGWQTTPPFGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMGKHPHLGISLYGRDDHPTRVSPSPATELPPSPAVSAYKRQVSPSLKMYPSTNVENLQHCRVSACAPEELPHVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASGSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASCFTEPRLQATY >KN539534.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539534.1:6775:12208:1 gene:KN539534.1_FG007 transcript:KN539534.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRNNIVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRTMKPEDIQGLSDLLQLISSKDVAIALVVVCSENTQITTECASRRLVTPDILYGHGQMRDREAGWTPDQWGHSKSRSAFSPDYSTYRQQLTNLMRSLLKASPLSSQILYDMQPFGLTALDKPNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLESFFSNKVASQLAQASTKN >KN539534.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539534.1:46645:48081:1 gene:KN539534.1_FG008 transcript:KN539534.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPTRKVRRDAVDEAKMKDEATSQK >KN539534.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539534.1:81962:82231:-1 gene:KN539534.1_FG009 transcript:KN539534.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTTGDACLRLEAAGLGVAGLSGDGVRVTEALNSLGGSGAEEEVVERAAEVRHDGLVATGEAAPRLALSGGLIEPLLQASFKSRLD >KN539534.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539534.1:51009:53378:1 gene:KN539534.1_FG010 transcript:KN539534.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTKKRGGGESGNGGAGLGLAAFIANGEDLGPIVRHAFESGKSESLLQGLRGIVKMKEVEIEELCRVHYEEFILAVDELRGVLVDADELKGTLSGENLRLQEVASSLLLKLDELLELYSVNKNVEEALGMLKICLQVTSLCQMCNKDIAETRLHSALKSLELIEKDFLQNIPLKLLKKAVQKQIPIVKLYIEKKVCNEFNEWLVYIRRTAKEIGKVSISQASLARQKNEGIRSQQREAEDCSRIGFDEHAYALDLDLIGEEEVLEFDLTPVYRANYIHTCLGLGEKFREYYYNNRLMQLNLDLQIPTTQPFMESHQHFLAQIAGFFIVEDRVLRTADGLLSDSQVETMWGTAISKVTSILEEQFSRMDAANHLLLVKDYVTLLGATMKKYGYQTTSLLEILEKNRDKFYQLLLSDCRKKIDGIFTKDSYEQMIIKKENEYHMNVSAFQLEPIGVVPDFPYVAPFSSSVPDACLIVRSFIEDSVNYLSYDPMMDAYDVVKRYLDKLLIEVLNDGLLNLIHGGCLEITQMVQIAGNIAILEKSCDMFLFHAAQLCGVPRRLLDKPHSGLTARAVLKASQNAAYNGLIALANSKIDEFMLLLTSINWTPEETPEHVNDYMNEVVIYLHTLVSTAQNVFPREALYKVVCGAFSHISDSIMTVFLSDRVKRFNANAVAGIDIDLKKLEEFADDKFHSTGLSDLRKETTFRDCLVEIRQLTDLLLSSQPENFMNPVIREKNFGSLDHKKVSIICDKFRDAPESLFGSLSGRSTVQSARKKSLDVLKRRLKDFS >KN538743.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538743.1:98095:102034:1 gene:KN538743.1_FG037 transcript:KN538743.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKGGAKIGGGGGGGGGGGGGGGLFNLFDWKRKSRKKLFSNSPEGAKLVKRGEETLPSGRLHLIDDDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSQSLKRSPEYSGSDQFSYVPRRVDGYMRKPLDLRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEAAAKILEPRPQVSSREKICSYSPARIPLRISETRENIPASQRAVSRQLQSSRTNLELPDVRFSRGQQMNRSWNSEDDIVIFRTSSDSYEINNPGFSKNNKGKSISLALQAKVNVQKREGLGSSGKNSGGQKDRDECRTSQPFRSQSNAQKNKQQKKPSSSGTSSPVLRQNNQKQNSMVSRGKSAPNKSVSSQQGRKMAGDSSTGKLKNASKISKGGSRKDIVESISCDKEGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKSAARLQKQVQPNVVMDEHIKWNNDSKDSTDVVSFTFTSPLVKPSAGPSRLSGKWDTRGNFNLDAINEKDDSDKKSEGLSSVGLNFVNGDALSLLLEKKLKELTSKIEPSINFTRGDTFVPANFSLEEPVVSSSSNWDMESGVFDCSPSEGKPSQYVDYCQSAQSSTKGQIFRGSKLQVEEPEECSSISNARKEQEHEDLSPLSVLEPTFLNESCWSSDCCSGSSDGSKGYSSSSEVKNMPKNFLSNPPSVDAEAKTTDSVSSSSIDASDTSASIDASDISDITQCSKKSRNSELEYIGDVLGNVNLTKGGLGSLFISQDDVSVMDPHLFNKLESMNLYTQGKNNLDRRGYRKLLFDCVSECLETRRLTYFRAGYAAWSKGMAATSRGIETEVCNEISGWKSMGDWVEDELVDKDMSSGLGTWVDFRVEEFETGEELEREILSSLVDEVIGDVFVRRRDGRSVNL >KN538743.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538743.1:60586:61692:1 gene:KN538743.1_FG038 transcript:KN538743.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MVASCDPQQWQQSDTIVGGRGGITDRAEQKMRKRGKRTKIAIHQLRPPPRHAATARLRSFESSVGLSRKAFRLGKFVQSINALRAAAYHPHPHVHPLLVLLAYGGQGVYNFLEQFAWLAKAGLLPARLLPRRLHRIGVWAQLLAHVGSIAIKLEEVAELECGVEARLEEGCGEESEVVRTLRRKLLLKRMSLVQDVVDSAMTVGDVTGRKGLLGSSTVMASAGLLSALISVHKNWNSC >KN538743.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538743.1:18085:22227:1 gene:KN538743.1_FG039 transcript:KN538743.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTNCLRRYPIRAVFPYTNAILRASLEKGSPEKLLTDYNSMLRFTAFCPDYRTYVLLLKACAKCSNIYAIMEIHSHLIKLGLLSNQRIVTHLFKLYIDHDRVMEACKLFWLMLEWSAEPFYGNLMLMGFLKRGQIDKAYQIFKRMPVKDLVSWNSMIAGTARNSCLKDAMNIFSKLVNSGLVPDGFSFSSVLSACAQAGARCYGMWVHQLMAELGLEMNHILSSSLVDMYTKCGRIDVAIGIFKTIKRNHVSVWNTMIGGLAAHGLGSDAVMFFREMESEGLVPDGVTFVALLTACSHSGMVEEARQYFEAMTTKYSITPRIEHYGAMVDTLSRAGLLDEAYDLVKTMNVKPDAVIWRALLSACCRYRQTKLGEITVKEIAFQGSGDYTLLSNIYSSANRWEDSEEVWKERKKKGIRKSKGLSWVELGRSTHEFKAGDRSHHDTDGIYQVLHRLSNKAKSEGYIPLTELVSKDVSEEEREENLTVHSEKLAVAYSILKTMPRTEIMVSKNLQTCSDCHEWMKIVSKADAAPVKIVGQYCLENYRIDLFSIISTVLTGGLTNRGNKTRPISTTILARSTRKESKQSFKTSRHLHSESVESSVEVLKQSDLEHLKSLQCYNIPQKVSGVKTDWPATILVFDIETTGFSRRYERIIEFAVRDLMGGKNSTIQTLINPEREIKNAYVHGISSSMVCKPDIPRFREFIPILLQYVLSRQMADKPVLWVAHNGRSFDVPFLMYEFQRSKIEMPGDWLFVDTLPIARQLIGSDGEKLKSVSLDNLREHYKIPLAGSAHRAMQDVITLCYVLQKLTFELKLTVPQLLERSFRVSDLATSRPGK >KN538743.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538743.1:8551:10529:1 gene:KN538743.1_FG041 transcript:KN538743.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCTVVVFGVLTDLLTPIATAGDISQIQASVGIVGTLFAGPGPFVPLPTALSLDDPAYRCPQATNVSSGVLSTCCVLTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLDNNAKLGRLDNWRLSWEWRRGEFIYSMKGAYPSEKDTTGCIYGAAGQYYQSLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDHCCRNGTILPKSMDETQSKSAFQMQVFKMPPDLNQTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTEFPDPSGLDSTTLAIATWQVVCNITTSKGAKPKCCVTFSAYYNDSVIPCNTCACGCPANQRGPTCSTTAQSMLLPPEALLVPFDNRTQKALAWAELKHYNVPKPMPCGDYCGVSINWHISTDYNKGWSARMTLFNWDNVDLANWFAAIVMDKAYDGFEKAYSFNSTSVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGINVVAGDGFPSKVFFNGDECAMPQRIPMSNSGFRTHLSSVLSLVLVLAASAFVLLQQ >KN538743.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538743.1:142130:142950:-1 gene:KN538743.1_FG044 transcript:KN538743.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAARLAAVLLLCLRAPFCKPEPDGDVPIDPLPGLPSRSLRCFDDGQVYSCCEGAYRLNPSGVIAVPPGEVDSYCGGACVVETEDVLNCVASALDGFRFFNGASVEDARYALRRGCSHTIKRAGSFRAGDFNDLEPQMGDYPDIYGDNSSDGGETNAASPRLLAFLGAAAAVAWLLIFGR >KN538743.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538743.1:42094:47332:-1 gene:KN538743.1_FG045 transcript:KN538743.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCCDVARARAPQVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGDVPYEEAGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQVKAALKNGTDGTKSFSKSKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERETIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDKQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCEVFLEYSNE >KN538743.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538743.1:25319:27793:1 gene:KN538743.1_FG046 transcript:KN538743.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKPEEDEAAQGGAPKSISIRSSGYLSQKQPQGQARPQRLRVRASQAMEEAAAAVDDDEEEDKGGGEVEVEAYRQGAAKTELCNKWERGACPYGARCRCPGFALSRIPFREFGVMIEMRNPFGCLRLHVCMASRPLHTCRLHIRKSAEKRLEEADRQCLDRGSD >KN538743.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538743.1:67000:70211:1 gene:KN538743.1_FG047 transcript:KN538743.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGESGGRRRRRLGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGQKGKADPPVARSRRRKYEDDDDYSEEEDDGVDEYDEDLEEEEEEDDEAPRSKRVKKRGGRNVEGKLSPERSNRRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEEDELEATKVRVKNMGRQKSALNQRRGKKKSSSKVASRKVGSVKARKAASARRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLKVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSGFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSEASFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSIPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >KN538743.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538743.1:115433:115989:-1 gene:KN538743.1_FG048 transcript:KN538743.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGLWEAESEFAEMEALLCGGVAPDGPGIPGLEPLGVAAQADDLLDMDWDGFAADLFHALLLWSVEWRTPLNLFAPFFELN >KN538743.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538743.1:143598:144543:-1 gene:KN538743.1_FG049 transcript:KN538743.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQCPSKHFLLAAAVIVFCMTIPSCKAQDAVEIVAKAVLCFDNHTVINKCLQQIGIDSNSRASTQGAGGAVLDASANASAALCDTPCFEHMLMMTDCMDDILSNFQGYSAGLIRGYRAVFQMSCRVVTAAAAGAAKGNSLVSRTGSAVANGAGGRRLRLRVGNLVWAAILAVTV >KN538743.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538743.1:146126:151929:1 gene:KN538743.1_FG050 transcript:KN538743.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISGSDDDDEHLFAGVRFFLVGFDPLSESQYRSEMVRRSGVDAGRFGSGCTHVIVCGLVYDDPACVAARAEGKKVVTELWVEASLDRGMLADADRVMYWPVRDLKGIAGSESLRICLTGYQRSDREDIMSMVSLMGAQFSKSLNPDLVTHLICYKFEGEKYEAAKKAKLKFNFNIKLVNHRWLEDCLKCWKILPVDDYSKSSWELEIMEAQAKDSEDEEDVGQRSFRNKIVRSTLNPKGSTGTSANPVVNAPIRSPTISSGNIGMVLEKQPSIPGEIRKAEDAVNRIHDVTAQGTPRTRLAMSANTDFSTPSQIPFILSDSRGDAAVRDLNNADQIQGNKHKDVGAKTLDVTSGASGTPSSSKMVVSANHNVHSLNKTNFVEDHGNTDASKADFTTPSREILSANVLDSSNVARGRSQEDYGATCTPDAAAGQSTINENVTDNNIGLKSGSNASLNINNKSSLKPLEKSVLPEQYSANCIGPLQGAEGSMMRADSSISTARKGDKIIAELAGVQVLKGGENIQDENVLDGAYSQKKKCSISPALFKVQNGDTGKETGALNSPSANRLSDTSEPAIWSSVGTNPSEANNVDLGKQQSDSSKSRSRTALKHGNLVDGIKLPEYSASETNAQPPPKPKELLATSLSATVHDVKRCPDFSFQNMDGDYAQDSGNALNQDGSPLMHKTENVLAKVRTSDISLHSSRKSKLVPSSRNGDTEMSDALDIEKNKAAVASNCKPEKVVPDENIKADQLKDFPGTSNNVLRQTGYLKKVASHKGMKASTKRPRSASKVVDEPVVDDGKTKTVVSESEPDKMIAHKHIGETAKDGPDSVNAAEHRTNSSDKVLTDGARSRISRRLQNVHTMKNDSHAASTLESSKMISEENAGIGITPKKLVSNATTEGHQTNSPKMLPNTSMRNTFAKRSRVSDTKMTGESSADKTETVAGKSLFDDLFPSQNIDDHPKKLSSSASADGCGSLSCKNASPARVRNAVAKRKIKALEDKSDSKLGKIGGAIVSAAKAVASRGIEESSCNINKVSSDQNSVKTDGMRDVSGLFSSDTSVIDRSENLNYSKLRCSKRNKSLSLDHEKENMQDNGTLSSKSNCRTGIMKSNFDANSMKHSANMFNEPNRIKGNGPGTLITPEPTCFILSGHRQQRKDYRSILRHLKARVCRDSHHWSYQATHFIAPDPLKRTEKFFAAAAAGK >KN538743.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538743.1:62918:63416:-1 gene:KN538743.1_FG051 transcript:KN538743.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGAEGVDVLDELAEAEGLAAEADAGLERLEAGGGGGRERARGEGEAGGVAGDLEELVDAVAAGEGSGRFVWVWNLDLMKGDVSLGRTAIWVPCVSLANGP >KN538743.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538743.1:28897:31521:1 gene:KN538743.1_FG052 transcript:KN538743.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLEVEEEVVDGDEDELACPEAKRRRTFINNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVQNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPLTLFTGNRVEGENKQPLRIVLTDAVSNQTITSGPLSSMKVELLVLDGDFNADERLEYTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARISRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWEATVEHARECVLDDKLYSYCSGHGIILLFNCIHEVVGVIVGSHCFTLNALTPTQKALVVKLQQDAYKFPNRIVEFKVQSQCTSQSSPTTTIQSQSVQMPASENAQILNLPQGAHCFPSGELPSSSQDCLLNPLQYQPLNEALEDVLQTAGGSHHQHQGGSGELPWIASSFGAGGFVDARDPFDVQFSGSQPCGLLLSSSGARL >KN538743.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538743.1:79559:82785:-1 gene:KN538743.1_FG053 transcript:KN538743.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYSESSLTGVQLIICKAARLGDQARKLPRNSSNLKTPKEFHATDPWGHMMPLALELPLSTAHWAKWCGCLRIDPLEYAVEMESVVAGAPDERAIVAGELTIRVAAVECHPAYATGFILGVPCPRSHRMPLKNLDLHLGTWYTIREVDS >KN538743.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538743.1:32664:36142:-1 gene:KN538743.1_FG054 transcript:KN538743.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGEEGKAAPSLPLATLIGRELRGGGSERPLVRYGHFGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVRVLLPLVAFLVSSRDSVMLMACRVLFFVLGSAVGQVFDGHNGVSAAVFSKEHLLEHVMSAVPQGIGRDDWLQALPRALVAGFVKTDIDFQRKGEASGTTATLVVVDGFTVTVASVGDSRCILDTQGGVISLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHSSTPPSLSPKKNQNKLRSLLFGRRLGRNFPSKANSSPSRCAICQVDQAPFEDLVTDNGGGCCSAPSTPWVGPYLCSDCRKKKDAMEGFWASGVSFTF >KN543332.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543332.1:50:1795:1 gene:KN543332.1_FG001 transcript:KN543332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SQQNPRGSDFGEHLRLSEGGHRGGRPVPGRGYGSGHRGGYGFHRHRRDGWRGHHGGHGPEHIIGFLPPPPHYPPFPDFLPPPSFLTSPYFGACHEPAIRYAPHSEYYGSMIGHAHPGFAFPLPQQNIMPLIPFSGWMNQQGWVPLTLVAGFPRVQALTTDYEIVQRSLLSSTEVEFADVSAFTYTCY >KN541307.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541307.1:18222:22653:1 gene:KN541307.1_FG001 transcript:KN541307.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKASTVIVYVDLDCRRCYRQIRKVLCKLQATADQERIRTISYNDKGQMITISGPFDPLQLCSKIRCMGGKVIKDIQIKPPPLPPPTCTCNKDIEQLKMRMEDLLRVCVGNNNDIEQLKQQVDRLLEQDQQMSCCADLKRAIQQLEIRMAELHEMLSQKRPKCTAEPKGQKCGMVIIPSSDSCSYLTCHGHCGSPYCRCYASCRFSEEDSAAACSLM >KN542000.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542000.1:2349:11885:-1 gene:KN542000.1_FG001 transcript:KN542000.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEFGQRNLRVFPLRRHILDGVFQHGPYNCTMQRRWKKPVDSARTRLEGRTRDHRLDKLMIQLKNLKLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGTFLKKYPHIFDIYVHPVKRNECCKVTPKMAELIAEEDAVIRENEPAIVKRLKKLLMLSKDGALNMHALWLIRTELGLPDDYRCSVLPNHQSDFSLDSPDTLTLVARDENLAVANIEEWREKVYTEKWLAESQTKYAFPINFPTGFQIEKGFREKLGNWQRLPYTKAYEKNELHPIHNVERLEKRIIGILHELLSLTAEKMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTFLERVTRRWKKPVDSARTRLEGRTRDHRLDKLMIQLKNLKLALDLHELISQQRNSFASLQLLSRRRHEVGLNIEIGAFLKKYPHIFDIHVHPVKRNESCKVTPKMAELIAEEDAVIRENEPAIVKRLKKLLMLSKDGALNMHALWLIRTELGLPDDYRCSVLPNHQSDFSLDSPDTLTLVARDENLAVANIEEWREKVYTEKWLAESQTKYAFPINFPTGFQIEKGFREKLGNWQRLPYTKAYEKNELHPIHNVERLEKRIIGILHELLSLTAEKMIPLERLSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTFLERVTQVERRDGSHPEGWLFGCIFMNERDLLNTDFDHLFQAYPNVLFADNLFKVHLRDVCFGYIFKTISKTATTDAPFLSTSLAVLATLFLLALPLSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITSAFTFSIWYSNSADKAIVWSANRGHPVHSRRSEITLRKDGNIVLTDYDGTVMWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILATTKLVSTTGLQVPGHYSFRFSDQSILSLIYDDANVSGVYWPDPDYLYYENNRNLYNSTRIGSLDDYGEFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTISWIAQPQTCMTHGLCGPYGICHYSPTPRCSCPPGYKMRNPGNWTQGCKPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDHLNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFFVLRKEMRSSQVWIAEEGYRVMTSHFRMYSHRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTQNREEFQDELHVISRINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKITDFGLAKLLSRSGSNQNVSRARRTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGRRVFDLIVGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAELVDFRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSVDLSRS >KN542000.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542000.1:15748:16293:-1 gene:KN542000.1_FG002 transcript:KN542000.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRKRGIDKYLVAYCKLNHVYCNRFEQVAELLSVCWLQMTGKIGSKELSPSTTYAAYLVFNLADDSYGLDSLTQEASITVGDNNVSKQIVSLFPPKQESQADTSRTEEEHNAEEDQGEGRSRRYPRERGDGWLEVEMGEFYNDQGEDREVTIVFQEIVELHWKKGLILEGMEIRPKHRP >KN542440.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542440.1:1321:4156:-1 gene:KN542440.1_FG001 transcript:KN542440.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPCEFPTAVLSFRRFLRRRFLGGRHHHRPTSTTATGAAIPPADKLHNQTVMIDLESWLLRSPMSTFPYFMIVAIEAGSFLRGLILLLIYPLLWLLLSHDMRLKAMVMVSFFGLPEKEVVRIGKAVLPKFFLEGMAMEGLEVVRNAKKVVVFSPLFPRVMVEGFLKEYIGVNAVIGREVIAVAGRYVGLLVDHIDMDDGDFVDEVMEETKRGKGDGAVGLAGVGSKMHHLFSRYCKCICSTCNSAILMQETYVVCDADKKEWQPVPREKYPKPLIFHDGRLAFKLTPCAAVAMYTYLPWGIFLAVFRSLAFGLLPYRVSVPLAAFTGMRSRLIAGPSPDATRRNSGTAGGRLYVCNHRTLLDPITVAAVLNKPITAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRVDMFYGTSTKPAAKWLDPFYFMLNSRPEYHVEFLQPVSTAPVDGEAGGHGHSINAANRVQRVLGEALAFELTEQTRKDKYEMLAGNKGNVKGEAKM >AMDW01039353.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039353.1:57:335:1 gene:AMDW01039353.1_FG001 transcript:AMDW01039353.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GNRDADSRFLSSSSLSDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSVCEVLAAEATLLFYERL >KN540205.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540205.1:6441:7665:-1 gene:KN540205.1_FG001 transcript:KN540205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLQLISPPKFTTQERTLKCTIVELEQGINGHREGSLSVRMLIAGVADASTGLLHGNHTGGRVIRAGVRWQHLVLRGQSARVRRNGLVGARGANSQRLLKGYRSWESGSRREDRSTTVLAPWSGRTVVLGESVTA >KN540205.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540205.1:36700:45582:-1 gene:KN540205.1_FG002 transcript:KN540205.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKRSVGPWASEVICGPILLPWAEANPPDATRAAPFRHTILVRFVRLLLLLLRRCRPRLASPASRRSRQRRRQPAGVCCVLRILARRVGRFSVLLGSSGFHQRRLVKFLSVCKICGMENSGVNKSPLPDPCEEVRDVTHPKSLEKLRLTNSVNHTNSKDTSSKVFVQVGRLMVFKAVRLPKATPDCLVAIAAWTSLFYFPGFLMDLGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDYNANASILGQNNKDDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKVLPFGCSQGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSTCKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >KN540205.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540205.1:16839:17501:-1 gene:KN540205.1_FG003 transcript:KN540205.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVVVVKSDRAVFFRCEITGYQDTLLADVYRQFYRECVISGTIDFVWGEATAVFQMCHLLVRRPLEGSHNTITAQGRRHTNDSEPVVARSGFVFQECNVSTKEDLRGVDTYLGRPWHPYSRVIFMSSYLDGNVVNPKGWVAWRINNATDERSTASTVYYAEYNNTGAGANVTQRVNCPGFHLLAPHEVHNFTVDSFIDGGSWLPETNVPYHLDLDLGL >AMDW01036719.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036719.1:320:484:1 gene:AMDW01036719.1_FG001 transcript:AMDW01036719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >AMDW01040635.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040635.1:8:1351:1 gene:AMDW01040635.1_FG001 transcript:AMDW01040635.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRTIKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFDCNGMHTTGLSEEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLSVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSVKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSE >KN545429.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545429.1:281:1361:-1 gene:KN545429.1_FG001 transcript:KN545429.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERISLLAYSPMAMGILSGKYYSSDDGGPPDARMNLFKGRYSEGESRYNLQNPKMKAAVKEYVKISAKHGISPAILAVAFVLRHPLVASAVFGVTEISQLTEVLQATRIHLSEEIVAEINEVHARYPNPCP >KN541817.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541817.1:17156:20982:1 gene:KN541817.1_FG001 transcript:KN541817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAPANPRHRLIAPIHAAAGGGGAAATSPSGLPSLVGIAHLLVSVGIVLASDKFLKQAFAAASVKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIIFGGWFASLMVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASFAVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGIDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTLSILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGNMNTPRRANAKAIFTKSKTNYEVQGNR >AMDW01040041.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040041.1:464:631:1 gene:AMDW01040041.1_FG001 transcript:AMDW01040041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDTGGQYVIRYEKDGEMERVLERQAELIGQYEAEEEAQRQWEKQFNENRSSAK >KN541667.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541667.1:2691:5575:1 gene:KN541667.1_FG001 transcript:KN541667.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAAPLYFGRRRSEERIGKETSWPRELYPEHKYPQPYRDNHALCRELETSQMHGFSLAGATSLPHQHDELEPLAISVHCEWLYRCLIWLSNEALIVCSLNDIESEVKICFLVCVLGVEEEEEVDMGRGKIEIKRIENSTNRQVTFSKRRAGILKKAREIGVLCDAEVGVVIFSSAGKLSDYCTPKTTLSRILEKYQTNSGKILWDEKHKPKELIAIEEALNNGQANLRDKMMDHWRMHKRNEKMLEDEHKMLAFRVRLIRNARSDYYFPAQSSNLSEGSFTERSLKAESSLSSSLCFQHQREVELSGGIRELELGYHHDDRDFAASMPFTFRVQPSHPNLQQEK >KN541667.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541667.1:24083:25050:1 gene:KN541667.1_FG002 transcript:KN541667.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQ >KN541667.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541667.1:6093:8006:-1 gene:KN541667.1_FG003 transcript:KN541667.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALLLLLLLARSMEGVDGAGGGGNATCPLDLSYVTTFPWDADLCAGGAGNMTRCCNTLLSVLAIGLAEQVRATGHFRIPSVGESAACLKDYGAKLSAPPLSLPGASLVQTCFPSPEQFVSSPSFCAGVTTAAEYRAVVGNDSVAALDSACGDLSSTPHCLRCLDAGIAATSRLKAAANISANATTDGAATTRNCFYLTVTYAAGISNVAGPTNPPTAACTLGLALSTPPAAPSKSHDTVIYATAIPVAFLLLASLLAFLVWRRHDKKKKKKIHEISKEGSAERRSHPRPNTGSILYDIAELSKATDAFADRNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDVEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDAEEGKQKFLVYDYMPNGALEDFIFRDGKRPALTWAQRRSIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDGDMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEVLSARRVLDMSAPSGPVLITDWAWAHVKAGQAREVLDGALSTADSPRGGAMERFVLVGILCAHVMVALRPTITEVVKMLEGDMDIPELPDRPLPYGHSAMFSEAGSNFSASPAFSGPFIDNGDMLR >KN542694.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542694.1:316:1648:1 gene:KN542694.1_FG001 transcript:KN542694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLDGCFLLKYMVLDNDCSLLENRMVLSTGPCIQRDIVLLENQIPWLVLDALMSIISPIDVHMFLTAVISDPIYVARERRLLITDRDVIVKSSVPHLLGLARSHLIDGMPTDHLELGFSDDDDDDHDTRLSISAVELSEMGIKLTPSRNAWFDRRHEPQQQKSAPPLRSYLSLLAMLMDKEEDVHELRARHIVDSFFSDQEMLAFFKGLARHLRLGSRYFAAIQKIEAYKHDKRVFIAVHKFFYHNLKIIVRLIWSFFTVQQRASVPTRDRSVCVIRWSKTIGTWWYRHSVREVPSIQSTLKGYNGLIASKSFGFSSSFPQRRHTSLEAPPPTASTRLRPPTYPLRRKELYLQAVDEILADHCLPCRCSAPSPPGQVPLSQRGCVVPKSLFLWPEGGATRLET >KN541622.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541622.1:100:4644:1 gene:KN541622.1_FG001 transcript:KN541622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALRYFPISPDGTDASLIATLYVNRASTMHKLGLLEECLRDCDRAIFVSPNYAKDFNLKNGNQVTLMISPVSVYGFQAWYRRGMVALSMEVTSSGKINIEQELKLILQKHQNVNEVGTSSSNCINADMQHTEQQPKVILECTSTPNKGRGMTSPNDISPASLIHAEDPLAVIIMKSCRDTHCHYCFSEAPADVVFCPSCTIPIYCSNRCQEKAIGQTSCNQNTRLESNNNVVDIAKLSVTSTKSKTPDSKQIAEHRHECGGAHWAAVLPTDIVLAGRIMAQYIEKQLLAGKRSTISGPNLSYYKSGVSWAEDSLSQLVLLICQIKVNSIAIVHMKSMDGGKALTKGFSGFSGDVMCSVEQVRVAQAIYMSGSFFNHSCRPNIHAYFHSRTLILRSTEYIKAGSPIELSYGPQVGEMDLPERQKSLRENYYFSCGCSSCSVLSLSDLVMNSFCCPQSNCLGAVSELIHHRRKENFVHVSIGDSHVCTLSLPFFSHQDVSKFDEDIVKVGKLFFKSDTMLNIDPGFCMSCRSQLDLSSAVAMSDRATSKINRLNELPSPDNVPEVLIAEALQSLEHIEKLRHPYSKALAQAHDTIAEAFAKVGDQEQARKHCEASIKILEKLYHPRHVIIAHELIKLVSIELSMGDGASAAAAFARADAIFSLYYGPDVKRILPYVDALRRTVSERSVDSC >KN542694.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542694.1:7889:9260:-1 gene:KN542694.1_FG002 transcript:KN542694.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEAVAIYIDVPDVYGKLLSVVGKARDCYDDDDDKVRRFSDGEFAAMMLVDGCFLLHLSRGSVLSFDHSILKDVMLLENQIPWLVLDTLMDFLRGVNVDVRNFVAYLGHEFFPKPKEYKVGWRSTILCGCATGQRGNITKLNNGGHSYESYRPAHLLGLLRFSQIWQMPEEEINYAAANTLMTSSSAVELAQIGVKLTASTAAWFGDMRVQKSALFGELLLSPVFLNDVTACWLVNMVALEATTAGGSCNVDDYGPVVSSYLSMLAMLMDREEDVHQLRGHRVVLSTFSNTQTMDFFKHISQYLPFGRRYFAVLEQIESYRRNRPVRTNVLELLNKHGKAIGIILSIVSVLVGIFKALRGR >KN542694.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542694.1:3145:4443:1 gene:KN542694.1_FG003 transcript:KN542694.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAEAVAIPVEEELKRAAEKMDNNFSKIKTKIHRYPSIFRSLISTDDRYFVPRAVAIGPYHHGAPHLKEAEEVKRAAAYYFCGESDHSVEEVYQRILSVAAEARSYYVDDDTVASIGEGDFAAMMFHDGCFLLQYIICSTDDIAPSLESWFNSNDASMERDIFLLENQLPWVVLDALMTFRSVPVGEFISQESTSFDAYTDLEKRSFVLDESYTPSHLLGLLRYYQSGLSMPNGSMALEPPEGITSISQTSSAIELAEMGINLVANKKTWFNDMSISKGALFGKLFMAPLVMDDQNACWLINMMALEICSASTGMDGEDTVCSYVSLLAMLMSREEDVHELRVKRILHGDFSNQRTLVFFKNLVDLIPIPFQHCYLLDNLEAYRRKRWMWIPIHKFIYNNLKTIVTVFSIIGVLVGIFKTLMSIKQHQQ >KN541622.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541622.1:21963:22813:1 gene:KN541622.1_FG002 transcript:KN541622.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSKCNSCLCFNSLIPSAVHAFVSSDDDSQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKDNKMTIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >KN541622.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541622.1:11953:18939:-1 gene:KN541622.1_FG003 transcript:KN541622.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLDEKELERKLKKDQKAREKEEKRLKAKQKEAIRLQAQAASDEPKKSEKKQKKKGAADENPEDFVDPDTPAGQKKLLASQMAKQYNPAAVEKSWYSWWESSQYFVADAASSKPPFVIILPPPNVTGVLHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKRLMRERNLSRHDLGRDKFLLEVLQWKDQHGGTILKQLRTLGASLDWSRECFTMDEKRSNAVTEAFVRLHKEGLIYRDNRIVNWDCSLRTAISDIEVDYCELTEETLLEVPGCSTPVQFGVIINFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKHALHPFNGRKLKIICDAKLVDASFGTGAVKITPAHDLDDFNTGKRHNLEFISIFTDDGNINENGGPQFEGMPRFTARAAIIDALKAKGLYRGTENNKMRLGRCSRTKDIVEPMMKPQWFVDCSTMAKAALDAVKTKRIEIIPTQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEEKDIGSYIDHWIIARNESDAILEAKQRYPRKNYKLDQDPDVLDTWFSSGLFPLSVLGWPDSTADLGSFYPTSVLETGLDILFFWVARMVMMGMLLGGDVPFQKVYLHPIIRDPHGRKMAKCLGNVIDPIDVINGITLEDLGKKLEHGNLDPSELEKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAVRFAMNKLGDEYTPPATIALCSMPPLCKWILSALNKAVGKTVSSMEACKFSEATSSIYSWWQYQLCDVFIEAVKPYFNQSQELESERGACRDTLWICLDTGLRLLHPFMPYITEELWQRLPQPKEARRKDSIMISEYPSVVQEWTNDQIENEMETVLDAVNKLRSLRPHTDIHERRPAFMLCRGVEIAAIIQCYQTQIATLASVSSLKILTEDDPTPPNCATNIVNKDLSVYLQLRGALNTEAEREKLRKKRDEIQKQHGTLSQKMNASGYREKAPQSKQDDDMKKIAALLEELEIIREAVN >KN541622.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541622.1:5752:10175:-1 gene:KN541622.1_FG004 transcript:KN541622.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDPPPPRMCGCVIGVRRGGTVDVSDILDILILHGPDPGTFDRTLLEKDQEIYKKAFPDLSVLGWYSIGTNVHATDMGIHHGDYTDMQIHQTLMDANGIAFYLLLNPAINFSQKDIPVTIYERVTNTTYKRCEHVLLKIVGVERISLDHADFVYPSPCIVYDVLAPPLGKEKNAFRTMLFETPSGFAMFRVSDVLFRYPEDIWSSFTYPRTAHQVVRTIGFIEIGDKFVVWDKDIGPGEDLEQFILKFPITKSLVVPDTQLKFIIEKKLNIGCWFNGRIVPELIWGVNYALDEFVPREKGNLSNECHFPLSKQLHEQLKAYGFSISPELINREFITSFGYLNYLERTSKNISGDLHQKFDRFFCGLEMSERVFLKVVADRLRSMEEVASTPGRREALSNAEFLLTVPKKKYNTLSRLKRMEAEVMEAEVRGSGLGHPWVCVAVFAVALGVMEGLRIAMKRAN >KN541622.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541622.1:24283:25656:-1 gene:KN541622.1_FG005 transcript:KN541622.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEEAGFDGGRVPQEVLLRLPTPPGRAETDDDDGENLSPGAGSGSRRRFFEELRLEADRIVKILLQDGPGFNTRHALDEMRPRVSNALVREVLLKFVVSIDGVNRARYPRLAYKFFLWAEEQEGYRHGTSMYNLILKIFAECGELKAMWRLLEDMTDKGLPVSSRTFHLLVCTSGRAGLRRRLVERFIKSSNFNYRPFRNSFNAILHTLLTIEQYSLIEWVHQKMIAEGHSPDVLTYNVVMRAKYMLGKLDQFHKLLDEMGKNGLAPDLYTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPSVLHFTNLIDGLSRAGNLEACKYFFDEMVKKGCQPDVVCYTVMITGYVAAGEFDEAQKFFDDMLLRGQLPNVYTYNSMICGLCIVGEFDKACSLLKDMELRGCTPNFTVYSTLVSRLRNAGKDSEANNIIQYMTNKGHYLHLLSRFRRYRRC >KN538887.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538887.1:183470:185624:-1 gene:KN538887.1_FG017 transcript:KN538887.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSRAGGAAVAVDGESLRRPEEEGGRKKKGGWITFPFMAVSLLAFGLSSAGAMGNLVVYLVKEYHVPSVDAAQISTIVSGCISVAPVAGAIVADAFFGCFPVVAVAMVFSVLI >KN538887.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538887.1:102992:105119:1 gene:KN538887.1_FG018 transcript:KN538887.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding EIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >KN538887.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538887.1:171302:176082:1 gene:KN538887.1_FG019 transcript:KN538887.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKIMHSFRQAKEVIKALKKRLQHKNSKVQFFALTLLETLMKNCGDHVHSQVVERDILQEMIKIVKKKTDMQLRDKILVLLESWQEAFGGNGGKHPQYYWAYAEMKKLGLEFPRRSPDAAPILTPPITRPTSLESYHQPSYGMPVNSSSRFDEAMSSNGPSLSSLEMERMLGAVELLSEMLKAVNPHDRGAVNDEIITELVKQCRSDQKKIISLVTSLRDEELLGQALDLNDRMQILLGKHDAIASGSPLPDEETDITNESSAETTSTPVATGAPRAAVAAIVPTNVFDEEEEDEDDEFSQLARRSTNAESTPSGVATSLSIAHDDEITSSRSSGTSTVSPPVQSHALALPDPPAPVRTAEEQVMSDLLALTISSNPSPPQTPPAPQAATTMNQGGSQANDHPQPSYSNQGLAAASYNSYVAPWAQPQSQTPGIQLQQHQQPSQSQLPYNSSPYPPPPWASEDTAESNPFIAASLKNQPTSTSPVNVPLNLRPLQQSNSFGVPLRSAGPQSPINGSAKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKVGGSVGGGASSPYKT >KN538887.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538887.1:176759:176965:-1 gene:KN538887.1_FG022 transcript:KN538887.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMIVALGFYLSTALVDAVRRATAWLPDNMNASRLENLYWLLAVLVAINFVYYLACAKLYKYQNFGK >KN539688.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539688.1:60888:63127:-1 gene:KN539688.1_FG001 transcript:KN539688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGRKEEAAGKPEEHSDYRGGGGGASAAMQWQFPATKVGAASSAFMSFRDLQNPKTTQMTIFYDGLVNVFDNVPVEKAQELMLLASRASIPSPPIAARKSDSPISAAAKLTVPEALPARQIVVQKPEASVPLVSGVSNPITIVSQAVTLPKSSSSSNDSTGPKSGGLPLAVTPLSQASPAKPIPVATTNASGIMPRAVPQARKASLARFLEKRKERVSSVAPYPSSKSPLESSDTIGSPSTPSKSSCTDITPSTNNCEDSLCLGQPRNISFSSQEPPSTKLQI >KN539688.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539688.1:260:3054:1 gene:KN539688.1_FG002 transcript:KN539688.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding EDNGGELNLDNEDLNKPIFALLPQLHFEYWCPKIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHNLLLPKKAGSSAKAAAADDE >KN539688.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539688.1:26592:27095:1 gene:KN539688.1_FG003 transcript:KN539688.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILYAHEKYIFTSRRTTYFYAISLVTFGCILSNLFFNLQLYVPRCTGLTADGVVKIVQVLYECKGNLNRLRLHGICRMTKHHLDAISSAMCKGNQQEDDQSLFYSHRIHEVLNTNDERRIDVDVCPMCTNVRLVFDCTRDGCRFVPSDLLNSYLLFASKISLQIC >KN539688.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539688.1:16222:16929:1 gene:KN539688.1_FG004 transcript:KN539688.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKRRAAFKKRLKGLKKKASELSTLCSVDTCLMVYGEGEVQATEVWPSVQEATRVLERFKAMPQLDRYKKTMDLDGFIKERTDKLQEKLHKVRRDADESETKILLIEAFYSRCAGLEDLTIEQLTSLGWMADAQLKIVNNNFQKFREQGLLPESASLSGMNVPPYTIAGYPAVEEAQMQREGWLMNVARGIGSLGYNGFEGSSGSATAEPNGDMVQHLNKGA >KN539688.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539688.1:72018:72809:-1 gene:KN539688.1_FG005 transcript:KN539688.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVENLAPQENVIITAATDIVAAPMPDPPSLRSSTPPDPACHCGPSAGSTAAEVPHAGYAAPPSSLHGGEEAARRRRRGRGEEADGVDPVRAVTTKRQRRGGRRCRPEARGDNEEAEERTRQIPPSRGCPSWSRPSHVRWREEGGQGKPSRHTLHYGGRSSLPATRCDLPTTRSDFPVTRFSLPTARSSFPTAGSSLPTSPCCSPHTGSPVHYARRSRTSGRPGCGYMAGTARRRRRSASTHSTLGDETVERGRGGLLVGEKQK >KN539688.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539688.1:9102:12753:-1 gene:KN539688.1_FG006 transcript:KN539688.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYARRGGDRVSGGGRVQGGGGGGGSGGRGGYVLRGRSGMPPRGPLGLGSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >KN539688.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539688.1:34943:36213:1 gene:KN539688.1_FG007 transcript:KN539688.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLITFLLTNGQCCWRAVPKLAVIEAESYIVSLSEKGWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLPTPPPPPSPEKKHAERKNAAVALDELIAAAAPPSLLWDDDYRLPLPPPPPSPPAMYEELDALQCYDQQRSAFEQEAAASAWNKLELF >KN542264.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542264.1:792:2426:1 gene:KN542264.1_FG001 transcript:KN542264.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADLDSNSSSDNVPRSKDKIATSEDKEDLKSSMSQTYQPSQLGESKGNIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNSNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRFEPSPLMHDFVA >KN542264.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542264.1:11073:16870:-1 gene:KN542264.1_FG002 transcript:KN542264.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding PNKVSEELGTPLVATLHATSQGLAESVSLKCVKLLVEAGADVNFSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHCGALPIQLAASYGRRKDVELLFPLTSPIRAVSNWTVEGILAHAKSKHARSKCSKPKDKQDDHNKKAQFKLRGEKAIKDKHDEQDKKAQLKLQENPAIELDPTDATLYSNRSLCHLQMTDAVAALFDADYCIKSRPEWLKGYYRKGAALMLLKEYEKACDAFLAGLKLDLGSFEC >AMDW01036102.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036102.1:461:598:1 gene:AMDW01036102.1_FG001 transcript:AMDW01036102.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMPAQGGVRHHRTCRMYWCYQCGRAIRIISYPSTDVFCPRCFG >AMDW01065176.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01065176.1:99:508:1 gene:AMDW01065176.1_FG001 transcript:AMDW01065176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLVVSRGGRPQAVTNFHRPYGNKKASLEEVKRIRAAGGWVCFLFQSSTLIF >AMDW01020312.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020312.1:3:278:1 gene:AMDW01020312.1_FG001 transcript:AMDW01020312.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLIIAVIITTGINPTAIPYYHFDGSVHLAAGLATGLCALASGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAG >AMDW01022710.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022710.1:113:262:-1 gene:AMDW01022710.1_FG001 transcript:AMDW01022710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EKDMQKLRDARVQQDMLDREASLLRAKNQAALAEGISWGMSEDAVEDSAE >KN538814.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538814.1:190648:194955:1 gene:KN538814.1_FG037 transcript:KN538814.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASPVSGDAGPVAEAGRLPVDFSARCSHNICNFTNARFHKRLDLYIPKDPKKPSPVVAFVTGGAWIIGNFPQGTISDMVSDASDGISFVCETVGAYGGDPNQIYLMGQSAGAHIAACALLEQAAKESRGEQISWSVTQIKAYFGLSGGYNIQNLVDHFHERGLYRSIFLSIMEGKKSLPHFSPETVAKKLSPETIALLPQIVLLHGTGDYSIPFSASETFADVLKQAGAKAKLLLYEGKTHTDVFLQDPLRGGRDKLVEDVISVIHADDADAREKDALAPIPGRLVSEWQIKLAHRISPF >KN538814.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538814.1:167177:171907:1 gene:KN538814.1_FG038 transcript:KN538814.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMVLVPPPFTFPAAAARTRMAMPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQRYLDDPNTFMDLHLSTPKPMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRKVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPLFQVAASWQASRNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISVEDLKEPSYQMADSNYVIVTQNKEDVEPRFLKKLGKKYMFQPDIDSGNYDNLPTGLKPIDKIL >KN538814.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538814.1:225113:225974:1 gene:KN538814.1_FG040 transcript:KN538814.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRRVQTHAPNSTQVVGFLTLAISGAVLLLLTGLTLTGAVVALIFLGPIALLTSPIWVPVAVALFVLAAAALSACAFVVVAVAAGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYARDWEG >KN538814.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538814.1:231352:233009:1 gene:KN538814.1_FG042 transcript:KN538814.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAAQLTPARIDFSGVDPAAPGAGRAGAEAPAAGDPFHGYLGGLPGLDSYESLAIVDGLKPESVRAFADLVLPRGANDDGFCEIVNGAAKRIADLEGMVRRMILEGLGVAEHHEAQGESFWHLFRMSEYRAPNSDEKVTGYIAHQDTNWLSIVCQNEVNGNEMQTRDGEWVLVEPSPTSLIVNVGNALRAWTNDRLHAPFHRIMVSGHATRYTCMLFSSPNFMIQVPNELVDECHPPRFKTHDNDDFIRFCVSEEGARHEDKLKAFCGL >KN538814.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538814.1:217292:221789:-1 gene:KN538814.1_FG043 transcript:KN538814.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSLFHEIALLPKKKGGKGRTDKEPDFFTEYGEANRYKVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKDYVATRWYRAPELCGSFFSKFTPAIDIWSIGCIFAELLTGKPLFQGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGGDQMSFMYPRSRTYSSGNSDVCLYMLDLDSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPSQYKEIIHPSFYMFAKLFHRIGDLEFETMANRRPQKLRWRVIRGAAEEEMSRMRVVARIGSAAGR >KN538814.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538814.1:203888:206375:-1 gene:KN538814.1_FG044 transcript:KN538814.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEEASGSRWMLIVVTTTRSGGRERRRRNAALAHVEKHYFSGVVHFADAAAAAAASADLLAPVQMAGVACRVLGIAVEKCMGGKALQSVPSVASG >KN538814.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538814.1:181334:185747:1 gene:KN538814.1_FG047 transcript:KN538814.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSESVRSAKSKATTVTRSALSSLRREKREDAKMRGQGPGNRRQGLEVVASCSSLALRCSSALSAVKLLDWGEDGHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLDIQTESGHLAMPSNICCVVVEYLAGGSLKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGCLSCFRQYRGP >KN538814.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538814.1:196237:197052:1 gene:KN538814.1_FG048 transcript:KN538814.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRADAMAGSHRRRVRRRGRLRPHPLLPSPIPAKTDGGEHDNDNRNIADGRGPESSLCGGDGGDRAGAVCELAIADANSTVVWSVLPAAETTGPRTARIRDDSNLVVTDARGRVAWQGFDHPTDTLLPNKNMMLTVWKSPSDPSPSSVVVAMDTSGDPEVFVWNGPNKFTGVPDTVTYKNFSFTFVNSAREVTYSFQVPNASIMSRLVLNSSGAGVGGGLLQQWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVCRV >KN538814.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538814.1:208163:210633:1 gene:KN538814.1_FG049 transcript:KN538814.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISSSDPYAMNLRFVAADTLQKVLVLAALAAWSRFPARFVPPAWPPLDCSITLFSVSTLPNTLVMGIPLLVSMYGPYSGDLMVQIVVLQSIVWYTLLLFLFEFRAARVLIAAQFPDTAASIAAVHVDPDVVSLEGSQAEAHAEVAPDGRLRMVDELAKLEAGAKTEQQTTAAATTKVGGGAGAERARGQQNAPAGVMLRLILTTVWRRLIRNPNTYASLIGLTWSLIAFRFHITMPIIVAKSISILSDAGLGMAMFSLGLFMATQPKIISCGYSVAAASMGVRFFFGPAIMAAASAAVGIRGTLLRIAIVQAALPQGIVPFVFAKEYNLHATILCTFCSC >KN538814.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538814.1:211340:214402:-1 gene:KN538814.1_FG050 transcript:KN538814.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMGILKCPSSLKLSVAVPGHALNPFSDGGSGSRCNNNNTRELGIHLDLDRPYAREKLPQQGGSMEEINGTFSETKEGVGRSTESSDKSGGCLVPQPQVKRYRSVSSVEQVQSSSSAPVTVMLLLVIPFRLIFTNLQSKQKSTEMECAYLKECFNKLKEENHLLQLQVEQLRSTSLQLQLQLQPHNERVATAPTGQQAGTSAAARIFTSPWPGSNPSRRTWFSPNAL >KN538814.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538814.1:172868:178448:1 gene:KN538814.1_FG051 transcript:KN538814.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVLLLLFTYENYVDDAGLAHNLLSRMLQVRSCAYHPKYRVGAFGTFPLLMGNRIREISFFRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPFGAWLVGRKGSLSAGVQYKPLSGNKHLMPYTDWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHLKGTRSYGFGIRVEDLRQPSYQRADPNYVMLTPSEEHLAPGVLREYGKRPMFQAEVDSGNYDHLPTELKPISKIF >KN538814.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538814.1:187700:188212:1 gene:KN538814.1_FG053 transcript:KN538814.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSEAAPAPQQLRGSQLKQLRELFRRFDMNGDGSLTQLELAALLRSLGLRPTGDEVHALLTGMDANGNGSVEFDELAAAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRMMRDADTDGDGVISFKEFAAVMAKSALDFLGVA >KN538814.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538814.1:234832:235983:-1 gene:KN538814.1_FG054 transcript:KN538814.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLPPAHPGRFYSDFGPKPFGGGDQRLSSPNLLTNGGDLFYGCYSPFSPTRVLSPPPPRRAASFSHCSSSSDSVVDDGDGAGAEHRLHLAHLALQYQEMANRFELCLSHLADAADEAAALRQENAELRVANNDLACRIAKFGGRQSSAIALAGDLRRLRLPKEQTVPALPPPPQSPPAALMNPVAVPEKQAVLPKSISIRSTGYQKLNQGGKLRVSKPVNVGSVRPNPPIPPLCCVWLPLLQRTDSSAESKIPILTSTMFLMRQCKQQRVFVGIDGAEGGEHKVGVKNEEPPMGGLEFEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGGVCPYGHRCHFRHSITPADRFSFGH >KN541260.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541260.1:5336:7970:1 gene:KN541260.1_FG001 transcript:KN541260.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDAYGTASSRRAFMAYVSEGLGSLQDWDQVEYALKFPVYATLERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRCLNREPIITWISGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAATMFPSELSEARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKYNTPAHCLLYKWDGYGEIGFSSECVEILFYAVYNTSKQIAEKAVPLQKRNAVDHIAESWWFTVRGMLTEAEWTMDKYVPTMVEYMSAALDSIALRPIITSAAFFVGPELSEEVVRSEEYIHLINLVSTIGRLLNDMQTYDKEIKMWKVNSVLLHALPHGGADDSTEASMEEARREIRRVLQGSRCDLLRLVTRDGGVVPPPCRKLFWFISKVLHFVYMEKDGYFTADGMMASANAVILDPLQVTFLPSSLGTL >AMDW01022902.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022902.1:44:217:1 gene:AMDW01022902.1_FG001 transcript:AMDW01022902.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGIRISAKLRESVRDAMLQDARIEEAWALEAAMKQPDSGKLAELVQKLLEEWED >KN541260.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541260.1:14375:20179:-1 gene:KN541260.1_FG002 transcript:KN541260.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYLSGMMLHCKAQLQGQETRERRRQLDDDEHARSPQGGGDDVAASITSELPYMIESIKSMLRAARNSLGETTVSAYDTAWIALVNRLDGGGERSPQFPEAIDWIARNQLPDGSWGDAGMLVVQDRLINTLGCVVALATWGVHEEQRARGLAYIQDNLWKLGEDDEEWMMVGFEITFPVLLEKAKNLGLDINYDDPALQDIYAKRQLKLAKIPREALHARPTTLLHSLEGMEDLDWERLLQFKCPAGSLHSSPAASAYALSETGDKELLEYLETAINNFDGGAPCTYPVDNFDRLWSVDRLRRLGISRYFTSEIEEYLEYAYRHLSPDGMSYGGLCPVKDIDDTAMAFRLLRLHGYNVSSSVFKHFEKDGEYFCFAGQSSQSLTAMYNSYRASQIAFPGDDDGLEQLRAYCRAFLEERRATGNLRDKWVIANGLPSEVEYALDFPWKASLPRVETRVYLEQYGASEDAWIGKGLYRMTLVNNDLYLEAAKADFTNFQRLSRLEWLSLKRWYIRNNLQAHGVTEQSVLRAYFLAAANIFEPNRAAERLGWARTAILAEAIASHLRQYSANGAADGMTERLISGLASHDWDWRESKDSAERSLLYALDELIDLHAFGNASDSLREAWKQWLMSWTNESQGSTGGDTALLLVRTIEICSGRHGSAQQSLKNSADYARLEQIASSMCSKLATKILAQNGGSMDNVEGIDQEVDVEMKELIQRVYGSSSNDVSSVTRQTFLDVVKSFCYVAHCSPETIDGHISKVLFEDVN >AMDW01036044.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036044.1:68:397:-1 gene:AMDW01036044.1_FG001 transcript:AMDW01036044.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPS >AMDW01034141.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034141.1:78:206:-1 gene:AMDW01034141.1_FG001 transcript:AMDW01034141.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVAAAAEERDLDEVAARMVIEVNDYPGSGANNRHDPKSPGRA >KN544507.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544507.1:936:1811:1 gene:KN544507.1_FG001 transcript:KN544507.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAAPYAHWAEILILGFPAFAGPAIVPCHMTTFWLWFVLRHLEAIHIHSGFKLPFDPTKYIPLYGGVEYHDYHHFVGGHSQSNFSSVFTFCDYIYGTDRGYRYHKASFSKMRIFVRA >AMDW01016767.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016767.1:30:197:1 gene:AMDW01016767.1_FG001 transcript:AMDW01016767.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKEQWMKKVRLASLSVSDSGDPAGRDRLRPTSGQVFDRENTPRSNRDTVLSPYR >AMDW01010049.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010049.1:2:185:1 gene:AMDW01010049.1_FG001 transcript:AMDW01010049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGQGGYGVVYRATVVGEDGRSTDVAVKQFSGANTKGKEDFLAELRIINCLRHRNLVKIV >KN543532.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543532.1:1987:3040:-1 gene:KN543532.1_FG001 transcript:KN543532.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHRTLADYGVRHDSVVFLSLRLATDAYQKEMHNVRLMQPETATTKQEMHQQQLHVHVADDDEEKAIKRKPHDAKFLDLLLRHTGGGGARNVGELTGEDWSSIRAELNAATGSGFPVEELQRRLGEFRREFEAASRIKNHPRFSYDPRRRVVVAKQADWKNYILVRRHCCILPNPNVFFSENPEAAAYEGRSPRHLGRLRAIFSGDGGGGGEKCRETKARSCLRKLLRNFRLRFKL >KN544643.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544643.1:905:2105:1 gene:KN544643.1_FG001 transcript:KN544643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALKTLRRHGRGGLVFTSWSSRKYRSDGRRWVSYERDTKIVNAGSFTIEREDHTIGNILRIFECSVPMRFCRQLHRDPNVLFAGYKLPHPLQYKILVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKIRYEERPKQGY >AMDW01073829.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01073829.1:121:413:1 gene:AMDW01073829.1_FG001 transcript:AMDW01073829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AIGNALHISPTRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLEDNLK >KN542522.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542522.1:310:4927:-1 gene:KN542522.1_FG001 transcript:KN542522.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIFLLPAGYSLLVLVLLPMPTGGALAAPTLAGCNSSCGNLTFAYPFGIGQGCFCNPDFELICLLGDNTQPPSLFLQGSSLQVVEDIVVRSSDDYNVHSFGVNMSDAIPVVLGVDVYNYTWTSPEGFLLIDTTVKVVGCDMDVYYENNCDSGFAGNPYISQGCSRDKGYNPFQQKANCSRLCGHVSVPYPFGLEEGCSARELFHLNCTDANSTLRFDDYNQVTDIKVEEGVVMIKHDARGGADQEFIAIDGEPNLYDGSGDYPISVGWAVANLTCPEAKQNASGYACVSTNSNCVHVNSTSGYVGYRCNCSAGFQGNPYIQNGCTDIDECQVPGICIGACQNTMGSFVCRICPEKTEYDIGRKQCTAAYFRHYPVLGTQIATA >KN542522.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542522.1:9465:9794:-1 gene:KN542522.1_FG002 transcript:KN542522.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLAPLISSQSKELLLWPDSLLPLLLKDKFEVEGRASFVHGDDATSIAVTPLRSGLPVMPMYGHVQRHGRHDSVSLTVDEVRIEVATDDFAVWKAHRNFQEFLALP >AMDW01040387.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040387.1:97:1257:1 gene:AMDW01040387.1_FG001 transcript:AMDW01040387.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLREAFDRVIEKRASSSAKAQEVIDQIVSEVEQAITKMQMMNTDSMGSADHSSVLAELKAKLNELAPLNQLEGCQKELNVALSKYLKLLEKSFSPDISKAYRNVDFEASTINSIIANHFYRQGLFDLGDSFVRECGESDGAHLKLQFQEMYSILEAMQVRNLQPALSWAAKNHDQLLQNGSMLELKLHQLQFVEILTKGSRDEALKYARTHLVPFASLHKAEIQKLMACLLWADRLDQSPYAEFMSSTHWEKLAEELTHQFCSLLGQSSESPLGVAVSAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >AMDW01038215.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038215.1:309:764:-1 gene:AMDW01038215.1_FG001 transcript:AMDW01038215.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVELVRRAVPLALSPDDDSRKEELKKLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLYLSRHRKLCAKNNFDVEKYLELQKHCKCPLEGHYPHGPKFHDL >AMDW01012755.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012755.1:18:164:-1 gene:AMDW01012755.1_FG001 transcript:AMDW01012755.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGP >AMDW01017791.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01017791.1:11:265:1 gene:AMDW01017791.1_FG001 transcript:AMDW01017791.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKANIDELHPTLVGLSIRTDDGGGELVVFEFNLRGFDINNPANLRDPASIAHLPGRGIDFGRLPYTGVEPHRLRSLLLGSGLLQ >KN546152.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546152.1:119:799:1 gene:KN546152.1_FG001 transcript:KN546152.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPATDTCVYKRVLRKPAGEPKDILKDAATDPTLPRTRSIKCYNCGHPEAAFFQVEGLTARFMALNLGRPCVHAHTRCYLKDWNFSK >AMDW01038816.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038816.1:9:767:-1 gene:AMDW01038816.1_FG001 transcript:AMDW01038816.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPLIIVLNCLYWVRAPHTPEGLSYHVHVDDKSETKGTPSEQNDMKKNGTIASVLIATVAFAAAFTLPGGLVADDHPHPGTATLARRFAFRAFVLSDTMAFVTSIIATCFLIYAGAIEILAGHRRWYGLIASGLVPLGAQFMIAAFAFGFHLMLGPANRGLVIFVYLVSSASVLFCFPGIWMPLHLGIGKAIWQRAGWRGLTNMRQQPSSLRSIFRRFTYSFLFTNLRRPFFAVLIPATFVVAIVLDVALPNY >KN546490.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN546490.1:73:817:-1 gene:KN546490.1_FG001 transcript:KN546490.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRNPRTGAEWSLTSWRAPDDPATGGCRRVMDTRGLADCVSWCGAGKKYRTGPWNGQWFSGVPEMASCMAGFSPVSPSRWSMRDTSGGCRRNAPLECGNGSTTDGFVPVRGVKLPDTDNATVDTGATLDECRARCFANCSCVAYAAADIRGGGGGGSGCVMWTGDVIDV >AMDW01025496.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025496.1:98:301:-1 gene:AMDW01025496.1_FG001 transcript:AMDW01025496.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAAPIGFNLTFPAMVNLAIKMGLEFPAREISIDQILHLRDMELKRLVLYPKKEQLL >AMDW01033054.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033054.1:40:478:1 gene:AMDW01033054.1_FG001 transcript:AMDW01033054.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DALEPYISKETVEQHWGVHQNIHIERLNGMIGGSEWEGMSLGQMMLSSFNEGREAPHPPFFHAAQIWNHDFYWRSMQPGGGGKPPERLLKFINRDFGSYDGMIRQFMDAASTQFGSGWVWLC >KN543664.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543664.1:1344:5835:1 gene:KN543664.1_FG001 transcript:KN543664.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGAMTALIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVQVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHEFKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVDTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQGYAWTETWCAQEIINKIRDEIKEKRYLIVIDDIWEKSAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTIYKLQPLSNDNSKKLFYKRIFYCEDGCPSELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGRCQQPTYHPNRARRLCLQNSIYDHTIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTRVVLVVVFN >AMDW01039466.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039466.1:256:987:1 gene:AMDW01039466.1_FG001 transcript:AMDW01039466.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITCLLKRSLTSKQTLTGYYFDVPNPNDEANLYVLPESLYSEQEVEVDHKLNNMKIKVLQKKNNTSLLYAEVGEDFVDLLFGLLSIPLGSILKTYGKWSSNGCVDNIYMSIDGSAKGCMNPERQMLLVSPNVASFFGCSATNMLIQLGEAAPKQRNISGCFKCFKIAGFSCYGHCSDQIWNTGKKAYVYKNCLGTTKTCKLCEINPKVPSGGSHKGEGYVKPGVQKFMVTDDLHILPLSLTSTLQ >KN538880.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538880.1:105321:109700:1 gene:KN538880.1_FG013 transcript:KN538880.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding CALQGDMNADFQQRIAFAFFKQYCDYATRFHSKYKNSAGGPSNADNQDFEDDGGFLGRTRLGRLIQAAARELLEKLNSARNKSPTKIFLVLLGFYTANALATVLGQTGDWDVFVAAIVVATIEGIGMLMYRKPASRPPGRFWSMITMVNYWKAGNISLMANSLNQCEKREPDHDRPLSKAGRAAAISVSNKLQQMGWIPELVLCSDATRTKETLKILQDHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICQYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVELNLIPFYYLMGYILRAVIEPPLKCILLSLHHVTVVLKGNLEIQL >KN538880.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538880.1:197833:206395:1 gene:KN538880.1_FG014 transcript:KN538880.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRCFIISEYVFLDFNEPNQDSVYPHYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVVLELDLSLLLYPFPKFYMETLFAKHERLYMLSIDQYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFGEQPQNQRRGFYDQ >KN543242.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543242.1:344:940:-1 gene:KN543242.1_FG001 transcript:KN543242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRSEGGEASGGAATSGGARGGALRGGGSVRPLLLRNRPAGRRDASSFCYDELLPYADSFGGNQVKVTEVAATILSNNLSAYLDELRKVQDGAGKGDQNLMACVDGFTDATNVNITKEALDSLGRLAAAGDGKRSKEDPENVQKWIKGVDKHYVGGNAAGSDCETGYLYTYNDDLPAQETLGNCLYTASSLINHIKF >AMDW01052253.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01052253.1:53:205:1 gene:AMDW01052253.1_FG001 transcript:AMDW01052253.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGTTFTIPHNKRWHTVAGKGLCAVMWFVRFLPLPNPLTHSISPKI >KN542872.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542872.1:1212:3349:-1 gene:KN542872.1_FG001 transcript:KN542872.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDAISCSKGIAAPPTEEATKERVAVVGKNGGVEHGGDAAAMNGKQCGEAPRCRKESNEEEEEDDEEKAPKAIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLAILSPGRPDIFLPLPVEPNSKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNAVWKTGIKVDSHKEMLGTFSPQPEPYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPALS >KN542872.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542872.1:8411:9919:-1 gene:KN542872.1_FG002 transcript:KN542872.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANQPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >KN540533.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540533.1:8407:25090:-1 gene:KN540533.1_FG001 transcript:KN540533.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSSSRRRRWVGSARGRCAEESASAAGRRRSRSRPVGVIWSGVDGGGVGGELDPRILITVGAWEVVVFVGFGVEIVVVTGFIHAAFTLGYEAGIHKGGIDGNLVPPGALITIVQKGLQYIELEANTDENDEDVAKDFALLEPLEIITKNVEELQQIVKKRKREKTQSDRDKDKGKEKERMEEHERCPGGERERERHDQEKELEKEKDRAERDRDQDKEKEKLQTERIDKVKAEEDSLAGGVVWSFEWRRGWIPWEARRASKSAWSQSSTGTRKWMQYCPAGETSLTLPCQGVLNLIATGPTPMDVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSITQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWNSDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGDQRPVKSFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPGGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCLMDFRM >AMDW01080180.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01080180.1:216:467:-1 gene:AMDW01080180.1_FG001 transcript:AMDW01080180.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSTSSLLLVLVILIAAALISHSANAWPASSGSGGGLSAGFYDETCPSAQDVVRRVIQDARVGDPRIPASLIRLHFHDCF >KN540868.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540868.1:13782:14752:1 gene:KN540868.1_FG001 transcript:KN540868.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHCLIFLFLCLPAVLVKANILGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGTSANENVVGRLQGFHLQAGQTTTSWYMAHTMVFSDGSFAGSTIEVSGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVSHTPEAVV >KN540533.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540533.1:27241:28799:1 gene:KN540533.1_FG002 transcript:KN540533.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLCQHRQQDQADYDKGNLDAGMTQTDFIIMESAEIPGFGAQDEQPHQPQDHQLSLSCFMMVAANSNMLAAGAI >KN540868.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540868.1:23811:28554:-1 gene:KN540868.1_FG002 transcript:KN540868.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIACRPVGSERTGLSSSVPPQGGSGASKRHADTRHEVTNLTGSKGFLTPRNNNQQPYNGQGAHSLTYGFKTFATKARACPNWSYKP >KN540868.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540868.1:21240:21840:-1 gene:KN540868.1_FG003 transcript:KN540868.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGMCGCGKQAAEATDGLHLHLLYQEHAAPHSFATLKNLGEAERDGNNSDGVGLMNVEHLVKLWEKIHN >KN540614.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540614.1:586:3404:-1 gene:KN540614.1_FG001 transcript:KN540614.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLLPTFLRKKLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKNSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNIAEPIMEVYKETTDGSSIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTLINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >KN540614.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540614.1:27839:28204:1 gene:KN540614.1_FG002 transcript:KN540614.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFVAQARWPPLPIDELYKDYSSSWATDEIPVSMYASFLIRYSVTNIAHMPFCLPAVPLEAGLSYLEPMHWIAIATFGSNDKPLDLKLQVESSSIAGVEDACVPPEDYLRSIDIPAPLH >KN540614.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540614.1:19428:22989:-1 gene:KN540614.1_FG003 transcript:KN540614.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERALSVKESLEKNPVFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFGTDAVVIVSILFLILLFSVQRFGTGKVGFMFAPILALWFLNLGTIGIYNLAKYDISVVRAFNPVYIYLFFQTNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAYLMKYPFAVERIFYDSVPEILFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPVIFGSVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGIPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPFEERFLFRRIGQKDYHMFRCVARYGYKDVRKEEHGFFEQLLVETLEKFLRKESQEMALEASAMAVERDDVSVVSDIPSSPVEAGDLHVPLLSDQRLGDGTQTFITEGNTPVLPTSSISEEDPSLEYELESLREAIASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >AMDW01040447.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040447.1:9:1484:-1 gene:AMDW01040447.1_FG001 transcript:AMDW01040447.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLEEREANGLTMQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRICTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPVIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGKLGLCSNPDEISQNSSNNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVSSHDVHSGAVGVDGIESGVQNEPDDVSIQPERLSILQKLKELFVLRQQAVLSDQNLAYSNSDQYAPEETQAN >KN539525.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539525.1:86228:87841:1 gene:KN539525.1_FG001 transcript:KN539525.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAAALLPLPPSCSSSSDSDDGKHLLSPPAPEANTPPTQQQKRRRLERDYNVAMKALALAGDVDEVVAVFAELKRTAADGGDGGAPPNVLCYNTLVNALAEAGREGEALKAFDEMLASGVAPNASSQNILIKMHARRSEFDLAWELIHKSGVEPDVGTYSTLIAGLCRAGKVVEAWGVLDWMLEKNCRPMVQTYTPIVQAYCRDGRIVEAKLLMAEMERLGCLPNVVTYNVLIRALCDDDKFDEVEQVLMESSTKDWKPSTVTYNIYMNGLCKKGKAKEALELLDVMLGEGLEPTAYTWSILLNCLCHSSRLLDAIYLLERSTELKWYAGVVAYNTVMSSLCEMGKWRGIMKLLTDMIKKGIEPNTRTFNILIRSLCVGGKSSLAKSLIHSQGFAANVVTYNILLHWFYYHGKLTEANRLISVMEEKNIAPDEVTYTIIIDGLCRERKFDAATACFLKSLTSGLSMDVLTVLFNRLVYADKIWEINRIFDGKDFVPDHHVFDLTIRTFCRAGYCHHRTFYKLNLILDAMLKRK >KN539525.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539525.1:23273:31029:1 gene:KN539525.1_FG002 transcript:KN539525.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLAFLIGELVDALGAADRAHVVHVVSKISLRFTYVAIGSGIAGFLQVSCWMVTGERQAARIRGLYLEAILRQDITFFDLETSTGEVTERMSSDTVLIQDAIGEKVAMSIAISKLANRSQLAYAEAGKLVEQTIGSIRTVVSFTGERRATDKYNEFLKISYRSAVHQGAAMGLGIGSVMFIVFCSYGLAVWYGAKLIIEKGYTGGYIINVLMAIMSGAMALGQSSPCLNAFASGQIAAYKMFATINREPEIDASDRSGLVLENFVGDVEFKDVHFSYPARPEQLIFTGFSISIPSGMTMALVGESGSGKSTVISLVERFYDPQSGEVLLDGVNMKLLNLSRIRQKIGLVSQEPILFTTTIRENIEYGKKDASEEDIRRAIVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHAELIKDSNGAYYQLLQLQESGKNVLRRLLHLHKPETAILLLGCIAASANGAILPVFGLLLSSAINAFYEPPHKLRKDSVFWAEIYVILGVVSIFIIPVQHTLFNMAGGKLIERIRALSFSRVVYQDIGWFDDPLNSSGAIGARLSADAASVKSIAGDVLSLIVQSISTALVGIVIAMIANWKLAFIVLCFVPCVFAQSYAQSRLMRGFGADAKEMYEQASTIASDAISNIRTVTSFCVGEKIIESYRNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMTVGVSQSSTLARDFSKVQDAAASIFRIIDRKSKIDASSDDGMAPEKIEGNIEFQHVSFKYPARTDVQIFTNLCLRIPSGKTVALVGESGSGKSTVVALIERFYDPDSGAIFLDGMDLKTLKLTWLRQQIGLVGQEPVLFNGTIRANIAYGKQDQVSEEEIVAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHGRLLRLPGGAYASLVALQSSSS >KN539525.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539525.1:71538:75536:-1 gene:KN539525.1_FG003 transcript:KN539525.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAVLLFKTPLRKLAVMGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISGRAGGDPESGGGGGGASLSPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGLKKNVESVTKHNKMLEEAKHGRSEETKKYQEEIAALNEDMKKLKLQVQEKTEEVHVAEDKALAIRKQSESLLLEYDRLLEDNQHLREQLQSIDLRLSSSK >KN539525.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539525.1:66126:68765:-1 gene:KN539525.1_FG004 transcript:KN539525.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >KN539525.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539525.1:90855:91022:1 gene:KN539525.1_FG005 transcript:KN539525.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFTVEDHALGNTKAHLSGSGLEMPIPPVKRNRMRRNRSRKRSRMKVARRTRSK >KN539525.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539525.1:43580:44735:-1 gene:KN539525.1_FG006 transcript:KN539525.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAPWPRFADPVAALHHVAHSVDVPESPAWFSAEGKDFLARCLIRDPAKRWTAEQLLEHPFVASAASDSTSKAVQIEQRVSPKSILDQCLWESTSTDSDTMVALAPADRLRALSAGASVAPDWTWSVDDWIAVCGGRADDHDTTPSPQPDTTTSFFRGDEASSDLVFPGGGETERRRCGCGHCAIDGEKQRDYGS >KN539525.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539525.1:79142:84984:-1 gene:KN539525.1_FG007 transcript:KN539525.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSVAQAPPPLRGLARRGVARCCSAAPPSGGTSTSSSKVPVTPLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKLSVDETISKLTKAIKWRQDFQVSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSLCYWSTQLQKQDPVENEKLCAFLVEKTLSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLEKVVYAGRRRGEVAGAEDVGGVGAGGGCGGPYGLLGGDADADVLEIEEEAAEKVVKCGAEAAAGGTPARPIWQRKVLMGVKCQLPRVSGMILYDERGRPVCSGVRDRARDKEKHAAAIMVLRDML >KN538687.1_FGP187 pep scaffold:O_longistaminata_v1.0:KN538687.1:378130:381793:1 gene:KN538687.1_FG187 transcript:KN538687.1_FGT187 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKALFRAKLREREAKEKQERRIDPSLVRYNEFDQPICRICNVTLKSEALWPAHQVSRKHHEAKAAAAASAKAPSGAGSRGNKANHEQPAESQKPKSSTLPANFFENQGMKRHSDGTGSEGRSVRREVADVQQKTKEASKTKPSVNFEKIPKKESQANTNVKGVLPGNFFDYNDEDEDPAPTEANSAPGNPPISNRMQVKGVPDGFFDGNKNSNGMQPSEPSQSSKAVKSSETSEVKGSLPEGFFDNKDADLRARGIQPPKIDIKSYSSCKNCCMSLWVKELYSVCIDCDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQEEYRQRVDMLKKQLTESKAARTAKANSKPVGMDMLSSSDSSSDEEDDSTDFAVDWRAQHLK >KN538687.1_FGP191 pep scaffold:O_longistaminata_v1.0:KN538687.1:426175:427077:-1 gene:KN538687.1_FG191 transcript:KN538687.1_FGT191 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDDDEFSDEEDQSHATGSKYMGKKLWKDEDAGDDFAAVKDSWDDDDDDVVVKPGKATAATAASTKPPATKGKKSQANAKAKAKAEATPSETSTSNAAAEIAQKQSDDDEPIEKFVPKSEKEFAEYAERIAKDLLRPYEKSYHYIGLMKAMNKLAVASLTSTSVKEIVSSMTTVANEKLKAEKAADAGKKKPGQKKKRLHVNKAEGQKFRDADDDDDDDCLSS >KN538687.1_FGP193 pep scaffold:O_longistaminata_v1.0:KN538687.1:296705:297565:1 gene:KN538687.1_FG193 transcript:KN538687.1_FGT193 gene_biotype:protein_coding transcript_biotype:protein_coding IYMDNSDTSRQQDLNWEQRFKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDADMNPKISDFGLAKLFNMEASVANTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLLLEIVTGRRNTCVHDSEDLLAFVIPAQIPSKI >KN538687.1_FGP194 pep scaffold:O_longistaminata_v1.0:KN538687.1:428304:433558:-1 gene:KN538687.1_FG194 transcript:KN538687.1_FGT194 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYAGTEGELKGCLNDVARMRRCLVDRFGFDEADIRVLADADPSTPQPTGANIRLELERLVGDARPGDTLFFHYSGHGLQLPVETGGDGDDDDTGYDECIVPCDMNLIKDQDFTELVQKVPDGCLFTMVSDSCHSGGLIDKTKEQIGSSTKQSKIQQRERELRRPAPAPGPGTRSRDGVGEDQPPRAQAEMLSAGASAARASIKNRSLPLSTFVEMLRERTGKDDVGVGSIRTTLFHHFGDDASPKIRRLVNAMLGRRHGGATASEEHPDKAKPERVDGEGEAAAVAKQGAPEARAPPRNGVLISGCQTDETSADATTPEGVSYGALSDAIQSVLAEERRGKVTNMELVRRARELLAKQGPQARVCRGCSRRCPWRGGAAVRVEDGDMEAEKRRSPLVGARGMGADVGGDNESVGDLTAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKAEDVIGPDVEKLVSELPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTQTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVAV >KN538687.1_FGP195 pep scaffold:O_longistaminata_v1.0:KN538687.1:400788:406979:1 gene:KN538687.1_FG195 transcript:KN538687.1_FGT195 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRSWSIESYLNELLLQPIIGLQLTRIELDKSTGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCIGPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQKLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSLVVGFATKGWPMGIYDGFGIILSILLVVLVTATTDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFITGDCLVIDESSLSGESEPVNISEERPFLHAGSKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWIGDVKFVGDKKNSELKSTISERVMEILIQGIFVNTASEVVKGDDGKNTILGSATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGSIRTFCKGASEIILEQCNTILNTDGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIVGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLGTLMVIGKKLLSIEGPQSDKTINTLIFNSFVFCQVFNEINCREMEKINVLQATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFNKTNTKPHGYELIPEGPEIL >KN538687.1_FGP196 pep scaffold:O_longistaminata_v1.0:KN538687.1:425262:425654:1 gene:KN538687.1_FG196 transcript:KN538687.1_FGT196 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKNFGNGQQTQEKSTMTEDAPSRHQETLQQFADLDTVSPVNSHESGDVNMEAAISAEDVLRAGGFGAKDDIGSFLPTAVDSTDFEASLRDARDFEGESEKPSHPGLGYKANETDSGGKPSDVQQQQQQ >KN538687.1_FGP199 pep scaffold:O_longistaminata_v1.0:KN538687.1:349687:350580:1 gene:KN538687.1_FG199 transcript:KN538687.1_FGT199 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMAFCSSLAVALVRLLRVVEPPSSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWVFVELPRLRAVGTFRPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKISQADEEAGSLLQERDGHSDRKSDNQA >KN538687.1_FGP200 pep scaffold:O_longistaminata_v1.0:KN538687.1:387120:387794:-1 gene:KN538687.1_FG200 transcript:KN538687.1_FGT200 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPSQLLFSHPEVPDSFDDFLNNITTCTHTHTCNPPGPSATTHTHTCLHTHTQVFASGSGEDDIKEDLTKPRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLKRLQGHAALEAEVIRLRSILLDVRGKIDMEIGTFPYQKPCSVGSVACTDPGMCFNGNSEIGGVWEECSRPAGADRMIDKDGSMSQEIDIPGPVHSISMDVVGSLVTSASLSE >KN538687.1_FGP201 pep scaffold:O_longistaminata_v1.0:KN538687.1:420258:423512:1 gene:KN538687.1_FG201 transcript:KN538687.1_FGT201 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPWILACGFLLCSSSFLGAEGAIGVNYGMLGNNLPSPAQVISMYKAKNINYVRLFHPDTAVLAALRNSGIGVVLGTYNEDLARLASDPSFAASWVSSYVQPFAGAVSFRYINAGNEVIPGDPAANVLPAMRNLDAALKAAGISGIPVTTAVATSVLGVSYPPSQGAFSEAASPYMAPIVAYLASRGAPLLVNVYPYFAYAADAERVQLGYALLSASQSAPVTDGGVTYTNMFDAIVDAAHAAVEKATGGQAVELVVSETGWPSGGGGVGATVENAAAYNNNLIRHVSGGAGTPRRPGEPNQKPEGVEQHFGLFQPDMTEVYHVDFAASS >KN538687.1_FGP202 pep scaffold:O_longistaminata_v1.0:KN538687.1:444260:453144:1 gene:KN538687.1_FG202 transcript:KN538687.1_FGT202 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSAVVGPNGSGKSNVIDAMLFVFGKRAKQLSGRFKLTIDLFYLKKSLYFFIHSASARCQSWHSSMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGYNRHPYGVIFYGFALHNFQVGHFQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLEVTSAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPRLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKIMKEKHDGARAELTAAQNQMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPLEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQASELQQKIENAGGQVLKDQKLKVANIQSILCVNRQQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQLNEACDMRKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVSYKTQN >KN538687.1_FGP203 pep scaffold:O_longistaminata_v1.0:KN538687.1:410431:412845:1 gene:KN538687.1_FG203 transcript:KN538687.1_FGT203 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAYGHLRDKLQVRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQSSLSVTHPEVKKLVAPVELCPILKTLYKILIKRLKFFAHFTCRELATDSILQAIRDESMYDPRERIEMSQRQCLYRPSLLGLPKVDITQA >KN538687.1_FGP204 pep scaffold:O_longistaminata_v1.0:KN538687.1:344233:347769:1 gene:KN538687.1_FG204 transcript:KN538687.1_FGT204 gene_biotype:protein_coding transcript_biotype:protein_coding MTANHSGVEEEQDGGKTFWSVAVRGGGSNDINGRPIPSFANVGWSPVSCRSIDPSSSSLLDLAFISCGGGIMEYTWVGVVRPSPRCARTPRPSSHFFATVAALATGTPMPPCRELGGKVLQAGSHDSGEDSSIASDRRALRRQYDHAVMQPLKPVRSHLGRSPDKERVVLTAYTCLVLDRMKESFKEVVKES >KN538687.1_FGP206 pep scaffold:O_longistaminata_v1.0:KN538687.1:366026:373956:1 gene:KN538687.1_FG206 transcript:KN538687.1_FGT206 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTVLSDEEDEIEEEEEEENPRPSRRGRDNMDRDDDDDEEDEEDEGQDEYEKDGFIVDDADEEEEEEDERESDDERRKKKRKKKKKRESEGFMLDEDDYELLQDNNITGIQRPKPGNKFKRLKKAGRESEMDERSGFSDDDGSGKRRTAEERVQYSLFGDNQDASIEEDIVEEDQQADEDEDGDPEDEMAGFIVDEDEIDANGQVVKRKKGKVRPRRPAAGVSSSALQEAHDIFGDVDELLALRKQELERDAANSGELRGNRLEDEFEPFILAEKYMTPKDEQIRENDVPERIQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFNNEHVNKDIDQKDIASVLTMLHVNKFEIPFIAMYRKENCPSLLKDLDADEQTNEEHSDEEDQRKMMWHKMLWAVQTLDKKWLLLQKRKVALEMYYDKRFDDENRRIDDVTRQALNRQLYSSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEVVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTCPTAEGNLIIDPYHQLSGVKWLRDKPLNKFVDAQWLLIQKAEEEKLLKVTIKLPEDAKKELMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKNWLNMEYGKQLWNKVSVAPWKKKDADKKDSDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISIRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMAATLCGPGKEILSWKLHPLEQFLTPDEKYEVVEQIMVDATNQIGFDINLAASHEWHFSTLQFVAGLGPRKASALQKELLREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGGAAASAQIIDLLEDTRIHPESYALAKTLAKDVFAEEAPHEANEMDDDEQEMAIEHVREKPRYLKSLDIREYMKSMPEEFHNKEQTLKDIKWELLCGFPDWRTPYAEPTPDEEFWMLSGETEDTISDGRIVQVTVRSIQDNRIICTFDSGLKAIVMADNYSDEGFDLETLQLHEGDVLTGKIKNVNKNRFMVYLTCKASELRRRPLSRGNHDPYNHEQDMTSQNEQDKLRKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVLAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFKKGSKSEVDEMLRAEKSENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKRDFDNIDRLVSYFQKHIDKPPPDAGPSMRNVAAMVPMKSSGWGNGGGTGGGNDGWRGDGNNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGSDDGGGGGYGGGNSGGWTDNIGSGGGGWGTGGGSSWAGGGDGGSGGGDSNRGGGGGWGTPAGGSDGGGWGAAPGGSNDAPGWGSGKKAVPAQDGGSGWGASAGGGSGGWN >KN538687.1_FGP207 pep scaffold:O_longistaminata_v1.0:KN538687.1:434216:436399:-1 gene:KN538687.1_FG207 transcript:KN538687.1_FGT207 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRALLVGINYPGTKAELKGCHNDVARMRRGLVDRFGFDEADIRVLADADRSAPQPTGANIRRELARLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDDCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQAQQIKREERSDSGTGGFRSFLKETLKETVRDAFESRGVHIPHQSSRRNDDEDEEPHMGSSSHGGDRIKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFSLFGDDASPKIKKFMKVMLTKLQEGQHGGVMGLVGALAQEFMKAKLEGNQEADALEPAMKQEVHSVHEAYAGTTARVSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILSEKSGRVTNKELVLRARELLSKQGYTQQPGLYCSDKHTSVAFIC >KN544425.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544425.1:1:700:1 gene:KN544425.1_FG001 transcript:KN544425.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SFGNKFALCLPGFAAFGDTPVYIGTESLGIVNHTESLPYTPLLTNPRNPGYYLPVKGITTPRGMCVGILEMEAGGGMPVDGEPAMVLGLKQLDTNLLVFDLDKMLLWFSGELSFRLTGCVSPF >AMDW01033943.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01033943.1:243:504:1 gene:AMDW01033943.1_FG001 transcript:AMDW01033943.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GAIPPVVGWPPIRSFRRNLTNGSSSKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLE >KN542407.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542407.1:2709:11468:1 gene:KN542407.1_FG001 transcript:KN542407.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDTVGGGGGDIWVNDYRFRVVRRLGDAGPAGSSVFLVKEQTSIPADGTYALKKVLIQNEQHLEQVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDINKNMLEKKEYFPTISILQIFRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIAIKSQADAMQLQEWASEHCSAHYRAPELWECPTHADIDERTDIWSLGCCLYAMMYGKSPFDYELDEAAGESLQSVTKSAQIKWPTEAGPSYPDSLRQFVTWMLQPHPAVRPHVDDIIIHVDKLIANPRGVETMRHRRLGASVRVRRLMLAPAKMMDSQLAHHRRRFLVARLARLTLERTCRRMELHGDANVSHEPRCEGDGNFGVHDNFHPGHGGARGNGGRDYGGNPFGHGRHGGPGYGGKKNTGMLVSFAIKNKQVQRALVRHLMNRLA >KN541223.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541223.1:2273:2494:1 gene:KN541223.1_FG001 transcript:KN541223.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAKGSQARWAVSNAKTWLSAAMTNEANCADALSSTGAAVSPAARELIAGVVMAKQYTSIALSFVNTIPVS >KN541223.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541223.1:14092:16036:1 gene:KN541223.1_FG002 transcript:KN541223.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLLVSIIVSLLLLLFSHLLQRIAAARRRLPPGPCPLPLIGNLLDIGDLPHRSFARLAERYGPLMTVRLGAATCVVASSPATAREIDHRMRCRELRGGRGGEAMDLLDVLLDMSEQEREDGDDEVINRDLMRALLTDLFVGGSDSTATTVEWAMAELLQNPEIMKTLQQEIKMVLGTRSQVEESDIGQLPYLQAIVKETLRLHPIVPLRLYEAERTVEIEGHTIPKGSKVIVNAWAIHQSAKVWIQPEKFLPERFITKDIDFAGRHICIGLPLANRMLHMILGSLMHQFKWTMPQMVNRNGLDMAEKFGLAVSMATRPNIIARKM >KN540473.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540473.1:34872:36804:-1 gene:KN540473.1_FG001 transcript:KN540473.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGEYCSNAPCKWLLDLGKGGAHDTNAHNLFDGKPSQPEMSKEDQRISEPVPINSTMSKEEKWLDEALGRILEKFEQMEAKRRCDEKIDRILKKLDEIKANRNKFFEEMGTSIKATTAVLWATSSPPPMALSPPAPAKCLTACPSSGITWVTRGSSRSDEEIALMVAMELGDNKDKEQAPYIVTKDLLKVTPTKCSTKCSSFGAKPDPTVVTVVTCATSIESSMELVATDSTTGGTHIDTPHSTKATPAKCSTVGLDVNGGTRKAVVVFSTIMGLDLAMDTKAQATVISEMHANCLELSHGVHTSNIDVLSIPISKMASVKAANNITIEPVVQYVNKELDKESNRDKGPKAIEVYLTAFRCVHSNKILRPWIIARAANEKQNRKVKRCGYDSIVGFDGNNPSDASVAVKVSIDGIGTLTDSETLYASVANKDYLSVKLLEVISEMARNSGAPTFD >KN540473.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540473.1:2320:4745:1 gene:KN540473.1_FG002 transcript:KN540473.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding RWRRLVAGDAEYICRRKPPSRRYVGALAVGFVQQRRQENSSSSSGAPPPPRFVPLPSYSSRFAGGGELDKVFDSGLLSNSRLIASRKGLLVLELRRSSRAAAVRLVVCNPMTGDMTTLPILAGKDRPGHYACALITCDDHEGAPDRLGFVHDPAAFRLLLVYKRRNFTACRSYWSDTKAWDAEGKLSGAKIGGRRLGEMTGAVAVRGSVFWLLKNLLFVVRLGALKATTETFPSKWCSKLCFCYGSPSRRADPIGVVFGPEESGGSRFGGPLTGSKRSEGGVDNWGVQPHMLSQKRIGGWRKQHEYPCHKSAPCSCTYTTNLVRH >KN540473.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540473.1:23007:23426:1 gene:KN540473.1_FG003 transcript:KN540473.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAKHLCRHGLSVILAVIDPPDNDTPLRSLVNAMVHLAAANPSIMFGLLLAQSSLDIGMYPVKLLQLASARQPHAPGGPRLATHHRCHPDRYVLHGHTRHWNQAHHPNLLVLRLCGLSLHHCSLATSSVISLSISCK >KN540473.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540473.1:20495:20786:-1 gene:KN540473.1_FG004 transcript:KN540473.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRSDEAGRTSASGRLTKQEASVNRGAGEEQAAGAVAGEGMRWNEDTGPGPLDIIDASR >KN540473.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540473.1:43723:49290:-1 gene:KN540473.1_FG005 transcript:KN540473.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAERDNGSGGDVTPGTPSPTHGARVRQRKRSSDAPSDVNKTNGANLLLNDQNKYKSMLIRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMASELFNLLRKANEDRQLPGFRLLNWHFFFTAMLFAYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFSQYAWTHMILLMLANFMGHFQWLTCPRKLKTEKLVQNNTIEQPITQKLTSKPSLPLVAAYGCRWWKDLSTGWLHCDPGPIFTPESYDLPGWIPWREVAIMPIQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETIMEQILRNLTFEEQHDLYEQLGKLLTRGN >KN540473.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540473.1:9599:15265:-1 gene:KN540473.1_FG006 transcript:KN540473.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVFAGKMGGRFNAVWCNLRYETFVFFDGDPSVKLVTPPVVPEDGKRRSSPENAAAVVGAVLGALVILLSLFIIYLWKKLQAKQCPLRYRPRVLFYSPVEPPVYFDWVTRKNAADKDVDSGSLLFDLAIIRKATANFAEHNKLGHGGFGAVYKGFLPDVGEIAVKRLDRTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGDEKLLVYEFLPNRSLDTILFADPQKREQLSWETRYRIIHGTARGLLYLHEDSQIKIIHRDLKASNVLLDSNMNPKISDFGLARLFSGTKTTSITSQVVGTLGYMAPEYAVLGHLSVKVDVYSFGILVLEIVTGRRNTDVFDADEESSNLLSYVRPIDQLFYGFLKVWDHWQKGIPLEITDTLLLLSGSRGLQDMELLKCVHIGLLCVQENPADRPTMLSVLVMLQDIDTTNFAAPSKPAFTFANVRNTTSSSPSAAALSVNEVSISEFHPR >KN540473.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540473.1:27580:30407:-1 gene:KN540473.1_FG007 transcript:KN540473.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDGFTSTTEDMVHNIYGLVQCAPEQAAAACRACLEALRVDMPKAFAGRMGGRFDAVWCNLRYETFLFYDGKRKSRPKNAAIVVVSVLASLVVLLSLLSFYLWRKLQAKQYTNHNDIDSGSLLFDLATLRKATASFAEYNKLGHGGFGAVYKGFLPDGREIAVKRLDKTSGQGLEQLRNELLFVAKLRHNNLAKLLGVCIKGEEKLLIYEYLPNRSLDTFLFDPEKRGQLNWETRYQIIHGIARGLLYLHEDSQIKIIHRDLKANNVLLDANMNPKISDFGLARLFDGTKTASITNHVVGTLFGVLVLEIVTGRRNTDVSGEVEESNNLLSYVWDHWVKGKPLEIADASLLGDGRGLSDMELLKCVHFGLLCVQENPVDRPTMLDILIMLHDVDTNSFAAPSKPAFTFTHGGNKTSSSQGVAALSANEVSISEFVPR >AMDW01036679.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036679.1:343:618:-1 gene:AMDW01036679.1_FG001 transcript:AMDW01036679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQEL >KN540857.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540857.1:4486:10084:1 gene:KN540857.1_FG001 transcript:KN540857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREATSDGGGGDEEWASKRPKVVGAAAEKEHILTSDASHEMNGDEAQGGDASRKENTVSTNPCVSDEKAATNSNVSSGHGVILTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSISTDGEGAVDDAKSQLKAIVLTREHKAIFPQERARIQKDLLALMADYKVALKYLELWVIVSLRSGFLDMENQTICHLHILNYNSVGLIATPDVHSFEVTRKDHFIILGCDGLWGVFGPGDAVEFVQNQLKVLFVPVICISKCIRFLFPTTPSNSNYLL >KN540857.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540857.1:32429:37163:-1 gene:KN540857.1_FG002 transcript:KN540857.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFSYIFVMKPRILSHALPQQSYLSSAESPSLADHVNEEVAKLDRTVHRITAVLVDADEREIADETTKLWISELKQVTWEAEGILEDYSYELLRSTTVQEEKVTGYTDFRPNNPSFQQNILDRISKVRKFLDEICRDRVDLGLIDQEGLCRKESRISRCTSSLLDPLEVYGREDEKKLIISSLLDGCLTFKKRRLKEHEYETCKAGAVRLISIVAMGGMGKTTLARLVYNDARVQNHFDIQAWVWVSEVFDEVRLTKAVIESVTAKPCDLTELEPLQRQLHKEVKGKKILLVFDDVWNEDTVKWETMKRPFSAVATGSHMIMTTRNENVSTILRAKKVIHLGGLQKDDSWALFCKLSFPDNACRETELGPIGRKIVEKSNGVPLVLKTLGAMLSLDTSLEFWNFVLTSDLWELDLGWDRILPILKLSYYSLPASLKRCFTFLAAFPRGHKFDLEELVHMWCALGFIQEDGAKRMEEIGHLYVNELVRRSFLQNLQLAGSREKFVIVHDLIHDLAKSIGGKEILVKKCCGSSLGGCNTSANNHLRYLAVLAGTTPFYSDNKLVPFTLPVAGHFPLRSLSFQSKWRTYLRSCVRNNLRTFFQVRVQSQWWYNLEGCLLHSPHLKYLRILDVSSSDQIKLDKSVGVLHHLRYLGMCQREIPEAISKMYKLQTLRNTYPFDMIFLPRNVTALSNLRHLVLPRGFPVTIPSGIHRLTKLQSLSTFAVADVGSGAAMLDEIKDINTLQGELCIMDLQNITHDRIWEPRSANLSKKKLTRLELVWNPLPSYKSVPHDEVVLESLQPHNCIRQLVISGFRGLNFSSWLGDRSLFSLQELELCKCYYTDHLPPLGQLPNLKQLKLTSLWKLRSIGPEFYGDCEAPFQCLETMVVQNLVAWEEWWLPENHPHCVFPLLHTIDIRGSHKLVRLPLSNLHALAAITMSSCSKLETIVGLKEHCEVTAGNSGLKAGQTNVLPSLRRVKVTACPSLEEPLISMLRRQTEIGFSYWEQSPSTSPTDIADFGKTPWKDSGKIGNELLFLKYRAGFQNNDIAKMVRVMQNHCNMKRCNDIMDMPTRRGLSELLEGESEMHCDRLVHRTELNEILQIVGYTIPLGRKLYNFQK >KN540857.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540857.1:26062:29232:-1 gene:KN540857.1_FG003 transcript:KN540857.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWGRHRRKILVSLGVAGAGYAAYRYLDSHRRQLVRVEQRALEERAAEEIIKNQLQTHFENVQKISDTTTLPFAMHYLRSRIMEELDISHLTEKLMHGKGESSAPALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDGAQLQEGSDTFSKSGHKDFLATADYLATYGINALITKMQHAATEILKEKQLKDPMGIDEVLETILQILNQFMGLCEDNSWINYLVPENANLYTQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSSDDFRNIMDRSLKKIASVVVEDLAVQIGAPIPPPGLPLAKLLAKVAQLSLPLLEEPDKNKHIQIIRSMPEVELFYTFLYANMPPET >KN540857.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540857.1:23783:24814:1 gene:KN540857.1_FG004 transcript:KN540857.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGLLCHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLVIITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMMAPFFWFLTGEYKSLFTAIESRGERWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGITAPQREPESSEQTKDDNEGIELDEEKQSLVPSSKSSNA >AMDW01032713.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032713.1:27:426:-1 gene:AMDW01032713.1_FG001 transcript:AMDW01032713.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DPFLLLTGPTRAVVLIDPVKFEIQLKAKGRNPPFIVRRRRRCKRSELEFALALLVRSVEATISVQVVDGSSWPDDLGVQVVARTASISDEAIKLLDSRSAHGGRVPICPDE >AMDW01010436.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010436.1:10:199:-1 gene:AMDW01010436.1_FG001 transcript:AMDW01010436.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGDSNPMHLHGHDVFLLAQGIGIYDAARDEGKFNLVNPPRKNTVLVPNLGWAAVRFVADNP >AMDW01038937.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038937.1:419:812:1 gene:AMDW01038937.1_FG001 transcript:AMDW01038937.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQGSLRVHTFSSAAIVHAVETSDEDSDPSHFCAVPQNGKKASRKEIKRRIKKLLSSLGQKHHISKVFFRSRSEAANSNAVIDNRGGGQSDMETFVSAKSSELCSFRTDDDDSESRSFLLSPLPIFPTG >AMDW01040775.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040775.1:9:83:1 gene:AMDW01040775.1_FG001 transcript:AMDW01040775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MERLAKGDVRYRFVVDIGNTLKSD >AMDW01029137.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029137.1:62:217:-1 gene:AMDW01029137.1_FG001 transcript:AMDW01029137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding THTQAEKKIMESKKELLLKDVARANSIEVSILKDRLHKLDSEKPRPYGTIQA >AMDW01040775.1_FGP002 pep scaffold:O_longistaminata_v1.0:AMDW01040775.1:472:2283:-1 gene:AMDW01040775.1_FG002 transcript:AMDW01040775.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ALPDPAAFPKTLNAVLSALATHGLLEPAFFCFKRLRDAGFRVLETHAYNALLSLLLTRGLAFKAFEVLDEMSSSGCALDEGTYELVVPALARAGRIDAARKMFDEMRKREGIGRASAGVYGVLVDVLAKAGRLDAAMGMYREMVAVGHRASPAVSTAVVEGLVRAGKLDAGMELWEEMRRGGLRPSFGQVDAAMRLYHSMANAGQRPGLSTFTALLMMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKEGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDEGRERAIMDILSVSKHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLSMSR >AMDW01022280.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022280.1:8:223:-1 gene:AMDW01022280.1_FG001 transcript:AMDW01022280.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYAMDIHKSLHDTDEVPDDMVRRRTDVVSRLRALDEATAPIVSFLQNQQLVQELRPDKQYNLHMLQDRFQ >KN545583.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545583.1:462:1409:1 gene:KN545583.1_FG001 transcript:KN545583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVMSIPKISAKGNTKNLRAHQILPPRYAPVTWYRTVAMEKIKKHGAIYLTPFSHRLAEEIDDPELQRLRCRVNYHALRFKSNIMKTSSEIVNKLHSEGHFMSIHPWFELDILASFNVAGHTPYFQNENCRHYDLLTAEQKILLKHQGKSYPGKRFVYKERRLIGKFPLIPEE >KN539599.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539599.1:6943:8471:1 gene:KN539599.1_FG001 transcript:KN539599.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPYLNVPLHLRKQVHNLYPYPQPRVYPPPPVSEGYGYQAYFGEDNQEASCGWSQQQPSAPSDGPYNYGYNDDPDCLTFLRGW >KN539599.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539599.1:57375:63255:-1 gene:KN539599.1_FG002 transcript:KN539599.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLRPTIWECTYWADPNRPITWGLSIAMLRFSASLFLIRTGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVYENNSIVEVSSFNTYARGSTSNQIYTSKSPHCSKNDYIRWKNCQGRDFTINGLMFNPYAEKIYDYFGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFNFPKETAYYVRTLACSVARLDKGRILMEINYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISILALHEALVRQPRDPLVVATFALALYLGGDMSLALDIGKSINRQHNTGFSELLKPQVWDDKHLVGEVQSLAVSMRRALTEMTDEYFVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKEHGYEPKRDGNIDYHDLSYGTPAERISRMSALEDKTLGVIMNNSGVCSGYAAAYRLQLRPNITGMAY >KN544210.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544210.1:3472:3798:-1 gene:KN544210.1_FG001 transcript:KN544210.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEATSRAVVTRRFRHGATVDVVFQSTAMLQGDSNPMHLHGHDVFLLAQGIGIYDAARDEGKFNLVNPPRKNTVLVPNLGWAAVRFVADNPGAYRSIGFSCAHALYT >AMDW01039547.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039547.1:11:376:1 gene:AMDW01039547.1_FG001 transcript:AMDW01039547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLTKTCVSEGVPGSDAIVEALSPRSFINSKLDTVMPTKCLILCEELSTGGEEDNVATNDWVEYTVATTKLTSMPTTFKEHVVQLHYNCYQKCHCLGLNTHYWICTIAIPDSRSCNVQG >KN539599.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539599.1:11751:18561:-1 gene:KN539599.1_FG003 transcript:KN539599.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRGVFVGNCYAVRAGRVAARFPNVRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCHGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTDGLAAVASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILLRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSFIYPLCAQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVTVAKNCPNFTRFRLCILEPGKPDVVTSQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEMVGGIREEAGAAATVKIFFLSVLHDLLLLAFLLSINNWSRKIAVVLTWQHVRLHKLSIQLKHIVMGLGLLAELHPDVSAFWLCAFLTEAKNQAARVVAPYQAGIKASSDTEIRINSPHPLWFFMAAITLEMVAAWLQQQNREGQGSTGNEEGQANIGSTGMHMEDSSSEVSPSLLEQPQGSQVGDVSHAPWSPLSQTSSSLSPSTMGTNDVNYNWYSEGEDTYDSDDEYDEYDSDDEYDEYDSDDEYVEGSSVNNAYTPTSVLSATLASVLGTNSDASDNDSDATNSDASASAAISNVVVGCRTCIVFIMVPSQTNVCPRCGDDNLVHFDGSANI >KN545551.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545551.1:51:518:1 gene:KN545551.1_FG001 transcript:KN545551.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SELNKYSIGVLSGEKSPLAKSGKQVEQRMMNDLSAHPYNPVCPLSQGVSSYSSSSQHIVSSSGEVKYIRNSGQEIQIACEIVEEPSHIALYGGKNPYELTGLGNPHDKFIAPSFFEGVNISGISSTSSRMNLSTNNAKENNEYNQLKLQKQIDGY >KN541654.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541654.1:4242:9366:-1 gene:KN541654.1_FG001 transcript:KN541654.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVVAIAGDGDADAGHRRPLLASADDDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAVSLLLFRPDFSPDGVVGDPLVLVMMILVDDAGGAWPGMNHSPWFGVTVADFVMPAFLFIIGVSAALVFKKTPNKTVATKKAAIRAIKLFILGVILQGGYIHGRHNLTYGIDLDHIRWLGVLQRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFMEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLVHCKTSLTFASTTGSFTSNAIMATSFHCVNSLRISTSVISKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACELFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVILEIIFWCLAACFLHMKGIYLKL >KN541654.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541654.1:23276:24639:-1 gene:KN541654.1_FG002 transcript:KN541654.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQSLFLDSSTAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDGDEEAELTGQLE >AMDW01040191.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040191.1:63:1236:-1 gene:AMDW01040191.1_FG001 transcript:AMDW01040191.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGVASFITLLVQKVMVEIKPYAAALLRLLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVIFASRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLCVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSTEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQ >KN541654.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541654.1:12439:15969:1 gene:KN541654.1_FG003 transcript:KN541654.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKIFHCHYGLLTTYEMSTRYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNVPSGGFNFG >KN538691.1_FGP137 pep scaffold:O_longistaminata_v1.0:KN538691.1:545864:548644:1 gene:KN538691.1_FG137 transcript:KN538691.1_FGT137 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRVVKPAVFDEEESKGKGESTGAPVKYLPARLRQEVPAGMRDVKPYPEVEDPPIVSRFFMLISRKAIRVVGGVRVECADRSLVLFYAGTGFPGFSHGCHLIYDAIDGSLTAVHTFPFPVSGVVWVGTAAVLRHAGGGGGGGGDAAQAVPFHGSLPDATLVMWLSNSPASTSGSNGQWVKEDVRLPGEVCTGTDPFTTDLAFSSGESCLCWADLFMGILFCDLATLRAPRFRFIPLPKACSFDPVGKYGRPHMPEFRSKGRVNGVIKLIDMEGFTNEYLAVDEVKLTIWTLSDNLSEWEEGPRHIESMGHLIPDLIACEFTAYSELSKGMQAMVEGNEGEESTKRMKVK >KN538691.1_FGP138 pep scaffold:O_longistaminata_v1.0:KN538691.1:507185:519030:-1 gene:KN538691.1_FG138 transcript:KN538691.1_FGT138 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETTAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERSPEGPITLIRMLTRYCVILILDYRMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLHIPDPDSPKVELEQNDNDGGHEIAHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSSSGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKSPNTVPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFARSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTLTKDGKQESAVLVDKDDTIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLRKILLLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKTEDESRPLEDGTGEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNICLDRSPNERSVEVESHLVGLCKEVLEVYLSTANPSQLSGAAQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPVVLQTC >KN538691.1_FGP140 pep scaffold:O_longistaminata_v1.0:KN538691.1:586444:588726:-1 gene:KN538691.1_FG140 transcript:KN538691.1_FGT140 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAGSALSFATPVKAVKTNSISFTSARKGNAFLRLQPVPMRFAVCCAAKKETVEKVCDIVKKQLAVPEGTEVSGASKFSDLGADSLDTVEIVMGLEEEFHISVEESSAQSIATVEDAAALIDKLVEQKSAEAKSS >KN538691.1_FGP141 pep scaffold:O_longistaminata_v1.0:KN538691.1:447905:449109:-1 gene:KN538691.1_FG141 transcript:KN538691.1_FGT141 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVMERERLTAEMAFRDDARAAGGEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPMLCVPLLASAAYSFVRLDVIYLSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPDDQHKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETLFNPTPSLSSMIINHYEMRADVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAREDEDGKVGVSLARELMAVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKG >KN538691.1_FGP142 pep scaffold:O_longistaminata_v1.0:KN538691.1:594409:594838:1 gene:KN538691.1_FG142 transcript:KN538691.1_FGT142 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRESAERSRARKQAYLQELEQEVRLLRAENAALRHQCHQLYLCA >KN538691.1_FGP143 pep scaffold:O_longistaminata_v1.0:KN538691.1:584742:585569:-1 gene:KN538691.1_FG143 transcript:KN538691.1_FGT143 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLASLLRGGVGTRRPLGALGLDGERAGGAGAVDVAAVDLAGDDERAGLPADAGLLDHLLGARRVAAADGVVAADAERVGGYTVPKGTRVLVNVWAIGRDSKVWSDPDKFMPERFLQSEVDLRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVEKSGVDMAEKFGMILELATPLRAVAIPV >KN538691.1_FGP144 pep scaffold:O_longistaminata_v1.0:KN538691.1:561893:564153:1 gene:KN538691.1_FG144 transcript:KN538691.1_FGT144 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDSGVCATATLVQVHSARKHGMLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRSDGRPISSEAERQHVIRCLQAAIERRASEGVRLELRISDRRGLLAYVTRVFRENGLSVTHAEITTRDDMAMNVFHVTDVAGRPADPKTIDEGLLVVTCI >KN538691.1_FGP145 pep scaffold:O_longistaminata_v1.0:KN538691.1:495916:502144:1 gene:KN538691.1_FG145 transcript:KN538691.1_FGT145 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDEQQQCSTVEFKETNGSFFIDTGRGEQHWPWKKKSSDKSSSADASQNSNQAEQDDKAPKYVQISPETYAHLTESEEQVKTLNEKVKALNEDLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLAKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSSEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHHGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQSKIEALTAELDDERQNHQEDITRYRDLEEKIERYENERNSMCVDEDADTKAKQEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNRRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHIAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >KN538691.1_FGP147 pep scaffold:O_longistaminata_v1.0:KN538691.1:536494:539205:1 gene:KN538691.1_FG147 transcript:KN538691.1_FGT147 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTSSHSPTVSAMNPLLPSSSFPKFPHPPDPNLSSPNPSPFSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVSACAVCKAAPAGQPDFAMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGVNATSSSGSVPDGKKTRVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPNFTIKGDFDVETDDSHLAVLQQIRDLKTEVGLLEGKVSKMHPELLGSLSNELSECLHRIRKFNFDGNVCQPEETLMIGNEEVPGWGPADVSHHLDQHDGAFCRDDEMLDDDDTDFGSSLGPIVSWDGMTAECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDETMVADPGLVGIHVEGFYSGAKWYDSPVPFCIRLIDWVDVYYDSINPSL >KN538691.1_FGP148 pep scaffold:O_longistaminata_v1.0:KN538691.1:549833:550096:1 gene:KN538691.1_FG148 transcript:KN538691.1_FGT148 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRGKFGIIMVAMILVVRIVAFASASDNDELPALFNILQQKPGKAAQVGSDCFDECWRDCILGTPFTSLCDQLCYQKCLVGSRKI >KN538691.1_FGP149 pep scaffold:O_longistaminata_v1.0:KN538691.1:566496:576516:-1 gene:KN538691.1_FG149 transcript:KN538691.1_FGT149 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLESGTLNTTSHVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLVTVLVVWIYAEILTAAGAYNERSLVTQFSCRANRSGHIHGTPCFRFGVRRYWDEKSRVWDGGVRRWVGCWWGEVWLEVSSTGCLVDSREDRNVQPRFNWPWRSESPLSAQLLIDIPPEIELSDYRRLPGSGSESPSGLLHGEGFKDEPIADLDIFFERLYEYFCAKGLRCIITKWIIEMLNVLFMVCCIGFFFLIVDWNALGHLKCGVEALESGEKPCDLMQVVKHNPLVPFTFPKMITIGSMVILTTYGLINFLKFFVQLRSTLNIRDFYCNSLKITDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIHPWVPGAGPTVKSHLQNRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDFLTSPAVLKKRLVFVGISMLILSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIMAKFISFVSGGLAGALIIIGFLGESVLEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCNVVQQTHYMPKRWRGKEDSEVVRREFETLFQFTIVMLLEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFRRHGNRNYASPFDALKTLRSSQGKMEKSFLSFQSVYPSWEPNAEGKQFLTNLQKFKEKQIRQQALAQYQAMEASGFVASTRGHRDDIFHQLLPSDIHNHAEAVSPAVYNLGPLGLLDTDQRSHPYILDWYYVCHPPHLDRTEAPYFNEVFPETSENTGSAAFKASDIEEARGWDSDTVPPLRADRDEWNFNHERVRSHMDASTSSNLFHHAPVEHRDTKGNIIDWWAQAPEHSTGQQGSFLEPPEFGNRYVAGNRSSYHSGDVSDGSVEELERSYNRSSSSWRRPQDLSTTRYMDDSDIEEGLNLPFADLPQKDEDARHGTSDTNDPTPVGLPEYSIKQSGHAVDPVIDQQPKLYKGIRCWGPLPWSSRRARVEAEGSV >KN538691.1_FGP150 pep scaffold:O_longistaminata_v1.0:KN538691.1:578296:578850:-1 gene:KN538691.1_FG150 transcript:KN538691.1_FGT150 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQNPPAMAKAREELARVIGSKQEIEESDISQLKYLEAVVKETLRLHPPAPFLLPHQAETTTQVGGYTVPKGTRVLVNVWAIGRDSKVWSDPDKFMPERFLQSEVDLRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVEKSGVDMAEKFGMILELATPLRAVAIPV >KN538691.1_FGP151 pep scaffold:O_longistaminata_v1.0:KN538691.1:533135:533821:-1 gene:KN538691.1_FG151 transcript:KN538691.1_FGT151 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGEANDLGLSPKFVRLHLWCLRG >KN538691.1_FGP153 pep scaffold:O_longistaminata_v1.0:KN538691.1:543313:543741:1 gene:KN538691.1_FG153 transcript:KN538691.1_FGT153 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAKSGVIAVAMVLVMCAASAAAGDNDKLPAAFDILQQPAKEATNLGYGCYTRCFTGCFAAGFDGDYCSDFCSKECGDDVRKFLSRLSPENSPIVGDICNIPRCISSCVEAKIDPPYCKIWCEGMCGDDVRKNQIGLSP >KN541178.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541178.1:14868:18664:-1 gene:KN541178.1_FG001 transcript:KN541178.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLKDELTSMHTLLVKLANNEENLDEQVKDWRNKVRELSYDIEDCIDLFLHKVSSSNAKAGLVRKTAAKIRKLWSRHKIANLIEELKARVIEESDRRLRYNFEEVADNFSHVQIDPRLPALYVEAEKLVGIDGPREKIIEWLEKDESQKLKVVCIVGFGGLGKTTLANQVYHKMKGQFDCSSFMPVSRNPNMTKILADLLKELRSRVDTSDDERQLICKLRTFLQRKRYLVIVDDIWSAKAWEVVKCALPENNLCSRVISTTRNADVATSCCSCLAGYIHNMQPLNEQDSQKLFFKRIFGDKSACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSHMKEQWEQVHNSIGFAFSQQGIRDILLLSYYDLPINLKTCLLYLSVFPEDYKIDREELIWRWIAEGFISEVKGQTLDQIAENYFNDLVNRSMIQPFDIKYDGRADACKLHDMVLDLIISLSTQENFTTIMEGQQYKCSSNKIRRLSVHSKYLEDEVMQEIMTNCSQVRSISFYELQDQEISLLSTLNSLRVLAFNNSHHLGNKSIKYLGRFFQLTYLSIASRGITDLPEQIGGLQNLLTLDIRGSSVEKLPSTIGCLKNLVRLLVNEDVNLPNEVGDLQALQQLSFAGNYNSIVFVEQLKRLANLRAISIRLHDSARLGHHDMARYMEALKSSLAVMDKQGLQSLDISCFDTVIGEKLMDLLCYSPCLQKLVIHGCCIGLLSKQMAVLVNLRHLEIWVRNIKQDDLCVLGSIPTLLFFRLFVVCGPDERLAISSHQFRCLKQFIFVSYGGGLEMLFMQGAMPELRWLSLEFRAHESDCKMGFEFSLKHLASLEHLKVTIFCHVATRSRVEAAEASVRNAASAHPGCPIFEINRCGEQNMVDDKVDKEEILKDIDAHEVVRRDMNN >KN541178.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541178.1:21549:26125:-1 gene:KN541178.1_FG002 transcript:KN541178.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLAKLSALLGEERGLLKGVKGDIRFLRDELSTMNVFLTKMASVEDSLDVQVKDWRNKVRELSYDIEDCIDLFMHKLNRGDDKVNIVLNMAKKIRMMLWSRHQIANQIQELKARVKEESDRRLRYYFSEYNAHVDRTKIDPRLPALYVEEDKLVGIHGPVEEIIELLKKDDDSGQKLKVASIVGFGGLSKTTLANQVYNKIKDQFDCSAFVSVSQSPNIKKILFDMLKDVTSRDNSDDDKQIKVVGVKEDKSDDERQLIDKLRVFLENKRYFIIVDDIWSASAWEHVRLALPENSLCSRIITTTRNVNVAKSCCSGFQGSVYNIQPLNEQDSKKLFLKRLFHSDSNFPTHLEEVSHAIIKKCHGFPLAIICLASLLASKSDTKDQWEQVHNSISSAFSSQGMSDILLLSYYDLPYHLKTCLLYLSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGHYFNELINRNMIQPVDVIYDGTASACRVHDMVLNLIISISAEENFLTVVDGQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRLKLHFVPDERLCISSQQFQSLMEFRFIYYEGGGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMAGLRER >KN542800.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542800.1:3085:10917:-1 gene:KN542800.1_FG001 transcript:KN542800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLASGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENSTHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTAPGYGTSNSYYQNSTWNCGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSCSIAPPIQASGGPGPQDQYINQAHTPVLENQYAGQVFIATENSSENKMQVPRIAPGFSMRSLPFSLHNYATRNLNRCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNAATIPETSSANNSSPSLLTSTNRRRQKSRWEPVVEERVTDKVEPVKGLVNGDTHNNLEAKNRMSNNWDSRKFFQSHHATANKVSQRPAKKQKINSYSDQMQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANVHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLASLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKSMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHVHIVPVMELNEMEQQMKGIMLPELWSGANRRVLTTECSTCSWVFAPDATTAMLNETVRRILDNTSKTRSLQKALPLACGLTETQKMYEVLVTQNPRYM >KN540693.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540693.1:8588:11388:1 gene:KN540693.1_FG001 transcript:KN540693.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPTHAKAAAALAAGAVDDERAAWMVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKATRHNKAKAKGVLFQPASEFLPMIEQVHDSLIERTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADIVKAELKDYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMEFLLRLVEWERLSRARPKW >KN540693.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540693.1:19533:20123:1 gene:KN540693.1_FG002 transcript:KN540693.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIRAPLADLSRSRDVVAGDSSPLRGCGGHQGRARRPLPFQGRRCQDFVAPPLSPPPPHPLPPSPSRPVPQAPALVRRLLLADGATRLIASNHTLVLSVGAHPTTAADALHFLAARRRRPPRAIGTLSQLAVDTVLAAFDPAHPDLLDLRSRGGEATNATFDDDCYYHHRTTWIPCELAAMFLEAHRRRCQARRG >KN540819.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540819.1:153:4062:-1 gene:KN540819.1_FG001 transcript:KN540819.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTDRSCVAGHGQASREMGFLMRRGLVAEVDSFDGFNNNNNNMVNTTSNNTTSRGVAQLLVESCIQQLEQDKGNFIGLDQRRKRLPLSLNSGKHGQATWQQLAQLD >KN540819.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540819.1:35521:35811:1 gene:KN540819.1_FG002 transcript:KN540819.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANAAHLLLLLLLVVAAAVSQPSAAECFTDILGDCGLASDRFDNLESQQRCCHLASADSECACLAFRQSGFIGYPLFVFNCLVQSCAAGAPPSG >KN540819.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540819.1:25688:30127:1 gene:KN540819.1_FG003 transcript:KN540819.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNHVFWSRVKLTCFEYLMIFMFVTGEVISEEYSLEYGTDKIEMQIGAVEPNDRAIIVDDLIATGGTLSAAVKLLERAGAEVVECACVIELQELKGGVTDYLAVDNLAFLAHNRAACCSLVNPLSASEETLLLPQLANAVLRAMNDSKLYTVGNTKMPYVKVILSSPPLDSSPDPLVAALILEGYYAAISACKRQDVTGFGSVSDWTRKEIHRIPGEDYRMRLADIAFLNGRLYALTMKEGLYVFGPNAGDLDDRMNAPSGFRHCIIDNPEQQEVYTKTDLRYVVARYLAECDGRLFMVRRWMRVPLNVRLGDMDETFLFEVFEADLITTPCQWRKVDRLGGHAIFLGSECTKVVRASKCVGGVQEDCIYFMHRTFDNPSREYFGPCVDPLGDSGVYNMTNRRITPLLPEAVMEKLCLKRQFLTCSMVDSSYYANFRILLMVEHSLCKLSRETEVDPCFDLQLSRLRTNFCRFDIMFVNIDQDDIVCPGKI >KN540819.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540819.1:21512:23450:-1 gene:KN540819.1_FG004 transcript:KN540819.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCREWQEHCYREHMDVSRIRFFRLMTGDFAHGISIPEKVADRFSGQITKGFNLKAPSGETWRVSVEKVADELILMSGWEDFAKAHEFSFSFDVLIFDASGCEKVSCFFIGKKNSIGGQTQNAEQYHLSDSEDTSTPSTFLVESPHKASTSKKLNGKTKTNPNKDDPFSTGKEPEDPNSSRSHVKHEMIEEEKSDDDDEHADYEHADYYYSRFANYLTGEEREEIFSLVSLQPGNPVFVTVLQAPQIHRKGLLIVPSGFAADHLDSRSQEILLMRPNKKEKWYVKYYHASTTRGFNCQRWIKFIRENRLREGYICIFELMKGARRVTMTVHVIGKVDDRFVLLG >AMDW01030648.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030648.1:18:299:-1 gene:AMDW01030648.1_FG001 transcript:AMDW01030648.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRRKRIRSSKLNNMLPLPPFGV >KN541986.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541986.1:422:9072:1 gene:KN541986.1_FG001 transcript:KN541986.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDAPGKSFTLLYAELNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIDVLIRAQTFQLMFIRHGKGAVELDAQSNQSSLWNTINVTTVYADISWRILDQPTCASTFDNRTNYACISEHSKCTDGYFTQAHGYICSCDGGYQGNPYILDGCLRDRGYNPIQQKENCTRKCGTISIPYPFGLEEGCSARKLFQLNCTDMLSSSLQLNDNYHVKYIKVNEGLVGIEDTSYFKQDMYRMHTVILVIGASVCLDLKEIHISKMAVKLISSDENASDNTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVDLLLRREPIFTRVSGSKQNLSNYFLWELKVKPIMDIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVDPWDKRGWVIAVDMRSKKLEDVGIFRAERVIGVDLSYTLCRISKYFSTSTGKTGHLKRQGQFCTEYPHKRQAGRELDGAICAPAGSDDGTSMDIEDIDDNMDED >KN542574.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542574.1:3238:3543:1 gene:KN542574.1_FG001 transcript:KN542574.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSPSVADDDALEADDEKAEMEEVDEPPDEPVLNADDEHVDASQLLLDSVSAAAVASSRVRASDDAPVEEEEEAFAPPSWRLGTSPRPRPTHGLPPEAQ >KN542574.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542574.1:5285:10244:-1 gene:KN542574.1_FG002 transcript:KN542574.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSRFEGAWVFEWPIDCDTANFKDFVDDISEKYPWGIDETVTVQYLDSSLNMLCLVSSDKEMMTMFKSFGQNRSGDVFITINGPSDKSIIDIPCTPSAPIPSQACFSQISNVNQPLEGGDLADTMVDTYLANPFEHFEHVGVDEEDQYSIGSDAPESDSDDTPDPEYVPGVDEDEDDYVLQLPAPPSHAMAATQTQDTSPAAGALAHNNAIDLTTNDDSEVLPPPGKKQKKCSSEVWQHYTKYKIQSDLVHNTDEFDDIFNENDSSHDHEQHLQRFLLERSYKTQAIINELDIESIERHLANLLIVRVSC >AMDW01037001.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037001.1:13:450:1 gene:AMDW01037001.1_FG001 transcript:AMDW01037001.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYVQDYINKVERLDVPDYIKQGVIQEYKVPDGTRLRYINYIEEKFRMLDDQEERKVHIWDNFKNLKIPLTIKSPITVAIMFSIGIMILFSGFLVPKMPKSLKIMCWATSIVICFAAVLSYDNESSKTTAPTEMHDLENPPSI >AMDW01048104.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048104.1:54:1499:-1 gene:AMDW01048104.1_FG001 transcript:AMDW01048104.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILPRRRRYAEPLLLLLLAAVARSTAGAPDVVELTLLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIYLEGGAWCDTIESCSNRKMTELGSSKLMGAQEFEGILSNNQTVNSDFYNWNKVVIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQ >AMDW01125193.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01125193.1:2473:2695:-1 gene:AMDW01125193.1_FG001 transcript:AMDW01125193.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RWQICGCVTSILDLGELGGPFLEVVLGSILIMWVGSIGDAEEWWVSVGFNAAAGVPS >KN539331.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539331.1:41303:46718:-1 gene:KN539331.1_FG001 transcript:KN539331.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWRFLLVSNLVLAQGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGFGAIVGKQKVRYGKVGFPDKEITARNIIIATGSVPFVPKGIEVDDILVAYLRDPDRKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRNIDYHTGVFASKITPAKDGKPVQIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFIPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEIGVVKTSFKANTKALAENEGDGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLDELFKAAKLQPREGPERKPKHPPQPLLKVLSFVTRLLTSQKRNRQP >KN539331.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539331.1:84688:91373:1 gene:KN539331.1_FG002 transcript:KN539331.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQATINNGRCDAAAAAAKSRPVVMPPATARSNHGAVACKNSGSNKEMRRRRRCVEVRRKMEALRRLVPGGGGAGEDGGEELLFRAADYIAMLQVQLQVHLPDKSIVQGRLRFMNRHYNLSILEITSELPLQVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGEGGPVVGTGGSTIGMVYIDGPGAVIISISIICTFFEMWKQFRELDEQNWDGATKCAMILTLFTYFKKKPHEPQPELQVHLPDKSIVQGRLRFMNRHYNLSILEITSELPLQVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFVDCDVPEGGEGGPVVGTGGSTIGMVYIDGPGAVIISISIICTFFEMWKQFRELDEQNSAALMNSD >KN539331.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539331.1:21720:24660:-1 gene:KN539331.1_FG003 transcript:KN539331.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQISRKALASLLLLLVAAAAVSTASADDVVALTESTFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKLASVYKQDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLTSEAGVVESLAPLVKEFLGAANDKRKEVLSKMEEDVAKLTGPAAKYGKIYVNSAKKIMDKGSEYAKKESERLHRMLEKSISPSKADEFVIKKNILSTFSS >KN539331.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539331.1:52401:63137:1 gene:KN539331.1_FG004 transcript:KN539331.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEHLLFLYPTVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELVLFLLEFCTLTKSLQAVQQLRLSRDLASEGVFDIMSDVLQSQDKVLVSAGTDILIYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDGFATNMPTNYDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKENLNSLVIHVTKSFWDQLVRFEKLGSIQAFKLKYQQLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >KN540936.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540936.1:757:2550:1 gene:KN540936.1_FG001 transcript:KN540936.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGEGEGTGGRGGSQGCREDGDRARHGHGEARLLVVYTDLASWIKIVLAFSGSYQHYSISTKSGNNVSCTATSSQGDVLMAADERVNGDYDSTEMERVNALGLWCVHHDPSAPPSIKDAMAILQSSGGQLPVLPAKMPVPTYASLADSLDGLFALSTTLQDVVLSVA >KN539331.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539331.1:72967:73494:1 gene:KN539331.1_FG005 transcript:KN539331.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVEMSLNVLISPSQLSPQGLLLRKAVIVRLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVLVGSVDKILKHGIFLKSGPIESIFLSEKTMSDFKYIGGENAVFMNEHSKLEKDTVVRFKVMGFRWMEADRQFQLLATLAGDYLGPL >KN539331.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539331.1:16549:19166:1 gene:KN539331.1_FG006 transcript:KN539331.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLVDNIMESPARSENTSYFRDEIISQYSPMSEDSDDYRCYDTQLPNGSQTDAMVSPSTSPMSSPHRFQKPQSGILSANPYPLPSCSLSSVVCSNPRRGSENEGRFPSSPNDMCHGGDLRKTALLRSVQMRVQGPHAYELSFCGRQEQEHAHDHEDEHQHEHLEGLEGAERSSSHRETISDGVGYQMPENSYGRPEHDIDYIEDCTPHGCLSDLKFKQEDKDCSKLTSMDKNR >KN540936.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540936.1:30785:35057:1 gene:KN540936.1_FG002 transcript:KN540936.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHAEDIEISLCDGNSEDERRRRRIGSNLRRKAIHAIKKRGRRRVDCRFPPAISIEDVRDAEEERAVAAFHDRLAAHGLLPDKHDDYHMMLRFLKARKFDIDRAMQMWADMLKWREEFGADTILQDFDFHELDEVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSRLYNILTVVVFLSELPDFLGGSCSCSDKGGCLGSNKGPWNDPFILKWQGMISDISNAESGSDVDDFGSFFQKGVDYGYLTPVHEEVRGTDSLTYYSCDDQTRRDIAPESCKGVQATGMVQNQLPDNRQPSTNRNPHDSGNNGHLDGAFARRSLQNFIQVVVTTFIKLLSFLRLFISRPVRRLENVHSCTVPVPSEEKPEPRSIRDDDMTMCLQRLDSLESLCNNLASRPPEIPREKEHMLLNSFERIKCIEADLERTKRVLHATVLKQKALVETLEAVQESSRARKRLFCS >KN539331.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539331.1:95399:99561:1 gene:KN539331.1_FG007 transcript:KN539331.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAASSGGPKSSPAARSTRSKSKPDSDLAEHDDSPRSSSGRLTRSRAKVLKESAGVPSSKKKRKIEEESPATTGVMHREGHGALASRPLPPIHPPTTPRVRKSSRIVRLEVDRLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVCISSLPSEGKLISPRTGIVISWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKCIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSSIAMVYRAGPGAVIISISIICTFFEMWKQFSCVARPLFDVDLRSVELAGVSLREELSVKHNINGGFIVKRIADDSALEHLGVRRGDVIFFENECGTSLPEIEDYLLSLGWRYLQGMKKSMVLKLEVHDIEGPCEETITLPLKFSVDSGKFGREGVDPSSKNSILRFEKLDSCREKIDPAARASVRVHEVDQRELEISWWSSRTLLG >KN540936.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540936.1:8562:10838:1 gene:KN540936.1_FG003 transcript:KN540936.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLISCAVGLLIFSVFYLPPYSPVAALSFNYTTFSTEGDKDIKIEGDGGPRAGGIDISANRASGIDGSVGRVSYKPPVQLWDAATGEVASFTTTFSFNINPSNENNKGDGMAFFLASYPSRLPENASGGGLGLTSKSYDNVSTGEDRFVAVEFDTFPNSAFDPSATYDHIGIDVNTIQSMKTKSLESFSLIGNMTAIVDYDSNSSILSVKLWINDTTTPYTLSSMVDLKTALPENVTIGFSAATGASVELHQLNSWYFNSRSSFEQKQSIEVASPPPSPPQPPSPQYTGVVAGVTVGAALFIVLIFTIVAILVRRRRNGRIKNSREAEDEHNVGSDGDDHDGEPIVEIEMGTGPRRFPYYELVEATKRFAAEEKLGQGGFGAVYRGYLKEQGLAVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLVGWCHGHNELLLVYELVPNRSLDIHLHGNGTFLTWPMRASAKSDVYSFGIVLLEVACGRRPMSLLDNQKNGVFRLVEWAWDLYGQGAVLMAADEQLNGDYDTTEMERVITVGLWCAHPDPSVRPSIKVAMAMLESSGELPMLPTKMPVPTYASPVASLDGLFTSPVGMSFSSATQSSTMSSGYTTHTSSFSGTSTSAGSKDSSSLLKHQY >AMDW01040918.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040918.1:884:3730:1 gene:AMDW01040918.1_FG001 transcript:AMDW01040918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAHKLRFVRCPKCLQLLVEYPSISVYQCGGCGAVLRAKNRVMSVTQTGSKPDEHNNISSSLNGSSQDNESACSDGQKIDSSSAQPNEDAVEENIPSTTKDAKSCEAVNQEQNTTTVQSVTPTEDENKEKCPTTDADIRDTGCMVKVPHDMCTGADSSPMLIDKVENIGTSENTDLGKGYSYDCVSDGNAGSDVAAVHIAGEEPGAISNHSMKGEVDSVTDQIFSVSNKNVNCKELDERTNLCKETEAKSCNELIQMEERSQPNEGFHVESHEDLIEELERSLSFSDDEESLLDATGNNELNEALQFQIGSRRFSPGSKMNGASRSDPHGRLIEELERSFSDAEEAAEQHVVVVDKVITERDFGNEHGKVPTSLVAESAHPCEGNISSYDDGHQKSGQSFQQNELIADETEEKEHGLLENDSKINCIHGNEHAMVTDNDIAEIHSEHDKDPQLLDGESAKLCEGTISSFDGHLKSGQCFQEDEPTADGNKQKEESHMGNNNVTDCAHEDNAAVVGNLALKSSTKFKFDRLERLKKIDELRDQLNRLCSKKRLENRYRMKGLEYQPQLSSYYVDQHSQNVDADSIQSSSTLGSYYWNGKQPSYPPRNQFSPPHSCTHCHFGHVETHMPHNYGAWDEFNSYYQPSYAGSSIIDHDSLNSSYKEQKRVVRKHILRPVSGASPFTVCNSCFNLVQMPSDIYISKTKMGKMQCGQCSKVLVLSFPALHHACANSSKEVASKSNKHKGSIVVKPEDVASHSAESFTRDPVSMNEEYGASFTRSFSTQAGSALAASQSGKNVSDSTLHRLMGYDSASQLLHDLRHSKVYDDGYESFESMVPVSSRVSRRKNT >KN539331.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539331.1:64429:68463:-1 gene:KN539331.1_FG008 transcript:KN539331.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGTVAAEVLIPLAAVIGILFAVLQWYVVSRVAVPPHDGGAGAGGVGKVERESDGDDDEEDGVDYRGVEARCAEIQHAISVGATSFLMTEYKYLGAFMAAFAAVIFVSLGSVGRFSTSPEPCPYDAARRCRPALANAAFTAAAFLLGATTSVVSGYLGMRAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGADHDFAAMMHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQTVAQSCRTGAATNVIFGLAVGYKSVIVPIFAIAGAIYASFRLAAMYGIALAALGMLSTIATGLTIDAYGPISDNAGGIAEMAGMPRRVFVGLVVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDEIPGLAEGLAAPDYATCVRISTDASLREMVAPGALVMASPLVAGTLFGVEALAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILVKLMAVEALVFAPFFAAHGGIVFNHL >KN539331.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539331.1:29684:33435:1 gene:KN539331.1_FG009 transcript:KN539331.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRWIGPLLLLLHFVAAVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEANKRFAEINNAYEILTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIKHLDNHMVEIGTKGITKPKEVRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKTKLKGILT >KN539331.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539331.1:3536:4961:-1 gene:KN539331.1_FG010 transcript:KN539331.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESLEGRSNHDIRAASMMPTRCSMECSIIDMELDHSVAVVVTCATTARTFMELEVGECTTDIDVPDHPMEMCTKCSVSDLDVNYDDNRAVDGKDNCYVKPAKHQSTCCDVDEDSPGALILLVSSPQQYYFHVRQNWWSIALVIDVVNPEDLTLQGSFSAILNKEGSMLASAPGPLFLWLCFANSWGAIIKCYQIEVERTTSLSLSTHSKPRGITSSQQKGNQHYGHLLNALSLGNKQVTRG >KN539331.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539331.1:78479:81997:-1 gene:KN539331.1_FG011 transcript:KN539331.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTARSLLASHAAVRAAASARRGRLAGDHHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPAVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAILLERVMIEEEHSQSDPSCGKLEGGVITERCYGCGRCLSVCPYDRIRAMSYVRDPAMTAELLKRNDVDAIEIHTTGKGTDMFNTLWSNLGESINNVKLIAVSLPDVGDSTVNLMNAIYTTMQSHLQGYNLWQLAGGTNSYTIESLKKAGLFQSTTFPGAATSGVTDCQQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHPDYLLEALQEALSLVGPVKGYPTLPSL >KN539331.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539331.1:106677:110698:1 gene:KN539331.1_FG012 transcript:KN539331.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRPPLLSLWLSGFVDEPLGQGRGRAVLKATAAVLHSTILSILWSISFFSTAFGQWCSFHLQFALPAIEVVDWRAQAHTRSSSICAAQVATNRDCIEAHLTSPPTIKTDGHLITKEKESYLHMADLNNDNVFPAPHLLTHPAKHHLVSKTIHSVFNIEVNVRHQEEDNKSAFRHFCRILKRLPINKNLDRAEIEETTIPTEVCTGFVIEQRGNILYLLTTAHALDDYYDAKNHDLTPKDLNKSFIFKVLCIHQERHLLSLQGVDDKSEHLNRYFCDAKVVAVNTQVDLMLLKLNREDIYYSYQDADNFIICPEDHPRIKLGKSPPVESENVFLQGWPALRSQTSVWGHISHTNRRYDTLTSLNVKGYKMNLIEVPEFQCAAGTSGSAILNGAARCVAVYHGIQKNCKAGYAISYQDVKTFVDTALANMHFGTLVYPAYKRKPDCLMATLQLLSAIDQDGS >KN539331.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539331.1:34079:38761:-1 gene:KN539331.1_FG013 transcript:KN539331.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEVLQECNSNCLMVISSMAAARLVPTLVLDAFPQHSSSLLLVLACRALIVGADKWFDRSG >KN539025.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539025.1:66362:79405:-1 gene:KN539025.1_FG001 transcript:KN539025.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10690) UniProtKB/Swiss-Prot;Acc:Q9CAF6] MAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDVGCFFFLDIDAIFIIGQVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATLQELLECMPGPDFPTGGTIVGNQGILEAYKTGRGRVVMRGKTDIETIDVKSKRSAIIIKEVPYQTNKSTLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRGADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFIDFRCSVIERRARFKLSQALERKHIVEGIVIGLDNLDSVIQIIRGTSNHAMARESLIKEYGLSDKQAEALLDITLRKLTSLERKKFVDEAKSLSEEISKLNELLSNKKLIFQGLVDGLDYLRAEINVVIRELKLMWSLFKLILQEATDLKNKFATPRRSFIEDSASTEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQNRGTIGKSVGKMRMNDNTSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRAATGTPLVQLLSLSDGERITSIVPVNEFGEDQYLVMLTVNGYIKKVPLNAFSAIRTSGIISIQLAPGDELKWVRRCGDDDLVALASQNGMVIVNTCNKLRALGRKTRGVLAMKLKEGDKMASMDIIPATSHNMPETYSRVRDLSPPWLLFIADNGIGKRVPLNAFRQGNFNRVGLQGYKLPPDCSLAAVFVVGFSLTGSLLIHLAAFICRSNNIQAVVLASQSGTVNRIKVKDISIRSRSARGVILMRLEHAGKIQSASLISAAEEEEEQDPESASLISEAEEPEKQDPEVSA >KN539025.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539025.1:151284:157691:-1 gene:KN539025.1_FG002 transcript:KN539025.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGNGMRQIEEEQQWQHSHIYNCGNEQYGHASSREDEPSTIPREMRVENGYGVIGRREGGPASSYWDLLRAHLSDPLTWKACGKCGQPITEDSIRPNLSLRLAVQAFKREEESAKSLKRRRERLEQDKCGNDEPNSTEISRGKGVQFPFAVFDRVIIKVGKRLRYLTKHPHSDIQSMATDLLGYWKKVVIEEGKKNGTTENAGSTNSAARAEKAQPMKVDKSSASGSVKPEKREVNVRGQKPESIKVEKITNNDSKNQQVKVERAPKEATRTPDTKKPSSVPNGPPKLTSLVKCNDPTRDKIRELLADAFSRVHGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >KN539025.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539025.1:51854:54318:-1 gene:KN539025.1_FG003 transcript:KN539025.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MNSGSSALVNTGWEAWKSGLPQGYKGCQFHRVIKDFMIQGGDYMKGDGTGCTSIYGTKFDDENFIAKHTGPGLLSMANSGVNSNGSQFFITCAKCEWLDNKHVVFGRVLGDGMLAVRKIENVATGPNNRPKLACVISECGEM >KN539025.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539025.1:4574:6144:1 gene:KN539025.1_FG004 transcript:KN539025.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthoxin dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G52340) UniProtKB/Swiss-Prot;Acc:Q9C826] MSAAAAAASSSTAPRLESKVALVTGGALGIGEAIVRLFREHGAKVCIADIQDEAGQKLRDSLGGDQDVLFVHCDVSVEEDVARAVDATAEKFGTLDIMVNNAGFTGQKITDIRNIDFAEVRKVIDINLVGVFHGMKHAARIMIPNKKGSIVSLGSVSSVIGGLGPHSYTATKHAVVGLTKNVAGELGKHGIRVNCVSPYAVPTALSMPHLPQGERKDDALKDFFAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLMVDGGFTSVNHNLRAFED >KN539025.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539025.1:126024:127070:1 gene:KN539025.1_FG005 transcript:KN539025.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQGKEVKEEASDMVTSQSHSRTHSWGETRTPESEIVDNDPFPELDSFPAFQPAPPPATAMMVPKKESMDDATAAAAATIPRNNSSLFVDLSYDDIQGMYSGLDMLPPGDDFYSSLFASPRVKGTTPRAGAGMGMVPF >KN539025.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539025.1:159048:162230:-1 gene:KN539025.1_FG006 transcript:KN539025.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSDGGCRDGGSEEFRRPRPSKVAAGDLVEPARCSDAAASPASWIDKKLLVDPKNLFIGSKIGEGAHGKVYKGKYGDQIVAIKVLNNGTTPEEKATLEARFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSLRPSQLDIHTAIGYALDIAHAMECLHANGIIHRDLKPGQDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQARPPLPEETPQELVFVVQSCWVEDPAMRPSFSQIIRMLDAFLMTIPPPPPSESNEDAESEETVSSLNGKNSAVSSIVSRATSKLSVVRHLFASKKAGNGRT >KN539025.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539025.1:1709:3573:-1 gene:KN539025.1_FG007 transcript:KN539025.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHHATRPNPHKKPYRGRRGGDAMGVAWSSRAAAKRDVRVAVIGDQGTGKSSLVATIATGRFPADQDGGVAHVLPPARLPVDYFPARVPVTIVDTSSSPDGNKVLIAECQTADAVVLTYACDRPSTLERITTFWLPKLRRLLQSKVPVILAGCKVDLSDKQQQAGLENVLDFIMCTFREVEIYLECSALHRIKVDEVFYCAQMAVLHPTTPLFDKATRSIKPRCMMAFQRIFSLYDRDKDGAVSDAEMNAFLVRCFKVSLQPAEIADMKRVVQQHMIGGVNDNGLITFIGFLYLHVVFIAKGREETTWTVLRKFGYDNELVHGFSWWPRALALQRRPIS >KN539025.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539025.1:128276:134044:-1 gene:KN539025.1_FG008 transcript:KN539025.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAADGRTVFSFEYFPPKTEEGLDNLFERMDRMVAHGPNFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPIEKIDDALTTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDAIQSTEGATPEAYSNDLAYLKQKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEPIKDNEEAVKAYGIHLGTEMCKKILATGIKTLHLYTLNMEKSALGILMNLGLIEESKISRSLPWRPPTNVFRVKEDVRPIFWANRPKSYISRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPVKSVEDINERFVNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGERSDSTSVGWGGPGGYVYQKAYLEFFCSKEKLDQLIEKSKAFPSLTYIAVNKDGESFSNIPTNAVNAVTWGVFPGKEIVQPTVVDSASFMVWKDEAFEIWSKGWACLFPEDDSSREILDKVQKSYYLVSLVDNDYINGDLFAAFKEI >KN539025.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539025.1:91735:91971:1 gene:KN539025.1_FG009 transcript:KN539025.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKVAGDSELREAFYVFDRDEDGYVSAAELWNVLRRLGMEEGARYGDCVRMIAAYDGDGDGRISFQEFRAMMENTV >KN539025.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539025.1:115652:115942:-1 gene:KN539025.1_FG010 transcript:KN539025.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVCTVKIFEGKDPLKWLSNLLDLYNKFAKPEQGETGGDADEHQRRVAEVLQAFPSLLMLLRRRTCVKYLKDTDFQTSQRRPRGWSSSEFLHLF >KN539025.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539025.1:45123:47845:-1 gene:KN539025.1_FG011 transcript:KN539025.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGNPPLNAAEAVLKRPRSVASRKPRSKEQLISEFKDLSCTPSRSISPEDDAGVEGSGHRRKELYLNGPEAKGSVPHRSDAPRKIKRDDRAAGDHDGQNKSSKSKDGKHTNEGVLALACTRNSGSPDGQHLPPKDTTSMPGLRKVKLKVSGLTRPLHTKNIQEASDGGTLGTSDGSSHRHKQKDSGGHKHHQDKHDVSPSSDLVRKSKRIPKKRTLDGDSGDEDGGSGYLVKFKIAKVVPEQSIATDHAGEYDESLEDDIKKKRLSKVSKNKSLPYEVDEDFTMYRSGRDGRKKLKLVDSDDFIEEEPEMDEPKKRLSEADSPSDVKNETTGLITRQRALQGRGGNGETLIEFPDGLPTASSRRQKDKASEVEAQAKKAEAAQRRQKDKASEVEAQAKKAEAAQRRKLQVEKAEREQQPSLLAPTVQAEAVRKILGIDIEKKKEERKQKERDEKEKQEKTEEYRRNCIQCVMGPEGTVVTFPDKMGLPSIFDSKPISYPPPREKCAGPSCTNPYKYRDSKTKLPLCSLQCYKAVQGSSEAAQGSSETLTC >KN539025.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539025.1:6949:10260:-1 gene:KN539025.1_FG012 transcript:KN539025.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGEASLGGFKIGPSTLVGRGVAIRVLLLSSLWRLRERAYAAASRVRGAALPVVAPWLHLRNTHGILLVVVPKLIKDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLQFFDRIGGIFAVTKRVMTYGALHDISQMQRLLRDLTGNLTFQEAYDMTGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRLKEIVRTYGGRFAGKLARLAEMEVKYRCNQILEIGFPLGGLAKLFAQDWEGDVTMVMPATAAQYLKIIQNPTYPELQMAANQGRRCTWEKISAIRTNCAIELALDESIAVLNHKRRLKRSMERVASASQGYTCSSVIRTPRRVPSWSCISRENSTGSLSEDCFATTSSSTHQGIQVVVTPNVTHNDGSESESETIDLNSWTRSGGPLMRTSSADMFINFIQNLEIESEFNTASDCPGDNKDAADSNDLSAAHTDSVTSQHSSADE >KN539025.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539025.1:57759:61297:-1 gene:KN539025.1_FG013 transcript:KN539025.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINPVAGEVAAATAKAPPPAMATVRAPLPANHYSPYHSASAAGSYAANTQSTSSPRNDDVNQEDDNQRSKNSVEEAVAVAGGEPPAATSALAIVPRHGDVGDADRPVFPYSDVPGVRFTPTDQELIIHFLKPKYTLGDATPTNIIIIKQLDVCKLNLDELHGDLGLGKSLDGAWYVFSPRSRYKERGVRPARGIKTTAVGYWKSNSAEADVVDDDGEVIGRVNSLTLALGHQPRGKATHWRMKEYRIPQFQIPLGQEDSNRLLDEWVLCKLYHSFAYKQKGKCKVHEEGTKSDRGVQDLSIDDDRKTCDIEANKPNEGVDNCNVNICNIEDSKPDGGLQDQSADDYNVHICDIEDSKPDEGGGGDGRRKKKMKVRASVKRLCAYCKVVKRRGIVFIQCKANAKHKQRQGFSTLAEAAAAAACHHPAPPLLTNTSPAVAAAVAE >KN539025.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539025.1:86494:87594:1 gene:KN539025.1_FG014 transcript:KN539025.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGSPIFSALSALFSNPSSSSSSDDNAPHSSER >KN539025.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539025.1:112777:113528:1 gene:KN539025.1_FG015 transcript:KN539025.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDGEEKMAGKNKHGCPLGFRFVPEDQELLDIPDDKLRGAPLDRAHDAIFHEARILDFHPAKLYGATMGLAAMLRASTISGVPDQGTRTVDEGGHDTKAVSDVVDIVVAARRVGVGEDSCGAGGAAPFDDDRYVEGHVGGVQARPLLRAVGDHPRAGVLRQGSQDQLGHAQNHRPRAPDNEGIHHVASIFL >KN539025.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539025.1:142477:148919:1 gene:KN539025.1_FG016 transcript:KN539025.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSPGFSPARNLSPQIRTNPTDVDSQYLAELLAEHQKLGPFMQVLPICSKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSNRSGNGFSPWNGLHQEFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPASIIDARLRHAQEVIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGYLHDKLEKAWDAPPTSSTRADTAWKARTRVPQPDRFLRLPEIIVHIGSAASAWTSQLLASSLTRPRQLSPSSFTRGRRVGLAVPIGKVIGLTAASGGGIVGLGSSGGSE >KN539025.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539025.1:109554:112098:-1 gene:KN539025.1_FG017 transcript:KN539025.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTSKIFEEDSELRKALLDSVDHFHNKVIKPLLDGGGGGGGGGVGGGGGSEVLERRITEVLQVYGLPGKLPELRLPGVLPEMEPRLPDEPKPPSWMVFVEAPLPPPSLSYEDGGGNGDSNHTITMVVADVHSEPPLSGWWLARVVLSWRRKIEELPRHVIYVIGAAAIVGTGYIIYLLVKRRRRSRDARPPLPGNGGQPPPGGDHPQAPKLKHLPAALGGDEADEDQGPGDGDKTCGEGSAAYGLHDIAAFAVAFSNSPTWPTLAVENNPAFLALQQIKVAREICNNKAVRLLQLLNPEKSHFSVPWFERLTIFDVCPRPNLVESTSGSRDLQMVRPGLGVLTRPLPTKYRSLGDNFCERVLTSLMHETLKAVVAQYNASQLMIPREVLSYHIYLFLNMVKLRVHWSSLQLEEEELGIFEKRDSWLPCNSNGILGDQ >KN539025.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539025.1:54645:55037:1 gene:KN539025.1_FG018 transcript:KN539025.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLRKSNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEEEITRLQEKYGLGSKTPSSAPDA >KN539025.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539025.1:12792:24878:1 gene:KN539025.1_FG019 transcript:KN539025.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTALSASLPRLLPPRRRRFPTSSPSAASASTSRRVLDSTNGAIPSGKGGGGQQYGREYFPLAAVVGQDSIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNCPEEWEEGLANQVQYDADGNLKTEIIKTPFVQIPLGITEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDEGVSNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVAISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVELVILPRSILSDNPQEQQDQQPPPPPPPPPPQDQDSQEDQDEDEEEDQELISCTLGQDDDEENEQQDQQIPEEFIFDAEGGIVDEKLLFFAQQAQRRRGKAGRAKNLIFSSDRGRYIGSMLPKGPIRRLAVDATLRAAAPYQKLRREKDRDKTRKVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARNRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSTDPEATSDAPRPSSQELKDEILEVAGKIYKAGISLLVIDTENKFVSTGFAKEIARVAQAVSMFRVTLGRFGCDNQFWHRIFTNCAFIGGPAQEQHSCLNTMVNAASCSGFSLHLSGDEGTPTGTTPSNGNVVPFSLHLSSESASKLSSTQANGLNSVTCKDVLGGFCIDDQAKEIKTVPQNQSEAKDLREICKMSSEEINKTCDSKGHRRQQLSGRKVDVRKLRSADVNYAVELSIAASEAMVIAEMILLDSQSDKLATASLEAALHVKEARKQCFLEELEHSCGSSESDLDETDGLSELDETEMLDAFQDVGLSLVQTACASQGQNISGLKQEISHASSHPCDAEAHVLESSPEKQNIRWNSHDADTNDHVSDSLASHNKEGGVVAVQTNVGTRKHVKGLFNKETSFISESMDGMDEFPSPSRIASMEMAASSRASFLHKIKGSCEENQGAEAAQLCSQVVCSNLSLVDPLCSIVPCSISFNEGPPSQAPECIQSKGDKELISTKEFPSKQDLEGEAGPSCTPVSNILLRRRKYSSLRPFSTIAPRPYVSESTEPHNDVDEAVCQQGSFAAVTLNKKIRRVQASKVCVENNFEAGNLHEFSKVLKNPSYAQGVSEHQNSMKSLKRKKAQFSEAKISTRKTKNIRRTQTKSRFSWSDSRLIDTIEPREYIDNKEALFHGLDFLLTGFQSHKEKEIEPLIRKFGGYVLSRVPSCPLDKRSKLAELARCKPPIVLSPKKVSTAKFLYGCAINSWILNPSWLFDSIQAGVMLPPGKYFIRQVHAMQGISMFDQSLHLRKNTLLFDGVGFLILGKISFCSKFSNVIKPLPESLSPRCVSQHGGGQVFASLQGLVQSLKDRSSSHGIILVANEASASRHLSYCGLEHDIKTAPASWVIGSLYSGKLIPLKKDRCASFRKIKMPSFQQPQAFDMSQEI >KN539025.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539025.1:62987:65239:1 gene:KN539025.1_FG020 transcript:KN539025.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSCVDGRRLMPRLLVVCRGEAGEEMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKLLN >KN539025.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539025.1:135290:136496:1 gene:KN539025.1_FG021 transcript:KN539025.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATATPSPAISVSPWSMSSLRAALPSPTRASPAGKLRSSFSPAAAATAASVGCLSSFSGLAPISPLLSLGEETSSFEHRLFGIDARGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKHGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >KN539025.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539025.1:27741:29743:1 gene:KN539025.1_FG022 transcript:KN539025.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIIRPQLLCPLLRISLSGEKKNLLSAEIVSTAGEPSKPELTGATAVARHDTFCAPHQQTREGEADQMVQSISSEGKIQGMQAFTSACALMLLIMNWRLFKSSIILLRYWTDILAMHSETSLLILHSSVFAMLQVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLITTQDNLVEAAKEEASSLRNIIAQATK >AMDW01022738.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022738.1:36:273:1 gene:AMDW01022738.1_FG001 transcript:AMDW01022738.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDVATEVLIPIAAIIGIGFSIAQWVLVARVKLAPSQPGASRSKDGYGDSLIEEEEGLNDHNVVAKCAEIQNAIAE >KN543733.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543733.1:534:947:-1 gene:KN543733.1_FG001 transcript:KN543733.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSIVHVLVEQSDEAADIFHLVDMGTICTTSDAARSTDGASGSVDNDDGLHIVEAGSPGRWPLLVGSGTSHVYILCYLVQNIFITDFLYVLFPNGALTHLLCHFLGLTVQEVLAPLAAVSHVSLEGSSPPLSESV >AMDW01012590.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012590.1:57:239:-1 gene:AMDW01012590.1_FG001 transcript:AMDW01012590.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLRSRLERLRLQLDTVFDKIVAQCESNPGDSLANVLLRIRDQGELDFPFSSTHVKAIIL >AMDW01040314.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040314.1:928:1167:-1 gene:AMDW01040314.1_FG001 transcript:AMDW01040314.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DRRSDYVSTFLEKLVSWETVESRLKKAVQRAVERDEYVSTKHIRKQLLARAKSQIRAMPQQVNGDAREQTSSQEKSLGL >AMDW01039954.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039954.1:53:901:-1 gene:AMDW01039954.1_FG001 transcript:AMDW01039954.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITEEIVQKSIDILVKVVESEGSALASTAMEALGHIGLRCLLPSINRNSSQAALLTILNEKLAKLLSENDTKAIQKILISLGHLSWNELSFAHLNNALDLIFTLSRSKVEDVLFAAGEALSFIWGEVPVTTDVILETNFVSLSQATNYLTGDAPLLVSSNSNKGSDSEEAHAIAREEIIKRLFDTLIYSSRKEERCAGTVWLVSLTMYCGQHPKILELLPQIQ >AMDW01141179.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01141179.1:5:202:-1 gene:AMDW01141179.1_FG001 transcript:AMDW01141179.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVAAVEGAAPAVVVEEKGEAVSLTVWRRSLLFNGKGFTVFDGKGNLVFRVESYAGGSPRE >KN541129.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541129.1:14647:31744:-1 gene:KN541129.1_FG001 transcript:KN541129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELGVVAGGGGRSVRVIPLRHPQEAVAGSSPSWWSVVMVKARGMGPRDWAEVALPCLSWMRTYRLKEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQCMFQCNPILQFSWPPFVMGSSFFVILLIMKNLVGEIPQGLPKFSIPRGFEHLMSLMPTAVLITGVAILESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIGQKAVLGRLPGTTVYRNRLQYPESYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKVQQRYSFLKNLWKSQVGDGCTEYDGLMEDTSLVEGVQSQDNLVEKLAAVPEVILSPSKKSAYLGMLDVGGSLVPGALYNRVWRMELSSCEVHEDRGYRFGLYRRIHLNIVQIRREKYIMTDYLFKWGGSVCCVQAEGTTGFVNGMPSRTDT >KN541583.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541583.1:19332:20264:1 gene:KN541583.1_FG001 transcript:KN541583.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVVGDPPQRVEAIIDTGSDLIWTQCTGCLANHCFKQNVPLYNHSASRTVKPVSCTDALCKANAPGNACDPRDGSCVFQVGYISGAFAGHLDTEVFTFQSGAVDLAFGCVGNNNLDAKGLNGPSGIIGLGRGALSLVSQTGAGKFSYCLTPYSRNDTVSSHLFVGDSASLTGESPVVSIEFVKNSTDPTYNGHYFLPLVGLSVGETKLPIPSALFDLRQVGPGGNWSGGVVIDSGSTISILVDGAYKALVEEMRKQIDGSQVPPPPGGDDDGEQLDVCYSQGDVDKKAPTLVLHFSGGADMALPPANY >KN541583.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541583.1:24027:26225:-1 gene:KN541583.1_FG002 transcript:KN541583.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding QGKAGGQILMGWGVSKCHWALVQRKPSQPCILPDARWCLCERATFFPRAVGYNDAPGQPFSLRRWSMRANVKDCLGDEVRPHDRCNVWFLYTHLCGLSFCYGISWVFGEADTASFAAVGEVGGVSQGGLRQQLPREKRGLEAQRCED >KN548398.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN548398.1:393:494:-1 gene:KN548398.1_FG001 transcript:KN548398.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VTEAVGRPFGTEIIGVMRDRSQLVSKVAYLLGL >KN539839.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539839.1:34230:34938:1 gene:KN539839.1_FG001 transcript:KN539839.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGFLLLLLLLSSSPLYLPPHVTAAAAAAAVGNCHQKDLSGIQVCSVKLFIVFLL >KN539839.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539839.1:13756:16113:1 gene:KN539839.1_FG002 transcript:KN539839.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLHDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKTLYASESIIG >KN539839.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539839.1:42426:44514:-1 gene:KN539839.1_FG003 transcript:KN539839.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEKWHVFMQIKEQAVAVVIRKKTQDLRRTNSMEW >KN539839.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539839.1:56235:61145:1 gene:KN539839.1_FG004 transcript:KN539839.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEALLQMQDLHNSVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGFHKPIIAYRTASKGLSASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDLKLFELKTLVPEFSLKALRKEASKMHGSSGKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >KN539839.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539839.1:9790:10200:1 gene:KN539839.1_FG005 transcript:KN539839.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPAPGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KN539839.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539839.1:47867:49122:1 gene:KN539839.1_FG006 transcript:KN539839.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPRCAHLTCLDSTGAYQADLAKTAATGYFLVLWCHLGPSLEHWKKIIMFTRFLCKSEVFAVAFAVLAAGAIILTLNVLLLGGRINFFQSLSLLGYCLFPLDVGALICLLKDNVLLKIIAVVVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >KN539839.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539839.1:5829:7649:1 gene:KN539839.1_FG007 transcript:KN539839.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >KN539839.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539839.1:16866:18158:-1 gene:KN539839.1_FG008 transcript:KN539839.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENGENGHGAADEVVEEPYQQTPRPGPKLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNNLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAPTAREAIQYSMDLYAQYILQSLKR >KN539839.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539839.1:62534:65944:-1 gene:KN539839.1_FG009 transcript:KN539839.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETEHAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMLQVIAAPGQTPHKGQSTRLNRMMSA >KN539839.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539839.1:27831:29660:1 gene:KN539839.1_FG010 transcript:KN539839.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFEVTLAGLSGLTGHHRGKSQSTVHELCDADLIIQESRWASLPPELLRDVIRRLEASESTWPSRKDVVSCAAVCKAWREMCKEIVLSPEFCGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLADSGKFLLSAKRHRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNGAVIPPVGRSSRRFNSKKVSPKMPSGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASSVEPGGIVPGQPEQIVPRAFEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGTINGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPAQPPAGAPTPSQPAPPEQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >AMDW01040151.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040151.1:10:840:1 gene:AMDW01040151.1_FG001 transcript:AMDW01040151.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSVSSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATAKKKKEGKCLEFRSNSEDLEFEVF >AMDW01022382.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022382.1:6:251:-1 gene:AMDW01022382.1_FG001 transcript:AMDW01022382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LSDGLHSTYVQPSAADADALVSARPQLVGHLVHLDRLRFARPVPRAVGIRPVPSSRSVSFVGNPEPLVARPAACSRGYVIQP >KN542253.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542253.1:4516:7548:-1 gene:KN542253.1_FG001 transcript:KN542253.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTDENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLQRSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATASFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >AMDW01040335.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040335.1:68:1267:1 gene:AMDW01040335.1_FG001 transcript:AMDW01040335.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLENAGDRLNLYLDGLGQQLRDKRQRASFAMYALGLLSDGERKSMEPLAARACGAPERTQAFHERLVHFTSSGAWRDEPVRRYASRYAVEAMEASDGPIRTWIVDDTGFLKQGKHSPGVQRQYTGSAGKTANCQIGVSLVLATENAHVATDFRLYIPECWADDRERCRSAHIPDSVVYEPKWALALGMMEQALAAGLPKGIVLGDCDYGNKTVFRDTLDELGLRYCLEVQSTTALRRVGSHGRLGKRLAVSEIGVRLRRELRTVTWREGTNAALTSRFARVRVVVDRNDGRAREPEWLLVEWPEGAQSPTKYVLSTMPIGTSLKQMVRTFKSRWRIERSYEDLKGELGLDHYEGRSFIGWHHHVTVVLACYAFLVAEHARSFPPSARDDPDADSVADAA >KN542253.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542253.1:12307:17468:-1 gene:KN542253.1_FG002 transcript:KN542253.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding VAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMAVKWFCLLLLKQFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFDSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGLVSAASHAQLNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSLSAAAHA >KN541218.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541218.1:19456:20568:-1 gene:KN541218.1_FG001 transcript:KN541218.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDKVLEHDAVGVFLTHSGWNSTLESPASGVPMLSWLLFAEQQTNCRYKQTEWGVAMEIGGEARRGEVAAMTLEAMEGEKGREVRQRAEEWKQKAVRVTLPGGPWDTNLDRVIHEVLLSCKDKTLRVNGESA >KN541218.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541218.1:22069:23283:-1 gene:KN541218.1_FG002 transcript:KN541218.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCLGHLLALLSRLNEPASGSPPVTCLVADGLMSLAYDAAREIGVPCAALWTASACGFMGCRLYRELIDRGLVPLRDAAQLTDGYLDTVVDGAAARGMCDGVQLRDYPSFIRTTDLGDVMLNFIMREAERLTLPDAVILNTFDDLERPALDAMRAILPPVYTVGPLHLHVRHVVPKGSPLDTAIGSNLWKEQDGVLEWLDGRPPRSVVYVSYGSITVMTSEQLLEFAWGLADSGYAFVWVVRPDLVKGGDAALPPEFHAAVEGRGVLPTWCSQEKVLEHDAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEARRGEVAAMIREAMEGEKGREMRRRAEEWKEKAVRVTLPGGPGDTNLDRVIHEVLLSCKDKISRVNGDSV >KN540970.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540970.1:6985:18544:-1 gene:KN540970.1_FG001 transcript:KN540970.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQLGCCWVLLIALLSCSAATAGEVPAIIVFGDSTVDAGNNNYILTVAKGNFPPYGRDFDGGVATGRFSNGRLVTDFVSEALGLPSSVPAYLDSTYTIDQLATGVSFASGGTGLDSLTARVASVIPLSQQLEYFKEYIEKLKQAKGEDVANEIITEALYVFSIGTNDFIINYFNLPLRRAVYTTAEYTAYLVGEAAAAVRDTHELGARKIIFAGLAPIGCLPSARTLNHDAPGECNEEHSQVAVAFNTALTEAIGKLNDELTGLRVVYSDTYSVLSAILSNPSYYG >KN543234.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543234.1:3920:6758:1 gene:KN543234.1_FG001 transcript:KN543234.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASVELWVLMTTLLLVSRFVLDFFGPWNADRSMVATIQIIEMLNYAMVQYTMGLMQLSAAKVNDYFQVWAVLLVTLQYSVKIGRPYSRSKQLPILDLMSSLWAANLIRLQTLLLLKIPLWIIWSLNSLRIISYFFSSDKASDFNQENTRLVSDYMRYEHTLDVPVVNGNEEMEMMQQYRYLVIGEDEALKQAQEEGRRAGTATPAQYRIRLDPGHDKLVTLDKIWRVVATSSSSSSSSSQNGVLGCSGNRDVCLSFALYKLLRRRFYDLPLHEAGQEKTAQLVMDQADRNTITHGVFITRLIVALIVCKELSEIYLYVFSQWTKVLILCKHVRSLCLRHPLVETVTSKHVRSLSLRHRLVEMVTRMVFWFINRGEWNQNISQYNLLISPVWPRSHTIRYFWGKTSSTTKPEPVVKKALLDSFKLLKGCPIHLRPRRLESYFHNAFGSDEDRVQELKWAVDDLETDTHRILVWHIATCICEINLSGRNMAPKVSLLQVRPLVDRSAAPEAVWPHYATASTLSNYCAYLVTKGLVPDNGLVNGMVFREVRWETMRACFSRRSSLYDVHEELRKKGEEMVKKQKQLVEKQKQRVEQEEDPPATHGGSSTTISEYPGEEDDEIGEAQDGNGDPVPIEGGGHQENNNSQEEAGDGDGEGEMENSIVLMGVLILVFGLMSRTQDEPYP >AMDW01020622.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020622.1:6:152:1 gene:AMDW01020622.1_FG001 transcript:AMDW01020622.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVGPAKELVGDGGQPQYRTVTFREFMRIYKTVGARRDSVEKAFKI >KN542606.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542606.1:70:10126:-1 gene:KN542606.1_FG001 transcript:KN542606.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPLVLGLGLGLGGSGSDSSGSDAEASAATVREAPPPSVLTFMQRQELEHQVLIYRYFAAGAPVPVHLVLPIWKSIAAASSFGPQSFPSLTGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPMEASAAVAPTSLLVRRGVFVWLGGGRRAPVLSLQRQISDPTARAVASGRPKRTRLLTYRTPRHETPETPSADSSSSSYQMAAASPESRPPRSLFDLPADFFDSSSLLGSHPSLAPSAAEPSESIRPAAPPPLSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEDDLDKADPDSLFDDLEVSSVSGSEDELENGPASDRGLSAKDKGEFRKKLYFRCHSGDTVSIWRCVLLKEHEEPVFNSKSGQTESHGSTPFVQEDEMLNRVKNLTSEPRDASRLRIILLTSGGHFAGCVFDGNSVIAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEIQELIASWKSYFDLCVCVFMYAPSKNRQMLFDGDKTQSVLQACDIRPIPLTVHRPTLKEAKRLYTNLTQLCYEMECLSTDEPHVEDVTSFEQSKEAKQKKIMDSEESISVSSLSLDLPNKHEGTSIHPSNNETTPLHEAAKSGNAQQTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEKEKEKEKAQASQSQRTQTNVRGTSAGQMANATASMPGLKQKHQLPQPTALSKEEERQRKLAEEREKRAAAAERRFAALAAQSSSTSGTAAAEHPPQRAAAADDTSCSCCFSSLVGKVPFHSGKSLGVLNHTGALGQAAAGDGAARRGDFSMFRTKSTLSKQNSLLPSRIREPDLELPPHVEGPSVGRQGGEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPVGCFGVCLGLGSQAILWGALAASPAMRFLHVTQMINVALWLLALAVLVAVSVTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLTISLPRAVAPERLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGF >KN543474.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543474.1:1130:3418:-1 gene:KN543474.1_FG001 transcript:KN543474.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKAWLKRWPQQIALIKLAGEGNWFQQFRAVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGFRLASVGIGNVEDSTGMPTD >AMDW01121977.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01121977.1:145:585:1 gene:AMDW01121977.1_FG001 transcript:AMDW01121977.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPSSPVGQAPALPVLPKAPVKKRDGKTLLYNPYRRQSARLQQSKEEVQLQVDPRMRIGKPRGKSAKKLKELAGIAKILDVGNIKESDFAEYAPDGNHSDSSPSDCSISLLQKMGVDMCGLAPEEVAESSLGGARRKKMPRPDMEEK >KN540996.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540996.1:3520:11084:1 gene:KN540996.1_FG001 transcript:KN540996.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYLSKANRVLALATETLQQNFEVDYPDSCKQSNTYAKEFLEYCCHKALHEVTTRPDHLADKNLRRLMFDMMLAWEHPGAVVEDELPENVNDKKTVGLGAFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSVKGLMQSPLASSFHLDAGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIKVGYGDKIVKYDLATDSDQVIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRDYWLAITREVLQVNKFIRKFNLENVQRAEALSKAILGILRYSAVKEAFHISPSHFKTTLTFSLAEKLPKGDMVLKALYDNYFQLLDTSLSHLVTESPVDNRLQNHSLPFSLYALSRMGFILLKRKDEAQSEISFCAVCFGVTKSLEAALEESICYSERIDAARATIDQVKVEGVDANLALMQELLFPFIQVVKLIYSLTKWEDPLKSFLFLVFILYVIQRGFIAYMLPSFFLAFAVVMLWHKYNGREQLLGVLEDAISKLEETLQSVNIVLLKFRAVLFAAVPKTTEMVAVAFLAASAFLIFVPWRHLLLIAVLEWYEAAREPAGMAAASSPTPAAITCRAAVAWAPGQALVMEEVEVAPPEAMEIRVKVVSTSICRSDVTQWQSTAQTDLFPRIFGHEASGVVESVGEGVTEFEVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERKGVMHSDQKTRFSLRGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRICLLSCGVSAGLGAAWKVADISKGSSVVIFGLGTVGLSVAQGAKLRGASIIIGVDTNPEKQEKGKAFGVTDFINPEELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWRPKSDLPLLVDKYANKEIQVDDLVTHDLSFDDINKALELMLENKCLRCVIHMPQ >AMDW01007770.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007770.1:89:211:-1 gene:AMDW01007770.1_FG001 transcript:AMDW01007770.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQ >KN540996.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540996.1:36002:36823:1 gene:KN540996.1_FG002 transcript:KN540996.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSQDHVIGIPVSNTAYGIEEPDFPAEETTTPDHAGFIVGSFQFNNDANSPTTTTTTTDRASKYGRKGDKIAQGIKEHVTLGPKLSETVKGKLTLGARILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTERVAFRSDRPLAVSAPGGDKVRVPYKVTIPLRKVKAARPSENKHKPEQKYIEVVTNDGFEF >KN540996.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540996.1:27172:27500:-1 gene:KN540996.1_FG003 transcript:KN540996.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNDFDAGGDGGDPSECDGKFHKNTERVNIRINANGRSVLAKVVDECDSLHGCDKEHAYQPPCRPNVVDASQAVWDALRITGEDVGEYDVTWSDA >AMDW01035700.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035700.1:71:387:-1 gene:AMDW01035700.1_FG001 transcript:AMDW01035700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRRSLHALLGGGAVADLVLWRRRNVSAAAVAGATAVWFLFERAGYSFPSVMANALLLLVAILFFWAKSASLLN >KN538923.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538923.1:46014:46568:1 gene:KN538923.1_FG001 transcript:KN538923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKVKIKPIANRKARDVCFSKRRQVVIKKANELSILCGVNVAVAVLSPAGKPFFFGCPTVQAVTRRLLGVGPSNPTMGDGGNGDETDIVHELNLKYQKLQQENEVEKKKNQRGQDVRLASDVNALGLHELEAFDSNLNVIDDIVDSNDVVKNAKQTAEPQTQMSVASTLQFMLNGQSIAPSL >KN538923.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538923.1:111730:118033:1 gene:KN538923.1_FG002 transcript:KN538923.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAPREEDLLMEEDEHRPPLNRALLHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRGKADQVRYVVLKWTFCFAIGIITGIAGFVINLAVENVAGLKHTAVSALMESSSYWTAFWLFAGTNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKIIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCASGKCGLFGKGGLIMYDVSTKFDDLMTYHLKDIPIVVLIGVIGAILGALYNFLMMKVLRVYSVINDLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILNLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGHIVHTLRTTGHHAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLTAPVRCPKDYMAGRFEAQDFDKRGSGKQDTIADVELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKSCDRSPVVGILTRHDFMPEHILGLHPVLVGSRWKRLRWQKGAVAKKFRSLLDWLANSG >KN538923.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538923.1:19893:20441:1 gene:KN538923.1_FG003 transcript:KN538923.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPNPRGAMQRRYAAGSEDFGMSQGSSFPARLPVGSSGLPLIRCPRCGTAVVECRSMRHGGKVFFKCEENEQDVPNCCKFFKWIESYRKMVEGMSEHVVDEGPSYVAVVDGSIEMKRSSVDDGKIDKLINLIEVLVMINIGLLVLGFIGVFVMILK >KN538923.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538923.1:54156:56397:1 gene:KN538923.1_FG004 transcript:KN538923.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPPEFMDDVTASLAPELLSEILLRLPPDEPGHLFRAALVCKEWLRAICDPGFLRRYRAFHGSPPLLGLLHRRQVIQGDPVHHLARTTAVPLFPDPTFRRALDCHHGRALLHASDDGWYLIVWDPVTGEQHRVPEPGIPWLIYTAAVFCANAIIKYNWGMNCFSKIDPPIREVYDIALMEMENGSLGYACIQGSSLYVWSRKVNSEGAAEWVHYWVIELQKMIPVVNLIDEAFVVGSGEGVGVIFVSTGVGLFTIKLKSRSWHIVIASEDSLIFDAMLKSNMLQNGQIRCLESAATDFFQSYHYRAVYFLLGGTSTNNAWSNVIVVWNSSYQP >KN538923.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538923.1:72031:72249:1 gene:KN538923.1_FG005 transcript:KN538923.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRKPGCPLLFACAPTGPLNEDDDRRFSDQE >KN538923.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538923.1:9001:13674:1 gene:KN538923.1_FG006 transcript:KN538923.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLARKLDEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGWCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHSVKVVPILVGALNSQNEAMYGQLLSRYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKDWIE >KN538923.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538923.1:139837:142056:1 gene:KN538923.1_FG007 transcript:KN538923.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMAVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSEGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMMVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNISDNSVDIWKIADMKDLQVLLEDITERQLPLAIDIYSCFIRCLCRVDRLEEAKHFFMGMQNANLTPSEDVYTSIIDCCCRLKILTDALTLLDSMTKSGYLPHLESYRIIISSLCEGGNFRTAKEVFGDLLLKESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSDTINAMITGEITVTNEVQEIAR >KN538923.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538923.1:168540:178897:1 gene:KN538923.1_FG008 transcript:KN538923.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQERNTRLENMSWRIWNLARKKKQITMIASWVSTFCLKCLLAYLLENLSFGVSGGISLVPENTYISLLEIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLATRTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDATEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKTESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASSNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKNEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFAFKLMEPMDSAASHRAHGSLVGALRRCLESGLLAMSVMTGLTLMLLLDLWPLQPETWKSTLGVTWSPGHLTKITKDGLDTGDGREGMGKIGCCFEIHT >KN538923.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538923.1:60391:61805:-1 gene:KN538923.1_FG009 transcript:KN538923.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVANRGDEAFVVGSAEGVGAIFMSTGVGLFTIELKSRRVKKVEEPGVYSSVLPYMSFYTPVEPQRSASHAKLNSWIMFTSITTLQGRGSEENVLDKDGKYGL >KN538923.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538923.1:35749:39121:1 gene:KN538923.1_FG010 transcript:KN538923.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNKKYVNDKGRKFRKSLSYAGELSSAGRARGEGGASSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEALRDAFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMRYNPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQIRVCGLPIRPSFCRAVLDKDELRKELDMDPDLPAVLLMGGGEGMGPVEETARALSDELYDRRRRRPVGQIVVICGRNQVLRSTLQSSRWNVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFTTHTNELRRYSLNALKLAQPEAVFDIVKDIHKLQQQPATVTRIPYSLTSSFSYSI >KN538923.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538923.1:636:2179:1 gene:KN538923.1_FG011 transcript:KN538923.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding DMFAYRPRSVVWLLPLNWTVGMPGILAATKSTNLSDQSQLDPVWNEELKLSLPQQYGPRMLVKMTWILAAQDQGAANHLAIGILIRSVLM >KN538923.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538923.1:94875:104687:-1 gene:KN538923.1_FG012 transcript:KN538923.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGAFLGLVLGVAVVMAFARLENTRAEQRRELAASELIKTSVEPIFEQYKSFILSSLHFSKLTLGTVAPQFTDSSIAIFSGFRYKPFLGLCQLEFTNGQVEKRYLSRTEPKLPVPIVTCESALWRGVSILDSDSSGITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAELPCFGAVCCSLREKSKVDFTLKVIGGEMTAIPGISDAIEGTIRDTIEDQLTWPNRIVVPIVPGDYSDLELKPVGLLEVKLVEARDLTNKDLVGKSDPFAVLYIRPLQDKTKKSKTINNDLNPIWNEHYEFVVEDTSTQRLTVKIYDDEGLQASELIGCARVDLSDLQPGKVKEVWLDLVKDLEIQRDKKRRGQVHLELLYYPFGKQEGVSNPFADQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEDLPPMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDEFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >KN538923.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538923.1:145977:147077:1 gene:KN538923.1_FG013 transcript:KN538923.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALGYDNLVSEPLTMASDADRVLRVTMSQLVYPVDEYLLHQLFDGYGAERKIEMRQMGTYVEASVPFQTRAAAEHAWNLNGRAIYDGCCWLDIQWEQQSNNSMTPVTSLSTIITEWKEDIKELRAIMQDLAALLQELAKEKEEEAATGLAVMPTVDMPSIQSIWPAACMVEHEVAVQQKTEMSMTNALPANALLAAAPSPRLSLRDVDCWKEQQASPLAAAVGGDATAVGGVEGRRILRSSVCGLGEKETQRRRSFRAAGLHPRLLAALRKGEAKDRVFPLLRMGRHNFSFGPIQWSGLQVLQPMAWLLGWPGRPLLNTNGHVYLWTWIDWKDLQLQASMHWLTLEFLLSCIGSRLVARHGLVLSL >KN538923.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538923.1:41518:44060:1 gene:KN538923.1_FG014 transcript:KN538923.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPTPEVMDDLTASLAPELLSEILLRLPPDDPEHLFRAALRWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSPSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCKVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFMIELKSRRVKKVAEPEIYFSILPYMSFYTPVQVRTMPSLMFKCGCCVKFILPTMNSLQEWANYWNKHQHADEAMKAQCSLNLVVLPLYAIYL >KN539596.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539596.1:88980:92555:1 gene:KN539596.1_FG001 transcript:KN539596.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYSDIEAAYRCLVETYGATEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKIKYEPLWVKGGNHCNLELYPEYIKHLKKFVMAIEKLPPTKDESSGSSGPSDPSEIGSESMQSSRKSTDVKDKSRSSIDHRHSVDRREKPRGSIDKRDKSRKSIDHPDKPRASVDQPDRPRRSIDRFGGMMRSVTSVKLCNIDCFKVTYASGS >KN539596.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539596.1:274:1144:-1 gene:KN539596.1_FG002 transcript:KN539596.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFMREKLAGRMSPAFKAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAIAIQQTECFDAALKFARTEGIIPAPEPTHAIAAAIREAMECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDMVDLSHSDEKLQEALADVPKI >KN539596.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539596.1:65804:67165:-1 gene:KN539596.1_FG003 transcript:KN539596.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGRTARALCLLCLALLLLGQDTHSRKLLLQEKHSHGVGNGTTTTQEPISRENGGSTGANNNGQLQFDSAKWEEFHTDYIYTQDVKNP >KN539596.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539596.1:21208:24928:-1 gene:KN539596.1_FG004 transcript:KN539596.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSATQSGRQRQGRRCGGREGTVSWSLGGTPSTARALGVPSTTRGLARARNRVALCGSEQLKKDVVRRDTSAIFQAGTRRVFDPGFCLARFCTPHAGMRTKDRPRFIKLLQQEDLENMKIPEKFVQQHLTETYTNNHQNAIIVCPLGKFWRVELQREQPDVLLRDGWAPFLAAHDLSEGNILLFRYEGNMVFTVEVFLQNGCLKEYKTAALYLTDGTEGPSNAPQQSAAKVGVSPVKRKRTRRIEGTCLEGPNRKSRASPISVKVEPQKKHVSIVSQNSFTKEMTAYSIHSLLSVRGTFCSQIGLLEACAITLKISMKKKGSWRVAFKTANTYGYINGPGWRKFCLENELEVQRR >KN539596.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539596.1:7709:8176:1 gene:KN539596.1_FG005 transcript:KN539596.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVKNPSIQSKIHDKITAKTGDEKVEVSEEDVHGMPYLRAVVLEGLRKHPPGHFVLPHKAVEDMEVGGYLIPKGATVNFMVAEISRDEQEWAKPMEFIPKRFLPDGDSEGVDVTGSKGIRMMSFGVKRRICVGLNFAMHHLEYFVANMVREFK >KN539596.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539596.1:82084:84172:1 gene:KN539596.1_FG006 transcript:KN539596.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSESPNGSQQIVQTRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIVVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSSTGVHHRGILEGWFGAHLWNSRAIVLLVTTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIASQRDKILAVTMIVLAVLSNSVALYSDAMNIFRKEEEA >KN544812.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544812.1:1857:2544:1 gene:KN544812.1_FG001 transcript:KN544812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGGGCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEAGHGCSCGSSCKCNPCNC >AMDW01037073.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037073.1:298:622:-1 gene:AMDW01037073.1_FG001 transcript:AMDW01037073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AANQEADASAKAVNHEEKQSKGGASAAATTHVMFQEPSKHDDTAAAVSRMMSMDYKTQDARHHRPINNDAPLDHELVEKP >AMDW01066928.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01066928.1:125:364:-1 gene:AMDW01066928.1_FG001 transcript:AMDW01066928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LGKVLLHPSFGELPQWAVVGDTFPVGCAFDECNVHFK >KN542774.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542774.1:1702:8737:-1 gene:KN542774.1_FG001 transcript:KN542774.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFVLVAIVLPISPFGAHSQRPTQPPIVAPTMPPTPIEEPAPPPTQPPSPGPMAPMPPPPAPMPSPPPPTQPPSPGPMPPMPPPPAPMPCSIMLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQRSRQQPSQICTS >KN542774.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542774.1:12080:12420:1 gene:KN542774.1_FG002 transcript:KN542774.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEANMWDTVISPAKASPTPMLRPRQMVISDDHPLAACQAEGRKRGELTRGHGGIDVLLIEDEGTKKMPTEVAHYKKSEFSW >KN541857.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541857.1:5377:7862:-1 gene:KN541857.1_FG001 transcript:KN541857.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKENPNPSFGRGGESGRRKKVQLTLIQCPLCKENTVVVRTSRTPTNPRRIFYTCPDHEKDGSGCNFWYWEEGYMKYLKRNSFIDGEEAAEVKKAAELKNASKFDGDVVLRQDDELKKALTDVVSIGRELVVIMKLMLVVGSIGVALLVGIMMK >KN541857.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541857.1:19113:20087:-1 gene:KN541857.1_FG002 transcript:KN541857.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSPVFSLLLLVTFAAVTPTLKSSSVPGRQAINRANLTSVATLDGCTRSCGNLTFDYPFGIGSGCFRNPDFNLTCDNTTSPPRLFLRDGTTEVVDNIDVLSYGSTSDYLFMGVNVDLSHAIPMISGADIYNMSWTAPGRSFALFYATLNITGCDFDIYLLDQDRNSAVRLCTVTCPDKEITDKVARQNCNGTGCCTIYLEATLSAFQFRFVRHSKGDLDAVHTNQSSLWNRINITTVSANLAWSIIDQPRCASSRTNRTNYACASSHSKCVDSSETPEFGYLCGCDSGYWGNPYVPNGCLRDNGITIHPTLKTTRCCVSRSR >KN541857.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541857.1:11031:13472:-1 gene:KN541857.1_FG003 transcript:KN541857.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLISYSYQIGYIPAQQKANCSRSCGNISVPFPFGLEEGCYARKLFHLNCTNATSSSLQFDDEQQVAYINISEGLVGIRYTSWYEQLKFKVYVPKQPDLYVGSGESSSVQWAVANLTCQEAKHNNSGYACVSVNSTCLPVNCMDGYIGYRCKCLPGFQGNPYVQDGCQDIDECSTPGICKGEHIAGIIIGLCVGFGILLVSLSATFINRRWKRDIQKQLRRKRFQKNQGLLLEQLILSDENANDKTKIFSLDELEKATNNFDPTRILGRGGHGMVYKGSDQRVVAIKKSKHIEEGEISQFINEVAVLSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSDSSSNFILSWDDCLRIAAEAAGALCYLHLAASVSVFHRDVKSSNILLDANYTVKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKVKPIKEIVAAQVHEEATDDEINSVASLAEKCLSLRSQDRPTMKQVEMTLQFLRTKRSNSCHGTRENDEEMQLLLHTRSEASSEQLAINLGHSANRESQNSHNCYSLEQEFISSVGLPR >KN541857.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541857.1:8878:10520:1 gene:KN541857.1_FG004 transcript:KN541857.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGDEPDERMFFEFSLKWTDGTFDLAPVLLSQGTRTTAFSCRSGCSCQIEDGRLLPCPPSSTGQGFLLYGFVLFILQRWHLISNHIVLQIVEKVEKNEEIAGSSVRT >KN538719.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538719.1:322540:324753:1 gene:KN538719.1_FG073 transcript:KN538719.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLSKQEEHSLYELDTLSAVHLLPLKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWQKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLSGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >KN538719.1_FGP074 pep scaffold:O_longistaminata_v1.0:KN538719.1:355212:356431:-1 gene:KN538719.1_FG074 transcript:KN538719.1_FGT074 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKWMVDINLMGTFHLVKAALPAMKERTKETRLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLGEALQHEVIADNIHVSLIFPPDTETPGFAEENKRRPELTNIIAGSSGGMKADDVARKALDGIKSGKFIVPCNFEGAMLAVATAGLSPQSSPLTAFLEIIGAGVMRFAAICFQFNWFMTIENWYAKNKKHA >KN538719.1_FGP076 pep scaffold:O_longistaminata_v1.0:KN538719.1:330013:338166:1 gene:KN538719.1_FG076 transcript:KN538719.1_FGT076 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDSAGGRLAAAAGAAYLEERVGVILVGAGSLVDWAEVVVVVFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEVDNHQMICYGRLKILGDFLSKLEVFILRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMLPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTGAGSGLSTDTSNHGSFPPSRYGGFPPSHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPNNGLAVHIVYWQILFNSILKHVWSRLVIAHGTAMAKEKEEGLVTLLQQADCRLPLQERILEGFSICCIPLIDPFAMPRLIELYGMGL >KN538719.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538719.1:348524:348856:1 gene:KN538719.1_FG077 transcript:KN538719.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGKPTSGGGGGTSRSSNIRGLLQDFLEQQHRLDVRRQEALERHAQERAAIEQQWRQSMQALERERLMIEQAWMEREEQRRVREEARAERRDELLTTLLNRLLQDDDL >KN538719.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538719.1:359052:360178:1 gene:KN538719.1_FG079 transcript:KN538719.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQGGIQQLLAAEQEAQQIVNAARSAKTARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETDTKIAQLKEQAANVSSEVIQMLLRHVTTVKN >KN538719.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538719.1:339572:342444:-1 gene:KN538719.1_FG080 transcript:KN538719.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGVYLSCSALVCALVLLTVDGDFVDITYVASAVAKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKQMAKQIAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVEKVDPATKLHYRGARVWQAVMDDLLAKGMNSANNGSAKNLPSACTSRLSPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGKWHSCKHDIDQCPASQLQILQGFRDDFLKALKEQGTPSTRGLFINSCFVHCQSETQETWFASGSPMLETKTIADAVGDWFYDRNPFQKIDCPYPCDSTCHNRIYDDPSEA >KN538719.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538719.1:349432:352386:-1 gene:KN538719.1_FG083 transcript:KN538719.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MATTSPCAAPSPSLRCPLALSHPFASPPPPPALRLAGPKLLPGRLAVSPPPGIPAVASALESLILDLDDDEEDEDEETESGLFQGEAWAAADEREAVRSPELVVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWFRFNFALATRVADCLGRDGKVEKCREVFEAMVKQGRVPAESTFHILIVAYLSVPKGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKYNLKQAEFVYHNVVTTNLDVHKDVYAGLIWLHSYQDVIDRERIIALRKEMKQAGFDEGIDVLVSVMRAFSKEGNVAETEATWHNILQSGSDLPVQAYVCRMEAYARTGEPMKSLDMFKEMKDKNIPPNVASYQKIIEIMTKAREVDIVEQLMNEFIESDMRHLMPAFLDLMYMYMDLDMHEKLELTFLKCIARCRPNRILYTIYLESLVKVGNIEKAEEVFGEMHNNGMIGTNTKSCNIMLRGYLSAEDYQKAEKVYDMMSKKKYDVQADSLEKLQSGLLLSKKVIKPKTVSMKLDQEQREILIGLLLGGTRMESYAQRGVHIVHFQFQEDSNAHSILRVHIHERFFEWLSSASRSFDDGSKIPYQFSTIPHQHFSFFADQFFLKGQPVLPKLIHRWLTPRVLAYWFMFGGSKLPSGDIVLKLSGGNSEGVERIVNSLHTQSLTSKVKRKGRFFWIGFQGSNAESFWRIIEPHVLHNFANLVTQEGSSIGSDGAQDTDTDSDDDIQMSDTESDE >KN538719.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538719.1:362236:364881:-1 gene:KN538719.1_FG084 transcript:KN538719.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol O-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64970) UniProtKB/Swiss-Prot;Acc:Q9ZSK1] MHHGFYDAGEAASMSDHRRAQIRMIEESLAFAAVPDDAEKKPKSVVDVGCGIGGSSRYLANKYGAQCYGITLSPVQAERGNALAAEQGLSDKVSFQVGDALEQPFPDGQFDLVWSMESGEHMPDKRQFVSELARVAAPGARIIIVTWCHRNLEPSEESLKPDELNLLKRICDAYYLPDWCSPSDYVKIAESLSLEDIRTADWSENVAPFWPAVIKSALTWKGLTSLLRSGWKTIRGAMVMPLMIEGYKKGLIKFTIITCRKPETTQ >KN538719.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538719.1:303703:309560:1 gene:KN538719.1_FG085 transcript:KN538719.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRGPRRARGPDATRALVFQITTAVASYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKDKLRRAAEADKSADTSADKRVADMKLAKDFATTMEEYGKLQNLAIQREMAYKPVVTQTSQPKSYNFAYVIAPLASLPLNYTTGGIEARDSGKIPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGELTRTSFITEEIDTDIENSAAATKEAKTEIAKASKTQKSNSSLLCILLVIFGVVLLIVIIVLAT >KN540201.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540201.1:16457:33141:-1 gene:KN540201.1_FG001 transcript:KN540201.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTAATSNASGESSMDKHVPEAKVTSDIARLEGSKDKAGSRTTSAAMAPEWLKATMSGDATSIHDMASQDPNVLLITTAASNTCLHISCAQGHEDFCKTVVALNPSLLAAVNADNETPLITAAKRGSRASLSLASLLLKFCQCHQLSEAIAQKDKKGCNALHHAIRSGDSKLALELIKAEPALSRVSNNDEESPLFIAAVRNLTDVVGKLLEISDAAHGGSDIAKKIMEVHPWMAREEIGDDKPAATPMWRAVNDGKIDVVTVLLKYDPSLGYLMTREGSSLLCTAGRNGHVAVARELLKHCPDTPYCSETGWTCLHAAAYYDRIEFVRFVLGSEQLRHLVNIQDKYGRTALHLAAEKLYSRIISALLLHQGIDVTLISNNGQTATSVLTAALASRKDKDKDHTSDKDDDINEIWIPDSKTTVDSPVMDRGLLKAATSGVKPALHDPSLLLGRTVQGNTCLHIASAHGKEEFCNDILMLNPSLLCTVNADAETPLLAAVKSDNVSLASFLLNYCCRRHDDLDMREAMVRQDKQGCNALHHAIRRGHRKLALQLIEKVPALTKAVNKHDESPMFIAVMRNFTDVFHKLLEVPDSAHGGTSGYNALHAAFRNNNTDIAKRIIETRPKLAREENSARTTPVQFGVLEDKIDVLKVLLEHDFSLGYIVSTSGTPLLGSAAYRGHVGVAMELLKHCPDAPFLDENDGTTCLHTAVQKGHIKFVEFVMQSKELRKLINMRDSDGETALHYAIRKCHPKIVALLLQYEAQDVTVLDSNGNPPIWVPDDAADHAKTLNWVQWCLYYDSPNEQATAANASHTRKAQSEVSMRMLKADPEDKGEIYNLIKTIKDQVTEKARKDIRTLTKTYTSNTSLVAILLATITFAAAFTLPGGYSNNAGSEGLPIMSRKLAFQAFLISDTLAMCTSLTVAFVCIIARWEDLEFLLYYRSFTKKLMWFAYFATTTAFATGLYTVLAPHLPWLAIAICVVSVLLPILTKLIGEWPLLKLRIRLLGSFESDLIDMV >KN541161.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541161.1:1669:2204:-1 gene:KN541161.1_FG001 transcript:KN541161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRVFMYPSSI >KN541161.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541161.1:28165:30275:-1 gene:KN541161.1_FG002 transcript:KN541161.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGILSKLPRKTSASGRGADLDSGQCSNGAGNGNSIQRTSSCGSIPSGRSTSTIKRMSSAIFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLNLCCAVFDFSDPNKSSAEKDIKRQTLLDLIDYVDSSSSRFSEAVIAASSRMFAVNLFRVFPPNYRSGSSGGGEGEEEEPMFEPAWCHLQLVYELLLKFIGSSSLDAKVGKKYFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLVPLHKPKSVGVYLQQLTYCVTQFIEKDPKLASSVIIGLLRYWPITNSQKEVMFLSEIEEILETISTAEFQKCMVPLFRRIAQCIKSSHFQVAERALFIWNNDNVISLIAQNRQMIMPIIVPALEHNSQNHWNQAVLNLTDNVKKMFSEMDDVLFSACLVKYKEDEERQASLESKRRLTWEKLESAASFQPVTGHTAVLVGRQPSANLIATLI >KN541161.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541161.1:25800:26897:1 gene:KN541161.1_FG003 transcript:KN541161.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTSTALFSLAIPSSSRVVSTRGHCKASSGSGPFRASPIRCSNAASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAAGSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKACSLTR >KN541161.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541161.1:6865:18902:-1 gene:KN541161.1_FG004 transcript:KN541161.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPESSIGLYDPSMERDSCGVGFIAELSGEYSRKTVEDAIEMLERMAHRGACGCEKNTGDGAGILVALPHNFFREANLLEVTKDAGFELPPPGEYAVGMFFMPTDEERREKSKLLFREKAELLGHTVLGWRRVPTDNSGLGQSAVDTEPVIEQVFVTKSASSKADFERQMYILRRFSVMSIREVLGVKNGGPKDFYMCSLSSRTIVYKGQLKPSQLKGYFFADLGDESFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCEGLGLTRDEMLKLLPIVDATSSDSGAIDNVLELLIQSGRSAPEAVMMMIPEAWQNDVNMDPERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPPQDVSRKGRLNPGMMLLVDFENHCVVNDDELKKEYSKVRPYGEWLKRQRIQLTDIIESVNEAERIAPSISGALPITKENKADMGICGILTPLKAFGYTREALEMLMLPMAKDGQEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTERQCHRLTLKSPLLNTNEMEAIKKMNYRGWRSKVLDITYPKKNGRMGLKQTLDKICAQAREAIHEGYTILVLSDRERVAVSSLLAVGAVHQHLVSHLERTRIGLLVESAEPREVHHFSTLIGFGADAICPYLAIEAIRRLQIDGRIPPNDGKPYTQEQLIEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVVSKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEVHLNDPFSIAKLQEAARINSREAYKEYSRRIYELNKACTLRGMLKFREIPNQISLDEVEPAKEIVKRFCTGAMSYGSISLEAHTSLAEAMNTLGGKSNTGYITIKNGSKGQLTTQTLTFFHGTVEVQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQMKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPAGIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVDEMVGRSDMLEIDPKVLEGNEKLENIDLSRLLKPAAEISPGAVQYCVEKQDHGLDMALDNKLIASSTAALRKGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSDTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTAVILGKTGRNFAAGMSGGIAYVYDVDGKFSSRCNYELVDLYAVVEEDDITTLRMMIQQHRLHTQSDLARDILLNFDTLLPKFIKVFPRDYKRVLDKLKEEKAAKEAEQKAREVVDKKPVEVIKAPNGISVKTEKVMNEEPSSRPSRVSNAVKYRGFIKYEREGTSYRDPNERVKDWNEVAIELVPGPLLKTQSARCMDCGTPFCHQEGSGAGCPLGNKIPEFNELVHQNRWHEALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDNPVSIKSIECAIIDKGFEEGWMVPRPPLRRTGKRVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKADKEGIVQRRVELMAKEGVQFIVNAHVGSDPLYSVEKLRSENDAIILACGATKPKALSLSRDLPIPGRELAGIHFAMEFLHANTKSLLDSNLEDGNYISAQGRKVVVIGGGDTGTDCIGTSIRHGCTNLVNLELLPEPPRKRAPDNPWPQWPRIFRVDYGHQEATSKFGKDPRSYKVLTKRFIGDENGNVKALEVIRVEWGKVNGRFQFKEVEGSEEIIEADLVLLAMGFLGPEATVANKLGLEQDMRSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSKDDEGETNGTEDIAVSSEGLVQPVVA >KN545949.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545949.1:188:811:-1 gene:KN545949.1_FG001 transcript:KN545949.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKVKNFRF >KN540880.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540880.1:15004:17620:1 gene:KN540880.1_FG001 transcript:KN540880.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPLLSKSSRLQTLVASTNEESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIATKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKKVIMAIKAKGRISSEVIAEALRAYAHRRLFSSLENAVSNGLDCTRHSAALETIISLLPSEEGSVPCSFLLKLLRASCLLGSDEACRDNLAKRIGAKLDEASVSDLLIPASSDEAAMYNVDMISAMLEEFMAQHREDDDGAKLQEDDDQEAMDGIGFVKFLVAI >KN540880.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540880.1:31343:34915:-1 gene:KN540880.1_FG002 transcript:KN540880.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLKVYADRRSQPSRAIIIFCRVNRIDFEEVTVDLFKREHLSPEFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVADHWYPADLFTRAKLEAILDWHHSNLRRGAATFILNTVLAPSLGLLSSPQAAKEAEKVLFRSLGLIESMWLKGNAKFLLGNPQLSIADLSLVCEIMQLEVLGDSERDRILGPHEKIRSWVQNVKKATSPHFDEVHELIFKMKERMAAKRQSEPSKDLKTASKL >KN540880.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540880.1:18507:25885:-1 gene:KN540880.1_FG003 transcript:KN540880.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSDPSGMEFATGVMSTLLPKLAELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDMEDNIDTFMLCVDGLEPAKKHNFTCLVDKCQKSLSKIKICNKIVNEIKDIKSQVKEVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGIDKARDDLMKRLSVGDEALKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQSPEIKKVLKDILVELNKQKYMPFDVTAVSERHMINELREYLDNKRYLIVIDDVWDTSKWNIIKCALIDSNCGSRVITTTRICQVANEVAEEFGGVYIMEPLSDDNSKKLFYSRIFGSTYNGSTGNQSVEATEKILKKCGGIPLSIITISSLLVDKPVGDWSAIYDSIGFGTGDQNEAVQNTRKILSFSYYHLPTYLKTCMLYLSIYPEDHLIGMDTLIWKWVAEGFIQEEQDKELFEVGKRYFIDLINKSMIQPIEEEGSVFGCRIHDMVLDLIQNITAEGNFVKIFKKLHEVRRLSSQRTTVRRIALHDNWNQRKNNDLDVALTQLRSFNAIECTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMKDTRVAELPMEIGDLVHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTSVPMGVGNLSSLQYLKLGWDSIKSNKYFAMEVGKLMELRILNICVDNEIDESMKKALLESLCGLRKLQNLVIDFDLIFWNTMSVWEGWDHWEPPRQLRDFHISSMYLPRLPAWVNPMCIPYLSDLQLYVIAMEARDLVMLARMPALRTLILRTRQRISWTVGGTGLFPNLRFYEMNIALTFLQGAMPMLTELQFELWAAEDGAAAGDVGLGQLPLLNYVGLLLMCTGATGRQVEEAEVAWRRMVQILQVNMMERSNDHGILTGRKYTIIVLKSDDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQGETAT >KN541042.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541042.1:14616:17378:1 gene:KN541042.1_FG001 transcript:KN541042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFWALFVLGHDWRISHRTHHQNHGHIERDESWHPITEKLYRKLETRTKKRRFTLPFPLLAFPVYLWYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGPVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >KN539649.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539649.1:80813:81262:-1 gene:KN539649.1_FG001 transcript:KN539649.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLDGGDLVVVCPEGTTCREPCLLRFSPLFAELSDDVVPVGIAVDTAMFYATTAGGLKCLDPLYYIANPRTCYAVQFLERVDTSPARERRAPSTDVANLVQRRMGDALGYRCTMLTRKDKYLMLAGNDGVVNTTQDNHSAPGKKKMQ >KN541042.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541042.1:18512:22634:-1 gene:KN541042.1_FG002 transcript:KN541042.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVGAASAADDFAAASPYRIIHVDPNTIDRSDLGVAAAMASATSGLIISIGDLKQLVPDEDAEAQEKGRRVVAEVTRVLETHSKVGRVWVMGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAATAGPAAAAAGLMPPATTVAAFSKPAASLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISASGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKVRDDRMVLNSKILNLRKKWNEYCLRLHQDHQRINRDPYKPFPRYIGVPTDKERSANSSKGSESVGVQKDVIKPCAVSAVHSSSTARPISSPSLTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNVDNPDDHVSPSSAAPVETDLVLGTPRECSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLSVQPNSCSWSSINVGKTSHSTLHSVASGGFSAFGQWQKRSPLAAQNSDLSNYKLLVERLFKVVGRQEEALSAICESIVRCRSTESRRGPNRNDIWLCFHGSDSMAKKRIAVALAELMHGSKENLIYLDLNLQDWDDSSFRGKTGIDCIVEQLSKKRRSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSRSMIQGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSISGDQEKLQESPSSSKRLHRTSSVPFDLNLPVDEDEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGSECMLEIDVGAMEQILAAAWKSEEDRKPVQTWLEQVFARSLDELKLKCKHVSSSTLRLVACEDTVPTVKGDGLGVLLPPRIILDC >KN539649.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539649.1:45023:45328:-1 gene:KN539649.1_FG002 transcript:KN539649.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKLWWLDLKDKSVMMEQRAKEVAKWESVVTAREEAILATEREVETLWKWVADLEAASRGIALHLRGPGAPTNIHDTAASSNRVAGEETSSIDPLQLVA >KN539649.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539649.1:69911:71951:-1 gene:KN539649.1_FG003 transcript:KN539649.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTIGAAVGWGMKAAGWIISPIISNLMKEGFSYLGFDTSKKLGQLEIKVLELELMLGLEAAQIYPHRNRLEPLLKNLRSAYYEAEDILDDVEYHRLKGQENGNMSDEAPSGISRKKLKKSLEKIENIINEAHRILPLLSLPNQGNVNKRQIVHANSRSPVTTATPPPVVIGREKDCDNIISMLHEHVSNVQPGSSNSVLCYSIIGIYGIAGSGKSTLAQLVCASEKKDKQEKKDGHFDLIMWVHVSQNFSVDTILTEMLEAATGKKCDRFNNLDTLEQKLEEALSGKRFLLVLDDIWYHNSENQHEQQKILTPLRVGKPGSKVLVTSRTEYALLALGALKCIPISDLDDNVFLKLFLHYALPLVNMDERDQRKLEVIGANIAKKLRRSPLAARTVGGQLQIRPNVDFWRDACNRDLLNETMGALWWSYQHLDEQRRLQEVIALEFSKIGQEYFLETFAIFLLRLMTKQ >KN539649.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539649.1:57602:69481:-1 gene:KN539649.1_FG004 transcript:KN539649.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKVERGEGYELQQLRRLNKLRGRLEIQGLENVESKEAAAEANLGAKECLQQLVLVWEDDNESCSPDVQEEVLEGLCPPMELESLEIKGYQGSSWDSLPDDMERLTSIKNLTLSHCYNILLLPALPKSLELLRVDGCSTELTSSCRTTGHPNWHKIKHIPRKYILSLMLLVLLNQLGNGNMSDEAPSGISRKKLKKSLEKIENIINEAHRILPLLSLPNQVNVRRNLSSVTTATPPPVVIGRENDRDNIIAMLHEDASNVQLGSSNGSLCYSIIGIHGIAGSGKSTLAQLVCASEKKDKKEKKDGHFDLIMWVHVSQNFSVDTILAEMLEATTGKKCDHFNNRDTLQQNLEEALGGKRFLLVLDDVWYHNSENQHEQQKILTPLRVGKPGSKILVTSRTEYALSALGALKCIQISDLDENVFLRLFLHYALPLVSMDVRDQRRLEVIGANIAKKLRRSPLAARTVGGQLQIRANVDFWRDACNRDLLIETMGALWWSYQHLNEQKLRVLRVETFRVWKQNMLSFPASFSELKHLRYFGFPVGCRCKLVFPSTFTKLYHIHVFDFGSCGDLVFASKEDLCELTNLRHVIGSIMTVPNFGRLTSLLTVPNIRVTKEVGYELQQLAQLNKLRGKLWIRGLQNVESKEAAVQANLAAKEHLQELTLTWDGKIGSCPDVEAEVLEGLCPPTDLEILKIMDYKGSKYPSWLVGQQNSGPKHLRTLELSGSSRLKSIPEHNELFRNLYSLVISYCSWDSLPENMERLTSLKKLVFEHCDGFRLLPALPQSLEEFDVTYCYELAWSFFLLWKIKCLGPIMVCVDSIRKLEQNEGSVKQFGNCCKKVLFAESPLHVANHNFDRKMEACDTMADPVTVSAAVGWGISAVGWVVSPIITNLINKGFSYLDLGSRGRSAKLNELENKVSELRRVLEAVEESSVRDRLEPLLERLKSAFYEAEDILDDVEYYHLERQILFQPDDKFRRNWMKKFQSALPECSCLKKQKSTGRSSAPPDVSRMALKRILDKIENIINEAHKVLPLTNLPGSTRADIVSSSSRSAVTTATPPPVVIGRDKDRDDIIAMLHENVDDIQPGSGSIIGIHGIAGSGKSTLAQLVCASEKNADHFDLIMWVHVSQNFSVRTILTEMLEAATGKQCDNWNNVDILERNLEAELRRHRFLLVLDDIWYDGENQQELQKILTPLKAGKAGSKILATSRNMDALLALGAGRCILISDMDDNVFLKLFMHYALEGENIDDQDRRIFEVIGVDIAKKLRKSPLAARTVGGQRLRIRLNIDFWRDARDRDLLNQTMGALWWSYQHLDEQVRRCFSYCSIFPRRHRLKRDELVTLWVAEGFIRTSDQGEEEAVGRQYFDELVSSSFLMKQTGGGPTNYFTVHDLLHDLAEKVAGSDCFRIEKGLEGVIPPGVRHLSIETFDKKMITEKISEMENLHSLIIHGSDIDMIADEALFGSMFTRMRKLRVLRVKTFGFKQRKELSFPNSISNLKHLRYFGFATGSTSTCKLVLPRTMTKLYHLQVLDFGFCRDLVFSSEEDLCRLTNLRHFIASDQELNIPNFGRLTSLQTIPLLTVTKAAGCEIQQLAQLNKLRGRLQIEGLENVESKEAAVGANLAAKKHLKELVLVWDWNDESCSPDVQAEVLERLCPPMELETLEIRGYRCSMYPSWLVDQLLNNGPKFLHQLKLNRCSPLGSIPQQSELFTDLRSLVIWCCSWDS >KN539649.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539649.1:83044:83757:-1 gene:KN539649.1_FG005 transcript:KN539649.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKLSHRLFSALVSLLLHGKPISRSSSNTNTTLPHPSLLHKSSSSSPPMEKLAAKTLVLDVEGGLLRSSSLFPYFMLVALEAGGFLRGLVLLLLYPLLCVMGSDMALKVMAMVSFCGLQASRFRAGRAVLPKWFLEDVGEEGFDVMRSAMRRVCVTKMPRIMVEGFLKEYLEVEVVLGREMKVIWGFFTGIMEEEEEGGDQEEVLLEEKKMLVDVVGFSTSLEFLQHHLSHCCKV >KN539649.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539649.1:45826:46432:-1 gene:KN539649.1_FG006 transcript:KN539649.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSPPVASPEGKRFLESAQEPRSVTTTTGPIPTTTTELMTAPATDLVPDIPSTTEPTTEVVLPLSASSGGHAKDTLHATGEQPMATSPPLEPPVVDLVSDDDEVAPGSPRARVGTGIINTLAIVPFADPSSSSPQPHAEPATVTHSPHIFSGAVRNAQQALSKLMETSRIE >KN539649.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539649.1:72949:78337:1 gene:KN539649.1_FG007 transcript:KN539649.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGVPLSGFALASLVTACERRGRDEGIACGSAIHALTHSAGLMGNVYIGTALLHLYGSRGIVSDARRLFWEMPERNVVSWTALMVTLSSNGYLEETLRAYRQMRREGVPCNANAFATVVSLCGSLENEVPGLQVASHVIVSGLQNQVSVANSLITMFGNLGRVQDAEKLFDRMEEHDTISWNAMISMYSHQGICSKCFLVFSDMRHHGLRPDATTLCSLISVCASSDHFSHGSGIHSLCLRSSLDSSVTVINALVNMYSAAGKLSDAEFLFWNMSRRDLISWNTMISSYVQNCNSTDALKTLDAEKVFQSMPTHDVVSYNVLIGGYAVLEDGTKAMQVFSWMRSAGIKPNYITMINIHGSFASSNDLHNYGRPLHAYIIRTGFLSDEYVANSLITMYAKCGNLESSTNIFNSITNKNIVSWNAIIAANVQLGHGEEALKLFIDMQHAGNKLDRVCLAECLSSCASLASLEEGMQLHGLGMKSGLDSDSYVVNAAMDMYGKCGKMDEMLQMVPDQAIRPQQCWNTLISGYAKYGYFKEAEETFKQMVAMGRKPDYVTFVALLSACSHAGLVDKGIDYYNSMASSFGVSPGIKHCVCIVDLLGRLGSQQKRGSSIILDERCGGPGSSITERRQYEQETTGFIKDHEEIRRRPRCRRIKHDITFLFSPVIMVHDYRFMAHDDRLLCSVWANGDVMAGAEMATSTTEP >KN538707.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538707.1:220574:222608:1 gene:KN538707.1_FG049 transcript:KN538707.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVCHLPLIILLSSSLSSSCRSDHQIQIQALVQFKASLIDPLDNLQSWTTNATTSPCSYLGVQCDPLQFLNLSCNGLTGELPDLSAKLAALDTLDVANNCFSGRFPAWVGNLSGLVILAVGENSYDRGETPPSIGNLKKLTHLYLSSCYLTGEIPESIFGLTALRTLDMSKNYLTGGIPAAIGNLCELWSIQLYSNSLTGELPPELGKLTGLRELDVSGNKLSGEIPASLAVLRNFEVIHLQWNNLSGPIPPAWGELQFLKRFAVYENHFSGEFPVNFGRFSLLYGIDISENSFSGPFPRYLCHGKNLHYLLTIGNSFSGELPEEYSACHHLVIFRVHSNMLTGNLPAWVWGQQSAEIIDVSDNRFTGRISLAISKAQRLKELWLHNNQLDGEIPREIGRLWQLKKLYLSNNSFSGVIPPELGKLTGLRELDVSWNNLSGGIPVSLAELRNFEIPPAWGELRFLKRFAVYENNFSGEFPTNFGRFSLLYGIDISENSFSGPFPRYLCHGKNLQYLLTIRNSFSGELPEEYSACHHLVIFRIHSNRLTGSLPAWVWGQQSAEIIDVSNNGFTGRILPAISKAQRLKELWLHNNRLDVEIPREIGLLWRLKKLYLSNNSFSGVIPPEIGNLSKLTELTMGGNMLTGWL >KN538707.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538707.1:303606:311521:-1 gene:KN538707.1_FG050 transcript:KN538707.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFVNGFLSVFMHVGLTLVLLVYLPIAFACRALGRLLVRPFVSGEDLRGKVVLVTGASSGIGEHLVYEYAKKGACVALTARTEIALRAVAKTARDLGAPDVLVVPADITKVDDAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILTPGYVVSNLTMGKGIQKDGHVGIDEEARDINVGPLPVGKTETLAKVVVAAVRRGDSYVTWPGWYWPFHMVMCAAPELVDWFSRTFYVSKSSDDHHGGGALSKKILEAVGGKKFLYPKTIRSHAIAAS >KN538707.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538707.1:247336:250452:1 gene:KN538707.1_FG052 transcript:KN538707.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVICLPLITLLSLSISSSCQSDHQIQTQALLQFKAGLTDPLNNLQTWTNTTSPCRFLGVRCDRRTGAITGVSLSSMNLSGRISPAIAALTTLTRLELDSNSLSGSVPAELSSCTRLRFLNLSCNGLAGELPDLSALAELDTIDVANNDLSGRFPAWVGNLSGLVTLSVGMNSYDPGETPASIGNLKNLTYLYLASSNLRGVIPESIFELAALETLDMSMNNLAGVIPAAIGNLRQLWKIELYGNNLTGELPPELGRLTGLREIDVSRNQLSGGIPPELAALEGFEVIQLYRNNLSGQIPAAWGELRSLKSFSAYENRFSGEFPANFGRFSPLNSVDISENAFSGPFPRHLCDGKNLQYLLALQNGFSGELPDEYSSCDSLQRFRINKNKLTGSLPLGISPAIGDAQSLNQLWLQNNHLDGEIPPEIGRLGQLQKLYLSNNSFSGEIPPEIGSLSQLTALHLEENALTGRLPGEIGGCARLVEIDVSRNALTGPIPATLSALSSLNSLNLSHNAITGAIPAQLVVLKLSSVDFSSNRLTGNVPPALLVIDGDVAFAGNPGLCVGGRSELGVCKVEDGRRDGLARRSLVLVPVLVSATLLLVVGILFVSYRSFKLEELKKRDMEQGGGCGAEWKLESFHPPELDADEICAVGEENLIGSGGTGRVYRLALKGGGGTVVAMAILGKIRHRNILKLHACLSRGELNFIVYEYMPRGNLYQALRREAKGGGCGAAAAELDWARRCKIALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKIAAEDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELVTGRSPIDPAFGEGKDIVFWLSTKLAAESIDDVLDPRVAAAAPSSSSSAAATDREDMIKPTLAEF >KN538707.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538707.1:274479:275263:-1 gene:KN538707.1_FG053 transcript:KN538707.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIKLLLVSAFLSLLLLHGAAARSSPAAAGKCAAASVEVEQANTGEKAGYDPVFEVTVRNRCACAVMGMYGLVGCLLPVKELSG >KN538707.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538707.1:252633:262087:-1 gene:KN538707.1_FG057 transcript:KN538707.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRAADFREKPGEAVNQINAWARKATNKLIDTVIDGGLPADTDVVVANAVYFKGKWKDPFTKALTKTGKFHRLDSAAVDASFMQRGTYYDTGDYIACHDGFKAKLPTRHASVGELKLPKFKLTFSGDIAGVLHGLGLDATFSDGEADFSKMVEDDGGRWPLSMRSLVHKAVIEVNEEGTEAAASAINMVCGMSMTPEPPPVPVDFVADHPFAFFVIEETTGAVVFAGHVLDPSSTAGALDDDDDEEFVVIGCLRYLLDRCMAFVGV >KN538707.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538707.1:155042:157136:1 gene:KN538707.1_FG059 transcript:KN538707.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding WSKHPVCSKRYHFIIRNENEIGTSKTCRRCGFMVALQETRCPSCNHVGLSRDDPEDWDYVQLESPRHLLHGIVHENGFGHLVRINGREGGSSVMTGSQLMNFWDRLCRYLRVRKVSVIDVSKKYGADYRSLHAVATGCSWYGLWGFKLSSGSFGITPQDYSKAVESLSSVPLSNFFPHTRSPRNQLQNTIAFYQSLSRHPLTTIRELFAYIMELATGKSVHKEKMAYDANYQEEFPEEELQRATNIALKILRAADRWVATRTLKAVTSHPIGSPQLVDYCIKTLGGTRTDDGMVVAIRCNSEMNTVEYRLTTETLFPPNNVCTLTQDHLFRDIKFLYDALLYPYTMHPYMPEENYQHAKRSAMILLDCKQFIKHYDLEEDFLPQNPSQLHIWCQVELADQVDDPPCIPAELITLPQAATIADLKGEAARAFRDIYLMLQSFVADQLLDCATASDTTQIKLLFGAKGAVHIKGRCIGGERRFAIYRMERGVDKWTVKCSCGATDDDGERMLSCDTCHMWMHTRCAGISDFDRVPKRYVCKSCKLTHKPKSSGPRLMYSSAPNKRCKTGTGSFSNAAEGLLWPHIS >KN538707.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538707.1:300788:301152:-1 gene:KN538707.1_FG060 transcript:KN538707.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLYSRLVVSPLSPVMATFSCKFGTGCYFLDHMKTRPGELDGPTTAAAPLRRALSTAMAAFSRQSSAGHRSPDHRRMRRMVSLMV >KN538707.1_FGP062 pep scaffold:O_longistaminata_v1.0:KN538707.1:215024:215290:1 gene:KN538707.1_FG062 transcript:KN538707.1_FGT062 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKILGEEEAVQTGVNLVDNGGPRGDGGLGVRVEEDILGSGGGGLRFRQDLWTSAEAAALGAAAGCESERRKRIPMTAAALWMGEA >KN539342.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539342.1:42771:63439:-1 gene:KN539342.1_FG001 transcript:KN539342.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSYCCFLHGCTACVLLLVVSSWRAAGAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNSTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTTLKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWASDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASLDFSKFGRLNLLDLSFNNITGQIPPSILNLPSLTFLNLVANDFVIDGTDMRYLPVVTFFFLLRPLAPLVASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYVQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSASPIFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLVGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHRNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDADLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTRPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGRLSSNPVKFRAVGHFCNPCTVLAEFEKLLGLIPCFVTNLAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYWNLHARLKTQLALAPIPESEEESLYLEEDKQELPPERNVNIEICITKSDKPATEMILKKKPTTEPIVENKPATELILEKKPATELILEKKHATEPPKVEVPPSLPPKPQGWETIAKPKGHSGLDYSKWDKVEDDSSEDEDEEEEELPQYKFKVRTVAGSPELRGASPVTQIHNSLVPSCEQKFRFQDLKPGWQIPKSELSSQAKLAKERDSLASTTKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQRHSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSRETSINTILNIWTRILTNACLLGFVMPHPGRLSRSGGGGVREGSFLARRAPSSMEKRRQEEIQADYQASEGAPRISLPLLWQAAKVYTPIIFELFRKEYELCMDCMAYSCGEFGSLSEYMITVKNKTKDQLVRFDSSDGTVACTCKKFENAGILCCHILKVYELRNVKEIRPQYFLKRWRKDAKVGSTDETNRFNFDSGTKSSVPERYAALCRSFYKIAAKAAENAETFALMASQSDRLVEEVERILQSTLANKSSVGPSIKNQLTSMVQNEYLLNSSNEVEKSTGKKKTEVYRHKSAVVTNKRQKTRKDTRHPDEAATGTRDGELNMTPDNRQSEARNSPNQFLPDQLMQGHYILGQSYGLGTSENLHNNLNQFGQASSLPTMQQQAFPGNNQLTQGYPSDMHALQFVGANPQMEHQNGDQGQSSIPVWDFL >KN539342.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539342.1:23794:24877:1 gene:KN539342.1_FG002 transcript:KN539342.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEELKRVDLKVNVSCCEGCRRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVKKLSKVGKIAEPSRFDEDYFNEDNTVGCHVM >KN539342.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539342.1:66461:74015:-1 gene:KN539342.1_FG003 transcript:KN539342.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSHLLLHGGVLLLLLAAAAVQAQRVATKTDPTEAAALNAVFAKLGQQAASTWNISGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVSGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMTFRINSLSGPIPKELGNLTNLVSLGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLILRNCKISDNLASIDFSKFASLNLLDFSYNQLSGNFPPWASGKNLQLNLVANNFVIDSSNNSILPSGLACLQRNTPCSLGSPQSSSFAVDCGSNRLISASDNLRYQTDDASLGPASYSVTGAPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQTWKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVGIFMWRQKRRKLTLEQQELYSIVGRPNVFSYSELRSATENFSSSNHLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARVRPSNLPLLNQNQNKHNLSDFAPKAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGR >KN539342.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539342.1:94396:102964:-1 gene:KN539342.1_FG004 transcript:KN539342.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIRSRVRRQLHLHGSVLLIIPLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLSFGINALSGSIPKELGNLTNLISLGLGSNNFSGPLPSELGNLDKLTELYIDSAGLSGELPSSFSKLTKVKKLWASDNNFTGQIPDYIGSWNLTDLGKLNNQFIWCRRIGDIENGSSSLAFISNMTSLSVLVLRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPEAMLGLNLLNFLDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLGSPHSASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDASSNGIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRVFDIYIQGERKEKDFDIRKAAGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPTQGYYGPSISALSLKPNFTPTVRSAAAQRKSSSKTGVIVGVVVGVAVFGLVALAGIFLWMQRRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEDKIYIFEWAWDLYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVENPILINTCVKKAINIIKTEYYGDYGQHPKAINRSSAQLPTTYSNNN >KN539342.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539342.1:19975:22299:1 gene:KN539342.1_FG005 transcript:KN539342.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/Swiss-Prot;Acc:Q9XIR4] MKKFAATFFMEILNNKGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSKRYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLQASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVKELAEKTLKAYLNVRRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >KN539342.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539342.1:76665:90879:-1 gene:KN539342.1_FG006 transcript:KN539342.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLGVSHLLHGCVLVLLVAAAVVQAQQAATRTDPTEAAALNALFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGPIPEELRNLTRLTDLNLGQNLLSGPLPSFIGELTNMQKMTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLQLCMLHDLSSIPICSKKLSISFEKFSVHMPSSRTTAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGPIPEELRNLTRLTDLNLGQNLLSGPLPSFIGELTNMQKMTFGINSLSGPIPKELGNLTNLISLGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPTALSNLVQLSSLILRNCRISDDLASLDFSKFASLSLLDFSYNQLSGNFPPWATDKNLQFILPSGLACLQRNTPCFLGSPHSSSFAVNSGSNRFISGSDNLRYETDGVNLRAASYYVTGAPTWGVSNIGKFMDAPNRCYIIYSSHQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAGFGIEDTQSWKSLGRRVFDIYMQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPERFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGR >KN539342.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539342.1:7145:15466:1 gene:KN539342.1_FG007 transcript:KN539342.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGALTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQEFAYYKDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVELLSLWTAKSSSRSKDLICSSEKLNLQLSETLDRFSRKLESVYIASGEKKINLITHSMGGLLVKCFMSLHSDVFEKYINSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESRFFISKWSMQQLLLECPSIYELLANSTFQWEDTPYLQIWRQKLDTNGKKSAMLESYEPDEAIKMIREALSKHEATKSFLRKCSKELCSQVKLKIFVLIEISPKGMITPSLHGVYITKSLVLYGSEHHPISNLSDLLYTQGNYICVDGDGSVPVESAKADGLDAVARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYHEKHGDITSVREDWEIISHRDDESKRPAELPPMFNTLSASREGEDGSLEEAQATIFVHPESKGRQHVEVRAVGVTHDG >KN539342.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539342.1:31535:35615:1 gene:KN539342.1_FG008 transcript:KN539342.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHGGGGRGRGRGRGGGRGGGGGDGRGAGYGGGGGGVGGRGGRGPPGGERIASTEVVRVQPPAPPIAVSRSGTRVPMRRPDGGGSVSKAKVKLLVNHFIVKYRQASTVFHYDIDIKLDISSPKASDKELSKGDFLAVKDELFKDESFRRLSSAVAYDGKRNLFTCAELPDGLFRVKVCSRTYIVSVEFKKKLPLSQLSELPVPREVLQGLDVIVREASSWRKIIIGQGFYSQGRSVSIGPDVVALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLDLVQKSVRYLDYRTTLNKHQLDTLKNELKGQRVTVNHRRTKQKYIVKGLTDRPASQITFVDSESGQTKKLLDYYSQQYGKVIEYQMLPCLDLSKSKDKQNYVPIELCDLLEGQRYPKASLNRNSDKTLKEMALIPASRRKEEILELVNADDGPCRGEIAQQFGISLDVQMMEVTGRTLPPPSLKLGTSSGQPCKFNIDQPNCQWNLMAKRLAEGRVLQCWGVVDFSANSGQHALNGNMFIDKIVRKCCDLGVQMNRNPCYVQLLDMEVLSDPHQLFEELNKAKQAAASKKQKLQLLFCPMSDQHPGYKTLKLICETQLGIQTQCFLSFLANKQQGQDQYMSNLALKINGKIGGSNIQLFGESLPRISGAPYMFIGADVNHPSPGNVESPSIAAVVASVDQGASKYVPRIHAQPHRCEVIQHLGDMCEELIGVFEKRNRVKPQRIIYFRDGVSDGQFDMVLNEELADMEKAIKTKDYSPTITVIVAKKRHHTRLFPKDLNQQQTKNGNVLPGTVVDTGVVDPAAYDFYLCSHNGLIGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSGAGASDFRSFPALHEDLVDNMFFI >KN539342.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539342.1:104556:116371:-1 gene:KN539342.1_FG009 transcript:KN539342.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding EALKAVFDKLNQKAEWNTTGDLCSGTAMDGTDINDSSIKVAIKCDCSDQNNTVCHITGLNLSKNFLTGPIPPFIGELTAMQYMTFGINTLSGSIPKELGDLTNLTSLGIGSNGFSGFLPSELGNLFKLEQLYIDSAGLSGPLPSSFSKLIRMKILWASDNNFTGQIPDYIGGWNLTDLRFQGNNFHGPLPATLSNLVQLTNLRIGDIENGSSSSLAFIRKMTSLSTLVLRNCRISASLALIDFSNFSNLTLLDFSYNQLSGNFPSWASDKKLQLYLVANNFVIDRSNSVLPLELECLQRNTTFSLGPPVSSPFAVKCGSSRSMTDSNNNFYQTDDATIGPASYNVTNTSLPIWGVSNVGKDFSYNQLSGNFPSWASDKKLQLYLVANNFVIDRSNSVLPLELECLQRNTTFSLGPPVSSPFAVKCGSSRSMTDSNNNFYQTDDATIGPASYNVTKTSSLIWAVSNVGKFMDTSDGNYMIFSSSQFQNTLASELFQSARMSPSSLRYYGIGLENGNYNVTLQFAELGFTESQSWRGTGRRVFDIYVQGERKEQNFDIRKAVGGKSNTAIKKDYAIHVTKNIVEIHLFWAGKGTCCIPSQGYYGPSISALSVTPMDLMSDFAPGARNAAQKKSSSKTGVIVGIAIGVTVLGLVALAAIFMSTQKRRKLSLEQQELYSIVGRPNVFSYGELRSATENFSSSNLLGEGGYGAVYKGKLIDGRVVAVKQLSKTSHQGKRQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGSRRLNLDWATRFEIFLGIARGLAYLHEESRIRVVHRDIKASNVLLDTNLNPKISDFGLAKLYDDKTTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDALEEDKVYIFEWVWRLYESDRALDIVDPDLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTGSSSTSSSAANGQWSSAPPPPRATSSPKMSSPFLSSVVDEGR >KN539342.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539342.1:37439:42034:1 gene:KN539342.1_FG010 transcript:KN539342.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESPPPPPAAAAPASPPPPPPAPAAKGIQDEGSSSSSWSVERIPYGEDEKEEPPATPIASSNKNKREEPPTKHRPMARPPGGGGPLSKGEVKLLVNHFSVDYPKESTFFHYEIRIKLVDGPNRKLSKAELLTVKNELFEHESLQELSSAVAYDGERNLYTCAELPEDCIVPVSKFRVKDSSRTYIVSVKLKKPLPLSQLLEQPPGPRDVMQGLDVIVREASSFGKIVLGQGFYPQSGSEAISDSNIVALKGTQQSLKCTQKGLILCVDYSVLPCWKAGSVLDLVKTMKFVEYPLLEDQLKKLNNALKGLCVTVSHRKTEEKYTVKGLTDKPADQITFKDSKSGQTTKLIEYYRETYKKEIEHPMLPCLDLSKSKSKQNYVPIEFCNIPEGERYPVARLDDKKSDNKGEQEKPSTKTTLREISIKVASSRKEEILDLVGNAQDGPCRGEIAQRFRISLDAAMMEVTGRILAPPTLELGTGTSRGQTFKFTIHQDDCQWNWKLKKYDKRVVAHGGALDCWGIVDFSKGNPGSTFIDKIVRKCSDLGMDMTPKPCYKHVSNMEVLSDPKSLRDALIEAKRATEEEDKKLQLLFCPMLNRCHGYKTLKLICETELGIQTQCFLSTAAKLDEKRQNQYITNLALKINGKIGGSNMQLDPDSIPVVSAKDFMFIGADVNHPPPGNVSKDIPSIAAVVASVDKGASKYVTRIRAQHHRCEMIQNLGDICKELIGAYEKVNKKKPDSIIYFRDGVSDGQFDMVLHEELADMENKIMVGDYPKITVIVAKKRHHTRLFPKDRNQRQTKNGNVLPGTVVDTDVVDPTAYDFYLCSHKGEVGTSRPTHYYSLLDENGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPAASAASASEAMMPAAQPQAAAAAAAAAAPSSSAASSSEGMTASQPQAPAAEAASSSAGAADFRELPPMHGDLLNNMFFL >KN543026.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543026.1:9054:9928:1 gene:KN543026.1_FG001 transcript:KN543026.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLFAATVLAAANPAIGQLPAGYLSIDCGLEANYSGYTDSDNGIFYVSDGPYVDTGENHMVAAGQERGRFRADLTVRSFPNNVRNCYALPTDTGNKYLVRVINFYGNYDGKNSSSTLQFDLHLGVNYWDTVYANGDEVHEVLFVAWASWAPVCLVNTGRGTPFVSSVELRPLGSELYPTVMTNQSMRMHLRRSLGPTTAYITR >KN544205.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544205.1:645:1160:-1 gene:KN544205.1_FG001 transcript:KN544205.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVKHSQSSNVVNLVGRQIEIPMSEIANIDQEHSFDLDNNAVTLDDLSEGQRRELEQEVEVEIEELREHKLARLLITRLQKTKNSVIVKQQKLINLELSANEKEVAMLDFSGNIGPFVLPAEFRAKEDNEHLDDGARNRDEKVKILESNQRTNLDITQVKKSECFSNISDQ >AMDW01014633.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01014633.1:21:245:-1 gene:AMDW01014633.1_FG001 transcript:AMDW01014633.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTPATQYVMVVDTGSSLTWLQCSPCLVSCHRQSGPVFNPKSSSTYASVGCSAQQCSDLPSATLNPSACSSSN >KN540719.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540719.1:1330:3119:1 gene:KN540719.1_FG001 transcript:KN540719.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VDFFNQVNFLYGTLMEFCTSSTCPIMSAGPKYEYRWADGVKVKKPVQVSAPKYVEYLMDWVESQLDDEAIFPQKIGAPFPQNFREVIRTIFKRLFRVYAHMYHSHFQMILKLKEEAHLSTCFKHFVLFTWAQMDSKNERKKMYMNNEAD >KN541460.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541460.1:3162:4640:1 gene:KN541460.1_FG001 transcript:KN541460.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHRRTLSTTVVEETVAAAATLVSKWHPDDHHSSLFLHDHASSPEADHFLRAAADLHRAMLFFASDPTNAHNGHGLVQAHHLLDTAMRRLQLELPRLLLAPPPAGSRDRLRALADTMMSAGYGKECISTFKEHRRAALAATLRRQHTVVQVPFHKLTWEQVDDNIQSWLAAARIAFSSVFPAEKELCDTVFAGDASVGDAVFEDVANNQAANLLAVAEAAVARARRAPERLFRVLDVHDALTEILPEIMSVFGDRSEVAKRGCSALFKAGEAARGALANLEVAIEKEPSKATVAGGGVHPLTRYVMNYLVFLADYEGALDRINQQQGSPERSWSIGWLVQVLMRKIEAKAGSYREAALRHLFMANNTHYVARKVAIIPSLGDDDGEAQDAARRHVEAYVRAAWGKVLKAIAAADGVEVEEAVMQAVAKQEKWVAADEEMGQVLRAAATAAVVPKYRMLYRRHGATLRLTPGDVNAIIAALFGGIIATPSSC >KN541460.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541460.1:26549:27982:-1 gene:KN541460.1_FG002 transcript:KN541460.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRLPQSAPNPNKEEEKATPLRVSAFGRRRNTIKKMAADSLLDMSLDDLITNKYKRRSRPGPAPSARRSHSRAATRSAAAPYHAITFQAPPTAYVHPTPAANVETGTKLYISNLDYAVSNEDIKELFSEVGDVKRYSINYDRSGRSKGTAEVVFSRKSDALAAVKRYNNVQLDGKPMKLELIGINIEPPPPAIFGFAAPAGYFDFPPKRSKFVKLGIPNSKKSWIRSCANEDLR >KN545473.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545473.1:66:1239:1 gene:KN545473.1_FG001 transcript:KN545473.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQPQPLAASDSNAEEPEAPGPHQSEKETGDLEEAAMAASSEATAKESPATDQEDASPQSSDQSFYSNVDSSFSHRSELELAASATDSPLHGSPSSTEKLLEADAAMLRKKREEEEEEDEAATGEINSLLIPSTTTSSSSSSVACPVTVQSPMEAVAGLKSTSFVLLVLIVQVQRVLMLAIGDAVSRDNLC >KN541460.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541460.1:22709:25156:1 gene:KN541460.1_FG003 transcript:KN541460.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAVLLHPANVVACTALGVVAALLGVLLPCPRLATRDATDKRLAYLEVAAERVRLLADAFHLISSDEAAGDDEEERASSCRCSRRRRQCVAACIMSQADRAASAGALLLRRISSAQGDLQWERMPALLKRWCSSRWDDDDEQACARLHELIEMPLRGMEMACTHMLQQPCWPNTNTISSICTTPSRLQHATDHVRLALLTKRIPSCSNTGTGSMEMAKLAPVSVGALEEQQLAPFLFLLCLDLLLQGSHPALQRPPKLLLSVSAHSDAAASQVKVIPAATTKDDDEEQPEQTRKKKHQCPRQTTMRRRLVAAAKCSFSLGLAVLLGLLFSSDHGFWSGLIVATTMATGREWTWALAIARAHGTALGSVYGALACLVIDQRLMELRFLALLPWLILTAGFLKRSRAYGPAGAGGVAAAVSGIIIVGRRYDEPPMAFTVARLVETFIGLACIIVADLVFQPAARPSTKATAQLDRCLAALKGCFSRGRQTTTKVKVKAVQEQVALLERCVAEAAGEPHFPWSLPFPASCYHKVAGSLGRMAQLLYLYTQAHPNPIPAADEDATQRFHCLVSASLERSADLLLRLSRISSSSRDEEDLEAGIRVSSGSDTCCCDDEDAPEMLVRSFLSQQQQQQDQGAALALASIGFCMGEMAKEALQLEAYMLDLILLAH >KN541460.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541460.1:16925:18210:1 gene:KN541460.1_FG004 transcript:KN541460.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSSGDGGGYDYSEDWVVNSRGMRLFTCAWIPKESSRGVVCLCHGYAVECSVTMRGTAERLARAGYAVYGIDYEGHGHSDGLHGYVPDLDALVRDCDSFFSTATASFPRRRFLLGESMGGAVALLLHRLRPDFWTGAILVAPMCKIAEEMRPHPVVVSVLKVMTSIIPTWRVVPTNDVIDLAYRMQGKRDEIRRNPLCYKGRPRLKTAYELLRVSILIESTILPQVSLPFLILHGAADRVTDPSVSDLLYRSASTTDKTFHLYTGMWHALTSGELPHNIDAVFRDIIDWLHHRTSPTSASHESSTSSEAERKAKHDDTTHDCGKQTS >KN540850.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540850.1:37743:40509:-1 gene:KN540850.1_FG001 transcript:KN540850.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEWSRFRGLAGARQGGDPSSLIRVIELNCEIRVLNTQAMLEMASNRPGMAMAESELWLHPCLLPPSRGCNHCSGRAGSLALMWHELLRLMGTPGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KN540850.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540850.1:5035:8333:1 gene:KN540850.1_FG002 transcript:KN540850.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDSKDKDSDRNKERNAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGENVVDNSRSVDRSHETELHVLRDDRQDKAVEIKDILHDGVAKSDYAQHQIDLDSERRNGTGDNSRVDVLRDDKLDSRRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNVHFTIIQAIADTPSFVFLWVGDGVGLEQGRQCLKKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >KN540850.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540850.1:17202:18977:-1 gene:KN540850.1_FG003 transcript:KN540850.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MAGKGSYVPPQYIPLYGLDTEEDRVSAEENGAARHKLTRDPTQWSSGICACFDDPQSCFIGATCPCFLFGRNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRQALRAKYNLPQFELCHGVLPTKVCFFCKQYSRSTMWRFNNALILSPVCYMSRVQGDPREDRQRLPRSDRHATCNTDNG >KN540850.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540850.1:15754:16146:1 gene:KN540850.1_FG004 transcript:KN540850.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTPEFFKKHTPAAEAPVRRLMVPKFKFEAKSDMRKLGVTRAFAGGDFSGMVTGGDGLFIAEVYHQATIEVDEVGTVAAAATAVVIQQCGSSRPPVDFVADRPFLFAVVEELTGAVLFLGHVVNPLAE >KN540850.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540850.1:9575:12775:1 gene:KN540850.1_FG005 transcript:KN540850.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KN540850.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540850.1:20661:22621:-1 gene:KN540850.1_FG006 transcript:KN540850.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQLEAVDRKIDGEVGAARGELAALLEEKRLELEGQLKRLDARADDLSDALGALKRMEFLRKDEFDKFWNEVKESLASGPGTEVDLDQVRALAREIAMGEIEKHAADGIGRVDYAVASAGGKVVRHSDAYDAGKRGGFFSSLLSGDTAASPQKILKPSFGEPGQCFPLQGSSGFVEIKLRKGIVPDAITLEHVSKDVAYDMSTAPKDCRVSGWYQEAHNEAYSGHAASAKMYVLTEFTYDLEKKNVQTFDITAPDVGIINMVRLDFTSNHGSSALTCIYRIRVHGHEPVSPGMSVSQS >KN539042.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539042.1:55822:57813:-1 gene:KN539042.1_FG001 transcript:KN539042.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFVLLLFLGLGCLRPAAAADEQFVFNGFTGANLSFDGMATVTPNGLLMLTNGTNQLKGHAFFPAPLQFHRAPNSTAMQSFSTAFVIGIIGAFEDLSSHGMAFIIAKSKNLTSALPGQFMGLVSSANNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGDFKNMSLVSRRPMQVWVDFDGQTMQVNVTMAPLEVARPKKPLLSKIVNISSVIDDTAYVGFSSATGILFCRHYVLGWSFKMNGAAPALNISSLPSLPLKEEWEVTFGPHRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLPSSKAEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLASKASDVFAFGAFMLEVACGRKPVAQDARDNRVVLVDWVLDRWRAGAVTDTVDPRLHGDFVESEASLVLRLGLLCSHPLPGARPGTRQLVQYLEGDVPLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSTSAGTFSDLSGGR >KN539042.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539042.1:140329:141999:1 gene:KN539042.1_FG002 transcript:KN539042.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDSKVLKKGPWTPDEDKLLVDYVQANGSGNWRLLPKLAVCANRSAFLCFVD >KN539042.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539042.1:27653:31103:-1 gene:KN539042.1_FG003 transcript:KN539042.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MYNVPLLLLLVVASAGAGDGAAPASNARPCASPAASAYPFCNATLPFPARARALVSLLTLDEKIAQLSNTAAGAPRLGVPPFEWWSESLHGVCDNGPGVNFSSGPVRSATIFPQVILSAAAFNRSLWRAAARAIAVEARAMHNAGQAGLTFWAPNINVFRDPRWGRGQETPGEDPAVVSAYSVEYVKGFQGDYGEEGRMMLSACCKHYIAYDLEKWRGFTRYTFNAKVNGVPACARKDILQRARDEWGFQGYITSDCDAVAIIHENQTYTASDEDSIAVVLKAGMDINCGSFLIRHTKSAIEKGKVQEEDINHALFNLFSVQLRLGFFDKTNENQWFTQLGPSNVCTTEHRELAAEAVRQGTVLLKNDNGFLPLKRSEVGHIALIGPAANDPYILGGDYTGVPCHSTTFVKGMQAYVPKTTFAAGCKDVPCNSTDEFGVAIEAAKRADVVVLIAGLNLTEETEDHDRVSLLLPGRQMDLIHTVASVTKKPVVLVLMGGGPVDVSFAKHDPRIASILWIGYPGEVGGNVLPEILFGKYNPGGKLPITWYPESFTAVPMDDMNMRADASRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILQAPKKISLSRSSVPDLISRKPAYTRRDGVDYVQVEDIASCEALQFPVHISVSNDGAMDGSHAVLLFASSKPSFPGSPIKQLVGFERVHTAAGRSTDVEITVDPCKLMSFANPEGRRVLFLGTHVLMVGDEEHELLIEA >KN539042.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539042.1:5204:5341:1 gene:KN539042.1_FG004 transcript:KN539042.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDLQVKERAKELKHLKGAVMKGVKVVGDSCKKAWNKVKSIKR >KN539042.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539042.1:91791:93012:-1 gene:KN539042.1_FG005 transcript:KN539042.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCQGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFAPGCRSTDKELARAPSKICSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSESQEVLPEVVPEEQPAPPPPDENREDN >KN539042.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539042.1:145832:147704:-1 gene:KN539042.1_FG006 transcript:KN539042.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISKRKPLFFRLDGYKVADEPPAEKPAQGGSNDRDVALARVETEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKVAIVHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >KN539042.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539042.1:286:3957:1 gene:KN539042.1_FG007 transcript:KN539042.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRSFSLCVLLLLLLLAPPISASFLFDGGKSKSAAAAAAVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAVIRRDDHRQMFKYTRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGQGDIGSMQIKQDLVLCSNTSLHKRREHLRDRIANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAQKALTARDVIPRNWKPTATYTSRLNFEAAL >KN539042.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539042.1:112618:114787:1 gene:KN539042.1_FG008 transcript:KN539042.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDFILRYGHGCWSAVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNNLAGTAPGLSYQGSSSVQVDGPCGGAVDSLHGLGDGGFCWDFDDAADHMQGGGGLCDLLSMSEFLGIN >KN539042.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539042.1:63071:68060:1 gene:KN539042.1_FG009 transcript:KN539042.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIIMDLWPCKKFRPYLCPPQPTGPPPVWASAQRARLLGPKPNATGPRPRQTRPALGQKEGGRAERKDELMNHLLGRGVHIPRPAAANRGGTTTMEAAAISNPPSKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVDGIRRSGAAGSDVVFHQLDVTDAASVARLADFVRDQFGRLDILINNAGISGVDRDPVLVAKVKDQIEGMNVDQRVEWMRENSKETYDEAKSCITTNYYGAKLVTEALLPLLLLSSSGRIVNVSSGFGLLRNFNSEDLRKEFDDIDSLTEKRLEELLDLFLDDFKANLIEAHGWPTGGSSAYKVAKAALNAYTRILAKNYPTLRINCLTPGYVKTDISMHMGVLTPEEGASNSVKNRNRGTTSSAIALPGTLRNRIAVVTGGNKGIGLEVCRQSAADGITVVLTARDETRGVEAAEKLRGMGLSSVVFHQLEVTDSSSVSRLADFLTTRFGKLDILVNNAAVSGMERVQEVDANEEQVVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAQGWPTAPFVAYKMAKVAMNAYTRILARRHPELRVNCVHPGYVKTDLTINSGFLTPEEGGRNVVTVALLPDGGPTGAYFDEGREASFLG >KN539042.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539042.1:88055:89458:1 gene:KN539042.1_FG010 transcript:KN539042.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATSSLPSQSARVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSSVVFHQLEVNNAAVGGMEYAQGVDNNEEQFVGMDVLQRLQWMRKQGRETYDTAKNGVQTNYYGAKHVIQGLLPLLLSSSEGKIVNVSSALGLLRFLGNEDLRNELDDIDNLTEERLDEVLDSFLKDFEAGELEAHGWPMGSAAYKVAKVAMNAYTRISARKHPALRINCAHPGYVKTDLTINSGFLTPEEGARNVVTVALLPDGGPTGAFFDEGKEASFV >KN539042.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539042.1:157034:158918:-1 gene:KN539042.1_FG011 transcript:KN539042.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLGVKAAPFTYVAHALAVAAAVMVLVWCISFRGGLAFEADNKNLIFNVHPVLMLIGYIILGSEAIMIYKIFPKLNHDTTKLIHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWIFGFVAFFYPGAAPHVRRGALPWHVLFGLFVYVLTLATAELGLLEKLTFLQSSGLDKYGAEAFLVNFTGLVVALFGAAVVVAAVAPAHVEEPEGYAPIPVN >KN539042.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539042.1:24782:26861:-1 gene:KN539042.1_FG012 transcript:KN539042.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAAVCSSPLASASASASSARRLRAVPPSRGIRYQALRADSGFAGNRQGGGRGASVVCAVQGQDTSIQVPEVTKSTWQSLVMESELPVLVEYWATWCGPCKMIDPVVGKLSKEYEGKLKCYKLNTDENPDIASQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLIASVEKFVER >KN539042.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539042.1:5987:9131:-1 gene:KN539042.1_FG013 transcript:KN539042.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGVLEKYKFATQFSKQSGLALLSLKQVSNWILIPQEACLVQLIAVFIGLSGNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYDLDAYHRRVMEKEKRKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFELDVKSCFFQVPHKGASVASVWGTLGLEETSRNWKSLA >KN539042.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539042.1:40934:42927:1 gene:KN539042.1_FG014 transcript:KN539042.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCRSQEQKSSVASGKGSDPEWNETFMFSVTHNATELIIKLMDSDSGTDDDFVGEATISLEAIYTEGSIPPTVYNVVKDEEYRGEIKVGLTFTPEDDRDRGLSEEDIGGWKQSS >KN539042.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539042.1:59915:61636:1 gene:KN539042.1_FG015 transcript:KN539042.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVAPSTRLSTFNAGQYLGILNVTDNGNADNNIFAVELDTMLNPEFQDMNSNHIGVDVNSMKSVQNHSAGYYDDVTGVFNNLSLISRQPMQVWVDYDGATTVLNVTMAPLDVPKPSKPLISAPVNLSSVVTDTAYVGFSAATGVIYTRHYVLGWSFSQNGPAPSLHASSLPALPRFGPKPRSKVLEIVLPIATAAFVLALVIAAFLFVRRRVRYAEVREDWEVEFGPHRFSYKELYQATKGFKNKQLLGTGGFGRVYKGVLAKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQEHERRHAALDTVDARLCGKYDADEARLALKLGLMCAHPLPDARPTMRQVTQYLDGDAPMPEVAPTMVSYTMLALMQNDGFDSFAMSFPSTVTSTASPMSADVSAVSGLSGGR >KN539042.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539042.1:82230:83951:-1 gene:KN539042.1_FG016 transcript:KN539042.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIFSSTHTSLVADARIAVVTGGNKGIGLEVCRQLAGNGATVVLTARDEAKGAAAVEKLHGLGLSSVIFHQLDVTDASSIARLAEFLESRFGKLDILASYLVFVNNAAVGGIVPVDDPSFGLLPTEEKFSGMDGHQRIEWMWKNCRQTYDAAKAGLKTNYYGTKNVTEALLPLLQSSSDGRIVNVASSFGLLRTADTEDGMQFFTNEELKRELNDADSLSEERLDELLGMFVRDFEAGAVAERGWPTEFSAYKVAKAAMSAYARILARKRPAVRVNCVDPGYVKTDLTRNSGLLTPEEGASRVVAVALLPAGGPTGALFDGGKEASFV >KN539042.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539042.1:138690:139094:-1 gene:KN539042.1_FG017 transcript:KN539042.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSTPPTSYKRWFGRKYDEGKGGYLVKPIEAGVSWASKETLRMKRCLQHRGDAMPYTNSLGAGFNGKRGKMWDLSGDGTCGHAMVETMEQTTGSRCLLAVATSMPFPWIHHCCCSYARHKSGMDRLEDPWET >KN539042.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539042.1:76173:77571:-1 gene:KN539042.1_FG018 transcript:KN539042.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MEREITSLPTKRVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSSVVFHQLEVNNAAVGGMEYVQGVDTNKEQVVNNEDLRKELDDVDNLTEERLDEPTVFAAYKTAKVAMNAYTRILARRHPELRVNCAHPGYVKTDMTIDSGFLTPEEGGRNVVTVALLPDGGPTGAYFAEGKEASFLG >KN539042.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539042.1:38956:39147:-1 gene:KN539042.1_FG019 transcript:KN539042.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLECDFLPSRAIFSMENGIEHPLRMLLDGPFHIGKPKFCANELNASSQHDGVTTVRCSKF >KN539042.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539042.1:10553:13377:1 gene:KN539042.1_FG020 transcript:KN539042.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKESVRVAALGHGYTESQLAALMSSFIIRKPAPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDLHRTTEQERDNIEHEVTLGHQHYSAIMLKHILCNMVDEFIENLILSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >KN539042.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539042.1:44968:52952:1 gene:KN539042.1_FG021 transcript:KN539042.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRMIAIGVYLRKILVDGSSHLEKEADTLMSHCIAMGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLGTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >KN539042.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539042.1:126849:133025:1 gene:KN539042.1_FG022 transcript:KN539042.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGDTVEGEGVGGGSTGESERGAWGGSERWRVMGGRSGDGRCSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >KN539042.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539042.1:14034:14795:-1 gene:KN539042.1_FG023 transcript:KN539042.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIYMAAVNNKTSLPDDEPMKKISGDMPVTAGNACFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLCPGVGSTASNNSSLARRQCPVCKATLSPDMLVPLYGRGGSLKKSLNGVPIPRRPTVQREAVEHQNTHNNIDDRHHENMEPSPPPQPLRHSSHHSSATEFDFIYPPSPIGRGLIHSTAGGVLGGMAVAVLPWAFRGQVPPSMFMNPHYVTAHNMSSRARRHQMEVERSLHQIWFFLFVFVVLCLLLF >AMDW01025371.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025371.1:15:222:-1 gene:AMDW01025371.1_FG001 transcript:AMDW01025371.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding QNSNGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMER >AMDW01035004.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035004.1:23:373:-1 gene:AMDW01035004.1_FG001 transcript:AMDW01035004.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGGSVDQNSILEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIE >AMDW01047297.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047297.1:1241:3034:1 gene:AMDW01047297.1_FG001 transcript:AMDW01047297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YKAIMSSAGDECIDNNKMLDDYFRPIVSRIVRRNLVLVISVLIAAVLVGAGSFSRRYWRHGSIRLLFLGAYTLFLPLVSYVVSGIKENYTLPAEIVGCSDLSIVYLLMWASLLQIVLANYCTATHDDEHRNNGPTVQLLLGAIWILFLVVRDFWQYTYSSTTLNWLIAIPCALNIAKILAKLCAHEMSRRSFEVGGRNTQLITGYMEGDKHGIPLILMGEDKQKVEKGPRGYRFTDDSANSSTLVTMDSVANMASIKDGIVSSFKSGQPFEDLCLSFSLFKLLRQRFTRCPLVEEDYRRRSIPNIMIKLEQGDDAQGIVNMIRDELSFASDFYYSYLPISYSCWWLPILNVVLSSLVITYCLATQMYLVYWSWKSPQDSELICRSKCQNEYSPAFGNLLVLHVLTILLAIAVQLSEAFEIISYTCSNWTKVNLIYYYIAKISRQGSPLVKRLIRCMLRLRCKALNHSYKMGQTSIMDTNMKIIVKAVRRLLRLSDQKMEYVEIPPEVNTAILAKFRDSNWSLPTVTASLQQSSIGNILLYGNGKGTSDVILVWHIATCIFKIKHPHEPSNAPAVTATRLSRYCAYLLSSAPELLPDDK >KN539963.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539963.1:21243:25717:-1 gene:KN539963.1_FG001 transcript:KN539963.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MSSSRSNNRATCSRSSSARSKHSARVVAQTPMDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVHPETFALLAYSENAAEMLDLTPHAVPTIDQREALAKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECKRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVVDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >KN539963.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539963.1:62704:65110:1 gene:KN539963.1_FG002 transcript:KN539963.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCISIPPNAGRVKNWDPMKQSATRVILLLCLILHFLATSAQQEDPNWEKQWCIADQQTPDDVLQQALSWACGPGGANCMMIQPNKSCYFPNNVKDHASYAFNSYWQKFKKQGGSCYFNAAAMVTDLDPNTHEQDYKLIIPEEAGQ >KN539963.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539963.1:7265:7880:1 gene:KN539963.1_FG003 transcript:KN539963.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDFCGSLRVHQQQLKRQPMERIRVDIRRKFKDGIEVSEKFRDKRLQHDKENGSAEEYNLLQVYHC >KN539963.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539963.1:34411:37231:-1 gene:KN539963.1_FG004 transcript:KN539963.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLQLGPIHRPLVIILGKNARKMKRTIIVKVDLECERCYAKIDRVLTRIRDKGEFVIDDIDFDVKHNKVKNK >KN539963.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539963.1:52334:56178:1 gene:KN539963.1_FG005 transcript:KN539963.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKSPVAAEEQAAAAAEKGGGERCPVEEVALVVPETDDPTTPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPSREVRLLGGRLGSFNLNPGPFNIKEHILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKEGGDGGKGSSSSRGPTRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAAGFVMFIYLIVPLCYWKFDTFDARKFPIFSNQLFTASGQKYDTTKVLTREFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVALFHGGDIWRQSRSAMSSAAAKMDVHAKLMRRYKQVPQWWFLVLLLGSVAVSLVMSFVYREEQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGRHGLYPHPARRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTAVDHCPLASCPTAPGIAVKGCPVF >KN539963.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539963.1:5107:6064:-1 gene:KN539963.1_FG006 transcript:KN539963.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLAKIRTGQLVSLSEQELVDCDVRGEDQGCEGGLMDTAFQYIARSGGLAAESSYPYRGNSWGASWGEGGYVRIRRGVGREGACGIAQMASYPV >KN539963.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539963.1:65652:66435:-1 gene:KN539963.1_FG007 transcript:KN539963.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKECLSSLQFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFITAWASLLF >KN539963.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539963.1:41487:46279:-1 gene:KN539963.1_FG008 transcript:KN539963.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLHHPCNSRTGFLGKRQGICLHVIPAGRVGFVRKTIECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >KN539963.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539963.1:13982:15111:1 gene:KN539963.1_FG009 transcript:KN539963.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSIQQSLLADNPNVLQRKPSQGVNRFRRCRSTPSTDPLQGPPEKGSSVKAKELFKEMRPSFRLVGLLLFIYLLVGVLAFYAVMDEISGKRTNRVLDALYFCIVTMTTVGYGDLVPNNDTTKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFKALHTNLKGGETKMLRAIETNRIKYKFYTNALLLVLSIISGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKMDLEAADLDDDRQVGAAEFVVYKLKELGKINQEEISSFLEEFEKLDVDHSGTLSPYDLTLAQSAQ >KN539963.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539963.1:962:3154:-1 gene:KN539963.1_FG010 transcript:KN539963.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSRHQPPAPPTVVVIDEDDDDDLGDGPSDEEVFIIDGDAAKSRAASGCKTKRGNSSCSNVINLDDDDEEEEEGKEEGGGGGDRAGPSSAGAAAGSPAVTTPGRVSPRNRYGLDYVSDSYESDSSEGHSDGDDGSDCEILDDASGSARKQWEKAASRKSMPQGFRKSTSTSSAESSTQPDESEENDAECDINEYIRKYFSEDVSKKGGPISTSGANAKPSVPDAHDCSKGNASNSNEAEDCNATSRIDPDPACNDEATHSQNGSVPEKTAERSQSPHIDEAFEPEQCTDYSFISSNRVFPACSSANWKYQSPTFVSTPEKLDEKLSDGTYARKDETPIDAHNKSTTKNKEMCPEPDNGSLDGRLTEDPPLSSRCDCSKQSEKKSAHLEANCCASAASSNKNPSANVILGGCMPPQKDLVDGPEKSGQPAVAQVAADIQDGLIGAREKHKESDEYKRAQEEEWASRQRQLRIQAEEAQRLRKRKKAKAMRLLDMEKRQKQRLEEVRESQRKNEADIQLKEKYRGVVRLELESMERRYIDMASILRALGIAVEGGEYNIKC >KN539963.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539963.1:57174:61795:-1 gene:KN539963.1_FG011 transcript:KN539963.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MGDKMITRKLSFNHKDMDVTEIDLQDYKDVDSIAFYQVPTNVGSGMFMESERLVRVHACTDHNGVSFLHKLLHRLLEHKEMYSNVVNLLFHGIEWQTEGVQLLCSFLGPGSSVKQVEFQKNVFGTKSSAALVPLSEMIQRNNTIKAIVFSECRIGASGVKLLASALAYNRSVEEVQLLDDSIGAKGAEELSKMIEVNCVLKLLVILDNSSIAAAPIFSAVLARSRRVEVHVWGHCRDTRGGMNSCKIAEFQAGTGSLRIYNNINSTGLQRIACAMAWNTTVTTLDMSGVPLKSKWTKELRGVLERNRMLKTVRLTKCCLRDKAVVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLSICDDVNPWIEEIDLHETPLHVAGKTREIYEKLGQNGSSVVPNDLLDLPLSAPTCCQVFLCGQELSGKSTLCSSIKHCMNSMKLPRMDEIRTSKTPIEQMSHTNENGMNIIFDGNTKLTMCNIGGPEESIALHDFMFVVHGGPRIFMIVSSLIGKPADKYPKSIDVIEQELIYWLKFVASNSRRRVSHSFIPCVTIVLTHYDKVSHLAEGLQLIVAAVQRLREDFCSYAEIYPTVFVVDSRSQVSVSKLTHHLRNTTKTVLQQAPQVYEVCNDLIKYLHNWRLKNDKSVVKWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRRAVAKSLHDLGEIIFFEELGVLIMNCEWFCQDILSQLGALKSIKIENSGFVRKQDLEKILQEKLCNQIQRLNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQLTMPECRYAGRHMECEDTHMFLTNDFFPRLQVRLHNKIMCPGNQQGAVYNLEKNLIYTVIDGVHVRVELGMKLGSSIDVLACSTRNVTDMVRLLHKSVITTILNLSPSMTFKESIIRPDCVKYLIPQRFRTTQLLPVKKIKHILLSLPAESIYDYQHTWIAVENNKRVILMSGLDHARDLLSDDDFHDVLHRRYYDLQHLATELAVTPDNLQQSETIAESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQLFYLVSLDSRSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQVGCDLIQVDNRAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGATTSALSLGALGAAAMYGKARNNGSQSGTNDMEEDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARADEIFELPL >KN539963.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539963.1:69490:71529:1 gene:KN539963.1_FG012 transcript:KN539963.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSERELLTQSKEQEDEIAALRLQLEQKDIEVKADKHTPRSCFDDEYCSSPRTPVLNEETAFSLECSIGEDDTPNYGSPDEMFSKDLNPCLTPCISKSKSEEYEQPINSHRSGRKAGQDSLSCGSRSRPMSKSSDHHKPTSGTNSKRRVYRSDQDKFHQNLF >KN539963.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539963.1:16782:20440:-1 gene:KN539963.1_FG013 transcript:KN539963.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKRRRVAALDGDEQRRLRRQEEAVTLLHRIRDVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFQEQAVVDRAINDICVLFKCSRHNLNVVPVAKGLVMGWIRFLEGEKEVYCITNVNAAFSIPVCIEAIKDVVSVADYILVVEKETDPYGFDILATYKFGSLTEGKLKEFSQGVTCTGKPHNGVVKAIFLKTFL >AMDW01003899.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01003899.1:25:201:1 gene:AMDW01003899.1_FG001 transcript:AMDW01003899.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAEEEAAKRGVQLAVVLPCVTVGPILQPAINTSINHVVRYLTGAAPTYPNAVAAY >KN539643.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539643.1:54237:56925:1 gene:KN539643.1_FG001 transcript:KN539643.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVNDHPIEEVRNTVPVTDDPSEPCLTFRVWVLGLSSCVFLAFVNEFFMYRTTQLSIGTVVVQIITLPIGRLMASTLPARRLRVGGWSFSFNPGPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQISPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRNKGQLTRLQFFIMVMTCSFAYYIVPSYLFPAISTISVLCWLYRDSVTAQQIGSGASGLGVGSFGLDWNTVVGFLGNPLASPAFAIFNVMAGFALSTYVAVPILYWTNTYNAKRFPLVSSHVFDAAGGRYDTARILDPATFTLNLREYDAYSRINLSILFAINYGIGFAGLMSTLSHVALYHGKQANGGGKERQDVHTRIMKRNYKAVPQWWFHLMLAIVMALSLYTCEGFGRQLQLPYWGLLLACAIAFTFTLPIGVISATTNMQPGLNIMTELIIGYLYPGKPLANVVFKTYGYISMTQALTFVSDFKLGHYMKIPPRSMFMVQLAGTVVASTVHFATAWWLLTTVRNICDVDSLPPGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGVLAPVPVWLLSRRYPRSALLRDINLPLVLAGASGLLPARSVNFVMWGLVGFVFNHVVYRRCRAWWMRHNYVLAAGLDAGVAFMGVLTFVSLGYFDIYGVQWWGGAADDHCPLASCPTAPGVFAKGCPPVPS >KN539643.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539643.1:26819:27514:-1 gene:KN539643.1_FG002 transcript:KN539643.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTRGRLKEWLGERWREAEVKDIMYHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEHEAKDEDALYT >KN539643.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539643.1:60468:64018:-1 gene:KN539643.1_FG003 transcript:KN539643.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMKEHDGASPASVLSPFSPPPDPHLAPYGGSVPKSFGIIFLMYFFRVVLSLVCSSCSKIFIGGLPKDTTMGTFKEYFGKYGEIVDAVIMKDRFTQKPRGFGFITFADPAVVDRVIEDNHVINGKEVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHSTNRSRGFGFVVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPPRSFDSEPRGRPHADGYDGLGNSYNYGGSFGPYRSPGSFGTRPGGYSSSYGPGDYGSGYGAYGGALGGYRGESSLYSSRFGSTYGGSFGGGYAGGSYAGGLGGAYGRDAGGFGGSSYGPSYDSSSGPGAGVGFGTGGLYGARGGYGSSGAGASGRYHPYAR >KN539643.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539643.1:83384:88516:1 gene:KN539643.1_FG004 transcript:KN539643.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGSRANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLEVLNGLALLVDVPRDDNITAKMMESLHIPKGIQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIMPGIYSLHCLPLRLRGAEGSPIRCILIK >KN539643.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539643.1:14627:17607:1 gene:KN539643.1_FG005 transcript:KN539643.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRRLAVVVAVVALTAATAAEGYNITKILGDHPEYSQFNKLLTETRRAGDINRRRTITVLVVANGDMGALSGGHYTLPTLRHILEMHILVDYYGAKKLHQLARGDTASSSMFQESGSAPGTTGYVNITQHRGGRVSFTAEDAADSATPSSFVKSVKEIPYDLAVLQISKPLSSPEAEAPVAPPAPVNLTELLSKKYCKNFAGLLASNADVYSNINATKDNGLTLFCPVDAAVDAFMPKYKNLTAKGKAAILLYHAVPDYYSLQLLKSNSGKVSTLATASVAKKDYSYDVSNDRDSVLLDTKVNSASVTATVKDADPLAVYAISKFLQPKELFKLVMMNFLDHVFYIIDFVLAARLLVVNKLAKLNLACGGIACSVCKGAGMPWL >KN539643.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539643.1:69849:73369:-1 gene:KN539643.1_FG006 transcript:KN539643.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKFGAYVNLIYARRTTAREEEYSSSLFLYFEGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKAQVNLKIKQAFCPPNVVDGNPCLEYIKYIVFPWFEMFEVVRKEANGGNKTFTNMDELIDDYKTGALHPADVKPALAKAINQILQPIRDHFNNNSEAKILLNTVKLLTMIIAYMDQLLRSNLNFSHLQKYRVTN >KN539643.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539643.1:13009:13188:-1 gene:KN539643.1_FG007 transcript:KN539643.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDEAAAGLDAAMAGTDAPSRFFSPTDWPRARRIRTAAMTSTSTVMAMARELELMAS >KN539643.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539643.1:35228:38532:-1 gene:KN539643.1_FG008 transcript:KN539643.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAVELAQWENGKSINDIAASQGIRIRRHCRPNVPLKEIEEELGAPRNILEKIIWDKEVEVAEGHAKKPLKEVIEAAGQAPPARDFYGALASAFKRNGMPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQVALELDVLMDLELEHGSFENLAKVRKSGVKCPLLCKEFVIDKWQIYYARSQGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLNISGVQLIGINNRSLETFVVDTSNTKMLLDMHGDTIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQDDPGRAIAGLFGKELLH >KN539643.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539643.1:43297:49513:-1 gene:KN539643.1_FG009 transcript:KN539643.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAQLCVEISEKQGRIAALEIECATLKQTLELLHQEIASTSSKLIEKRCSLCSQRMYCKSLFYTKTIESLTVKLQEQQEWLGAFKLKVITIEPSVEASQSKQNLQGQSHGILDSCGSLDKSKQVIEQEKNAISGFLAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERINEMKGVSDAVKCRCGFEYKVELGGEAMDLS >AMDW01039520.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039520.1:17:1007:1 gene:AMDW01039520.1_FG001 transcript:AMDW01039520.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNM >KN539291.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539291.1:55387:58911:-1 gene:KN539291.1_FG001 transcript:KN539291.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYMLNSKVNEELVAAAGVEKGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVGSHKSFFSMASHIAYKSFLYFCLLS >KN539291.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539291.1:116759:119779:-1 gene:KN539291.1_FG002 transcript:KN539291.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQARRRRGAPGGRRRITHGAPLLAAVLVLLCARVRFAGASPPPGPVRCASGTANCTVTNAYGAFPDRSTSVNFRKFEFGQVTLKLQPMFKRSVAFRRRDDDDLAERVAAFAGEHEFADILWLPSQGKAVYRIDDRVPNTTSGDGAVYDLVVFQSSPTVAIQANRIGEDVLEATANSAGKCLAGSATIARLAAGNYGVTRHGVLPPSPGTAVVGYQNRIQSSGSCLSGADDGLLTACTWDPRVRHNSFFFQSGISVPLSGAAAFIRDVQRLRDLNPDALCGLEVYYGVLLRYVRASTAHLGKPEDSVELDLTYYRSRDPAAPRLHEDAVEEIEQMALRKYGGVPHWGKNRNAAFDGAIAKYPKSGEFLRVKGSYDPEGLFSSEWSDKVLGVAGAGGVSVVRDGKAYT >KN539291.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539291.1:34002:40230:-1 gene:KN539291.1_FG003 transcript:KN539291.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MQERKKLIKGSFRDRHFNAGSILLGLGVTESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNETARSLHIALNAINVLLFIWQIPTGLEIVGKVLGAEPHFLGDGIRRAFEARIAKPPQYGYSTDALVGRRQMLQIAHDTLMNQNSRTQYDRALSENREEALTMDIAWDKEAGEALAVLATGEQLLLDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIGCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLSLPIDTGHHKKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSIEQMDFFSKTPNSIPPEWFEIYNVALAHVAQAIISKRPQFIMMADDLFEQLQKFNIGSHYAYDIEMDLALERAFCSLLVGDISKCRMWLGIDNESSPYRDPKILEFIVTNSSIGEENDLLPGLCKLLETWLIFEVFPRSRDTRGMQFRLGDYYDDPEVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSNAIQAFNKVFPLIEQLDRSAMENAKDGPGGSLENFDQENAPAHDSRNAALKIISAGALFALLAVIGAKYLPRKRPLPAIRTEHGSAAVANSVDSIDDPALDEDPVHIPRMDAKLAEDIVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITISLDGRRATVEATIEEAGQLTDVTEPRNNDSYDTKYTTRYEMAFSKLGGWKITEGAVLKS >KN539291.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539291.1:9572:13068:1 gene:KN539291.1_FG004 transcript:KN539291.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYHSNSRFAPFRDAPFALRGKKFLVIWMCNGLTVEFISHNQEFLTFIATIFSGALGSSGSSFTSIDGLRHPSSLEQARGYTSRLLGAARPKMLPSGCQPLHTSHPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATKLFHFLPDTYAFAPDAISYNSLLSALCRAGDVLTARKLFDGMRVGGEEGRGAVSPNVITYTTMIKAYCAKRLVNEALAIFKLMVADGVAPNRITYNTMVQGFCDAGRMELVKEVLEMDSFKPDTCTFNTLVAAHCREGRIEDAMKVFNQMVELRVRRDSASYSMVIRVLCENGEFGQAEELVDELLEKEVLKKRGGCTPLIAAYNPVFVYLCEHGKTKKARMLFGQLLDRRSKVDVPAFKTLILGHCREGDFEEGYALVLSMLKRDLVPDDECYIAVIEGFSQRGRMKFAWEALHRMLNSGLRPSTSTFHSVLLELLNKDGCAKEAADLIEIMLERKIRQNVDLSTNLVDTLFRNNLNDRAYKIVTSLYDHGYYIKMEKLIANLCEEKKFIEAADFTFFSLEKSQNLGVGIPSMVLDGLCMTGRASEAFRLFYELIENRSALTSVAAPRSLVALHHALEESGKMKEADFVAKQMRRASARIRERI >KN539291.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539291.1:101156:104079:1 gene:KN539291.1_FG005 transcript:KN539291.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACLLLVVAAVCLAGREAAAFNYADALDKAILFFEAQRSGKLPPGQRVADPYQDHRCWERPEDMDTPRSVYKVTPQSPGSDVAGETAAALAAASVVFRVSDPAYSAKLLDAAQLDELLWAASWLHLASPEKKDVYLSYIGSNGHALGAEQDDFTFSWDDKRVATKGFLQSRVDGLQLYKAHTDNYICSLVPGANGFQSQYTPGGLLFKEGDSNMQYVTSTAFLLLTYAKYLSSSAATVSCGSTAVSSSTLISLAKKQVALTKFTRIRQISTKQFTKTEQIKTRLIQVDYILGANPAGMSYMVGFGARYPRHVHHRGASMPSVRDHPARIGCDEGFRYLHSPEPDRNLLAGAVVGGPDAGDAFADGRDNYAQAEPSTYTNAPLVGALAFFAGAHRIFTP >KN539291.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539291.1:3927:7363:1 gene:KN539291.1_FG006 transcript:KN539291.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDACLLEELAHGGDLLDATLAFRLMREAGHHVSADEVLGRFTDDNGEFRLDYSKDIRGLLSLQDISHMNIGEEASLCKAKEFSTRNLESAIDYLEPNLARYVRQSLDHPYHVSLNQYKARHHLSYLQTLPIRCTAMEELALADFQLNKLLYQMEMQEIKRWWMDLGLAQEIPVARDQVQKWFVWMLTAIQGASLSRCRIELTKIVSLVYIVDDIFDLVGTREELSCFTQAIRMWDLAAADSLPSCMRSCFRALHKVTNDIADMVAREHGVNPINHLKKAWAMLFDGFMTETKWLSAGQVPDSEEYLRNGVVTSGVPLVFVHLLFMLGHDVSQNAAEFVDHIPPVISCPAKILRLWDDLGSAKDEAQEGLDGSYKELYLKENPGLAAGEAEEHVRRLIAGEWEELNRECFSSSSRRSSPAATTFPAGFTQAALNAARMVGVMYGYDSERRLPVLDDYVRMLLF >KN539291.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539291.1:105870:107299:1 gene:KN539291.1_FG007 transcript:KN539291.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQYSFTLCLMLLLVAALLCTMNTISVEAGRGGYNSVNPDGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPNGRGGYNSVNPNGRSGYNSVPTNGGGSP >KN539291.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539291.1:91441:97012:-1 gene:KN539291.1_FG008 transcript:KN539291.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMVGLHESLTKSMPFYVSASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLSVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGKKYSMRLDVPRLYKPNQWPPQYLSCEDANLLGDDERHIEHLTASASHQKKDALFFFLSSPIPTRRRSRAEARDGGGGAGERGAGGAGAEQRPHDADADYQNEAEVGDALVEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLIHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDCLVKFCQKHGILVTAHTPLGGSTANTEWFGSVSCLDDPVIKVSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKEERLQENFAVFDFAISDEDMEKMKSIDRKYRTNQPAKFWGIDLFA >KN539291.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539291.1:76894:80284:1 gene:KN539291.1_FG009 transcript:KN539291.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MEAYKLWVRKNRDLVRSLESLANIDHRVQVSWHVYAFLGIVSSVNQHIIETPTDGQTLASKEQSIPWSLVVSVLKDIEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEINILDENFGAKSNGVPIIYPMNGHFQNGHGVASNGLDGKAGFVSKSLEGRAVAALNKFGQNAKMTSDPMWMKKALPPPDPPAMVVEKPTLASIWSAKGISGRLFLLGEVVHIFRPLLYVLLIKKFGIKSWTPWRHLQKAEKVLDPVPLIGFLTGKLVELVEGAQTRYTYTSGS >KN539291.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539291.1:30256:33033:-1 gene:KN539291.1_FG010 transcript:KN539291.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWDSEDFQPAAPSAKAEPLKSKWADEDVEEDDVKESWEEEEEEKPKPPPVEKTAPKPSGKGAAKKGKQQASTSSEVVQDEALDDPALEKLRQQRLVEEADFKSTTELFGKKDGSEKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMASLKGADAKDISSSIAAIANEKIKAEKEAAAGKKKQGAKKKQLHIENKDDDFIPGKEAPEHLKRLHGNNAHLFEVDFDSQRIGKRTCVLELDT >KN539291.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539291.1:13520:16275:-1 gene:KN539291.1_FG011 transcript:KN539291.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEMPMRPVRPGPPMQYRGPPPMARARVEPVDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVVKEVGSTFSYGHGRGDDAKTLAELGFQVKLREEYQRQMHYLQDEMPMHEQLLGFGKQSDGIIVLTLIWQV >KN539291.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539291.1:47396:48325:1 gene:KN539291.1_FG012 transcript:KN539291.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSANRAALESGGMQVLPKEDVETLNLCKRMMARGEWPPLLVVYDPVEGFTVEADRFIKDLTIITEDGRKKQNLKCVRFDVDGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >KN539291.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539291.1:67516:70636:1 gene:KN539291.1_FG013 transcript:KN539291.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLFAVPDPPAAAGWSSSVEEMVADDECVCAWGVCVAGGAVREGGEDRGGDVRGGVQGQAPDTNETIALKKIRLEQEDEGVPSTAICEISLLKEMQHRNIVRLQDVVHKEKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFSIMGTPNEETWPGVASLPDYISTFPKWPSVDLATVVPTLDSSGLDLLSKMLRLDPSKRINARAALEHEYFKDLEVA >KN539291.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539291.1:109576:109785:1 gene:KN539291.1_FG014 transcript:KN539291.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVRWAEVLGELAPLAVTQISNDRPDVAVEVLLRDAAAVVSPEYNPKRVRVFVDNNFIIVKVPVIG >KN539291.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539291.1:81976:86569:1 gene:KN539291.1_FG015 transcript:KN539291.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGARSGNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETEDEDSDAEEDPEKIAMSESDEEGDDDEEDDQDGGDWVRHTNKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDSMRKLAEQDEDSREDEDAEADEERQVSDNNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRKFMNRTFRKLLEISERQTFVGPPENVRDHVMAATRALSKGDHQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYQSLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLSVLVESNERAYEAKTGGTFEGAPPRRRGDAQDSSNLGKWQENFVSSQGRQGGGRSGYSGRGGGSGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRTGSMAKGGDGGARMVSLNRAGREISPLVIEDKNAHHCVYAQDVGFPVYQLHGI >KN539291.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539291.1:86826:89384:-1 gene:KN539291.1_FG016 transcript:KN539291.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSESCHDLDHLALAGIGCVLASADELHFRRIIGSLLRICGRIGSLSVGVRMLKLMEWLLLGFIESRKMRKVQVLFEMISPESCQSQGYVMSPVVMVACGALRALRVASARYRLDFDPRLKEAPERTIRFAAEKAVLEGKHVDDRRLLLQCVALGLTQCGQVTPHESVLRCVCMALLEELLPLPDLLKMSVQCPDGNSPEIAKNRVKQHLDSVLFKEAGPVAGILCNQYSFASDKAKTSVETCVWEYAQVLYCHLRAAVILHQGKQDDLITDIEKIAEAAFLMVVVFSAEVTKHRLNAKSSEVFQPDVAVKILVSFSCLEHLRRLRLPEYTEAVRRAVLVNQENAAVAALFIESIPSYAELTMVVSFLSSGNDTDPDDRMALKEQLAFYYIKRTLEAYPGVTPFEGLASGVAALARHLPAGSPATLFCIHNLVVKAKDLCHTSMVQDKSLWRSWEGSTEPCKKILDLLLRLIFLVDIQSFPYLLKELAEFVSLLPKEGQDVLLDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQATRHKSLDNVGSDELSLNRTMARL >KN539291.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539291.1:43009:43164:-1 gene:KN539291.1_FG017 transcript:KN539291.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGDQDGDGALSEAEFCVLMVRLSPGIMGDAEGWLEEAIADELLRSPPA >KN539291.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539291.1:16968:20832:1 gene:KN539291.1_FG018 transcript:KN539291.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGGGGCFGGRSGVVGWRYGGFVGNGGRRGFERRRVGGGFIGAANAGEAPGGERRAVVRKREKKVWVAVEKKGEDCGGGDEDQAAMGAGYAGGDERDEQVDVDDDEQDDGDADDPFDVAADHDLLAVVADGAGSEKPMEPSEEHKAKQRQLIESLTNSVSKEWPNAQLHLYGSCANSFGTSHSDVDVCLQIDTAAEENTADLLLALAETLRKDDFDNVEAITSARVPIVKIADPGSGLSCDICVNNLFAVANTKLLKDYAQIDERLLQLAFIVKHWAKLRGVNETYRGTLSSYAYVLMCISFLQQREPKILPCLQAMEPTYTLVVDGTECAYFDQVDQLQDFGAENKESIAELLWAFFHYWAFHHDYRNDVISVRMGNTISKQEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRVLREEFERAATILQYDDDPCVALFEPYDYES >KN539291.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539291.1:49489:51854:-1 gene:KN539291.1_FG019 transcript:KN539291.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRREYRGRSASPYGRGRERGSPDYGRGRERDSPDYGRGGDRGSPDYRRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPAARYDRE >KN539291.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539291.1:108629:108844:-1 gene:KN539291.1_FG020 transcript:KN539291.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKASWPEVVGWPATAAVTQINGDRPDVSIEVIPDGAAVPPGFDANRVRVFFNAGDAVGPVTRTPFVG >KN539291.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539291.1:22758:29392:-1 gene:KN539291.1_FG021 transcript:KN539291.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLAKKDEELAQHQVSMLLFVALTCGLGMFLFTKLFGTQVLTAFTGSGNYDIISAANTYAQDNSMHYAIGCMKDSWGPLKALAAASVINGVGDLLLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNKRGFRAFSFTIPSSSELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPELIYGAKCNLMKARMLLKSLVMIGAITGTTVGAVGTLVPWLFPSLFTNDFMVVQQMHKVLIPYFCALLVTPSVHSLEGTLLAGRDLRFLSQSMGACFGIGTFLLMIIRNKFGSLPGCWWILVLFQWGRFGSALQRLLSPTGMLYNENFNNHHDEYVKVKAT >KN545126.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545126.1:1757:1837:-1 gene:KN545126.1_FG001 transcript:KN545126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DVVILVLRAELRTVTEDIEAMMSQQK >KN541688.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541688.1:16883:18331:1 gene:KN541688.1_FG001 transcript:KN541688.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARSQEWSSQHGGSPSRPKVPDCSSKYKSKAKDVSSGEEEEDLDLDLTINIEDIFQDEERPMLSSPETDEVESPDEDERAEWERIEEKKRKLVKMKQHDSLVKTKQAKKIEEATERARKRRELEMKRKEEERRKKEEEGKAS >AMDW01037861.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037861.1:2:499:1 gene:AMDW01037861.1_FG001 transcript:AMDW01037861.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PPPTSAIVPASTPPACIGGCFYWCTNEDVGNPSMLLRFSLRSHKFDLVPCHPGCSSDVFAFNTVSELDGKLCYTHTATETTTTTSRLWMLDGGGDMARPEWSMRCFIDVGDYVSCVSPLVAAGWEHILLSVDENLYVYGERSRVLEKVVNTAEVEYARSDDGSKYK >AMDW01031647.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031647.1:14:290:-1 gene:AMDW01031647.1_FG001 transcript:AMDW01031647.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KRLARNVVAMAAGEAPAAPLAANAEITEFINALKQEWDRIEDKYAVTTLAVAASLGMWSAGGVVS >AMDW01039289.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039289.1:315:821:-1 gene:AMDW01039289.1_FG001 transcript:AMDW01039289.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVEFQRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQVGTLFCCLLLLPASISKRAIIETAIHVCAGGQGL >KN539393.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539393.1:31370:40161:1 gene:KN539393.1_FG001 transcript:KN539393.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRDVAAAAGYMAEDDPDGAGSEDGDMDVVVGGEESQARDGDRRDGGDGDDEYALICKQVDKISKYYEFHYNTRLVKPSILHFQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMETFQLLNHFSYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDIRNLSTSLSVLRGNIGAIRCIRYSSDGQFMLFSEPADFVHVYSAAADYKKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLHYRMVEAEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >KN539393.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539393.1:86069:90451:1 gene:KN539393.1_FG002 transcript:KN539393.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDAEDDQLASMSTEDIVRASRLLDNEIRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQLLDFTSYEWTTSFIHQMTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >KN539393.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539393.1:11476:16498:-1 gene:KN539393.1_FG003 transcript:KN539393.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQITIQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPATRAHQKFPRVGHKMMQERPLEDAHMHTNLTSTDNETADNIMEGKVSASSSPEELLEQALQHLETGNQDQAIPLIRTAIEKDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKNCYYQGLIALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >AMDW01038900.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038900.1:38:309:-1 gene:AMDW01038900.1_FG001 transcript:AMDW01038900.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLLVMVVGCAAVASAMELSFIVGDAQGWNTGVDYTAWAKGKTFEANDTLVCQLAFEIER >KN539393.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539393.1:47416:48102:1 gene:KN539393.1_FG004 transcript:KN539393.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLASSSSSPATKKCGDAKKRREEEEEAAVVVAEKRPEEEPRRPDPDADLGIVFSTFDHDGDGFITAAELEESLKRLGIAVSSAAEAAALVARVDANSDGLIDIHEFRELYDSIPKRRKNGLISAEELGTVLGSLGLRQHGGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >KN539393.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539393.1:71498:74307:-1 gene:KN539393.1_FG005 transcript:KN539393.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT5G20250) UniProtKB/Swiss-Prot;Acc:Q8RX87] MTVTSSVKVAGGELSVHGRTVLSGVPEAVRASSAAAAGPVDGVFLGGDFAEPASRHVVSLGAMRFDGANRIGGFGVGSRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKAGVDGGGGDASSGDAGTRAASFDRALFVGAADSDPFAAIAGAVATAKSCLKTFRIRAEKKLPGIVDYFGWCTWDAFYQDNPDDTGADAKDKQPLLARLTGIKENSKFQDGDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEGYHSNMQFPNVSPGVVENEPGMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCVLETLGAGHGGRVSLTHQFHQALDASIAKNFPENGIIACMSHHTDALYCAKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHKTGAEALSCGVKGSDVHLIADAATDSEWNGDCAVYRHASADLAVLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGAAVEGLTYHRLDGVKSLSNGFASTLPELQSLSSQAIGLVCMEVRGCGRFGAYSSVRPRKCMLGSAQVEFTYDSSSGLVILDLETMPKERVHKIVVEL >KN539393.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539393.1:6249:9307:-1 gene:KN539393.1_FG006 transcript:KN539393.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRAAAAAGAGEVVGEEADDSESEADGGMHLRGAARALLCGSGGGERMRITAGVGFFLEPVFFRPPMASGEVALGFLALRPASSGGGEWVLGFLGSPARGERREERSSLAKVTARRSRRQGGCNLKGVLPFADRWGRSPLSADRLNKKTIANQCTFPFVEMEESDREIVPIKVSYIYLQPLRQTGARLNQMGDHDDDVPVAKRRRRRLCVQVQPAGAGAAAAGIPEDIVEEILLRLPVKSILRFRYDTQRQVVERVVDMNKEMTYFHSRDKQYYINHGFKWMHHVIQYRESLVSVKAN >KN539393.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539393.1:91980:94122:-1 gene:KN539393.1_FG007 transcript:KN539393.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVIEGWTASEIEEARSLITSPNNGGEGGDGEGNKQKHCGHIVMELHEWFPWKTIGQVIGLYMKLNAGKPMVMHYLNKSDANNSIGEVDHVSNLANGNPVRLEEHRPMLNNVGLVFDYPLEEMEMENQTDQEPKMVVEEEVQPKEGLVIKEKEAGVSKIHTNSQHVTPSIKRRVVWTEEEHRLFMVGLRVFGRGDWKNISKHLVTTRTAAQLIKAS >KN539393.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539393.1:106401:108264:-1 gene:KN539393.1_FG008 transcript:KN539393.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHCFAVAFALSALLAGLTLALAVDEVFGMEWTAAELAEARSVIARVSDAYNSGVGSSSSAGDTKHDRIIRELQARLFLTGMRVYGRGDWRNISRYFVRSKTPEQISMYADNYFHMMEIAAAMEADGDDDDDHHENNNNNNNLGGGQLHAVIEQRMMEMQAQSQKAWDDQQMKMAEAATPKEEGAADK >KN539393.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539393.1:50786:51049:1 gene:KN539393.1_FG009 transcript:KN539393.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >KN539393.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539393.1:19204:20960:1 gene:KN539393.1_FG010 transcript:KN539393.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGGRNAWAKEMTIRRRITSMERFSCQVHEFDALCGYDLRFNKTREHFPSLKDYNDYLEEVEDMTFNLIEGIDVEVIEAKIASYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGANDTAAGSSQGISSGAGVQEGQYAPAAVPGGLAQPRPTGMAPQPIGGSSVPLQGDDEETMRLRAERGARAGGWSIEMCKRRALQEAFSTIFV >KN539393.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539393.1:4812:5228:1 gene:KN539393.1_FG011 transcript:KN539393.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKMSDVACGDLTELGGKLCYVHAPADDRVELWTASAADGGGGGPRWSLQCTVVLPHSFDTFFQFTYDYRGGIFFYVDYTMIYRYDVERRVVERVVDMLEEMTYFDRSRCKLDRCDGDWMHHAIQYSESLVSIQAN >KN539393.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539393.1:78031:84026:1 gene:KN539393.1_FG012 transcript:KN539393.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MRACADDPSAAADLAAASPSILLPLLLRDAGDRDEAVAASALKCLGFTLYHPVLVSTGSGQMAQSVLDTLIQLIMTTQMKAICNLGVWCISVQELEAVVVDHRATSLLTAIVHAIDNPFCSLSTTFEAAQAIMKLTSQNPEKMRELSSIWVPPIYRRLLSVDKAERDMAERCLIKVSSVVLPPQSLLSKAIASDLEHSLLSCMLNMIHDPVKKVQAVKSWGWYISLLGLHVVDNRHLLNKILKVPEQLFIDSDTQVQIATMVAWRNLVNAFLPQASETLVPKTKISPIESRADTNAQLKKIRLIMMPLGRILSRSHSIALSSSCLSTWHHLLYKLGDLINRLPILEAAFGPVLKIVFSIGPDIQNKPLYSFCVNLFHECISAKVRDMASHGEYLSIPLNQNLLSQSCIHLKTLMDGQHIRWLPWDVTCFDFHLDILVSIVNPELLRKMTLESVVTVMDSATHIFRLLVQGVQVDCKAKCAYGNAQICVAKVCKFVKKVFMDLVGKQNNNNCSVLLQYAFQFVKVILEELDHCLLASGICVIGLDIEHIKEMEYADCSPKLSYPGMKSYSYMEMVSPEVYMIVLSLSIVAEFTGELSHGDAEQLAIIICLSNFQDNFHAAVSFMYKQIMLLTDNRRMRWLMVWNKIAKCLNGQITPNLKKIICGASVHDVLYQFFCYPFFAFLLPGRKSTLCGAESSSESYLSLTHDLEVEVAIEVYRSICANSNHGPEADHKVFLESFCGFVVSIIDENISLFQANIEYCSEKKFKNCAILSTLGELVSGLLENGHILNYAIKEPTEASEESAGYSQPSILLCCLRLVSRFMGLSTIVIKANPTTQHQIMSRVFSSLSTFAGYLLLKKDVLLFFEIIGEQLTECLSLSGTLYREMQQGETINQIEKLWLKIIMCLKTSKLINDCSFLQKQEVLLQAAVSHPHRPISVATTPAWRASRSDISTLQHSSFSLSKLDKLPMERRKDLTDPCTPHNAIALEEIDILRKFKHPISETEKNDESIKISVGLGRKRLKIMKYSMKPREPGKSTVPPGNFSSKKDTDADGFSSHYMESKACRKPELILEMLKRKR >KN539393.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539393.1:98424:100548:-1 gene:KN539393.1_FG013 transcript:KN539393.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAPEMDEARSIIARLTNAYDSGALVAVAGNGDTRHDRIVRELQAWFPWRTMDQLIGLYIELMAEEPAAAQPQYFDAGAVVDPTFDFFNDHNNFLGMPPPPPVQQADEHAMNNVVADAGMNYFYGGAGGVLSRKKKRSYVVMYDEKLFNCSYVQQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQRYSINDVGLNDDDDDDDAAMDGTNSNFNNNFGGWQSLAFAGGHLEPVSGGAAPGQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMVAAGGAAAAAPMEGATDNNFEPAGAANYYYQQQQQEEEGGAYGVPADQWMMNQNNNMF >KN539393.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539393.1:21601:27954:-1 gene:KN539393.1_FG014 transcript:KN539393.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPAALALAVPPSRSLQVWSNLARRGELTHPRHRRFHRSHRFCSGSFCVLQDDAADAEREAAMGGSSSGGGDAAGAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSKKDTADPALNSLNDSNEAEHMEQIDGNVSCDGAFLSLNNFKVNSKGSANASSPGCLHGSPDISETCDTCLPHDALLPYMENTANSGVVKKCLSHVPSTDATSSTIGLLSVTDSSNSVVVDTVIVGRRFHDNIELQEGSECEQMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETHDDLKHPQFLWGNFIGYIDMISCMDDLSNYDFKEVIDVLSVPEMKEILKELQKNNVSCTRRHELLSTLLSLYHNGTCTILPKRILKWTGTCIRTSDVADELMWRVQRLFFLDGDQDLSSFLLVDLGLVRFPVYACTISHRVFQERSDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAESPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNCSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTKNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDVIFSDVPDVFQTKFQTAPLDLETDEFYRSRKDLIESQLKKIQDGSAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWMLVLMDFGFDVEVCKVSPVSKRR >AMDW01030242.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030242.1:59:355:-1 gene:AMDW01030242.1_FG001 transcript:AMDW01030242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GDEENQIAAANGGGGGGVDSWHHFNVARDLFLAENQIPFFVVQKIYELVVKNRPYAERGVVGAVEAYVREVMAVYADGAGAQAQPPAADHVHHLLHLSH >AMDW01028928.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028928.1:101:226:1 gene:AMDW01028928.1_FG001 transcript:AMDW01028928.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLRRLSFAVSPGYVHAPVDLITLKPKFGLPVIVRLLDA >KN542014.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542014.1:12192:13063:-1 gene:KN542014.1_FG001 transcript:KN542014.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSGSGSSSAFPFFLLALLCVTAVVFQPAAARTFPDDKSGGAVVSGSKFSLPFPSKAAGSGSGSGSGHGFGWTHVGRSVN >KN539413.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539413.1:36598:38333:-1 gene:KN539413.1_FG001 transcript:KN539413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFITGALMLILGYAYPAYDCYKTVELNKPEIEKLRFWCQYWILLAVLTVFDRVGDNFVSWLPMYSEAKLAFVVFLWYPKTLGTDYVYESFFKPCIAKYEADIDHNLLELRTRACDMAVLYFQKVSNYGQTRLYEILQYVASQSQTQTSRPQARQQQQRPPPAQTRQVNPAPQPVPAPSVPPLPPQPTQAPPAPPRNQTQDTTPVPVPPPGAESPAQPQAQAGPPQANASDGPQNTEAMQIDPSGPSTSNARQSSIPDEDTLIQEAIRMTRGRLRRRTAGSGPPPS >KN539413.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539413.1:92120:92401:-1 gene:KN539413.1_FG002 transcript:KN539413.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAGEAVVGGSCHDGSGLHDPTWQRGTWGHSGEKEAEVAPAARPKPYPDGGLPDPTQQWSPPVLTSTIMSSIWHGSASIRCCRSLGVGV >KN539413.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539413.1:13421:15539:-1 gene:KN539413.1_FG003 transcript:KN539413.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSRLKPPNPNAQRTPALSITVPFRLRLPNRRLNAAAVFQDQTNPRNPASKGGDDDEAYGEGTPAAFERRLALEAAAKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVRAAWVAEDLVKDFDAGLEYAVAEAVVDKREAAEGEGKWEYLVKWVDIEEATWEPAENVDAELLQEFEQRQSGISHLLNCTNDAETKGDLTLKVILYRRPCVHKEPKERCQVVGSNVVRMAKFKRLLSTFHIT >KN539413.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539413.1:50206:51887:1 gene:KN539413.1_FG004 transcript:KN539413.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSYAGGRTTLQIEPYYGGGGGGGRPVDFRSYSYSGGGGGRGGAGAASYQYQYEYSGGGGGSGKVGYWGSVAEEERGTGGVHVRSYVDEKARLITSKCGRKDETEECTSYYKCAPPLP >KN539413.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539413.1:76322:76573:1 gene:KN539413.1_FG005 transcript:KN539413.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASMLDEAANYLRFLKSQIRELQTLDRRNYPNAAMSINTAAATTMATSSSPTYNNSNAAMPAFAFPETLGCGGGGGVEQLI >KN539413.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539413.1:8396:13250:1 gene:KN539413.1_FG006 transcript:KN539413.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiol-disulfide oxidoreductase LTO1 [Source:Projected from Arabidopsis thaliana (AT4G35760) UniProtKB/Swiss-Prot;Acc:Q8L540] MATISATLSISFLPSPIRFAVTVATTSTSTSTTSSSSSWRIKRPARFRCCAEPSSPEQENPSTPAPPPVKPPASPSSLWGISTSTWSAGVAGLGFLETAYLSYIKLTGSEAFCPVSGGGCGDVLQSDYSVVFGIPLPLLGLVAYGLVLTLSLQENGKKFLPGIDDLDIRLTLLLISTSMATASSYFLYILNTRFIGTSCSYCLLSAFLSFTLFSIRVKDLGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLRSVGAKMYGAFWCSHCNEQKQIFGREATKILDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVTEAELLRRREEERIRLEREAEAAKKRQARTAEEEEYERVVLVANTNRDDSIIEARSVEDAIVKMSIAAEPALPPDRHPERRLKVSYKAFEEAELAKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQVQP >KN539413.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539413.1:96436:98157:1 gene:KN539413.1_FG007 transcript:KN539413.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTETTKSSVLIDPDTLETVGRFRYTDRLGGMVQSAHPIVTDTEFLTLLPDLVRPGHLVVRMEAGSNERKVIGRMDCRGGPSPGWLHSFAVTEKYVVVPEMPLRYSSASLLASELAPFYAFDWVPASGSYMHVMCKSTGKTMFCFFGLISCGRIKVASVEVPPFMAIHFINAYEEEGDEAAVVVDCCEHYGDPAIIETLVLSRLRLLRGKDVLPNARVGRFRIPLDGSPFGELETALDPEEHGRGMDMYSINPARLGRKYRYAYACGARRPCNFPNTLTKIDLVEKKAKSWHEEGSVPSEPFFVARPGATDEDDGVVISIVSSDDGEGYALVLDATTFEEIARVRFPYGLPYGFHGCWIPATEE >KN539413.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539413.1:60724:64930:1 gene:KN539413.1_FG008 transcript:KN539413.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRHGALCIMQIAFPDAVYLVDAFEGGKELIEACKPALESEYVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLLEEQEGKKRGYDEYISFVSLLADPRYCGMAYPEKEEVRTLLRQDPNFWTHRPLSEMMIRAATDDVRFLLSIHEKMMEKLSKVSLWRLSVRSELYCRCFCINDNKYADWPPLPTVPDEIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMEVKASCNVEIHIGGAKGPPDRVFIIGPVQEVRKAEAILRGRMLEF >KN539413.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539413.1:19045:22436:1 gene:KN539413.1_FG009 transcript:KN539413.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPEMEVDARSGYCAATRTFRSKRADVPLPTDPEVDVVSFLASRRHSGVVALIDAATGRRITFTELWRAVAGAASALAAHPVSLRKGHVALILSPNSVHFPVAALAAMSLGAVLTSANPLNTPAEIAKQVADARPVLAFTTRELLPKLPRAHDLRVVLLESARLPGDSSDPRIIIMTRFRLEGSDKTETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKYELPEMLRSINAYGVTYLPLVPPILVAMVAHPKPLPLGQMRKVLSGGAPLGKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNCTGELWIRGPYVMKEKIIMGITRSWFSSGYFKNAEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVTDVAVIPFPDREVGQFPMAYIVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >KN539413.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539413.1:3030:6666:-1 gene:KN539413.1_FG010 transcript:KN539413.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQRCIIIGKRFPICQVNMYGTKIEVSSFSTNANHVKGSKNIGCSEEFKRYDEGDILLWQNSMKRDFTINSLFFNPFNFKIYDYVNGVRDISKNKVSTVIPARVSFKEDPGRKFRFWFISARILRGLRIAARLGFEFSSETSAAIRELSLSITDIDKARLMMELNYLLSYGAAASSLRLLRKYGLLDFLLPFQAAYMSDQMKDKSNDTDLMLMKLLANLDKLLSADRPCPSCLCLRDTLMCTVIRAFAALMYFGSWEGAVNFLNQDIGAPAPFIPETLGPSRSKLKNLMEQTSHLASLVKSSVDTLTSIDALQQSLAKYSKASQVSGLVLVSSRERERVLRIFEGLDTDLTSYEGTRGMQEIDYKLLKDGHPGEVRFVLGQVIMDTMSEELPRVSTEYDLLSIEASEDDLADGSRPSLSTLFSSKS >KN539413.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539413.1:87927:88543:-1 gene:KN539413.1_FG011 transcript:KN539413.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVSTTEAGALHGGLRAEDECRVVEEVGEGGSKVGKRAGAAKGKDVVGVSVEVVAEKEACEDNIIRLRMRLPKAEMVWLVKESRDVAEKKL >KN539413.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539413.1:101873:102184:-1 gene:KN539413.1_FG012 transcript:KN539413.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQIDLFMSFDPPPLEPVTGVSKEEEDCFAKIDAQLAKWEKEIRADAEMVKSQYEQKGYVEYEVDADLFPARAPPRPGRRRARHGVMAKKKPQGGGGATAK >KN539413.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539413.1:30784:32220:1 gene:KN539413.1_FG013 transcript:KN539413.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFVVCVQRSGRIMDGLIDSVLFVVASHSRFLRVRCKDKKLCSNAGARVVVTDRARTNRTGLVLSSPAFAAMARPGMAASLTELAAVDVEYKRVPCEYRHRSLSVRVDERSRGPNELTISFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMANAPPGPLQMRLVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWK >AMDW01030273.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030273.1:83:383:1 gene:AMDW01030273.1_FG001 transcript:AMDW01030273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAYRSFLSQRCPPNGPPAALNDLDPTTPDTFDNHYYTNIEVNRGFLQSDQELKSAPEATGTTAPIVDRFATSQAAFFRSFAQSMINMGNLSPVTDPSL >KN541278.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541278.1:1856:2098:1 gene:KN541278.1_FG001 transcript:KN541278.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGHLLVFGFLFNLGAYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAL >AMDW01026140.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026140.1:191:329:1 gene:AMDW01026140.1_FG001 transcript:AMDW01026140.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGATAMDANFFRSLGLSDKIWNNGPISFQIQWFQQISSSVCGQ >KN541278.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541278.1:23761:27323:-1 gene:KN541278.1_FG002 transcript:KN541278.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKSIPANANIPAVQETGLCNIGSQQLNQREMHREADHHHRLLATLARHRRLAAAATLFSSTLRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPNATSFHILTSTLCQAHRPTAAADLLCCMPSLLLDPDPASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSISDYHAVFDALLQEGKVAEAYEVMKNKMGYNGVAPALVYFKLIMQAFSETAEFDSVEEGFDEMLLRGLVPDVDVYNVYIGALCRKGDLARARQMMTCMEHAGCPPDVRTFGVVVAGCMSAGDMGTVRELVQEAIRRGLQWDPPALSELIGLLQAGDGATQAQELLLEPLFVHDAPQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKIKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISVKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRGVKQEPAGDTAVPQPDVDARVVKEESPRTEPNGEATNMETPKNSAICSSPETKEFGDITAMGSDPPATNMSEE >KN541278.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541278.1:11290:14965:-1 gene:KN541278.1_FG003 transcript:KN541278.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVLRTAGYEIDFIFFPGLRTSPISSRSHLLGPKITPPQVDATPMPPSAFAQIDGDAAAAVAEAEYRCTGARRGISSPAMVRVSSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSVATTHSTAAAAAASDYALLLLASHPAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPSRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGAVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMETDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVGTSFSPSQQQKQSLNRTNGCLRWNIANKAFPIIQYDQISGLIQCIMLTESAYLRSTALFKLWHGRRSCLGLVYAAIHCLVSCSLQVGCVLDAKFVSLWLGWHEPEMVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWVKLYYFSEASALIKFARIRCSKKGHRKFLGLDMQMKKISHEPQ >KN541278.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541278.1:7772:10866:1 gene:KN541278.1_FG004 transcript:KN541278.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAAVVAAAAAVYMRAFVKETDGGASLLRAAATGDEENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSREALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >AMDW01031165.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031165.1:212:343:-1 gene:AMDW01031165.1_FG001 transcript:AMDW01031165.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIGEGVRVQADTNKLVDLGFKYRYGVEETLDSSVDCAKRLGEL >KN539251.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539251.1:57660:59348:1 gene:KN539251.1_FG001 transcript:KN539251.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAISWVCRKAEGIVGLPAEEERLLEGAAEAGLLVAEAGAGAAVLAGAVDAAAPVQAKNNKRSRKSAAATTTTNVKPNNGGPHFLQSIRGENARGD >KN539251.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539251.1:101259:104437:-1 gene:KN539251.1_FG002 transcript:KN539251.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVMLLLFLLLCTTNSATAAAVESAAGGDHRLAPGNNGGCGGFKRMFSFGDSITDAGNLATISPPDASFNRLPYGETFFGHPTGRFCDGRLIVDFLAEGLGLPFLTPFLRAKTTEDFRQGANFAVAGATALSQDFFKKMGLDLTIIPPFSLDVQLEWFKSVLNSLGSTDQERKEIMSKSLFLMGEVGGNDYNHPFFQNQSFTNEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPRYLTMFQSKSSPQDYDEFGCIKWLNGFSVYHNRALKRMLHQIHHDSTVTILYGDYYNTALEITHHPAAYGFKKETALVACCGDGGPYNSNSLFGCGGPSTNLCTNPSTHISWDGLHLTEAAYKFVAHHMLHGPYAHQPSISPK >KN539251.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539251.1:25795:35754:-1 gene:KN539251.1_FG003 transcript:KN539251.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MAHADADAGDLPPPPAKKKKSPAEEEAEKRRKKLTPGSLMKGLIRSGGGDATPAEGDQVVVHCTTRTMDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLMGEIAMFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIIDEGKGWETPREPYEVTARITVRTGDGKELLPSKEEPYFFTIGKSEVPKGLEMGIGSMAREEKAIIYVTSAYLTNSSLMPQLEGIEEVQFEVELVQFVQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRLPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRSSLHLNVAACYQKMGEYRKSIDTCNKVLEANPVHVKALYRRGMSYMLLGDFDDAKKDFEKMIAVDKSSEPDATAALNKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVGEPEGNKADATGSGEAASTADRDADAKEAIPCADSELAFEEERPGLLARIWPSASRIFSSLGLNRCTIL >KN539251.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539251.1:62132:64330:1 gene:KN539251.1_FG004 transcript:KN539251.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKANNSSNKRQRKSTTTTTNVVGHDNGGLPLRRSSRIAERKIKRARHDGELATGGQLSGEMPDEMVLEVLSRLPVKPLLRLRAVSSAPSFVAAHLRRQAPPGADPPHRAAAARRRRARHRLADQLLRHHPPLPMAVAQRTDGGGAGRCRCQPNLQEKLQWRVQGRSLRVGSFQILLAIKLLHNTTNNIILETWKELEGQPFSSHRCTANEPNCCK >KN539251.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539251.1:85843:86166:1 gene:KN539251.1_FG005 transcript:KN539251.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLPRRGLISAAFLLILVLVFLLQLGIISTTVGCCSCCSCCGDEQQQQQHGVGDLRPVRRLLIGHHQHQVVLAKGSMELKHAEEGGDVLDEEKREVLTGPNPLHNR >KN539251.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539251.1:7566:8910:-1 gene:KN539251.1_FG006 transcript:KN539251.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMMKNPAVMARAQEEMDRVVGRGRRLEESDIASLPYLQAVCKEAMRLHPSTPLSLPHFSFDDCDVDGYRVPANTRLLINIYAIGRDPSAWEDPLEFRPERFLPGGAAERVDPLGNYFELIPFGAGRRICAGKLAGMVFVQGTGRQVHQNTPLKSTFPWPSYQVGKKKSDIYI >KN539251.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539251.1:109973:113393:-1 gene:KN539251.1_FG007 transcript:KN539251.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MACEREATCAPRRLEVACSVGMKVACTEFGPSAAGIRRWGMAKTASVVMGPPVGDHMSLTIFMQILMDECNPSLDILDGESIPHGVQTSPVAMEEDVPLMVGSHDSGHGTLVTRFYEYNTYALIFGRFYEYNNSSLLIVDGSPNTTLALGSH >KN539251.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539251.1:121202:124573:-1 gene:KN539251.1_FG008 transcript:KN539251.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVGLGLPFLPPFLCGKTAEDFQHGANFAVGGATALSRDFFKEKGFDVTNIPPYSLDVQMEWFKGLLNSLATTDKERMEIMSKSLFLMGEIGGNDYGYLFTQNRSFTKEIKPLVPKVTAKIENAIKVLINLGAKTIVVPGVFPVGCLPHYLAMFQSKSGPEDYDAFGCIMWLNDFSEYRNCALKRMLQQIPRNPTVTILYGDYSNNILEIIRHPVIHGFKRETVLVPCFMNGNLCPDPSIYISWDGLHLTEAAYKFVAHRFLHDPFVESSICPI >KN539251.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539251.1:65009:71013:-1 gene:KN539251.1_FG009 transcript:KN539251.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTPNEASIPESGEPGVALDKGFGFSRHFAAKYELGREVGRGHFGYTCAATCKKGELKGDDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHGNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEEDAKVVIRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCEYHNYLQFTLYYQSTLQMMNAFLFYTFKVIHGFVALAKTLTTDQIYYLREQFELLGPNKSDLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEISAAAISVYQMEGLETWEQHARQAYEFFE >KN539251.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539251.1:36599:50430:1 gene:KN539251.1_FG010 transcript:KN539251.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYLNAASSSSRAPDSDPTAEMALRMSEVVSLGTLLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEDQAELGEEKIWATALSASKNWARYGKSLGPNEASFSETRKLDQRTVQDCMMGSTYGAGIRTLQCYQSYTINGSDYRWPKTSKSSAQKHSCLYPKATHGPWQHERVAGEHAHVRCVRVWKRGVVLALKLKLISYMATTFRSLDHVCLDAQLRGQIDGIPRGEVQRRDGGVHGAFARPFDDYGGSGGDGGAGAVPEQDVPAGGRPVDRRRGVVGGGRGHLRGVAAAGVRQGHPPQLLQAQQLLQLRQAAQHLYAHVQTVIVFPDVFGAEK >KN539251.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539251.1:213:1577:-1 gene:KN539251.1_FG011 transcript:KN539251.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGALPDDLLDEILLRMPARSILRCRAVCKAWRSRTSHPYFLRAHAARSRIIAAAVVDTTVIPDGEVCTTISIRPLGDGDGDRSGAAVSSSSSFASVRFDSRPFVLGSWDGVVCLVPRPTAGFVRPGIDRYVLVNPLTKACTTVLAPETRGIVICGYAHPTTSRYHLLHAHSFFSYDGTARATIQILRVGEKNNVWRKIARHPAPAGVVESRTYFRLGGAPPVSLHGCLHWLVAPSSARPLLSVFDMEREEFRQMDTPEQWARHGNLPHMMGVQIARRSGKLCAFVNEASASALGMWMLEDYSDPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVEVLPDGVNGGGGEEEIMFQFLINQFDVREAVYNVGRGAWRWRRILPPTRRVMTHKECMLPREVSFGGSAHFVEESDIGGHRCFLLMVVAGVVGFGLARFKRGSKMWLILII >KN539251.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539251.1:96074:99852:-1 gene:KN539251.1_FG012 transcript:KN539251.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFGDSITDTGNQVSFFPTAPAARAPYGETFFGHPTGRYSDGRLVVDFLAEALGLPYLTAYLRGKTAEDFRRGANFAVSAATALRLDFFREKGLDLTIIPPYSLDVQLEWFKGVLHSLASTDQERKDIMTRSLFLMGEIGINDYNHHFFQNRSFTAEIKPLVPLVISKIENATKVLIDLGAKTILVPGIPPMGCIPRFLNLLPSKNHNDYDKLGCLKWLNDFSQYHNRALKQMLRRIHHDSTVTLIYVDYYGAMLKIVRSPRNNGFTKESVLRACCGVGGAYNADSLVCNGNATTSNLCTEPSRYISWDGLHLTEAAYHFIARGMLHGPYTEPAIPTRCTA >KN539251.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539251.1:114685:117748:-1 gene:KN539251.1_FG013 transcript:KN539251.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSCSSLSSPTMIPVLFLLCAHSATAAANSGGAEGLGLPFLTPFLRGRETAAAEDFRHGANFAVGGATALRREFFEEMGLDLTNIPPYSLDVQVEWFKSVLHSLASTDKERKKIMSKSMFIMGEIGGNDYNQSFFQNRSFINEIKPLVPKVISKIENAIKVLIDLGAKTIIVPRNFPIGCVPGYLGMFRNKLSPKDYDVFGCIKWLNDFSEYHNHALKRMMHRIPHDPTITILYGDYYNTALEITRHPAIHGKVVLG >KN539251.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539251.1:53887:56525:-1 gene:KN539251.1_FG014 transcript:KN539251.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYDIDLISKIVPVILDNSKTWHEIMSRSMKSDGGMAHVQGIGRAELKDNPLYSKALVINRTASPLAWFMECKDRKSRSSVMLSYTFLPSMPAKKLRDAANKMKQILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPEGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILEPVIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKVWQIPVYTDD >AMDW01039926.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039926.1:121:1110:1 gene:AMDW01039926.1_FG001 transcript:AMDW01039926.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FAKKLEKMERTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIDTGQSNKLKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDSHDMKLLWPAEEFRITFRNHSQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVMGAIQFCEVKLGQLKAYTEERNFDTNSCVWLSGLNVVELKKWRDLHITSRYDQLLQK >KN542365.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542365.1:903:1621:1 gene:KN542365.1_FG001 transcript:KN542365.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGSMAGNRSTKAGLELAPSSSADAIAAAAEANHTGVAPPSTLAFTALPMNLSDTNLWDGMIWGGGTLQAKRMKSLIPDQR >AMDW01036430.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036430.1:151:579:1 gene:AMDW01036430.1_FG001 transcript:AMDW01036430.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TTAQSEGFNAVLKRYVNPQNSIYNFFLQYKKIQEKITVATDQNEFEAEETIPSMWANYPMETKALEVYTRPIFNRFQKELIASTSYKLTRTSENMYLVEPNGGPVRNYGSRAFIVAANVLDRIYNCECCKFERDGILCCHVLK >KN541052.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541052.1:31306:32421:-1 gene:KN541052.1_FG001 transcript:KN541052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGVTCALAVALFIGSLVSIPTAVRSIGVCNGILGNNLPSPADVVKLYQSNGIAAMRIYSPHAATLRALAGTDIAVIVDEPAIDKLLTLSAASDWVQSNIKPYQGVNIRYIAVGNEVSGDATRSILPAMENLTKALSAAGFGKIKVSTAVKMDVLGTSSPPSGGEFSDAAVMAPIAKFLASNGSPLLANVYPYFAYKGGDVDLNFALFQPTTATVADDGRTYSNMFAAMVDAMYSALEKAGAPGVAIVVSESGWPSAGGAGASADNARRYNQGLIDHVGMGTPKRAAAVEAYIFAMFNENQKDGDETERHYGLFNPDKSPAYPIKF >KN541052.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541052.1:1431:3886:1 gene:KN541052.1_FG002 transcript:KN541052.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQGVASLLTIALIIGAFASAPTTVQSIGVCYGVLGNDLPSRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVEGKDLDGLAASSSNAAAWVRDNVKPYYPAVNIKYIAVGNEVVDGATDKILPAMRNVKDALAAAGLGGIEVSTAVKSDVIANSYPPSAGVFKDTYMKDIARYLASTDAPLLANVYPYFAYRRDPRISLNYATFQPATTMRDDGNGLTYTNLFDAMMDAVYAALEKADAGNVKVVVSESGWPSAGGFGASMDNARAYNQGLIDHVGRGTPKRTGALEAYIFAMFNENKKSDDPTERNFGLFYPNKSPVYPIRF >KN541052.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541052.1:19941:23129:1 gene:KN541052.1_FG003 transcript:KN541052.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVCFSDLPPEALDDIARRAGALNNVVCSAVCRPWRRALKTTRLGLLKQPNRPYSVNLELWCGSIELHPIRRCINGERTVRIANHDGAAPVTRIVGSSHGWLVTVDEEGGLSLLEAVTGRLYPLPPITSSGSKKVAKDLDQMGESMFQKAELVPGRRLGTFAVMLIHGGGFGMSFLRPGAKCWTAVRVPKWMKQKFVDVVFHQGAFYTVSRDAEVSAWTPDASSSGLRARRVSEPRQKCAWVSLVVSVGGDDLLMVSSAATDGPIRRRRSESTIYVPIAMDDGCAKLPTRIIGSSHGWLVTVDKDCGLSLLEAVTGRVFPLPPITSSGSKKVAKEVDQVSESMFYKAALAPGRRLGAFAVMLIHGGGFGLSFLRPDAKSWAEVRVPKRMQHKYTDVVFHRGAFYTASRDGEVTAWAPDASSSGLHARRVSEPTQECTWAALVESVGGDGLLKVSSFVAEEDFAAHGQWYRLPRRRYAVSRYDGEREGTSSWLPVEDLGEAAILMGSSCSFSVSTRGFHNDLRNHLFFAWPSYESGKYYCFHPDEYRLPTATPGCTYLIVPHYGGSWFAPYLALEFHWY >AMDW01039143.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039143.1:180:904:-1 gene:AMDW01039143.1_FG001 transcript:AMDW01039143.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSQSDAVDLVKDVFASATERDIYTGDKLEIVVINSSGSHREFIELRKD >KN541052.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541052.1:18645:19430:1 gene:KN541052.1_FG004 transcript:KN541052.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEFFQKAAIAPGSHRKESYAAVMVIHSGGSGLAFVRSGDDRWTSLPTQALTRYADVIWHNGAFYTLTRGDGAVEAWEPDGRALKPRLVTGPVMRWEFKRLVEFHSDTFHQLAFYEGARYLAKQADGGGGLLVVSTVAILDDSNALRTRRFKVFDVDEDKGAWRARDDVGDAAVLVGINHGECVSTREYPCLQTNCVYYVLKSFAADFEEADEEEEEKGCSRYESGVCDVKTGVASRMSVFRRAAGGHPVWFVPSAVSRR >KN541052.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541052.1:34600:35376:-1 gene:KN541052.1_FG005 transcript:KN541052.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGINSMRIYFPDKEALDALRNSGIALILGVESKDLEGLAASSSNAAAWIRDNVKPYYPAVNIKYIAVSNEVKVEDGDTEKILPAMRNVKDALTAAGLGGIEVSTAVKSDVIANSYPPSAGVFAYPYMKDIAQFLESTGAPLLANVYPYFAYNSTPNVIDLNYATFRQPAPTVREQRAHLHQPVRRHGGRRVRRAGEGRRREREGGGVGERVAIGARVRGDHGQREGVQPGADRPCRSWHTQEAGGTGGVHIRYVQRER >KN541052.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541052.1:16744:17364:1 gene:KN541052.1_FG006 transcript:KN541052.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MENVHAALAVADLGHIKVTTSISQATIGVHIPPSAGEFTDEAKPFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDISFALFTASGTVVQDGEYGYQNQFDATVDALYTAVAKLGGENVRVVVSETGWPTAGGVGASVENAMTFNQNLVRHVRNGTPRHPGKKTETYVFAMFNENLKEAGVEQNWGLFYPSTDRVYPISFHARV >KN541052.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541052.1:26665:28051:1 gene:KN541052.1_FG007 transcript:KN541052.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDAEKPDFVVYLGDLVTANNLGIPNASLYWDRAISPTRGRGISWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPPSMSVSDCSFRGTPRLELMTSEVIRNGLSYSSNGPKNLWPAVSNYVLQVLSQKQDDPALLMYFLDSGGGSYPEVISSAQVQWFQSQSQFLNPNGRIPEIIFWHIPSTAYAKVAPKAKSEIRKPCVGSINKEEVAPQEAEWGMMDALVKRASVKAIFVGHNHGLDWCCPHEKLWLCFARHTGYGGYGNWPRGARVIEISEQPFSIRSWIRMEDGTTHSDISLRS >KN538902.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538902.1:95982:98255:1 gene:KN538902.1_FG001 transcript:KN538902.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNNPKSRRKLFTDEEKVLLNKRVPDLEAATSSKWLPLHTIAASGDFYLLDNLLKHNINVNALDKDGLPAIHKAILSKKHAIINYLLRNSANPFIHDKDGATLMHYAVQTACSQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTQDGFTPLELCLRLGHHARTYELIKLLKSFRLPKQHDPVQHLDDRGCSLKGIDRLWYAHDNWKAKVP >KN538902.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538902.1:165120:167982:1 gene:KN538902.1_FG002 transcript:KN538902.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MISGRCQIIRGSGVVSLPLIATPPASLPRWNWEAETWEAEECEGGGAGHGCRHGAVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISTLLSHSGAKVFFVESHLLDVGRAALRRLADGTSAANLPVLLTISDDGAGAGYSGCVDYEDLVRDAPSEFDIRWPVDEMDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNVCLRHFTAKVIFDSIARHGVTHMGGAPTTYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQDVAVKNSATMENVPYDGQTVGEVMFRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWRETPCAFVKLKDGANATEGEIISFCRERLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANSKL >KN538902.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538902.1:60788:61210:-1 gene:KN538902.1_FG003 transcript:KN538902.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAAAATYRCAECGADLNLTAAQLYPEGVYFEAGNKGTLSFSWVDESRLRFAPEDKIRPFFETLNYWGIQRKRTRISCDACGRLLGYVYDDGPPAMDGTGQFGMGPSQVIPRRPRYRIKTKAVTVANPPATAPYR >KN538902.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538902.1:192225:194134:1 gene:KN538902.1_FG004 transcript:KN538902.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAGPSMEVEQDLPGFRFHPTEEELLDFYLSRVVLGKKLHFNIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGNGGRPNRTTERGFWKATGSDRAIRSSGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYGAARAAAPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRGSSHGDYTATRASLVHDASASTGDDYFSSDDVHDSGFLIQSSSSSAAPSGSSSKNGGAGAPREAKKEEADVTVTVASATSLQLPAIETTDPRNPAIQERCMGQILCAEKPAKQTGG >KN538902.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538902.1:6222:15649:-1 gene:KN538902.1_FG005 transcript:KN538902.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKTPLKDYFEHKYPGKVYRVIVPFDLCTLEYLAEEWGKVRNRISWLEARMDARNLFDEFAQGGRHSEEHWIVRRCKELWAMAAERFGFTDEEMLRRLQTKKLVLGSKLSDYKDGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWTVERAPPASDIYWNHLGLSKTSLGLRRIAVNTCLILMLLFFSSPLAIISGMQSAARIINVEAMDNAKSWLVWLQSSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDSVDCKQIEQYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYQLMHDGEETDNLRAPLMSEREDSGILNGIEGHDLSLYPINRSFHMPKQTFDFAQYYAFDITIFALTMIYSLFAPLTVPVGAVYFGYRYLVDKYNFLFIYRVRGFPAGNDGKLMDMVICVMQFCVIFFLVAMLLFFAVQGDPMKLQAICTLGLLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGTSRAVLDSDCGQIPGCTKGPYRQTAHVNWPLTSLRPPLSLGFHSRLLVSSRVRPPPCADQRSRSGAGVLLLPPASSPVRDLLYKDYNFDQKLSLTTTSNSGLGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDRFALNSTIGLTSTPLIELAATIGTNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHMFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTPYLRKLHHFMPVLNYSVSIVHLEEPPLKNIRAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFAAGATGGVTALLTSDKPIFERYSTDFSLRIQKVKFDQSEFRASGTSPHAVTGIIGLALLTIQSILPTLFEGNPSLRNAHGLLGSGIMTLFLIHAAFGLQLGLSF >KN538902.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538902.1:128164:129834:-1 gene:KN538902.1_FG006 transcript:KN538902.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGLCANLAPSRQSLGRSKGQWDMAVPLISQGFPREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQMRVRARQMLTKPKEGQLLPS >KN538902.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538902.1:169919:171661:1 gene:KN538902.1_FG007 transcript:KN538902.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCMLSDANYAPLTPVSFLERAAVVYGDRTAVVSGGREYSWRETRERCLAGASALARLGVGRRDVVSDRPELDASMSFVFVPIQLSTRHGLIAGQVAVIAANIPAMYELHFSVPMAGGVLCTLNTRHDAAMVSVLLRHSEAKVFLVESQFLAVAHDALRLLADAKASVPLVIAISDTGDSSSSDGGGLEYEALLRDAPRGFEIRWPADERDPISLNYTSGTTSRPKGVIYSHRGAYLNSLAALLCNDMTSMPVYLWTVPMFHCNGWCMAWATAAQGGTNICVKNVVPKVIFEQIVRHGVTNMGGAPTVLNMIVNAPASERRPLPRRVLISTGGAPPPPQVLAKMEELGFNVQHGYGLTETYGPATRCVWRPEWDALPLAERARIKALQGVQHQMLQDVDIKDPVTMASVPSDGRAVGEVMLRGNTVMSGYYKDAAATEEAMRGGWLRTGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHDAVLDAAVVARPDDHWGETACAFVTLKDGASATAHEIIAFCRARLPRYMAPRTVVFGDLPKTSTGKTQKFLLREKARAMGSLPMQRKSKL >KN538902.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538902.1:2870:4762:1 gene:KN538902.1_FG008 transcript:KN538902.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCALLSAAATATVTSFSLSPDSRRCRSVHRVPSRPRPPLLAPPARVMGKGNSKRKAANTRLWMRLDRRGGCEMIIAIDLSIIRDHVDEDSHWNFGFDFAAREKAMVINLEFVRAIVTADEVLLLEPLAQEVLPFVEKLRKHFPLKSLDVDDVSTHMHTENQDGELAQDVSCLNEAEGANHELPFEFQVLDFALEAVCLSYNSTTSDLNRSAIAVLDDLMKSVSARNLERVRSLKSSLTRLLASVQKVRDEVEHILDDNEAMAHLCTARKTKEQKDEVRQYIVDTEDYISIQLDNKRNELLGLQLTLIIASFGIAINTFIAAAFAMNIPHRGHHFIIGVPFEPFVGATSFLCMSIVILLFTCAWRNRLLCT >KN538902.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538902.1:140507:142387:1 gene:KN538902.1_FG009 transcript:KN538902.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFVYLAIFACLAWAGTALLYWAHPGGPAWGKYWRARGQSPRCSIPGPKGLPVVGSLGLMSGLAHCSLAAEAARRPGAMRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVRGVLRRASLYYIMRFVFGKEYDVSRGAPESGEEVEELLEMVHEGYDLLGKENWCDYFPGLAAVDPQGVGARCAELMPRVNRFVQGIIKEHRGKAIAGGEARDFVDILLSLQESEGLVDADIAAVLWEMIFRGTDAMAVLMEWTLARVVLHPDVQANVHRELDAVVGRSNTVAESAVPSLSYLQALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSRDADVWDAPLEFQPERFLPGGKAHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWTPASGAIDLSEVLRLSCEMAVPLEVRVSARRNNV >KN538902.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538902.1:114668:116375:1 gene:KN538902.1_FG010 transcript:KN538902.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGAVGRAAIEKWIEAEGQSFNPPSLAMAFQLAFAPFMGRATDMAVVEQNEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVRKTDKAGLFTERKNLARWWDEVFKFTLYLTVPLTRLQLILELVFWYEIGKLEMFWLLCLSIRSLITSVEIEGKCFRDFNELYSEKALSIPRYFVLLAIQDEVENELS >KN538902.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538902.1:126247:127373:1 gene:KN538902.1_FG011 transcript:KN538902.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVKVFGSPTSAEVARVLMCLFEKDVEFQLVRVDAYRGTQRMPQYLKLQPLGEALTFEDDNLTLSESRGILRHIAHKYARQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPNMPKQNDNGNGNGNGYGNSNGREVQVANASSKRVVAGATDGKTAASGANGNKQQQKEEEMRKVFEKSKKDLEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFEARKNVSRWWNNISSRESWEYVKSLQRPPSAAHAGNAQQQQQQQSPSAGNNYQHQQGQGQGQQHYRNEQVENYNN >KN538902.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538902.1:173829:175262:-1 gene:KN538902.1_FG012 transcript:KN538902.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAGLAAPAAAAFFLLVVLAAPASAANFTCAVASGTTCKSAILYTSPNATTYGDLAARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSDVMHLAYSVAKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPGFSGICNELRLWSPCMVEFIRIKISGRDSESCSQEKIASCTCFNRSEIETTLHIARLAHE >KN538902.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538902.1:194795:195676:-1 gene:KN538902.1_FG013 transcript:KN538902.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MFESMLSHRGDQGCQGAFYTYDAFIKAAGDFPRFGTTGNDEPRRRELAAFFGQTSHETTGSTHYSQASHNLHTSDHLISSVFICKLNGLLHTKGGWATAPDGPFAWGYCRVNEITPTDPPYYGRGPIQLTHKYNYQLAGDALGLDLVNNPDLVSSDPVVAFRTAIWFWMTAQSPKPSCHDVITNQWTPSGDDRSAGRLPGYGMATNIINGGEECGKGYSTDNAKDRVGYYKRYCDMFRVGYGDNIACRDQKPYGGG >KN538902.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538902.1:180567:180924:1 gene:KN538902.1_FG014 transcript:KN538902.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKEKNMGLGKKTKDAGGSRLSVGVQFKIGSGIPRRELKLFWHSY >KN538902.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538902.1:53129:59395:1 gene:KN538902.1_FG015 transcript:KN538902.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MGQEDDDVDHYEAVDAAEAARREDKRKAADVKRELEEFFAAKQSASSSTPPASAPGAPQDAPKTDKGKILKVSWEGGADYYNAAKLEEIFKQFGGVEDVVIKTRKSRSRGSAIVVMASKEAALSALQNHSVYNVFSVPLIVAPVQESGGVPTRSTHTPETRPSNLGGTGFNDLEASVFRKLQENLTPAPSKEPPPRLPLPGPSSSKSLVVSLAAEGRMDEAVEALAAVRGPDAFLHNVMIRGFADAGLPAGALAAYRGMLEAGARPDRFTFPVVVKCCARLGGLDEGRAAHGMVIKLGLEHDVYTCNSLVAFYAKLGLVEDAERVFDGMPVRDIVTWNTMVDGYVSNGLGSLALACFQEMHDALEVQHDSVGIIAALAACCLEFSLMQGKEIHGYVIRHGLEQDVKVGTSLLDMYCKCGAVAYAESVFATMPLRTVVTWNCMIGGSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVGKVESSEKIFGKIANKTLVSWNNMIAAYMYKEMYTEAITLFLELLNQPLYPDYFTMSTVVPAFVLLGSLRHCRQIHSYIIRLGYAENTLIMNAVLHMYARSGDVVASREIFDKMVSKDVISWNTMIMGYAIHGQGKTALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYGMIPQIEHYGCMTDLLGREGDLREVLQFIESMPIDPTSRVWGSLLTASRNQNDLDIADFANGDMSHSQSRTIHEVSDILSRKIEETDDTRNQSYPVPVATRTTTMPNKHSVRLAVVFGLISSEIGSPILVKKNVRICDHCHHALKLISRYSGRRIVVGDSKIYHEFSDGSCCCGDYW >KN538902.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538902.1:18709:20160:1 gene:KN538902.1_FG016 transcript:KN538902.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEGALKLLGVAEGASFDDIMRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKPVKSAGSGTVPQWMQATMKNAPVTFEAPSSSSLGIQSCVYGALMVFTYASGSSTSLPSTYTSPDVPGFILATGFGASLYFLTKKNMNLALL >KN538902.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538902.1:70638:71712:-1 gene:KN538902.1_FG017 transcript:KN538902.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAGVGVALVYWFVWVMGAAEVKGKRAVDLKMGSITNDKVKDKYTQYWSFFRRPKETATTEASAEKVNRARAHNRKAGLDSRCEVVCGNFLSMPFSDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRADNPEHVEAIHGIERGDALPGLRRQDEIASIAKEVGFEVLKELDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVCEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVESK >KN538902.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538902.1:109841:112318:1 gene:KN538902.1_FG018 transcript:KN538902.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MDSALPSAVLATILSRLDVRSLVAASAACRCLRSCASHALTFLPSFHLSSRFCSELKFDSVVKYGIEKYISFPLGCRVLSLNALAERRGLPISFSDLQQLLNGCSQLESLRLALDFSMFDDPNFSHVWASASEALTSLEIGYIPMTMLLELLTVAMESQRCMHHVKEPAFFPSLQKLCLTVDFITDHLIGSISTALPSLTHLDLQDAPIIEPTTSSDLTNAGLQQINPNGKLKHISLMRSQEFLFTSFRRVNDLGILLMAEKCSSLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSQFTDLVFHDIIATSLCLTHVSLRWCNLLTDVGIERLSFNKDLNVLDLRDCRSLGDEAVRSLSCLPKLQILFLDGSDISDQALKYLGLGTCPLASLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPGITDDGIMLLARSRTPIIELRMRENPKIGDAAVMALASMLVDGGTHGSSLQLLDLYDCGAITPLAIRWFKKPYFPRLRWLGVTGSLNRVMVDALVRSRPFLHMACRGEELGTFNWDRSSDWYRHDDDDLDELEQWILNGEPVSDTETITEE >KN538902.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538902.1:120263:121147:1 gene:KN538902.1_FG019 transcript:KN538902.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLCTQFPDDGNRTIYGTGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWYEEISNRASWKQVVKMQSEHPGAFE >KN538902.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538902.1:117137:117985:1 gene:KN538902.1_FG020 transcript:KN538902.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKDLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTIVGFEDNVNPMCRFKGYMPDHAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPSSHYIVSSERGRKLFTGRKNVARWYDQISKRETWKQVVKMQREHPGAFE >KN538902.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538902.1:150781:154689:1 gene:KN538902.1_FG021 transcript:KN538902.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSVQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAIVGNWVSLMQVYNNNDFDMDDIVWIPKLRLFLQGVTLGGTGKENGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYKDKEDPSLTMKHDARRDYFEHVAVSFSDDKANGNAVK >KN538902.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538902.1:61977:63620:1 gene:KN538902.1_FG022 transcript:KN538902.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQMHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTADGASKPGSLPPNVSAAVNGVAFVGTLTGQLFFGWLGDKLGRKSVYGMTLLMMVKIPAEQAETASPVDKPFTSKPFGLFSGEFARRHGFHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAAGFFMMTAFMLALAVPYDHWTAAGNQIGFVVLYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIVGSFGFLYLAQSPDPAKAAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENEVGQP >KN538902.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538902.1:23049:25299:-1 gene:KN538902.1_FG023 transcript:KN538902.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFRSCWGPRSPAGHRVRGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANNLLEDQSQVESGALSMAEPGPQGTFIGVYDGHGGPETARFINDHMFHHLRRFATEQKCMSTDVIRKAFQATEEGFLSLVGTLYVANLGDSRAVLGRFVKSTGEVVATQLSSEHNACYEEVRQELQASHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIAVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQNNPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKANWSRGPSVSLRGGGVTLPANSLAPFSAPTVLSSTY >KN538902.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538902.1:176130:180065:-1 gene:KN538902.1_FG024 transcript:KN538902.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPFPSRSRRQSKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >KN538902.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538902.1:89464:91349:1 gene:KN538902.1_FG025 transcript:KN538902.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPFQELASMAAPPPQHPHEEFNFDCLSEVCNPYRSCGAQLVPSEAASQTQTQLTPLRDAMVAEEETSGDKAALLHGGGGSGVGGGVHPRSKLHGTPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKTLEEEIGATPEELDLLNTMKDSSSGNNNEMLVRNSTKFDVEKRGSGNTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGKRQVVSTDEIKQTLFRSAGYGGRNYSRSSTCIYEFIFISSGSTSFLNTPESKLKICSSIKWFIWFCPELYIICARI >KN538902.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538902.1:143435:147307:-1 gene:KN538902.1_FG026 transcript:KN538902.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMLATSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGQGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEDLANMPEWTQERPLRVVTGFGYLGEKFMRENGFNHVSFLAGDGALESYPAMGMADVIVDLVSSGTTLRENNLKEIDGGVVLESQICNITQATLVACRRSLHKRNGVLEITHEMLERLEAHLTATGEIMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYRSRDGKVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRKLLLELGL >KN538902.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538902.1:41698:51580:1 gene:KN538902.1_FG027 transcript:KN538902.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAASTTTMSRRMGLRRRSSVGAPLSLSLQPYDLPGKLLRPVDLDGCWHYLRQSRWVDLAEGAMWTLRPLLRWIWTLERPLKKSSETIFGMFGVGMKGQRWTPSLLRQGKEEKRNKAAKNSYDEILTGLAKPGGGAEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRYKLKDSLHRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKLKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMSPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >KN541894.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541894.1:10983:12204:-1 gene:KN541894.1_FG001 transcript:KN541894.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPAAFVNGEILKMFVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMSHYVEIIGIAEPNQAIRAEVCTDFGENFDPAPFNGLCKLANGQMKDLFL >KN541894.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541894.1:19356:22016:1 gene:KN541894.1_FG002 transcript:KN541894.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLCNIAYMIPKITPKLLRRYNLRFVTSSVQEKAKTGVDQKQELKTEEGESSDQESEVEENPEPGSNKKKESGLGVNPFGAYHVMSSAASYLHSRAMGVVPFGSRNDGKNDPTIMAIVNGESGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVKSHLRSSGKSATLRFTGHSLGGSLALLVNLMLLMRGAAPASSLLPVITFGAPCIMCGGDHLLRKLGLPRNHVQSVTMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSSATSLRRLRSAMSTFFNSPHPLEILKDKSAYGPKGA >AMDW01020502.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020502.1:1:221:-1 gene:AMDW01020502.1_FG001 transcript:AMDW01020502.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAK >AMDW01025918.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025918.1:11:341:1 gene:AMDW01025918.1_FG001 transcript:AMDW01025918.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFRSAAMQSLSPKSFLTMIMGLSNKDIIGSGGYGTVYRLRVGEKAAFAVKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDTILH >KN540232.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540232.1:36294:54258:-1 gene:KN540232.1_FG001 transcript:KN540232.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEYNFFWDIFGCLSDGHIIGVRSHVWYYVIPTIVGLLVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNVGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSRSLADATCTQGDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLFKLVVITVLVIAESADNCIKTILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMNKSAAISSESSLSSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKGSFYCRCMMRARFVDRGGRPRKRVRFASEASSHQICVRQDANTGKRPQESHNVMPGRKLPAQKSTLSSEETPATPCKNEEIYHEQVNAKASHSEHGNNDTPQLNPHDYLTVHVHTPITQVSFEAVGISRNTEFFADILQRLGANSMIKKHRKESMRMMKVCGHTPTGTGCHFENMLDYRTSDFDLRTKLRTEKESSSYATQESRQFMALPWGYNQGPSGSLDWKIDLPHRGNEARESMALPWVHTVGLPNSGWKRDTAHNQVSNLLLEDDQPHSEGKLASATELNCNVETRPCTYHGWVPMLSPGFSGSIPNRFFTPCQIEETHVVPYEISNTYRRPDPCSPLEQCFPPVGLDRQGQKEARFSHNYGAELLEQFTSSSVGLERQDQHGSELVNFDTGLLSSFDQLYAKCSASSFLDTRNRILNHSDFSYISNLAASESNDIVSNASMSCLNSIFSTSEHPFQLGSKRLHETSLAGLEEKYSKEAEIFDNSDIGPIQELDQLPAKFTYTSFSNYISGTLDHQHHLRYMPPKDSSSTLFMDANGACLNSLSPYTDHPCKQDGKGLCDSSTELWSSVHHLQSHGDDFGAVLGFMSEENTYNDLEDHCSFMLAEGNPNDLCTSDLPLFGLCSAMDGIREASVRLDGLRFILLANGTSMNVEGTEVYVDDVMRYSQMFT >KN540232.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540232.1:5527:12417:1 gene:KN540232.1_FG002 transcript:KN540232.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACLMCSSQPLKLSMFIVLSLMLLYGASNIQCSTVLQNSTDMLSLLEFRQAISDPRGVLKSWNSSIDYCKWNGVTCGGRRHPRRVTRLKLAGQSFEGQIPPNLGNLTFLLYLNLSSNGFFGQLPILNHLKRLKVLDLSKNNLQGSLVALPNTSNLQFLDLSSNLLTGPISQNLVFLSNLFNLNLANNRFTGSIPNSIGFLSNLLGLNLKENNFTGIIPSSLQNITRLVILDLSGNQLEGTIPEELGKLPNMVRLFLGGNRLSGAVPTAIFLNNSSLQTLDLHSNFLYMELPSNIGNNLPVFLNLLLYDNMFQGPIPASLGNASLLQIIDLSSNDFIGQVPSSLGNLSSLQFLKLEKNKIEANNSQSWEFLDALSNCRSLLSLSLSDNQLQGPLPDSIGKLSTGLQHLGLSKNNLSGTVPESLGNLFNLALLLLDQNNLSGPIGAWIGKLKKLGRLDLWENNFTGAIPSSIGSLTQLTSLLLQSNKFDGPIPTSLGNLPSLLVLNLSHNNLQSHIPKELFRPVSTITNFILSYNNLEGPIPQEVSNLNQLNELHLSSNMITGEIPGTLGECEQLQILQMDHNFLSGNIPNSLGSLKSLTIVNISHNNLSGVIPVELSRLPSLTQLDLSYNDLQGKIPKDGVFGNPRTVSVGGNPGLCGGVSDLHIPSCPSGRKMTQYYLIRVLIPIFGFMSLVLLIYFIVTEKKMKRGSFFSFSPLGDQFPKVSYQDLAQATQNFSESNLIGRGSYGSVYRGKLIKGKQEVAVKVLNLEMRGAEKSFLSECEALRGIRHRNLVQIKTACSTVDIQGNVFKALIYDFMPNGNLDSWLHHKGGEKARKPLNLIQRISLTVNIADALDYLHHESGQTIIHCDVKPSNILLDDDMNAHLGDFGIASFYIDSRSTSTGDSNTTTSSIGVKGTIGYIAPEYARGGHLSTYGDVYSFGIVLLEMLTGKRPTDPLFVNELNIVSFVERSFPDQILEVIDTHLQEECNAITQTNMVALSCTRQFPSERMIMREASSSIRAIKTSYVNLLDAATTTLPQPSYKTNHQHITFDYPHPHDFPNERLYQAYFVIQRFKNTITCDPMNITSTWTGHDICGNSSYVGFYCTTLPGHGLTVTSAILDGFGLCAPKLQGFIDQLPDLALVQSASNKFGAFDVPNLAGLTYLYKLDMISNDHLLQPSDGRFPTKGIVDASICFFNKKVCAGVGIGKVSLVARPAQKGDIPSFTNSRALLLNNDNLSGSLPKDIGFSKLSYLAVAKNKLTGPIPASISHLQDSLLE >KN540232.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540232.1:21589:22143:-1 gene:KN540232.1_FG003 transcript:KN540232.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQSFGVYDMPSDLLFTLDLKLTHANDFSAILRSYTQKIDKARKELKRRFRRIVKGTGSKRKEFCITPEGIEVFAVAFTDGASTVTAIVEGKNMWMRGFRTADGQIYEFDDKEEMQMLEGSINEKGKTREEGILNPLLAVHILHLNGFPILMLHSAANGRYLAATNNTTIVHRRRLARYAIR >KN545710.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545710.1:518:790:1 gene:KN545710.1_FG001 transcript:KN545710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTQSQSPVPAGHSMWILDGRGGAWRRKQTQTTSPLELVPRAAVAREGVVLPAEHGAIEHLPVREGGRGGGERGGLHGDLAGNGHRLWE >KN540232.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540232.1:19180:20829:-1 gene:KN540232.1_FG004 transcript:KN540232.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVELDRDLAATREEAEREILNTWIWDKEIDLLQREIEEQDELSRLRREQEREDDLYVCRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFRYEDGNPYYAADREETWEKQILRQMKFPIPDLSESWEGSLVVEGPFNLDPNLTFTDHLNTRNSQLRNYVFDYSRENPCKLKPGAHSLQPLTTPPRGIYAVGIVLIEYRLIIKGQKQEEDQVLIDGYSIYAPSFYADFQKLIWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGVGKLPALTLSARHDTHFSIKVYKYYNHSDCHPDGVVRNCRFGTEYDFEDFLCESLSFTPQKNGKSSKILARNLDGIQMAMKSTWSIMCEPCL >KN539662.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539662.1:39598:40799:-1 gene:KN539662.1_FG001 transcript:KN539662.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KN540232.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540232.1:14591:15093:-1 gene:KN540232.1_FG005 transcript:KN540232.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLWRRVAGSYVGGEAERHRRAAPTGDTVGVTLDEFLGGKGLVCREVALASWTDVNADDPDMCPVLHVDDERLMVTAMADEPALCATRLGLQMGQTSELLGQNDG >KN540232.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540232.1:24346:28141:-1 gene:KN540232.1_FG006 transcript:KN540232.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKPAMGQTQGDGCPVQQIYSDLEKATDSDKFHADRKPAPCDGSSRRKSNATSLKVLLAKEMTKEVELKRKPPSVVARLMGLEEDLPADGPVLHQAKSGFRKSQSCNQLKALNKDLKQQEQHRLIKSTTQDIHPFCQEAVQYNDVYEVSEAQTRMSYFQDKISQKGGSSGNTSNRVDILRGSVMEKKCLGMAEKPLHSKDLQEALRVVSSNKDLFLKFLEEPNSIFSRQLVGSHTNIAPPQKKRITVLKPLGSFESDGTRQTGTEQIQEQNGAAMREFHQSSNFKEENPSLPSRIVLLRPTPGKPSLTNAKLTPRTTPFLSINPSDFRVALDGNGATLRSTKVEPGIIHNQQDGCQGDESFLSSSYSNGYGGDESSLGDSEIDQNSDSDIDYIEDEGDSFSDSGGCSPVSKRTWHYTKRHGNPYPGSSFSKISHFPESSVTKEAKQRLSERWATVTCDEISQEQVELPRSTCTLGEMLSLQDVKNDNFINELPSVSTSRCYDRENELPTQAKYATACRKDEKNGERPMGLPRSTSVPLIPSTLNNMVANVKTSNHQGHERTKHIVASNKEKSFFRGRVSDFFFLRSKRTTRQISANHTSDLSSGNTEDCGGDSQQDANHDLDGNEKSTICEDILDICAVQSTSTSEGTTALTDVPASLHCRSGNLNKLGLNEVLSSTRDQPSPTSVLDAPSEDSSCNEPESSASTTSKNAKAVSRSSAIEAVACSLSWDDTTSESALPGTRGQSSFLPDVDDDESECHVLVQNIMSSAGLDDAQSSMLFTGWHLPDCPLDPILFNKVLELREQSSYQRLLFDCVNVALVEIGENTLLSTFPWSKAHSRTWMDASSPALGIEVWSILKDWIYGARMFVVSRRDNAGIMIERVVKQEVEGTGWVKTMRTQLVDITEQIEGGVWEELVGEAVLDFVPACQR >KN542380.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542380.1:20:889:1 gene:KN542380.1_FG001 transcript:KN542380.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAVLASRRLKFLQRMAYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLSYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVVAGIEISFTLTAKAAAEDDDDPFAELYLIKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDSVAQGGIDV >KN539662.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539662.1:88997:89848:-1 gene:KN539662.1_FG002 transcript:KN539662.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHGMQESKTASVIKVAREPAIIINGVPDLPPDVASESQPEPNGDQEDLEWKEVEEIMLPLDITIPLKTLILDKFALQNTVPVFKPKVGRPRKVYAITDGNTNNAMEDQMMTGAANEHQSNNLLALVPASTSNDAYVNASSQPRKRGRPRKDATMYPRKDATIPANTQPKRRGRPPKNRNLSGNAQSAECTPQNSVLIRNAQTVRAEKLAKAERLKRENMHAQGAPPGTQFF >KN539662.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539662.1:28194:29848:1 gene:KN539662.1_FG003 transcript:KN539662.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLFITLLCTLMSAHYIAGSQVYPRLYGDAHAHFFVRWTIDGYRKTGCYNLDCPGYVPEAGISIVPGVAIDTVSEPGGIKHIIIFKIFKDGAGDWLLHCGWDSEPYLIGRFPASLFTTLRNKANYIKVAGYAVARTTHLAPMGSGYLPNNPKAASFSNVQLIDQDGQTSKIPQDLPATETFPSIYSVSPINFEGKFTYGGPLE >KN539662.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539662.1:43273:47666:1 gene:KN539662.1_FG004 transcript:KN539662.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVASKGAEYQQNKPTDIENLACHVVTVVNMIWYDELAWWDSFLVAAHDMVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKVKTTTPMTTDLFMEWKRKKAEEREAGLAALRAERAKNDRMSGRELFMADSSVFVDDAEAYDVYERQEESEANEEPSNKNQDEGPSSSTSNGKEVEESDDEDIDIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >KN539662.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539662.1:60197:68734:-1 gene:KN539662.1_FG005 transcript:KN539662.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIASEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDSQAEYDESSGEDQDAKVSPSAEDEEAGKKAEDGRSKLSDEQKVKQQRPHKIQIWSEIRSSLGHIGEMMSLRVKKKQSSADKENAANELQSANNEEIKPSEDSDDEFYDVEKVDPNQEGPVADSADADSGMNVDANQEGHYPWKEELECLVRDGLPMALRGELWQAFVGIGARRVKGYYESLLAADDERENSKGSDSPTMEGKPKGSPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSTEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDQVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSDLRQALLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVNQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNISDESTNGNLNSNTEQMVDTPKKDDEKQGDSPQEGEQRVDTPRRDSEHILDTPETTIKLEEQLEEIKLD >KN542380.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542380.1:11285:11938:-1 gene:KN542380.1_FG002 transcript:KN542380.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQTLRAEARKAIKEEKRPVVYTLDEPEKDDRNNLSLSQGNLANDKAQVDLMQNRGKRLEAALTKQLDEEQSMEPRLLLTSVRSIDDEPPRLNLPSDGFIMVWHAAAVHHDVHELQKLLKQEPLNNHMIVDAIGVITSSWKEHYAKPCPEDLVKLMSDVEDLVGLFERQLRSTLF >KN542380.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542380.1:2682:9156:1 gene:KN542380.1_FG003 transcript:KN542380.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSACVAIALLVVVLLANTTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRATSSNLPHLTVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQKKVDRQRYPWEIVGIILHCLIQNPSIVHRAWPSSIT >KN539662.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539662.1:14623:24744:1 gene:KN539662.1_FG006 transcript:KN539662.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEEKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFRTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDISLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGDLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >KN539662.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539662.1:50949:51188:-1 gene:KN539662.1_FG007 transcript:KN539662.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHAPVKRCLSKAPSGNPLPRRGQVKESMGKQIVAAAAAVATAAALACEKTGGGVAGSGDKKGSGKTAPVAGAKKK >KN539662.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539662.1:32700:33995:-1 gene:KN539662.1_FG008 transcript:KN539662.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREMEGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQYHNGDT >KN539662.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539662.1:6167:10170:-1 gene:KN539662.1_FG009 transcript:KN539662.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKIRNGSLEHPTRTSSQGATKTSRTARAAGPDSAADRPSTKSPPAGRSPKVERRMTMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLLSTEHSKRRALQEAEDARAQAAAASAQLAAAARADRKQDVVEAMATIDKLRVKLKASEEAEAQARALHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEIAETSAAKAGERCNCSASASASEVAELRSELESTEARFQEERILSTVETQCAYELMDQIKMESDSRHGKLAAALESAKSEVIFLKASLFDKDSELRRALDANEKLQSETRTDHELKEQLQGALQENGQLKRELQQHTTEKKASAKATDAADAAAEAAKKGEMEAELRHSPMRAVCMYVTLVLQLA >KN539662.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539662.1:84832:88794:1 gene:KN539662.1_FG010 transcript:KN539662.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWLVALWLAVNVGLFAYKFEQYERRAAFQVIAATIAAATAVHTLAHVTCDFPRLINCPSDKFMATLGPNFGYRQPTYADLLESAPGVTGILMIVIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNIIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >KN539662.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539662.1:35937:37193:-1 gene:KN539662.1_FG011 transcript:KN539662.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >AMDW01038923.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038923.1:38:795:-1 gene:AMDW01038923.1_FG001 transcript:AMDW01038923.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGQNEATKSPHRDDSLSCHEPLTPDSNCQPCSPTASPKHERAAKRQRGNGAEFSETDFTLPHSIFESSSGSEFQQCSMSYSDVELGSLKVALCRGVDFCSFG >KN541329.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541329.1:517:4851:-1 gene:KN541329.1_FG001 transcript:KN541329.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEDNRAATQREEGSSQNKYGGISPKKPLINKDHERAYFDSADWVLGKCYLFLQQGASNSTKGTTEPLKPKLQHVAMCNGIYNISVTLSSFPLVGSRRKTARASWTSSQAAAPEPGSTGACYLAYADTNTTSRAQREGVPPVACHRRRHPPAVQRQLVRDVPRHEQRAPVAVGADSGGAGDRRRSHHGALAQCAADYGLTEKGRAMGLKPA >KN541329.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541329.1:20285:24930:-1 gene:KN541329.1_FG002 transcript:KN541329.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLVAAAVLFCFLFSSLVSKDEDAPPGIETMLGISDQVRSFVNPVWTSSGRPITQGDSLNGNGLNTASQTEKQSDSDSSHKKLHGLSWSFPPSIVLEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVEQALALMPGAPSASSVLRSLNYLSGDNLISKESNHGSLFGGYPSLEERDKSYDIKDSMTVHCGFARGKIPGVNTGFDIDRADLSEMWQCQGIVVASAIFGNYDIMQQPENISVFSKDTVCFFMFLDEETEAAIKNTTTIDNSKRIGLWRVVVVRNLPYSDARRNGKCLPDLKAIVMLLVKANDAMLNVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKVGGKYDNASIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRKRVNWTADMFLDCERRDFVVQAYHRELWEQILRSPPPPQPRLVRQQPRKMLPDSTAKEPGKASGSKRVSAKRTRDKKSGSKRAHRSKVTGGKEFIQL >AMDW01040277.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040277.1:563:1288:1 gene:AMDW01040277.1_FG001 transcript:AMDW01040277.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVL >KN540953.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540953.1:1167:4518:1 gene:KN540953.1_FG001 transcript:KN540953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SVAAGSGMCVEELEGAERLDFGGVAELETPADFEMEKVCENTVSLDFKQARSSSFVPVIRSGDWSDIGGRDYMEDAHVCISDLAKNFGHNSVDDEIISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVRRSFVQTDSQFAERCSHQDALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRTCCLNERKRIESLGGYVDDGYLNGQLAVTRALGDWHLEGLKEVGEPGGPLSAEPELKMITLTKEDEFLIIGSDGIWDFFSNQNAVDFTRKRLQEHNDLRLCCRQIVEEAIRRGASDNLTAVMVSFHQEAPPQLRVNRTGRVERSISAEGLHSLRVLLEGQ >KN540953.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540953.1:18318:22509:1 gene:KN540953.1_FG002 transcript:KN540953.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLLRIAAVLLAILPFCATHPSPGFHAPREFHKALVPDRYGFMARRSIAEAPVDVNVTTNSSFVLAQERTYRKDPLNGFRKYTGGWNISEVHYMASVGYTAFPLFIIALVWFVLFFLVMLGICCKHCCCPHRSYTYSRVAYALSLILLILFTCAAIVGCVMLYDGQGKFHKSTTTTLNFVVGQANFTVENLNNLSDSLSAAKKVDIGRSFLPNDVQNQINEIQGKLNSSATELATRTTDNSEKIQKLLNQVRIALIIIAAVMLLLAFIGFLLSIFGLEFIVSILVIIGWILVTGTFILCGVFLLLHNVVADTCVSMEEWVAHPTEHTALDDIIPCVEPATANESLYRSRQVTYQLVNLVNQVITNVSNGNFPPQTPFFYFNQSGPLMPTLCNPFTADLNNRTCTRGEVTLDNATRVWKNFECQTTTVSGTEICTTVGRVTPTILGQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNHCPGLERYSKWVYVGLVMVSSAVMLSLVFWVIYARERRHRAYSKQHNYADKPHPAGPDAIWPMLCCRRISMSILFVPVIIM >KN540953.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540953.1:11869:12263:1 gene:KN540953.1_FG003 transcript:KN540953.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKLSMATAMILLLVLVMAVEVEGIRLDAETRAATSNQMVNKSTENVPKDSGDSLGEAKRSIAGNEVRAVAHKLPEFHEDYYGPSDHTPRHH >KN540953.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540953.1:5749:8891:-1 gene:KN540953.1_FG004 transcript:KN540953.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAAARGGGVIPAGKGGSLRSPGKPVVLADITNTGRPNPTGSVHAIADVLKENAKLRHLLAERNKVIEVSRVELQKIRLALQAMQQKNLQLVQANSQMFAEINQGKDRIKLLQHELACTIAVLKVNGSELEKMSKTSNNQQNRAKILEKKTRSSKCAPTEAHQKAAGSIREHLVEIQSAVPSYTSCHEPPQDKTNKRCTNRRKSESCEVTMDTNTVQHSCRPHVEYNGSSHDDDPRKTRRRRSARLNPGSFEVAEICDKLHEDATVPSAPSSSNVPKLQEPNAGKDMICGGKMKSLQKELPCDAIAQVVEAPELKEIQEAGSSVAGGEAHKFDIEDPEPPRKSMRIDANKRKLESCESRLASNKEDCINAICDSTSSVPIQHEQKRKLSRRKSSRLDPGPWEVTNGTFEIVQEDTVAPSAPSSSNALIEQTKNDVQNDRSCSTKPSDEQVIGRRSSVGRPSRRAAEKIVSYKEVPLNIKMRRP >KN538699.1_FGP071 pep scaffold:O_longistaminata_v1.0:KN538699.1:95288:96661:-1 gene:KN538699.1_FG071 transcript:KN538699.1_FGT071 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDAAIVFFLVAASSLLSYGEAAPKMTAVAADYGYPADYGYAAGSKLGPENWGKLSPAYKLCGDGKKQSPIDIMAFEPGKVGTVTVNGKVYSFRRVHWHAPSEHTINGEKHPLELQMVHAAADGSLAVIAILYKYGAPDSFYFQLKRKLAELAADGCSFGEENAQVALGLVHLRSLQKRTGSYFRYSGSLTAPPCTEDVVWSVLGKIRQISQEQVALITALLPAGGARPTQPLNGRTVQFYNPPNSTISFKV >KN538699.1_FGP072 pep scaffold:O_longistaminata_v1.0:KN538699.1:45715:49246:1 gene:KN538699.1_FG072 transcript:KN538699.1_FGT072 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRGSFDGWLLYHRNGYRDIRSSFLWNPFSGAVLDLPSRCDDAAGGEPMCFVNAIKRKIVVCSPDLVAAAVEYTSLIFHLPNKHSSWARTNPNICCHDIAFHHGKLYSITSNDELFVHEFFTTAAADRGGGSARVTASSDWAAVTDARPPREHLGNHGYHLRFTSYLVASLAGKLLLSLPDGEVHRLVPDPDDELPGTVCRGSFNGWLLYHRPPEQRRQPECFLRNPLSMAKIALPNHAPVGLCPGGCYDAMCFPKPEEFMRKIIVRSPDHVAAMTDYFILLHLPAKPPQWPQYWSFTAATISIDDGGLFTSHHCWRDMALYHGKLYSISTGEELFVHEFSSSSEAVSSPSSSTTTATQPRYCRGKVVIDTAPPLVDEQGYYWLSKEIKLDVFEADLENRRWSEVKEIGDQAIFLGNTCSKAVPTLPDHGSSIFFLGSIVTDYCLDGIIDGIGDCAYGVYNMKNGTFRFDHPVSIKRDRVPLGLDEDG >KN538699.1_FGP073 pep scaffold:O_longistaminata_v1.0:KN538699.1:15907:16865:1 gene:KN538699.1_FG073 transcript:KN538699.1_FGT073 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRAPACGGGDGGGVGTVIEDLPTDVLALVLRRLDGASLAAVGCACSSFRELAVDQETWRGLCLALWPSGAEAAARQRYEEFVKGKRARKEWKARREGIVDLCCSGVGAAAFVGFLVMLTFR >KN538699.1_FGP075 pep scaffold:O_longistaminata_v1.0:KN538699.1:4408:5438:1 gene:KN538699.1_FG075 transcript:KN538699.1_FGT075 gene_biotype:protein_coding transcript_biotype:protein_coding MLANLVHWWRLRGFVASGRRQAEVFLPLISRRRRTQHRGEHKFHPYVDSFLDLRVPMGDNAAAGEGKEEHRLSHRALTDDEMVGLVSEFLGSGMECAMSNTFPCRAAACGCTSSSGTSGGTARRGQIRTSSGRTGSWPVARRRGVSPLPRPKEVRMMPFGAGRRYCPSMGLGVAHACLLVAALDCRLFIDHNLTTQMK >KN538699.1_FGP077 pep scaffold:O_longistaminata_v1.0:KN538699.1:159484:171509:1 gene:KN538699.1_FG077 transcript:KN538699.1_FGT077 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSPGKYTASATGDHTTYRYFLYKSGAIHHATIGPLDASTTYHYRCGKAGDEFTLRTPPARLPVEFVVVGDLGQTKWTASTLSHIGGGGDYDMLLLPGDLSYADLYQPRIYDNEADSRGPMYITIGDGGNREGLALKFIKGHKSAHLSEFREASFGHGRLRIVNETTAVWTWHRNDDQFATVRDEIWTPMSQVRFAPELDSRRRRRDSDDERGERDIDTRRHRHSHRHRRRSPSSESCSSSGDDDRSRRHRHDESPRQRRQIDHSRRDRGDHDERGRRIPQRRKEPTPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSAEYQRLTWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAVLVAVVNTKFPEIGSLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEIAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRSKRRKKCRGGDKVNMEEKVGGDVRVLKPLMHLLLGLVMYWVAEEMTVPVLVDVTTRALCPCADIACPEAIYLTGLHQTVGGIFRAVGYSLMGQLADEYGRKPLLLLTASTSIIPYGVLACNKSKIAVYIFLILRTLSFMIGQGTITSLAVTYTADVVDPSKRAFAFGCITGILSASHALGNGFSRFLPERWIFQVSVALLISSVIYMKISLVETLQRASSGSFEHMSFSSLVVRLPLRRWESIKENINIIRRSETLSRITYISFFYELGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGIGSIFSQILVLPVIINTVGEKGVLCVGILASVAYAVLYGLAWSYWVPYLTSSLGVIYVLVKPATYAIISGEVDSSDQGKAQGFISTVKSTAVLLAPLFMSPLTSYFISEQAPFNCKGFSFLVAGFFLAISLGISFMLKPEAKCTRVAVPDQLDHEAVQAPLLAQP >KN538699.1_FGP078 pep scaffold:O_longistaminata_v1.0:KN538699.1:135906:136808:1 gene:KN538699.1_FG078 transcript:KN538699.1_FGT078 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVLDTFNPCMKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYENPKPNIGIHRFIFVLFKQKRRQTVIVPSFRDHFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >KN538699.1_FGP079 pep scaffold:O_longistaminata_v1.0:KN538699.1:34015:34529:-1 gene:KN538699.1_FG079 transcript:KN538699.1_FGT079 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRSGSGGGAINDPFATPGFRFYPTEEELLGFYLRHRLAGTRPDVERVIPVVDVYGYHPSQLAAVAGEASARDTEQWFFFCPRAEREVIGVKRTMVFYQGRAPTGTKTRWKMNEYKAVADDADAAAMLHPMAPPRVHT >KN538699.1_FGP080 pep scaffold:O_longistaminata_v1.0:KN538699.1:17852:20726:-1 gene:KN538699.1_FG080 transcript:KN538699.1_FGT080 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRSSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLVNYFTSAASIVSVSNAPMAGRAHPSSESVATPHVPLIIPSATQTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQRATYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQNAHM >KN538699.1_FGP081 pep scaffold:O_longistaminata_v1.0:KN538699.1:61001:73842:-1 gene:KN538699.1_FG081 transcript:KN538699.1_FGT081 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAARRSPAKGTFASLHPSRFSAHAVHLRAAQSASLRAPSPGARRRWRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKTNLCAFSTGQITYSVVQIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQDVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVVDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEIMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKALHKLFFRPSLIDDDHDDKLRHGDDEVTMKASSNGELVMMKANSDRELVVVNDELVQLMGGETSLRLQGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMNELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQTIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFVESMINVMTPEERERPELLAESRERRIRVAKESGKNERQKMMGAMQGQDTPDMEGLMDSIKAEEQAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRVTRRPHEKELDARGDEEEEHDDDDDCEHRGSSVQVWLL >KN538699.1_FGP082 pep scaffold:O_longistaminata_v1.0:KN538699.1:117276:122511:1 gene:KN538699.1_FG082 transcript:KN538699.1_FGT082 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSSGHPRQNPHVLGYGFHGAMPNSLPSANLFEQQGGANYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTTATAAATARPPPTLDIFPSWPMRSLHIPKEGSNVTADTTDSESSSKNNSNQNASSDQHGLVGDMAGQFDQIPQQEQHKKMATNSPTHSSKTGKALDPKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSGAAMFDVDYARWLEEDSRRMAELHGGLHAHLPDSDLRAIVDDTLTHYDHLFNLKGMAAKADVFHLITGMWATPAERCFLWMGGFRPSELLKTLIPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLDQLHQSLAETVAGGSPLDDANVGSFMGHMAIALGQLSNLEGFVIQADNLRQQTIHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAHPSESQYSAF >KN538699.1_FGP083 pep scaffold:O_longistaminata_v1.0:KN538699.1:148099:149357:-1 gene:KN538699.1_FG083 transcript:KN538699.1_FGT083 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARNYLNLDFDVSDTKPFPDYCFSEASQVWRAHSDRSDEEREQAVLMFYEEKEKELEAIDDCSEALGDVDCEDEEEEEEDEGTCELCMNEGLVPSPRNHGEHLCPVYLEMVNQQILEEIKDQDLHNEDNNIFERFGIMVNWEDDDEEEEVEEINKLLGHGNELAREIMDGAPAA >KN538699.1_FGP084 pep scaffold:O_longistaminata_v1.0:KN538699.1:150157:154488:-1 gene:KN538699.1_FG084 transcript:KN538699.1_FGT084 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMTRTALAFFLLVAAASILSLPPPSLAVTSPYVRPKPRATLSLLKDDDDDDGRKPEQVHISAVGSDKMRVTWITGGDAPATVEYGTTSGQYPFSATGSTNTYSYVLYHSGNIHDVVIGPLQPSTTYFYRCSNDTSRELSFRTPPASLPFKFVIAGDLGQTGWTESTLRHIGGDDYDMLLLPGDLSYADLYQPRWDTYGRLVEPLASARPWMVTQGNHEVERIPLVEPRAFKAYNARWRMPFDAGASPSGSNLYYSFDVAGGAVHVVMLGSYTDYAAGSAQHRWLRRDLAAVDRARAAFVVALEFSTSTSTYVRRYTLSVT >KN538699.1_FGP085 pep scaffold:O_longistaminata_v1.0:KN538699.1:52676:55174:-1 gene:KN538699.1_FG085 transcript:KN538699.1_FGT085 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYQDTLATLKFADSKTLKEEQREELFESLKDNSSIGWEVDVICPKELSAKMLKRSKVNLNEISHNSAMGLVKRALDMGVLLAEVYIDTVGDAEKYRIKLTEKFPGIKFVVAKKADSLFPVVSGASIVAKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPATKQWLEDHKHPIFGFPSLVRFSWGTCTPFFNDAIEVTWESDELEEDAGSNGSVKRQVKLSSLGFTGFKRKSEEIVSSGKGRCKFFQARKLELVRKFQ >KN538699.1_FGP086 pep scaffold:O_longistaminata_v1.0:KN538699.1:24071:24880:-1 gene:KN538699.1_FG086 transcript:KN538699.1_FGT086 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLSLELGKAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKEKDVVMQEYLSLKETNKQLKEQAQSHLSLSLI >KN538699.1_FGP087 pep scaffold:O_longistaminata_v1.0:KN538699.1:81441:85520:-1 gene:KN538699.1_FG087 transcript:KN538699.1_FGT087 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVALLRGPTNLASPTSSTLRYLANGDSDVSLPRRSSREEESPAGLQEEEEEEERWSFLALLLELLRKSLLRCRTVQEGGGGGGECGMEIGWPTDVQHVAHVTFDRFHGFLGLPVELEPEVPRRAPSASYKKIELLVVEVQCFGIAHLFALQPLGWAEGIFRINAENSQEEFVRDQLNSGIVPDGIDIHCLSGLIKINKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKMLIQKTLKGRQESNLEDTSLPHKDPSDESGHHKPCLTLESLLEEESRRPSFVEEEPILNSPAHGTGYNPIEVNPVQGKTAASISQTSEVQTIIEGSSSCSRPSLTDPPATADPVCAEAANSLQRKGSRSLNSRRTRKGKGQSGMSAASSAEKSKGTSIVSRINSKIERIEAWR >KN538699.1_FGP089 pep scaffold:O_longistaminata_v1.0:KN538699.1:32299:33042:-1 gene:KN538699.1_FG089 transcript:KN538699.1_FGT089 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPALLPTPPRSKMLPLLPTPCLVILPANFATSVASNAPKPGRADAADRWDAHKKPTSSSSSSSSSTGSASRAAACERKEPGSPASSSSSSGGKPGRADSCERWDTNKAKNQIISSGRLTPASSSSTNARWDMNKVKNTAPPSNSNKRPMNRTVVRMGFDEACYSLWVCL >AMDW01040176.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040176.1:151:1245:-1 gene:AMDW01040176.1_FG001 transcript:AMDW01040176.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ESRTSVSDKVKLRFKLPGEIKKMRKRLEELKKEMDLTSFVVDGASDEQDSITSWPSGAGPYDTEDTVGRIMEKGRIMDLLLSDEEHFIIPIYGLGGLGKTTLAQMVFYDSTTKAAFEMLAWVNVPRKFDLNAIRSSIIQQCGDAVESIISEKRSLIVLDDLWEEDNFKLENLETMLKGCRKGSKVIVTTRSKKVADQMNRDLQIELGLLPNEDCWTLFRKRALISTTVHPYVEEIGKKIVEKCQGLPLAVKSLGYLLGRLPQTEWEEILLSDIWAEDDGRFSDNRVLPSLKLSYYNMPSYLRLCFAYCSVFPKGSHIQRSSLIQQWIALGFIQPPGSVVAEHYAEDCLRELVGMSFLQSVNVSTA >AMDW01040575.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040575.1:17:1457:-1 gene:AMDW01040575.1_FG001 transcript:AMDW01040575.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKILAKWGAHEENRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSRPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIDTIGRVFSLDFKRNRSIDREQENSQANHLQTMLEPDAQVKENALQSTNIEEGESHAKNQPSGECQSANSEEAVPDSDHPLASYQQSMCSTDVVYNLQGQSIEDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDSKTLKDGISDPEPQSADSHQVTDMKEQSTMTNDLKTTDTEGSMPDPDNRSTDSQHVINKMEQSSSTDEPGNVVTPEEKVSPNVPVGHSEIEIAEDNIIAPHVENGYIDKNEGSPNEDGDRNQSAKHLKKCRTYLLLLAILAVSLTYQS >AMDW01026823.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026823.1:135:362:-1 gene:AMDW01026823.1_FG001 transcript:AMDW01026823.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding THAVLAAANKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQ >KN545111.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545111.1:1906:2201:-1 gene:KN545111.1_FG001 transcript:KN545111.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAGSGEEFIGGGSSISMTGIRIGGRNWWRQFLGAEGAEAIFGVTSMVSEGVGMDGSGWKEKSIFAMFI >AMDW01016396.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01016396.1:3:261:1 gene:AMDW01016396.1_FG001 transcript:AMDW01016396.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CRCSSASAIGSSGVRGKEEASTSAADSEPDKKRWRKKRFWRKKKKKAKKDHYGDAAATEHGSERASCRRYENDAVADLVNDISSKS >KN539627.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539627.1:58146:61625:1 gene:KN539627.1_FG001 transcript:KN539627.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDGTGWTAEHSVNAALGQFEHCLVDRGCAVNTHLFNGIDDMDRLIEIVKQAAKEGALVLYTLADPSMAEATKKACELWGVPSNDILRPTIEAIASHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKSLFEIDQDKIFGLTINPVVLQAIRKARAKTLGFHGQKSNYAEMEHVRGELDHANQIFAQHPIWPVIEVTGKAIEETAAVVVRIFHDRKQKCAMPRISKRVAPIRIYDYLSEMVNTHVEYQKIFARDF >KN539079.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539079.1:86602:88886:1 gene:KN539079.1_FG001 transcript:KN539079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAATSPAGLLHGAGGSDELGHSSRVYRPASGRQKWVELMEHDTENNRTGCHNPSLSLPLSWHGIGIAIDRLVKVNVSDILELAELHDALATLWQCL >KN539627.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539627.1:22631:41208:-1 gene:KN539627.1_FG002 transcript:KN539627.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MASSSRVRVGNLVPLNEGNASSSNGSVSSIPIYLGANVVGRNHLVVVDKRVSRKHLSLHASADGSIEALVEGPNPIIVRSEGQRRKVCAQERVKIAHDDVLELIPGEYFVKYLNVGDNHKSSTSMGSSDFKKGKRFCEDDTVVIKRNRQIMEDEALARSLQKSFAEESSTISGLGCDQMLSSLDSAGSSERNNERIHSVDYLKDVLSLTFRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMVDMEWLLTACPSLRKVRHVLVIHGEDGASVELLKKVKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKTQGLWMQDFPWKDAKDVNRSVSFENDLVDYLSAIKREILELLATCCKLIWPEFRVNLPVVGDVNINAAFFRKFDYKSSSVRLIGSVPGYHVGPNIKKWGHIKLRSVLEGCTFEQQFCKAPMIYQFSSLGSLDEKWMSEFACSLSAGKSDNGSPLGIGKPLIVWPTVEDVRTSIEGYAAGSCIPSPQKNVEKDFLRKYWARWKADHLGVLFLPKTHQSVPQFSCTGKNNSNLFLTQNNLAPGKEIKTKLVTLCWKSDEEKEQSTEIIRLPVPYQLPPKPYGTEDVPWSWDKRYTKKDVYGSVWPRHVLGVACHFHQQSFMSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >KN539079.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539079.1:51866:52492:-1 gene:KN539079.1_FG002 transcript:KN539079.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPVLLLLAVASPAALGAFDVNQMLADKSQYGSFLKLLTQTKVAEETNRLKSASLLVLQDKAMKPITSLPADKQRMAMANHVLLKYFDPIQLGEMKDRTAMLPTLLSNTDKKLGVVNYTKASDGQMYLGAPGAACVAKLVKVVAARPYAISVMEVSEAILPPALGGSGGPGRRAKGGKGKVKPKSSDADEAAAKPATEPKATDVPK >KN539079.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539079.1:122595:123905:-1 gene:KN539079.1_FG003 transcript:KN539079.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRREPDARNNRTIRAMSTGIKPTDPAKGLRRARSVPSSPDRKLSPSHDASSSNAYRPSSSFSTRTATSRSTFGSASSSIHSSKAPQASSSTTTAKPANTTKGKADKSGGSSVWPPALTARNRSSKDMNRTAKSSSAIQKSNLSSRPGVDKMAASSAKQRTQKATPGALAGGKTQAVPSVRAPGTTTKKTMGVANSVPSIQRTSIPSRPIEAPKVNEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDVIAYLLSGDNPSEPASNQQEDKNEEVVELISEEKHQVPDNNSLNGRDNADIGINSKVQAVKEAIDNSELKEAANETELKEAVNETELNEVVSESELYKDVNATKYTEDALEPMLIEKEEAEENVEMVVPPKKTLKPVQGWSKDDGKSNEMKEEGRSKPTEERKSKVMALIGRFETAMSG >KN539627.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539627.1:62178:65033:-1 gene:KN539627.1_FG003 transcript:KN539627.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASNQPVKRWDVDPALLHTLHEQDGRRQRRRRQQRCSVKRRLLKLKKKNFRFVLKPQCNL >KN539079.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539079.1:138450:142751:1 gene:KN539079.1_FG004 transcript:KN539079.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQHPQPPAGSRLLDAVPLFVVVLLAAHVLALYFWLYNESLGGKQRRVHRSNRELREQDFTSRKLRLFIIVAEFVFPFVLWKASWRARRRIRVPAISSRNADIPADDYSWRKYGQKPIKGSPYPR >KN539627.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539627.1:80122:83039:-1 gene:KN539627.1_FG004 transcript:KN539627.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCLERARAKVTAWGAEEGDGGEDEFWGATAAKFSAGGGGGARGGVPSTRKKEIIKEGGGGEGSSSPTRRVKIRMTKGQLRRLLAGGGRGAAVEDVVAEIMSMGDVHVEPLI >KN539079.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539079.1:16379:17545:1 gene:KN539079.1_FG005 transcript:KN539079.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGTGFGGEVASQEALAAGEWHWSLDALALAIGETVSQEALATGGEAASQDGTRQAGGAATGSQRGSCGAVAVASRVCSAGMGTGLCGTRVHRDCGAVVAPTRV >KN539627.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539627.1:18456:19290:1 gene:KN539627.1_FG005 transcript:KN539627.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRRPWVGGLTAAMMIVAAVCGFCFSGAAAAAPTFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKERYLFGWFSMNLKLAGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTADFHSYSILWNPKQIV >KN539079.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539079.1:128548:134141:-1 gene:KN539079.1_FG006 transcript:KN539079.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPAFLHASLVLPSPAMGAPPPPARPGRRSRSRAVARGPGPPLPSKNRIIPGRPSRPWLDRCLRALFVAALSLSLSPPPPSLLLPAPPARAASSPVGERRRNPEAPPYPCEDVGRYYAGLDGLAGDELMAKLRAVVSPHAALRYKDVWEALKILDAADAPNPEASPDVIEIYSQRAVPKNLAGKPDGWNINSSRGNKYYGHCAATSVRCMRPANHEAAPDTETDSERWAPPLKVRGDVSRALMYMAVSYGSDQKDGAPHLELSDSPSIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSLGESSSSVRTFPEAWVNEFHYENKGKDENEFVELAVRTSLDAKDLTLILYNGANGRMYNSLNLDDKDGFSVAESSSSSSYLIYTAFITLQNGPADGIALVYKNGNRKEVLDFLSYEGSMRALDGPAKGMVSVDMMLKETDESSQQDSLGLTGNKIGDFAWRKLEGYATPGKLNVGQMF >KN539627.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539627.1:72885:78788:1 gene:KN539627.1_FG006 transcript:KN539627.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MARTENEAYLGTAYRSLTWDHQVEERGGRRRRRRCAERERGRSMAEKDGEIIGRAGLEETVRQEVASVLSVAPYLAGALLRLHFHDCFVRGCDGSILLDSVAGGAVDAEKEAETSAGLRGFDVIDSIKEKLEQACPGTVSCADILALAARDAVHWSNGPFWPVPTGRLDGKISNAAETVDLPPPNSGMAQLQSAFAHKNLTAKDLVVLSGAHTIGFSHCQPFHDRLYNYTGGNRLNDVDPELDPAYLNELRSKCGAAAAANADNPGVMVEMSPKRSPKFDTGYYTQVARRRGLFRSDAVLLDDDFTGAYVKKHATGLFDMEFFGDFGEAMVNMGNLQPPPGSDGEWTKRRNNAWRRDAECCLVTGIEQHFGQTIALQGNAWVTDIRGGLSIQAIAEYLTIWDLDRKTFDAGVILVTWLVSKERNARIFDGKAASAEQFA >KN539079.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539079.1:10788:11156:-1 gene:KN539079.1_FG007 transcript:KN539079.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFKDGIVRRVENPGSEESSSAGDGGGGGRRKVLVHVPSGEVVASYEVLERRLRELGWERYLTDPCLLQFHQRSTVHLISVPRDFSKFKLVHMYDIVVKTRNVFEVRDAAAPAVSPAT >KN539079.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539079.1:63707:68506:1 gene:KN539079.1_FG008 transcript:KN539079.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGELEGFRRLSGLAFDELSRRYLSSASGPPPADLPTLLRLCLLSLPLSADAELALRHCTRLLASLRGILCRDLDPSLLPALEVFLDNLVSSNQLMTCFTAANAVMPRRSRITSLGSVCRGGNLFVMELMSHHFISSVQDEEGFLSALSWSAKAKLEVPEIGLSGALSLLHKSCLLSIPPAVQAHFLLLACRCADNGDLNMNLLAFEHAMDVYLSYLSALGVFRRTSGVKRPLGCSMKRRPLSSCIQAATHQKLACDINRLVLFCNLHSNDDLPINESDIVRFIEENQQVLHEQSRQDSVTAVKSIVSNVLLAKQEEMDRLYSNVSEEIICLAAALRLMGSSFIRIMHCIRQMAVGDGSQTTHCLEPCKVFNIVSETISLLGHYEPNELQRNDLFDTIGCIFMMMMANLCATEMCHFLIDGSKASKVRCADQDGSLKASVPRKSSRVIALRFQNTQQVYIQDKLGPGFAEVCSLVCKIGWTLLAGYSDVNEGQLKGIPMYIQNF >KN539627.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539627.1:11855:12776:1 gene:KN539627.1_FG007 transcript:KN539627.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKDPSVSVAVIEQSEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMESRVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMRALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTIKKAAAAAAHPELILASKDDGEIVDA >KN539627.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539627.1:43312:43746:1 gene:KN539627.1_FG008 transcript:KN539627.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPKDDFDFLEPSVLLDETHYQTGFKNGYSEGLVSGKEEGRQVGLKNGFQVGEELGFYQGCLDVWTSVVSIDQDAFSARVRKNIEQLAALLRSYPLLNPEDEQVQDIMEKIRLKFRVITASLGAKLEYQGRPTSSKQDVEDL >KN539079.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539079.1:144052:147406:1 gene:KN539079.1_FG009 transcript:KN539079.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANRAAFVELQGRMIDTTGKIKQLQTQMRSKEGEKKRAYLTLEELRQLPDNTNTYKTVGKVFILEPKSLLLNEQEQKLNDSESAIASMQTSKEYLEKQLAEVENNIRELLQQDPGLARQILSMTVQ >KN539627.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539627.1:44630:47047:-1 gene:KN539627.1_FG009 transcript:KN539627.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWSTLPGCLVMLIAERLLANDVTDYIRFRAVCSPWRQNTEDPRVGDGLRPKYLPRSWIMLEETPPAAAPYRNRLLNTGTGAVLAVDVPEFEDHDVMGPTLGGLLTLRERGGAHVLRLLHPFTRHLTELPSLVTMIHAASHDPKMVEPEYHQPTAIGLSDGHKAVAVFCGLVNKVAVARPGDSHWKWVYVPHFHLESAASLAGRFYAVSHVYIYQLESDGARGEPKLVPVAYVPVDAPSFRLTLVADDERERLMLMKEVFYVHAGEEVPPEGPDMLTMPRVCVAYAVDMAARTIALSRLGGRALFMGDDRAVAVCRKAGPAISSLTA >KN539079.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539079.1:72629:74007:-1 gene:KN539079.1_FG010 transcript:KN539079.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSASSSAMPLLLVAGTVVLALLASPAAAFNITRILGEFSDFSTFNHLLTQTKLADEINRRQTITVLALDNGAAGGVSSLPSDEQRKVLSVHVVLDYYDTEKLGGMKMKNRSAVLTTLFQSSGQATDRMGFLNYTKRSDGVMVFGSAEPGTQATSQMVKVVVTRPYNISVLQVDCVICVSIVIPSYSYQEYWDNNVRVRQKHEPLMPLSVKIDMHPV >AMDW01064847.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01064847.1:189:452:-1 gene:AMDW01064847.1_FG001 transcript:AMDW01064847.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DFTCGVMHMEKTADKWGQNGKGEQWQEQWWEHYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHESSYLFDP >KN540653.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540653.1:12047:16921:1 gene:KN540653.1_FG001 transcript:KN540653.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKKGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEVNKDPMDVTEESSPFVSKNITPPALERTISPGQCGLSGTSIPNARGSTSTSYDNIEDVIPMDIGMPDFRIEPSPPRVQDEMNAQPVQGEMNAQPVQDEMNAQPIQDEMNAHPAQDKRRIRYDNEIVFSNAYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCANLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPVNTEAQPEPELNPKSPVNAEAQPEPQINPQSPRNADGQPEHELNPKSPGEAGTSHFDDMPEIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGLWHSTSKIKWLQLHQMTNLVLKSYDYIDVEQEAAYGDISVSVKPSLSGAKF >KN540653.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540653.1:45431:46119:-1 gene:KN540653.1_FG002 transcript:KN540653.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ILDTESDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLQTFDVTFMFDDDGKSEWFGNKGRVNRVPKGFTPTFVDSKSDTRRIADLA >KN540653.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540653.1:32534:35888:-1 gene:KN540653.1_FG003 transcript:KN540653.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLLLLHVLALLLLLLAVGRYSQSKRFEKKMTVITCLAPSVYRAVRAEFTHQFAARYPAAPPFSLLDACYNLTGHDEVKVPLLTLRLEGGADMTVDAAGMLFMARKDGSQVCLAMASLSFEDQTPIIGNYQQKNKRVVYDTVGSRLGFADEDCSYA >KN540653.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540653.1:29948:31473:1 gene:KN540653.1_FG004 transcript:KN540653.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVDENPLEKITWKFRNLRTSSLSVDFGKISSIMSIFSLLRCAPQIEQLNIEVDLKETQGDDEIHEGILEAYMSEDLVKTLKRVTLCFIKCFPGEMSFIKLLLSKAASLESLKVMMFWHHIMPISDACLLFTTYKKESSTQVKFIVEHGMDTFDIGS >KN538750.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538750.1:260023:260208:1 gene:KN538750.1_FG042 transcript:KN538750.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGAEDTAAAAADDDGELWPEGMGLELDEFLGPMDDDVFEFDHVLEEDDGVLGRRLSL >KN538750.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538750.1:205119:213093:-1 gene:KN538750.1_FG044 transcript:KN538750.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein A [Source:Projected from Arabidopsis thaliana (AT5G11490) UniProtKB/Swiss-Prot;Acc:Q9LDK9] MAPTAPSAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPAADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARNHPDLALLTINFLQRDCHDQDPTIRGLALRSLCSLRVPNLVEYLVSPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPAALKALMLSDPDAQIIVLTIPFNYHRKVYDICSADLKLELVFGLLVCWPTAGSLFKSVIGGQVVANCMHALQEIWTLEAAKSEEAAREIETLYSKPVVFYLLNRIKEFSEWAQCLVLELVSNFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKEYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWEEEHSPEVRLHLLTAVMKCFFKRPPETQMALGATLTTGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAETTENTISAQRYQESDNDLLLSTSDKEDNATATSNGSSASTYNAPSDLSGPSLLSSQIPSETSLINPGAPTYSSPSNFSLDDLLGLGVPQASAPPPAPALTLNSKPVLDPGTFQKKWGQLALSLSQECSLSPQGAASLMNPQSLIRHMQNNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKVKADDGTAAEAFSTLFQSALSKFGLS >KN538750.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538750.1:237060:238354:-1 gene:KN538750.1_FG045 transcript:KN538750.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGCCGGDGQQQQAPFVAKTYQMVCDPRTDALVRWGRDNNSFVVVDPAAFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGGGGGASCSFGGGAGEHQVAAAAASVGMSGEEEDAAEDVLAKEAALFEEVQRLRHEQTAIGEELARMSQRLQATERRPDQLMSFLTKLADDPNAVTGHLLEQAAERKRRRQHLPSHEPTGTD >KN538750.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538750.1:263226:267821:-1 gene:KN538750.1_FG046 transcript:KN538750.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASDLESKAKAAFVDDDFELAAELYTQAIEASPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIRLEEYQTAKAALELGYSFASGDSRFTRLMKECDERIAEELSEVPVKKAEDGAAAPSVASFVEEKDDAANMDNTPPMVEVKPKYRHDFYNSATEVVLTIFAKGVPAENVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKSRYQVLSTKVEIRLAKAEQITWTSLDYDKKPKAVPQKIIPPVLLIALLLSAESAQRPSYPSSKSKKDWDKLEAEVKKEEKEEKLEGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >KN538750.1_FGP048 pep scaffold:O_longistaminata_v1.0:KN538750.1:305142:307073:1 gene:KN538750.1_FG048 transcript:KN538750.1_FGT048 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSVSRVVLLLLCCMHLSAAGAAVYNIESYGARPDGQTDASRALASAWSAACRSPEPATVYVPDGEFFVSHAAFAGPCSGGRMTSMKVLNSRDVVISGVKSVNSELYHVVIDGCEGVAVQDARIVAPGSSPNTDGIHVQSSSAVTITGASIQTGDDCISVGPGTSSLRVEHVSCGPGHGISIGSLGKESEEGGVENVTVSGAAFVGTENGLRIKTWGRAARSGAYVRGVVFEHALMRDVSNPIIIDQSYCPNDGGQGCPHQSSDVQISGVTYTDIQGSSASQVAVKFDCSASKPCSGLGLQDIKLTFDGGKPAEATCQHADGTASGVLMPPSCL >KN538750.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538750.1:280596:290949:1 gene:KN538750.1_FG049 transcript:KN538750.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFSKFNILDVHELINKSIPLSFMEASSWLCYRARGFGSSRVWLWLLLALVLLNCSLVLSASPYLVGMGSFDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKESFITIDDLKPDFRCDLLDAGVNRSPSAYLNNPAEERSKYEYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQMGLPKQSAHANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTCVIGNRQFLKARDLFDSASEEIQGKIDYRHTYLDFSKLEVKVSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWKLVRNLLKTPGKDQVECHSPKPILLDTGEMKEPYDWAPAILPVQMIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSGNSEFDKNIHVVLAGLTNSYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLAMAMIANKEVPTNFQPPDMLDKQIGLLPGVVFDSTPLGVKFGDVSSDVPGNSTFNKGSTVNATFYSACPRNDLLTDGTFALVEKLDGNNNWVPVYDDDDWSLRFKWSRPARLSSRSFATLEWTVPEDAAAGVYRLRHFGASKPMFGSVRHFTGTSRAFAVR >KN538750.1_FGP050 pep scaffold:O_longistaminata_v1.0:KN538750.1:226652:227691:1 gene:KN538750.1_FG050 transcript:KN538750.1_FGT050 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMVVERRICSVPEMEELLGSYLSLNSPQHHPAILAAFEDVWEAVFGEESSFQRSRKFDPNNIQANFACARCCYLYGQPRQSFMLTDRLGILVNLNHPELDVVAQTLTSHPSLLIRSEAL >KN538750.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538750.1:155500:156445:1 gene:KN538750.1_FG052 transcript:KN538750.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding SLPTKNNRRMYQIKNEVESIIRDLVQKRMQAMKDGERTKDDLLGLLLESSMRHADENGHSSPGMTIEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVQSLFQKNKLDYEGLSKLKTVTMILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQHFEFELAPSYTHAPHIVLMLRPMHGAPIKLRAI >KN538750.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538750.1:243464:247273:-1 gene:KN538750.1_FG053 transcript:KN538750.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGRRRYSSEQLMFDVPSNAGGGAGKWGQRGGVRRGDGEIFVSVEPTTPARLRGGEAAAGESPGQRQQLSPGLLDLHAFDTELISDFQVPGIGMYDGAQKFGYGNGGFDDSDPTFAPNKQMSKSTVFAESNFLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQQSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNTKKDLSLAAAPLRESSPSLLASAVPSFSSAEVMNDITERSNFGWTKQQYVKEQQAPTFVDRIQKVKEDTEFSLSNGGYFKEQRTKGSVPVGIAEVADTVYQQGRQPTRKARDLTSDNNMRNSIAYPIRRVVPDEDEHLNELLQEEEDLVSAHRKQVEETLDMIKEEMNLLVEADQPGNQLDDYITRLSGILSQKAAGIVDLQARLAQFQRRLNENNVLLYAQCP >KN538750.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538750.1:307503:310785:-1 gene:KN538750.1_FG054 transcript:KN538750.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding TRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTGPLSRETGILDLRTSTCCPHNTPSVRVQLSLVPPEKDHDPESSKYLASVKPCWSLNEKVLIQSLYKIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLDDDEDREDIEESVCALFPCILETKPPKDKALLEKWKTQMEEDSNNNNNQIVQNYIAEVLAENNLESEDLSSINADDDCKIIVAYLEEIITPAVSYHLMNNKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETKQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGDTTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFL >KN538750.1_FGP055 pep scaffold:O_longistaminata_v1.0:KN538750.1:271708:272378:-1 gene:KN538750.1_FG055 transcript:KN538750.1_FGT055 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEERGDRQERDDGEGGEEERRERGGVGGLVDRAKGFVAEKIAKIPKPDATLDRVSFKGVSRECITLHSHLEVNNPYSHRIPICELTYTFKSGDKVIASGTMPDPGWIAASGTTNLELPVKVPYDFIVSLIKDVSGDWDIDYVLEVGITIDLPVVGSFTIPLATEGEMKLPTFRDLLF >KN542049.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542049.1:7801:8346:1 gene:KN542049.1_FG001 transcript:KN542049.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAGSSNWMEIQNIGWQIIPYGLPLPSLPLRSLLLEFCISVANGVFRKLLLALQPHLYRPVHLMTEWSLVTTGWNVKVSFSLFQEWEDSPSPIKRRKINLLSSKVARALPFSKSDEQVKINAVQPKVRKAKNKVPVSTENLRRSPRFLGQEKMDLAFDTPKKKTKVQPATRLLTLDPNA >AMDW01047072.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01047072.1:6:174:-1 gene:AMDW01047072.1_FG001 transcript:AMDW01047072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDEAAAVGMEMESCVPSHGVLPSSLRGHSSKNRRRGFSVVVADVVSWWWWSA >AMDW01038737.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038737.1:150:599:-1 gene:AMDW01038737.1_FG001 transcript:AMDW01038737.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LDQKLDVIQLFLKSEPSIAHICDNVGLFPLHAAAIVGSTRIIDELINSCPNYYEMVDNRGRNFLHCAVEHNQGTVIRYVCQDGRLSILLNATDSEGNTPFHLAVKYAFPLAVSLLLQTSSVEINIVNKDGLTAADLAHLAFIPGKSYYFL >AMDW01055087.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01055087.1:640:1464:1 gene:AMDW01055087.1_FG001 transcript:AMDW01055087.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGRAISTNVSRVLVCLEEVGADYELVTVDFLAGEQNSPEHVERNPFGKIPALQDGDLVLFESRAIAKYILRKYKSSEVDLLRESDIGEAALVDVWTEVEAHQYYPALSPVVFECIIYPIMRGVPTNQQVVDESLEKLKKVLKTYEARLSKSRYLAGDFLSFADLNHFPFTYYFMATPYASLFDAYPHVKA >KN540803.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540803.1:3841:7844:-1 gene:KN540803.1_FG001 transcript:KN540803.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDQRRDSPSSHELSGRLEGILADGEAPWARRACKAAALEVRLLAPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLTATAVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHLVVGWLVVYRLGMGLLGASLVLSLSWWVIVAAQFVYIAASKRCRRTWTGFSWMAFSGLPEFLKLSTASAVMLCLETWYFQILILLAGLLDDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAVSFVITVVMAVVFLIFRDYISYIFTEGETVARAVSDLCPFLAATLILNGIQPVLSGVAVGCGWQKIVAYINVGCYYFVGIPLGFLLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVL >KN544221.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544221.1:97:1376:-1 gene:KN544221.1_FG001 transcript:KN544221.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMREFVDHWVGSSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEINCADYRFVYMGPKGHTAGRQMYAAENYGSFYHHHKVILYLIGNTYMALSGSLQL >KN540803.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540803.1:24410:34446:-1 gene:KN540803.1_FG002 transcript:KN540803.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTRIICGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLTATAVPLAVIYVFSKEILVLLGESPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASMILSLSWWVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGNPRAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIIGVPFGCVLGFHFDLGAMGIYGGMIVGLFVQTLILVYVTFRTDWNREVGEAKKRLNKWGDIAKPLLANED >AMDW01012297.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012297.1:11:130:-1 gene:AMDW01012297.1_FG001 transcript:AMDW01012297.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGKRITRVEVTLDGGETWLVCALDLPEKPTKYGKHWCWCF >KN543516.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543516.1:6131:6901:1 gene:KN543516.1_FG001 transcript:KN543516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSHRLLCSLFLSAALFGLASAATRRHDWDISYQFTSPDCVRKLAVTINGHTPGPTIRAVQGDTIVVNVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFAYTFVVDRPGTYMYHAHYGMQRSAGLNGMIVVEVAPGAAGDGEREPFRYDGEHTVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRGRFVNCSSSPATAASCNVTHPDCAPAVFAVVPGKTYRFRIASVTSLSALNFEIE >KN540710.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540710.1:46:4078:-1 gene:KN540710.1_FG001 transcript:KN540710.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEDGGEVQPTETATDDSSSPTTAEKEEQAASTGMEIVKAGNSNAQETGGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIQRFQPSLTDKTASKKGQTKSESGIENPATLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVESMFQNGPPDIEQKLQETINKSVELLPEAWKQAGSHQEALASYRRGLLSQWNLDDECCTRIQKRFAAFLLYSCVEGSPPSSGSQVEGSFIPKNNVEEAILLLMVVLKKWYQGKTHWDPSVMEHLTYALSLCDQPSLLAKNLEEVLPGIYPRTERWHTLSLCYYAIGQKEVALNFLRKSLNKHENPNDIMALLLASKICSEERHLASEGVEYARRAIKLSESSDVHLKSVGLHFLGNCLGKKSKTVSSDHQRSLLQNETLKSFSESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEYIDAIGGSVSKGWRLLALVLSAQQRYPEAEVATNAALDETAKLDQGSLLSLKAKLK >KN540710.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540710.1:27420:31241:1 gene:KN540710.1_FG002 transcript:KN540710.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTANITDTKISAWNPCRYAFITERDRYNFSRKDLGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSEHSYCANATNGDGYLYIDECKEPDRCSTGSRCHDTEGGYYCKCRFPRRGDGKINGKGCHLPKDIVVTLATVCIVIFLVFFVCWYERRKRRRHFNNNGGRLLNGMEIKHFSKKDLDKMTKNRTTMLGEGSFGKVYKGTHKNQPVAVKYSKGKRKLAQTHGKDIKCMNKNMFQNGFCWSKVPSSPEQDSSSRVSGPELTEEPTLILEFIPNGNLEKKLHKDKQHPLSLSQRLDIAIGSAEALSYMHSSSDHQSIVHGDVKPANILLDDKLIPKVSDFGSAELTLKIKLVCGDLDYIDPVFLQTRNFTVKSDVYSYGVVLLELITRKRAKYDDGRSLPVEFVKHYKDNNERRKMYDQDMLSSMDALLQPYCTECLDRMAAIAVRCLKNKVDKRPTMAEVVEELKQLREQISTRMS >KN540710.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540710.1:13243:20014:1 gene:KN540710.1_FG003 transcript:KN540710.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLAADKRLGPSAAKSPSARVPGVIPKPINLPSQRGTGTQGDKPMPPASNAWGSPSVMYPKNGGGSDIFSHISDRPSSRGSSTTSTIGSDFLDIPSVRGPKSSHSSVSHVLPPNHLPTAANRLQSKETIARSSRPSRFPDSFTQVLKAPLRTNNRKRGLKMPEKGFTLIMDDFPVLGSVNSESNTRRGHNLQGRSTFGSGTQIAQDEQRKIHLTDYVNEGDAQVTAAILPWGAKHAQQHGTNTPKQSVPPPWFNYWHPPPDHPPDGNEMLHEGTTPCGSDNSADPHMICSVEPLAYYGQFLLNQEAAPMQDPGYSGYISDNQDGYHCDMEADAVVVIQPHILGKVKHDHSEGLQKQPLIKKDVALLEKIKCLNIKARKLRACKISELSSSKESMIEHSKNTDEKADHVKKDVPFSAITSDTMSAFDSASSFSESSDFVPSNSANVPGSATITSSSELEATEFRKAGEPGKLGDHDAYGRVSTSRSRHDGSAKNMSSNISENGWEEHSTVDPLQVVMANAQQDKSFSRNLSLQVHVAAVDEMLNLLDNEIQLHSRTRELSAHHAKQVLEEQDWNSQQKAKSITELDELIRHSPEQSQKTNDAPLEEDNLHLRQKDGSHGTTTYCIASSESFNAPLPANRVNHITDSISFTPASNTTGISKDPVIHKVISPAKNTEINMMETAPKSTSQSQGNSAPKHWKIDNRLRHVESWERVTMERSNIAEKAEYVKNIAETPTDAPSAEAQCHEDLSTVDKNSWRDASAATTASQPVFDKKNATKVPSAHKTLSGVVISNSMIPVTSVSGLTVGSIMLGDISFISVNQVGATAAREIHDTKNTHSRTTTIQQPSKKEQPEEGALNNMAVAAPTLLSGNHSIVQETAMIAEWSEMEKHKSVEKEQLNQWNLGKMLPAQTHRASYGNPGAFNFGAESRANKALYNFTADKAEATTKLDKWLDRESSWVQVKTGQQYTDGSASLMQHLTEQVDKIDQWQSLEPDKQVKRQFEFKTHDGSDSRLEPVRTAPLPVNNWEMRHASYSQKQNHVEGQRNVRTSDATNIYEGRDRTRAFHEVPSLSKSFSDVLSKSQGTVVSEWMQDPYQGVYNMDNSRGFDSAFVDSSCNELIQNVDGDSEMDLYSEQFKEQVKFEDGHLLIWNPREWEYQLPSPPPHGQHSGSEMELCSDQIEGDMIWEDGHPLIWNPRDWEYQPLNLEPHHHGHGQHKESEMVLYSEQFEEDMVQEDGHPLISNPEDWEYKQLNPELNQHDHAHRGSEMVLYSEQMEEDMIWEDGHPLICNPRDWEYQPLNPETHQHDHGQPMGSEMVLYSDQIKGNVIWEDGHPLIWNPTDWEYQTLNPEPHHLDHGQHRGSETDLYSDQVEGDVIWEDGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSEWGYDAGEPTYGANKGRRKGGIHSGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRYYI >KN540710.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540710.1:5690:12557:1 gene:KN540710.1_FG004 transcript:KN540710.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MVATEAAADSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPVAAVPPLPTGPPPVTSTPMPTSASGAFSQPSMQSNQGGQVSQSQQERPGQTVYPQASHLGHQQLQQPTQQPPFQPTAQHQAPFQHSQRAPYQQQQQQMSQQPPAHQYPSTHPQHMPYQHGHYMQPQQQQFQQGPQYSYQVGQQQQMPQAAYNQGQQQPISQAAYNQSQQPAQAAGSYNQGQQPPVCQASYNQSQQSTQAAGAYNQGQQPSMPQASYNQVQPPQMPHATYNQGQQPPGMRIPQGQVQPQQSPSFHQPAQVSQVLQGSQSQGLQMPSQQGQLQHGFHLTTPQGKQPHHGHVGPQLSQVSLGQQSSTLKVDETGVIGGLDGKQTGFSLPLSQQRGQGPVLKQQLPSNHQLPGSHNQPNIPGAGGPSYPAKHHLGGSSPGEANNMNFLSSPAQIHQGSMDINYRQHPASGPVVPNHIGPSPVRPPMGFKMGSSEDHFERNELYSAGRMDGTNNLQQQPKLAALPPLNRPQDMRNGPPYPQPDNLGAFNMGPPHSVPNLHNHGPFPEASMRPPSRMFAPPNFPSIASADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILQEIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRYQNNPMLGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGLQLRELERGADIVVATPGRLNDILEMRKISLHQVSFLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLKDPVQVNIGSIDELVANKSITQYVEVVPPLDKQRRLEQILRAQERGSKVIIFCSTKKMCDQLARDIGRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPPELQEMAARGAAGAPRNQAGGMSRWDGPGGGGNRFESAVGIPGSYGGIRDGPGGFGGWDGPGGFGGRDGPGGGFGGRDGPGGFIGREGPGGFGGREGPVGFGGQESPGGFGGRKGPGAFEGREGAAPGSFGGRGGRGPGGFGGRGGGSPGGFGGRGGRGDSPGFGGRGRGDFSGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDRSYSRSPDRGRSRGYDRRSGSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSRSRSPSRSRSRSYDQGAGPARRPRPRSGFDVLPPATGAGPASTGPGPVAVPAPGSAAPVPTQVPAQSLADASAMSPMSPGGLAQEGAPFNGGNDGGLVPAQGVLPFQGSDVAMPPNFAAAEAFPGPAVEQEAPDV >KN539813.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539813.1:51521:54284:1 gene:KN539813.1_FG001 transcript:KN539813.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MADTAPSCDTYLLFNGETLLPNGVRAFIYTVVLAYCFIGLSAITGRFFKSMESIMRHSREVVTVDPHTNATIVKHEKVWNYTIADVALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWARGERPEDWVPAEDASVDYDDNYDGIGDILPGQNEDIVDIFSAHSYSNEGYHHVAEKDVEESSTGLTLKNKWEDTHWFSIWWQQFVDAATLESSVSRKMDSTCLRVIRISWNLIIAPWKMLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNYFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRKWAWATSVYFMILWVVFIVLSSLRISGVI >KN539813.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539813.1:17703:23487:-1 gene:KN539813.1_FG002 transcript:KN539813.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMLSIDLPVATSNRSAAAPSYDDILGGFGVKPQAGERKRSVVVEDDDLLGGFGRKPHSEAEKKPVVVEKANGNGGNGFDDLIPGFSGSGPQRSRKIIDDNKDEPAVRTSKSTASVLDDPFVVLETNSASGSTYPSPSSFTDPLEHLNNSANSEGKNVDNTTDNDSLPDDSSAFNQVPKSDPLFTSEFNGDTKYMNPPSKARDSNPLHGSMNGNSARRSSAEDLGDAKTKSQSARYSDIYVDGSSSDRYATNGVGDQSPRSTESEDDIWLTVSEIPLFTQPTNAPPPSRSPPLLKQRPLQAKANGNYDEYVRQSNQNHNQYRDMPDQAEVSSLDEMEGFAKDKSQMPSYDNNFFGEAEQSERTSSDREEKERQARLEQEQEMKLMEEKKREQRRLEKERELEQQKERERQAMERATKEARARASAEARAKAEREATQRAQRAAVQRAQQEARERAAAEAKEKAARIAAEARERAASEAKERERAAAERAAAERVQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSSADDLESFFGAGARANSAPKQRTPTVDSMFDSQPQSRATTNGSQRSSSTSASMRKAPSATNIGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIRRWAAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGANLQQKYTAEKVFDILKKNYHCCTLATSK >KN539813.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539813.1:57479:60621:1 gene:KN539813.1_FG003 transcript:KN539813.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKSVLFLQLVLLVAASLPPASKQMEVSLKKWLADNQLLYTTPDAEDTAMDAGVVAASKAQVHVGVDPAGGTIADALAGVPDGGGGGEPKSYVLSLKPGQVFREKVVVGKGKAFVTLKSDPANPAVIVWNDTAATLGKDGEPLGHVRSATLTVEADNFVASGVVIKNDAPSGVEGGKTVALRVAGTKASFFRCTIEAGGQGAVYDDDGQHYFKECTINGGADAIFGFGRSWYDGCRVVSKKDPATVVSTTTAQTQRAPKYEGLDGTTNPAFLGFSFHNCTIEAGAGGGADDKVYLGRAWDDSSFVVFSNTMMANEVVPIGFQGQKMEKPAEGSGAYYGVYKCSGPGLEASKKMGWAKELTDGFPYANQEFISGETWILPPPTPTD >KN539813.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539813.1:77497:79959:-1 gene:KN539813.1_FG004 transcript:KN539813.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRQPKYVFQWCRHLRIPPLGLSSCLFSVLTASASVQSDCSSDDEKLNCAPSQHARKRSRTLCSDSVVQTLHCLKRRPAIAFAYFKDTQSIGFNHDFSTYSEMIQILSHSRQGKMLVSLFSELVSSSNASGPEILPLVDHHRRTCATPCSLSFMVDCLIKACITCYDVQATICLFSGICRLGVVPSVWTWNLLLKFIAETGEYEMVLTAYNEMKCFQLTPDVYTFAIVTRSLFQAKKVDEALQVWAEMTEMGVKPDARGYSSFLIGLCDCGKYDLAYVILQEINREKVPVEAMAYNMVMDGLCKEMRLDEAEKLLENKARQGSNPDVYGYSYLIRSYCKMGNLIKAVDHYEAMVSHGIETNCHIVSYLLQCFRKLGMTSEVIAYFLKFKDSGLHLDKVIYNIAMDTYCKNGNMNEAVKLLNEMKYGGLTPDKIHYTCLINGYCLKGEMQNAQQVFEEMLKANIEPDIVTYNILASGFCKSGLVMEVFDLLDRMADQGLEPNSLTYGIAIVGFCRGGNLSEAEVLFNVVEEKGIDHIEVMYSSMVCGYLLSGWTDHAYMLFVRVARQGNLVDRFSCSKLINDLCRVGNVQGASNVCKIMLEHNVVPDVISYSKLISIYCQSGDMDKAHLWFHDMVQRGLSVDVIVYTILMNGYCKVGRLQEACQLFVQMTNLGIKPDVIAYTVLLDGHLKETLQQGWEGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAEDLLQEMIDKGIEPDELTFSVLNQNSLRSRKIHLCA >KN539813.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539813.1:13805:15589:-1 gene:KN539813.1_FG005 transcript:KN539813.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein ER-ANT1 [Source:Projected from Arabidopsis thaliana (AT5G17400) UniProtKB/Swiss-Prot;Acc:Q8LB08] MLRRGSLTRPYRGIADAFGRVLREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKSIFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQGSKRQFSGLLDVYKKTLKTDGIRGLYRGFSVSIVGITLYRGLYFGIYDTMKPLILVGPLQENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPLKYKNAFHAAKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLHRFAGQHGYNFESKMKGALK >KN539813.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539813.1:66238:73978:1 gene:KN539813.1_FG006 transcript:KN539813.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQQGGNKGRQWHYGWQEKRASFFNCTIEGGQGVLYDEMGTHYFRNCTINGGVDAIFGFGRSFYDDCRIISKRDAAAAAAVPTAPATQQRPPSHTKQVNSTWNGFAFHNCVIEAGGADDKVYLGRAWEDSSFVAYTYSKIANEIVPIGYDDHGNIQKPPQGSGFYYGVYNCSGPGLDASKKMGWAEEIADSNGSSIMAGSLAASAFFPGPGSSPAASARSSKNAAATGELPENLSVRGIVAKPNPPPAAMQVKAQAQTLPKVNGTKVNLKTVKPDMEETVPHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILEVVILVPYIHCLEKNNLALSFYMSAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >KN539813.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539813.1:553:12317:1 gene:KN539813.1_FG007 transcript:KN539813.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWQQSVVHWSFGREYERDQGGDKAAVAVVESSRKKKEQQQQQQQQQQQATPWAKLLSQSSQHGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPASAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVVSPDAVPNDISQHNGFGSDAHLGAEIGKIATYKIRPVLRMIAGTTISEFDLTGDLFKALEDQRDLIRDLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGGEKLAILHKHRSSLADTMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQQSSLSQRGPSYGYRGRVMLAFEENGSSKIGVRFDKQIPDADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQVLISLVILFKYYAQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >KN539813.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539813.1:38002:39769:1 gene:KN539813.1_FG008 transcript:KN539813.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAIDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTFMKRYIKNLSAKLDAEKQEEFKKNIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFSHGLKEVKC >KN539813.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539813.1:43657:44091:-1 gene:KN539813.1_FG009 transcript:KN539813.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTASDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRASVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >AMDW01034136.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034136.1:16:435:-1 gene:AMDW01034136.1_FG001 transcript:AMDW01034136.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPA >KN541382.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541382.1:1981:18820:-1 gene:KN541382.1_FG001 transcript:KN541382.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGDLVALLDAVVGAGKPVFVVAHDWGALTAWNLCLFRPDRVRALVSLSVAFTPRSPARRPVDGLRALYGDDYYICRIQEPGAIEAEFARLGTELVLRKFLAYRTPGPLMMPKSGWGSPDDEVPLPSWITEEDIKYYASKFDKTGFTGGLNYYRALNKTWELTAPWTGAEIKVPVKFIVGDLDLTYHTPGIQDFIHKGGFKKYVPLLDDVVVMKGVGHFINEEKPKEVSEHENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGEGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGDDASPGLRKVKARPFQIQAGKVWRQVLEKGAPRRKGPTKGNREGGAYFNTIKRTVKYLCPADIVPPYIEVDLNELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPEQKKSK >AMDW01036620.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036620.1:205:595:1 gene:AMDW01036620.1_FG001 transcript:AMDW01036620.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLFAGGSETTSTTILWAMSELMRSPHVMEQAKYEIRQVLQGKTMVSEADIEGRLHYLQLVIKETLRLHPPVPIVIPRLCSKPNSKIMGYDIPQGTSVLVNVSAIGRDEKIWKDVNEFRPERFKNDIVDFS >KN541220.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541220.1:14270:14722:-1 gene:KN541220.1_FG001 transcript:KN541220.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDIPFSHIFVLLKFLGFDIIPRCCVVDRWTMGATAAFRSERNIDPNVWSEHMVRYRSLRNMGSDAFFEAARKLEQTKKVMDFLNGALDKGSASHENIVAADFGPMPTHFLSSNQPLEKRVLDPDEIRAKEAPSKRQRPFRETLNANN >KN541220.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541220.1:26223:26430:-1 gene:KN541220.1_FG002 transcript:KN541220.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGFAHSMAAGDQSEAMIALFGNITSILMEVILFP >KN541220.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541220.1:24766:25737:1 gene:KN541220.1_FG003 transcript:KN541220.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKASPKEEDELRCISISKSEISHGPMGALNNVDVSYAGQKGIEGIPPCCTVDPCVYDMGCLTFKGGICCIYAPHMPESWLCFNTNLSMVRRSYGERTGMENSSSKAHGCHIHLIFSIYWIYKNPEGHL >KN541220.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541220.1:20287:20994:1 gene:KN541220.1_FG004 transcript:KN541220.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TAPETUM DETERMINANT 1 [Source:Projected from Arabidopsis thaliana (AT4G24972) UniProtKB/Swiss-Prot;Acc:Q6TLJ2] MADCTTMRLASSVTIILLLLVASQALVVSGELSSSAMQSKTLNMHKLLNISEDHSPNGGRHWMQRMQPDSCSEQNVVVYQNNAEHLPSGIPTYSVEIINVCTACTVYDVHISCGEFASAELVDPSQFQRIGFNDCLVKGGGRLGPSEAVSFQYSNSFAYPLAVANVACE >KN539432.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539432.1:45836:48701:-1 gene:KN539432.1_FG001 transcript:KN539432.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDEQLHFFDTNGYLVLGSFSSVEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHDGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >AMDW01027016.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01027016.1:39:326:1 gene:AMDW01027016.1_FG001 transcript:AMDW01027016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GEVLSLKQQITNFEAVTLPDLRAHLQGATTATTTTGHKMKGQDFFDQCYLPKSLFIIGTGGNDYLLNYFNAGSGPTRAPLSEFTSSLLTKLSNHLQ >KN539432.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539432.1:78122:79751:1 gene:KN539432.1_FG002 transcript:KN539432.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MACASTIILRGFSRDSRRFGGRAGTCPPRLALGTWQNWQQAGFPGIPNWFANMPSVKAHHHQGGTGTSTKFMWQHSFFSRIWLRTKMSWFQHDGKLAMLRALTSKGVGNLNAATLQGKVISKGDLFLLFLVDSKFPID >KN539432.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539432.1:33724:38264:1 gene:KN539432.1_FG003 transcript:KN539432.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHWDWEWDASWPELEMFECPGDIAMYLDVDAVMTTRDCKGGIILGPSVLSRHAAFREVVFPARGEPVLNTVATFGLMYVIFLIGVRMDPRLVVRSGRKGVVIGLSGFLLPLAMTGAGSSGEAMATEPDVSRRSTFLFALATSLSVTSFAVLSPILSELSLLNSDLGRIAMSASMTTDGIAWIIMVVYILAEAFLVSPATSIWAFLSLAVLAAFILFVVRPVALRVIERTPPGKPVEEAYVFVFLLVVLLVGFYSDVIGTNSFHGALMLGLAIPDGPPLGTALGEKIEARVSGLILPLYYAMTGLSTDVWRMHWGRLQVVMFLAWVGKLVGVMVSSLYLEIPFPDAVSLSLFMNSKGIVEVITFNFFLTNKLIGKNTFSILICLSVAITAVSVPVAGWLYDPARRYAVYKRRTVQHLKADADLRILACVHDQSHVPGTLAVLEASNATPQTPLSLYLLQLVEIAGRSAPVFIPHKLRHSASRAGAAGVAVGVIRFLPARGIKDEPSDRRIDNRAIEEVKALAARSRNIKVQEELVGDMERIVQVLRGLDEAGYDLVLVGMRHRWYPVMPANGMSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQGGLNAAVPGAQDVWHVNDGGAEDAPAPPRNMSTAGS >KN539432.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539432.1:54491:55129:1 gene:KN539432.1_FG004 transcript:KN539432.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAFLESGKDGGQDRLSALLDNVLLKIVGGLGLRDVVRASVLSRRLDIDIADFMPHDHDDSSSSNDRHRIMSAYVDATRWLLAPSAERAIKSMSLSFLVAEPYLLHVVARAVDDLLLDGGSSNLESLEMDMWTDRVAAGAATLEQRRLFGQRFRSLFDAYPAPFRCLTSLTLQNMRLRGGRDRWSLRQLHQDAAALPWPL >KN539432.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539432.1:60723:69369:1 gene:KN539432.1_FG005 transcript:KN539432.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQPVIYLIDLKAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRRRDFVQMLKGFIVNQVSYSDHYANMWAKLSEHLLGRTKFPCLLSVDESMLIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >KN539432.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539432.1:8347:10501:1 gene:KN539432.1_FG006 transcript:KN539432.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMAKVFVLLFLVAACYLPAHAAAAECDCATDTAGRDKAQALRLKVIAIFCILAGSAVGAALPSLGGRFPAIQPETDVFLSVKAFAGGVILATGLVHILPAAFEALSSPCLVGGPWKRFPFAGMVAMVSAIGTLIVDTVATGYFHRTDAKRKAAAVADEPADDLEASDEHSHGHAHGMSVMSVAPAGEEDLVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQFFEGIGLGGCIVQAKFRVRSMVTMALFFSLTTPAGIAVGIGISSVYDANSPTALVVQGLLEAAAAGILVYMALVDILAEDFMKTKVQSRGRLQLAMNVALLLGAGLMSMIAIWA >KN539432.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539432.1:80978:81925:1 gene:KN539432.1_FG007 transcript:KN539432.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLTSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLPVSPDLFPIGLVLGERERKASAAAARRKAVTVGVGQLECIIR >KN539432.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539432.1:91648:93312:-1 gene:KN539432.1_FG008 transcript:KN539432.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGNEDLKADVELVESTTVDNDTGAPGASTLPTQGVPRQGKQQNGFLNFCNRFSSGDRFKKLGPSPSFKFRQLALERDEFSRSIHSDSHDNHEHFQFIRKINWGHLWVMCKDWIKEPLNMALFAWIACVTVSGAILFLVMTGMLNRALPSKSQRDAWFEVNNQILNALFTLMCLYQHPKRIYYFVLLCRWEQKDVLVLRKTYCKNGTYKPNEWMHMMVVVVLLNLNCFAQYALCGLNLGYRRSERPPIGVGLTISVAIGAAAFAGLYNIISPLGKDYDTELTEVDQEAQNELTRPATSRTSLEKRYSFIQSEERRFVESRPEWVGGLMDFWDNISLAYLSIFCSCCVFGWNMQRLGFGNMYVHIATFMLFCLAPFFIFNLAAVNINNENLREALGLTGLALCFFGLLYGGFWRIQMRKRFNLPANNFCCRSAEATDCFQWLCCSSCSLAQEVRTADYYDIAEDRSYAEQITARSQHVMTPLSREDGLPLFRSNPGSPYRSSTASPSIFIMESPSAPRRSPGPSPLGGSPTMGDRTMKAPTPSVLHRDGEPEL >KN539432.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539432.1:56259:56921:1 gene:KN539432.1_FG009 transcript:KN539432.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTPPPLLPVTNPAAAGSSPAATAVGSDAPIATPAFRLFLSKLSDSARRSLSDRRPWTELVDRSAFSRPDSLSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLVLLGLLAAWCFLYLFRGSDQPVVLFGRTFSDRETLLGLVVASFVAFFFTSVASLIISGLLVGGAIVAVHGACRMPEDLFLDDADAASGNSAAQGLLSFLGAPGSRV >KN539432.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539432.1:39402:41382:-1 gene:KN539432.1_FG010 transcript:KN539432.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILRIARRMVDAGFGRECAEVYAAARRGFVDESVARLGVRPRTAEEVHASSWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFVAAVRTQALQLISFGDAISSSSRAPERLFRVVDMYEAVRDLLPDLDPVFADPYSAALRAEVTAVCNTLGSSIKGIFMELENLIRRDPARVAAHGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDFGAVGGAAAAVDPDRPTSSLAVHIAWIMDVLHKNLDIKSKIYRDPSLACVFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTNRVRRWSMDYQRVTWGKVTTVLQTGGPGVGGLPATAMKQKLRMFNTYFQEIYEVQSEWVIADEQLRVDVRAAVAEAVMPVYTALISRLKSSPEARHDLYIKYTPEDVEACIQHLFEGAAK >KN539432.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539432.1:2:4121:1 gene:KN539432.1_FG011 transcript:KN539432.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding LAAFSLATAADCECQPSDEGHDAAKSRTLKVIAIFCILVGSSAGCAIPSLGRRFPALRPDTSLFFALKALAAGVFELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQWECGIEPRKVQIYNMARFNLKSAVTMAIFFSLTTPVGIMIGIGISSAYNENSPTALIVEGILDAAAAGILNYMALVDLLAEDFMNPRVRKSGRLQLIISISLLVGIALMSLLGIWA >KN539432.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539432.1:70048:72742:1 gene:KN539432.1_FG012 transcript:KN539432.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKAMAQDEHHFVLKSGHAIPAVGLGTWRAGSDTAHSVQTAITEAGYRHVDTAAQYGIEQEVGKGLKAAMEAGINRKNLFVTSKIWCTNLAPERVRPALKNTLKDLQLDYIDLYLIHWPFRLKDGAHQPPEAGEVLEFDMEGVWREMERLVTDGLVKDIGVCNFSVTKLNRLLQSANIPPAMEMHPGWKNDKIFEACKKHGIHVTAYSPLGSSEKNLAHDPVVEKIANKLNKTPGQVLIKWALQRGTSVIPKSTKDERIKENMQVFGWEIPEEDFQVLCGIKDEKRVLTGEELFVNKTHGPYKSASEVWDNED >KN539432.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539432.1:84428:87282:-1 gene:KN539432.1_FG013 transcript:KN539432.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPGGLPLVNSRPVALSLAASRSSFSSLLSGGGGSSLNLMTPPSSLPPSSPSSYFGGVSSSGFLDSPILLTPSLFPSPTTTGALFSWMTTATATAATAPESQVQGGVKDEQQQYSDFTFLPTASTAPATTMAGATATTSTSFMQDSMLMAPLGGDPYNGEQQQPWSYQEPTMDADTRPTEFTSSAAADGGVGEGVSLVAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASNDLRAVITTR >KN539432.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539432.1:44483:45487:1 gene:KN539432.1_FG014 transcript:KN539432.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASVGINMPGATGTTPRPPRNATACEGVTATSPCATTSPPLPPQQREWEAEMARWLKEAKEMEVLECMGQAAKRPGSHGKDEAQRVWEAEMARRLKETEELQSWAAAEAPTESEEEKRKRVHRELEKLHRPTSPATTLPILPELDRLLLGSATDDMVKIRQEQQWKQI >AMDW01074903.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01074903.1:112:208:1 gene:AMDW01074903.1_FG001 transcript:AMDW01074903.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVQKALDDANVSENDLSAVAVTVGPGLSLCLR >AMDW01039584.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039584.1:134:991:-1 gene:AMDW01039584.1_FG001 transcript:AMDW01039584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVSVSPHSQKKKSAVVRLSFRRRSYEGDEMTEMSGSTNYLYRPRAGSSLPCSTGEKLSDGCWSAIEPSVFRVRGESFFKDKRKSPAPNCSPYIPIGADMFACTRKINHIAQHLALPSLKTHETFPSLLIVNIQMPTYPATVFGENDGDGISLVLYFKLSDSFDKEISPQLKESIK >AMDW01034491.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034491.1:76:336:1 gene:AMDW01034491.1_FG001 transcript:AMDW01034491.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVVSVVGAGGTGKTTLARKMSRCRKIHERFDCRAFVTVGRNPPMKMILLSILRQVTRQQHAEAENLDEHRVIEMIRESLHNMR >AMDW01085910.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01085910.1:1823:4579:1 gene:AMDW01085910.1_FG001 transcript:AMDW01085910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGIIILKLGLALATDTSRVGRNWLCHEASALARIFSQIRDMKEELESMQSFLQGAERFKDTDNTTANFIKKIRCIAFEIEDVVDEFTSKMEVKQGGLASKIKQRICHIKTWHRLAFKFQDIKLKLENVDRRKVRYDMTGLVKNAEQSDANCRYTDHTSYFPTEEDLVGIDDNKKLLMNWLRCDSQLQSVITTVCGMGGVGKTTLVAHVYNNVKVDFDSAAWITVSKAYQVEELLRQIIKGFNSNDLKSELRVDIVDMEKRTLVEIIRDYLKRKRFLLVLDDVWGVDMWFKIREAFPANSIGRFVITSRVHDIALIATGNHKIELKPLEAHHSWELFCKEAFWKNEDRICPLDLQNLAQRFVDKCNGLPIAIACIGRLLSCKSPCYSEWENLYKELELQLSNNAILDVNIVLKLSLDDLPYILKNCFLHCTIFPEDYLIKRKRLIRHWVTAGFIAVTEHKTMEEVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRILALTKSNEESFCSVYDGSRTTSKQNTRRLSIQSADIEKLTVSSEVHLRAIYAFNELVTSDSLKFFLKSFNLLSTLDLQDTLVEDIPETVGRLQKLEVLDAFNARLVPNGIRNLKSLQALQLVEANSETLCHLGALTELRTFAITQVRREQCSDLCNAIMNMNHLASLSIMAINETETLELDELRLPPSLSKLELGGKLDKESMPRIVSSFSDLGNLTLLTLALSKLDENSFSCLLLLNGLRGIWLDKAYEGKKLHFNAMSLPSLRLLAISDAPELNDVVIEQSALQNLIRLTLIDCPELKTLPDGIEHLKTLEELYMRGASKELTKKLRQKEVSNYSNTYLMKISHIRRVTVFP >KN539305.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539305.1:1038:6507:1 gene:KN539305.1_FG001 transcript:KN539305.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPQPWAKLMMTQSGFLLKGFGPPSLSNYPDSSSEKGSEGHSEAIEHLLKEVDLLREPLDEQPVHYHVTLCCWCLEVMDEGKGLAGTLPPAVAGLTALTGLYLHYNRLTGALPRELAALSRLTDLYLNVNNFSGPIPPEIAAMPSLQVVQLCYNQLTGGVPTQLGLLKRLTVLELQSNHLSGAIPASLGDLPQLVRLDLSFNNLFGSIPVRLALLPRLLALDVRNNTLTGSVPSELAKLQGGFQYANNTDLCGTGLPALRPCTPADLISPDMPQPFSAGISPQITPGSSSDGHGHCSGTHCPPSTKALAAVVVVAVILLAVTGAGMFAFSWYRWRKQRVVAGSPAAVGGRCSTDAAGKDSFRKSASSTLVSLEYSNGWDPLADGRGGIGFSQEVAQSFRFNMEDVESATQYFSELNILGKNGNFAATYRGTLRDGTSVVVKRLGKTCCKQEEAEFLKGLKLLAELQHENIVGLRGFCCSRARGECFLVYDFVPNGSLSQFLDIDADDVARSNGRVLEWSTRISIIRGIAKGIEYLHSTRANKPPLVHQNISADKVLVDYTYRPLISGSGLHKLLVDDLVFSTLKASAAMGYLAPEYTTTGRFSEKSDVYAFGVIVFQILTGKSKIMQLPLESSNDEDLIDGNLRGCYLAAEAAKLAKIASACTSENPDHRPTMEELIQELCTF >KN539305.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539305.1:93209:93439:-1 gene:KN539305.1_FG002 transcript:KN539305.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTADQLLLQRSEQLRELYSSLLSGEQCADQQRRRPVTALSPEDLGNMEWFYVVCMTYAFRPGQWYTFLIYSIIN >KN539305.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539305.1:75610:79757:-1 gene:KN539305.1_FG003 transcript:KN539305.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIDDDVIYSLIRNWDNDSSSSSSWIELLDHVVVSPASCFVPWKRTDLDKEAVDKASILSETIAYLKELERRVQELESGKKVSRPPKRKPCSETIIGGGDAGAVKEHYHWVLSESQEGTPSDVRVIVMDEDELHLEVHCRWKELMMTRVFDAIKSLRLDVLSVQASAPDGLLGLKIRAKYAISAAVAPAMISETLRTAIAGN >KN539305.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539305.1:19752:20861:-1 gene:KN539305.1_FG004 transcript:KN539305.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDDVIYSLIRNWDNDSSSSWIELLDHVVVSPASCFVPWKRTELDKEAVATGGGGREAAQRLLKKALGGGGAWMNRAAGSCSIKNHVMSERRRREKLNEMFLILKSLVPSIDKVDKASILSETIAYLKELERRVQELESGKKVSRPPKRKPCSETIIGGGDAGAVKEHYHWVLSESQEGTPSDVRVIVMDEDELHLEVHCRWKELMMTRVFDAIKSLRLDILSVQSSVPDGLLGLKIRAKVVSLTQ >KN543935.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543935.1:1349:3652:-1 gene:KN543935.1_FG001 transcript:KN543935.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQLKIELKNMLPRISYSSYALFGGCSEATKSRFKPWSAADLGKTEYLAQEKGCWIEIRTVICSQQQQESAI >AMDW01035020.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035020.1:197:553:-1 gene:AMDW01035020.1_FG001 transcript:AMDW01035020.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRDLESLEGKYDIVVCLDVLIHYPREEAKQMIRHLASLAEKRVLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHSERDIEDALRDAGWRVANRGFISTQFYFAKLFEAVPIAAASQ >AMDW01011161.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01011161.1:2:184:1 gene:AMDW01011161.1_FG001 transcript:AMDW01011161.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GSLFLPDTPNSLLSRGKENEARAMLRRIRGTEDIGPEYDDLVAASEASKAIENPWRTLLER >AMDW01037478.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037478.1:486:674:-1 gene:AMDW01037478.1_FG001 transcript:AMDW01037478.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YGEKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKNVLKPTSLAKSTIKAAAADYRAKQKK >AMDW01013068.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01013068.1:19:243:-1 gene:AMDW01013068.1_FG001 transcript:AMDW01013068.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IVFDYTADASEQNATLKHTFKSTKVKTLKYNSTVQMVLQNTRLVSKESHPMHLHGFNFFVLAQGFGNYNETTDPA >KN540871.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540871.1:7909:11908:1 gene:KN540871.1_FG001 transcript:KN540871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGAIGTLLPKLGKLLKEECDMQNSVKEGITFLMAELESIQAALQKISKVPLDQLDKQIKIWARDVKELSYDIEDNIDAFMLRVDGLESTKKNNFARLIDKFHKSLYKVKIRHKIGKDIKDVKNKVEEVMERRDRYKVDDITTKIPSIVDPRILTLYENVTKLVGVNKASEDLIKRLSIGDEVSKKLKMVSIVGFGGLGKTTLAKVVFDMLKVQFDCAGFVPVGQNPDIKKLLRDILIELTKNEIYKGKYMVLDVSSLSERHMIDELREYLNTRRYLIVVDDLWETSTWKMIKCAFVNNNYGSRVITTSRLFEVTKEVSEEFIDVYIMMPLSEDNSRKLFYNRIFGVECKGATDNQLVDSTEMILKKCGGVPLSIITIASLLVHKPVENWSKVYNSIGFGPSDQNEVVQNTRKILSFSYYDLPAHLKTCMLYLSIYPEDHLIEKDSLIWKWVAEGFIHEEQGKRLFEVGERYFIELINRSMIQPTETYGNMDGCRIHDMVLDLIRILATEDNFVKILDRVPEENVSSSYRSVVARRIALHKRGNQDENNSLAADMTRLRSFNAIKCPISMMPSLLSFQVLRVLALENCHVKGGLHLKHLGKLHQLRYLGLRGTNVTELPREIRDLVHLQTLDVRYMGLNLKVLPMTVGELSKLMCLHVHGGTRLSAGVGNLKSLQELWLGRGSIDRYENFAIEVGKLTELRILTFCVDKEIDEVTGKSMVESLCALRRIQSLDIYFVSPKNMSAWEHWIHWNPPRQLRLFSMFRICLPRLPAWVNSMRIPHLFRLELAVSAMDAPSLDMVAKLPSLLFLKLFIYQRSPWVVSGGGLFPNLRFFCTNVVPTFLLGAMPMLTKLQFWLPASKEGVIAGDIGLGDLPMLNIVEVFLACQDVMTERMEDAEAAWRRVVHAHPNRPAIRVHRFGEFEWLKKDRGHDEDISATNQLDIKDDVKQQQQQNKKKNKRENT >KN540871.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540871.1:30098:34170:1 gene:KN540871.1_FG002 transcript:KN540871.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGALGMLLPKLGKLLKEEYDLQKSVKEGIIFLKTELESIQAALEKVSKVQLDQLDKQIKIWARDVRELSYDIEDNIDIFMLHINDIEPNKKYNFTWLIDKCQKSLSKVKIRHKIANDIKDIKRQVMEVMERHKRYMIDDIATKLPTTIDPRILTLYEKVTKLVGIDKASNDLIKMLSVGNGVSETMLKMVSVVGFGGLGKITLAKVVFDKLKVQFDCFGFIPVGQNPDIKKVLKDILIEVNKDRYMVLDVSTQSERHIIDELREYLGNRRYLIVVDDIWETSTWNIIKCAFLDNNCGSRVIATTRISNVASEITEEFGDVYSMAPLSNDNSKKLFYSRISLADCNSPTNNQLVEGTEKILKKCGGVPLSIITMASLLVHKPMEDWSEVYESIGFGVADQNEVVQKTRKILSFSYYDLPSHLKTCMLHLSIYPEDHLIEKDGLIWKWVAEGFVHEEQGKTLFEVGERYFMQLINKSMIQPMERYGIVNGCRVHDMVLDLIRILATKENFVKILDRVHMDPSSSSQSYTVRRIALHKRWNQERLDASMTRLRSFNAMECSISVMPSLISFRVLRVLALERCNVTGGCCLKHLGKLLHLRYLGLRYTRVAEIPSEIGDLVHLQVLDVLDTWLVTLPATIGNLTRLMRLYINIRRRALTSVGSLTSLQDLSLGTVSDDSCPNFIAEVCKLTDLRRIKINWSKKTDEGSLEALVESLRILHQLQNLEIWFPIPLQVEYPVMSGWEGWEPARQLRQFCIHNVDLPRLLAWVNSMRVPRLTHLDLRVVGMEARDLDVLAMMPALCFLRIDVKERFSWTAGGDGSFPNLRYCDTNIELTFLQGAMPMLLDVVLIVRGSRDDPANNVGLGNLPLLKKVLIRLNCEGETAKQVEEVVVAWEHAVHAHPNRPVISVHRFGEFLMKKDDGDDEEEILATYEVDGSDHEEEISATDQQPTNTKQ >KN540871.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540871.1:26974:27396:1 gene:KN540871.1_FG003 transcript:KN540871.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MCESRNEIAGGGWEYEVTAGATTGLGTHRCVQNPRHGCRQFKLTELRMLEISVDMEIDEGIGKTLPKSLCDLHKIQSQVINSSSASKMTRWESKVKWEPPRQLRQFSVFPIWLPRLPAWVNSMRAPTSLQPRASGVGHGS >KN540871.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540871.1:20330:21295:1 gene:KN540871.1_FG004 transcript:KN540871.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEFILGATRPLLFKLGKLLKEEYGLEKKVRKGIESLQDELEMMYSVLDKVDSVPWDQLDEQVRVWASMLRELSYNMEDAIDAFMVRVEKGPEPAAENNLNNKVTKFIKRIVRLFTKSKDLHQISHAIEEAQELAKQYRELQQRYMFDFSSVRDVDDGATLDPRVLALYKDARELVGIEHPKDEIIEKLFNGDEESKLQLRKISIVGFPGLGKTTLAKAVYENIKSQFDCDAFVSVSQTPDITRVFKKMLYGLDKQKFPNINEATRDEVQLIDELRNFLQDKRYTSPNPIADLFCFIRRYTSHILSINLLQPSFSLVFLKVI >AMDW01018559.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018559.1:109:222:1 gene:AMDW01018559.1_FG001 transcript:AMDW01018559.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHA >AMDW01039875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039875.1:78:744:-1 gene:AMDW01039875.1_FG001 transcript:AMDW01039875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AHPKVRKFRTKPFPLFNSLASLYEGSIATGDLCFTSTEEATPSSNQNMEKAQEASSLDGQPNPLSTLDGPEASSTSMEKAQESSTPNKSGEEGAPGKKRKKNQVALVLENYLEFKKDQTQMVVEKLVQASKEENDCSIPKCIAAVEMIQELTDEEKAKALGLFRCPLNREIFMNTTSPIVRLIWLRSQIAT >AMDW01040547.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040547.1:75:1581:1 gene:AMDW01040547.1_FG001 transcript:AMDW01040547.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQK >KN539563.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539563.1:48722:54903:-1 gene:KN539563.1_FG001 transcript:KN539563.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPTGVAASPRCSLFRWKRALPLAAVEMRRNPEGIIAPLSPIWMPLGKNGLAAITSDKESKHRKGGTNFVFSLIEKLSELKATTKGYLDEQFCQVEDLQDEANPNFAEEVVSLFFKDSARVMLNIEQAIEKYPKDFARWDAHMQQLKCSCSRKTWLGEGEPHIASCTRSFQKVKREHAVLRQKLESYFQLLRQAGPAGTATRPAGK >KN539563.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539563.1:84974:89338:1 gene:KN539563.1_FG002 transcript:KN539563.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAAVGTAVVVAAAVGVAVVLARRRRRRDLELVEGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVTEMERGLRGDSHAMVKMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVQQQYEEVSIPPHLMVGTSMELFDFIASALSKFVDTEGDDFHLPEGRQRELGFTFSFPVSQTSISSGTLIKWTKGFSINDAVGEDVVSELGKAMERQGLDMKITALVNDTVGTLAGGRYADNSVVAAIILGTGTNAAYVENANAIPKWTGLLPRSGNMIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDIVAERAARLAAAGIYGVLKKLGRDKMPKDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDDVSSSVVTKLANDGSGIGAALLAASHSQYAEID >KN539563.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539563.1:31494:32390:1 gene:KN539563.1_FG003 transcript:KN539563.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLPQRQELRLRRLHLLRPHPRRECRRAGVRAAPVPVRRRRGEGGGASASAAAAVVVFDELHERERGEGPSRFVKRRILERSRRRHLKNDSFTVRCDVVVTEFRPAEVAPGSVDVDGPPSDLHRHLGDLLRGETGADVVFEVGGERFAAHRCVLDARSSVFDLELFGATTTKEVVVGQSTGIVRVDGMEARVFKALLFFAYTDSLPEMTTTTTKKKMEEQADGDDRYDIDAFTVGKIIALAEQHDCRGLRKACLDFFVRRPGFAKDVLLGTGDGGSISCSFSFRQELFEGLIKKW >KN539563.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539563.1:55972:58600:1 gene:KN539563.1_FG004 transcript:KN539563.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDSKRTRSAPPREPLEMTEDMTPRSKRRKFVPFGEGAVLPEEMLTEVFLRLPIKSILRFRAACHSWYAMLSSQEFCQLYITRTEAMPPQPKLLFISPTANFNSTAVYTCSPSKPIDDLLFTLDDVRGNYVEVAPSPCHGLTLLYDAVAPAYYVFNATTRAITRLPPYQDVIHTTAGVGFDARTKEFKVVRLFRGKSHEIHSVKCEIFILGGEEGDHWRPTAGGVSFRFCSFALSAIRNAVVNKLQPVFVNGFLHWLINPSFLLKAPRAAIISFSLIDETFRWIRSPPFEVSRVHLAELDDQLCMVRDLRNGLPTGSMFEIWRLNDYNSGNLPRDLLEPRIMKVIGSFGSYRSSKKIIIATSKHKVCAYDPVSRTLETIVSIMETCSAYQNEKSDIRFSLFTKSFTPVHRTRKEIGFSTPLSKATKEILLRLPAESILKLKLVCKQWRGLIKNEGFVHAYFEHKNIDRRPKIMLVGKGTKKSVFNFIPLSKWLQEANLGTMFLDKKVVCSKPCHGLNLVSIEMKDYLFNPCTGYRMIYWNRDHQLYQEWSNLSSDRCKDYNPFVVGNKNVGLGFCQVTQSHAVVGIFYYWKNYESRQYYLTCFMWSCSSQGQPLPDPPLPVNDMPPVSLDGVLYWMSEPRLGQSYERAIVFFNIAAKIFDVIPCPSCIAIWDSRNPCHAFVVELQGMLCAVLSNSVADKLDIWKWDHGLWSIAYTINLKFWPDYSLATNVVVPLAVDPIDGKVLLNTGRKLGLYNPCEQTIENLLALDQASQQQNPGVNRNFHIARCQDVPKKFSQWKLSLALCEKFANPSSASSRKNPFYSREQSKELNSVSQKIMPLVPMLYEESLAYYPRTPKLRVLCD >KN539563.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539563.1:68209:71047:1 gene:KN539563.1_FG005 transcript:KN539563.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSWSWAPRFLACVAVAALLVTSGLGGAAAGGGGRRHGHTKGLRPGKAAAKPYYPVNATAVEAIERQFTRWVRSMVGRRHSTFQRALYRGLLPTRTLVVDKNPAAGNFTSIQAAVDSIPLINLARVVIKVNAGTYTEKVTISPLRAFVTIEGAGADKTVVQWGDTADTVGPLGRPFGTFASATFAVNAQFFVAKNITFKNTAPVPRPGALGKQGVALRISADNAAFLGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSILEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGSNYAGRVAWSRELTDQEAKPFISLSFIDGLEWVKL >KN539563.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539563.1:30340:30807:1 gene:KN539563.1_FG006 transcript:KN539563.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVGGGGGGERFAAHRCVLAARSKVFKAELFGAMKEGDAACVVVRIDDMEPQAFRALLFFVYTDSLPEMRKEEEEAICQRLLVAAEVYDMERLKLIRESKLCKYIDVGTVASIMALADQYHCRGLIMAVLATDGFYHLSRSCPFITEELIGML >KN539563.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539563.1:89912:93831:-1 gene:KN539563.1_FG007 transcript:KN539563.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSGKAYYLHLLLLAILPCLVARRGAAQQGGVDEKQLLLQFPADIDRLGKNLTYLALNNNNFTGEIPAAVSKLKNLKVFTLNCNQLTGTIPAALGELTSLETLKLEVNQFTTGELPGSFKNLTSLKTVWLAQCNLTGEFPSYVTEMMEMEYLDLSQNSFTGSIPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLALMTNNFSGEIPASLAQLPSLVIMKLFENNLTGQIPAELGKHSPFLRDIEVDNNDLTGPIPEGVCDNRRLWIISAAGNRLNGSIPASLATCPALLSLQLQDNELSGEVPAALWTETRLITVLLQNNGQLTGSLPEKLYWNLTRLYIHNNRFSGRLPATATKLQKFNSENNLFSGEIPDGRKRLARTEPAWKMTPFQPLDFSEASLVRGLADENLIGKGGAGRVYRVAYASRSSGGAGGTVAVKRIWTGGKLDKNLEREFDSEVDILGHVRHTNIVKLLCCLSRAETKLLVYEYMENGSLDKWLHGNKLLAECAYTRKVNEKVDVYSFGVVLLELITGREARDGGEHGSLAEWAWRHLQSGRSIADAVDRCITDAGYGDDAEVVFKLGIICTGEQPATRPTMRDVLQILVRCEQALQNTVDGKVAEYDGDGAPFLPIRGGSRRKQLSDTKGIDDGNGSLDSIV >KN539563.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539563.1:61109:66139:1 gene:KN539563.1_FG008 transcript:KN539563.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDGVPARERRQMEEILQLDMEELNVEMVDDDGSSEEEANGGDDGADAFLRDTDGEGVASTSGQFTFNTSLASLHTYLGEIDDTRGRVSLLDGGTILSLPMLYLQGVVLFPGATLPLRLIQGRFVAAVEKALRQVDAPCTIGVFNFQVLMYKRHSTRHYANASVGTTAEPHKIRQLGRMDDGSLNVVARGQQRFRLKRHWMDVDGVVWGDVQIIEEDTPLRTPRDAFAQLASCNSLRQHTSSPVISLDVSPIKQRDHVDSELDCGTPSPKSTASNHSAIDSRMCHSDSQSSSSMRSSNEDGIFMHEQFYSQELHPLKGSAAVQSGENTNMGGEDFCLTSLRSLSSAGTRDTKEQRQYILPKQHFQAPLSFWPRWAYQMYDSYALARRAADLWRQIITHPSMDDYVRKPDLLSFSIGSKLPVSESVRQKLLEIDGISYRLRREIQLLKAFNLIKCRCCKSLIAKRSDMVVMSTDGPLGAYVNPHGFVHETITVSRATGLALDGNPSTVHSWFPGYSWTIASCADCESNIGWLFKATKKNLRPRSFWGIRSSQIADDAQELDQDE >KN539563.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539563.1:33762:36254:1 gene:KN539563.1_FG009 transcript:KN539563.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALCFVTLFAFCFLVLAALMLGYYHGSPELVVVGSGCSSPVETNLFIVQDIKARTEGGSPENGLVLYGMPVAPPLGVPAAWSEARRAVVPANSHMEWVYFLNRGSEIEVAYSVRSETESSRPICMIIARGKESFLQWAENPSANETTLSWHLVHVLLAVALLIMYDILNMLFGPGPGGGDARASLLSSSSAAAASKEEDDASLGSSYDSVSHDGDGEDDDDDVEERGGGGGEGRHLCVVCCDARRDCFFLPCGHSATCHACGTRVAEEDGSCPLCRRKLKKVRRIFSV >KN539563.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539563.1:10395:14886:1 gene:KN539563.1_FG010 transcript:KN539563.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGCQHHQEIRQAASAGESAKKLCRVVRAVYLVLVKGLGKHQPKLAALGVHLHQMMSSRRHGGGGHDHGLDDLREHPALLTYLSSTMSCSHCFNNLDLPFSIIGKIQHIKFRLKMQSPDELSQVVFRSRGRQETQDNSTYAGRKSPECARHSYDDRFSRVIVDRTHDQYPKTRESVQAENRGLASSMDRRQNTETEQRFCGAAMAGRREVRNRA >KN539563.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539563.1:72873:75983:-1 gene:KN539563.1_FG011 transcript:KN539563.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFKKVLTGKKEGGDRDRKEHSAAGGANGGVAPPPMERRRWSFAKPRSSVADGSRRPSVTAVVAGELSQVRPCSCGQQREVEAAVMIQRAFRGYLARRALRALKALVKIQALVRGYLVRKQAATTLQRLQALMRLQASSRAIKMASSRKSVEQCTTTALLYGWLKC >KN539563.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539563.1:96527:96889:-1 gene:KN539563.1_FG012 transcript:KN539563.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDDYLGVITCIPMPDEPVGGFLARIPLIRRWATPRRSGRWDCVCKTCRRGLRVTHHWLKRKVVGEFPCGHMVAESVCKMCYHYSDVVHPSPGKFARGYLEHEDEFGHYGRKGLRDYPC >KN539563.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539563.1:39573:45982:-1 gene:KN539563.1_FG013 transcript:KN539563.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDFEGLQAADRRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEVRNMQALEQIPPISLFVDGSMSGVVDCLLVLRESVSSGLRDDTSKTPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNVITFSQNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQTEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMANRAVGSTARNERSSRSHSILTVHVRGLDVKNGSASRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVDINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSMYSHLPISLTPN >KN539088.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539088.1:40112:59384:-1 gene:KN539088.1_FG001 transcript:KN539088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDWIAVVVKQAVLGGVVAGASEAGAVHAEIMRVSGMFRQPGVGDFERAQPASPNEMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDLGIKKMSKSLLEAARLQKVIAATYATREGFGLLSTRASPKPRKKFEVGATEVRRSSRARNSVSYKENFDELDSFLRRRRGSRIRNTEQGREYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDVNESEPADEEADGGKDTSTEDAAEQDDSPNAEPLKGAKRRKLRGRR >KN539088.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539088.1:78082:87425:-1 gene:KN539088.1_FG002 transcript:KN539088.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRSMAARAKAKQMNRPSATSATTEELALCHDNVVHITCLVAATSPEPIADLLSLRATCKAMHAAAKERDVGRCVPLERLDNMKWKENERYLAIVSHLVGAGNPDACFMAGVTLVFAHQDMEQGLLFLEKAATAGYKAAAYVLGLLLYKFDEARATGKKYISQVEGDGNEAATGVGVKRTNRECQQYRKIVGDVIQEVTWKVGGRRGRMLVLPEDSHRCTATDCGVESGWEGYGVFCSDDCRIKHEYSKFFTEDMEQGLLFLDKAATAGHKAAAYVLGLLLYKFDEARATGKKYISQVEGDGNEAATGVGVKRTNRECQQYRKIVGDVIQEATWKGAIVSTRSMAAKAKQMKRPSMASATTKELALRHDNVVHIACLVAATSSEPITNLFSLCATYAVLPIAKVFVVCFMGFLMASKRVGVLKPSGRKLLNALVFSLLLPCLIFAQLGRSITIDKIMEWWFIPANIALGAVSASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCSQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGQTFDSCDEERDKLPIKAPNAMSSVAKYPSSAHGNTHEEEPLLSIEEEEEGQDVHSLGSKIMIPIKGMVRFLQKKQLLQPPIIASVLAITLGVVPFLKNLILTDDAPLFFLTDSCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLILVPIAGIGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGVYLLLSSSSLASFSMSNLDDWQPAECIYHNAQADLVNYARYSDQSTLYLPSTLKIEHADFRGELI >KN539088.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539088.1:17148:18597:1 gene:KN539088.1_FG003 transcript:KN539088.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLQRLVQEDWRMTCRRNRFCFYCWLSFCDHCCKEHWDHHHPEEGLPRVATVELLAENPAVLARYPVGTEYDWEGIQRLRGDEQTNWILLKPWVPPMYGRKKDFSICVDCHQRIKKPTNALYCCTMCKGLLAILICGCVLVALIVRSIWVFAHAQINSGNLCASLLTAHAKLNSLTMVIRNCQLNQVQEEDQGRDRVEALATGDYSTQALLHDNFCVLCTSSFSSDCCTYHMELHHPDVEDIGVWLVLIEVVYVDGWAAVAPSELVSENVLAGVQVLQVQADDETVLYPLRRTVAAAAVDRLGLVLPGRHGCGAPGCHEMIPAQAQFCCLRCKAAVHWAA >KN539088.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539088.1:66450:66768:1 gene:KN539088.1_FG004 transcript:KN539088.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHWLEAMLPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDNWDMAMARRDKVLLHQASSENN >KN539088.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539088.1:69470:72079:-1 gene:KN539088.1_FG005 transcript:KN539088.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKHGMQRSATFDPVTQLYTSARGAKENETKHGFWGVLAQQAIVILDENGGTDDHQSATSQSRWSYDRVRKPENPPLDIGCKIKTALEEGLTKVEGSSRAGDGVHGRKLNIRRKACSMDLRNSSMGLSSPEAMSPTMSDTESPQIKASRDVRERCAQLEEENRMLRDGKHDADEDLIRQQLETLLAEKARLANENTVYARENRFLREIVEFHQLNMQDVVDLDDEGMAGDGEGEEGDDDHQQYGCHLRAHEAAHGLWAGGGLGTPPQSPLGHAGRMGMSRSNSRAAESPTMRRSLKEENVDEPETPPTRRCLKEEPDVDAPETPPTRRSLKEEPDVDAPPETPPTRRSLKEETDVDAPPETPPTRRSLKEADVDEPETLPTHRSIKEDADDAPETPPKQDIGSPETATTPARRSSNDDLGTAETTTPTRRSFKDDNGVTEMKNEH >KN539088.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539088.1:111866:113126:1 gene:KN539088.1_FG006 transcript:KN539088.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKYLQKGQEPLFGGGVLKANGACWARQRKVIAPEFYMARVRAMVQLMVDAAQPLIASWDARIDAAGGAAVAAEVVVDGDLRSFSFDVISRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTGKNRRIWRLTGEIRSLIMELNLALVEVKTLVAVVLARFEFTLSPEYRHSPAFRLIIEPEFGLRLRIRRAGGQDATSQADTSTAPVHSSHN >KN539088.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539088.1:11046:12607:1 gene:KN539088.1_FG007 transcript:KN539088.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLQRLVQANWTRMCRRDRFCFHCRSPFCHHCCPEHWDRHHPAGGLGRVATIGLLGSGDHAAFAKYPVGRWGYNWNYIQRVRDWNRDWILLNPRMTPLQGRGRTCVNCNQKIGESSARYCCLMCKHNHVHKGKGRDMIQALAAGNYFQIHRPDRFCTICMSSFCSACCAQHIERHHPEEANAHGVQIIEVVHVDAWAAVAPSVLVPEVVLHGVQVVHAGGGALVYPVTRLEAPPAVQHVGDVPWQHNCGAPGCHEMILVQAQFCCLRCKAAVHWAA >KN539088.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539088.1:135503:136159:-1 gene:KN539088.1_FG008 transcript:KN539088.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLRGEEIEGFLVVYDILQNWSDDALDSSRHHRDLTPHAPERVHACTIHPGRPVRADGGADAVLVLRRRGEGRGYHDHVPVEFRIQVEVDDSGEADAVLGAEAEEDVPPVAAGVAERPEAEAEVRLAGGEEAEVRCCAVGIDLEAEGEVWFGRSRGGWLGPPSDETRPFILGHWLGPQVLSVERLA >KN539088.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539088.1:128117:131670:-1 gene:KN539088.1_FG009 transcript:KN539088.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWTLPDHPKLPKGKPVAVVVLDGWGEADADQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALASGKIFEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASANGAKKIRVHILTDGRDVLDGTSVGFVETLENDLSQLRAKGIDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFQNAVEAVKTLRSETKANDQYLPPFVIVDDSDKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMMILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPQLDKKGEIQILTSHTLQPVPVAIGGPGLHSAVRFRNDVQTPGLANVAATVMNLHGFEAPADYEPTLIEVVDN >KN539088.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539088.1:67533:67987:-1 gene:KN539088.1_FG010 transcript:KN539088.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNARGHKKNGSIKQILSLSLKNSGLSIVTKQQQAGVVLVTSKQRIAMITGVIHGSCIEEIRYFHYPAMKNKPTTVIQSSNRKRNTGRQPPVLHKNKKIT >KN539088.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539088.1:19819:22777:1 gene:KN539088.1_FG011 transcript:KN539088.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MAPAASASAVVTPSSFRCVPTASCGLGARGKATAPRRLLHDHAQGKKRAAATWSLKAGLWDSLRSGFLKSNNSTETVEPPSAPIEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVDVYDLQALCDKTPNQNSSILKKQLPGCYTTFSYYTFKSRSYLTEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >KN539088.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539088.1:7269:8758:1 gene:KN539088.1_FG012 transcript:KN539088.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/Swiss-Prot;Acc:Q9C9Z8] MNDADVGKQIQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQDYERKAKQVDVGRKIEYSTQLNAARIKVLRAQDGVVGEMKEDAGKSLLRVTKDTTAYRKVLKGLIVQSLLRLREPSVVLRCREADRGHVESVLEAAKKEYAEKAKVNLPKILIDGKVYLPPPKTARDAHGPFCSGGVVIASQDGKIVCDNTLDARVEISFKQKLPEIRKKLFSQKVSQ >KN539088.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539088.1:75004:77074:1 gene:KN539088.1_FG013 transcript:KN539088.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQYHQWSSSADGDDDEVLEAFNRDCCTDAGAGVLDDSASTAYVEKLCTSGNLPDAVQILRHLHDRKIHVGLGTFNLLLEQTGEVNNFVLFAKVFRYLLLSKIAPDLTSYTNVAKALQKLDDYELILKFVRQVMEITHDRDPTVMNRIVFTTAKYGQIDKCLIIFEELKKDQRGLDVVTFNTILDMLGKAGRVDQMLQEVKLMDELGHSPDIVTYNTVINCLRRLGRLDQCKIFAREMVERGIDPDLRTYTALIDIFGRAGHITEALEMFDQMKRSHQPSIYVYRALISDLKKAGQFELAQKLSEEMKTSASELLGPEDFKQKFKGRKINKNNTHWFNFCLFCTSTSSISALPFMFSAVKNSWSLEWREGGSYMRGEVRGAISSPVDPAAVSAIYDWRPVVSSLARLLSV >KN539088.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539088.1:141449:148895:-1 gene:KN539088.1_FG014 transcript:KN539088.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRCAVCARGGNGLEWFLCFSKRKEMVEWFGRSGWATGEQHPPERPLPWILVPRGADGPSFSCPIAGCRGHGFGVPDDARAARYFGTYSGGWLFLAFGQIKRHALLGLRTEQRFYLPDIARWDFVGRAAFDTDIVMVAATLSSPPEDKGCVGAAIVFHRASMYSPRVHAFWRMGKQIAMATTCTNTIAGRLLEDVIHHKGAFYFLTAQEDLHVFDVEEFYEDGDGNLKIAPMVFRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPRLPPRTSAFKVFEMVEPPLETPINNDEAPYGWNELESLGGRMLFVARGCSRSYDAGDYPGAEFNEGVYFLDDGRLYCEAAFCTFMPGDNCVNPEKFIQEHRIAVEQAAATNAIQVMNTNHSTLSHHEVHHGKNARKAHEVMLNKEQRSKLQAQANRHDKLGPSPTSCSAGKRLLCFFFQTKPPNPNFPCFQKPSSNMAHNDEASSSRSTTTSAAQPSPPPRLASASSSPMDAVAPARDFMAESLRLVHNRLTCLVDRRTMARVCHAWRAAVKPLQPPPEERPLPYILLPGDGERSFSCALRGCATHRFHIPDIPRDARCFGAHPGGWLFFAVAQTTKNAILRDEFPSGIPDVLHLDDRQPDKDTDVVMFAATISSHPEDQRCIGAAILSHFPDVTNPSIYAFFRMGVLPAMVANGDDASNAGSASGLEDLIHYDDAFYFLTGEEGLLVFRGVRDGEAIDGTRRHQQRWRRVRLGRAGLAGRLHAVRRPRLLDIVRGGEASGVRGGRLLPGRREALRRGGNVQGPKSAAIPLQRQRQVAGVAAGGGSTRGQLLAGASPVEVLTAGLVSSLRLPVLSLIVVAQVLLYSYHF >KN539088.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539088.1:114547:126853:1 gene:KN539088.1_FG015 transcript:KN539088.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEARTEVRGRVKLLGLVRRSAVARSLCRVVIGVADVKEEFDTHDPKENSLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNRRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRAKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSNDSSSEENAGSINISNILTGKCLAKIKASDLCKQKKAWKFQSTALEALEDITALYYDEERDEIYTGNRQGLVHVWSN >KN539088.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539088.1:31557:39800:1 gene:KN539088.1_FG016 transcript:KN539088.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLIDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILLLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLHMNNNSLSGQIPSELSRLNTLLHLLVDNNDLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLSLRNCSLQGAIPDLSAIPHLDYLDLSWNQLTGSIPTNKLASNITTIYLSHNMLNGTIPSNFSGLPNLQLLSLKNNLLDGSVPSEIWAGVNLSRNGSLVLDFQNNSLNMLPAEISPPPPNVTVMLYGNPICENSSETRIINLCRLQSINLEKSKQETSTAMLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEIVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGVVTALIMRRNSRTNRISRRSLSRFSVKIEGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCPPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTFSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMISFKKPWTQVKSIAT >KN541094.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541094.1:725:3076:-1 gene:KN541094.1_FG001 transcript:KN541094.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEDYGDEEDDEVAA >KN541094.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541094.1:13966:16657:-1 gene:KN541094.1_FG002 transcript:KN541094.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGIVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLPELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMKANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQRADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRVSEKEDGNVVLHEYRDR >KN541094.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541094.1:10021:12633:-1 gene:KN541094.1_FG003 transcript:KN541094.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPWQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPMLLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNGS >KN541094.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541094.1:6916:9075:1 gene:KN541094.1_FG004 transcript:KN541094.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MYGRMRTEGLLPTHFTLASVLSACGGLAALGDGRRCHGVAVKVGLDANLFVENALLGMYTKCGSVGDAVRLFDGMARPNEVSFTAMMGGLAQAGSVDDALRLFARMCRSGVPVDPVSVSSVLGACAQACSSDCSIARAFRLGQAIHALVVRKGFGSDQHVGNSLIDMYAKCVEMDEAVKVFKSLPSVTIVSWNILITGFGQEGSCAKAVEVLSLMQEAGFEPNEVTYSNLLASCIKARDVHSARAMFDKISRPSVTTWNTLLSGYCQEEQHQDTIELFRRMQHQNAQPDRTTLAVILSSCSKLGILDFGRQVHSASVRFLLHNDMFVASGLVDMYSKCGQIGIARSIFNKMTERDVVCWNSIISGLTIHSLNKEAFDFFKQMRENGIMPTESSYASMINSCSRLSSIPHGRQIHAQVMKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDTMMMKNIVAWNEIIHGYAQNGLGDKAVELFEYMLTTEQKPDAVTFIAVLTGCSHSGLVDKAMAFFNSMENSYGIIPLAEHYTCLIDALGRAGRFVEVEALIHKMPCKDDPIIWEVLLAACVVHHNAELGKCAAEHLFRIDPKNPSPYVLLSNIYASLGRHGDASAVRALMSNRGVVKGRGYSWIDQKDGVRAFMVADDLGADGGELTMFSNEDSIPQLCHFGAICLRAFEDSFL >KN541137.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541137.1:9096:10276:1 gene:KN541137.1_FG001 transcript:KN541137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHWMVHTCLTRDILAGYEALFIVGVLALVVVVVWIIVSCFMWTRRSNDDLDLKEIGGPRRFIFRDLNVATNKFSNEIGRGAFGVVCRGSLGGHEVAVKTLINGRKDFIAELSSIGGVKHKNLVRLIGWCRQNSFNIVDFIFWWRHDKKNKLFLVYELVSNGNLAKRLHEGVLEWTTRDIKPDNILLDNNFNAKLADFGLSRIADPDNNIVKTTPYGTKGYIDPLCMRLEPIEYDRSSDIYSFGMVLLEVACTKGTSREQVRQLYQDKSLLQAADDNLKGQYDETQMERVLILGLWCSRLDDTTKRPTIRQALAFLEHGGPMPDLESLIDPRSNL >KN541137.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541137.1:20405:22004:-1 gene:KN541137.1_FG002 transcript:KN541137.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGQTLPDWDSDDHGWIEVLGSVSISVANKTTAAVEDYEASESPAKRVRAMACSPSSVPDWDSDNHGEVRKGRESGRRRRRRKGRGEGVLFGSGPTVEKEGI >KN541391.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541391.1:18235:23184:1 gene:KN541391.1_FG001 transcript:KN541391.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVQAGDLWSGSEGGVIKVWYEEGIEKSLSLQREEKRKTSFLVERSFIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSLALWDSFTKELLKVISVDGQVDTRFDILSSQDPFGYETKQNLFSAPRKDKARSPVGFFQRSRNALMGAADAVRRVAAKAGFGDDSQRIEALAMSIDGMIWTGSANGCLARWDGNGNRLQEFQHHSCSVQSIFSFGTRIWAGYMDGSIQLLDLEGNLLGGWIAHSSPVLSMAVGGSYIFTMAGHGGVRGWNLSSPGPIDNIMRSRLIEAEPLYKKFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQQLKGYSFERVGSRQMAGLLICVWVRTHLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRTMTFATPSSGIMTTSVSGSTGQLLRGANGSRMPELSDTDMIVFLGDFNYRLYDISYDDAMGLVSGRCFDWLKNNDQLRAEMRSGRVFQGLREGDFKFPPTYKFEKHTAGLSGYDSSEKRRIPAWYDSCMEATDSDHKPIKSVFNLDIAYVDKQTMRQKYVELMSSNNKVVHLLQELEAFPEVNINNSNIILQDRNPSVVKLQNRTEVIACLEIIGQAPNFSSTHFSAFPAWLKVSPAVGIISPGQTIEVTLQHRDLHSQQNYNGTSLDILPGGATQQKAATVFAKITGVYSTVAKYYEIHVQHQNSRSTLPSRGYNLGDRFF >KN540645.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540645.1:144:500:1 gene:KN540645.1_FG001 transcript:KN540645.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVLEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >KN540645.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540645.1:39823:40230:-1 gene:KN540645.1_FG002 transcript:KN540645.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALGAPTLTQAPNFKSSHCKLLKFTSEEGSSAFFLWRSDCSFLSSSQLGIIVAQDSSRRGKANRSWLKSKGDRIRNTDVAFSITHWPSSSPSLPCQPWRRIRGGRRDADGGDDQWPHGDAIVSMEEEAGDGAR >KN540645.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540645.1:14306:31218:1 gene:KN540645.1_FG003 transcript:KN540645.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 33 homolog [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/Swiss-Prot;Acc:Q94KJ7] MAQIPNLDNAPLNLAALREQLQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECAKILYLVRSELKFMKLIASQIKNDEPKGLQREFFLYFVPRRTVACEKILEEEKVHQKLTLGEYPLYLVPLDEDECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDATIMGAQQDGLHLLLFKWWGTYSLDAGVLYFVFENNCIVSSTPSRLNDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGSLAINSSLDVHQGLGLQQSIDRLFALSGDEGFMRDLIQTYESKPETGVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIITNSKELDLYQKSLKGVLDHDLTITADFAGKEGHRTVIF >KN540645.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540645.1:2610:8209:1 gene:KN540645.1_FG004 transcript:KN540645.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPVVDAEYMAEVERARRDLRALIASKSCAPIMLRLAWHDAGTYDKATKTGGPNGSIRFPQEYSHAANAGIKIAIDLLEPMKQKHPKITYADLYQLAGVVAVEVTGGPTIDYVPGRRDSSDSPEEGRLPDAKKGAAHLREVFYRMGLSDKDIVALSGGHTLGKARPERSGFDGAWTKDPLKFDNSYFIELLKENSEGLLKLPTDKALVEDPTFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPPRSAFIYKSCQKPKSLLMQTAAGVAVAAAVVAWAYLCESNKRLG >AMDW01024679.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024679.1:23:316:1 gene:AMDW01024679.1_FG001 transcript:AMDW01024679.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTID >KN545750.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545750.1:20:337:1 gene:KN545750.1_FG001 transcript:KN545750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DDAPGPGGHSAGNPVLRHTSPARYKTFYYFNATTFVTSLVITVLLMSERFYRSETKVVALMIATFLDLASLVGAYIAGSTRFTSSCIYVIVITGFAFACVIAMGE >AMDW01035291.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035291.1:55:285:1 gene:AMDW01035291.1_FG001 transcript:AMDW01035291.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding NVWEAVYIQYLMGVNGVIVDLVEEISNAVADFSKPVLNQSMLGSGVDLVGAKHQAFSQQQLGFLLRLIPELIQQPH >KN542992.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542992.1:5133:11072:1 gene:KN542992.1_FG001 transcript:KN542992.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLRDELTSMHTLLVKLANNEEKLDEQVKDWRNKVRELSYDIEDCIDLFLHKVSSSNAKAGLVRKTAAKIRKLWSRHKIANLIEELKARVVEESDRRLRYKFDEVADKFGHVQIDPRLLALYVEAEKLVGIDAPREKIIEWLEKDESQKLKVVCIVGFGGLGKTTLANQVYHKIKGQFDCSSFVPVSRNPNILKILADMLKELGSNVDTSDDQRQRISKLRTFLEHKRYLIIVDDIWSTQAWELVKCALPENNLCSRIISTTRNSDVATSCCSSLAGYTHNIQPLNDQDSQKLFFKRIFGDESACPPYLEQVSHGIISKCHGFPLALISIASLLAGKSHMKEQWEQVYNSIGFAFSQQGIRDILLLSYYDLPIHLKTCLLYLSVFPEDYEIGREELIWRWIAEGFISEVKGQTLDQVAENYFNDLVNRSMIQPVDIKYDGRADACKLHDMVLDLIISLSTQENFTTIVEGQQYKCSSNKIRRISIHSKCLEDETMQEIMTNCSQVRSINFYGLQYQEISLLPTLNSLRVLACEDWNHLGNKSIKYLGRFFQLTYLRFASQSIYELPEQIGGLQNLLTLDIRGSSVLKLPSTIGCLKNLVRLLVDHDVELPNEIADLQALQQLSYANNYNSIVFVEQLKRLANLREIGIQLHGSAQLGDHDMARYMEALKSSLAVMGKQGLQSLKIRYDDTVIGEKLMDLFCYSPCLRKLVIDNCKISRLSKQMALLVNLRHLDIGVSSIKQDDLCVLGSIPTLLFVRLFVVDGPDERLAISSHQFQCLKEFIFIGYGGGLEMLFLQEAMPELRWLSLYFSAEETDCKMGFEFSFEHLASLEHLKVTIFCPVATRSLVETAEASVRNAASAHPGCPRIEIIRTFEDCMRDDKVDKEEILKDIDGHEVALREI >KN540692.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540692.1:2367:6489:-1 gene:KN540692.1_FG001 transcript:KN540692.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGHVNFLGSKASSERREVFFKAMSEKQEVTLPPKSKPVVASDSDAVVSDIGIDSDSMYESSASGTSGRRKRRWAGTDPLVGNPKFASAIERAMKNADKRSSTNICQPYEGQSFDSLAEAYQFYNLHSWESGFSIRHGTSQTNTKKDQRNMQEFECQRAQEIIPVLGFPVVKHASQVYTICAYEMFMDEVMLHLGVRKIPECHIMLRWTKKARDCVPTHLVPFPDAGGIAQARVFRRNVLQCTANEIVRLGDQDNQCFEILLRYLGEAKEEIAKAASVKSAEFSICQTKIASTTDASSMEECVASDQCEADCLAVVDREANTYVLVDQMKPPESSRHFGRPSNRRYHSGIEDRVTRVQRSKDGALVKRGCNPKPLVKLPRYCRICRKPNQDSRTCLENPNGKYKGGKRKSVVSSDSEY >KN540692.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540692.1:31884:34844:1 gene:KN540692.1_FG002 transcript:KN540692.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQKAIAEDLDLPMQVMALFDQWDEKDDFDGVEVGTRGLIPHVAQAILNKLRDRRFLVIFHNGSGTYIDLQDFGVPISWILSKRVLWTSRGRFSLHSIKDVYVKKLAGLSNVLISVAGLSNVLISANLSSDSVLDSARWLLQAEAEEVASYTGVPGPDMSTQIVMECILYMALRGDDYGINWGTNASNYWVCDGIIEDATKSDRSAWEIAEALHRTVRMDGWHQGWVINIRDTIGLCGEMWRRSDRWVSATHEHLEQQEEEGSHDYVAEVQLPPQTTSFFVTVARSMIDKNGMAMLEDFGHSNMTNLRVLHLSQCTFSFSSPPFLGCSNLRFLLLDHCKDKDPKVEADLCGQVECHHNKIGRHNIACFEVLWVLDLSYTEWYWLLSEEMMGLMVHLRELNVKGIKSWTWISHLGRGSGTRSNLGKLQVTCSSGTNQASALVEFPNLSTSSLKTIFLDGCVELEQLDHNVLPLLLESFSFISNVAAKIRIISFQGCTQLKSLLLRGLLENLEEMDMSRTAIKMLDLSAIQAPRLNKIFLLGCEKLHAILWPMEGKKPELDVLHIDTTHAILAGEDKSSNKEAASGDTSVGSPSTTVLHADQAHVNFNFYISLSNARFLRSLLHVRLGNRVTIEISSAANISATDCYKEASQEMQKTVDNLYKDVMKDCFKDISQAVNGDKSANASGDAPSMMYMWPCPSNPYKSDRMHCYISIQDEMQTNLLQGTTSVREASSGITLPDFVHDRARSLHLHDCLSITSIPGPTSIAVDLSWRHLQWCRIECCPNLEGTVFNAPRSRDNIFWYLHTFWASQLLKVLHIWDWDTSLLQPNYSSFEDLKFLHLDRCPRLVHVLPLCASNFNGCRFLVTLEIVCCGALREVFPLDSNSARIFPRLKHIHLHELPKLQRICGHKMSAPKLKTMKIKGCWSLRRLPSIGHRGTTPPTVDCEKEWWDGLEWEGMEANHHPSLYKPIHSHYYKKALRRTSLLR >KN540692.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540692.1:10972:11136:1 gene:KN540692.1_FG003 transcript:KN540692.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVRAMLPPPPPETGSTIDVGEGEKRPLSRNNGSGGGNSLSMAVAVSVDLRT >KN539034.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539034.1:68502:69384:1 gene:KN539034.1_FG001 transcript:KN539034.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVQVNIETEEMIVILVKWALKTLFASHCSEDITFVDPLNTFHGIDSYKTIFWALRFHGRLLFREIGLDISRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPAAKVGSIADIVVASCPPSPNLTFWDMVGSTGDGCSWANLYQAVVETVEREGNDPVGIAIEGLLTCS >KN539034.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539034.1:149739:153415:-1 gene:KN539034.1_FG002 transcript:KN539034.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLAAALCLVAAAASVATARFVVEKNSVKVLSPRSLRGRHEAAIANYGVPDYGGTLTGVVLLTMDTPEESPETEYIDKISIPSALVNRAFGESLKKMSSSPEAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVAAFRGHAQLLEKRGYALFTPHYITWFCPDAFLETTQCKAQQLCVHRVANARNASWLWWDYVADYHLRCSMKGDHYTRRCADDVAKSLGLPMDLIDECMGDPDADVENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTSVLKAICAGFKESTEPDVCLTPDMETDECLNNNGGCWRDEKTNITACKDTFRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWKGTRDGKTFSACSDSDLSGCKCPPGFKGDGIHCEDVDECSEKLACSCSHCSCKNTWGSFDCSCNGNNLMYIKAEDTCIAKNMSAFGWLVTTLVLSCLAGAGVAGYVFYKYRLRRYMDSEVMAIMSQYMPLEGQNGERLPLREEAQLQRLNILAVSIRFETPRHMTATNAAMYMAKFQTSYLMTGVLAVSAWCFCRELNQIGSEFLVERDKSMSSRCTAKCRLDKYSCCNADLLEYIVNNRSTIFLITLNLERLICGITSLTTESVELLSGI >KN539034.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539034.1:51088:54938:1 gene:KN539034.1_FG003 transcript:KN539034.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAGGGGGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERSPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTSYLFSHCAPLTLPKKVLREEEFAPVKNANGAYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >KN539034.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539034.1:12802:16712:-1 gene:KN539034.1_FG004 transcript:KN539034.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIIPAYGCAKLHAAPPDYPCVARLRHRRLLSFLWLHSFKSTFEAESMDWGRVREKVVRVVRRLVHDTPELAGFLDLPGGMVKPHNVLPIGFGFRSKRHVRQQRRPRATTIANLYLEMKRCFTVNSAPVTTDNHSYSTTEGLSDKARGWMANILGKTSLHSAYAIPLIQAYVLAVSLQNTINGGIHSNQMRRKVLRLPQLLRLCLAPYKLILKFLGLLQRQKLVTLNFNRGISLCAQHIYFLKLLHLLKPFLTSKLTAGVPDSIVLQTKFGTTISPGENSGISSVINADADMHSSQEHCHTENYCQSFTPRKHPREELAPEEDIGPKRQQTTVTFGEANLLLELQSSISVAEAEDKARPVELSM >KN539034.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539034.1:122716:122907:1 gene:KN539034.1_FG005 transcript:KN539034.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDYACGSGAECGSIQPSGACYMPDTVLAHASYAFNSYWQMTKAAGGTCDFGGTATIVTRDPSK >KN539034.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539034.1:155336:158171:-1 gene:KN539034.1_FG006 transcript:KN539034.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEDQGGGVQGGDHGDRGEDGHVRQERQVAGERAEGRSHWDQALNSDQAFATTSIAYDVEMVDEGNNKSKSFLKPIGSISKKKVQLHLKIKKDKRKARKKGRFSKK >KN539034.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539034.1:90:7689:-1 gene:KN539034.1_FG007 transcript:KN539034.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSFAHGGIRDHTAIHGGWFTRILAEPPGFSVLYPSCLAIAAHFVAGRVEAARDMADWKVVRSKAASLAGKMAREAPEIRCTMLLPLARAKPKDLFPLIASSRRYVKAARRASSSHQLAQFYLEKKKRSGQSYVSRSELFALLEVCLYTGRRPRPCTEDLSKQGINSVPFMRTTLTNSSNKGNPANIHPLHHQGQNSTSEGDLAKELLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGTLDCKFTIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADE >KN539034.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539034.1:123880:129103:-1 gene:KN539034.1_FG008 transcript:KN539034.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTAGFVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICYGGRTEYTAYVSEGLGNIQNWSEVMKFQRKNGSLFNSPSTTAAALVHNYDAKALQYLGMLLDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMETCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNPLQGYLNDTRSLLELHKASKVSISEKEVEYALEFPFYTMLGRLDHKRNIEHFDITSCQMLETAYLQCHSNEEIMAWGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGRDVTKHLVEIWQELLRSMMTEVEWRQSRYVPTAEEYMENAVVTFALGPVVLPALYLVGPKIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQKPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKLVTKSAVLCGLEANGMTAQQTCKECLHIDLHAHAACSAASLQCNSFIVGRIGTFHNLQSSSKTG >KN539034.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539034.1:86517:93183:1 gene:KN539034.1_FG009 transcript:KN539034.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKHTLQVLPWLLLFAQHTAASACDCANATDGADRQGAMKLKLIAIASILAAGAAGVLVPVIGRSMAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDALTSPCLKRGGGDRNPFPFAGLVSMSAAVATMVVDSLAAGYYHRSQFRKARPVDNINIHKHAGDERAEHAQHINAHTHGAHTHSHGDVVVHGSPEEGSVAESIRHKVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGVGLGGCIVQANFKVRATVIMAIFFSLTAPVGIVLGIAISSSYNVHSSTAFVVEGVFNSASAGILIYMSLVDLLATDFNNPKLQINTKLQLMAYLALFLVQKPWFVPSDDLSSLLAARLAPATHSSELLSLFDDTLALPNPAAFPKTLNAVLSALATHGLLEPAFFCFKRLRDAGFRVLETHAYNALLSLLLTRGLAFKAFEVLDEMSSSGCALDEGTYELVVPALARAGRIDAARKMFDEMRKREGIGRASAGVYGVLVDVLAKAGRLDAAMGMYREMVAVGHRASPAVSTAVVEGLVRAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVATQLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGQRPGLSTFTALLMMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKEGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDEGRERAIMDILSVSKHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPENENVTLVPVYKYSNALLQNANGSFLDKSQ >KN539034.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539034.1:100903:104128:1 gene:KN539034.1_FG010 transcript:KN539034.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYVALGSYQLVISEIDSSAATSLQAVKLLALYLSGDKESAIASLKEWLSDSAVGSNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFDEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLRNYQTGANISWHGAKAATREKYLYLCLTIQPI >KN539034.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539034.1:40443:46455:1 gene:KN539034.1_FG011 transcript:KN539034.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEGSHRITRSGIVRAKPAVMVDGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARGNSTRSDALVNANSSDNSEDMSSSVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQIATPLRASPRLAALKINQEANNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSYASEIPQNKYPSATEQMQGSSVHPQQAGAADAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGTTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQLEMLIVCRCLCQIVMLRVPVLSAQRPFMCITTTCCLVALRLVNYIKLYSSSTAFWYTDNEVFLTSFRGKKKIFLLGKNTAVLQCRIGPNSQQKTKQDNVVINSSKDPRKADVDSCQKL >KN539034.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539034.1:19152:20631:-1 gene:KN539034.1_FG012 transcript:KN539034.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKKIENPTNLQVTFSKRRMGLLKKANELAILCDAQIGVIVFSGTGKMYEYSSPPWRIANIFDRYLKAPSTRFEEMDVQQRIIQEMTRMKDENNRLRIIMRQYMGDDLASLTLQDVSNLEQQIEFSLYKVRLRKQQQLLDQQLLEMRNREMPIPGDQSNYLFHMNLIGEQAQAPPMVNPKPFPLWDVGGSSQMYNQDAESSMTALQLSPQLHEYKLQPLQPNLQEEANLHGYVLRLW >KN539034.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539034.1:59135:61772:-1 gene:KN539034.1_FG013 transcript:KN539034.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEVWVFITGAVFMTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQGANLPPCRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >KN539034.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539034.1:72961:74472:-1 gene:KN539034.1_FG014 transcript:KN539034.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase QRT3 [Source:Projected from Arabidopsis thaliana (AT4G20050) UniProtKB/Swiss-Prot;Acc:O49432] MTGGIPDLGGAEIHLDGVPYLLKGPLSLPASGGGNLKIHSGSLRAADDFPTDRYLIELSAKAAGGGGGSSPAMSYYYEYVTLRDLMLDCNYRGGGVQVVDSLRVGVDNCYVVHFATDGIAVSGGHETFVRNTFLGQHMTAGGDPGERSFTGTGIRLDGNDNSVSDVVVFSAATGIMVTGGANAISGVHCYNKATGFGGAGIYLKVPGLTQTWITNCYMDYTGIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQIVGNLFNGRGKGVDIVELDGKFATVEQVYVAQNAATGMTVRSTTARAAAEGNGSSWTVDFSPVLLFPDRIEHVQYSLVAGDAFPGHALRNVSGNRVVIATDAAVSATVHVLVDQNSS >KN539034.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539034.1:94972:96279:-1 gene:KN539034.1_FG015 transcript:KN539034.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGLCEAGKHVGVVGLGGLGHVAVKFARAFGMRVTVISTSPAKRQEALERLGADGFIVSTNASEMKAAMGTMHGIINTASASTSMHSYLALLKPKGKMILVGLPEKPLQIPTFALVGGGKILAGSCMGSISETQEMIDFAAEHGVAADIELIGADEVNTAMERLAKGDVRYRFVVDIGNTLKSD >KN539034.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539034.1:141303:149636:1 gene:KN539034.1_FG016 transcript:KN539034.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYTGRLREVFSRKGEVTDAKVIRTKDGKSRQFAFVGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPEAPRPWSRHSLKKPEYNSKDKTKTGEVSAPVKSSKGQKVSDDVGGSRGSAASDPKFQEFLEVMQPRSKAKMWANDTMGTLDASAKDSMVVSEKPEKPQKNVPVSENDSSSGDSSDEEMTNDQSESDDSSSEDASEELKMQSKQGKDMTDMDFFKSKIKKNWSDSESDSEDSGDQSDHSTDDEDSSDESHDADEKDEKAHQKDSLNLKKNVDKEMTLEGSTVQEVGNSDNEEVENLDSQLKEDDNQEKEDEEAALITDEKKLALETGRLFFCNLPYATTEGDLVELCSQYGDVDQAHIVVDKTTKLSTGRGYVLFSLPDSAVRALELDNSSFQGRLLRVKAAKPLNNKNIESSYVEKKMSLKQQKLDQRKASEISGDTRAWNSFYMRQDTVAENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVEDDEKDVVGDRIVTKAIVEQTVEGVSAEEIDPDRVESRSVFVKNLNFKTSDESLKQHFSTKLKSGSLKSATVKKHIKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGRKDGQAKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQVIERAKEGETLEELRARTAAQFVDEQSGFQRLSKKRKQTSLVDEGSVKFSRIVE >KN539034.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539034.1:93383:94723:-1 gene:KN539034.1_FG017 transcript:KN539034.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHGLCEAGKHVGVVGLGGLGHVAVKFARAFGMRVTVISTSPAKRQEALERLGADGFIVSTNPSEMKAAMGTMHGIINTASASTSMHSYLALLKPKGKMILVGLPEKPLQIPTFALVGGGKVLAGSCMGSISETQEMIDFAAEHGVAADIELIGADEVNTAMERLAKGDVRYRFVVDIGNTLKSD >KN539034.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539034.1:56368:58000:-1 gene:KN539034.1_FG018 transcript:KN539034.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKIFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >KN539034.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539034.1:22422:24231:-1 gene:KN539034.1_FG019 transcript:KN539034.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVLDKAVAALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKVRASGVGVPSSKL >KN539034.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539034.1:62493:66308:1 gene:KN539034.1_FG020 transcript:KN539034.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLSIPPSFAGASPPSPSPIGGGGGGVGGGVGQPAKDMKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYPALSPPTLSPGASNRVCNALALLQCVASHPETRILFLTAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNSRACAALQTCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGAGMGAAPQPGLDHMMGI >KN539034.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539034.1:134809:138486:-1 gene:KN539034.1_FG021 transcript:KN539034.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRKELQARIRDQLQTVELSASSYDTAWVAMVPLRGSRQHPCFPQCVEWILQNQQDDGSWGTSGFGAAVTRDVLSSTLACVLALKRWNVGQEHIRRGLDFIGRNFSIAMDEQIAAPVGFNITFPGMLSLAMGMDLEFPVRQTDVDRLLHLREIELEREAGDHSYGRKAYMAYVTEGLGNLLEWDEIMMFQRKNGSFFNCPSTTAATLVNHYNDKALQYLNCLLVCSSWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVKLSPVAEASSFRESLQGYLNDKKSLLELYKASKMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKTEYFWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKSGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQVRIVFSAIYTTVNQLGAKASALQGRDVTKHLTEIWLCLMRSMMTEAEWQRTKYVPTMEEYMANAVVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERESLEGKLNSVSLLVHHSGGSISLDEAKMTAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKASDPYGSILSGN >KN539034.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539034.1:31448:35465:1 gene:KN539034.1_FG022 transcript:KN539034.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEGTNKGSMWELDQNLDQPMDEEASRLKNMYREKGMKKLDVYTVLVEVTELKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVDDEEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQAIGDGILTPAISVLSASGGIKVQNPNMSTGTEALFADLCHFPVFAIQIAFTLIVFPCLLLAYTGQAAYIIAHKDHVADAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEIPYFSACLLKIDQGGWVPLVIATAFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPMDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCLLSFLRLESMMEGYSDSDEFSVPEQRTEGSISNAFLAEKINNNTMCSNGDLSYSSQDSIVPVQSPLRGSSLLRYSSQASHTVSDELEFLNRCKDAGVVHILGNTIVLARRDSGIIKKIAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >KN542474.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542474.1:9865:10152:-1 gene:KN542474.1_FG001 transcript:KN542474.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGQEVPGSTARRERTGLISSQASRSSSSKAWKAMLPTKPPVLPACHRPLSSPASHQWRTQDFNDGGTKLQTTDCVHITKETSSTMKIYSNH >KN542288.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542288.1:12685:16324:-1 gene:KN542288.1_FG001 transcript:KN542288.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYEYLILQYNIQRGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAVMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDSV >AMDW01018304.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018304.1:4:273:1 gene:AMDW01018304.1_FG001 transcript:AMDW01018304.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEEIRAAISGAPSTPLNLTVLLHSMTNNVVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFKIPDLFPTWTTALAAVTGMKRSLRGIHKT >KN543277.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543277.1:2525:6426:1 gene:KN543277.1_FG001 transcript:KN543277.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDTPTHEEAFSFEPSRSERWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIVLTTVMDDTYDTHATIEESRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQVSYTKQEFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQTRLEHRTLLPIVQRVVNHTVSMVLFYDDRKDAYTFGTILREIIKSLFVRPAPI >AMDW01040878.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040878.1:64:2799:1 gene:AMDW01040878.1_FG001 transcript:AMDW01040878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LTALAFRDVRFTGRCDLGAVVSSKRCPVLQKLQVHDSQDLCNLTIFSESLLHIELSDLHGGMGRLMIVAPLLRVLDVRHCFYWRTYRSHSLVRDQPYAAVFAPALEDLIWVDAYDPTMVQFGGVERLRKLVTLPITVYGLSRCTDHMNSVMLLRRFETVSVLQLELDYPEMGRSQYLMEAITMLPAIEVMSLELSKRGHAFGQCVFHLLRMSTGIRKLKLALHGDLKDSEERISVLSTWFQGHQFLDLFDFIYKLYKADARCSASCVCNQQQAWKTEDLFLDSLQEVEISGFRGSEHELAFVKRLFGWAAILKTFTVHLHLDLTVSDDLCKELLSLATPETDAKIYFWHDDDVRARPAWVLYTSAE >AMDW01040489.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040489.1:70:1375:-1 gene:AMDW01040489.1_FG001 transcript:AMDW01040489.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding YYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLC >KN540214.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540214.1:25773:27637:1 gene:KN540214.1_FG001 transcript:KN540214.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQSLQALSSLHPSSDPSTAPQPPPRNHGRYWLSVDKVDEEEEKSERLHLHPNADLADDDSSAAMRAAAALPLFPQDNAVVECSKIRPGGGALQGAGDGHRSLENGHYSKPDPAIRSTTGERLVNGVVRAMPVAANAKEETKNDGGGGAKKRRGPAEVVVVNHECEFHVPFSVNCKFHGVFVEELEDHTADDLLLTRNFP >KN540214.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540214.1:11623:11934:1 gene:KN540214.1_FG002 transcript:KN540214.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEATSLMISPEIRDAVTKVAVFVLVQALVYLILRSSSSVFSKDGKLRSSMSFRSMRSMSVRRFLAPLSDVPVGTDEPSPSPSSSSSPSPTLSRRWASRRED >KN540214.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540214.1:34593:42331:-1 gene:KN540214.1_FG003 transcript:KN540214.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQERDDIPMLLRNVELPMFPPRSTSMCIPVRDDEYEEDTFVPLTGPLFVQPPTQTAAAGIPFTNTPDMPPRPPQGKQVNKPHAIMPEEIGGNRWSYSGNVPKNEHLMMSGPLGQCDDPDCVNCPPACKNKRHFHRGSSTLDSKFHNFLHEHGGGWNKEIERFLSRIPVMNPHAKVVQQWNQFFVISCLVAIFIDPLFFFLLSVQKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANYAKNLLRITVLLQYVPRIIRFVPLLGGQSDSSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACSASKIPSCDGFIDCGRGINIGKQNQLSRQQWFNDSASTACFDTGDNGFHYGIYEQAVLLTTEDNAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRMRFHGMRLVAIRTVMHSIVSVAEYLSSMICMTMFTNAGALLLQLHPTGMSHPTGEPLLQHVFKSHGGIGIGD >KN540214.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540214.1:47965:48839:-1 gene:KN540214.1_FG004 transcript:KN540214.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRTIGLGMDYSPSSKAAAKWAVDNLVKAGDRIILVHVLPKGADASHKELWKSTGSPLIPLLEFMEMNVQARYGINPDKEVLEILQAESKSKQSKTLIPYWLDQIEVLAKVYWGDAREKLCEAVDDLKVNTFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRAPTGSNA >KN540214.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540214.1:5660:9585:1 gene:KN540214.1_FG005 transcript:KN540214.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAARRRDLASPLGTLTANAQSAYSANICSRWGSFARAFSVKPTGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRSEAIDLAKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVNSLIERTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNNADTTIYSVEKSLGEYRDKIPAEIATEIETAIADLRSVMTSDDIEKIKANIEAANKAVSKIGQHMSGGGGGAGGSETGGSQGGGEQAPEAEYEEVKK >KN540214.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540214.1:44689:47323:1 gene:KN540214.1_FG006 transcript:KN540214.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPGYFHSYVQDLIDGLHSDLHSHDLVNIENAKGLGYAMNTAEELKFVKDIATATGIVLDPVYSGKAAYGMLKDMGANPAKWEGRKILFIHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTGKMF >KN540214.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540214.1:2123:4797:1 gene:KN540214.1_FG007 transcript:KN540214.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding VEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLATIQQRRGQQTDKPLLLTGPGKVGQALGLSTDWSNHPLYTPDRINMFSPDITCLRRVGDTRRARTGEHFGWPPCRHRIRIAGACCCTMEVRRRRDAMDQCPQEHSQTKVRAWRLDCWRPWRLELSTKFGDMGAATNVGRDRVTEDTMYLGAFDAASLLYVLEWLKDDLLAHHTMRREACLNDTCKEMCSSNAPGFPCFHRRPLPLLVRF >KN540214.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540214.1:12459:13949:-1 gene:KN540214.1_FG008 transcript:KN540214.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGGGGGGGGKGRRRERGDGMLRAEAYFWDVSKPVEMEEIDSMEKLDDALRVRFYFVDVNKVPQTVVKRGNITKMPTIQLWKDGEWAAEVIGGHKAWLVMDEVREMIQKHK >KN540214.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540214.1:20520:23172:1 gene:KN540214.1_FG009 transcript:KN540214.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPPPNDQAGGGAAANNSTTGSAGEAAVPSRGSARNGTMTKDGAPDRINGTDVIASSSGDVTAVKANARNAAGSTHQLGGASAIVDSSNGTAAPSINQTGNAAVATDGNGAAPQRGGAPGKNQTVPNPPDAHDQNKSGSRAAASGGSNSTMDATPQGIASNTTEAAVDAGGKKKKTHWIEAMASCDMFYGNWVRDDSYPLYPEGSCPHIDESFNCPLNGRPDNAYQRLRWQPSGCIIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEVSGRQQFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWEFPVRKGLTKETLRLDMISNSFPRYKDADIIIFNTGHWWTHEKTSLGKDYYQEGNRVYSELNVDDAFQKALITWAKWVDSSVNPKKTTVFFRGYSSSHFSGGQWNSGGSCDKETEPITNEKFLTPYPRKMSILEDVLSGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEEKKSPQIYQDCSHWCLPGVPDSWNELLYAQIMIQVRPAVIAEYDSSFNFDEILHRYYFAAWFCSGFNSAAISLEY >KN539408.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539408.1:50142:55834:-1 gene:KN539408.1_FG001 transcript:KN539408.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVGRAAFLFLFLSLTVAQNITKNGAGTLDVGVILHLKSLVGKIARTSVLMAVEDFYSVHRNFKTKLVLHIRDSNGDDVQAASEEATFVSDLGNKSQVPVISFTATNPALSSINVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAFMPYRSAISESATTDQLERELYKLMTMQTRVYVVHMSLNIASILFAKAKDLGMMSEDYAWILTDGISNIVNSLNTSILEKMNGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSTFGLWGYDTIWALAQAAEKVRMADAVFRKQKDRKNSTSLGTLGISTIGPELLDSILHSKFRGLSGEFDLGNRQLEFSTFQIINVVGGRSKEIGFWTTKHGIFRQINENISKTTNVNSMPGLNRVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERNTATNEITASGYAIDVFEEALKRLPYAIPYEYVAFDDRQGVNSGSYNDFVYQVHLGLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDIHELLKSGEYVGYRNGSYLSDLLEGLGFDRTKMRAYENPDEFADALAKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSRAILNITEGDSIIHIEKKWIEDQHACQNDGTMIGSSSLNFNSFSGLFLVTGVASTSALLIALMMTLYKNKHRIRDSIRRGQTQKEYERETINEQNQERTIDSNQVQNLQLTVPDDSNEYTCQQEGEISIEISPASGIQTSQDIASHRTSRNG >KN539408.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539408.1:867:6274:-1 gene:KN539408.1_FG002 transcript:KN539408.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAACARTAPLLLLLAFSFAFARSVAERKADEFHVGVVLDLGTTVAKVARTSMSLAVEDFYAAHPRYTTRLVLHVRDSMGDDVQAASAVLDLLENHKVQTIVGPQKSSQATFVSALGNKCQIPIISFTATSPTLSSRTLPYFVRATLSDSAQVNSIVSMIKAYGWREVVPIYVDNDYGRGIIPSLVDALQQIDVHVPYQSEIDQSSTSEEITQELYKLMTMQTRVYVVHMSPSLGSVLFTKAKEIGMMSEGTVWIITDGLTNLIDSLNPSVVEAMNGALGVKVYVPISTELDSFTKRWYMRSRIDHPNDPTMKLNIFGLWAYDSIWAIAQAAEMSKVRKAMFQRPSSEKNLTNLETLQTSINGPALLKAMLQNKFRGLSGYFDLSDGQLQVSTFRIINVVGKGYREIGFWTVRNGISKALEQKRSHPTYESTKPDLNIVIWPGEVTELPRGWELAVRGKKLQVGVVKGHYPEYIDADEDPITGVTTARGLAIDVFEEAVKRLPYALAYEYKLFNITGIASSSYDEFVYQVYLKKYDIAVGDIAIRYNRSLYVDFTLPYTESGVAMVVPVREKEKVKRLISRIVLVVWLFFILVLKSSYTASLTSMLTVQQLQPTAHDVHELLKNGEYVGCGSGSFVMGLLEELGFPRSMIKPYHNPEDIHNALSRGSKNGGIAALVGEIPYIKLFLAKNCKRYTMIGPIYKTAGFGYAFPKGSPLVGDISQAILNITGGDTIIQIEQKWVRDKNSCQNEGSIIGSGSLTFASFEGPIILTGVVSTSSLLVALIILAKQLGEIFLFCPDLTKKRIMDMILERRGILGMNQRLFKGRNCLAILPLWHHSQE >KN539408.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539408.1:27572:33240:-1 gene:KN539408.1_FG003 transcript:KN539408.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERAAGRAAIFFLFLSLTVAQNITGSGEDTLNVGVILHLKSLVGKMARTSILMAVEDFYKAHRNFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSIDVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGASMPYRSAISESANTDQIERELYKLMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLSPSILDEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKDTKNTTCLGTLRISTIGPKLLDSILLSKFRGLSGEFDLRNRQLEFSTFQIINVVGSQLKEIGFWTAKHGIFRQLNKNKSKTTNMNSVPDLNPVVWPGEVHTVPKGWQIPTNGKKLRIGVRTNAYPEFMKVESNPVTNEITASGYAIDVFEEVLKRLPYAIPYEYVSFDNGQGINSGSYNDFVYQVYLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVRDDRNKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRSNNAELTGSFLRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYPNGSYVADLLRGLGFDRTKLRAYNDLDGFADALAKGSQNGGISAVIDEVPYIKIFLAKHCKGYTMIGPIYKSEGFGFAFPKRSPLVYDFSRAILSITEGDSIINIEKKWIGDQHACQNDGTIISSSSLNFNSFSGLFLVTGVASTSALLIALVMFLYKNKHRIRNSIRRDQTQKGYEAERINEQNQEMTIHSNQVHNLQLTVPDDSDEYSCQQDGEISIEQSPASEIQTSPYFASHAQQ >KN539408.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539408.1:22881:25477:1 gene:KN539408.1_FG004 transcript:KN539408.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGVVQSCFSTRNGTPRQPLVVPLARATVMLDPARVPAEALEGLADYSHCWILYVFHLNTDLDKMWNDPAKSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDGVKGAAIPNWLEVDGALAVESIHFSEHFISSLSDCWIHVQKQSLYASADEFQDLVKEVLSWDIRSLSQRIRPHEVTIKDVTDNGGCKIDNGCSNDEDRQSVDSSTSVVYHLHLEGIDVSYRIDQDSNIVVENAALLSSAVNQHRYSYLTWREKVSIL >KN539408.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539408.1:57610:65617:-1 gene:KN539408.1_FG005 transcript:KN539408.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPERAAIFFLLLSLTVAQNITESGTGTLNVGVILHLKSLVGKMARTSIMMAVEDFYAVHRSFKTKLVLHIRDSNGDDIQAASEEATFVSDLGNKSQVPVISFTATNPTLSSIDVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQGFGAYMPYRSAISKSANTDQVEQELYKLMTMQTRIYVVHMSVNIAFILFTKAKELGMMSKGYAWILTDGISNIVNSLSPSILEEINGAIGVRFYLPASKELHDFTTRWNERFKQDYPNDPPSQLSIFGLWGYDTIWALAQAAEKVNMADAIFQKQKDTKNSTSLGTLGISTIGPKLLDSILNSKFRGLSGEFDLRNRQREFSTFQIINVVGSRSKEIGFWTAKQGIFRQLNGNKTTNINSVPDLDPVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPELMKVEKNPVTNEVTASGYAIDVFEEVLRRLPYAIPYEYVAFDNGQGVNSGSYNDFVYQVHLGVYDTAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYHNGSYVGDLLKGLGFDRTKIRAYDNSDDFAEALTKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSREILSILEGDSIIHIEKKWIGDQHACQNDGTVVGSSSLNFNSFSGLFLVTGVASTSALLIALLMFLYKNKHRIRNSISRDQTRSRYGPEHINEQNEERFGNVQNAGQDHNQDWVNWDEGEDNVNVNVPEDFMPEVPQPVDAVHHISSSLSSAPVSVSTSDSTFTSVVSISSDEDVMQPVPDNSIVIPPVSVDAELFKLLCQRFPQVMFDKNFLKDASFWSAFSPVLSSVTGNSAGPSNWTSVSVLDSASVRTDEVLDPTPLAVVPPSDFLALPDPSPKAPVKRSYKKRVAGSPVVTTVCRFFFWINIDTGWSLRLQGTASGSRMSTESNNSRLF >KN539408.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539408.1:11923:19275:-1 gene:KN539408.1_FG006 transcript:KN539408.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPEAMLLLLLFAHSGAVALNASTNPGADEFHVRVILNLGSLVGKETRTSISLAVEDFYAAHRNYTTRLVLHVRDSRGNSFQAASAALDLLGNYNVKAIIGPQKSSEAFCMTDIANISEIPVISFTATSPSLIFDNIPYFVRATINDSTQVDSIASLIKFYRWRQVVPIYIDTDYGRSTIPDLLEVLQGNNVHVPYQSIIPRSATSEQITQELYKLMTMQTRVFVVHMTSSMASVLFTKAKEVGMMDKGYVWIITFGVASLVGSLNPSVLNAMNGALGVEVYVPKSTELDNFTVRWTTRFRMDNPNDPLLKLSIFGLWGYDTIWAVAQAAEKAKSTKDAVQINHMTNSMTSLKAQEKTENGLKFLNAILQYKFWGLSGYFDLSNRQLQPSTFKIINIVGKGWRDIGFWTAQDGFSQRLTKPRSNRTYLSTGPDLNPVIWPGESTNIPRGWEIPTSGKKLQVGVCTSSGYPEYIYAEKDPIIVGMTKASGLAVDVFEETVKRLPYALPYEYVFYNTTENISSSYDDFVYQVYLKKYDIAIADITITYKRSSYADFSLPYTESGVAMIVPLQPTVTDVHELIKNGEYVGYQRGSYVKGLLDELGFDKAKIRKYGNTDEFRDALSKGSSNGGISALVDEIPYIKLFLAKHCEGYTMVGPIYKTAGFGYAFQKESPLRSDISNAILNITGGDTIIQIEKKWIGDQNKCRNVGPVTISGSLTFSSFKGLFILTGVASTSSLLIALIIYFYKNNQVQSGIEDAEQDFPQEIKGDIIEEEKEKEETGARGVQDMNLQNGMVKRSISIAISRGDRATGARVVPISGSARF >KN539408.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539408.1:38574:45989:-1 gene:KN539408.1_FG007 transcript:KN539408.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPQTMLLFLLLLVHFTVAQNANKTGVVDGFPVGVILDLQTMVGKIARTSILMALDDFYAAHTNYSTKIVLHIRDSGSNNVQAASAGISCYVTFSLREKIVMFLDQRFDANLPTLDLLENHNVQIIIGPQTSSQASFVSDLGNRSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIACLIKTYGWREVVPIYEDTDYGRGIIPYLVDALQAIDARVPYRSVIPLSATSEEISQELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTDGITNIVDSMSTSVVEAMNGALGIQFYVNNSELDSFTIGWNRRFQIDNPNDPPLKLSIFGLWGYDTIWAVAQAVENVGVNNRTSIQKPSVARNSTSLENMETSVYGPELLKVILRNKFRGKSGYFDLSNRQLQVSTFRIINVFGKGWKDIGFWNEGNGISRQLNLGKSTTKYADSVLDLNPVIWPGKSTEIPKGWEIPASGKKLQVGVHKSAYKEYMTNQRDPITGATKASGFSIDIFEEAVKRLPFALPYEYVAFDTSRDTSTGSYDDFVHQVYLKKYDVAIGDITIRHSRMAYVDFTVPYTESGVAMIVPSKGTVDKTWIFLQPLSRDLWIEFNVVKLTGSKGKMNCMPVGVRLETSFANQLKENERVERILSRIVLTVWIFFFLILSSGYTANLATMLTVQQLKPTINSIDELRTSGENIGYHDGSFVKNLLEDLNFNTSKIKAYDTPDDFYNALSKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMVGPFYKTAGFGYAFPKGSPLLGDISKAILSITEGDIIMQLENKWIGYQNDCKSVDSAVGTVSDPDKLNVDSFKGLFILTGVASTSSLLIAVMIYYYEKKRSMTSMQPDQNGEGLEENHKPQEVNEGDRAEENNQPGASTGQSGQQQQQTGAREMSNINLQTSSVRRNSSIFIWHERNLGARVAPISSSSHF >AMDW01040053.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040053.1:89:985:-1 gene:AMDW01040053.1_FG001 transcript:AMDW01040053.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IYVNIRKTGALDENKPICGTTEGIGNSHHDNKLSGLSIMKNSSRDDYVIDGMRIYVKIPSIAKTIKLIVKNSNSVADVKVEIERKEGILQDNQMLMYAGRQLDDRQILSHFGLSDDQILHVLICPFEKLRIFVNISNRRTVRLDVESWYTVADVKLMIEALLGFPACTQLLMWTNPSVDIELMDTETLKDQNVKNNTVLLLHPNVQIFIKSWEGRTLTTLVSMFDTAEEIWKKIKKRSQIKAEKYYLCYRGHVLPPGVSLDMYKIESNSTISIRLRNSYRKEEPAKNYSRNQFIYIAK >AMDW01018073.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018073.1:3:221:1 gene:AMDW01018073.1_FG001 transcript:AMDW01018073.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SYFNLVLVSNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSVVSNNAVTRG >KN545800.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545800.1:380:1192:1 gene:KN545800.1_FG001 transcript:KN545800.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFSCINSQMNINMRKICSFTFDDFSLINGFRYGNGQWTENQRTTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCGRAAGLGH >AMDW01006991.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01006991.1:24:179:-1 gene:AMDW01006991.1_FG001 transcript:AMDW01006991.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MMHDSVTDISGGGMTSSALDVSMITTVSPDGTDFTFPFHTGAAAGTAPPVTM >KN542543.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542543.1:1293:1919:-1 gene:KN542543.1_FG001 transcript:KN542543.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAERRLLAHALLDQANARFILLSESHVPLFDFPTVYSYLINSTTKVYLESYDLPGVTGRGRYKRSMSPVVTAAQWRKGSQWFEVDRGLAADIITDDVYFPVFARHCSRNCYADEHYLPTFLGIRHPSRVTNRSVTWVDWSHGGPHPARFTRMEVTPDFLRWLRAGAGTTCDYNGATTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >KN542543.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542543.1:2122:3618:-1 gene:KN542543.1_FG002 transcript:KN542543.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLWEKFFEGHRGLYSVYVHTDPAFNGSDPGEASVFYRRTIPSKLAGPPCYATAI >KN544108.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544108.1:3647:3739:-1 gene:KN544108.1_FG001 transcript:KN544108.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ELRNFEVGVEYMSDAAKINIANQDLVDIYN >AMDW01126549.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01126549.1:3139:5327:-1 gene:AMDW01126549.1_FG001 transcript:AMDW01126549.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQIRNALAPDGSFPGQSWSSCKTDIRNCSSTQIQVFNGFRNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSSEVKEIDCEYPCNPTCSSQLPT >KN541485.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541485.1:1041:3581:-1 gene:KN541485.1_FG001 transcript:KN541485.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPGRRRRRRVKAPARAAGIDWISGLPDEILHHIMSFLNARQAVQTCVLSRRWSDLWRTVPCINADFNEFDFIDYQGDDEDYNDEVAFKRFVNQMLELRDPATMMDKFWLKYKISDGYNEYKDFNVDANRWISHALQKQARVMEVVVFSFPLELDHSVFTSCYLRKIGFSCVSLHHGFFKQLDAGCPELEELFLHDCTIADEEIFSQSLKVLTIDDTEFSKANKASISIPSVTSFTLSSPENSTPMLKDMALLTTASVSVKFYTFSYGFDANDLRQCLWSLSGVTNLEFNYEGTELTFENNLQWCPEFINVVNLTLGQWCLDANFYALIVFLQNSPRLEKLTLNLAKFHIKHWGQYEEEDELPAFIRYEER >KN541485.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541485.1:12704:20192:-1 gene:KN541485.1_FG002 transcript:KN541485.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPGRRRRRRVKAPARAAGMDWISGLPDEILHHIMSFLNARQAVQTCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWFRYHKLDTDTTSSADTNRWISHALQKQARVLQAVMYPCHLLDLDHSSFTSRYLRRIGFSDVRLDQGFFKQLEAGCPALEDLFLHHCTIEDDKISSQTLKVLTIDRTYFLIAINATDVQKKSISAPSVTSLTMYSPEGSLPILKDMTSLVTASVTFSEFRVHFDANDFYQYLWSLSGVTNLEFNYQGPKQMIENNLQWCPEFVNVVNLTLGKWCLDANFYALTVFLQNSPKLQKLTLKLAKCTSEIHQRIIGELTERSFTCLPTSSTHAHYLFDETPLRRARLPARAMEAPPVKRGWRVMAPAHAGGREDRLSDLPEGVLHRVMSFLDSRQAVRTCVLSRRWRDVWRTVPRVHADFCDFTLNWTSDDDEVDEVAVAEDEVVFNRFVNRLLELRDPNASIRSFFLRFCRSDGGDDGSAEGNRWISYALQKNVRVLEVAVLSYALELDHSVFSSRYLRTMDFSNVVMNQGFFKQLEMGCPELEELFLDECFIVDDEISSQTLKVLTLDATHFCCGFKTSISSPSITSLALHYPMSGKPVLNDMEALVSTSMLLCHVKDDDFAANDLRDYLWSLYNVEILDFSYHGKKLTMENNLQLCPKFINLVSLTLGPWCLDANFYGLIVFLQNSPILEKLTLELAMYHTGKLQRIIGQIEERSFTCEHLTSVEVICLEDDPLVNDVVNFFVNSGMPSVQIHIKQWSQSEYE >KN541485.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541485.1:7342:10651:-1 gene:KN541485.1_FG003 transcript:KN541485.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLAERGDPGVGPRCRRQCFRARHLFDERRLSGCSAAMEEPPGKKGPAMDPAQDSGRDWLSGLPEGVLYRIMSFLDSRQAVRTCVLSRRWRDLWRSVPRVHADIYDFTPDGAIDGEGEEDVEEAEVVVVFNRFVNRLLERRDPTASIETFFFRCCIPDEDDDGSADANRWISYGLQKNAWFLEVVVQLNSLELDHSVFNSIYLRRIAFGNVFMDQGFFKQLQIGCPALERLYLDDCIVADDEISSNTLKVLTFDTTEFCYEHMISISTPTVTTLALRNTICGKPVLKDVASLVSASVVLYCVESSDFDANDLRHYLWSFSHVKDLIFSYQGKKLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNCKTSEVFIGELEERSFTCEHLTSVEVKCWEDDPLVINVVDFFVGSGMSSSQIHIEYEDEDEDQFHIESDDMFGFEFEYEDEDEDEDEDEEE >AMDW01010640.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01010640.1:114:221:1 gene:AMDW01010640.1_FG001 transcript:AMDW01010640.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGDKGKVVVVMGATATGKSKLAIDLALRFGGE >KN539988.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539988.1:43981:49077:-1 gene:KN539988.1_FG001 transcript:KN539988.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGALLLVLLAVAAAASSAGATLAVKAPVPVPAPAPAHAPPQPKDAEGLLINGNFETAPRKPGGMFFAVPHGVHALRLGGRASASQNVSVRPGALYALTFAATRTCAQDEALCVAVAPSLSPPADVAVRTLYSADTADTWAWGFRASSAAAQVTFSNPGVQEDASCGPLLDAVAIKELPTPYPTKDNLIKNEGFEIGPQVFKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVANRAYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKEEEKWVPVTKLGRLVKEGRFSKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRDTKFVKSPFQEYTDLLAKPTKALMIDAPVENVEA >KN539988.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539988.1:29118:34402:1 gene:KN539988.1_FG002 transcript:KN539988.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDGAKSGKHGHVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPILGDESDDVDADDASDVNYPTSGNQDHKHKIAERMLTWHMNSGRNDDIVHSKYDSGEIGHPKYDSGEIPRVYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHPFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMANGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLLYCILPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQKCGINC >KN539988.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539988.1:56402:60056:1 gene:KN539988.1_FG003 transcript:KN539988.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MWEERYENYHEIYGGEVESRTSNYADLANKYYDLVTSFYEYGWGESFHFGSRWQGETLRESLKRHEHFLALQLGLKKGMKVLDVGCGIGGPLREIARFRDKNQANYNYSNATNYSSASVTGLNNNAYQISRGKELNFSVGLSETCNFVKVVSVKDLAEDSPLPWYLPLDSNQFSLNGFRLTRVGRFITHMLVKTLECLHVAPQGSLRVSSFLETAAEGLVKGAKEGIFTPIFFVLARKPLDKQPEI >KN539988.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539988.1:65855:68434:1 gene:KN539988.1_FG004 transcript:KN539988.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MELLLHPPPFPSLLLPHKPHHFPSKLRHGRPQEPRVMASPQAPPLPLPSLQESRSACIRIPRDEPRPTPTPARSSSREEPRFVAETKLITVHSCAGRLGDAREVFDGMGHRDLLAWSAMIGAYAIRGMYSDVLALAVAMVEEGVLPDRFLITRILQACAYAEDLELGRALHSMAIRRGFMGRVKDVPVGNSVLVMYAKCGDLGWARKVFDKMVCRDLGTWNSMIFGYCRSAEWEEARHLLDTMREEGTQPGVVTWNTLISSYARSGDLDVAMELLGQMEESGVAPDVVTWTSLVSGFVHMDRSDEALRCFIRMRLAGVEPNGMSIACAISACASLKLLNQGKELHSHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAWRIFSGIPDKDIFSWNSMISGAIRIFRQMQALLRRPDYITILSIIPAFTNLVASWKVREIHACIFHHNLELDGKIANALLNAYSKSGNLASACAVFDMHSSRNIISWNCIILAHVLHGSPNEALDLFCQMKQEGVVPDHTTLVTVIKAYGLTGKVSEGSQTFFNMANDYNITPELDHYAAMVDLLGRSGRLKEAYELIDEMPLIPNSTVWETFLTAAVMHGNVRLAHLAARELSAIDPGDPRVQRLGSSLQDLTGKTVDVPEEMTPNKGRNLDEVESCSIEIRNKVYVFSNGDNVGLEDTVAELKSMMIKMGHSMLDIGIGTLDVEEEKEEVVGVHCEKLAIAFGLSNSPQFKNIRIIKTARMCNHCHTFAKLVSEKYGRHILIKDPKCLHKFEDGKCSCEDYW >KN539988.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539988.1:20478:21602:1 gene:KN539988.1_FG005 transcript:KN539988.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSREFTAMVAAGTTMQTGPNGDNNGGDQLTSIGEDELEETNPLAIVPDSHPIATPARSRASQLEVVPAAGPSAPAPAPPVEARQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIEVFLMTEQLTQRKLDEQRAKALERTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >AMDW01025345.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025345.1:21:267:1 gene:AMDW01025345.1_FG001 transcript:AMDW01025345.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGARLLPEKDA >KN539988.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539988.1:63115:63312:-1 gene:KN539988.1_FG006 transcript:KN539988.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMNSVLVLMLGLAMAATSSAAVYRVGDTSGWTILGNVNYTDWAVKKTFHVGDTIGKLSLFSL >KN539988.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539988.1:3518:6142:-1 gene:KN539988.1_FG007 transcript:KN539988.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRHGVMHRDLKPENFLYANKKDSSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRHYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVKRMLDPNPMTRLTAEQVLEHPWLHDSKKMPDIPLGDAVRARLQQFAAMNKLKKKALKVIAEHLSAEEAADIKDMFDKMDVSKNGQLTFEDFKAGIRKLGNQMPDSDLKILMDAADIDKNGILDYQEFVAVSIHVRKIGNDEHIQKAFSYFDQNKSGYIEIEELREALVDEIDGNDEDIINSIIRDVDTDKDGKISYDEFAVMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSISDDTQ >KN539988.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539988.1:34845:37413:-1 gene:KN539988.1_FG008 transcript:KN539988.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRALLALTFLLSGGAARATAAAAMVKGTLVASPDMSSWNESNRRYWIVVRGVDGLAVGGGGTIDGNGEGWWENSCKINRALALSFHTCDNLSVNGLKMVNSQQIHMSVEDCTGVELAHLSISAPGTSPNTDGIHITRSKNVQVSDCTIKTGDDCVSIEDGTHGLHVTRLVCGPGHGISIGSLGDDNSRAEVSDIFIDTVHLYGTTNGARIKTWQGGSGYAKDIVFQNMVMNSVKNPIIIDQNYCDSAKKCETQEGSAVEISNVVFKNIAGTTISKSAITLNCSKNYPCYDISLQDINLEMVDDNGATGSTCQNAKWRKSGTVVPQPCTSTN >KN539988.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539988.1:41509:42696:-1 gene:KN539988.1_FG009 transcript:KN539988.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQVMPAVGAVAAAEGSCRAAGQRRGRGVSSLFAGDWRRRPRRAACTARVRGRRQQQGLAVVCNLGGTYDEGFEDIHVQLINVFTYKAVKTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKVFLRALGKEKQELAERVMITRLHLYSKWIKKCDHAMMYERISDENLALMRERLMETVIWPTDDTNTEKIG >AMDW01040748.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040748.1:85:1675:-1 gene:AMDW01040748.1_FG001 transcript:AMDW01040748.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VIWQSNHSNVQVQQVIEIPPEFDPDSSEFVSDISDFTTEFKKEEILHIPFEFDLDSSDLAPDIEHRSTELQREQMEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTAPNFTEAHKRRPRRKSSVERLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDSQINSVVNIPQKSRNTSFDKGGSSIAETHYPTDRHWHNEESDNQTQCSVSLTGSDVSGGHTYSHTKMTPVSRTTNSSSDDLDGFDTPKSRSSCFSFTHEPTKTVEGNDVQQYLRSFGRGISKDLREIRSDYCDDDYVFQKMNADLLMDIVTFKNR >KN541953.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541953.1:5580:6380:-1 gene:KN541953.1_FG001 transcript:KN541953.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETIIRLRSSSKHLEGHHGTAPLEEGPSYVRFVCDVKVRCWSRRLGGGGEPVRHDGIKFTMETERKHVLDGAGGDVFLDYEETRRMAWAVFTGMRELRCVDLSRSNWATPMPDDDAAAWIHRAVRRNHDDGLAGGHYRFAARVKVAVELVFSEPVSLVRGLVWLETRAGDTCGICLDGLTASERCKTPPANLPCGHAFHPPCITRWLFKGTTCPICRDDLTGLAAAPWESGMVSCPGCITPSTPCVEDCPSLKGFLSQQLDGVV >AMDW01030016.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030016.1:69:399:1 gene:AMDW01030016.1_FG001 transcript:AMDW01030016.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKNFAAVCKLGIPEQELAETADNIPDECCKEETSMEHDEGGDGTNMESESISEVAEHPNVEDEENMQDDGGSEMEISEEIISGFGCEEDFSEEVTSKYVSEGEISDS >KN541953.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541953.1:14190:15150:-1 gene:KN541953.1_FG002 transcript:KN541953.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHVCADEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVIHRDLKANFGLAKIFSSNDIEGNTKRVAGTYGYMAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLELIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >KN540149.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540149.1:51499:56877:1 gene:KN540149.1_FG001 transcript:KN540149.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEEILHLVQSPSRQLTKLQTEDAIVTVFAGKAVATAAISFWINKAFTYLKEYKVEGIEDIKNRLLQSMPKIQVVLDIVNPRYVKEQSSALDAWLWQLRHAVEEAEDVIDVLEYYKLKEMAKDHKVSVWGSSFSKVKHKVIKSVKHVSILDKNLKQFTHGGTLKRLREAVEGLDKVASDIMSILTVTEHLKEVASCSQQQEYSTNDDRATGLTLTPPKFVGREKEKEKIVRWLTKASTDASGNLMSTNHIPILSLIGHGGMGKTTLAQRVCEEMVRKNFKVIWNPQDFPNLKSVGKQIVKKLGGCPLVTKVVGGHLRSNMSFQHWNNFLQEGLQHFKGSEDDIMEVLRLSYYCLPTDLQICFRYCSIFPQDYAFKMKDLVLMWIGSGLISEAGNKPPKRLEDIGEQILAQLAGKSFFETNIKVDPYSQRKENYYIMHDLMHELARYVSSGECARIISPGMLENEKDTVRHLCISGIDHLSTEEVKKILHFKNVRTVIIEGSGLIDSNLFHAVENVMEQSKSLRLLQSNLENTFHLPKIAHLKHLRYIDLPRISPDTICGLVKLYQLLLVKCFNGSREEPKQVRYLGNIDHLRYVNYGKIGEFPIGRLTSLQELHNYRIQGGKGNKISAISNLSTLRELEVLGLENVESHEEADNVELNNKKYITLLSLAWSARAAVENRKDELLLNHLEPYANIETLRISGYGGVRSPIWIENLCIKNLVSLELARCLYWEKLPSLGELVSLKHLWLECLPSLQQIGQSSEASSSNCVDLSLPPNLDTMIVRRCKELRALPILPTTLVHFETSNVGLTKLPRIGKECNENLETKSSRLLVVVVEECKCLNSLEESLLVQGDYIKSIHVLRIADCEDLEAAPLVFEQMNELRELDIRNCPKLRTSRGVGDTFLPLALQKLNVNYCGELELPLIGALQGLTHLSELVLEKCKYLESLPSHDVFKSLKSLKSLEIIGCENLSSLGGLGSLQYLLELKISACSKLMAIGSSQTPDASSTSTLQIDYIEIDLPDILHLEPLKGLCHTKGLVIRGGTQLESLPEEWLLQNRGKLQSLKIYSASSLESLPLHMRDLCSLNLLLLSGAGKLQSLPDFPSSLQKLDITCCCKELEEKVGVYESPEWNNISHIARVRIGTTAFSDLFVLILSCHVYLRLAQTIYVQGKCLNMPKLF >KN540149.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540149.1:5074:7562:-1 gene:KN540149.1_FG002 transcript:KN540149.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSSTHRRRSQAQISKIALRSDVWNHFTRFTDGDGRAKARCKHCPQVLGAETKSGTSTLWAHWNRHEQREEIPGEESPQQTPPPLPPAGPEEAARGDLARMIALHGYNPSVVKDDYFRSFLCRLNPEYEVPSRLAIEEMSCHFIDDQWNLHKIIMDVYADVPFLNYHGPLLGVDEVCLDRDLARHISIDKVMVRFKAREILHNLFMMIWETKGNDINLEYELRNEIEDNPFKINPNRRQLFYTTYMDNVIHSIARLLVMDPEFNDDYIISDLENLHLTRQERHQLLSQLGLDYDLWAYDEKWYSEYCSMEVLRKKGSAITNTVFAELLCMLWGEIYRSIQRISAPDCPTSSNLCLIELFKLREVFKHQLAQASGENAIAYNEFNGCFGAEDDKDVADVLTEAMVAIDKAIQDSYPVWSIPLVLDPRYKLARTKFIFQTAFSTEAAADYISEVTRNITELYSDYVEDDDSTMNAVAVGSTDPLQEAWDEHRRAEAQTDLDRYLKDELVHDPAQGFDILSWWKVHGSVLYPRVAQMARDALAMPTCIRVVDDDDDAMCAALVAELRELSERVADEREYAQTGRACVLAGMSSHGQQRASSVQLAKGSSVYGCSSAFATPEMQRMEKLSVILPPSSFTQTLSKMP >KN540149.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540149.1:35938:38835:1 gene:KN540149.1_FG003 transcript:KN540149.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVINKNMEEIKMESWSIGGARSNGGIEKLIMMDMSADMVRKWREMENATDDSLETHFVVGDEEYLPIKESSQDTIISCLGLHWTNDLPGAMIQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRVMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQN >AMDW01008244.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01008244.1:1:213:1 gene:AMDW01008244.1_FG001 transcript:AMDW01008244.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVVPGELPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEEVSRLRHRYPWVKIVYADYYKP >KN540149.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540149.1:30029:32114:-1 gene:KN540149.1_FG004 transcript:KN540149.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLPQQKKVDEEQAAAVDTGKTNGKEAAKQHGHIRIDDDLVEYEKKYEAATGEKAADKVVRLIGKEETKDRDQEEKNKGVVYQF >KN540149.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540149.1:60150:60940:-1 gene:KN540149.1_FG005 transcript:KN540149.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSVILVSKMLERFQGFDGVQQLVDVGGGDGSTLGMITSRYKHIRGINYDLPHVISQSPSLPEITIDASN >KN540149.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540149.1:10953:12227:-1 gene:KN540149.1_FG006 transcript:KN540149.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGKATAKRAVDALVANGNTNIRDGLDVDAKVLDGRRHTDAVASVILLSDGQDNQTMGYRGRFHMTDFKAAATSYDVLVPPSFTRAGGGERCAPVHAFGFGTDHDAAAMHSISEITGGTFSFIENLAVIQDTFARCIGGLLSVAAQNARISVECMDPGRLVIFSPNILAIVVRVVDDDDDAMCAALVAELRELSERVADEREYAQTGRACVLAGMSSHGQQRASSVQLGELRVRVFVRVRDTGDAEDGEALGDFA >KN540149.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540149.1:39422:45726:-1 gene:KN540149.1_FG007 transcript:KN540149.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGVDANEYTYGCVLKSISGSAAPSMAMATATHAMLIKNAYAGAPGMLMTGLMDVYFKCGKVKPAVMVFKEMPERDVVAWGAVIAGFAHKGMKREALEHFRWMVEDGIKVNSVVLTSVVPVIGELRARNLGREIHGFVLKKFQHRKDVANIQAGLVDMYCKCGDMISGRRVFYSAKKRNVVSWTALMSGYASNGRPDQALRCILWMQQEGIRPDLIAVGTVLPVCTKLKALREGKELHAYALRRWFLPNVSLCTSLITMYGTCGHSDYSQRVFHVMDKKTVQAWTALVDAYLKNGDPSTAVDVFRSMLLSNRRPDAVAISRMLSACRDIGASKLGKELHGQALKLRMEPLPLVAAGLVSIFFVLAFGMCLHTKLKFAYSCLLPKIFGRNSVKIGLNLIHSFKKASYVLMGCLCSKGAKDDTDATSENKTPSRNSAATSAKTATGTNDGNSAVIDGQLKENASKLQLGDGEKAIVALDVRTGSGNNAELKGLSGEHVAAGWPAWLANVAPKAVEGWVPRRADSFEKLDKIGQGTYSIVYKARDLETGNIVALKKVRFVNMDPESVRFMAREIKILRTLDHPNVIKLQGIVTSRVSQSLYLVFEYMEHDLSGLIATPGLKLSEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDNNGMLKIADFGLAISYDPKNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQHQYRRCVAETFKVFPTSAVVLIDSLLSLDPEARGTAASALQSDFFTKEPFACDPSSLPKLPPSKEYDVRLRQEEARRQKTAALAGQGAESVRPENENRVTNRTISGVNGELKQQTHTSSKSNSEAFNQEDSVPGFRVEPRERPTAVQLPGYSSTWNNMEGDNDQPTVPGRACCSVRVANPCGIRKKGSSHSLIPQFGATDLRSTVEATDHNDSPDRHDENKNPEVKDAMNHGRKYRRIHHSGPLMPPGGNVEDMLKEHERQIQEAVRKARVSKPGSPGLLEHEKLSWIQRRKRRVALVVLLWFCIKSMQIGMMQKAYGTSCE >KN542256.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542256.1:2662:6689:1 gene:KN542256.1_FG001 transcript:KN542256.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 24 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/Swiss-Prot;Acc:Q9LDI3] MKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLAQKKCSDWDFFFEGFQGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEEDDLPTLYDKITAGQFSCPYWFSPGATSLIHRILDPNPKTRITIEQIREDTWFKKTYVAIKRGEDENVDLDDVQAVFDNIEDKYVSEQVTHNDGGPLVMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAETMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCNKMESIIWRPIEVSAKSALLRTATC >KN542256.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542256.1:10352:12579:-1 gene:KN542256.1_FG002 transcript:KN542256.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHDYRDGGVLVTEPAASAALFGGVRSRKRARVTDAGLAEIAAGCPSLARLDITGCPLITDKGLAAIAQGCPDLKAVTVEACPGVADEGLKAIGRCCAKLQSVNIKNCAHVGDQGVSGLVCSAAASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRCMSVSSCPGVTDLALASIAKFCPSLKQLSLKKCGQVSDGRLKDFAESAKVLESLQIEECNKVTLMGILAFLLNCSTKFKTLSLVKCNGIKDICSAPAQLPLCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVDLSGLGAVTDNGLLPLIKSSESGLVHVDLNGCENLTDATVSALVKAHGSSLARLSLEGCSRITDASLFAISEGCTDLAELDLSNCMVSDYGVAVLASARQLKLRVLSLSGCLKVTQKSVPFLGSMSASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >AMDW01040372.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040372.1:402:1251:1 gene:AMDW01040372.1_FG001 transcript:AMDW01040372.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHNKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >KN538811.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538811.1:74663:76522:1 gene:KN538811.1_FG023 transcript:KN538811.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRDVPAWNAMLSGLCRNARAGDAVGLFGRMVAEGVAGDAVTVSSVLPMCVLLGDRALALAMHLYAVKHGLDDELFVCNAMIDVYGKLGMLEEARKVFHGMSSRDLVTWNSIISGHEQGGKVASAVEMFCGMRDSGVSPDVLTLLSLASAIAQCGDICGGRSVHCYMVRRGWDVGDIIAGNAIVDMYAKLSKIEAAQRMFDSMPVRDAVSWNTLITGYMQNGLASEAIHVYNHMQKHEGLKPIQGTFVSVLPAYSHLGALQQGTRMHALSIKTGLNLDVYVGTCVIDLYAKCGKLDEAMLLFEQTPRRSTGPWNAVISGLGVHGHGAKALSLFSQMQQEGISPDHVTFVSLLAACSHAGLVDQGRNFFNMMQTAYGIKPIAKHYACMVDMLGRAGQLDDAFDFIRNMPIKPDSAIWGALLGACRIHGNVEMGKVASQNLFELDPENVGYYVLMSNMYAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQMNIHPQHEEIQRELLDLLAKMRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGYCSCGDFW >KN538811.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538811.1:27781:30473:-1 gene:KN538811.1_FG025 transcript:KN538811.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKAELGMEELELEEGGGSPSPSPMTAAGKMQALDFEHIGSLAAVAESLSTGSKWRRALTSVRVVILQAKINVLLPFGPLAVMLHYLSANHRLTFEYVFLSISVEIQQGWVFLFSLIGITPLAERLGYATEQLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHPSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEQEEEVTEDEEEEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLVCLENLLASFVFCIVHTLYNYKRSYFYDL >KN538811.1_FGP026 pep scaffold:O_longistaminata_v1.0:KN538811.1:77805:79716:1 gene:KN538811.1_FG026 transcript:KN538811.1_FGT026 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLLLAAAPWINSHGNRSITATSFVDHTSRGFNENKDCSTGMTIVQPSAAAGRTQLQATMAAHVSPGVPAVTRDKPCPNDPKLEEDCQGRVEQWHMAQSMCLITSLVRRPRLRGALRRNNPCTLLTEIAATSVGDVFGFLLGFTGDSTALPLF >KN538811.1_FGP027 pep scaffold:O_longistaminata_v1.0:KN538811.1:112482:113665:1 gene:KN538811.1_FG027 transcript:KN538811.1_FGT027 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSTGWTDEKHMLYINSLEESFVTQLNDGKVSSKGGHDICEGNRTDTIVAQLHVIGIRKIANPTLWVVMHQLLSQDKKESVTMQNKRAMEPLLPPVSTGTGNLLLGQQVASPGNGNLEGYYSGSSSDCDIGLLKADTASPSWKAQALRTWSV >KN538811.1_FGP028 pep scaffold:O_longistaminata_v1.0:KN538811.1:13175:18747:1 gene:KN538811.1_FG028 transcript:KN538811.1_FGT028 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSSWFRAASGSGMASVGFTGVVAMLLMATAFLGVTADTSSDDVTALNTFYTSLNSPLQLTNWVAQNGDPCGQSWLGITCSGSRVITIKLPGMGLKGTLGYNMNVMTALVELNLENNSFTGTLPYSISQMASLKYLNLGHNQLSSINVMFNQLTNLATLDLSDNTFSGTLPDSFSNLTSLTMLHLQDNRFTGTIDVLSDLPLTDLNVQNNQLSGAIPDKLKGISNLQISGNSFSNSPVSPAPSSPPSTTSQSPPRQPSTRNPRNRNRNPPIGSNGDNGGDGGGGGGRSSKIGGGAVAGIVISLVVLGAMVGFFVFKRKSTRHQRGGDPEKNEPLTLRPIASGKFNQLRTISIISPTAKEGLQKTVSMNLKPPSKIDLHKSFDESDLTNKPVLAKNVDLSSIRATVYTVADLQMATESFSADNLIGEGSFGRVYRAEISDESDHKVLAVKKINVSALPSNPSDFFIDLVANISKLNHPNLSELDGYCSEHGQYLLAYEFYRNGSLHDFLHLSDGYSKPLSWNSRVKIALGSARALEYMHETCLPSIIHKNFKSSNILLDNELNPHVSDCGFAELIPNQELQESDENSGYRAPEVTMSGQYSQKSDVYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWASPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVLSGGESISRRYDDSGDYTF >KN538811.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538811.1:168117:168882:1 gene:KN538811.1_FG031 transcript:KN538811.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKSTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQMLVI >KN538811.1_FGP032 pep scaffold:O_longistaminata_v1.0:KN538811.1:92564:96525:-1 gene:KN538811.1_FG032 transcript:KN538811.1_FGT032 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPHQSLQVSGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRLSDEAGLQHVAQELEAKAILPFSFEKPQSSQTPANKGTYSQVGYSYKGDGNEESEDLNSDDEDEEEEDEEDEKGFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSVGRVSYRDPYR >KN538811.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538811.1:34303:45468:-1 gene:KN538811.1_FG033 transcript:KN538811.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYWAARLSCSWPSSSSSPPPSSPSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVVLPFKPDVLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIYYLSGNHDIGYSAFHKIHPEVISRYEKEFGSRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFVKALSPGNKTNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGITYQNYLSKETSDLLLRLLKPVLVLSGHDHDQCTVVHPTPFGPVTEVRKFKHYAAICFKFASHEMINAAAYPWYIFQFVVTLLLLVLWPTNGLRSVPYVNSFVSFMRSVAAELFSRTKEKDDEEDGEYEMVWDAEGSMHLVKKAVARTPSTSSDSRTTGRGNVVARPTARKNQLEPDSSVLVEMSSEIISEDGGKVPRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >KN538811.1_FGP034 pep scaffold:O_longistaminata_v1.0:KN538811.1:7237:7788:1 gene:KN538811.1_FG034 transcript:KN538811.1_FGT034 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRTGSSTASASASSHPSGSPAPNSAADLSRGTSGPARASNLLNACRVIPPNDNENKPLWRYVELMEKTGKGQGGNVRFRCRLCGNIMHGSYSRVKAHLLKVGSNGVAPCPKVTIDVLSQLHNEMARAAAVAERNLPKDIPLPTEGASRGKRRAVSAIESSFNSDTRSNLDALIARMFYTAG >KN538811.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538811.1:115739:124436:-1 gene:KN538811.1_FG035 transcript:KN538811.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVTPSRAAEPLSSTGGTGTGAHVSVEKAQRNDLGPLPLVRLRYDSDWADDERDTGLTLPERDSRERGFGRSEPAVAGRDIYGGMRDPFKKEPFGKDLIASSKEGGQDAAWRSPMSSQQDRERTDGRPYSAGRGSSAQSSYRESMNGDASKDSWNTSREPGVRVYGQNGAEPYRNARVGETPGERYGNISNNWYRGNPFQNSFVSKVQPFPGNKGPLNNEPPANFGREKRLTGTPAKPLIEDGGFDSITAVNLSAIKKKKEAAKPADFHDPVRESFEAELDRILRLQEQERQRVLEEQARAREIVRKQEEERERLIREEEERQRLVEEEARHAAWLAEQERLEAAKRAEEQRIAREEEKRKAAIEEERRKEGARKKLQELEARIARRQAESNIRDGDLASCINDELLPGAVKDKDVPQSANTDDRHDFDRMGERINTSASSESSSNNRYNDTVPRVHTLRDGHSSLVDREHAHFSGRTSFQDQESAHYSPRRETFTARRGNYPKKDSYDGFATVTVRPSSRGRTNDSPWASEEYHHGRAPRWDAPRENDRFDKQSDFDTEFFSSDRFGDAAWLPSSSHEGPNRHQGEKMFHSSEDNEFPFTRPRYSMRQPRVPPPPAVARSTIGPSTQHANSSFVESGLRESSSREEHTMQTEYGCVYQEASHQHGTSAEGIGLDEQQNGDRENPILGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGDRTVMSDIDHAASTLDAANTSRINTSSTVSHLEDDEWPSENNEDRHKQDEYDEESNSYQEDEINEGDDENLDLDDEFAEGHSTHIEMEPVILGFDEGVQVEIPPNPEVELVSMKNTHSGVMEQQVGSSSVCPSDLVTEAEKALRNLALDQINALTDETNNEPSNSLVASAPGSKLHQAPSTDPIMPPASAVSGRSEVPVNLQFGLFSGPSLIPTPVPAIQIGSIQMPINLHNQINPSLSQVHPSPAPLFQFGQLRYVRPIAQNVQPVSQAMPPIHSSAPAPYILNQYGSSGLPNEINQHTHQNIPREAAQSSCIEKSVVSAANLSFMLQHSDSQKLGTPAINQMVDAEGFHNLLDRSSLGESMCRVSKPESHRNHDLSLKRNYRPTSNNRESSQVNSDAKIVSGPKAPGAVPGGRGRKYGYAVKEPNIRSTSSVDHSNKDSRGLQRRSRRNIRRTEFRVRENVEKNHIQDESFSHSEQNEKPYSNGTAREIPLRNPNRREGDKSFRANEAIDLSAGPSTCANYYSKTERSTHKAPSYERSHSGNKKSRAGGAIPEGDVNASSQAAVARVVRQQGIEIPVDADGFIEVRSKRQIMSVRRELREKENRSKMRIAKAPRKQHQVSLHSSSSPNLNKGTVSLAEPAKKASLDSVMAVESRVIDPAESSVALKGDKASMTPIGQPLVNAESHTNYYAKKPIHSQPSSDAVNSGKLVTSLSEENNKTMPISTPFNIGTWDNSQLNQQVMPLTQTQLEEAMKPGKFEQAGSGFSLEPNNALSPTLGSEKAFPSSASPINSLLAGEKIQFGAVTSPTVLPPVSRTITSGLGPPGSSRPDMKIDRNLPGDSNSTAILFDKETSTTKEPSPNSDDVEAEAEAEAAASAVAVAAISSDEIVGSGADATTASASDNKSFGNKNLAGLASGDVFDHTEAIAGQAGQSSTDEPLSVALPADLSVDTPPMSLWHPLPSPQASGPMLSQFPGAQPSHFSCFEMNTMLGGQIFAFGPSDECAGSQGQQPQRSNALPSAPLGAWPQCHSGVESFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFSQMGLGFMGTTYIPGDKQPDWKQNQGPPVVGVSQSDPNNQNMVPGQVSSPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQSSTDIQMQPCWPHMPVAPLHTVPLSVPLQQHPMDGTAAAQFVHNIQVDNKASSNNRFQEPSASVVPADNSKNIPNASAAQFTDDLGLVEQPASTSSNAQTVQPSFARAGMISNEVPNSAKVMGRSSNTPNVNPGIATGVSNSNGSQVASMPSKPHQSSSSSSGQQYQHQVNNQDRRSRVTQKTGAVNEWQRRSGYQGRNQNSGSDKNLGTGRMKQIYVAKSSSASGHAPSG >KN538811.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538811.1:49723:55578:-1 gene:KN538811.1_FG037 transcript:KN538811.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAEDLPPAITKLGRHFRLTEAHIWDGWYAAGADVSHRSWRSDDIDSGLVVYKQFENLQLCRAVVKQIKSKTSQPNRQMKKKNAPNKVKKNGRRVSYEAANTLIDDDSRTCTGTKETESIVQLMACVEQTNPCSSSRITVGYNEVCQGDIEKMDKDIVYANEQEESGDLCSAKVLSSSKAEDNYEHETCQFHANMNNPVKADSPVRENETAEVVLQLNKEMLGQNSVGNESRFSSAEICMEGGLSTIKDQLSGETPSTSHDNKDVDHETCLSSAEPSPVDNNPAQKSDSSFYFEYGDWRVLWDPFYSRYYFYNILTQESTWYPPHGLEDFASHSNTCIPEDLDEFGSQNKSTPAQEHNQAGGDKHLDEQGQACYSELSNLSDIPDGERINQCMVTFTDEARHTDNIQNDSSMREISEMNQEIGRTKKKKRVRRSKSYHSCQDLAGNISNDIAKYWAQRYSLFSLFDSGIKMDEEGWFSVTPELIAKHHASRVGAGIVIDCFTGVGGNAIHFANKCRHVIAIDIDPQKIDCAQHNATVYGVHDHIDFVRGDFIHVASRLKGETVFMSPPWGGPDYAKVDVYDIKTMLKPCDGYSLFKLGTSIASRVVMFLPRNIDQNQLADMCLSVDPPWAVEVEKNFLNGKLKAITAYFEQQDGSNVQDASDTNPQNPEYHA >AMDW01018830.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018830.1:12:281:-1 gene:AMDW01018830.1_FG001 transcript:AMDW01018830.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RAKLAKVREEGAFKPWDDALDGIAEEETRKLHKYLSDKIEAARARMEAMGLQLGDVDDNGVNGDDGSGLDLQQHVPPSASDAKEFESVPV >KN541253.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541253.1:11744:12912:-1 gene:KN541253.1_FG001 transcript:KN541253.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTFPLPPLAFFGCLQRRADSSRSRHETKFRHEVDPGVLDRRRCAPKLVRLPCRSTCSTSAVEPVAAEERPLAPSATEAPPQEGSRTPMVAPPMTPMRPVWQRRILMGMRCELPRFSGLILYDEHGRPIRGTTPGRSHPQIFDRFRAQWKKRNAKAATTLRDLL >KN541253.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541253.1:19182:25026:-1 gene:KN541253.1_FG002 transcript:KN541253.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53520) UniProtKB/Swiss-Prot;Acc:Q9C8L2] MAHADAKCQEKHKSPLEFFTTSLGGCLGSPGGKSATGCKVGDAFVIEDTTNVKFPREIAVPGYTEPLVILGTVCNLNFSSLLGYREKFFLKIYAAAFYVDCSIGVDTMLWREKVGIETFDASSVFDSIFKAPVVKSLSIILVRDVDGKTFVKALDDIIARQIKKPSAEEEQSLSTFQKTFLGRSLKQGTTVYLTWLEPSKLLRIDAIFHALTYLPSANSLTSQVFLLICDNKISIAGNQDPCQVDAEITSATVNYALYDGFLGSSPVSPTLRSSTALLLEAILTK >AMDW01012276.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012276.1:26:235:1 gene:AMDW01012276.1_FG001 transcript:AMDW01012276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELK >AMDW01039829.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039829.1:312:884:1 gene:AMDW01039829.1_FG001 transcript:AMDW01039829.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLYDRATGRSRGFAFVTMSTVEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEMFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGTVNATFIPLFSFLLDTLHQKLS >AMDW01040656.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040656.1:247:574:-1 gene:AMDW01040656.1_FG001 transcript:AMDW01040656.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPARAPAITKFLKPYVLKMHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMSRDQTYHGKVRAVIDSLRAA >AMDW01040689.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040689.1:15:1851:-1 gene:AMDW01040689.1_FG001 transcript:AMDW01040689.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILTTTKLVSTTGLQVPGHYSFRFSDQSILSLIYDDANVSGVYWPDPDYLYYENNRNLYNSTRIGSLDDYGDFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTISWIAQPQTCMTHGLCGPYGICHYSPTPRCSCPPGYKMRNPGNWTQGCKPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDHLNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFFVLRKEMRSSQVWVAEEGYKVMTSHFRMYSHRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTQNREEFQDELHVISRINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKITDFGLAKLLSRSGSNQNVSRARGTI >KN541821.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541821.1:9706:9993:-1 gene:KN541821.1_FG001 transcript:KN541821.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRLHGHLEYYPPPSPDRSDGTSMTSSYSSAQDLQELVNGHGKEDEEEEKNSPETEDGGCSPNPIDMQAEEFIAKFYEQFRLQKSDSFNNRTD >KN541821.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541821.1:14896:15150:-1 gene:KN541821.1_FG002 transcript:KN541821.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGDGRLDEQVRHGPEPSEERLDGVAQGLEAVGDGLEREEEEVDEAGEEASDELPQAVEAEAHADGGGVGGGAMQEELPSRC >KN540701.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540701.1:6420:12959:-1 gene:KN540701.1_FG001 transcript:KN540701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGNGLFDVLREQYGAGFHSFIKEKTYALPGDVTHENFGLESYDILQLSQKVDIIVNGAATTNFMERYDVALATNTTGIVHLCQFAKQCDNLKMVLHVSTAYVAGEQAGQIFEKPFQMGTALRLDYQLDIEAELQLVDKIKSELGINSDSKLEKITMRKLGLERTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKQAQVIYHVTSSHQNPLPLSLIEESLYKYFHKNPRTSKDGKTIQNEKILTFNRLVYFQAYMILRYKVPLEMMRAANVLLGGIYTKNYYELNRGYNILMTVAKLYAPYVFFKGCFDDTNLRKLWKATAMDQNDDTSIFNFDPKCINWSSYLVNTHIPAAIKYANNQKAKARSA >KN540701.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540701.1:30574:42344:1 gene:KN540701.1_FG002 transcript:KN540701.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAYASSNKQRFMNQYFLLIACLQLWSSITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANEREVLVIKAQDIHVGNIVWLYQNDEIPCDLVLIGTSDPQGICYVETAALDGETDLKTRIVPSICANLSPDQLGRVKGVVECSNPDNDIRRFDANMRLFPPIIDSEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNQTKSGMSRGTAEPKLTAADAMIDKLTVAIFMFQIVVVLVLGFAGNIWKKNQGLKACSFLKALLLYFIILNCYGLHQWYLLYPVEGPWYDFLIIPLRFELLCSIMIPISVKVTLDLSKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDKTGTLTENRMIFRRCCISDILYGENNEDALKDARLLDAVSRNDPDIVKFLMVMALCNTVVPIKSNDGTITYQAQSQDEEALVTAASKLNMVLVALPYLRLIFAFISLFSISTEISFNGSKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQAQQIRTYLETVEMYSQLGLRTLCLGWRELEEDEYKNWSKTFQDASCSLENRERKIAEVCHHLEQDLQILGVSAIEDRLQDSILVSLSEPNGQLLSINGKTEHDVLRSLERALSTMKSMSVTKDCAFVLDGWALEIILKHSKESFTKLAMLSRTAICCRMTPLQKAQLVGLLKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILLPVTTIIFDKDISEETVLQYPQILLYSQSGRLLNPTTFAGWFGRSVYHALVVFLTTICAYSDEKSEIEELSMVALSGCIWLQAFVVTLDTNSFTYPQIILIWGNFVAFYMINLIVSAVPTLQMYTIMFRLCSQPSYWITMAPNLTLPPTIW >KN539951.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539951.1:25792:30782:1 gene:KN539951.1_FG001 transcript:KN539951.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEAGMVAAATDQGNSTRSQDDAATLIPNSGNLGSSNRSTKTARFKDDDELVEITLDVQRDSVAIQEVRGVDEGGSGHGTGFDGLPLVSPSSKSGRLTSKLRQVTNGLKMKSSSKKAPSPQAQQSAKRVRKRLDRTKSSAAVALKGLQFVTAKVGNDGWAAVEKRFNQLQVDGMDGSDEFAVQMFDSLARKRGIVKQVLTKDELKDFYEQLTDQGFDNRLRTFFDMVDKNADGRLTAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPTNLGYIEMEDLEALLLQSPSEAAARSTTTHSSKLSKALSMKLASNKEMSPVRHYWQQFMYFLEENWKRSWVMTLWISICIALFIWKFIQYRNRAVFGIMGYCVTTAKGAAETLKFNMALVLLPVCRNTITWIRSKTQVGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAQYELMKPFFGEKRPPNYWWFVKGTEGWTGVVMVVLMAIAFTLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLFVHGTCLYLSRKWYKKTTWMYLAVPVVLYVSERILRLFRSHDAVGIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFIKCTAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTEGESGLLRADLSKGITDEKARFPKLLVDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQGEGSVGTTEPESSSKAKKKPFMTKRAYFYWVTREEGSFEWFRGVMNEVSEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKKVAVSHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTRFDFHKENF >KN539951.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539951.1:63786:67643:1 gene:KN539951.1_FG002 transcript:KN539951.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVTSMVIGPLVSMVKEKASSYLLEQYKVMEGMEEQHEILKRKLPAILDVISDAEEQASHRQGAKAWLEALKKVAYEANDIFDEFKYEALRREAKKNGHYRGLGMDAVKLFPTHNRIMFRYTMGKKLCRIVQIIEVLVAEMNAFGFKYQRQSLASKQWRQTDSIIDYSEKDIVERSRETEKQKIVRSLLENNDIMVLPIVGMGGLGKTTFAKLIYNEPQIKEHFQLNRWVCVSDEFDLSKIASKISMTTNEKDCDNVLQKLQQEVSGKRFLLVLDDVWNRDVDKWSKLKTCLQQGAAGSVILTTTRLAEVAQIMGTVQAHNLTTLDNRFLREIIERRAFYLQKEKPSELVDMVDKFVDRCVGSPLAARAVGSVLSNKTTPKEWNTLLSKSVIFDDDSGILPILKLSYDDLPSQMKLCFAFCAIFPKDYEIDVEMLVKLWMANDFIPSENGVGLEKVGNRIFNELARRSFFQDVDETSLFKMYRRDKLCQFRKTCKIHDLMHDIALYVMREECVTVMGRPNSIQLLKDSSRHLFSSYHRMNTLLDAFIEKRILPLRTVMFFGHLDGFPQHLLKYNSLLALCIPNFRGRPCLIRAKHLDHLRYLNLSHSWNMERLPEEISILYNLQTLDLSDCWSLRCLPKNMKYMTSLRHLYTQGCTDLECMPPELRKVTALQTLTYFVVGNSSDCSNVGEIHDLNLGGELELGKLENANEEQAIAANIKEKVDLTHLCFKWSNDIEKDPEHYQNVLGALRPHAKLQLLKVQSFKGTNFPTWMTDVCTFMNLTEIHLVDCPLCMEIPKFWKLPALEVLHLTGLNKLQSLCSGASDVIMCSAFQKLKKLKLQHLKSLKRWGTMEGKLGDEAIFPVLEDIHIKNCPELTVIPEAPKIGTLKLEENKPHLSLLVVGSRYMSSLSKMELSIDDIEAALIPDQSSVETLDDKDIWNSEASVTEMKLDGCNMFFPTTPSKPTVGLWKWCKYLQKLEIKSCDVLIHWPQREFQSLELLNELTVESCKNLKGIMPVDGEPIQGIGQLLPRLKSLGIRNCQELTEIFNLPWSLKTIDIYRCPRLKSIYGKQEDSESGSAHAEQLTTLLSKRMPDPSSSAAAAATEHLLPCLERLNIGHCDSFTKVPDLPPSLQILHMYNCPNVRFLSGKLDALDSLYISDCKNLRSLGPCLGNLPSLTSLSIYRCKSLASLPDGPGAYSSLETLEIKYCPAMKSLPGRLQQRLDSLEEKDLSNMRSSDPREGIHSAFHFSFLRAVDPLCPGGMYRKSGILLNKLFFACCVLLN >KN539951.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539951.1:61026:62369:1 gene:KN539951.1_FG003 transcript:KN539951.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRLEGGLLLLATVCCTAGDGMCAARQREPAAITLQSSVRIPVRFSSSRRQENLAEPAYRVDVLEDIHARVRCQTANGGARWNGSDSSSISTENVSPCGLFTMRDETDRSAFAACFSIMAWQGDPGGASWIGYVIVDYLAETGKV >KN539951.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539951.1:44368:48932:1 gene:KN539951.1_FG004 transcript:KN539951.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTSMVIGPLVSMVKEKASSYLLDKYKVMEGMEEQHEILKRKLPAILDVITDAEEQASHREGAKAWLEALKKVAYEANDIFDEFKYEALRREAKKNGHYRELGMNAVKLFPTHNCIVFRYRMGNKLRRIVQFIEVLVAEMNAFGFKYQRQALASKQWRQTDSIIDYSEKDIVERSRAAEKQKIVKALLENDDIMVLPIVGMGGLGKTTFAKLIYNEPKIQENFQLKRWVCVSDEFDLGEIASKITMTTNDKDCDKALQKLKQEVCGKRYLLVLDDVWNRDADKWAKLKTCLVQGGAGSAILTTTRLTEVARTMGSVQAHNLTTLEKSFLREIIERRAFNLQKEKPSELVDMVDKFVDRCVGSPLAARALSSVLSNRTTLEEWSTLLRKSVICDDDSEILPILKLSYEDLPSQMKQCFAFCAVFPKDYEIDVEMLVKLWMANDFIPSKDGVCLEKIGHSIFNELARRSFFQDVEETLMSKYSLEYNLCRFRKTCKIHDLMHDIALHVMREECITVTGTPYSTRLKDSSRHLFLSYDRRNTLLDAFFEKRTVPLQTVLFNTPLDLPQHLLKYSSLRALYFEGTNLIHPKNLHHLRYLNLACSQNLERLPEEISILYNLQTLDLSGCLLLRCLPKNMKYMTSLRHLYTLGCEELECMPPELRKLTALQTLTYFVVGNVSDSSNIGELQKLKLGGELELCNLENSNEEQANVANIEEKVDLTHLSFKWSSDIKKEPEHYENVLGALRPPAKLQLLKVQSYKGAKFPAWMTDNSTLRHLTELYLVDCPLCMEFPEFWQLHALQVLYLIGLDNLQCLCSGASNIMVSSAFGNLKKLKLQDLKSLNRWSTMEGDELTFPLLEDIHVKNCPKLTFLPKAPILRILKLKENSPHLSQSVLVSGYMSSLCQIKLSICADEAILLPVNEAEACVTKLKLFGCNMLFTTSQSRTTLGLWQCFRNLEKLELKSCDVLLFWPLREFHSLESLKELIVKSCNNLKVKPVDGEPAQGQLLPHLTSLQIEDCQDLTELFNLPLSLKSIDIDGCPKLKSVWDEQEDTELGTNTQDPSPSARVHSLPCLETFYINNCDNLPGFRDLPSSLQSLALFNCPKVQFLSGKLDALTCLAISGCETLRSLESCLGDLPSLTTLMIERCKSLTSLPDGPRAYSSLESLEIKYCPAMKSLPGRLQQRLDSLEEKDLSNMRSSDPREGSIGYGKHSSYQELVLNCWNQNLGNMSFVGTEICDPTLLMRP >KN540172.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540172.1:10359:12631:-1 gene:KN540172.1_FG001 transcript:KN540172.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWDLPGCTKFKLRGIQNEHLLQQIFEDLRNTGDDHWNPTSGNLPQSSTQPSSTINVDTEAVNEGDNDDSNDEDFSPPPKRGKAAAGKEAKKPKTSGGHWFYEQMSRFVDNQEKSAASVESLVRREDTSGCLLVNLMEMRFFLKVMVLIYMKMMRFLSNQLFVIIKH >KN540172.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540172.1:47189:50186:-1 gene:KN540172.1_FG002 transcript:KN540172.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLPEVTEVARPNGRREKEDELTAGDCNSAKDILFPNPQVNVKRDYREILQTQDTHHAVRTLDKTIAKLETELSAARTLQESFLNGSPVSEGHKGSDSTGRRKYLMVIGINTAFSSRQRRDSIRNTWMPQGLFLHQSVWNFLCLFWILIIWSTNVQLTMGTGIKRRKLEEEKGIVIRFVIGHSAISGGIVERAIMAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHVKKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINKRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAVSFDWKCSGICDSVENMQWVHNRCGESEKSLWISSF >KN540172.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540172.1:41220:44740:1 gene:KN540172.1_FG003 transcript:KN540172.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRRAGSQRVRYRQLRSASFAADSGLYSFERSKKLLNSDTHQFCPTCFIQFSWEILDISGSDVSDIGLATVANISNNLWAIDISRCERITAAAVSEVICHCPSLEILRCGGCPRSESTARRSVYLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWPKIDDNSKEIISMECPRITVNPQPSPFDLRGHKVPAEALASVPLDHSIIADIDPKTWAVAAAPRRPTVPTNPNAPPEIPIAEKFRLAYVEREARLAPKRAKRERQQRRRAEREYLMNDINAKSVALAAQVSKYLRKS >KN540172.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540172.1:33288:39537:-1 gene:KN540172.1_FG004 transcript:KN540172.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESNRESPAAVAFSHNARLLGPHAAGAASSHAPFSSIKRLLLLAGRPTLLPRRGADLSRLPFPAQRQAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGPGGPTYVAFVDVGHCDTQVAVVAFDVSGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDKYKIDVTGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGMIRREEFEKLCAGLLERVVEPCKKAMEGSRIGFDRLHSVELVGSGSRVPAIARILAGFFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDAIPSSIGFCTSEGPISTLPSNALFQRGHPLPSVKVVTLHKNSKFKLDAFYVDENELPPGTSTKIGTFQIGPFQAHTEKSKVKVRIRLNLHGLVSVESAALIDDDQSDAHSADSMEVDSNGEMGQQGNKSRSEKLIQLPIVQSIHGAMSNQELLEAQEQESQLAYQDKLMERTKERRNALESYVYDTRNKLSERYRSFATDSEKEEISLSLQQTEDWLYEEGDDETEAVYNSKLEELKRLVDPIENRCKDEEVRGQATRDLLKFILDHKTAAKSLPTPEQEAVDSECTKAEQWLRERSQLQESLPKNVDPALWSHEIKKKEHELDMFYRNIVRHKGSPARADSSGGSDHMHTTDRD >KN540172.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540172.1:57531:61620:-1 gene:KN540172.1_FG005 transcript:KN540172.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding SLIHDPTVACFSGSRVLRMKKPHALAQAPLRGYHTAGSWFFVWYKLQDTQQHCHNKSAIKVSMRMCMLVCFSVNLVIFFPIKLSKLNECKDIFEALYSFIYDLRTYLKPHVILTTTMYWLLAVGEYFSSVSQWNSGLHNNAISSVIATDRARTQPFVFEKEVDHLVLISCSLFFTNINMRALAVVSGVLLAGGTLAYAHSARRQKRQEEYSHSDANT >AMDW01030589.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030589.1:2:364:1 gene:AMDW01030589.1_FG001 transcript:AMDW01030589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCTNNTPYLGYYRRKYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSANLNLIFYNCTAETAAAA >KN541552.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541552.1:3615:6377:1 gene:KN541552.1_FG001 transcript:KN541552.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRGRRAVRTGTGRRRRPGRRGSPGGGSFLRKLRPKEEPHIMRYSRTHIMVQTSNDEVYTSSGELIVADEIFAELKSITQNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQFDDLQNITLRNQASQRPAESVNGILLPFLLIKTSRKARVEIEISEDSKFARFDFNGAPFTMHDDVSILEAIRRNNKGRAGLSILP >KN541552.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541552.1:26226:26699:-1 gene:KN541552.1_FG002 transcript:KN541552.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding AVTAVVHVVNVRAAREAGLVDRRFAPAEATTLWADLASYAGLVLDGFLLPQVVFNAASGSRSRVRAISPWFYAGGTVIRAAPHAYDAFRAVSYAATHVYASSRDDFFGVAWDIVVPLGAALLAFVLFLQQRLGGDLLLRSRNRRRPCDYQLVSTFQR >KN542296.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542296.1:5987:8568:1 gene:KN542296.1_FG001 transcript:KN542296.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTVMPLRVVMVLDLLSLMGAYAAGTCRDRTTTTFTVVLVSLVLVYVALQMVLASLAGGDDEDEHVVTKERSRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLAYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSGFWQDDSDGHRAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFTLVLIFVAILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGSENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDVAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASRHVSEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHNTGGNNPQIAPDQLDAESQEPGNGRRMYYPSQNVMQ >KN541552.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541552.1:11850:23968:1 gene:KN541552.1_FG003 transcript:KN541552.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two pore calcium channel protein 1 [Source:Projected from Arabidopsis thaliana (AT4G03560) UniProtKB/Swiss-Prot;Acc:Q94KI8] MKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRCINCSFCDRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDVWVPAYKSSRWSSLFFIVYVLLGVYFLTNLILAVIYDSFKEQLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCERLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEGQNKFDFVLTWTIFIGETLTFAFPSKLSFLSNGECYLLFNLNDYPSGMVTLFNLLVMGNWQAWMESYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQKEFGVTSFAYTNCGL >KN541552.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541552.1:7548:9235:-1 gene:KN541552.1_FG004 transcript:KN541552.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTLFSLSSLSASLPSPARPAPASLSLRAVSPRARLSASYAAFPIGGLGAWAAATPASSGRWRRRGLEVVCEAAKTGTATGRRPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVLKALEKLRKKADATPEDIIQIEKWISEAYKAIDKTVKVGAMHRNTGNHRKSLLARRKKAIEILRGWYVPNAEPAATS >KN542296.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542296.1:12242:15024:1 gene:KN542296.1_FG002 transcript:KN542296.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLATLVATVTYAAGLNLPGGFWQDTQDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKENSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLKKKDKNHGKQNKDSGEPKDTEKQTWYPDEHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGGLYGSIVVVLFGLVGAYAAGSCREPDDTVYVICLIAAILAYIFLQVAVTQFLKKRIKNDGHTERSISSVKSLIRNEDGSRNTKRNVAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDRDGHKSGDPILLTTNPARYKVFFYSNSVAFVTSLVAIIMVQSKHVLKNHTLEAAMLLDLFALITAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNEHHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHHHRAGYPILLENYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGNKTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNSDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENGNGHLAGHYILHDINKRRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMEWETSRNIIALVIPVLVYMAACTALSFFRK >KN542296.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542296.1:9932:11033:-1 gene:KN542296.1_FG003 transcript:KN542296.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAYLIVDEVQVNLLQIGSCLVTCNQHQPWHLERLTFTQMNLEGDKMTYSGIFHVTVRFYGVPESLRLCSLVDKLIQYTATFSDSLRYKQDKNIIGLVVFGVTKKPPDTILIANSTYKINKICAFRRLLFHNCVLL >AMDW01032685.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032685.1:92:472:-1 gene:AMDW01032685.1_FG001 transcript:AMDW01032685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAKSAGILCSYDPNVRLPLWPSEDAARAGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGSVPGFSVNTVDTTGAGDAFVGSLLVNVAKDDSIFH >KN540652.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540652.1:2140:3483:1 gene:KN540652.1_FG001 transcript:KN540652.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDIPDDVIRCNILPWLPFKLATRFKVVSKKYYGFLTNNATLSARQARLCPLCPALIHMDLPDRHLGAYTRKVEAIDVLSSMPDIVGIPSGFDFLGCCLEDGIISLLASTNGLVCILYTPIYSISHSRAPILFIANPATQKAQPIPGTAKHITRFDKGIGLAFDLVDNFQENTVSKFKIVKAVGARTIEDNGTKFCFATFSSNTGCWAMSSTMDYVDTKINCNNKKVAYGSGIMYWDYQEIVLWFDIATDIAGIVKMPWIQLDVEVKGPVHHDIDTSADGMLVCTTIDKGGLIVYHLVGVNTDFNWEIKHERRWIDIMKDSISAFKFCHSMQLRSGLQPERLTERRLVRPIGVVDGRFVYIGVRQEWKTKDKILCYNMVTGKTYDTGKELGNRYSKNPFYVYRNSMANSPHIAVPVQGKICEGSTGGCICAMYTGEG >KN540652.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540652.1:43348:44691:1 gene:KN540652.1_FG002 transcript:KN540652.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDIPDDVIRCNILPRLPFKLATRLKVISKKYYGILTNNATLSARQARLCPPCPALIHMDLPDRHLGAYTSKVEAIDVLSSTPDIVGIPSGFDFLGCCLENGILSLLASTNGLVCILYTPTNFISHSRAPTLFIANPATQKAQSIPGTTKHITRFDKGIGLAFDPVDNFQENTVSKFMIVKAVGARTIEDNGTKFCFATFSSNTGCWAMSSTTVYVDTKINCNNKKVAYGSGIMYWDYQEIVLWFDIATDMAGIVKMPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGGLIVYHLVGVNTDFNWEIKHERRWIDMMKDSISAFGFCHSMQLRSGLQPERLTERRLVRPIGMVDGRFVYIGVRQEWKTKDKILCYNMVTGKTYDTGNELGNRYSKNPFYVYRNSMANIPHIAVPVQGKICEGSTGGCICAMYTGEG >KN540443.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540443.1:30434:32663:-1 gene:KN540443.1_FG001 transcript:KN540443.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVLVPDVCGRHHRHGCPPFTCGNLSSVSSPFRRRGDPSECGVLSYELNCADDKATIQIDNGTYYVTGINYTDSTFLIVDADISDSPSSCPLPRWNPLREPSFQRKSHRTIELAPAIWTRIASFVNCSQEIKNSSWIMYMPVACLSTSRSFVYVLTGRQSALIQSLEPSCGYLAMTPLGGRFSVAPVNESLSLSYADVVKSMRKGFRVQFPFRDRTVGGSFKQCLMDSIPVSTSTGKTDWFGTILLADTNFLLCIPVHQIPSRYRMPLGIMLDAITFALQIQKMIAVYWFQLIKLVYTALCRFLLMPLAVLVFLAHKYWKTRVTIDAVEKFLRMQQMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGDLHVAVKMLNGNSNCNGEEFISEVATIGMIHHVNVVRLVGFCSEEMRRALVYEHMPRGSLDKYIFLSERSFSWDKINEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPDRALRGTVGYMAPEMVSRSFGVISGKSDVYSFGMLLLEMAGGRRNVDPNADSSKSKAYYPSWVYDQLIADQQFDEISNVANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFCDGDGMPPPQVMDSYFHSSELTAISKEDDGIAE >KN540443.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540443.1:18577:20872:-1 gene:KN540443.1_FG002 transcript:KN540443.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGAYAPYAVQALATGFLFVVASLVLDHVEGRHHECPPFSCGHLKDVSAPFRRAADPPGCGSKSYELVCSDTNATILIDNATYHVKEIDYDYRRFWVVDTNIAGSTCPLPRWNHLLDQRIEVDLAPAAYIHANFVRCSREVKDNGIYRPVTCASSNYSFIYVLLSIDSYNPGYVESLEPSCGYLAMTPLGDWSTTVPRNASYEDVKKFMREGFAIRFAIRAGSINECLMDSISKLRNGIEPRSSTGTAISDRLIVISIIDLHFWYCLIGDPSGPLIAFGMWIVKCIAVLCRFVLVPLAILTFLAHKYWKTRLAIDAVEKFLQMQQVLGLTRYAYTDLTAVTSHFRDKLGQGGYGSVYKGVLLSGDVHVAVKMLNGASTYDGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPQGSLDKYIFSSERSFSWDKLNEIAIGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRNKSFVSDRALRGTVGYIAPEMVSRSFGVISSKCDVYSFGMLLLEMAGGRRNADPNTNPNASQSYYPSWVYGQLTGEQVGETSGAAFMHELQKKLCLVGLWCIQMKSHDRPTMSETIEMLEGDVNALQVPPRPFFCDGDFMPNVMDSYLHSSELTAISEDDGAIEFASN >KN540443.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540443.1:33277:36479:1 gene:KN540443.1_FG003 transcript:KN540443.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNLPITVLLLLAPLKYKSDVATASDDEDFFKTCSSHRCSKHGPEIRYPFRLSTQPPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHVIMNAIPLVDSSPHCLLHKFISVNQSTAVYRPHTLKAASLVGCSRDSIDTNQYNSIVGPTSCLSLANNASQFWYLAYPNEYMSILPLGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTGETVFTWYSSNITSICQQCEHEGPRVTIIAVTSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELLNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRQALIYEFMPNESLEKYIFPHGSNISRELLVPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTEPTVENQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMIMRPHRHGLDFGDQQSNGAWPAFPIKRHHHGLVPE >KN540443.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540443.1:48872:52013:1 gene:KN540443.1_FG004 transcript:KN540443.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFLAIYLLLAFLSHGTCSYMATTASGWDDHDFFRHCPPSRCSKDGPEIRFPHRLESSNTSSACGASCARLACSGQDTILHHPILGPCKVTSIDYKEAVINIIHLLPFPCPLQKLMVDSLPPDDYHGCNLYRRIPAKIVSCSKEFTPSGTSPVPYELDHLQNAAENIFGPISCLSGTGQYFSYLVHAQLYMYLLPLDCRIVSRGSIPIPGSDSCSGPTFKEKAEKFINFAEATVSWGSFQDGVHDNCMACERQKQLCAFSSRRNQTFCTSHGNHHATSSVAAFVVFSFIMATALYLSLKSRYDEEVHLKVEMFLRTYGTSKPTRYSFSDVKKITRRFKEQLGQGGFGSVYKGRLPNGVPVAVKMIEHSTGNGEEFINEVATIGQIHHINIVRLLGFCSEGTRHILIYEFMPNESLEKCIFLHDPNTSQELLAPKEMLDVALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSIENQNEVYFPEWIYEKVITEQDFVLSREMTEEDRLKVRQMALVALWCIQWNPRNRPTMTKVVNMLTGRLQNIEVPPKPFVSYESHVVP >KN540443.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540443.1:12433:16268:1 gene:KN540443.1_FG005 transcript:KN540443.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKLLFTVLILSLLNYESYSATAWDDEDFFKTCSSHRCSKHGPEIRFPFRLSTQPPSCGAPGMHLSCSGQDTILNHPALGPCKVTEISYSYATMNVIPPVDSSPHCPLQKLMSKNQSTSVYKPQGPQLQGATLVVCSRDPIPANQYDIVGPISCLGHNNQFWYLADPYTYMSVLPLDCTVISKGIPMPYSYDKKGRINWDTSNFKETATRVINDGLRVTLVAATSSVATFVILSLVVATAFYISLKSRYNKEIHLKVEMFLKTYGTSKPMRYTFSDVKKITRRFKNKLGHGGFGSVYKGELPNGVPVAVKMLENSLGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSNGSNISREFLVPEKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRSFGAISYKSDVYSFGMLVLEMVSGRRNTDPTIENQNEFYFPEWIYERVINGQDLVLNMETTQGEKETVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLAT >KN540443.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540443.1:44308:46690:-1 gene:KN540443.1_FG006 transcript:KN540443.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALSTVLYVLALVVADADHHVVRVQGRRHQCQPFSCGHLQNVSYPFRRRGDPRSRRCGFRSYELDCSSDDGKATIRINTGKYYVSSINYTASIFWVVDANLQDDANSSCPLPRSDQLPYVGLGIPGSHDSWDLGLDDMITWVGFVNCSQELITNSSSKYLPVYHPVDCLTTALSFVYYMLPFFGSLVPSVEDIEPSCGYLAMTPIGGGWNSVNLPEYTSYAEVVKSMRGGFAVKFPTDGGPWLNWFGLIKKCLNKSVSLADSHDNPLPRVGIKDRIIDILSIDLRFWGCMIGLSREYYLDMRLSISDMIRGVDHSLYHKLFILYTLCLVKWIAVLCRFVFAPLAVMIFLTRKYWKTRIAIDAVEKFLRMQDMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVFLPGDVHVAIKMLDGNSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNKYIFSSERSFSWDKLNEIALGIARGINYLHKGCEMQILHFDIKPDNILLDDNFVPKVADFGLAKLYPREKSFVSDRALRGTVGYMAPEMVSRSFGVISDKSDVYSFGMLLLEMAGGRRNADPNADSNASRAYYPAWVYDQLIADQQVDEISNVADMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGDVDALPVPLRPFFCDGDGIGNGMPPLQVMDSYFHSSELTAISEEDDGISELANS >KN540443.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540443.1:388:11509:-1 gene:KN540443.1_FG007 transcript:KN540443.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIPGSFHRSTALRVISVLFVLAVVVPDAGGRHQHHRHDCLPFTCGRLSNVSSPFRRRGDPSECGFASYELTCTDDKATIQIYEGTYSVTGINYSDSTFWVVDANVSDSPNTLPQRIVPPYHYWSNYNPRHSFHYFELEPASSWWSAFVNCSQEINNKMYSPVACLNNASRSFLYVLIYSINSFFYIDDLEPSCGHLAITPLRDNDTTVLEENPSCEDVAKIIRGGFAVRFPYTIDAVESFKRCRADAFRHFRHEPTSTAGIKSTMADTATFDIRYMECVIFVARLSAVVQWFVQLTTIGMWLLKWIAVIFRFVGAPLVIMTFLAHKYWKKRITIDAVDKFLRMQLMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGNIHVAVKILANYNCNGEEFISEVSTIGRIHHVNVVRLVGFCAEETRRALVYEYMPNGSLEKYIFSPEKSFSWDKLNEIALGIARGINYLHRGCEMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMVGGRRNSKQDMSSSSQAYYPSWVYNQLVLALSMAWIGICKQ >KN540443.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540443.1:23501:25903:-1 gene:KN540443.1_FG008 transcript:KN540443.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGSFCCPIALQVFISLLCVLAVLVPDADGRRHHRPACPPFTCGHLSEVSPPFRRLGDPPKCGVASYELSCTNDKAATIQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYNQMLYERHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNHIGSLEPSCGYLAMTPMGAGDRPLVLENASYADVVKLMRGGFAIRFPYTSYFLVYSSKIKRCIAQSFQNIVQEEQPTSFRIFYTVIFDYHFWGCLLPHPVVQLNVGVIPLVMWTLKWIAVFCRFILAPLVILTFLARKFWKIRITTYAVEKFLRMQLVIGPTRYAYTDIIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKVLGNSNCNGEEFISEVSTIGRIHHINVVHLVGFCSEEIRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLCPRDQSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPSWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLHCIQMKSHHRPTMSEVIEMLEGGVVGLQMPPRPFFCDDEPVSLAVNSHQFSSELSEILAEDE >KN540443.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540443.1:26757:27671:1 gene:KN540443.1_FG009 transcript:KN540443.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPNPHGTLSPKLKKIARRFKDKLDYGGFGSVYKGELPNRVLVAVKMLENSIGEGEEFINEVATIGRIHHANIVRLLGFCSDGTRWALIYEFMPNESLEKYIFQIDSNVSRQFLIPKKMLDISLGVARGMEYLHQGCNQRILHFDIKSCNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGVLVLEMVSGRRNTDPTVENQNEFYFPEWIYERVINGQELVLTMETTQGEKEMVRQLAIVALWRIQCNPKKTALNDKGGKHVNMEVVESASAP >KN538897.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538897.1:114244:117408:-1 gene:KN538897.1_FG001 transcript:KN538897.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDASAPVAAAEGRKPQKYLQEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTKDPYIIIKANELIKLLSRSVPAPQAIKILNDEMSCAIIKIGRIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTAAAMGYWKGLKQVVRVVEDCIKNVKHPVYHIKELLIKRELAKNPALAHESWDKFLPKFKKKNVKQKKPLTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKEWQEKLEKQSQKAEENKRKREAAFVHPNEDIATPYESAKSIINNDEIADMAKSLKKKAKEFRKNEEQENVRLESYVASNEGSRPKKKHKLSKYSQPE >KN538897.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538897.1:179668:183407:1 gene:KN538897.1_FG002 transcript:KN538897.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWTALVLVISVLVLLGVRIAAEPAEAVDICLRVMKLTPHDRGQATVFFWWYFVFGCSGYTLWLEYFSVECNFCGSTWPIRATAWSGVILNASLAFWAVKNNFKEQLEFRYSSAIYIVGVMAIVGATLKELNMMVNLGWMALGCCVLSQFCRINSTDYTRFNLISFLASLIGCVGSFLWLADHPNFHIAMQFKVVYINASFQETIEILSLFSGCLRGLELFYWSAPFIARLYQQFLGLVKTSRLLPSWDFVLTPKGDVVASAVLNKADLCCVFWHFKGPYPFGGEQEPKEGILVDDKHDNWELPSYR >KN538897.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538897.1:41482:47505:-1 gene:KN538897.1_FG003 transcript:KN538897.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPKKCYLRGLRKLTLYLNHNDGAIAQVVSCLLNSSPNLKDLEIKNDFFDDRRASTDVPDFWEKNMGAAECVQNHLSTVTFYLNTVQGCQRLPKKERSWKERTLAQSGGNLCQQQNHFPRSPLPGRPVPHSRTSRGHASDLCPPWSKLTETKKARSSESSPQAHADDVDRLSALDDATLHAILYCMPLRDAAATTALSRRWRRVFPTLPCLYIDSATFNGRDYVADSLGDDYCEDPDRWVEALDCIVDSRAAPVAVFDVEADVMFAEEGWFHDVIRVLCRSGGLLKLRIWNTRLTSCYLLPSPVYACETLVSLELFSCRLRVPDRLTGLRALQSLVLQDVVATDGDLQRMVSRCEAMKRLVMEDIRKARNIVIDAPSLEYLQIHSYRPLRVSVKAPKLRLARLSLSYGCAELSWSFHDNEETDGNYSIAEIQEMFDFVAMEKKEHKRTDEIRNLVTFFCGIGAAKELRLDLPREYSKVSMTVCVSLNRFKELNTNKVL >KN538897.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538897.1:6283:7013:1 gene:KN538897.1_FG004 transcript:KN538897.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADKAAAPAPAAAASVAETSDDAIQEESPAPAPSGKPGSEAAAKPEVEVQLFRRGRGPVAVFRSPLGGYTQDQLEVGDILEQHGLKSVFVFHPASRTRGVAIRFHPRNGRSLLTYVAGSTIFLDGEPKDSLLKPVTKVMIGVAAMTAVAAVLLKEGKMPEWLKESKLGNLNFPPWVLACMVIVFMRLRKRTKDAMKKFGWSS >KN538897.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538897.1:149983:151477:1 gene:KN538897.1_FG005 transcript:KN538897.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTVTRSSDALFYSAPRYVTHIDDQAIEALTKYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNLVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGDFESPEAIDISPNPGRTDPMYVVQSRKRIA >KN538897.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538897.1:187671:188246:1 gene:KN538897.1_FG006 transcript:KN538897.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPSNYFLLSFWFFLGFWIIYQAFGAFGAVVNIDKPIESSIELVGVSGLVPVLALAPGPGVASRPAFNLLVRIDNDHILDRHREGGSVKVSYAGVPLAYGSIPSFRLGAREALTVAGDATSEAAGVPEDLLRLMAAEQRMGVAQLEIGMQLGGPGRESYSWSVDFLFRWAASWGRSLLLMTICRLISV >KN538897.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538897.1:4076:5902:1 gene:KN538897.1_FG007 transcript:KN538897.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPRLPYRHLPQHLAGLLKTRPLHDLLSDASTSRAARHLFDSVPRPTPALCGTLISALSRLSSHRELLDAFSSLHRRGSDVPPGCVPLVVKSCAILAASRQGKQVHCHAIVRGLLEDVFVQTALVDFYAKNGDMDCAVEVFDEMPVKDPIPMNCLITGYSKSGDVVKARRLFDGMVRRTSASWNSMIACYAHGGEYQEALRLFRRMLSEGARPNAITIATMFSICAKTGDLETGKWARSLIAEQDLQNMIVHTALMEMYVKCRAIDEARREFDRMQQRDVVAWSTMIAGYAQNGRPHESLELFERMKATSCKPNEVTLVGVLSACAQLGSDELGGQIGSHVESQSLPLTSYLGSALIDMYTKCGHVGRARSVFNRMEHKVVITWNSMMRGLALNGFAQDAITLYKEMTEEDVQPNEITFVALLTACTHAGLVDQGMSFFKEMKTIHHVSPQVEHCACIVDLLCKSGRLREAYKFICDMEVEPNAVIWSTLLSACRAHADVELAKLAASKLLVLEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNVQKLSAYSWIELDGEVHKFLVQDTYHPKSAEIYTVVDGMGLHLDDIDSDPDLFVPEHY >KN538897.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538897.1:152127:152705:1 gene:KN538897.1_FG008 transcript:KN538897.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPSNYFLLSFWFFLGFWIIYQAFGAFSAVVNIDKPIESSIELVGVSGLVPVLADLAPGPGVASLPAFNLLVRIDNDHILDRHREGGSVKVSYAGVPLAYGSIPSFRLGARKALTVAVDATSEAAGVPEDLLRLMAAEQRMGVAQLEIGMQLGGPGRESYSWSVDFLFRWAASWGRSLLLMTICRLISV >KN538897.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538897.1:128919:130124:-1 gene:KN538897.1_FG009 transcript:KN538897.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGFAPMLSVAVLLGTLAAFPAAVHSIGVCYGVVANNLPGPSEVVQLYRSKGIDSMRIYFADAAALNALSGSNIGLIMDVGNGNLSSLASSPSAAAGWVRDNVQAYPGVSFRYIAVGNEVQRSDTANILPAMRNVNSALVAAGLGNIKVSTSVRFDAFADTFPPSSGRFRDDYMTPIARFLATTGAPLLANVYPYFAYKDDQESGQKNIMLNYATFQPGTTVVDTGNRLTYTCLFDAMVDSIYAALEKAGTPSVNVVVSESGWPSAGGKVGASVNNAQTYNQGLINHVRGGTPKKRRALETYIFAKFDENGKPGDEIEKHFGLFNPNKSPSYSISF >KN538897.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538897.1:143539:145322:1 gene:KN538897.1_FG010 transcript:KN538897.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKVAPKSDAAPAVELLGFVMSAEEAERAAAVAGVETVEDLLPLLVPSAMRRARAPISRFPVGAVGLGASGRVYAGVNLEFRGLPLSHSVHAEQFLVVNAAAAGESALRAVAVSHMPCGHCRQFLQEIRGAGGIRIIVTSDAEDGCAPEWRTVASLLPRPFGPHDLLPKHVPLVLEPHDNPLGDPAAVANGFAHGDLEARLREAAEAAARAAHAPYSGCPSGFAVADGEGRVKFRVHANLELFAGPSCFLNEVYQGKQQPRHRKGWSMTG >KN538897.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538897.1:191540:192139:-1 gene:KN538897.1_FG011 transcript:KN538897.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALYGSKEVDPLLVLQTKVIILFYGMVTIVLVLGIPAAALLSNAAEKVKYTLDLAAVEGMDVAAAATAGAGGSTVISPAFNLTLRVENPRTFRPWCLDRGDVVVSYSGVALAWGRVPGFCVQKRSTAKLTVVPWGKNVHFSQELRERFVSELQEGTAKVYVEMKLHYYANFGMAAFAPSTGMVGISQELTLGGRDE >KN538897.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN538897.1:199310:199900:1 gene:KN538897.1_FG012 transcript:KN538897.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKDHEETDDSYGVVDVCKPTLGYKLAMALLLPFIYMLFFFSVFLVMRITYKASQAIDTAVIFNKPISSSVELVGVRGLDPSLAPGAAASPAFDLLLRLDNGDACGDQYREGGSVKVSYAGVPLAHGSTPGFRLGARSSATVAVNATSDGVGVPEELFRLMSAERRLGVAQLDIGLQLGWPGWESYYWSVDLDG >KN538897.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN538897.1:21715:39848:-1 gene:KN538897.1_FG013 transcript:KN538897.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69830) UniProtKB/Swiss-Prot;Acc:Q94A41] MSAAKRRRQRHPSTSASTVLSKTKIAVPRMLPKKCCLLGLQKLTLALDHNHEALARFVSCLLNSSPNLKDLEIMDPFDIRYSGHLAAEFWEKHITADCIQNHLSVVTFYMRESLFGGYPRIGLCQFLRRCPLMVKPDPRRRPGIYGFLEQLSAILVARLCNPICSGTRQRFSMDWSLSCSDGRDIADIPYLMVLCLDLDYNDEVLATLVSCLLNSSPNLKDLKIHVEGKAWVRVDAEPDGEGKCKVVIGCDVEGKWVLHWGVSYDGEQGREWDQPPSDMRPPGSVPIKDYAIETPLDTPHNSEGKTIHELQIKIDKGTSIAAINFVLKFYYHVSKGLEVYGWPIRFIKMLKVPKEEETGAWFQHKGQDFRIPLSGSSGGDLLGTEQDIDVRPGALGHLSNVLQKPEGPIAEPHKTVPDDKGSRTKHISGFYEEYPILKTVYVQNFVTVNVRENIGTNKHAVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPATKIFRQKALQTMLQGIVSFALLRLSELEFTTMAHAYVQKADGTGNSLSFLLDGEYSGLIFVVKLDEYTWLRNVENGFDFYIPLTRADADETHKADKQKADDKSSQTDGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEESVYIDDSSIVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGSKAKELSSMGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMEELKEAVKRFHEAGMKVLGDAVLNHRCAQFQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRSDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVHDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERSEYWRLSDEKGKPPGVLGWWPSRAVTFLENHDTGSTQGHWRFPFGMELQGYVYILTHPGTPAVFYDHIFSHLQPEIAKLISIRNRQKIHCRSKIKILKAEGNLYAAEIDERVTMKIGAGHFEPSGPTKWVVAAEGQGYKRYLHHVGAM >KN538897.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN538897.1:8874:10115:-1 gene:KN538897.1_FG014 transcript:KN538897.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g40405 [Source:Projected from Arabidopsis thaliana (AT5G40405) UniProtKB/Swiss-Prot;Acc:Q9FND7] MPQRDHVAWNAMIAGYVHTGRSREALRLFDEMRHAGAAVGEVTLVSALTACAQLGALERGKWVHSCAHSRGMRLSVTLGTTLIDMYSKCGAVAAAMEVFDSMGERNVYTWTSAVSGLAMNGMGRDCLALFKRMESTGVEPNGVTFVAVLRGCSMAGLVDEGRACFDSMKSSHGIDPWLEHYGCMVDLYGRAGRLDDAVNFINGMPLEPHEGVWGALLNASRIHKNVELGKYAMDKLIAIESKNDAAHVLLSNIYADSQNWKGVSNVRNMMKAKGVKKVPGCSAIEVGGKVHEFFVGGKTHPRYKEIEMMLAEMSQRLRLQGYIANTKEVLFDIEEEDKEDAISLHSEKLALAFGLVALPEDMEIRIVKNLRVCEDCHDYTKMISKVFNREIVMRDRNRFHHFKDGACSCRDYW >KN538897.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN538897.1:81029:84141:1 gene:KN538897.1_FG015 transcript:KN538897.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCASTRPVAAALGAASFEEVLTQRSPVYFSRGAKAAVKYEPRPFVVAAATSALELGKHEVDLTRLLPLSFDDLEEGGGSGFGKWSTSFRLSGPARGARLNVTFSCTLVGAAGEQQKGGEVAGLRRGSMARQVSVLTPTPVPARSRDVRVLHEVLPSGRTVKALPFFGDAGLDVRKEEVPTVESEENESPQSKHCTSVEVRNAELAHPEGDYDAAEFSVVEQGVEIALEDPEQLKSVGTDNVADGNEDFRDEFGEIEGEAKAVSVGDACAEESVGGKPEEVFSDVCFESEDAGEKKDSMVKAVSLPTVELDGEDQLDAELEDLGCLINSLSVIEPEQFDSPIVEGKRSRRLSCVGVTEGCNSASRMIRSRSMDASSDFVASEFLNMLGIEHSPLGATSGSDSESPRERLWKQFEKEALASGNGILGLDFEDEAEELSYEDDAEEPRCEDVAHDFDLSTIIREAELELQNAIQPIDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDLPPESPIELPPLADGLGPFVQTKDGGFLRSMNPVLFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEASPALESSERYDLLDNHTMDALAGGIGNATFGKSKKGRCTDLSSSLGRESTSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAQPIGEFSSLQGKCAGNTLSLGLEGTAGLQLLDVKQSSGDVDGLMGLSITLDEWMRLDSGIVDEDEQFTDRTSKILAAHHAKSMELVAENQNVDKKNRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGNSEHDDEEPKTEEVLEKALVKEEKIEEEEDSVPQFKVAEVHVAGFKSEPEKTKSWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAIAKPTKEAAGQSGDTLWSISSRVHGAGTRWGELAGPKRNPNILLQKEKRFR >KN538897.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN538897.1:120643:125595:-1 gene:KN538897.1_FG016 transcript:KN538897.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASPRLPPVACHLAPARLAAPRPRPDGRWLLLPTCTRLRARTPLGNPPFKINACFCIHVLCQQLDHMKSLLLKKNCGEFSSLFVKSDARARTRHKSGIYVLSGVISITNGGYNWCRKVNMLDLNRAILDSDHHTAGLRIILQKELRYSDVSQLGRIVLPKVLAQQQEQDILLITYDNLYLVKVIGAKKAGDQQAATVPQVDEHISTLFPIFPIAQVDDYLSPMAPQVDISAFVPHADENHEIFDGILNSLPEIPVANVRYSDFFDPFDDGMDMANTLNANANQSASLHVTDDKSGHSLIPNPKSEPHM >KN538897.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN538897.1:136038:137314:-1 gene:KN538897.1_FG017 transcript:KN538897.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative Peroxidase 48 [Source:Projected from Arabidopsis thaliana (AT4G33870) UniProtKB/Swiss-Prot;Acc:O81755] MRRPDPATGLRFAAVSLILCTANICAVARRSSYALLLDDEEDGGGGGDGSASFSFFPQTQPRGLVFGFYDESCPDAEGIVSSTVRELYLANPNVAAALVRLFFHDCFIHGCDASVLLDRINGDKSEREAAPNQSLRGFGAVEKIKARLEAACPRTVSCADILVLAARDSLVLAGGPSYPVLTGRSDSARGFYDEVGARIPSPNATYAVTLDAFARRGFTERETVALLGPSRSSLCLFMGARDVHLILVGAVAGAHSIGKVHCRFFKDRIDNFAGTGEPDDTIDADMVEDMRAVCDGDGAAPMEMGYYRQGREVGFGAHYYAKLLGGRGILRSDQQLTAGSTVRWVRVYAAGERGEEVFREDFAHAMVKLAALEPLTGSPGHVRIRCSEPVD >KN538897.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN538897.1:135067:135420:1 gene:KN538897.1_FG018 transcript:KN538897.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MERCYRSGEALVSYDGFTVASGRVPGFCVPGKGVRKMPFLAWADGVGLPEQLRDRTAIERRLGAMQLDVEVKLLGRDGGSAPRPTWISCGLRMDEAQPPDTAPCTVLALQNWFYQLL >KN538897.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN538897.1:171255:171902:1 gene:KN538897.1_FG019 transcript:KN538897.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHPPSSCDDNAGACQKASETCNRQRRKIFVVNLLYEFTNFIFIFLCLYHALYDFPSEFSVQITAIRGLDDAAPASPTIISPAIDVTLHVNNRRGTARCYRGGEAVVSYEGFTVASGTVPGFCVPGERAREVPFLASADGVGLPQQLRGRMALERRIGAMQLEVEVKLFGRDGGTSPRPTWMSCGLRMDEAQLPNTAHCSVLALQNWFSQPLFG >KN538897.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN538897.1:48454:58030:1 gene:KN538897.1_FG020 transcript:KN538897.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSVFLCSRLPPLLRIELIQLKTSALAFDLAIGVVVDAPRNFGDAVAEAQLRRLQMRRLLQRDAERTLFVLCHSQALTLALPPQSLHYDYDPLADLLGPDVDQTSSQRSFNAGVILVTWLIWKEHNESLRGVHRVLHAIKALRTERRLQLFPEYCSSCREGEAEVLGWSKWAILSSPLKVKEDDEVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPKLHAQRVAAIKKTKRTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTVREISGRAHFRCRLCGEKGHNSRTCGKPKSENECQRQPRHCSQCGERGHNRRNCPRSTTVEVEVGASGYIVKQDNVHSSVRYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQVQPASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDM >KN538897.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN538897.1:13902:16388:1 gene:KN538897.1_FG021 transcript:KN538897.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component 8 [Source:Projected from Arabidopsis thaliana (AT5G15100) UniProtKB/Swiss-Prot;Acc:Q9LFP6] MVSWKDIYLLLEATVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVISTTDPYDMNIKLIYSDILQKSLALLGFAAISKACCAEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGEQAGKLLSQIVVLQSLIWYTLLLFLFELRAANGMATTTSSETTGLIWALVGFRWHIRLPLIVSNSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKRMLLALAIRFFLGPALMGMSSYAIGMRGVLLKIAIVQAALPQGIVPFVFAKEYNVQADILSTAIIVGMMVAVPVALAYYFAMIIPAIK >KN538897.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN538897.1:195904:196551:1 gene:KN538897.1_FG022 transcript:KN538897.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHPPSSCDDNAGACQKASETCNRQRRKIFVVNLLYEFTNFIFIFLCLYHALYDFPSEFSVQITAIRGLDDAAPASPTIISPAIDVTLHVNNRRGTARCYRGGEAVVSYEGFTVASGTVPGFCAQGKRAPEVPFLASADGVGLPQRLCGRMALERRIGAMQLEVEVKLFGRDGGTSPRPTWMSCGLRMDEAQLPNTAHCSVLALQNWFSQPLFG >KN538897.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN538897.1:88966:110394:1 gene:KN538897.1_FG023 transcript:KN538897.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIKVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRAMAALKEFMFRKQNKATTHIQMDIKLLVTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERCIRIDIETIGHVCVFDLQTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREAILLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTNEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVGAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIDKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQDKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVIGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSYRLMRFCLIQFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSCIPFSLDDIAKTMPIIEVAEDDLLPFVRENPSFAFLLQRGNS >KN538897.1_FGP024 pep scaffold:O_longistaminata_v1.0:KN538897.1:164090:164689:-1 gene:KN538897.1_FG024 transcript:KN538897.1_FGT024 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALYGSKEVDPLLVLQTKVIILFYGMVTIVLVLGIPAAALLSNAAEKVKYTLDLAAVEGMDVAAAATAGAGGSTVISPAFNLTLRVENPRTFRPWCLDRGDVVVSYSGVALAWGRVPGFCVQKRSTAKLTVVPWGKNVHLSQELRERFVSELKEGTAKVYVEMKLHYYANFGMAAFAPSTGMVGISQELTMGGRDD >KN538897.1_FGP025 pep scaffold:O_longistaminata_v1.0:KN538897.1:17595:21209:1 gene:KN538897.1_FG025 transcript:KN538897.1_FGT025 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAECKVPGVYSETGIPVEDPAPGLNSDVSKKDTPPAVAAPGPGLYFEIGKKARDLLYRDFHTDQKFTLTTYTNNGVAITAASTMQDEAIFSEIQTKLKSNNVLTTITTEDLGVSGLKQIVSLPFPYQTSGKAELQYLHDYAGISLGAITAASTMQDEAIFSEIQTKLKSNNVLTTITTEDLGVSGLKQIVSLPFPYQTSGKAELQYLHDYAGISLGVGLTSKPLVNLSGVFGNKSVAVGADVAVDTSTGDFTKYNAGLTINNSDLAAALTLNNKGDSLTASYYHLVNKESGTAAGAELTHSFSTKENTLSFGTQHALDPLTTVKARYNNHGMVSALIQHEWRPKSFVTISTEVDTKAIDKASKVGLSLVLKP >KN541070.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541070.1:199:727:-1 gene:KN541070.1_FG001 transcript:KN541070.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAMDGTKLAGLPGAAAQGRLIHQPLTAVANVRFRVSTQVDPSKTATPGEGSGASAQMTSEATAGATAAAIDQLGEGSDAANGAPGSSPLGAGAKSSSLLGAATDQSGEDGDTTDGALGSSSPGTGAKSSAMSGAAADKLG >KN541070.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541070.1:7982:15224:1 gene:KN541070.1_FG002 transcript:KN541070.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSSRQAMGDINIEAMARPVSVDHRISIAYYFRIADNLLRQANIYREEKNLVDLYIILLRYSSLLCETIPKHRDYHAFKLREKEFLKKGPHNSDKLLNVVNELETLKPVVLRQIADLNRGATQEPNSQSGTSAAISQMDHLTQSSCFTQPLVGSPTGLLKMPFSGGKHQVEPTQSGRPDSQLVKSLHIEAHRFKVSSAGWNVGYGNLPYPKEETLSRHSVLGPNGLHGKWTAPVTGIRIQYPSNAELTKSDVSSLVPSILNQDLLYGPSRSQDFPTNKNEDMQSVLSLDDGRWSLPVEEATSVSPGFEEEFSQLNIRQPSPPPVMAQVHPQHRAISTSTVADLGPGIASSSTGRYQNLHVPVTLMECFLRVAEANTANNLETCGILAGTLKKRTFYVTTLIIPKQRSTSDSHSTQKCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLREL >AMDW01039489.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039489.1:788:949:1 gene:AMDW01039489.1_FG001 transcript:AMDW01039489.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIIESHRVGAEIANGDEAAKKKSIELLSELGLPEGLFPLDDMEEFGYNRAN >AMDW01022927.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01022927.1:58:297:1 gene:AMDW01022927.1_FG001 transcript:AMDW01022927.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVNAAEAASGMAQPLAYDYSSSSE >KN540808.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540808.1:29836:31358:-1 gene:KN540808.1_FG001 transcript:KN540808.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRWLQCCCCCRGGGGGGGGKGGVGDGLVWDVALKAHASGDYSVAVAQANEALEDQAQFAAQSDGISAEVLEKAFGETEEEFVASVQRSWPSQPRILSVGSCCLVGAIEGGTLYVANLGDSRAVSRSIGDVYLKKPEICKSNPMLQQTICPFPLRRPVMSAVPTIKTRKLRPGDQFVIFASDGLWEQLTDEAAVAIVAGSPRRGVAMRLVRAAQLEAARKKDVKYERIRTIEKGQRRHFHDDITVVVLFLDKCRGKAGRGDEIDGTDGPVDVFSLSPDDREDPTRPVLR >KN540808.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540808.1:1111:2319:-1 gene:KN540808.1_FG002 transcript:KN540808.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLLRSSRRLVPDAVTPRTAMVVPGRVAKVCSVRETVDSFRRLSRMLRGRGDDQEGQLFNALLRTLCQEKSMSDARNVYHALKYEFKVNRQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRGVENAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKHLLKEMHELGCYPDVPAYNAAIRNFVIAKRLGDAFALMEEMASKGLMPNATTYNLFFRCYYWAYDIGSAWQLYERMRSEGCFPNTQSCMFIVRLCHRHGRVAQALELWSDMVNNGFGSFTLVSDVLFDLLCDEGKLDEAERCFHQMIELGQKPSNVSFRRIKILMQLANREESIARLTAQMAQFGRLAPEDCRRVDHTLQSTHQSSDRTDTDTIVKHSNTFESR >KN540808.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540808.1:17245:20599:1 gene:KN540808.1_FG003 transcript:KN540808.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNVPIVDPLQGDFPETIEEFLQYGSMKCIAFNRRGTLLAAGCANGTCVIWDFETRGIARELHDKDCTAPITSVAWSKYGHHLLASATDKSLTLWHVVNEKKIARITLQQTPLSVRLHPGGPSTPSICLACPLSSAPILVDLNTGSTTVLPAFLSDNGNLPAPNPRNKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIIDSKSIKVHAVIPIPGGTVVKDIVFSRDGRYLLTNSNDRVIRVYDNLLPVKGSGEEIEKISSNNISYESHYEKLKANGASCLAISCELLDAIAKIPWKAPCFSGDSEWIVGASASKGEHRLCIWNRSGRLVKILEGPKEALIDIAWHPVDPTIVSVSVAGLAYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNAYVEKAEEQLVNEDEYVDIETYDKNSTFSDLDDSSSTTMELIYLAAIPIPDTPDEQPDKCLGSSSKLEDSNHSDSPSSLDAVQNGQAIPPASSPMEVDNSTAEEPAEAANSKRRRRLSAKGLELQQAEKGKKPTTKNRSNGKSPGSNGKQLEPANGNSSAVDDEATEDDEI >KN540808.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540808.1:15380:15751:-1 gene:KN540808.1_FG004 transcript:KN540808.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPEDNLGGTTGQGHLALAYEFKRILILNQSTNDHDILSNQEEIQQVQQGFLTVQRFQVSTAILQTIIIQKMRGVTKLSTAVYNLKHMNATVVYPNPKWRSPTNSLMHLYNSRGIYSVVHQ >KN540808.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540808.1:37071:40335:1 gene:KN540808.1_FG005 transcript:KN540808.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSPFSEQDDYEVVRKVGRGKYSEVFEGINVTNDERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLHNIVRDQQSKTPSLIFEYVNSTDFKVLYPTLTDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYNVRVASRQVHKICLHDSVAPSIDVLSVNLFSLSRYFKGPELLVDFQSYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDQLNAYLNKYRIALDPQLEALIGRHTRKPWSKFINPENRHLVSPEAIDFLDKLLRFDHHDRLTAREAMAHPYFEQVRAAEDCRMRT >KN540808.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540808.1:13563:14564:1 gene:KN540808.1_FG006 transcript:KN540808.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFAIMFGETKPSGKELLIGLVVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVFYYNIESILALIVSFFINICVTTVFAKGFYGSEQADGIGLENAGQYLQQKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPIMDILNEALNIPFALIPLITLVSKEQVMGSFVVGPITKVM >AMDW01036914.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036914.1:211:618:-1 gene:AMDW01036914.1_FG001 transcript:AMDW01036914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding CEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRENAYFLDSVATIAKGMRWNCDKHDTEYKADKEKVLICQKKLWSGKNTQH >KN540808.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540808.1:23457:25659:-1 gene:KN540808.1_FG007 transcript:KN540808.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRSLQQSGSSKSKGKIMAKIVEEDEEGEEEEEEDSLSSGCHCFLCAIKEPDARLRRASLAAFFRELPYCEDDGASGAGGGGDGGQSCGEVVGAVWRAAMAAPDDPELPSLGAIRCMSLLLARALADVEWRCRGRNVSAAGRAAIAACRDALSGLCDLARSSDDWQYMAIDCLLLLLDDRDTWHAVADATAARLVDLAELRHLGPRRRLGNAITAALLLDDDDDGDIVHGRELGMEAKEAIARLREVQVERKGREDAMSRDELLKRRIMAKEKKRQGNDMFWHGEVEKAIELYTEALELCPLSRRRGRLVLHSNRAQCRIARRDADAAVRVYQSSRTRLGGD >KN540126.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540126.1:28235:28878:1 gene:KN540126.1_FG001 transcript:KN540126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVHACMRWRRDENAGAPLDSARTPSLSLPSHVILAWPVATSTSQRLLTRAVVESGRAFTHLVEVCRVNPEKAISVPPCRSMLRIIKTAGNVVKLPSLPWCRGVFKSCCFGPPIVIGTGRRRLLGRS >KN540126.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540126.1:51853:54757:-1 gene:KN540126.1_FG002 transcript:KN540126.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGAGEPLLRSPNGFLGRETWEFDPSAGTREERAEVERLRRDFTRSRFTRRECGDLLMRMQFAKQNKLYTNLRVNNHKDSSEVTEEVLLTALKRVLDQHSSLQAQDGHWPGGFSGVLFILPLMIFALHVTHSLNDVLSSEHIREICRYIYNIQASHNFLIFV >KN540126.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540126.1:42293:44943:-1 gene:KN540126.1_FG003 transcript:KN540126.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSNNHLVCFGPENPNSYAFRQHLPRIHDFLWLAEDGMKSKVYGGCQCWETALIVQAYCSSGLTKEFAATLRKAHDFIKNSQVTKNCPSYSSFYRERSKGSWTLTNGENGWPIADTTAECLKVLKFTVFIDCCGLSFKDMQVQTSMLTR >KN540126.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540126.1:32488:34149:-1 gene:KN540126.1_FG004 transcript:KN540126.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MCAESLFVNLHEYVDSGRPHAVNTAWAMLGLIYAGHVEIDPIPLHRAAMELINMQLDTGEFPQQEIVGSFNSSLFFNYPNYRNLFPIWALGEFRHRLLAKKG >KN540528.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540528.1:11309:15086:-1 gene:KN540528.1_FG001 transcript:KN540528.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVGLLVDPWRDLAAKIADQFAILGDIMMKQIFGRRKNAKSADKDFFSGTSPSVLDQVSGLGVADRAASNLGSQPPIISSTGLSYGSGNRVENPNTRTNGNLYSSSFQPLPSFKDVPNSEKQNLLIRKLKLCCIVFDFTDPTKNIQEKEMKSQTLLEIVDYVVSATVKFPEIVMLEITRMISANLFRTLISPPREKKVLQAFDLEEDEAVMDPAWSHLQIVYELLLKFIQSPETDAKLAKRYIDHSFILRLLDIFDSEDPREREYLKMTLHRIYGKFMVAERALFLWNNDHIENLIRQNSKVIFPIIFSALEKNVIEHWNQAVKSLSLNVQKLFSDRDPELYKECLRKYEENKAKEKEHKLKQESVWKRLEEVASAKATSGEAVLISPSLARTSSLV >KN540528.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540528.1:33650:36105:1 gene:KN540528.1_FG002 transcript:KN540528.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPLDTSISMEITAFWLWLEGNYDHTDYLERIDSFDDDHFQAIAFVAKSFVETLNLDHCDLSNTRSPFQQEAIEGIAFYLNNVCYKALKDLHGHEETEEFPDQICRDNEGNLNDQVPLSTDDLLSKIKSLYANNQENHGESSSYRSIQYPRNHILQDTKVAIDEYASSSCLVSFLDNLSLREKHSDLVIQQPSDVPNDERTLFVTFSNGYPLSKDELYDFFMRHYGDIEDITIEEPPEPRPPLFAQVTFYSQLTLLRVLDGNKRVKFMTRGKHLWARQFVPKKKKSKNDEANLID >KN540528.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540528.1:28901:30818:-1 gene:KN540528.1_FG003 transcript:KN540528.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVTKENRAAGVLENFDEGKRYSQHGVRRFWLSKRRDYMQALDNPTNPSTKK >KN540528.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540528.1:22296:22871:-1 gene:KN540528.1_FG004 transcript:KN540528.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSAAWKRWIRPEVYPLFFATGVAVSICVGQLVRNITGNPEVRVLKEKRAAGVLENFDEGKRYSQHGFRKFIDGKRPEIMPGINSFFSDPPKY >KN540528.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540528.1:45445:48989:1 gene:KN540528.1_FG005 transcript:KN540528.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPIRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAIVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDFYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNMHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFGMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDLTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANLSTAAPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIHHVWIFRCFRRFLILLCLPIAWRHWKHCRAIVRAYEANPFMDATIYCFGVPLLIIREHSRKYGRQFLPAFIPSLSENADHFNPNHLDANTRANLLHFHVFG >KN540153.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540153.1:20598:21300:1 gene:KN540153.1_FG001 transcript:KN540153.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKIAAVPNISEGLAEISRKMMDLAAQLQALAAQSAEQAMPLGAAERSDRRAVTFLRPWQQDFKRYLQSRMRLLQQPNVAAPLGHPPVALLPTLMATRPGGMKASMVLWWRGFQKQQEWRSCFRHYFQQRRRRKRRFLLPWRRGVKQAHRTLFGVALITIEGDRVSVFSQLRKLNGPDWWTGLQLAADSCWLSYVYHTGLLDGVLLSMSLMTI >KN540153.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540153.1:61307:62213:1 gene:KN540153.1_FG002 transcript:KN540153.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQLVSGQVVETPIQDELKRAAEKMEADDTNNKTKIHRYPAIIRRLIKDDHHYFVPSTVAIGPYHRDMPRLRQAEEVKRAAAHDFCRHSGQPAEAAFEGILPVAGYARSCYADDAVAGLREADFAAMMFRDGCFVLQYIVHKTDDDDVAPWLQSWFISNEASVVRDIFLLDNQLPWPVDLEKRPFLLDGSYTPAHLLGLLRYYKSGLGMSTGSRAPLSSGGAASVPQSSSAIELAEIGIKLVASKTTHLRDMGVRRGLIF >AMDW01040482.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040482.1:104:1528:1 gene:AMDW01040482.1_FG001 transcript:AMDW01040482.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VYTSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGILDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHADTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVDSLTAYDLIQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNRSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQS >AMDW01024812.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01024812.1:59:316:1 gene:AMDW01024812.1_FG001 transcript:AMDW01024812.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGASAMVLVYNATGAALELVDGEGGSMD >KN544852.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544852.1:2385:2696:-1 gene:KN544852.1_FG001 transcript:KN544852.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVPELELDDDEDDSGSDCETDSEDDRCRGYRRPVPQGGALRVIYNGFYFRCLVCPGKMASRWNSMEDIKNHTVGKANSSSLREENKKWQRHRVLARNEGWMPA >AMDW01142838.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01142838.1:17:229:1 gene:AMDW01142838.1_FG001 transcript:AMDW01142838.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FTLGTSGGNRDFYNLSVIDGYNVAMSFSCSSGVTLTCRERSCPDAYQYPSDDSKLRSCNGNSNYRVVFCP >AMDW01040753.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040753.1:68:1994:-1 gene:AMDW01040753.1_FG001 transcript:AMDW01040753.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DELHRMKSGGSDGSNGSFSTGWNARRSLHLLKMSLSRPTTFQTIHEDSGDVEMEIDENDVEKPYNQDNMVISPPGDKECKELQASLKINGGTSLDVFDGENLMPTKRSCSDDRYKLNLAASIQRGLQVIENHQNNGAWRRASVGFNARIVDVQPCKVDVAIQTEPEESEARDNPLALISSHVLGTSATVSNDPNACRDLQLVQYDAGITRDEPKQQQILKAVEKVLAGAIRREMARDEQCVKQAAEIQQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKILQQKYENHPELLRAEIELKRLQEELELCRNYIDEKEVLQEEIQDLKSHLHFMLSSSASIRRLWPPVQLSHGVGPSPVTNDADGDTNAVDTSDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKARAAKAGVKGAELRFINSLAAEMAVLRAENKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQ >AMDW01037709.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037709.1:5:730:1 gene:AMDW01037709.1_FG001 transcript:AMDW01037709.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDSEAAVNPAARRLASYTGHAVAAADIPRAAEDDGLPKKSQRIIDREDDYRRRRLARIISPERHDPFAAGEATPDPSVRTYADAMRENDLQKQKEQLLRDIAQKKKEEEEKAKEKKAVPEQQPVAAPKRRNRWDQSQDGDASAAAGSKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPS >KN542455.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542455.1:733:4352:-1 gene:KN542455.1_FG001 transcript:KN542455.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVRALLGDEAVYEAAAAEAFPEHNKAHLVALELPDRSGDIIITTYGELDKNNYLDPRTAQVATVDHIKQKCTKLRPAADEELPSAYIEDFRSALDVELSKYVGEAYPKGILIEVSRKFWHSYEKLFHIQLLDHRFAHLQLCIESSSNGNWRSIWTLEFIDGLQLVEIKGKIQVGAHYFEEGNVQLDTNIDCKDSTILQSPEECAVSITNIIRHHESEYLSSLEESYMNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLTKELAIGK >KN542455.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542455.1:14927:15355:-1 gene:KN542455.1_FG002 transcript:KN542455.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding HDVPATTAARIQVVVARRQLEEEDELPATAKDGRPSRSSARSYAAHLGGNKTWWRGAGDGINGATFAQAAVDHHGKTTSFTVPTGTTTGWVVTGNSCQMESSSFALGGASTVRAIGDHPGEAQGDRRHAVKGSGAYFCDANP >KN542455.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542455.1:12224:12923:1 gene:KN542455.1_FG003 transcript:KN542455.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding METQQSPLSWRPKGGGGVCGGWERSIRSMPVRMEVRSATLALTGAFAGELETAVCPQEEGNSPEAEVMEGIRASATKEEGGSLEP >KN542455.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN542455.1:8253:8846:1 gene:KN542455.1_FG004 transcript:KN542455.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLTSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLPVSPDLFPIGLVLGERERKASAAAARRKAVEGAEVEGEGKGKHWMEDEKVGFSRAGRGKVVYGNPGRLGKKRNGGAKVKDRAGEEVGKFPWSGTRLATEKKPAR >AMDW01059685.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01059685.1:62:358:1 gene:AMDW01059685.1_FG001 transcript:AMDW01059685.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLALPFDTGLRQKLCVQFDIEHIPALIPLSTTLSDGFRVEEDAVKLVEEYGVDAYPFGAKRRSELEGMDDARRQGGDLQQLLGCKERDYVICADGIK >KN539026.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539026.1:90931:92262:-1 gene:KN539026.1_FG001 transcript:KN539026.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCYSSHGLVRECWDVFGSMRRSGFPGDCFTFSALLSVRASSSSCYDHANLLLVLGSSVHGIVIRLGLHLDVVVATALLDMYAKCGQVAEASRVFDAMVLRNTVSWNAIIVCYGKHDRGKEAFDLFASMMRHGFCPDELTLASLLSSCADMAAANEATQLHAYTVRRGLQDFLQVGNALIMAYGKNGFVQEAKRTFGMIHNPDLVTWSSMVSSFAYLGLAKSAIDLFDRMLQQGIRADGIAFLGVLSACSHAGLIEDGFKYFLLMTRHYKIDPTPQHLACLVDLLGRAGRIRDAYEFLVNMSCDANVDVIGAFLGACRMRGNIESAKWAASRLFSLKPDDPINYLLISNTYAAAGDWNELAKVRSVMRNMCANKVPGCSWIEIGGIVQTFVSNDMMLHQSREMQRMMELLVSLVEQDCNGDDTICNDPSSILKWQDFYLAFD >KN539026.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539026.1:143484:147797:-1 gene:KN539026.1_FG002 transcript:KN539026.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKAEAVAGDGGKVVDDIEALADLRKEPAWKRFLSHIGPGFMLLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPVWVKICLWLLAELAVIASDIPEVIGTGFAFNLLFHIPVWTGVLIAGSSTLLLLGLQRYGVRKLEVVVALLVFVMAGCFFVEMSIVKPPVNEVLQGLFIPRLSGPGATGDSIALLGALVMPHNLFLHSALVLSRNTPASAKGMKDACRFFLFESGIALFVALLVNIAIISVSGTNVLGKSSATVYGVALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGRLIVIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFVIIGINIYFLSTKLVGWILHNALPTFANVLIGIILFPLMLLYVVAVIYLTFRKDTVKFVSRRELQAGDDNEKAQVATDVADEDSKEPPV >KN539026.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539026.1:27465:31353:-1 gene:KN539026.1_FG003 transcript:KN539026.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAVAAGPSVLLPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEDGPDYIAEDSRIYMMSLKKLLKLFEPSARQSFTCSKRMDEGHLSFSTMGSLTIQDQVTTCQLPEVSGAASNGTHEERIA >KN539026.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539026.1:115437:117105:1 gene:KN539026.1_FG004 transcript:KN539026.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFSSIQSAMCHAMRRPVHSIVGLVSMLQHPEADTMRPEQRLAVDAIARTSNLLSALMDEVTVNRQHLSVQRKPFSLHALIKEAISVAGCLSHCGGAGFLHQPECALPEWVVGDERRVFHLLLDMVGTLLNRCNTESGACRLSFSVRICNVGEERYSLDWIPMRPTFSGCNVCVKFKVGIERSRSCAIERSLPCELPRRSAATTSSQMGHIFSVYCNKIVQMMNGNMWSASDSEGVGESVTLILQFKLQQGHVEASPPYIPHLNGLRVLLADDNAMNRGVTKKILERLGCQVMSAPSGAHCLSLLASAEASFQLVVLDLDDRAVPSAAMDGFEVALRIRELRNSCWLLIVVAVAAGVVATDDGGAVQELCQRAGINGLVQKPVTLPALGAQLCRVLQDN >KN539026.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539026.1:127932:130784:1 gene:KN539026.1_FG005 transcript:KN539026.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRARGSGRRSRPSVATGHCIEHVWCVRSVDISWLLLVSLVFVRAFAEWLIGLGALGCDQVVGVVTSCAPNRLGDLFLSLKDPSGTVGASVHQKVFTKEDNMVVSVGSVIVLKNVAVFRPSHKGCYFNITKENLEMLVPKDFCFPSKQVFSSSPSESQHPVKCAETRGSSGQGDNHTRKNGAETYGQTTANAVRDSMLRMDKGSAQGVGNHLDIRMKEKDINPSNNNTPSCNANQQFQKTSCSSGKHQGIELQTLMQRLGSRHISNRNGEEHHQQTSNVPENPNTRCSQSTLGGCSVMSRTGNSIAASSDEKLSRPLEGERVLPNSKKQRGDAVLPDNVMSSTNIETYGLANNLNIGLDDVAHLVEHASIKKPNEHQQKDFITGTLGIALPTQENSSVSNSDATTVSASLHSQPNKMASVTEWTDDQLSELFADY >KN539026.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539026.1:16121:22285:1 gene:KN539026.1_FG006 transcript:KN539026.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSAESGASMLLHGDMDIQIVEAKCLPNMDLMTERMRKCFTGYGACSTECGKSDPHTDVRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVENITPGDTVSGWFPISGQYSNPMKASPELHLSIQYKPIEQNPLYKDGVGSDGCQSIGVPNAYFPLRKGGMVTLYQDAHIPDDFCPKIEIDGGRVYEQNKCWEDICHAIAEAHHLIYIIGWSLYHPVKLVRESTKPMPNGSPPTLGGLLKTKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQVIGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNARVCEQDDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHYIYIENQYFIGSSYYWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIVAEALQKEGLDDTHPQDYLNFYCLGKREVSNDVSTTSHSNENSPQVYGYRMSLWAEHLGTVEECFRWPHSVECVRQVNEMAEENWARYVSPEMVNMRGHLMRYPINVERDGRVGPVHGYECFPDVGGKVLGTHSSLPNALTT >KN539026.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539026.1:164671:164826:-1 gene:KN539026.1_FG007 transcript:KN539026.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIHGKEEEGKVESESSPRQFDTAGTMEQREKPSVAGDAQAMVQECPNDR >AMDW01012510.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01012510.1:1:238:1 gene:AMDW01012510.1_FG001 transcript:AMDW01012510.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSEVARVVSMYQDEELSITVTGHSLGAALATLNAFDIVANGYNRAPRAAVAALAATGCPVTAFVFANPRVGGHGFK >KN539026.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539026.1:118706:118951:1 gene:KN539026.1_FG008 transcript:KN539026.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding METKVRMSCRLGTMVALVLVGVVLAAILQEATVDAASSSSDSPAAKSGTGYLDYGNLKAKLPPPGVAITKRPCIAKEKCRG >KN539026.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539026.1:49603:54993:1 gene:KN539026.1_FG009 transcript:KN539026.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRDVVSWNSIVGVYMSSGDATGAMGFFEAMPERNVVSWNTVVAGFARMGDMVTARAVFDRMPSRNAVSWNLMISGYATSGDVEAARSVFDRMDQKDVVSWTAMVSAYAKIGDLDTANELFDHMPVKNLVSWNAMITGYNHNSRYDEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSVEYCNWISSFIGKSNIHLTVALGNALIDMFAKCGDVGRAQSIFYKMETRCIITWTTMISGFAFNGLCRDALLVYNNMCREGVQLDDTVFIAALAACAHGGLLQEGWSIFNEMVERYNIQPRMEHYGCMVDLLGRAELIEYVSKKITELEPFNSSYQVLVSNCSALEGRWDGVIDARTSMRNWGIEKVPGSSSIQVGSEVHEFLAKDTRHKRRKEIYETVDGLMALMRHTEQAHWEDHRSFDHQAAVRGLKLISPSNSCQCWEQGQPLDKLVVTTHKSHHHPGVYRNQRSPHKALIRSILAYCLLGYQKHMEPFSPCAFRDLSRPVSPAPQSWSRGNEAGRLLLGGAEDSLVAQLSWSSF >KN539026.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539026.1:7481:8382:-1 gene:KN539026.1_FG010 transcript:KN539026.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSSSTEISSIQRKFSVLWEEGATDLRLLLHGRRRGWCRRRRSHGAIANVRVGAVNNDAERRDALYEWDLGGVALGVQELLQKEKGEAFSIARLDWRDDALAVERGEVEDAVERVLLPLERVGVAPRHGLVGGEAAVGPEAAEGEDGLARRRDGVVQARVVVRDEAMSTS >KN539026.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539026.1:131977:133467:-1 gene:KN539026.1_FG011 transcript:KN539026.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCIYHVNMSKSNFHVVRSGNITLAMMILIAASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMASDLLVLAEKYEVKNLKAYCEKFLTSKVSNDNAITHYAFAHRHSAKQLLETSLAAIMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKETDSSSRKG >KN543131.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543131.1:8947:10242:1 gene:KN543131.1_FG001 transcript:KN543131.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGIRTALRSIGEGEISISAYDTSLVALLKRPDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLSFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKY >KN539026.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539026.1:76323:78492:1 gene:KN539026.1_FG012 transcript:KN539026.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGPAGDGGGSGGRRIEVDGVDNVEAFRAAVELMYQPDPLRWLAAAGVSRSIDVLEVSSSIMFERGVKLCLSYIEAVPWNENEEEKLKNLFARCTFDEAISQDVLARLRPHSWSSSEDLTVHLIQSVTSSTNSGARKDMQSLVNGLLSKSSVYQKDMAGLNRESLYNICYACLNSLVDLYDEATEATNHTAQALVIKGSKPFIERISQQTENLNWLLDILVNIDMAEEFVELWAKQDRLIRIHEQASPMMRYELSRISASVFIALGKGKVQCRGELRSLLFYGWFSPMLLDFGWLQRCSKGLDVRSLEENLGQALLTLPLKQQQCLFEEWFQCFASKGSECPNLTRAFQIWWRRSFVRSSVEGQ >AMDW01028725.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028725.1:108:356:-1 gene:AMDW01028725.1_FG001 transcript:AMDW01028725.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VPRRGGQRGAFHQGNGRPGNSQGIGGGPRGFQGSGRPGSGSQGGGGRSQGKTRGNERSRIQKSAADLDAELDQYHAEAVKEK >KN539579.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539579.1:23181:24216:1 gene:KN539579.1_FG001 transcript:KN539579.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCDVINVNTAGLKRKRNNNWYSSLSTEQKEAYLQRNREYRKRKKEEANTANETPSTLSVLQPQQPFVTPRRLPFTSDDSDFSNETSSASGLATLQHPINLDIDNREPIRTPTSYPLATTTRGKAPLSDVTNVNSADLKRKRNNNWYSSLSTEEKDAYLQRNREYRKRKKEEANKANQEAATCTTATTLLEQSELQASLTDVSGKAGQFRHSLQNFEPSGCNTPAPALLGTSL >KN539579.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539579.1:94981:95169:1 gene:KN539579.1_FG002 transcript:KN539579.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGWSVTIVGDDDGLVQCLVAARGVNIVHAVGPPNSITGKGMRTGIEMKEGWDEDGMETA >KN543199.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543199.1:959:2486:1 gene:KN543199.1_FG001 transcript:KN543199.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKPFACSVIALLFAANLVSAQLSANFYDKSCPNALSTIRTALGGPTWVVQLGRRDSTTASLDTANNDIPAPTLDLGDLTKSFSNKGLSATDMIALSGAHTIGQARCVNFRNRIYSETNIDTSLATSLKSNCPNTTGDNNISPLDASTPYAFDNFYYKNLLNKKGVLHSDQQLFNGGSADSQTTTYSSNMATFFTDFSAAIVKMGNINPLTGSSGQIRKNCRKVN >KN543199.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543199.1:3416:4186:-1 gene:KN543199.1_FG002 transcript:KN543199.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLHIMQRHHHQSLHQRNKTERAKVDAVKSAARVADLLVATVDGGVQELYINERRIEFEARALLATIARYKKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >KN540818.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540818.1:24500:26281:1 gene:KN540818.1_FG001 transcript:KN540818.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEAKVAAEGGAEAEADEEVEVKFRLFDGSDIGPLRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPGELYYFIESMLDCLT >KN540818.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540818.1:31071:34125:-1 gene:KN540818.1_FG002 transcript:KN540818.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVTYHKRSTLGTLWLLRYEEDVVYVEKLVLAFNVAVRKSGAGAARGEERLMVENLLLADEQRQSEHVRALVRQQQLALLVIDIDEAGGKTRTIA >KN543445.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543445.1:2951:3251:-1 gene:KN543445.1_FG001 transcript:KN543445.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVQREIVEDVEKVERAKTEDRDCINRLLTSCGMPKGEFRDKLMWGCNVAAIFVASGAVGSLIAKIKIDGSV >KN543445.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543445.1:6299:6980:1 gene:KN543445.1_FG002 transcript:KN543445.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVLAGRLMVAANRGRPVSTTTKERLELKMSSYPWTQNAMDNRLREGCAMAVDNHNRRIMRLANPYSGPADGDILYNWAWSNNISFLIILCSCFGLCHAALHLPHHASNNVSTA >KN543701.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543701.1:2313:3289:1 gene:KN543701.1_FG001 transcript:KN543701.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSFEAICNESEMIAHLQSLFWSSSDADPCFGSSSFSLISSEGYDTMTTEFVNSSTNVCFDYQDDSFFSAVETTIGNKRKVQMDTENELMMNRSKEVCTKLSVSKACKHSVSAESSQSYYAKNRRQRINERLRILQELIPNGTKVDISTMLEEAIQYVKFLHLQIKLLSSDEMWMYAPLAFDSGNNRLYQNSLSQE >AMDW01029594.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01029594.1:91:363:-1 gene:AMDW01029594.1_FG001 transcript:AMDW01029594.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VGILKRIRHPNLVILLGACSEKFALMYEFLPSGTLEDCLGKEERKESFSWEERVRVATSICSALVFLHNSKPNPIAHGDLKPSNILFDAEN >KN541488.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541488.1:10152:11796:1 gene:KN541488.1_FG001 transcript:KN541488.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRATELRVEPSCLAVPEPDLRAHLRRLLSTGDGADVTFWVGGGETFAAHRCVLAARSPVFRAKLCGRGAAAADRCVDVDDMDAGEFGALLHFVYTDTLPEMASRDAPAMARRLIAAAGKYQVERLKLVCEDMLRRRVDTSMAMATTTDSAATTDDQLSQRPWLTQLFFKFASRMVGGMVVDVSTPEPPTAAEKSGDASPSPSPSPSCSTVAVSEASGHHVLRIEGYKRTKMMMATGEHLNSGEFHVGGYTWRLRYYPNGYDEEFSSSISFALAAADVRFNVDGEAFAAHRCILAARSPVFRAELLGSMRERAARAIVCVDDMDADAFAALLLFVYTDELPEMDDDGEEAAAVMAQHLLVAADRYDMGRLKKVCEDVLFRHVGVATAATSLALAEQHDCPELKDAILRFVTSPARLKAVMDSWRAMGTSI >KN541488.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541488.1:25469:25750:1 gene:KN541488.1_FG002 transcript:KN541488.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAFRALLHFVYTDTLPEMASADVPAMARQLIAAADKYKVERLKLVCEDKLSRRVDASMTPTDSAATCDMPQRYPWFKGIFLKLVAGCLFF >KN541488.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541488.1:18791:19876:1 gene:KN541488.1_FG003 transcript:KN541488.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAKKSGAVADLQPSPSSCSTIVVTEVSGHHVLKIDGYTRTTMMVATGEHLDSGEFHVGGYAWHLRYYPNGYDQEFSSSISFALVRTAGAGDNVRLHARAKISLLDVAGEPVARYSQPVDKCSTSKARDPWVCKSFIERDELEKSGHVVGDRLAVRCDLTFNVQDRLLLGDARTSDVRFRVGDETFPAHRCVLAARSPVFRAELLGPMREHAAGTIHVDDMDAAVFAALLRFVYTDELPELDGGSAAAMAQHLLVAADRYDMERLKKVCEDKMVRHLDVGTAATSLALAEQHDCPELKKAILRFMASPARLKAVMASDGYEHLVTSFPSIAMEILAMLAAH >AMDW01060055.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01060055.1:480:1073:-1 gene:AMDW01060055.1_FG001 transcript:AMDW01060055.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAWWLLSTVPHICDKKHLPEGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGALNWFFLGGLAGPAVVWLLARALPRHAGWIRLIHLPVLLGATANMPPASTLNYTAWCSVGAVFNYLVFRRRKAWWQRYNYVLAAAMDAGVAIMGVLIYFCLSSRGITPDWWGNSDINIDHCNLSTCPTAKGVIVEGCPVF >KN547841.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN547841.1:138:652:1 gene:KN547841.1_FG001 transcript:KN547841.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ASPDETGLALSVISSNISASTNLASLCIALGSLIGAWNFSDLFPLEMQ >KN539742.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539742.1:55197:59443:1 gene:KN539742.1_FG001 transcript:KN539742.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MAVMPSRAMVLVKNADNNVGRIAKAVVLDHPCLDDSLTEHTEPVDAASLSDNAMGILPCRSFPTNGFAPLDEEVAYVSPLLAFNLGVHVSCLKLLVQKGEKPFKFCSKVEDSDLAAGEGSNPILHLEILPSPRVPKHALHLRVSVVRIPECGVFASLKANSAIGGSDYQDMIDQALNEHFKFDRFLARGDVFCIRNNWNCGATSCLACSREDNRLHPHDMIYFKVTGMEPSDEPVLRVNCNQTALVLGGGASASIPPYSLFASPGVSVPLHSEIVEHLASIIAPALCPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSDSGAPAALVAAFKEAHKYSPCIILLRHFDAIGNTSSNEGTQSAQSGTAANIESVIKQYIGQHWVATESLPARDINGNPYLVEPECVSPLQVILVATADRAEGMPQSIRRCFRHEINMKSINEEQRRNLISETLHCVSTAADESINDKFVKDLAVQTSGFMPRDILALVADAGISFAHKVETDKNNSEGDKLKGILPTSSSSSQNEEKTFCKEYILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLGKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKNLAKTLEADPSRTSEASADDVIVEINDFMTVLGDIAPSLSIEELQNYEQLRKKIEGPSR >AMDW01039340.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039340.1:12:125:-1 gene:AMDW01039340.1_FG001 transcript:AMDW01039340.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQ >KN539742.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539742.1:107:10745:1 gene:KN539742.1_FG002 transcript:KN539742.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding SNSLSGPLPARIGSLRNLQRLVVQNNSLSGQIPASITNCTQLTNVSMSFNMFSGPLPAGLGRLQELEFLSLGKNSLSGDIPDDLFDCGNLKTLNLAFNNFSGGLSRRLQGNSLSGEIPEEVGNLTKLIGLELRMNRFAGHVPASISNMSSLQLLDLGHNRLDGVFPAEVFELRQLTILDAGSNRFAGPIPDAVANLRSLSLLDLSSNMLNGTVPAALGRLDQLLTLDLSHNRLAGAIPGAVIASMSNVQMYLNLSNNAFTGAIPAEIGGLVMVQAIDLSSNQLSGGVPATLAGCKNLYSLDLSGNSLTGELPANLFPQLDLLTTLNISGNDLDGEIPADIAALKHIQTLDVSRNAFAGAIPPALANLTALRSLNLSSNTFEGPVPDGGNTECKYDTCATCINSAVDVLVCNKIELHEMECRLEECYFLFNIPCDAAKHMESAGALAKELGRWNEVSDFYRRASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >KN539742.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539742.1:30070:31834:1 gene:KN539742.1_FG003 transcript:KN539742.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFAAVFAAVALVVCSSVLPRALASDPSQLQDFCVADKLSAVFVNGFVCKNPKQVTANDFFLPKALGVPGNTVNAQGSAVTPVTVNELPGLNTLGISFARIDFAPNGQNPPHTHPRATEILTVVQGTLFVGFVTSNQPGGGNLQFTKLLGPGDVFVFPQGLIHFQLNNGAVPAVAIAALSSQNPGVITIANAVFGSTPPILDDVLAKAFMIDKDQVDWIQAKFAAPPAASGGGAAVWQKRRCKAYLFP >KN539742.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539742.1:16072:24846:-1 gene:KN539742.1_FG004 transcript:KN539742.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVARRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNEVLKIFANIRDPSLITGSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVIHEVYLSASRLGVTLDTCCFNILVKGLCQFGKFDDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIAADAVVYNILISGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPSFSSFKLLIDGLCSVNCLDDAHLVLKQMMEQAWDYWGLIMAKTFSLGLYVIRANIDRDCVKLGKVLFVLMSRLDRSLGLCREAGTCVHADELVLSLQMPTRTAGMDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >KN539742.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539742.1:82660:85704:-1 gene:KN539742.1_FG005 transcript:KN539742.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding SPTDPSDADQNTHPRGIWVDSEDSEEDSSEEEEEEVVEEEVEDGEEEEEIEVTPEMEARLYTEFRATATERLMPAVTLAESCKKLGYHPPAPPFEIINHPDLFERAWGWDTILPFSVARTFSRYKEYLVDYYKRNQKKPNAAAADDLTGDDDSLTALANKCAEMEGHLMFLLKCRAGVFTENVEIKISRTSDRITKRARETTNALESEFPAAAVAFKGITREASLTCELVKERHKDKNGREFFSIFIRTRTLASMYYILKEYSALGKPTRGSTARKSISDELDGKTCSGKDVVTNDILDDWIVISPKKR >KN539742.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539742.1:12068:12334:-1 gene:KN539742.1_FG006 transcript:KN539742.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHNRRLSVVVALLMLAASIVLLLLAPPCDGRRQLRGSEATITSRGGGVGEEKASLLPPLIPNLPLLPVVPGNPPARNSVVNNKSP >KN539742.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539742.1:32071:35318:-1 gene:KN539742.1_FG007 transcript:KN539742.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAGNGSPVAPEDSTALSRIGEVKRVTKETNVHVKINLDGTGVADSSTGIPFLDHMLDQLASHGLFDVYVKAKGDTHIDDHHSNEDIALAIGTALLEALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCSLNIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGTVPRLQYSKETNGVASTAPLFLLSLGISVGCDNRA >KN539411.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539411.1:55973:58433:1 gene:KN539411.1_FG001 transcript:KN539411.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKTKASVAYRSLLASLLLGDATGGFPPVTCVVADGIMSFAVDVAEELGIPALAFRTDSACSFLAYLSLPRLLELGELPFKDGDDLDEPVRGVPGMESFLRRRDLPSQCRDCSDPDNDPLLQIVYGFTAHSGNARALVLNTAASMERAALAHIAPHMRDFTEFLHGLVAAGYPFLWVLRPDMVGASQSAGALREAVAAAEKNNKARVVGWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVERSASGGRRLPTASPTWDRGSGTASTRYPPSPPQPEPAPLRRFGRRVASAGGGIDGRVWRGGRLVAAVGRTPMRCKYARSAVGGQCIVRTCAGGWHGAHDKGDHVAACGGWPVQRYPYVDIDLDDGGAMVY >KN539411.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539411.1:14256:20189:-1 gene:KN539411.1_FG002 transcript:KN539411.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEAAAALSPPGAAGAAVMGVFKYNFAAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGNAISDEEILKVAWMIVPFGILVSFISSLFVLRVKKLRLSDTYAKATLIIGQTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSADMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEM >KN539411.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539411.1:69378:69869:1 gene:KN539411.1_FG003 transcript:KN539411.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASQSAGALREAVAAAEKNNKARVVGWAPQRDVLRHRAVGCFLTHAGWNSTLEAAGEGVPTVCWPFFADQQINSRFVGAVWGTGLDMKDVCDAAVVERTVREAMESGEIRASALALARQVRQDVADGGSSAAEFERLVGFIKELSMMDSSCKLNSSYSIAE >KN539411.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539411.1:107631:108099:1 gene:KN539411.1_FG004 transcript:KN539411.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATVAGYFKDKSILITGSTGFLGKIFVEKILRVQPDVKKIFLLVRAADTSSAEQRVLNEVLN >KN539411.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539411.1:4746:12718:1 gene:KN539411.1_FG005 transcript:KN539411.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVIITNHSLSVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKLITQVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVAFTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >KN539411.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539411.1:84416:88369:-1 gene:KN539411.1_FG006 transcript:KN539411.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTVLPLALVMLLLPLAAIASGDPPWQHYCGSSGNYTAGSKYQANLQALAATLPSTASSSSPALFAKDASGAGDAEPDRVFALTLCRGDTASANASSSSCADCASRAFRDAQSVCPYSKEVAVYYDPCLLYFSGDDFLSSPANPAQVRLYDVDRSTRRGGGGADFVTLPFNNVFVALDKSMLRKKDTMAREEVLKLWRLEESDSEFMLFDFSQIEDATSNFSEDKKLGEGGFGSVYKGRLPNGLEVAVKRLAAHSSQGLVEFKNEIQLIAKLQHTNLVNLRGCCIQGEENLLIYEYMPNKSLDFFIFDLKRAALLNWKTRLNIIEGITQGLLYLHKHSRLCIIHRDLKASNILLDRDMNPKISDFGLAKIFDSNDVQRNTKRVVGTYGYMAPEYASEGCFSLKSDVFSFGVLVLEIISGKRNAGFHQYGDFFNLLGYAWQLWKDGSWHELVDPSLVSEGQMMEIKKCMKVALLCVQENAVDRPTMSAVVKMLSSELKMLPEPKQPAFFNVRVKHGELSNTAPSSINDVTITIVNGR >KN539411.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539411.1:569:1313:-1 gene:KN539411.1_FG007 transcript:KN539411.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQEALVDIETDAEQLLLARHQLVENDRIRNGNREALTALRKRAKTTKTSVPTPFEVIMKGLEGTSGKQLVKEICPTCGDHDPKEDTWLMFPGSDIFARVPFHVAHTVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADRISPGIVKSLVSLTDKPK >KN539411.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539411.1:31226:34155:1 gene:KN539411.1_FG008 transcript:KN539411.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGQIAHARVLQAQSQQRAKVYVENGLGRGPDRCVRQGAREKEVEPSTRKVEGGEGDSALAKRVRDDEEEDKEAAQAFNFRHFWNFLFSAQANLEDIKEENPNEWMIDLIAADVPPMRHTDDPGAIYAKCYDAVQVYSVEVKQIKCGLRWPIEVFGHVAVRDSVDRKRNLVFNRGRDDCQTLTAEDSSLVLTGPSRYVLGMDNPDFEVELKVKGIAETEDKVLSSHAFTFNCIYDDGSSVKRRVASNKRSTVQITFALIPETVEATFEVKVVDGSLDPSLRPHFFASTHHHPSMKCVLLDPREGAMVISDNNSVKLTRSVVSVEVLGGLKLTAEALDDEKAVVPRTTIIFEPQRDGRVDGFLDLNCCKMVVKVSWSRLSIC >KN539411.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539411.1:39630:43147:1 gene:KN539411.1_FG009 transcript:KN539411.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAESPQWRQKATNFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQEARQRQPPPGETVQERFISAAATTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSNEYLFKSEGEKKVLQQLVSLYNEDSGAPLPDGVNPIDVAALIKCYLASIPEPLTTFSLYDELRDARVSIADLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLRFTLKAPPKIVDTTSNTTEWDLLDEDDVDASSQIPLDDASPPDYSSIEVIQSLIEHHNAIFTDANETVWR >KN538736.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538736.1:278527:282031:-1 gene:KN538736.1_FG045 transcript:KN538736.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDAFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIIDARQEFQTIIKDPLMANSIILVFANKQDLLPLTLPVLFPPEMPMPQLVNQSDAPGQSSFCSSNENIEFLGAYVIFAIGFLGVAARRGGHSIAVFI >KN538736.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538736.1:332442:333603:-1 gene:KN538736.1_FG046 transcript:KN538736.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNKIAVAALFLVAVVVAGGAGASAHVGVGAGAGADAGVGAALRTRHA >KN538736.1_FGP047 pep scaffold:O_longistaminata_v1.0:KN538736.1:235012:235245:1 gene:KN538736.1_FG047 transcript:KN538736.1_FGT047 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLIQTRLGHERSERYFRYLKMFLGSRMEKSMFDRVVVQTIGSENIRLHNHLLMSVLRNASLLAPHRGAPPGAM >KN538736.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538736.1:316506:319771:1 gene:KN538736.1_FG049 transcript:KN538736.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWYDDQYAVIDRVVDVAMRCKKIDQLRAVNGIPPYAPVSNRSLLSPVTLPIIRDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >KN538736.1_FGP051 pep scaffold:O_longistaminata_v1.0:KN538736.1:321467:324372:-1 gene:KN538736.1_FG051 transcript:KN538736.1_FGT051 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRLVLAVLRHRPRHLPDDDALLLLRLRFFDWSGSRGRYSHTRAVYHAVFRLLSRSHRSAVVVDWLRLFSNANATPARSRFHDTLVVGYAVAGDPQRGLSILGRMRFRGLDLDAFSSHILLNSLVEASLHEYADSFARHLAASPVATCIRIKSLCRQARSRDAVALLDTLPFDQASSAPAAGSIITDLCRRGRFDDAAQIVDRFPSADVYGSWIHGLVEAGRLDTTLQFLSEKKEAEGYIPDGPRYDKLTYRLLRSNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKTGLVEVAAHLYRSRMELGVNPNKDVYNNLIRALCRVGDTEEACLVLEQAMAEGYFPGRQTFTMFANVLCQEGKLDRVRVLLDRALKQEACPTDSVLAKYLVALCKSGDVEAACAVPQMAGSKSPKGLYRYESTYKSLIRALILIRRVDVLPRLLLEMQDMGHIPSRSLYQSVVCALCEVSRYAEVLELLNNQLQRTDLHPRVCYNYFIAGAGHAKKADMAREVYNQMEYSGLEPSGDSNVLLLMSYLRSKRIGDALNFFNFIRDKKTPGTKLYNVFISGLCEAQKPEQAMVFWREAREKGLVPSISCYEQLVLLLCSVKDYDNVVKVIDDFRETGRPVSAFLCNVLLLHTLRGGDLLKAWKRSEQATVKPEEIQGGIRNMNDLEDLEEDLEKHFPVDVYTYNMLLRGLSMVGRMDSACNLFERLCRKGYEPNRWTFDIMVHGFCKNGDRDEAERWMEAMHRNGFYPTWYTMRIYNNLALRSTDHKVISFV >KN538736.1_FGP052 pep scaffold:O_longistaminata_v1.0:KN538736.1:312895:314472:-1 gene:KN538736.1_FG052 transcript:KN538736.1_FGT052 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLLVAAAVVAAAAATSNGDVIEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYLLPFDPTTEFHRYSILWTRAAIVFFVDDVPIREVRRTPAMTGDFPSKPMSIYATVWDASTWATSGGRYRVNYREQNMVYSYCYDTLRYPAPFPECDVVESERRRLKGSGHLRLASRRRRRTRPGSRPARPTRAANM >KN538736.1_FGP053 pep scaffold:O_longistaminata_v1.0:KN538736.1:295029:302010:-1 gene:KN538736.1_FG053 transcript:KN538736.1_FGT053 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRLVNATGFRWYTRKLRIDEDGDVADEFLDEVVPEISINNDATPAGRYQVKYNTKSAALSMRKQISVIDGDICHSLEYQGRLRWMGTNDPGTPSKATKASEPEQSPATTSGTTAPVYPEWPGFQAYSAIPPHGFFPPPVAASPQAHPYMWGAQGVHPFNHYPMPANGNVETTGTAPGASEINGKNELGRTSGPSANGITSHSESGSESESEGSDANSQNDSHSKENDVKEDGSSQNGMSHTALNQNMSMAPTQTGVVIGGVAPTTNLNIGMDYWAAAGSSPVPAMHGKAPSGSVRGEQWDERELKKQKRKQSNRESARRSRLRKQNIMDSLIEAPKYLFQAECEELSVRADNLRAENSSLRAELERIKKEYEALLSHNASLKEKLEGNSDSIPDMNEQNDTNGTHQKQQDSDAQPNDAP >KN538736.1_FGP054 pep scaffold:O_longistaminata_v1.0:KN538736.1:226200:233404:-1 gene:KN538736.1_FG054 transcript:KN538736.1_FGT054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 8 [Source:Projected from Arabidopsis thaliana (AT5G45300) UniProtKB/Swiss-Prot;Acc:Q9FH80] MNDVLAALARAAGWTVHPDGTTFRASSQPLHTPTPQLPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVAEQSIKNEKYGNSDSVSSLNCLENHQLTRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGVSGELKYPSCPERMGWRYPGIGEFQCYDRYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKEIKVLCSDESEFEDHMSLQNYVEFTIQENNEAFADPEGLTWQVMNAAWDHGLSISVESALPCLDGEMYSQILDTAKPRNDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHGEATQIVEG >KN538736.1_FGP056 pep scaffold:O_longistaminata_v1.0:KN538736.1:248665:249474:-1 gene:KN538736.1_FG056 transcript:KN538736.1_FGT056 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGSLSGPPAATAEMKICKLVVMSRYLVAAIVSTSGGGGGRAVALCRPGTSPSWSAHHPPGGADHQLGDLRDIAVHGGKLYALHGHGNLCAYDLTSGGAGDGEPRVSSCVHHIAGDALPPNKLPEERDAGHHLVPSATGGELLLVRQLYSRFEGRHFTVFVADVGGGRLSEAAASSLRDQLIFVGTGCSRALTASHYGGAMGGGSRVLYTNDVEAYSSTHSYLVYDMIMRSNGPVFPIYDDDYLHEGKRRYRYEDTRYRSWFFPSSV >KN538736.1_FGP057 pep scaffold:O_longistaminata_v1.0:KN538736.1:284209:294379:1 gene:KN538736.1_FG057 transcript:KN538736.1_FGT057 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNAALEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEASGFCASILLVLSDPEKRAIYDRYGEEGLKGMPPPGSQSRTSAAAGSSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQEQTRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQVIPLVDALAGTTIHLKTLDGRNLPIRVEEVVRPGHEIVFANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGGFARGGGGGVRRERDAREAADRREVERLKRELNRVSKQMNDVKNECCELKKDRTRKDLEIKAKEAEIQSLRRANVASANKYAGSMAMDIDQSVHAPANGALHAGDSCLASTRRAETLNGRNKELSSPQDGLCSNQRNQTDASEVLEESVRFESKGSKRKEIKTIGVQTDLPGNNEYLEHKKVLVDRISSNLCAVWGMPTNNVMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKTSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSILQHLLNNRTMSNQRHSEENIRVDALSIMIIIARTSDPKVEREKFGFTSVMEKLHKLLQKENGLLVKKHSVDLLFLLLNLEFDSCLVIDYQPPPTQMFKVLENIATETLQAITGALNACKCKMINPLPFCYFVFSLYQYLDFLLLVGPTTLKLLCNGGKDSPEQIEAIRCENDRSQQAISSIFKDLSECLSCKATSSLGIKLCRVVVTLLAYIASSGKLGYEVLLGPITVRGANFLELIMEVLASQMEYDAALSNGEHELLKERYLLMREVLILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANQLPQRSKYPLRHLGEISIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHKSLQLPG >KN538736.1_FGP058 pep scaffold:O_longistaminata_v1.0:KN538736.1:329425:329888:-1 gene:KN538736.1_FG058 transcript:KN538736.1_FGT058 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMNRMAVAALFLVAVVVAGGAGASAHVG >KN538736.1_FGP059 pep scaffold:O_longistaminata_v1.0:KN538736.1:308293:308568:-1 gene:KN538736.1_FG059 transcript:KN538736.1_FGT059 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVRREEVRVEVEEEKVLKISGQRARAAEEKGDRWHRVERSSERFVRTVRLPPNANTDGVHAALDNGVLTITIPKDNDRKPHARLIPITN >KN538736.1_FGP060 pep scaffold:O_longistaminata_v1.0:KN538736.1:310084:311905:-1 gene:KN538736.1_FG060 transcript:KN538736.1_FGT060 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIGPNHPRIRHFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNMILRWLDRMKPSAQIRPHPPGPPNGSSEQFRHLSSTSKSTGAQKPTSKTLPRDGGKVLFSPLNIRPKSFPVLPTMMQPTRISASSQCRRISYSSFPSATAKRNDFMQGVFRKDIAQLMV >KN538736.1_FGP061 pep scaffold:O_longistaminata_v1.0:KN538736.1:238171:241342:1 gene:KN538736.1_FG061 transcript:KN538736.1_FGT061 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCSISNPPLSKTSFLNKQVPGWVLRAISKGKGNYTGGIYTTTKRNLRTGFHVRAVTGGQGTRNVSGAEFPSDYTELLVQAKEAAESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKATRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMSDRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAIVSTDRKLIIFNGELDRIRNYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPGPWKVLRNIGGSFFCLHEQEEMPSLKEVALDILPSA >KN538736.1_FGP063 pep scaffold:O_longistaminata_v1.0:KN538736.1:275007:277267:1 gene:KN538736.1_FG063 transcript:KN538736.1_FGT063 gene_biotype:protein_coding transcript_biotype:protein_coding MQLITRKDGVVGVVIFLVFPNVKEAFAMAPGSSIVTDIPESDCVSDGLSEALTSIRLDGDSTCKPWSTSLVTVGLSSLTGLNDLLECPVCTNSMRPPILQMSCCPNGHTICSNCKHRVENHCPTCRQELGNIRCLALEKVAESLQLPCKYQSLGCTEIHPYQNKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >KN538736.1_FGP064 pep scaffold:O_longistaminata_v1.0:KN538736.1:327238:327459:-1 gene:KN538736.1_FG064 transcript:KN538736.1_FGT064 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQMRRTAMVALLLVAVVVAAAYVPAATAYGCFDDCYERCANGKTDDACNSMCKQACSGAGGGPAEAPDDA >AMDW01035413.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035413.1:1:546:1 gene:AMDW01035413.1_FG001 transcript:AMDW01035413.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKVRPRCEAPKRDILYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPIPGLEPDLQPLVNYLLPHITAHKQSSQNIHLQLLKDIASRLPPFLPQIE >AMDW01035780.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035780.1:53:470:1 gene:AMDW01035780.1_FG001 transcript:AMDW01035780.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IAVLLPLQKKFTELKSKFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESKQELKAKQAQYEKSVSTVSELEKTIKTYGSEREGRLKALERKIRSLKSELQSMSKQLK >KN540694.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540694.1:37778:40790:-1 gene:KN540694.1_FG001 transcript:KN540694.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGPTPMHRVAAYFTEALALRVVRMWPHMFDIGPPRELTDDAFGGGDDDAMALRILNAITPIPRFLHFTLNERLLREFEGHKRVHVIDFDIKQGLQWPGLLQSLAARASHRSFLVAAHRGEASERDCRKARAASDGIGKGNGDFCVSRFAR >KN540694.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540694.1:1330:1479:1 gene:KN540694.1_FG002 transcript:KN540694.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDQPSYYYDGMGGTAGGGGEYQSWQMDGDDDGSAGGYGGGDVTLWSY >KN540694.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540694.1:29187:30624:-1 gene:KN540694.1_FG003 transcript:KN540694.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPLFSRCSWRSLEDGGGGVSDPLQWHSEDLERNPTHYSGWMARLGPGAITRLADNIGVGVCFDPFVEWRDKSLLSSCFHFSDATIQMLGSVSVTRIIASGDPVCLLWFSHIVGSLSGTLGYVMSELEDGKRFSEFVKTAKSLGYTEPAPMYMN >KN540694.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540694.1:22271:27174:1 gene:KN540694.1_FG004 transcript:KN540694.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSKPVIALVLLVVCIVGCFEVVTAQYDGSSSNGAAATGPMAAGRRLAGAIGMVLKFTGLAAAERKFNPMELELELDLVLNLLGLPQVAMLDTQLRIKIQSYGAGPGAESIRPAAGGNAGYPVEDRPMMAGGGMEARNIGLGGGMPEPPLPPDASNTLFIEGIPTDCARREVSRILKSHAFFCLSLIFHLHKFCWSFTFPQDIFRPFVGFREVRLVSKEARHPGGDPILLCFVDFETASQAAIAMDALQGYKFDEHDRNSPHLRLQFARFTGPRGGSGPGGGRVRR >KN540694.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540694.1:44781:45044:1 gene:KN540694.1_FG005 transcript:KN540694.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQ >KN540694.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540694.1:3970:14225:-1 gene:KN540694.1_FG006 transcript:KN540694.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQEQQVSKIQLPKQGGCTEVGSEVWWGGGGDSPESVRDSAERISPDSSVWDLRAMAAAGGGGGGDAKYNSYKAAGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDMVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEASVHLVMELCAGGELFHRLEERGCFSEHEAAALFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIKPGRSLSGMVGSPFYIAPEVLAGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDKVSDSAKELITKMLRRDPRQRLTAKQSIPGYKIMPTNPRIPVDIAMRSISEERTLAHVRSRRRWRHAAATSSFSAFVAENAPSCALSGFSFGGVCEPCNAVFPSPVASMPSFSFFCGQGPGEPEPSPDGDASGEKAHCDATVVALVSSSSAPRTAEVLRAAVRANPSRAIGMNSRRNHTIGAGEREHLDVAVAESVIRWASCTNLSTTHSLRASLDPLVGPRCRALFEEGGVDDRLLVMLFLMVERLRPSSLWKPYLDMLPSTFGNSIWFTEDELAELEGTTLHRATVMQRKSLQTLFDNKVKGLVGELLNVDESGSSIEVRFEDFLWANSIFWTRALNIPLPRSYVFPESLDEKRANIGDDCGDSSLSAPQGTGTAITAKNISGNDNPKSSNTESIWVEGLVPGIDFCNHNVKALATWEVDSMGHVTGCPSSMYLVLADKSFVKAETEICINYGNKGNEELLYLYGFVIDNNPDDYLMVTLSKRLLLATGSHYLIVLQLNIIHYPVEALRQVQSADIKMKLLEIQNAELRCLLPRSLLENGFFGSCSGENKENKNNTSPFSSYSWSGQRKVPSYIEKIVFSQEFISTLRTIALQEHELEHTASLLGEIGSNEDREPSSDELRIAIWEPFSFARMTELEEGTGTEASDSQLLEKFDLSDSEDATRSDESNETKSKVNIRSCIVYRRGQKQLTKLFLREAEHLLELSSKEEN >KN540694.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540694.1:31856:35874:1 gene:KN540694.1_FG007 transcript:KN540694.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDVEEEEHDNSQITSPLLRSSMRHGSPEEVEEENSPVEQVALTVPVGDDPATPVLTFRMWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAVLPESVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYIYVITPITYWINLYKAQNFPIFSDGLFTVTGQKYNISTIIDSQFHFDTKAYEKNGPLYISTFFAISYGLGFACLTATVVHVLLFHGSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPDICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGITVQGCPVASA >AMDW01039309.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039309.1:58:912:-1 gene:AMDW01039309.1_FG001 transcript:AMDW01039309.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILTGDQPVIIYTGADTEKRQVQNIVLPKNRSDPYLREWTKPKNNPLIEPVGPGLNSNQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFMQWTRVDHPLYSSNASIMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKRDMFVPDTVLDDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSTSDDVAKGWAGIH >AMDW01112110.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01112110.1:68:636:-1 gene:AMDW01112110.1_FG001 transcript:AMDW01112110.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSERAMHAIPPPASSHGEDFAEVVVVRHGETSANALCIIQGQMDIELNEAGRQQAVMVARRLAKEAKPVAVYSSDLKRAAETAQTIATACNVSN >AMDW01026945.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026945.1:155:352:-1 gene:AMDW01026945.1_FG001 transcript:AMDW01026945.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDVSYLTNRAAVYLEMGN >AMDW01031569.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031569.1:83:436:-1 gene:AMDW01031569.1_FG001 transcript:AMDW01031569.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSVFIASQDGSGAVSVLMTVLESTSPSLTNGSLSFDVLSPPAADYTNGVYTIFATIALPNNSTAQNTVWQAGPGSTGNVGQHATSGPNVQSMLRLDFSSGQSTGTASNSRLHRRN >KN540602.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540602.1:3173:5805:-1 gene:KN540602.1_FG001 transcript:KN540602.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSFLDRMVSQLRSACRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTHHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >KN540602.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540602.1:36400:42117:-1 gene:KN540602.1_FG002 transcript:KN540602.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCPGNCGGVGIPYPFGIGAGCFRRGFEIICNDDDAAPFLAGSGDSLIPVSDLSFDPSEARVMLPIGWQCFNSSDKVDVYRDPNVDFNRDGMYRISHTRNHLVVLGCNTLGYVGSKRRSGVVGSDYDHAEYTGCLCYCNDSSSAVSGDCDGVGCCQVNIPPDITDNTVSFDDTYPHERNLNYSPCDYAFLVEKDNYTFSTADLRMNKNRTMPVRLDWAIRDNLTCSQARKTAAQVSGYACVSDNSDCHDSTNGPGYVCKCNKGYEGNPYIPNGCIGYVCKCNKGYEGNPYIPNGCIVQNNTSLFMTKEIICSKVIDYEQVLEIVEAMRFQV >KN540602.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540602.1:14262:17006:-1 gene:KN540602.1_FG003 transcript:KN540602.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPDILDVQINTDIDECLHPELYTCFGDCRNLPGSYECGCPKGSHSADPYSQQCIQNFPLPAQIVVGVVGGLFIAAVFIFIALLRREKRKMKEFFKKNGGPILEKVNNIKLYKKEDLKPILKNANVIGKGGFGEVYKGHIGDSNQLVAVKKPIHVSLEKRDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDILHGSNRVPLNLDQRLHIAAESAEGLAYMHSKTSTTILHGDVKPANILLDDDLLPKISDFGISRLLAMDHDHTMSIIGDTSYMDPVYCQTGMVVQCLNREVDQRPEMTDIAERLHNMAKRVHSN >KN541449.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541449.1:4104:8309:-1 gene:KN541449.1_FG001 transcript:KN541449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQDDDHQLVLVLVPVSSGGYIAAAIVSPQLYGDNSPRFFTYWTHAVGYVAGEEYYGAKASINVWAPKVSTPEEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLVWKKKV >KN541449.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541449.1:18639:27540:-1 gene:KN541449.1_FG002 transcript:KN541449.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ATMLLRGIRDIGWEHAVDLDGNKRRWKCKWCSLCRSGGVTTLKAHLTDSSCPNIPKEISKKVLNFIEEKRAARHLFNSAAKSPFNVKFEEDAVNLSEIQEEGTPPLTDDQQPLGNAMHIQTSECTINEFEKVAAGSNQQGAEHSNQLLNHGEQLMKSSDQPEEHCPLEHGRCRALDNNKQHTMDNKTDNPEHKEVLKHPKKTRFNIRKHIVIVDESARHWRCRYCGLDGYGKTSRLHFHLAAVFRHPKCPSVPKEVFAKARHHIHLKRRLNVKKAGQQARSRPHILGQSSQQQQNSNPVLSNCPTRLRDNAWEHSLIHDKEKGHWKCKWCSLEGYHGITRLKWHLVGWQNRPQCLNVPEDAAKTIRDKMISREKQKEGRSNLDVIDSCNMPCSSESLQFDQENFAEVMQGKGSSEDFNQAERQSNTLNTVCNTTHPPQNSNNSQGLQENGLYSSKNKSEKQTERYDCSSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVGDKVEMDSNRKVSSDGNIAKCQSVLVDVLKSENFALLCNVLGRTVHQDEQRTKYFDFSMIDSRMKNGDYGRAPLLFKRDLKMVERERGSDDSEENLKGAAATNLEPMNMVKSNALVLSTSQGFNQLDQPDPMDVCDEQNGTNCNECGKVAKIDSILTCKRCMLAFHVSCIEPPVPSTSTGSWCCKTCSTSCNESAEGDMALVHYEPNRLHGHCVACKDLEFCRPPRCEETASERAPADNSRAIVIPSAEPVEDVELSDIDVRGLCKMCGNPEEKDKRFLVCGHTHCLYKYYHISCLKATQIASDKQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCAIERAKEGMARHEKRMLKLHRKDDPGLQGMRYEVVDMILAAAEMLSDDEQQGT >KN539989.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539989.1:67043:67162:1 gene:KN539989.1_FG001 transcript:KN539989.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSQVKQMGRLNKALKEKRAKLYIIRRCVVMLLRWSD >KN539989.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539989.1:1778:4259:1 gene:KN539989.1_FG002 transcript:KN539989.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding ASFARHMSNQGFDTWIVEVRGSGLSIRDHDNSASSPSGAFDDISNGTLALDKSNTLEVASVQSSGFSGIDYDDLGIVALDDPPLLTEMASFFDRISKLLEEASLNKNFHEITDKISVLSEMVESSAVIGPMREESLRLLKNFQEQIDSWEHFVATQMNLNSEYNWDFDHYLEEDIPTALLQVEYIRQHTREKDGKLLAIGHSMGGILLYAMLSKYGFEGVPSNLAAIVTLASSVDYTTSNSSLKMLLPLVHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRTETFSYKDHLRYCQTPVLALAGDKDLICPPEAVYETVKLIPQDMVKYGVFGKPEGPHYAHYDLVGGRLATDEVYPCIIEFLSRHDQ >KN539989.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539989.1:21797:34442:-1 gene:KN539989.1_FG003 transcript:KN539989.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIIDLSQYRGPELDKKKAKSKLVELEKKADIEENSRVTAVESVMQALVFLDSEHDVNMIVSDYCMPDMTGYDLLMEVKKSPRLAHLPVIIASSDNIPERIRKYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKARTIPHYAELKPVPCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLGGGIPRRSAPILQNIREEYTVSTDFRAKAQPMLVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >KN539989.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539989.1:18823:19092:-1 gene:KN539989.1_FG004 transcript:KN539989.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTTFAKPAVAILSALLLLFFLLCNSSTSSPHEQPSVLRSRRLLSQCDGAGSCSTRVDGLGRFEKTPKAVFESLKRVPSSKSNPSHN >KN539989.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539989.1:8826:17757:1 gene:KN539989.1_FG005 transcript:KN539989.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGCHTIRSHGTNVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPKFDNVTTNVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLKAFDRKGHIARWHYRFVILKPFSQPFRTFSLSESLTVSSFCYLQFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIETADEGHGAISLRDTSPILDTMESVGVCTLIIFQSPLYYPADNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFIGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGIWNYFLCHRLSKLYRNKNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >KN539989.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539989.1:39535:40160:1 gene:KN539989.1_FG006 transcript:KN539989.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARHSLRALLNLLLLLLLTVVVLAASMYIPLQLPWQLIYQNEQDVYLYIPDRNGACVVAWQQPPLQTLFGSP >KN539129.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539129.1:45872:48257:1 gene:KN539129.1_FG001 transcript:KN539129.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTRADAIITAYRDHCAYLARGGDLAALFAELMGRRGGCSRGKGGSMHLYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEAAVTFDLYGDGAANQGQLFEALNMAALWKLPVVLVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKQHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLLLAHDFATTQELKDMEKEIRKQVDTAIAKAKESPMPDPSELFTNVYVNDCGLESFGVDRKVVRTVLP >KN539129.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539129.1:108391:110995:-1 gene:KN539129.1_FG002 transcript:KN539129.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNFWFSSFSQSFRVVRLGLGLFRTHVSSFSVASMMILSGSSVPKQKGISLHLSVQSAVLDAKAEILTQLDAIASPSKLPAPEQPVQTACRNSDFVLKQEQNCPSAFTNVTLSTEALLLPAGSMSSEQRTYRDALLTPPTAPSFTSNQLRRKPPLSMLHRCFRCLSKDHSVESCRDPVVCAACHGSGHRQSDCRSPLVSTAKPLHSRARHFPHPAPRPPLFQSRWIKKPCADTTPKYPPIPKKPYPNSLCNMESVTTQVTVGGTFPSTEEDSSARVEGQAGAVGIEIQGNQTYWDNEVSFDLEKLFAPAPLPHPCLAVLAAHRTAVASSSRAKGKSPLSIEGAALPVIAEPEVVKDIFVCEVSTAARPGRVPIVSFSNSWQGAMFVRFSTPEDRDAAIDFPGTMMTEGHILVLEKPEENETRFHQPLDKLTELEVTDWPPEHRIPGRIRNLFIGVAFVVEIDDECVYGDDMSSLRMVVQQYPGQPILPAMFVWLRNGDIAVAKLRVIRTWDASLNFDDNGTYTHHFPVLVVPNLGRHFSQASPLINPGQAQNNNQNFGGGHHHNFQYDALTLPAPFGWEDDHILHGTPVQFTEITPEAAHPPEGVNATTVQITEIVEDVDPPTEDVAYSVPQDDQQNIDDVEPDQTFSVRKARGDKKRATQDHVKRRQSDRLAAKEAARYQTVAGKAARLKKLKEQLAKCSVKLNQVVAKHKLFDLDSATTPEALNDLAVTCSLDDHDVYLLNRVLASVADDVV >KN539129.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539129.1:23440:29668:1 gene:KN539129.1_FG003 transcript:KN539129.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G22800) UniProtKB/Swiss-Prot;Acc:Q9FFC7] MEAAALLSPTAATSRPLPLLSTAPAVRRLHFLLPLSGRRRSRLHLPPRPRGLGCAGDGVARRMCLSRERGVLVRSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNELFTVSKYAYSVPEVMGLYMVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDVHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIIKSIPDTEFLGYDSLSATAVVKGLLVNGNPVNVVSEGSDVEIFLDRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLASKATTIDSTRLVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >KN539129.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539129.1:64853:67582:1 gene:KN539129.1_FG004 transcript:KN539129.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDLRRWRAGNYRHRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVRGSCRPLHDGPVSLMHWSGKGKPWDRLDAGNPCPLDHTWKSYDLYVAGDDGATVSSPASGPALPSSFLVRNQCSTQETCDRRLQSSPTTSCALVSRLLPNHLKQKEWNNILALTILCNDAICCPKIVGIIEPHNDF >KN539129.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539129.1:89210:97390:1 gene:KN539129.1_FG005 transcript:KN539129.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREHGNLSMFPTYAFKEISLSGPWTLKDTCNFRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >KN539129.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539129.1:12214:19665:-1 gene:KN539129.1_FG006 transcript:KN539129.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MKTNDKFLDVDGGAWKQGWEVSYKGDEWDGEKLKVFVAPHSHNDPGWRLTVEEYYEKQSRHILDTIIESLSKDSRRKFLWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVVPKNSWSIDPFGYSATMAYLLRRMGFHNMLIQRTHYELKKELAMNKNLEYLWRQNWDIDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRSFSYESCPWRFDPVETNANNVQERAMKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEAQFRNYEKLFDYINSNPHLKAEVKFGTLEDYFSTLRDEAERINYTRPGELVSSELPGFPTLSGDFFTYADRNQDYWSGYYVSRPYFKAVDRVLEQTLRASEILSSFVLGYCQKLQCVKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDIHDRSDPTLLSHFEPVQERSKYDVQPVYKVLNPHGGKAHSVVLFNPLEQTRDEIVMVVVSNPDVSVLNSNGSCLRSQISPEWQYVSGEKVSTGQHRLYWKASVPALGLETYYVATGYDDCAKATPAVVKAFTTAGQFPCPEPYVCSKLEGKTVEMKNSYHSLSFDVRHGLLQTVTRNKDGEHTDVGEEIGMYRSHGSGAYLFKPIGEAQSIVEEGGYFILSEGPLVQEVHSLPKTQWHKSPISHSTRIYSCGDSIQDMLIEKEYHVELVGHVFNDKELIVRFKTDIDNQGVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSLHSKQSLGAASLKNGWLEIMLDRRLTQDDGRGLGQGVVDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHHVGAHLNYPMHAFVSKKAQEKSFKLAQQTFAPLTSPLPCDVHVVNLKAPQPLKFHHAEAVEARFALLLQRRGWDASFCRRGGLNCTTIGEEPVNLFYMFKDLAVLDVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMDIQAYKLDLQPPSSQDE >KN539129.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539129.1:125713:125973:1 gene:KN539129.1_FG007 transcript:KN539129.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVHAASLVVSGRPLASVTRYLAARSRQEVREMVAALEATEQVKIPGLGTAMEQAQRLLEMFAADEEEVARKKLKRASDEEHAK >KN539129.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539129.1:130826:135679:1 gene:KN539129.1_FG008 transcript:KN539129.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQKSHDWCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRVVFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEDVDETRKLNMGTEGSGQGLTEGMVPKAPNELVKQAVVETSPPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSDSSDMCTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSSDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPVVCESDNGPTSPWIPFRVLFGTIQDSISSLARELLFHHYQELKENKITREEMVKKMIIIVGEQLLLDSLTKLNYNPSEWYKSRSEVNCHPLIIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTIVSMALKREQFSIPSMCSESFSSHCTKSQDSVMRMRADDTLVRRALISDSVNGCDSVGPSVESHCHSLLAQNFDSEGHASHVVSMFGNSTARLHSSAPCMTTEAQVFVAPSRAYENSSSLDSEGSDAVISSIAPQVHAPSMPPQNCPRTSVAPHLCLPRSMAPHLRVLRKISKVHSTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADKERNAPSLINGALRREVQAQRPNQGVDASSIINQAADTLVALSAHGEKEPKPKLQFIRLTRLYLTCWHCAYLVENELESGNTEITTYKLYFCCPEFVVMFSYFWPFQLDNSEFQLGGLSEKDPKS >KN539129.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539129.1:76359:82723:-1 gene:KN539129.1_FG009 transcript:KN539129.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETTSRRTAATPGEIAKLFPNLFGQPSVSLVPSPEPASTRPLKVGVVLSGGQAPGGHNVICGIFDYLQEYAKGSVMYGFKGGPAGVMKCKYVELTADYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVNKLDLDGLVVIGGDDSNTNACLLAEYFRGKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKETLKSVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYPAHFRGQSHFFGYEGRCGLPTIFDSNYCYALGYGSGALLQCGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKYKPVIKKAMVELDGAPFKKFASLRDEWSLKNHYISPGPIQFSGPGSNDANHTLMLELGAEA >KN539129.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539129.1:33536:35918:1 gene:KN539129.1_FG010 transcript:KN539129.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVRRAKNRYTWIGFGGVPAALAELKGMALRAVSSVASPSLDETSAANISDDEDDDKLDDAEGDAESEKLSLSQSIDNPSDKPDAPPCKLRSEHRKEKSLGLLTQNFVKLFLTMEIETISLDEAAKRLLGEGHAANNMRTKVRRLYDIANVLSSLNLIEKTQQADSRKPAFRWLGQAKRNEGVTVALPPTKTLPNKRAFGTDLTNIDNKRGKLDSTMENRGKPTQDGGNLFNNLQRQLGQENRSDFVYGPFHPAGARKQEHGNRTVQEKERKSIQDWENLASSFRPQYQNPGLNDLFGHYMEAWRSWYSDLRRDRAS >KN539129.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539129.1:2502:5280:-1 gene:KN539129.1_FG011 transcript:KN539129.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHKYTALKKRKLLDEGLDQKRAADINELRQAMKDWVADLQGENERLIAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTRENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSAMCPVFFKQISHILRQC >KN539129.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539129.1:98460:100485:-1 gene:KN539129.1_FG012 transcript:KN539129.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDHGVCVQVGDGDTDHYCWQRPEDMTTSRQAYKVDRRRPGSDVAGETAAAMAAASIVFRQSNPHYSHLLLHHAQQLFEFADTYRGKYDSSIAEVKSYYASLLMRGEHEERHRGTLERYREKAEHYVCACMGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLSAYSDYLAGAGDGDGDGAAVATFRDDRENYMQTEACTYNTAPMVGMFAMLNRLSRQESPSMTTTTTATTSSPEMGLSVNR >KN539129.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539129.1:126656:128277:-1 gene:KN539129.1_FG013 transcript:KN539129.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQQPERRGNSLTDLNDDLLSEIFFRIPPGDPGVLVRLSVVCRSWRRLLTDRDILRGYRAFHRAPPILGFFCADFGRITFVPTTAFRPIIPSADWLLCDSRHGRALFDAFGSPMRLLVSDPMTGTERLLDAPERWRNIDWTLRYPWTNIQWSAAVLCAVDGCGLGHLDCHGGDPFRVALVGTDAAGTTHAALYSSKTKAWSGPASIDHHPDAFVQARRPSVLVGNALYFLCDNNTSIVEFDMATMTLSVIPSPPLPEDVYGALLMTAEGGGLGFAAVLDRSNLHLWSKSMDQWEHLEDVRDLKTLLPRGSISMMNNLLIGFADGGVRVVVVRTYHGPFIVQLGSTGPARAASRRTGTNAVFPYTSFCTPDRSQRQIAAATRIERSIRLHEPADAGFLQS >KN539129.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539129.1:38441:39781:-1 gene:KN539129.1_FG014 transcript:KN539129.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNYVRDKTPEIMPAINKFFTEPTK >AMDW01021875.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021875.1:212:298:1 gene:AMDW01021875.1_FG001 transcript:AMDW01021875.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKVFGFEEVAGHNVTKDCWLIIAGK >AMDW01036686.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036686.1:82:487:1 gene:AMDW01036686.1_FG001 transcript:AMDW01036686.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EWQEEVYPPYANGPGYVISSDIAQYIVSEFDNQTLRLFKMEDVSMGMWVEKFNSTRQPVKYSHDVKFFQSGCFDGYYTAHYQSPQQMICLWRKLQSGSAQCCNM >AMDW01018605.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01018605.1:57:158:1 gene:AMDW01018605.1_FG001 transcript:AMDW01018605.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQ >AMDW01052271.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01052271.1:53:498:-1 gene:AMDW01052271.1_FG001 transcript:AMDW01052271.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDRNGCLKSYNSLSSYHNTLLKRSLSNLQRTYPHARVMYADFYSQVTAMVRSPQNFGS >KN541700.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541700.1:17552:20827:-1 gene:KN541700.1_FG001 transcript:KN541700.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPFLPTLHLILVLVVVLPIFAGASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQAEEIAKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKANYGEDVIVGVIDSGIWPTSRSFDDNGYGPVPARWKGKCQAGADFNTTSCNRKIIGARWYSSDIPDDELKGEYMSPRDLSGHGTHAASTIVGGQVWNVSHRQSGLAAGMARGGAPRARLAVYKACWGPKIACGDASLLAAIDDAINDGVDVLSLSLGGYGEVPGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVISLGNKEKLVGQSLNYNSTMNSSNFHMLVDGKRCDELSLASVNITGKIVLCSAPLEAANSSPNNAFITTLAAVVKRRAKGLIYAQYSANVLDGLEDFCHPYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPGNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVYMPYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIVVPDLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRISVEPSIITFTKGGSRNETFKVTFTARQRVQSGYTFGSLTWLDGVTHSVRIPIVVRTIIQDFVSDTS >AMDW01031914.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031914.1:141:449:-1 gene:AMDW01031914.1_FG001 transcript:AMDW01031914.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VRVYTARGVEEELEAAKRDYLQAAVGVSAPAKVAIPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQKAMRIVEDGRRGVAAESRRVQVLPYEFRFRYLLAS >AMDW01040298.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040298.1:717:1332:-1 gene:AMDW01040298.1_FG001 transcript:AMDW01040298.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EEAGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKETRTERVESYAPKTNSGYQMHIRINELLIVILAFRPVTFCDVVVLQ >AMDW01019224.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019224.1:79:192:-1 gene:AMDW01019224.1_FG001 transcript:AMDW01019224.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITGDNDSGKIRDETGAAATPFAYGSGHARPVQALDPGL >AMDW01007760.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007760.1:16:211:1 gene:AMDW01007760.1_FG001 transcript:AMDW01007760.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVL >KN542480.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542480.1:4131:4397:-1 gene:KN542480.1_FG001 transcript:KN542480.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVDDDSQWVEVVMINSYALFMGYLSMAIRGMGFLVVLWTTVILLGGFVSMLEKKDFWSLTVITLAQTTGLVMYFELAPQASFAAY >KN542480.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542480.1:14919:15275:1 gene:KN542480.1_FG002 transcript:KN542480.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNCLPLFCSPALRRLVAMACMWQGGPPHSNGGAALRVDQNGWVDEFLGIRKLQPDGSSRLQGRKRCRGSRADLARLASKGGDVRGEALMVVRERRRWGEREDERVSCTPVASIVC >KN542424.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542424.1:2497:15834:-1 gene:KN542424.1_FG001 transcript:KN542424.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding FGGLSGSSTSSGTTSSSTSNGSTTTNSTDDHLRKVEAVDSPMSSFTHFISLPLGIHPQLVDKLTEFKRSILTSNEYKGFRIDESIFAIPESLHLTVLMLELQGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLVVEALINHVFNISNLAPISDEKRELKLHATVMNARFRKGYCPDASFDARKIFEKYAEHEWGEYLIPEIHLCQTRSAKPVTHLTTSGHGQSGSPAGRLNLKPPPPSPSHPCSLALPSQADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYDASLPENKVATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGRGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPMLDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPEVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSTIPLPTAEMQTE >KN542182.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542182.1:1132:4561:1 gene:KN542182.1_FG001 transcript:KN542182.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFRREIERERRTLAACWEESRARVSRSRVVEGCSRVSLKKKKKKSFYVFAIDEAWAALGLMCSSNGPSYTHCHGPFAVRMAHLSLGGGDVNGPSQKGKHVFSKAEFHVLILGVHKAGKTTLLEKVKSIYLKEEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSAAASSFEDAKSALEKVLHHEDLQGAPLLIFANKQELPAAVTEEELARHLHLKELDERPYMFQAGSAYDGTGIKAGIDWLVEEMERSKRTEVLMARTETAEKI >KN542182.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542182.1:12938:14144:-1 gene:KN542182.1_FG002 transcript:KN542182.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGRGDPARWLEIAGKLLAARDLVGCKRFAERAPNPDPAEVKRSYRRLSNLLSSNPRPGADAALRCVQEAFAHLSDSSANPAPAPAPPPAPAPAPASGGDASAAAADAFWTACPYCCHVYQYQRALMGRALRCPGAGCRRAFVATEIPAAPPIVPGTDMYYCTWGFYPMGFPKAADLSTHWKPFCPMYPWNSSSPQQAPADAGNVSKQNVESNGGNVNINVNTPPSNAQPANKSGASSGVGVGPSRGRIKKTTARKKVGGGFKKNASGGVESGIEPSLLGPDSWNGVAESGSMVGARGININEVAKGTDGSSMMHFGGDEEIGFDLDVDATDAILGNLQHLPFLRDDDNARRLF >KN542182.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN542182.1:5387:9648:-1 gene:KN542182.1_FG003 transcript:KN542182.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-4 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/Swiss-Prot;Acc:Q9SB50] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRIEWNLKKASANKRFNLCFLSFIFSSLFIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >AMDW01021699.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021699.1:57:305:-1 gene:AMDW01021699.1_FG001 transcript:AMDW01021699.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EELGDVPIETDRSHHVPVTGDEVLHTSVYEDVTSALTNEVESLVVPESGNNDEDYQALINVDEVHDISENKNEGCRPPSSQDE >AMDW01038744.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01038744.1:12:306:-1 gene:AMDW01038744.1_FG001 transcript:AMDW01038744.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGS >KN540171.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540171.1:52787:55568:1 gene:KN540171.1_FG001 transcript:KN540171.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGKAAVGWAARDASGVLSPYSFSRRAQKDDDVTIKVLYCGICHTDLHIVKNDWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVASCRGCECCGNGYENYCAKMVTTCNGVDHDHGGGAATQGGFSDAIVVNEHYVLRVPAGLPLDSAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRQEALEHLGADEFLVAQEDGDKREVKPEAKRVPERQSSKCDGHSTLSVLFQAADRHLRLVNLDGPVDVHHQNPSSVFLGGLGRRKRAEVK >KN540171.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540171.1:16560:16829:1 gene:KN540171.1_FG002 transcript:KN540171.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKGAFVMLLVLVAMAASLHEDFAAAARPAAAAGGGRAADHQQAAAPAPPSADAGLPVSLAVSSISNCSNSGNNHGKTQCPPSSKP >KN540171.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540171.1:38948:42257:-1 gene:KN540171.1_FG003 transcript:KN540171.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGTAALGWAARDASGHLSPFSFTRRVQQEDDVTIKVLYCGICHTDLHTIKNEWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVDSCRACDSCGKGDENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVLRMIRR >KN540171.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540171.1:38236:38577:1 gene:KN540171.1_FG004 transcript:KN540171.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAVKIAAIFMVALTIGHLMAEASSSTPQPRRLLEGDDEDDGEVEPAPAELDEATLLAGELAAIVQGCRSICHRHPKSWRCRCCRKRPGCHRVLDTCVCPHASMVTDGLF >KN540171.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540171.1:22262:22534:1 gene:KN540171.1_FG005 transcript:KN540171.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKGAFVMLLVLVAMAASLHEDFAAAARPAAAAGGGGRAADHQQAAAPAPPSAGAGLPAVSLAGSSNCSNSINNPSKTPCTPPSSKP >KN540171.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540171.1:44964:46460:-1 gene:KN540171.1_FG006 transcript:KN540171.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGKAAVGWAARDDSGVLSPYSFSRRAQKDDDVTIKVLYCGICHTDLHVVKNDWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDAVGVGFFVGSCRACDSCGKGYENYCPTMVITSNGKDYGGAATQGGFSDAIVVNEHYVLRVPAGLPLDSAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRQEALEHLGADEFLVSRDAGQMAAAAGTMDGILNTVSAWHPIVPLFALMKPMAQMVFVGAPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMNYVNTALERLEKNDVRYRFVIDVAGSSLGGSGDEKI >KN540752.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540752.1:10447:12555:-1 gene:KN540752.1_FG001 transcript:KN540752.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRHRCCCSIGVSTGGEADSSLLMLFMWRCACVVQWVRVVGMSEEQGLIHCAALLLLLLLEHVGDAAGRANAIQRNYGGACVQMRLSCSSLAPFFLYLIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASFREFYALNNLCLPAIIYPILQQLEGSLIERDLKGKGRCKDIVSRKRLEDWRKLCNKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYRRSESCPFCRGSLKRIRSRDLWVLTNYNDVVDPVTLERENVKFIVLLMVSFL >AMDW01036684.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036684.1:101:534:-1 gene:AMDW01036684.1_FG001 transcript:AMDW01036684.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSDAIDQHNQHNHMDNGGDEFLLSLYGFTQHDDNTLVADVVDGITQHGDHNQITNEGGVERRDRGNNMGRGLQ >KN540752.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540752.1:28287:32733:-1 gene:KN540752.1_FG002 transcript:KN540752.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLGLGDREGVGIEASGNLNAIATDGFLREAMLGVIAEEGFQPAQISEVDFMDHDGDAQEDHEDSSKDGSHDQAHKRAKNSASPTISKEQKSDVTAMQLVLTPFGNCQPPPPPRPVIADGIAYTIVHTKSGATVVGEVIAAAEKVDEIYLSQPVDSPTPEQPSLLPPELERCSLLSSQPAAAAKITGEASLAATTAALSLAVPQMATPTSTDVNRSKDQLNKTSSTPRRRSVRSNVENMDGIAAGDDDSLLKAMKRKALRNLDDSFAGREVSTTNSQQHSASHKTSSSEGLYLQIDGPSAKPIIRDFYGGGIHLY >KN540752.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540752.1:21659:25015:-1 gene:KN540752.1_FG003 transcript:KN540752.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAITGGGRRPPYRRGPAAVAPPARFSCRCGTHPVPRRNVLSTMLSTSTVILFGSKQITLAETTGATFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYVTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRHYLSVLGVENKRLYELRLQSPEQVFKEEEGDLRRVMDSFRVNKTIASCPMAMNAKEKFKLYKNES >AMDW01019787.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019787.1:3:269:1 gene:AMDW01019787.1_FG001 transcript:AMDW01019787.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding KLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATE >KN539339.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539339.1:113736:116017:-1 gene:KN539339.1_FG001 transcript:KN539339.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding AILPLRGKILNIERRDEAALYKNEEIQNLIVALGLGVKGEDFNKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKELVNTFPTNASYHIQRFKGLGEMMPAQLWETTMDPERRMLKQLKVEDAAEANVVFSSLMGTRVDFRKQLIQNAASMVNLEHLDI >KN539339.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539339.1:17133:22754:1 gene:KN539339.1_FG002 transcript:KN539339.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIYSNKNDIVAKESLGLQGEFGLRIEPDAENGNGEVAGKLPVHPLAMRAAPHSRARSIHAPSPAPARSQFDFHLTKDRKEEEKGEWHIMTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQVDPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASHQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSNDNPFRCSYILPDGITYKKGFVKDLDEACRYSSLPANGESVRKDSSDSDRSKFEDKKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECIVRAIQACHPHLQPVLFERRKHAIPSIHRKIKYHLKGCGIYLVTFHREKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRRRFFH >KN539339.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539339.1:70186:80120:1 gene:KN539339.1_FG003 transcript:KN539339.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLFSSLPLPSLPSFLSPSPSSAAVDLRRRSLYSEVETSGAVPEPSRRFTIDLVGPRLLYCADEAVDLLLRSGGSHHVEFKSVEGGTLLYWGGDLYPVPDSRQAIFKDTTLQLKEKNLLFRFFKLVQDHIAASAAGSAAGGGEASGKLSDEDLDLPFVEFLKKQNLSPKMRAVVLYAIAMADYDQDGVESCERLLTTREGVKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPATALLVDEEKKRYVGIRLASGQDILCQQLILDPSYEIPSLDMPCDAPVSNLPRKVARGICIISSSVRQDSSNVLVVFPPKSLEEEQITAVRVLQLSSNLAVCPPGMFMAYLSTPCTDAFTGKKCISKAIDALFSTKVSNDLEDHLEKNSEENKESVKPTLLWSCVYVQEIIQGTSGTALSCPIPDENMDYRSILESTKKVRFLLNFILSTNHIRNCSLIFVLMKSSCLEIQLPNMLLITTPILQSKSKLAKGFVFYMTGLSLKISVPYYSVPQSHGKKYCSKGEPFTLIIHDLPRSVKIVEVGPRDGLQNENNIVPTHVKIELIQRLATSGLSVVEATSFVSPKWVPQLADAKDVMDVVRNIEGVSLPVLTPNLKGFEAAVAAGAKEVAVFASASEAFSKSNINCTIKESLARYKDVALAAKELKIPMRGYVSCVVGCPVEGYVPPSNVAHVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPKEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGISTNVDLGKVMAAGEFICNHLGRQSGSKAAIALGSKVATANASKL >KN539339.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539339.1:54148:58830:1 gene:KN539339.1_FG004 transcript:KN539339.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVGILLRKLGDFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAAADYDQTEQPLETLRAMHKLALEVQSLKARALKVSERRLRYKLEPPATATAAWTVPSYDDLDRRLPALNIDESRLVGVRSKTKAILKLLEDGGHGGDIPSPARRKVVSIVGFGGLGKTTLAATVYNSPTVQGIQHRAFVTVSRNCDLRALLESLLKQLIQTTPLMRDPRKCCGQETAAGGNHDPLRGIETWDVPQLITQCSFQLNGKRYFVVLDDLWNPSDWANLKVAFPDNDKQSRILITTRDRHVAESCCSDICDRVHEMEPLPIQMSRKLFFSRVFQSDECPLQYKSLEKISEDILNKCGGLPLAIVSIGGMLARTENRTPAEWSKISASLGYGLGTNAALRGMRRILSLGYHDLPYHLKACFLYLSVFREGYEIKRGPLVRRWAAEGFISGTRERNSEEAAGKYLDEFVTRSIVMPTRVASSCVVRCCKVHDIMLEVVTAKCIQENFISFLGNSQHECNEMMVVGHDKIRRLSIHSVQKPHCGEKMRETYDENPLRKRKKKLKPGNKDGQDIMPSINFPCARSLLMLGCIVKPLPVINFAHLKLLRVLDLEGCRWLSNQDLHDICKLTLLRYLSLRDTGVSWLPKLVGRLEELMTLDIRGTHIRVLPETITQLGNLKHLLAGRYRHYTRTRRVKLFEAMEAMTLPCGLGKMRSLRTIAHVDVASSFLVMHELCELPWLTKLCAFNSEEGGNKWGRFAASLGKLSNSLRNLSIIHWQKEELGLEAFMELSSPPVFLEKLYVWGRLSALPQWISHLSNLVDLSLRENFLHEELIIEQLGRLPSLLSLKLYHQSYMGKELRIRENLFCRLKHLIVDNLPNLDELSFHGGAPELERLTLAFLNEPANGIVGIDKLPRLREVEFFGHTIVDSVVEGMVDVCKAHPNRPRVYRDDRPMEDSESAS >KN539339.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539339.1:24265:28482:-1 gene:KN539339.1_FG005 transcript:KN539339.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPDMSSDWSARVCVVRCTPRLEHELRQLTMEAAVVSSTEGVVRILLGKLGDFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAAADYDQTEQVVVVVGFGGLGKTTLAAMVYKSPAVQGIQHRAFVTVTRSCNLRAMLESLLEQLFAPARDPRCSRKEIMAMEKDEILRGIETKDIPQLLAHCSTHLSDKRYFVVVDDLWSLEDWASLKPAFPDNDKHSRIIITTRNRQRPAAGHSQCGRDAGPGGEQDAGGVDEGVRQAGSGLSTSAAVRGMRRILSLSYRDLPYHREGYEIKRGPLVRRWAAEGFVGGGREWTPEEAAGKYMDEFIGRSIVTPTRVATNGVVRCCKVHDIMLEVMTAKCVEENFISLLGSVSSYGRHQHTAATTTGHDKIRRLSVHGAHMPQGQQSRSDGGDEDIFRFRRRRKKGEGEGQDDVLSSVDLSCARSLLMLRCIEKPLPAISFKRLKLLRVLDLEGCRWLSSRELDDICKLSLLRYLSLRDTGVQRLPRSVGRLKQLMTLDVRETDVRELPETITRLGHLRHLLSGRYRYYTRSHRVKLFEPFEAVTIPPGLSAMESLQTIAHANVASSGVAMGELGDLPSLTKLCVMNCEKGPNKWKPFIVSLNKLSYSLRSLSILHWFNEDAGLEDLLDLDSPPIFLEKFFLWDKLSMLPPWVSHLGNLVDLSLRENFLDGKEVIEQLGKLPSLLSLKLYYQSYMGRELRFREKLFPRLKQLIVDNMPNLDELSFQGGAPELERLTLAILKEPADGISGIDKLPRLKEVEFFGHVIVDSVVENMVAVCKKHPNKPRVYRGDRPMEMDSELSS >KN539339.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539339.1:81647:103830:-1 gene:KN539339.1_FG006 transcript:KN539339.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASSREERFYGFGEQFSRVEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYSMINDFSLKNIKIVYGNSVQGRILHGGSPTELITSYTESTGRPPALPRWITSGAVVGMQGGTDAVRRVWKQLQDHDVPVSAFWLQVYGSSVQGRILHGGSPTELITSYTESTGRPPALPRWITSGAVVGMQGGTDAVRRVWKQLQDHDVPVSAFWLQDWVGQRKTSIGSQLWWNWEVDDDHYAGWNDLVRDLRRRGVRTMTYCNPCLVPMDKKANARRHLFEEAKKLGFLGNKPGSNCQFYSNDRTLAHFARCAKIYKAWEFYRIQLVEEAAEKGLPVARHLFLHYPEDQRVQKMTYQQFLVGTEMLVVPVLDKGKNTVTAYFPVSDGGLWKHIWTGDEFGGRRSRGGVGEGMSHGFEAEVEARIGFPAVFVRVGSTIGERRAAPRLLKQSHNLQTNPPQEHELLIEASNKETTSRMLSTPNHSTKLENAPVTRAVVLASGLLSVVFSAQRRARALVLSHQSRVLANQWVKFASGMLFQGDVRLQILGIVKNFRLWKMFASGFAFQSTPELLVGVYLLYYFRVFERQIGSNKYSVFSLFTISVSLLLEILSLVLLKDTNYLNTLACGPYGLIFASFIPFFLDIPVTSRFRIFGVNFSDKSFIYLAGLQLLLSSGKRSLIPGICGLIAGSLYRLNVLGIRRMKMPQVIASFFARIFAPSSGGSSRPSRSLVGNMSSRTSRAVQVFDNPFVHIVVSEFIRKRLDTKSIIELLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIAALVASGFSVVPVASQTWKAYFGLTRSGSPKDDSRQAASILFPDKALSLNRKKHHGTYCETFTAYVTQFWP >AMDW01040364.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040364.1:174:1427:-1 gene:AMDW01040364.1_FG001 transcript:AMDW01040364.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGHIPDVVTFGALIHGLVVAGKVSEALTVREKMTERQVFPDVNIYNVLISGLCKKHMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVRPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLTEALDFKNKMAKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRVLQQYLAECKSLQRVEQKFANS >KN541718.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541718.1:1091:4079:-1 gene:KN541718.1_FG001 transcript:KN541718.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLKIGHGLDRFRRRRSTSSSSSSPLALSLSSSSAAAALSVDDPGSPMDPEMPPAARRAPAGSSGAVTISSGMINAGQNCLPSRSRNDGFRPEIADAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWRREIDWLLSVSDHIVEFVPSKQVSEDGSTMEIMITQQRRDLQMNIPALRKLDAMLLEYLDNFKDKQEFWYVSKDASESDKGNTPRQDDRWWLPTVRVPPGGLSDASRKWVQHQKDLVNQVLKAAMAINANVLMEMDVPEAYIESLPKNGKSSLGDSMYKIITEDHFNPEELLGTVDMSAEYNIIDLKNRIEASVVIWQRKMVHKEGKLSWGHGVKFEKREKFEARAENVLLLIKHRFPGIAQSALDISKIQYNRDIGLAILESYSRTLESLAFTVMSRIEDVLHADSLAQASNTRTQESMRMASLSRYDTDKVVIDAKAEVERLGRMEPVSATLFDFVGPRDQNVVATKMDSKDKGCRGDAHSRKLTKVSPIATKRIYTDPQKCLFSVNWKVDCAGVVAVIDNVVSLNLENHML >KN541718.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541718.1:16850:17193:-1 gene:KN541718.1_FG002 transcript:KN541718.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKGSSSRLSDPVNTTVTTDNGQEMAASHFISQQASQLDEAARKRLQRMNERLKLLEMQMETLEAGVAKASNDSYE >KN541718.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541718.1:12988:16294:1 gene:KN541718.1_FG003 transcript:KN541718.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVREPREEAAPGPKDFPIQCFVKRNKKKSMFYLYLGLLNGTTDKGKFLMAARRFRRGPHTEYVISLDADDLSQGSNAFVGKLRSDFWGTNFKIYDSQLPYDGAKASSTRSSQRFGSTRRFGSRRICPQISAGNFDVGQISYKYNLLKSRGPRRMFCTMECPSTQETWENSLKTKSLRCTGTTVLRNKAPRWHEHLQCWCLNFHGRVTIASVKNFQLVAMADPSHPDSVGDEETVILQFGKVDSDIFTMDYRQPLSAFQAFAICLSSFGTKLACE >KN541718.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541718.1:6651:9675:-1 gene:KN541718.1_FG004 transcript:KN541718.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSFVLVVLILQAVVIGCSSLDELPVQLSNRRLLHDRNHAALLYKDHFPTVGNMTFPTSHQLQNDPNYKPLDSSSHPAEASAKKGSSKGFKKWLYMVVIPVAGLILLASMAWMFLPCRKKSVATIGPWRTGLSGQLQKAFVSGVPQLQRPELERACEDFSNIVASHPYYTVYKGTLSSGVEIAVVSTTIKSSKDWSKHCEDCFRKKEEEPFTRVMVFEYAPNGTLYENLHDEAFDHIDWRSRMRIIMGIAYCIQHMHELNPANVHPDLHSSAVFLSEDCAAKIADLSVWQEVVSEGKKSTTNNDRHEPISARLAGNVYSFGILLLEIISGKPPYSENEGSLANLALECIIKGRSIASLLDPVLESHKENELDVICQIIMECIQSDPMKRPGMREITTRLRETIAISPDAATPRLSPLWWAEVEVLSPVEPSHCQPCQLFKKGGSKTSDDQLRTPSRTSAASQMEPSQKGVVQTGQNSHVHQ >KN541718.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541718.1:21018:21524:1 gene:KN541718.1_FG005 transcript:KN541718.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPSYRRGSPLPAAALIQQEAAAAAAAAVSDSEDSEGPGDNNNHNAQRSPSQSVSSRSGNSHKRSRQEVDGGFRELARAIEAFAEMYERVESAKQKQALEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRIKKHAGTAPDGIGAAELVSSVAALPFLSTSTYI >KN541021.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541021.1:22493:25319:-1 gene:KN541021.1_FG001 transcript:KN541021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHELRAAAVVMVVVVVVLGMVSTSSAHVFVGAYNTTCPNAEDIVYKEMTSILAKSPELAGPTKGPYIPLPTGRRDGNSSNAADVAANSPAPGATVNDLLTIFAKFNFTAKDLAVLSGAHTIGKAHCSAFSTRLYSNSSSNGGAGDLTLDANYTTALRGQCKVGDADTLVDLDPPTPTTFDTDYYKQVAAQRGLLATDAALLLNADTKAYVLRQANATSSDEFFADFIVSFVNMSKIGVLTHSHGEIRHKCSAVNPPSPSSSAAASMLATSLAGSLLFLLAGVLMLLF >KN542979.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542979.1:3502:11263:-1 gene:KN542979.1_FG001 transcript:KN542979.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLHINSARHRRLPTAVGAADLSSSSTLHLRHKERSEHGLRAERDPRVSIDDDEQPTTMEINYFLYKVCLGGYAYRPYGDMSWFPLHFSLSSIGDDEQPTTMEINYFFYKVPLHFSLSSIGDDEQPTTIEINYFFYKMSRWICGLRCFGVPGVAPPSTPVLLCRETHIHYPAHDDVLVCKWLPLHFSLSSISDDEQPTTMEINYFLYKLINVLFIWASMLAMQMRCSCVTREVGQIAALSTALLGRQHDLCISVVKRTRFLVGSVLAMFVGVLSVVKAPACYGSSDLDFLVLRSRRIYKTIRSIIHTRISRVEDLDDEQPKTIEIKRVKIVVHVVRMCLMTMEIKRVKIVVHVARMCLMILVIRVRMCCT >AMDW01021871.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01021871.1:16:306:-1 gene:AMDW01021871.1_FG001 transcript:AMDW01021871.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNYGKIALFVFAAWAGKDNGVVAGLVVGTLVKQLVLVSADLMHDLKTGHLTLTSPRSMLVGELIGTGIGCLIAPLTFMLFYRAFDIGNPDGYWKA >KN541021.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541021.1:1801:2292:-1 gene:KN541021.1_FG002 transcript:KN541021.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRPHQEAVGIGASGAGGGGADGNAGGGVTSAGAAGGGGGGTRASGGGGEANAGGGCAIAGGGGCRGGGGCGMGMGMAASGGGKVGYWCGGGGVVAAVDGMEGCGTLSGRMGWHSSAAAQAAEEEELERDLARWRRARKARTTPMRARRARTAKKILVALPT >KN541021.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541021.1:13470:14150:-1 gene:KN541021.1_FG003 transcript:KN541021.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKQQAASLLAVVAVAALAQVAAAAVHPVGGNGAWDTTGNYNAWSVSQKFSQGDSILFTYPSSHDVVKVPKASYDACSPANALASYTGGTTTVKLDAPGKHYFICGVPGHCAAGMKLEVTVAAATATKPRHKKGAVAPAAAPAMPPAVSSPTEEMPAVTSPTGSPAPSASAASTIAINVAATLSAGMALAFLAM >KN541021.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541021.1:10820:12482:1 gene:KN541021.1_FG004 transcript:KN541021.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPKPVSYLCTDCGTETLLKPTDVVQCHECGCRILCKKRTRQASRHLEYRCNGVLFSMKRAEDEGIDTFASYYHALKRRNDY >KN541021.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541021.1:29936:31859:-1 gene:KN541021.1_FG005 transcript:KN541021.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLSWLMVMLMLVASSNNAAGQLRTGYYRETCPHAEEMVFRETARIIRASPDLAAALLRLHYHDCFVQGCDASVLLDSTPANAAERDSDPNKSLRGFDSVARVKAKLEAACPATLDGAYADRLRKQCKEGAPPYDGNVTAEMDPGSFTRFDSSYFRQVARRRALLRSDACLMDHPFTSAYVRLAATGRYDGHFFLDFAHSMLKMGAIGVLTGDQGEIRLKCNVVNST >KN541021.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN541021.1:3908:5512:-1 gene:KN541021.1_FG006 transcript:KN541021.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVPLCILQCIMMFLLPDVGDVVRASAVSRRLREAWMGMEAYELDASTIPDHHLLDLDSTFAAIVDRVVFNHSGPGIKSMSLADTRYDTDGDRRVTAWLDRLASREHHRLERLGVNIGAALHTPASLFRCETLVELRLVVHAAARGLRLDVDGAVHLPQLRRLCLEHAGFRSSTHFQNLIDGCPLLELLHLRFTAVARREDTVGIEIRSPSVRRVVLEGCGGYGMVPFEVSAPNVEELVLSGRNMVAVEKGGVRRLSARKVSLLMDDKLWWYNVFAPFQHFTAFLNVGTNMSRIMAGFHGVLELAISGWCIEYLSKIVDSMKLPDWGIEVLRVEGMWPNQGQAGVVLHLLRSSPCLRNLFITNELEHPREISIDEHREQYPATPEFLFDAVPGRLTHLRRFFMFNFTGNRNEISIIKFVLGSSSISINPDQFGVTDYLGNDWSSTQLILASL >KN541021.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN541021.1:19345:19788:-1 gene:KN541021.1_FG007 transcript:KN541021.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIIKLNAAAHTIGVAHCTSFSKRLYNFTGAGDQDPSLDPAFAKQLAGVCKPGNVASVEPLDALTPVKFDNGYYKSVAAHQALLGSDAGLIDDSLTGAYVRLMTNDTNLDTFFADFAVSMINMGRVGVLTGTDGQIRATCGIFVD >KN540813.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540813.1:29713:31209:1 gene:KN540813.1_FG001 transcript:KN540813.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REVERSION-TO-ETHYLENE SENSITIVITY1 [Source:Projected from Arabidopsis thaliana (AT2G26070) UniProtKB/Swiss-Prot;Acc:F4ITL6] MAPNKISSMDAGAAFDDEDASSSNSLQELWPVGEIDPKRARFPCCIVWTPLPIVSWLAPYIGHAGICREDGTVLDFAGSNLVSMDNFAYGSIARYLQLDRKKCCFPVNLATHVCERSYKHAEAGTAISWDDALQLGMRSFEHKFYNLLTCNCYSFVANCLNRLAYNGSVKWNVLNVAALVWLRGQWVDKMSVVRSFFPFLTVTCVGILMAGWPFLIGMAAFSSLLIGWFVFAVYCMKDLVC >KN541145.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541145.1:4717:6559:-1 gene:KN541145.1_FG001 transcript:KN541145.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRPLRSSLVTFTAPATPSRRTASFCSAVGGVRAVDPAVRGARSAACGQQIQQGEGASSDGSGRGGRARSSAQVWASAGDDNGHNEGRTEMANVARVLIDLGRAPLDNSNPTKVMPRKGPKGVPRRNVRLSGAEWA >KN540813.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540813.1:13327:14421:1 gene:KN540813.1_FG002 transcript:KN540813.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPIQGRNSNGKRKITYK >KN540813.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540813.1:34342:34539:1 gene:KN540813.1_FG003 transcript:KN540813.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MALERRIGAMQLEVEVKLFGRDDGGTAPRPTWMSCGLRMDEAQLPNTAHCTVLALQNWFSQPLFG >KN541145.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541145.1:10778:15046:1 gene:KN541145.1_FG002 transcript:KN541145.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQRKCGDIEVPFPFGIDGDQPGCAKPGFELSCSNSMERGVPTLLRKVKPLNRSVEVLGISLPKGQLRMRMRMSSHCYNTTTRVMDCVDNGWMDLTGSPFTFSDSANKFTAFGCQVLAYLGAGEQRDIGSNLRIGCAASCGKGDDLVTIRGALVEESSFNFSMIYHSSSKFNSDTVSSQPPFVVDWVMGNVTCKEARKNLGTYTCISNNSICLDSQNGPGYICNCRQGFQGNPYNKGLDSCQDINECDDPKKYPCYGKCINKLGGFDCFCPAGMRGNASAGPCRKEFPLGIGIAIGLGVGFGILILSLSVVFIIRKQRSDIQRQLRKKYFRKNKGLLLEQLISSDERASDSTKIFSLEELKEATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHADKSNRHFSLSWDDCLRIATEAAGALCYLHSAASVSVLHRDVKSSNILLDSNYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLEMLLRKQPIFDDGTGTKKNLSIYFLSEIKGRPITEIVAPEVIEEATEDEINIVASIAQACLRLRGEERPTMKQVEMSLQSIRNKVLSSGSASSESNHKIETPLCESYVDLRQSMGVDINGIANLISANCYSLEHEFMLSASFGR >KN540813.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540813.1:39373:39987:1 gene:KN540813.1_FG004 transcript:KN540813.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEDPLLRLMNKVSFLFWMTLLPVFLLGIFVGALLSKECEKAKYTMDLAAVEGMDVAAVSTVVSPAFNLKLRAENPRAFRPWYLDRGDVVVSYSGVALAWGRVPGFCVRRRAMAELTVVPWGKDVRLSEDLRDFLVSELQQGTAKVSVEMKLHYYANFGMAAFAPSSGTTSISQELLLDSWEDNMNSSLLKTKAGLPGRQDE >KN540813.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540813.1:7087:9141:1 gene:KN540813.1_FG005 transcript:KN540813.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVLFLMLSAFPASCLAVAAPISPDAVPLLAFKSACADPAAALVSWTEASDPCSDRWRGITCRKPSPSPPPSSPPRVRRVVLEGLRLGGDAGAVAALAGLPMLSFLSLKNNSFTGSLGDVDFSTLAPHLKLLYLSGNGFSGRFPESVLRLRHLRRLDLSGNRLTGTIPPEIGHRLPSLLTLHLARNSLVGPLPASLGAMARLAKLNVSGNHLQGRIPKRLAAVFPASSFTGNPELCGAPLRRRCNEQLHMVYGGGGSGADTSHEPKRGRRRSNDRWMVAMIMAAVGAAVTSLVAAALCGVLWLKNKKPERPRASSRTSSMAREEMVRFDSGWVEFNVCTLMRGAAEMLGKGATATTYRVAMGGDNVIVDDAGVVEEGKAGEVVVVKRMRRREGATREDERRKRELAREMGTWRHANVVSLRAFYASADELLLVFDYNRGPARVPLEWQTRLKLAQDAAQGLAYLHGVSGGKLAHRHLTSSNILVDAGGNARVSDFALLQLLVPAPAADEAAQKQDVHAFGVVLLEILTGRSPEDGNVDLALWARTVVREEWTSEVFDVELLPSRGGAEDEMVALLHVALLCVADDPGERPRMAVVAKMIEDIRDRGSKRSRYSASPSQVGHSYESSPSISEDTTRSTNASSS >KN540813.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540813.1:36197:38671:-1 gene:KN540813.1_FG006 transcript:KN540813.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPELLSEEKAILVETLKNKLQALAEQHVDVLKSLAPVVRKRVDVLIEIQSQHDELEAKFLEEKAALEAKYQKLYGPLYLKRSEIVSGVLEVEGETEEREEKGVPDFWHKAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFDTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEFEWHLGNCLTQEVLTKESSESTKPITKTGEYESFFNFFSPPQVPKDDVKIDENTAEELQNQMERDYDIASTLRDKIIPHAVSWFTGEAVQDEDYGASWVDDEEDDDDEYSDEEA >KN540813.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN540813.1:187:3517:1 gene:KN540813.1_FG007 transcript:KN540813.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTTRRPTANALEVEIENMGARLNAYTSREQTTYFADVQGRDVPVALDVLSDILQYPCFPANALQRERGVILREMEEVQGMMDEVIFDHLHAAAFQGHPLGDTILGPVENIKSISKKDLEQYITTHYTCPRMVVSAAGAVNHDEVVDQVREFFTGFSTDPTTVDQLVEANPAIFTGSEVRVEQPEMPLTHFAIAFKGSSWANPSSIPLMVIQSILGTWNRSVGVGNCSGSALARGISNGNLAESMIAFNTNYRDTGLFGICTIAQPDSLYDLSQLIMQEFRRLAFEVSETEVARARNQLKSALLLHIDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDRDTVMETAKDFIIDKDIALAAVGPLTNLPELSWFRSHTYSDDEFSSRTFLQDAQNN >KN540813.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN540813.1:16819:22271:1 gene:KN540813.1_FG008 transcript:KN540813.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLASEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >KN540813.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN540813.1:24537:27156:1 gene:KN540813.1_FG009 transcript:KN540813.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) UniProtKB/Swiss-Prot;Acc:Q7FRS8] MDSSRSSNSLDSRSSLTLGELACAALIPVLALVDAVVFAAAQCFQKRPPGLLPATLAARARRRAGGRLTFRELADLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKEELQLALFRTPAGKNLFLDRVKQMVVATLLESEVQLSDDLVEAILDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPTLK >AMDW01034965.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01034965.1:191:455:1 gene:AMDW01034965.1_FG001 transcript:AMDW01034965.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DIGLPPIMEEETPGPSMPFGHEMLGEEIHSVVSMPIIEDMLGGAMESHPSSEDKALVLYKPVDNTACIGPGTSNPSIIISPDLIRSLK >AMDW01037183.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01037183.1:260:643:-1 gene:AMDW01037183.1_FG001 transcript:AMDW01037183.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGKAPEEATPIEAAPKVALETTMISNKEVVADQAPEKVVEEATAMAELPPNDDAIVMQDKEEEVEEKIVEEEKPSAPAAEVNNTEMVEETIEVKNTEVDKGTTEVKNTEEEKPIQS >AMDW01040358.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040358.1:42:1364:-1 gene:AMDW01040358.1_FG001 transcript:AMDW01040358.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFWREVLRFGSSGDDDGLGRDGKRLAKEGENGGKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDGTRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKSNVVKRDTQPPATAKCATAGGTTNSWTNVHQPVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPATAREMNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHMPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGP >KN542807.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542807.1:1482:1920:1 gene:KN542807.1_FG001 transcript:KN542807.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVISYGARRNAGVGTCLERYMESDAMCMKQRPPERVHRRVRLFPMQFVNNKPKRAEVAVERLAGGKFGSRLLHWEAHVIPLRPLRMLMQVSPVARLWY >KN542807.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542807.1:4220:8004:1 gene:KN542807.1_FG002 transcript:KN542807.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit gamma, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G33040) UniProtKB/Swiss-Prot;Acc:Q96250] MKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFPALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPEKESKYVILGEKGKVQLIRDSKDNIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMTTILSPEVMEKESESGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAALENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >AMDW01069242.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01069242.1:247:474:-1 gene:AMDW01069242.1_FG001 transcript:AMDW01069242.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EILSEDDGKLCELKEEHGEEICAMVTKALLELNEYNPSGRYPVPELWNFKENRKATLKEVVQYVLNQWKKNKRKR >KN543041.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543041.1:4513:5160:1 gene:KN543041.1_FG001 transcript:KN543041.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ITHLKCGGFVLGTHICHCIADVFGTLQFLKAIVDIVRGEAKPTTLPVWERELFVTTSLPPHIKEEQEKLFDELENATCDDIIVTMPAENMVSEYFTISQRDMIALRRHVPFNLTKTVTSFELLTDVLWRSRTMALGYMPCQIVRFMITVNARGRWKKLPLGYYGNGLLCPVIEITVNDLCTNSLRHTIEIVRKAKHEMKIEEKYAIDGGFVATMA >KN543041.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543041.1:8760:10573:-1 gene:KN543041.1_FG002 transcript:KN543041.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGLVALVLLGNMLAASQQAVDASSPTSAISYEGLYRKPEDRPKKGDPVMKARGCTEAMKVRVKKSIVCTRSIGHLRKRVSLHGDMISEMAGTSSRAAARESHLKELDVPFHEAPDKAGWFLTTSVAARHWLETKKSAECSQVFFLHRFLLMVWHIINNTIYNASSSDIPAKRASTDEEGITE >KN544702.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544702.1:3:2378:1 gene:KN544702.1_FG001 transcript:KN544702.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VALAVRGVTWVPDILDGVYQCRCGLTCLWSRNEEALADTPDVVLYEIWPPPDTVTYAGKFFRITHNYHVATHKRDDVLVYWSSSRCFEHRNKIARELFRHLPAHSFGRCENNVGGGDKALEM >AMDW01071584.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01071584.1:257:499:1 gene:AMDW01071584.1_FG001 transcript:AMDW01071584.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDDIELFAK >KN542105.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542105.1:8366:9479:1 gene:KN542105.1_FG001 transcript:KN542105.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVEQMGIELTSQDVDQSSTGTDDSGAALLADVTEREARGRTGRGRRETGAHGGVLLPPDVSRTGSDETTGQGMPKLNLSFTDVKNALANPRNLIRTLLLATMASLLVLLPQRPSPPFWVIHGPAVDRQVERDMTIEMVVAFCMVIVLYLAVLFYEFVYTSAPRIKGGYAMIGPITLAFVISGWMVLYTLHIPFSLQRGWRWAITAGLSGVVFLAATVIGSRCVTARDDVIIEV >KN540124.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540124.1:63655:64218:1 gene:KN540124.1_FG001 transcript:KN540124.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTMASTSMTSGRVSVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVSDLDQAISFYEKACGMELLRKRDNPEYK >KN540817.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540817.1:6775:9189:-1 gene:KN540817.1_FG001 transcript:KN540817.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHVERNASSAHHLRDVWLSIGGTVVRIEVMAMVAIFLTFYAVTFGSCRRWSSRWIIQKVFLAANALSVSLGTYSIGLMQSSLVKSKMYPVWSVSLLALFASIDSINTFGLDYSGQFLKLLYQLFLYFGYVLVISISGNTNNGMVAAAIGMLCSVTLCKGFHRLMAYVLPTRLRSMNKEIADRMEVVKGGPNFNAAIMQGYQYLVDKTYYPSITLEELWKPDNGMDKLGTDADAYKDICLSFSLCHLLQRRFFGFSCAESDRPETRSFVLEGLLQPVASSSSASSSSSSSSSQGTGGSRGNYERAFKVIEVELAFMYDYIYSSNAFVHYYEAGACTAWAIASILTTCFLCVAVALQLSVSTIAKRSHYSHVASAAVTMLDSEVISTTMTPDIVITLVILVSLASLQVLQLVNSLSSNWARVSLVCHLFRRNANNLEPSMGLKLRLFLSRIKLLDKYHWQNKLGQYESSSWLKKALGFVSFKIGQCCYKPVFHFMLYCVRCMMRWPTICCPCYVMCSPLCNWVFKLQPSWQLLPGPCWVIYSALYKLFGLQYIQQVLTDMLGISTASSIQLPIEVKSAVIDALVGILMPRPSHDSVVLSNGSTSLAKNGLRDKFIRQYASTSYHGGSASTIIPESKGNQASIILTWHAATGCYDKDYEQRKKMKATTESPLQHRQYRVVATALSKYCMYLVAYVPQLLPGQQSYTTSVYNDFVRSPSYILQSGTQLKDELGEAVVKDELRWKVLADFWVEMLLYLAPSDNVTAHIEQLAQGGEFITHLWALLFHAGILYQPYKEEEEPAAAGTV >KN540124.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540124.1:1946:6171:-1 gene:KN540124.1_FG002 transcript:KN540124.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGVNECANLFQWAGSAISSLHTRWSGTQDQKLQDEVLQLQSGLQRLKDTLPAMYDLINRVEWRSHEDCVAKLLPNLKDAVYNADDLLDEFRWYEQKVALEGNAASQSPFLEFFDCVVQGRFNKVNDIIERLNNVSSELEKLGLREVPQRFDKSLRPETSSFPSDREIYGRDNELEQVMELLGVPNKYTGVHSKRKRGSNDASTSTSTSNQGSVPILPIVGIGGVGKTTLAQHICNHLRVKSHFNLVIWICVSDDFDVKRLTKEAIESASGKEATTDHLDSIQYALRENVKNKRILIVLDDVWDDALKENGQCWKKFCSPLANVCQGSMMLITTRSSKVSNALGTLEPFTVNCLENDIFWDFFKLCAFGSDSSNNDPELECIGRSILPKLKGSPLAAKTLGRLLRMDHHTMHWKNVQKSELWELKQEETDILPALQLSYMYLPLHLKRCFSFCAVYPKDYNFEKDSLCEIWVAEGFVEPEGDIPILDTSKKYFEDLCFNSLECVVESLPCDFDRLINLRRYKSQGFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLKLSNLGALRESLPCWFQEQNGCNEIAGVIANNNNGCISVFSSLTYLDISDCEKLSNLNQFLQNLDIKWCPSLTFIGESEPADFTNLSHQELCIEDCPDLVSIGRGKMIAKLKKG >KN540124.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540124.1:25530:33392:-1 gene:KN540124.1_FG003 transcript:KN540124.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSKVCSCPHYKGSLCFCDCGCFGQTPDSPRESRGKSNRVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVKGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEVGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTMLQLSQLADSLVEVTFVDGQMIVDKNGDASSLYIIRRGCVKLNLAADQVNSDAWDLLSSQTKVVQSSREDGNYVFEIDEGGHFGEWALFGEKIAFTAMSVGDVTCSTIAKEKFDSIIRPLPKVSQSDSKLKDSLVPKGHGADDDSSFGKAQLSDLEWKMCIYAADCSEIGLVQLRGSASVVVALENLHQRSILYRGVSADILMVDRLGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSMEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPQEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >KN540124.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540124.1:22513:24667:1 gene:KN540124.1_FG004 transcript:KN540124.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPAPSTHYPARDRELLAGSAGAGAGEEEPSADATADEEVEREGAALAASLAWSTTSTYLASSSRRRRKRPPATSSDVAWTVEDVTVGDELRRGTWMTKDTPVGDELRRGMWMTKDAATVMISLERGYL >KN540124.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540124.1:15823:20302:-1 gene:KN540124.1_FG005 transcript:KN540124.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEVRYRVASLPSTFFVLPLLALGLDSRGGLLRGFALLRKWSLAWASSLEWPPRYCHLEIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGLTDLTIRHIVQNCKHIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLRKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKWWEVDLFVSCPDALECVYPAVFEFLGFYNIFRGSIQELAHLLRNIISFA >KN540817.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540817.1:32116:33443:-1 gene:KN540817.1_FG002 transcript:KN540817.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSIALKKLELQLLRQNCKVMNILKGQISLPVQMMAYLEEWSLLENKYANSLSGTVEALNATVLRLPVSDGAVADFQSVKNAVGSAVDVMQTMRNSMSYLLPKLARTNVLVSQPSIITRQEQVLMAQCRELLSTLALMHVKYSSLQGQMIQLSDLKRAKSVSSSEYPY >KN540124.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540124.1:55935:59437:-1 gene:KN540124.1_FG006 transcript:KN540124.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEDTQPSSEPAVVKAFIAVLDISTPTSIEHAPTPWVAMRVTTIVMLVAAELPEPLPVVDLSRLAGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNFSREFFNQPIERKQRFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDLLNEYASGTKRIRDDIIQAMAKLLELDEEYFLDRLNEAPAYARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSGLQVQRDGKWYHVEATPDTLLINLGDTMEVMCNGIFRSPVHRVVTNAEKERISVAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVAEFRAGIFGKFSRGERYIDSLKI >KN540817.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540817.1:28476:30787:1 gene:KN540817.1_FG003 transcript:KN540817.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVHSTGTRCINGDGTIEVHGEETFEDLFNWAWRYRDWWKSPRVTVIRIEVLVEVVELSNWDMEFRRHLDEHRQNDLGELKGLVGDIQLEEGRDKVCWPYTKDSLFTTKSMYRMLTFGGIKDLAMKGIG >AMDW01028609.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028609.1:107:353:1 gene:AMDW01028609.1_FG001 transcript:AMDW01028609.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKIITKTFFLFLALSHKFFAAAGEEERFLYSGFSGTNILVNGMAMVTPNGLLQLTNGTAQSKGHAFHPTPLRFHERGSN >AMDW01039589.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039589.1:231:1028:1 gene:AMDW01039589.1_FG001 transcript:AMDW01039589.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VLSDRLNPLIHHRSAFPASVVQPQDHGDSVPGLCSGSFLDTRGRLSSGSMTSEDSPALTPRWLSIKSNSSSDNCFEGSKRAVSWSDRHVFNPNGQVNYAEFMDLMKQELDTQLDRLKGDVTGLENFALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLATVFRKAREMDSSSVSDLQWEHELQLEVINITIGEFISGLQEEMERKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLLLPSLQESHISHSKHETSSNRSN >AMDW01040389.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01040389.1:50:1409:-1 gene:AMDW01040389.1_FG001 transcript:AMDW01040389.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding RLAMNAMSLQQGRGCKSVTVSVILQPDGSKIVENYICVVHSIAEYSIENSIIKPTYMLTYESATELLYMNLEEEEELRILQEAASLRAQWRRSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIALPYRGHPQSNTAVSAFTHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQ >KN541907.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541907.1:452:3604:-1 gene:KN541907.1_FG001 transcript:KN541907.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKERKLSRLGSKGSGGGGGASFRPVLKTAWPSATLNAVSSERGAQQAGMVSVDAVLPSVHIQHAVALPDHVLLMLRDGSLLPASGQFECLYSPVNSSQLRRQPLSVATLPDGPSLVHCPAGPSRVAVSLSLAQSAPVAPLQWDRLVYTALIDSKDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDFSKPKLVVTSPVVSAAQEIFRCVTPVRIRRYLRMTTGGKNSGNNDDKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNKHWRRKAHSMCVCTMLRNQARFLREWIIYHSRIGVQRWFIYDNNSDDGIEEVLNTMDSSRYNVTRYLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSVKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYVNIGQGMMLINHYKYQVWEVFKDKFSGRVATYVVDWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDNGLKDFVQKVFTDPHTGNLPCACSVVHLSDNANKIVVKKATTEFSNHELVNWPFVMQTRMAIFTKAGGNVSEETASTSRSLSQPSFITALSWLG >KN541907.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541907.1:11720:14496:-1 gene:KN541907.1_FG002 transcript:KN541907.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKEKPGKSGEKDLAGVGSSLPDARTTTDLTMSSRIANPNNYTAAVTNPGQNYTVKNAHHGGAGVSNGFLAPPVFEALPSLRDAPAPEKPSLFLRKVVMCCVVFDFTDPTKDVKEKEIKRQTLLELVDYVTSATGKFPEPAVQEVIKMVSTNLFRVPNPAPRENKPLESFDMEEEEPVMDPAWPHLQIVYELFLRFVQSPETDAKLAKRYVDHGFIIKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYSAKEVMFLGELEEVLEATQPAEFQRCMVSLFRQIARCLNSSHFQVAERALFLWNNDHIEVLIKQNSKVILPIILPAIERNTKEHWNQAVQSLSLNVRKIFMDHDPVLFEECLKKFEEDEAKETALRSKREATWKRLEEIASSKTISSEPAVPPEATVH >AMDW01039316.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01039316.1:224:884:1 gene:AMDW01039316.1_FG001 transcript:AMDW01039316.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GVVFMCLVWIMHRRKQTLGFIIHHKYTGNESNTEEELKRYQSLSPKRYRYSDLKKITKCFKEKLGEGGFGTVFKGNLKDGRMVAVKLLKGAKGNGEEFLNEVTSIGRTSHVNIVNLLGFCLERSKRALVYEYMANGSLGKYIYSESLRLAIGLESLQKIAIGVARGLEYLHQGCSTRIIHFDIKPHNVLLDEDLCPKIADFGLAKLCHLKDSAISMAEAR >AMDW01031079.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01031079.1:74:366:-1 gene:AMDW01031079.1_FG001 transcript:AMDW01031079.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSVPEGKKRDRRNDLLLIRDGGESFRIIDKEASRANVGCLYQTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >KN541942.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541942.1:8097:9977:1 gene:KN541942.1_FG001 transcript:KN541942.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKERQEAANPTTTTRTLVESVTNWIHVYSDGSVDRLGPPEAAAFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTVVKEESKTTTTTTTTRRRPVLVHFHGGGFCLSHAAWSLYHRFYARLAVELDVAGIVSVVLPLAPEHRLPAAIDAGHAALLWLRDVASGTSDTIAHPAVEHLRGAADFSRVFLIGDSAGGVLVHNVAARAGEAGAERLDPIRIAGGVLLHPGFILPEKSPSELENPPTPFMTQETVDKFVMLALPVGTTSRDHPYTSPAAAQYVEKMLSLGFRFNPSAEDLITFYLRRLIAGKPTKDTEKFICRADVYCSEPSDLAGKFAPVPRCEKGGRFFFTSCKRHKGSSTRKERTAGAGTWVRQNSKEVKNKAGVKVGETQNFRFKKDGSYTDWLMEEHHCCRQQAVASDEEPVICRMYVSPRAPPDSAARQESAALVQQQPAPQVSEPPCDKKKRDDVAEEAPAAA >KN541942.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541942.1:16050:21278:1 gene:KN541942.1_FG002 transcript:KN541942.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYVSWAVYGLNLAGHIVHSPHLGGPSRPNKPEVFGLDADGLNRIPRHFVGDETLCKLPHSIAVFFQLEPRVGGWRGDSAVPHFRHRDDHPASAPQGEEPDPRFEFRCPAFVLSLAIDRALLYYLGALPRNFELFKMPKRTDNAASADSVEPEKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGSRYGGGGGSSGSSRPEEFVSETRLYMRIYQYFPISQIAESEDALYRPFTSVGHMEYEISGPESEVDSEVWLGWWRATCVLKTGGTVAAAVLPKLNTSCCLVTLSRGGRPSRRRIGQLLNF >KN541942.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541942.1:13480:14763:1 gene:KN541942.1_FG003 transcript:KN541942.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEKRTSPQQPPTLLPTNCSTHFLYARAPTTAGVTPRKPHQSFLSYLAQMGGTGNDGAKPAAAMDVVSSSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGARLLPEKDAGEQKN >KN541942.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541942.1:10229:12510:-1 gene:KN541942.1_FG004 transcript:KN541942.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >KN542581.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542581.1:8235:9767:1 gene:KN542581.1_FG001 transcript:KN542581.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNFEMWGAVWSALASLVFLWPMLQNHVPAGLRHWLTAMADKLASHLSPYLHITISEYGDHRFRRSDFFLAVEAYLSHACARRARRLKADLGRDARSVQVSVDDHQEVTDSFRGATLWWYPSSKSNKSSVISFYPGEDERRLYRLVFHRRHRDLVLDGYLPHVLAEGRAVTVRNRQRRLFTNNASTSWNPYRRGKGVWSHVPFEHPASFDTLAMDPGDKDAIVDDLVAFRDGKDYYAKVGKPWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLYIETTGKSIIVIEDIDCSIDLTGKRKKSSGDNKSSDGGGEGSDDKPKLPTEADKDDGGSKEKLDPALIRRGRMDVHIEMSYCGFEAFKVLARNYLGVEQHELLGDIRRLLEEADMSPADVAENLMPMSERKKRDPDACLAGLVEALNLAKEEAQANKAAKEKEEMKAKEQATTNGEDEGKDKMTSEEYKANGDI >KN540695.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540695.1:36588:37648:-1 gene:KN540695.1_FG001 transcript:KN540695.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLLASLLLVAARRALGLGLGQWQPGHATFYGGGDASGTMVRSSRLVDAEVAVAPAREGKAFRARVSEAGWFCSLLLCS >KN540695.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540695.1:7831:9878:1 gene:KN540695.1_FG002 transcript:KN540695.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDKNDEVQIYLLVLEVEGEHPTSVFRLSNPLEKLPCQDSSICRALFSQDQVPIQVSTKPDSIQLKFQRANDLVECVELELTNGEHERTSNLELIIEPLKATAVLTSYDTAQGMSVLWRSTTIYSVVSMLDPKVKSVVLSNSDALKMIFGATVIRFRGHISKDSFYPLAIDFKRDFVPTGILGKGAHGSVYRCSRRIMPLAVKKVSKERKGNPCSEVEAMAKLSGANHVVQMYCAWSENAVSGLGYVYIGMEVFESNLDEYLDARKGVNLQKSTTIFAEIMAGVKEIHEAGIIHRDLKPLNILIDSDDHIYITDFGISKIKPYPSANVRYPGGPQYGTQFYCDPILNSTHLQHDEKVDFYSCGIIYFEMHLLGITKRRAYEKPQVADSEDREALE >KN540695.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540695.1:19480:22853:1 gene:KN540695.1_FG003 transcript:KN540695.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEKISSGPAAAGGSDRDRAAETSSPPRPKHRHSSSVDGSGFFAAARKDAAASLAEVMEAKKAMTPEQLSELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEMTNSNETYSMGLQHVPYNTPFFPLAQHNAARQNGGTQLPPQFQPPRPNVPNHMLSHPNGLQDIMQQDPLGRLQGLDISKGPLVVKSESSSISASESSSTF >KN542750.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542750.1:6238:7112:-1 gene:KN542750.1_FG001 transcript:KN542750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEDGELGLALFDGEASIHLWARIAGAAGGDPGWVRRNVINLYAVLPFFDPVHSLSLVGFAEGTDIIFLHTIHGDYRMELKSLQINMLWEKDRCFNIFPYMSFFVPGRDRTNCHRQQLQQ >KN540695.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540695.1:14560:14727:-1 gene:KN540695.1_FG004 transcript:KN540695.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVLAQNEAVILPSWPEKKKKKWEEEPEDERWEEKKKAAKQRKPWVIEQVPAK >KN540695.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540695.1:12777:13801:1 gene:KN540695.1_FG005 transcript:KN540695.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGFRPTVATFSAVVGCLCKRGRVTRAMEVFDTMRAVGCEPTIRTYNSLIGGLCYVGRLEEALDLLNKLKESPKQTPDIYTFTIVLDGFCKVGRTDEATPIFHDAVRNGLSPTIFTYNALLNGHCKEGNPLKAYSLLMEMCGNAACPPDRISFSIVLQALLRAGETSAAWQAYKRMERAGFEADGRALDTLARGLCRQCAANVAALADAREVGRWPDAMAVYAAAVKRGVLVSLKRHSKEALLVQEQTETPESSVQPCN >KN540695.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN540695.1:25815:35658:1 gene:KN540695.1_FG006 transcript:KN540695.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDDEEEDGDEDDDDEEYSDEEGEDDEDDEGEEDEEDGSEPKRRKEKGSSEPLVKKAAAAMCVGMGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYKTNYHGGMMKLVIIGGEPLDILESWTMELFSKVKGGPLLDMSPKTDMPFWRSGKLHRLEAVRDVHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLCFLKAKGWASSLSAGVGTDGTQRSSYAYIFEMSIRLTDSGLKNLYEVISAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLYYSEKHIVSGEYIYEGWDPELVKHVLSFFHPDNMRVDVLSKSFDKQSQAIQCEPWFGAQYIEEDIPSSFMESWRNPSQIDDAFHLPRKNEFIPGDFNLRNANMPKPLSDDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGYSNLENSVLTDLFVNLLKDELNEVLYQAYVAKLETSMSVVGSNLELKLYGYNDKLSTLLSSILAASQSFSPKSDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMKLTFSDLVAYVPKLLSQLHIEGLCHGNLSEDEAMNISKIFQNTLSAQTLPDEARHEERVLCIPDDTNFVRSVRVKNELEENSVVEVYFPVEQDIGKDATKLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDSSPRMTYRVLAYCFRVMSSKYSPVYLQSRIDSFIDGVSALLDGLDEETFEHHRSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWYNTYIKPSSPKRRRLAIHVYGCNSDIAEAAKLKEQSWITIDDVKSLKKSSQFYSSLC >KN539072.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539072.1:123945:124508:1 gene:KN539072.1_FG001 transcript:KN539072.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMGMIQAKFPRQWAKKKKKKKQTKSCIQKLMQNSRFLHVAQFNGLEICKKLPSEGMMLSYEFIKQLGDLQFLSALTLRGTSYTVSQLIFDHGFRSLTELMVSAENLGSIEIHELALPKLKDLDVVGHGNDFHVEIHGRLVRGIKGEDEKPFKILHVKSRENNKNED >KN539072.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539072.1:4165:12512:1 gene:KN539072.1_FG002 transcript:KN539072.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTVISSAGNDNDDGAQDLLATHGGGGDDLAPAGAEKDEVGAWRNGDSGVRYEHYVDEPRSVLQVIEEPFVQGGNAEYEDDDSDDDYDGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEANQIPRVKVAKIDLNTARNEQTPYMPEIPDIAKCSPDLCASKPWEDTFITYFSETRLAFSELDSSDGPSVSGGTKNLLKPSNRSEPQTDPTLTMIRNMDAVSRAATLRNYIDMIQSLDKLSRNDCLWLFALCVAVDTPLDAETCASLRSLLRKCANVLAAKLEIDDEVAMLNILITISGRFFGQYDNH >KN539072.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539072.1:63314:65903:1 gene:KN539072.1_FG003 transcript:KN539072.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISLCHEDELDVQRLQIRNYLQAELGIVVTHCSPLPLAVGLFAVRPTLIRDYLVQAFGFHYNGVNVVRFTNHDRGLNWRAAHANRVGWIMFLGFPLDFRTQYYISRAVSLFGRLDYWQEGDVIPGKVMLRVYFDDVDMVPRRIVIKEINQHGGQGSLNLFSAGGTCGAINSFAFAALPPKAPIKKRDGKTVLCDPERRQSSRLRAYAQGCLQLDPRMGFGKPRGKSARKLKELVGIAKLSSEGSLHDSNFHLDFFMDDNGSCTDSSPSDCSISLLQKMGVEMCGLHLEDVAESKL >KN539072.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539072.1:48891:54285:-1 gene:KN539072.1_FG004 transcript:KN539072.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSVITVELHDKHSIISRRLSKAIPSISVIGQNGVMLWCHEGYISSKDLKENIEKAWATLHLQGTAAAFLTASLASRMTEPVNTTPTTLPTQGGSSAAENPSTSSNQSTGTSGASGFANPTDSVAQPPRSTSRDETPSISEKESSNLDSSPGNRTVQERPDSDSAQVKGSLPDHPRSSNIEGCANPVQSGNTASLKQKNKVDDGFTKVSSESVPTRVASRGKSSKVAVDQDKATTTSTPIEPAPVRSNDIQLVVRIPDGPSLQIKLTKDDNLRKVKNFVDENRANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTIVPHHKTIRAAKRQSSSSPHEGDNNMDADSSGGSGYFGYLRTALSFVNPLSYLRANAAPSNPDQLANQGSPQYRPSTGPWNRPGEDTASESRIPDSASQQAARDTSSGSTLRRRPRQFGGNVHSLRSDDQGPSDDRNVYWNGNSTEFGGEDKK >KN539072.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539072.1:143897:148252:1 gene:KN539072.1_FG005 transcript:KN539072.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPVSNYEKELDEMKHMNRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSNLPIGTGTTRRLKDSSDHTDNVVDINVNTEPNNVVSSHFTNGVGNYGSQHYGYNGWSPISMQPIPSQYANGQPRAWLKQEQDSSVVTAAQNLHNLHHFSSLGYTHNFFQQSDVPDVTGFVDAPSRSGDSYSFRYNGTNGFHGLPGGISYAMPVATAVDQGQGIHGYGEDGVAGIDTTHDLYGSRNVYYLSEGSLVADVEKEGDYSQSVGGNSWVLPTPESEMVEKADNVTVCHGMPLFSVWNDA >KN539072.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539072.1:42870:45147:1 gene:KN539072.1_FG006 transcript:KN539072.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFVTTASLRVFYNSKEMTNGSELKPSQVLNQPRIYIEGRDMRTLYTLVMVDPDAPSPSNPTKREYLHWMVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFAELYNLGSPVAALFFNCQRENGCGGRRKLELRIVPIDYMV >KN539072.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539072.1:88896:90084:1 gene:KN539072.1_FG007 transcript:KN539072.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTLLGFGVGAGAGKSSSSSESDGSSDDGSRKRRKHFAWEEAVSHASLDLHLNDPLPMDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEHGGSNTLDLELNISTIPSTFHVGAGKASSGGAAAIAEDDARIAGGGGGVGSLGPMVAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPAPAMPRTPPRRRLEATVKPLETLSLLH >KN538773.1_FGP029 pep scaffold:O_longistaminata_v1.0:KN538773.1:103371:107513:1 gene:KN538773.1_FG029 transcript:KN538773.1_FGT029 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVEERRVVDLEDGDGEEGEDAAAVAAGSSRETRMLPRMPVRVLLAEGDDSTRHIICALLRKCGYREDMLIRYADFVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDACKNIPVIMMSSNDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSSGVLDVQHTQQEDNLTERHEQKTGVTKAEHVTENVVHKNMECSEQESDAQHVIVFKTSYCIVFISLAVGTVVIMLSSDLFAVVRGLNSGYPLAEFMYKPMGRHFSKPDHKNTEKNGGTKIHASNDGNLIPRREEDASPRRMTCSNDINCEKASRDMELVHIIDNQQKNNTHMEMDVARANSRGNDDKCFSIPAHQLELSLRRSDYSRLESQEKNERRTLNHSTSSPFSLYNCRTASSSINAGDAQACSTSATHIDLENKNGDSKTPSQDKRETNSPPIRVVPFPVPVGGLTFDGQPFWNGAPVASLFYPQSAPPIWNSKTSTWQDATTQAISLQQNGPKDTDTKQVENVEEQTARSHQSANRKHLRIEIPTDEPRHVSPTTGESGSSTVLDSARKTLSGSVCDSSSNHTIAPTESSNVVPENPEGLRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHGVQGS >KN538773.1_FGP030 pep scaffold:O_longistaminata_v1.0:KN538773.1:56484:57561:1 gene:KN538773.1_FG030 transcript:KN538773.1_FGT030 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAALCWRHVAVFAAALLAAAAVVVAGDPGKIGICHGRVGSNLPPPATAAALLRQNGITKARLFLPDPAVLPAFAASGIDLMVGVPNENLTFLSAAGPDGALRWLQSAVLAHAPADRFYAPHLVPAMHNLHAALVSLGLGDKVKVSSAHASSVLAASYPPSAGAFDAASLDVLRPMLRFLADTGAPFMVNTYPFISYVNDPVNVQLGYALFGEGAPAVSDGALVYTNMFDATVDALAAALDREGFGAVPIAVFLFDLYDEDGKPGAEFERHFGIFRADGSKAYNINFA >KN538773.1_FGP031 pep scaffold:O_longistaminata_v1.0:KN538773.1:4803:7236:1 gene:KN538773.1_FG031 transcript:KN538773.1_FGT031 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPAAAAASTSLDSDDAFFDALDSLPSPPSPPHTPSSSTLRRRRPRRGWSLKQHEDDTAASPTFYDSSSITVVDEAVKPDSEETSSHRPPPPPEEEDEEDAAAAAEEEVEGEVEARDLSLNPAPAPTPTPPPPGILESLAMLVIKAVVFQVSALISCLTFPIRLLQWWFLLVTDPLGLRLVPPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGFFVFELLLALICCRPCIFPRSGHNAAAP >KN538773.1_FGP033 pep scaffold:O_longistaminata_v1.0:KN538773.1:901:1468:-1 gene:KN538773.1_FG033 transcript:KN538773.1_FGT033 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCADLYGAGDTLITVML >KN538773.1_FGP035 pep scaffold:O_longistaminata_v1.0:KN538773.1:124227:127886:1 gene:KN538773.1_FG035 transcript:KN538773.1_FGT035 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASGSDPKDREGNQGTDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIDRSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAE >KN538773.1_FGP036 pep scaffold:O_longistaminata_v1.0:KN538773.1:43057:45397:-1 gene:KN538773.1_FG036 transcript:KN538773.1_FGT036 gene_biotype:protein_coding transcript_biotype:protein_coding MHYADGRRTGCLDVLPSEAAGCSDLNTTAFLYRLFRAEDPDLVVFTGDNIYGFDATDAAKSMDAAIAPAINMNLPWAAVIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGIEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIGGYGWIKASQQFWFQQTSSNLQTKYMKEEPKQKAAAPGLVYFHIPLPEFSSFTSSNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDGGEWRGVKSIKTWKRLDDPHLTTIDSEVLWNRGSNGMAFFCMTINLRRLFKLMIISD >KN538773.1_FGP037 pep scaffold:O_longistaminata_v1.0:KN538773.1:10449:17247:1 gene:KN538773.1_FG037 transcript:KN538773.1_FGT037 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTKGCCGWLIVALVASLVATAAVVAIMKKKTGGSGRKLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNQIPWRGDSALDDGKSAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLVNAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINTKSPGSDVAAEAAAAMASASIVFKSRDTTYSDLLLQHAQKLFTFADTYKGLASDTYPKLQNYYNSTGYQDELLWAASWLYHATGDQTYLSYVTVQNGKAFADWGRPTWFSWDDKLAGTQVLLSRLNFFGSKQTSNAENMGLKMYRDTAEAVICGLLPDSPSATASRTGGGLVWISGWNSLQHATNAAFLAVVYSDYMLTSQTAAVQCSGKYYSPTDIRNFAISQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSTSPNPNVAMGALVGGPFQNDTFVDSRDNAVQTESSTYNSGTLVGLLSGLVTCDKATWPTTKRNEKTCFAFGFCECVPKNQEILKKIIKSKQLYTLDQGGCSSLARNLSKPFDCRVKNSRRLVLGYAYPAYDCYKTLELSKPQIDQLRFWCQYWILLAFLTTLETITDFTVSWLPMYGEAKLALVLYLWYPKTRGAKHVYESYLQPVLARHEADIDRGLLQLRASAKDATASHLQAAVYRFPHRSLAELDWALQ >KN538773.1_FGP038 pep scaffold:O_longistaminata_v1.0:KN538773.1:74329:79780:1 gene:KN538773.1_FG038 transcript:KN538773.1_FGT038 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPRRLAGLHAERWRNHGKVGGQADMHPWGTEVAKPSATPDCSFCVVEVRYCSIADGYTYPLKKEILICSARMQQLIEAEERASIEEQSPGRAGCMQYSGSSSPWSTFPAAITGSGGGGGGGAIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDRKQESPATTSQSTVTAESSKSGEATRQQSRQLDDAVVRDMSVSAGGDSPPESSTNTASTAGGSSSSSSSHHQDPLVKWLLEEDLLPTGDEPWLNFTASNDVDEFSSIAATGATPALPWDVGMTTDWLLDYQDFGMGDSSLVVDASMVNSSNGSNF >KN538773.1_FGP039 pep scaffold:O_longistaminata_v1.0:KN538773.1:120356:122660:1 gene:KN538773.1_FG039 transcript:KN538773.1_FGT039 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTMSLLPPITQQQRWHAADSLVVLASRRHDSRRRRRCRYVVPRARLFGPAIFEASKLKVLFVGVDEEKQQHPGKLPRTYTLTHSDVTARLTLAVSHTINRAQLQGWYNKLQRDEVVAEWKKVQGHMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATNSEHVKIALESCQTLQETYMRRTELKKSLQGW >KN538773.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538773.1:129733:133299:1 gene:KN538773.1_FG040 transcript:KN538773.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGRRGVVAPLVVVVFLFVLAPSIFFVACNGGHVASGSGGGGDWGVMCSGLISDVVVCLPADPMDMEGTQETEWQKQLPTNNLKSILSKEMFDALASSQQEAGALSVDFFIKRASPSWNTDDLVNDLSNASLDIDDKVKSANSSTDKTLKDDTGTQENKLLVCADEHQVDTAAKNVRRKLREKRREKRAMDLVRKDDEARVKLENAAIDRSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHRWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAK >KN538773.1_FGP041 pep scaffold:O_longistaminata_v1.0:KN538773.1:136524:138434:1 gene:KN538773.1_FG041 transcript:KN538773.1_FGT041 gene_biotype:protein_coding transcript_biotype:protein_coding MALLETTSHLHSREGVKSPKLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSQQHVPLEWPRRVAIAVGAAEGLSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVATAVAGSSDEITTTTDQDDVTAGSSEPLDRRNWKLTKLR >KN538773.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538773.1:59075:67431:-1 gene:KN538773.1_FG042 transcript:KN538773.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/Swiss-Prot;Acc:Q8L5Y9] MLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLSECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKASTSTLDHGNLNGFVGHWGLIIMWNCTSSQISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKCDQYRI >KN538773.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538773.1:23385:28241:1 gene:KN538773.1_FG043 transcript:KN538773.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDDSGAVRPASKSTGSTSSHRSISSLYEERGHGQLRDFDYDELQAATNGFSRAQKLGEGGFGSVYKGFVRSSPADGKAADRLAVAVKCLNQRGLQVIYRDFKASNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRTLDRHRPQGEQKLLEWVAQFAPDSRNFRMIMDPRLRGEYSVKAARDIAKLAESCLLKNAKERPTMSEVVDVLRRAVQSQPDPPPPPPAAGKGKRADVPPQPARRR >KN538773.1_FGP044 pep scaffold:O_longistaminata_v1.0:KN538773.1:88673:100094:-1 gene:KN538773.1_FG044 transcript:KN538773.1_FGT044 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLLALELVTVTAPTTAPHPSTLHALALRLSRRREHLPHALALLRRLPSPPSPRILLPLLLSALRLRRQPHLFLSTFNSLFVSGSSPLQLHPELLLRLLSVLSSSASYFPCALHLLRDVSTSLPLPEPLVLASHNLLIEAAARSGHLAVSISLFHRLRSLHVSPNAETYRILTQSLCRRGQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVFGDMRESGCAPNAVTYTAVVNGLCVSGLYDKAEAYLDDMLGKGLLPHFSVFHSVIKGCCAVGKVNEAAGMMTRMLDLGMVPHAETWSSVIRSVCSDEDNVEVRRYCLTSTKLQRQHPDSAFTDLIICQSPKLLVHLGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGNPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLAAPSLPALNIEIETGSLPTLEELKGFLKESRTSEYNWVPLKSTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFASESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYWLLALKSQVCIEGNYPVRIWRWKGHLIQYTSVGHEGPSVLLVHGFGASLQNFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEEGRTSWLSSLQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRAVSSDHKYSLQVFFLDRQIQSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSLLCEWIKETGA >KN538773.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538773.1:35775:42130:1 gene:KN538773.1_FG045 transcript:KN538773.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MADAAVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREEKGLIGVLPVHDSEAAGSLLSPGVGSDSGEGGSKAPGGSAGESMKQDTKNGKETIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEHAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRTYLELLAELPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSTYLQIPEAMVRLIIERYTREAGVRNLERNLAALARAAAVKVAEQDSALRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDISNTYENPAPMIVDEAMLEKVLGPPRFDDSEAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNMKDLAEVPAPILSGLEILLVKRIEEVLDHAFEGGCPLRPHSKL >KN545057.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545057.1:2275:2395:1 gene:KN545057.1_FG001 transcript:KN545057.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSLCLLAALLALVSWQAIASDPSPLQDFCVADEHSP >KN540052.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540052.1:46:1721:1 gene:KN540052.1_FG001 transcript:KN540052.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSKGSAAPNFTLRDQDGRAVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKEFKKKYKLPFTLLSDEGNKVRKEWGVPADLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQSL >KN540052.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540052.1:32606:41983:1 gene:KN540052.1_FG002 transcript:KN540052.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEAEVEVEAGGCLLQSFELYEAESKFYILGTNTDKTSWKLLKIDRIEPSELNIDESSTVYSHSGYLDLLKVLDEDHRSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEHRKIGAIFGHPVYQVTRTAMIELSNSESRAKFLNSKDEDRYKKLLQTIDLRKDFFFSHSYNIMRSFQKNFNDPKEGWDLYDTMFVWNEFLTRGVRNILKSTIWTVALVYGFFKQDKLAISGKDIMLTLVARRSRHYAGTRYLKRGVNDEGSVANDVETEQIIFEDMLGPKQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRIRYGNPIIILNLIKKRERRPRESILRSEFDKAIKIINNDLPGENHLRFLHWDLHKNSQSKLYFRKSTNALQMLLKVAFEALNLTEFFYYQVPPARRAESSFNLHAPLKNGFGPHECDDSNNDDITDCIDNIDDMSQEDTCGSSDTSGNGTAEDIAEGNGSISVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELEPVAGEGVLGENASSSDAINEISSAPDNTVTVSKSRYTPTEPHVKHVSCELDYCNGSGDSNFLDIDWLSSSDNERPTTISTPDVNASADSVSAGVSSRRTEDHAAEIQAQGLSEHFVQWIDQGETFWF >KN540052.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540052.1:17031:18996:-1 gene:KN540052.1_FG003 transcript:KN540052.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGADADLYAVLGLSRECTDADLRLAYRKLAMIWHPDRCSVAGGSASAAGVDEAKERFQEIQGAYSAVGDGRFPRRDGADDEPGDACGAMDVAGREHCRRRWRRQEEKAEAAAGEPQRVVGC >KN540052.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540052.1:12733:14763:1 gene:KN540052.1_FG004 transcript:KN540052.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYSISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTIGHGGEANEEGVLLTMSTLTEEGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPACIPQAVLDARASAAAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTNRMGRQLSSMGFDPSAAMDRARSRSRGRKRDRSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >KN540052.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540052.1:53258:57278:1 gene:KN540052.1_FG005 transcript:KN540052.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRVEKVIGTEHTDILRVPFRSENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSEVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVCGDHGNQSKDREEQAEFKKMYGLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKASDILVNFFEKCKQDSTYWDNISQGGLQRIYEKYVFHDLQI >KN543750.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543750.1:1885:3275:-1 gene:KN543750.1_FG001 transcript:KN543750.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKRDGRGGVEVITVRVATGFSWHDVSIGATCTFGELKAVVSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRAALAARATVQNPYQPFIQV >KN541332.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541332.1:2956:3737:-1 gene:KN541332.1_FG001 transcript:KN541332.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFRVCWGNEDKYLKSAIVSYKYGRLLKYRVTSPPVRALFEASVASNWRHLPTPITSSNPSSTANPADTSVPFYMANEAAAIDRAGHRDLQDARHIPLFLANSAALFATVAASVVISAAVTNPSSSVTPAAALIEFVLAMLVPVADQFPGAARIGVAVATVLRTYLFGA >KN541332.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541332.1:22597:22911:-1 gene:KN541332.1_FG002 transcript:KN541332.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNLAGAHRYVPEEAIDRARHVRLLLTNSAVLFTSAAVSIVIHATAINPTAATPAHTLIGFVLFLLGVSLALLAPVADQFPEAARIAVAVAVALRAYLFGGN >KN541332.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541332.1:18046:18387:-1 gene:KN541332.1_FG003 transcript:KN541332.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTAAHPRMPVQGLRRNQIARGPAARRSRLLIVNTGVFLISTSGAIVVVHTAGNPSSAIDDGPSSALVAFVLFLLGIWLVLLALVADKFPRAARVAVAIASALQDHLIGGN >KN541332.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541332.1:6632:6970:-1 gene:KN541332.1_FG004 transcript:KN541332.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYYLDEQLREVEIVPEPGDRRPRQLFLDAGRALMLCGALAVVSTTAGNGNPAAHAFIGFLLWILGVSILALLPVARRFPPALLVGFAFSSSLFNYFFAPMELAIVIAIAA >KN542711.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542711.1:93:305:-1 gene:KN542711.1_FG001 transcript:KN542711.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATALLLRCMVTTLLLPSAIAAFVYGDSVIDIPLNNTERFLYLQNRARADVGVAPLAWDGTVAAYAE >KN539434.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539434.1:55909:57014:-1 gene:KN539434.1_FG001 transcript:KN539434.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRHSGDFAVAETAAFLKACGICNRRLGPGRDTFIYMGEVAFCSHECRQQQMNLDELNEKKCFQRESGGGSDKSGNSGAVAAA >KN539434.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539434.1:27484:28038:1 gene:KN539434.1_FG002 transcript:KN539434.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEAAVNEYQKIERHIAAVERQETAAAAARRSPPPPPPGSNHINNNGDHTFPEQKQTQLAVLRDINLLDSEIELHEAIIAEREQGILEVQQEIADIHEIFRDLAVLVHDQGECIEIVTADIEMAEAATSQAEVQISKAAGIRGEEEKEQLLTGAGTEGNSSSKCLLLAVLGLFLFVVGLVLIG >KN539434.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539434.1:62073:73488:-1 gene:KN539434.1_FG003 transcript:KN539434.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQSESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDGQTDKILMAASSYLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHYLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKIQHAYTPFCTSCNLRDIGYEDFKIRVDMGSSCASDIKQENSSYGWKHVQNFQRSHWTGGDTWWNHWQCEPLKLPEEGPDDSEKKKPEVL >KN539434.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539434.1:93428:98488:-1 gene:KN539434.1_FG004 transcript:KN539434.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEDKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESISSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLIEQPTGKGRIIVYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSQAMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNLAKPFGQKDLRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYNTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >KN539434.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539434.1:7573:16084:-1 gene:KN539434.1_FG005 transcript:KN539434.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMFGKKGWDSNGMDTSGSVCRSSSDHNYINQRARLKSASLNCVGSPPRKNNNATQYRMFVATWNVGGRTPNKRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPAARRMIWLGDLNYRVSLSYDETRTLLEDNDWDALLEKDQLLIEREAGRVFRGWNEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWPDMIVVLGDISAMGFQLKESKWIDVIDQFKGILGQYSDLPLHIALGDKDVGGCANLDDSFVHHMTRHLPGLDSSGCGTFEIGNVSFVSLNSVALLCGNNPLRFSVEKVIEKENNHFQQKMVNEAGHFSLGSIEREGFNWRQNSMESGSGPVVLLHFPLYKFSEGTISEPPVSSSLKERGADVRRSDQLHALPANSTQYVLQALKPRIVFSAHDGSFSDYTHYDGTREVAVPAMTRKTTGVPGFVISTFGRKGIMTLRYCLIVPECSQLNLGDQPGDYHQLSSCAKYCLLAQRNPYTKGGHNTVGTGWKGFGVYLAYGSLLTSSAFTLPFV >KN539434.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539434.1:88625:90961:1 gene:KN539434.1_FG006 transcript:KN539434.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEVRCSFLACECDFFSEIIAVAILEYLDKESKEIAPRTVNDVTIINAGQVLENNRTLAESRNLAAEAPEGCLK >KN539434.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539434.1:2564:6212:1 gene:KN539434.1_FG007 transcript:KN539434.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDVYGFPNLNNNGQISSGQIWVANEQRRDLTDTNDVQAGWMISPYIYGDSKTHFFTMWTVDDGRSTGCYDLNCDGFVPVNNAPITPGDILEPTNGKLSITVKISKKKDDGDWWLHFGYDENNLSPVGFWSKSVLTHLADHANVIAWGGYAQSCPGNPSPSMGNGQWPEKNSASVRNIKYVDANGQDYDPAPWPAGLVGESTNKKCYQVSPYLDGIFYYGGPGGCTARHKINWHGMPSLIGKRTRTRLKWVAELSYVQLLFPIRQKEDPKGKGAAQVPGRLNQNPGVTKADCLQIWEPPPEGWAKINVDGAFSMTDNTGGIGVIARDSEGKVLLSSWKYLRRCADAEQVEILACYEGMKLAAEWIRKPIILESDCVTVIGRMTAEDEERSRWTFLIRSAKAVMRSLQEVRIQHRKRECNRVAHELAQVAKRTAHCAVWHDHVPSCVMHVLKQDCNVNT >KN539434.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539434.1:31027:33210:-1 gene:KN539434.1_FG008 transcript:KN539434.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSHSAIVHTQSHRWSARNSRFEKQTSNLNLVSVGNMYPIRQQFGFVCASSLQGSSVVEPVQLPSNGNSDHTPKKSSESSLILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICSIPIDVIFTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHLWSQVYSEETRKQSIPVITAWQLNERMYGELQGLNKQETADRFGNEQVHKWRRSYDIPPPNGESLEMCAERAVAYFKEHVVPQLTAGKHVMIAAHGNSLRSIIMQLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRKLAQYRQKLDSMV >KN539434.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539434.1:106054:106746:-1 gene:KN539434.1_FG009 transcript:KN539434.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding CLEVIKTNYGVLSSNVEAVIKPNLAVLKECGISIADRPSYAFASRVISRPTKHLEEAVVLANEFGAKQGTRVFTNAVMIFGILGQEKLAKKLEFFKKLGWSQDDLSLAVRSMPHILAMKEERMRRGMKFLTEDVGLEIPYIARRPALTMYSIERRLLPRHCLINVLKGNGLLKADYDFYNISVISNDDFMEKFVQPYVESVPGLGDAYSSSCTGCGVHQLKLLSKRKTKC >KN539434.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539434.1:34664:38281:1 gene:KN539434.1_FG010 transcript:KN539434.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENSTNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGSSSKAPVNSNIEELQQEIRRCQQQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRYLLCSMGSFDVTASTSAMQHVRKSIFLKLARLYLPQQHQHGDINGNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQAVYDTMRQDACVDQTVVPEMGMCHVDQQNQSDDWQAYTSAEFLNALIPPTPFPLDDEDTMGPVLASSPPLMPGIHDQQPPEEDMATAGCSQAPANDGNGLYAAEDIAPVNIG >KN539058.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539058.1:91981:93132:-1 gene:KN539058.1_FG001 transcript:KN539058.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSRLLASGLRPDGYSVPAVVRACAELPDAVLGGVIHGFAVQLGLMANVVVAAALLDMYAKAGLLDDAVRVFDEMTERDAVVWNCMVAGYARAGRAAETFEIFSRAQVEAVNMVNGLQAVPSVLNICAKEGELMKGREIHGRMVRCLAFDSDIAVGNALINMYAKCGRVNVSQAVFSGMRQRDVVSWSTMIHSYSIHGKGEQALKVYMEMLSQGVKPNWITFTSVLSSCSHSGLVTEGRKIFESMTKVHGVHPAAEHYACMVDLLGRAGAIEEAVGIIRKMPMEPSASVWGALLSACAMHNNVDVGEIAAFRLFELEEGSASNYVTLCGIYDAVGQSDGVAGLRSRMRELGMVKTPGCSRIDVKGKAHAFYQGSIPRYLRG >AMDW01036013.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036013.1:51:347:-1 gene:AMDW01036013.1_FG001 transcript:AMDW01036013.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding WSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIK >KN539058.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539058.1:141463:143815:1 gene:KN539058.1_FG002 transcript:KN539058.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDASSNGETKSSDVPITATYIPCDVEYLREAMALEWVADKEDEETTADLLDAPPVFLIDYPDLFERGWGWEMLFPYHSSSVEWPQFKKYLEEYSSHNAGQVATLCSQLRSVQGQGIPPAGCGVPHDAANLWIKIENKLLRSCHSALTVEEIILSSKIKECASHMIQSEGESSAAAAGLVGIAKEARKLSYLLSEDDPDMCLKYDMCEYIRAYAAEVLTKFEEEFSCNTAGHAAENGITASDKSEKPIGVSTVGDLNCEQADSIGKNEHDLKDSNVKWNSNLKKLKKAQKKRLKRAEKRRLRREKKRLKREEKRKLEDQTKG >KN539058.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539058.1:67213:69247:1 gene:KN539058.1_FG003 transcript:KN539058.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIHDAAVRLWLRRLKDAAYDISDMLDDLEAITSKSDAGKLGCMMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEARVVGRTAEKRIIISSLSKRMTEETIILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINSRLDELLSGKKILIVLDDLWERDQFQLDDLKTMLKVGRGSGVIAIVTTRDKDIAEKICTTEPYKLEPLTDDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRLCFAYCAIFPKGHIIVKDQIIHQWNALGFIEQSDIFSTRQLGEAYVRQLLGLCFLQQTKAPSICIFWPHNAILIGVAGQHCCTS >KN539058.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539058.1:97796:100282:-1 gene:KN539058.1_FG004 transcript:KN539058.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MAACPRRGTRCWISSVASTTPTMPSWQWEKDCCRWIGVTCSSSNRIRMAGNVIRLELSEASLGGQVLQGRMSPSLASLEHLEYLDLSALVLPGINSSSPKFLGSMTNLRYLDLSGCLLSGSVSPWLGNLSKLEYLDLSFSTLSGRVSVELGNLTRLKHLDLGNMQHMYSADISWITHMRSLEYLDMSLVNLSMVAPGWPQVLNTIPSLEVLSLAKCTLPSTPQALAQLNLTKLVQLDLSSNRLGHPIQSCWFWNLTSIESLELSETFLHGPFPTTLGSFTALQWLGFSDNGNAATMLVDMRDLYALESLCLGGSLSHGNIEDLVDRLPHDIAYTIPSLCHLDLSGNNLTGPIPIIENSSLSELMLRSNQLTGQIPKLDRKIEVMDISINLLSGPLPIDIGSPNLLALILSSNYLIGRIPESVCESQSMIILDLSNNFLEGAFPKCFQMQRLIFLLLSHNSFSAKLPSFLRNSNLLSYVDLSWNKFSGTLPQWIGHMFSSKQYIWCNTTVSVKVNNDDRKTFDNIIIEIDWFHAYFDIVDGSLGRIFSVVMKHQEQQYGDSILDVVGIDLSLNSLTGGIPDEITSLKRLLSLNLSWNQLSGEIVEKIGAMNSLESLDLSRNKFSGEIPPSLANLTYLSYLDLSYNNLTGRIPQGSQLDTLYAENPHIYDGNNGLYGPPLQRNCLGSELPKNSSQIMSKNVSDELMFYFGLGSGFTVGLWVVFCVVLFKKTWRIALFRLFDRIHDKVYVFVAITWASIGREATTD >KN539058.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539058.1:128799:132303:1 gene:KN539058.1_FG005 transcript:KN539058.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNVTYELLEEITDGFSEERKLGEGGYGTVYKGEHKNGDKIAVKILHDTQDFDGKKLQNEFGNLMIVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNNMVPKIADFGLSKFITEDKTMTTKTLVGTITYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGRFRSTKIPSQEFTDQVLGNWKTRLETRRNGSLLEAYCQQVKTCTDIALKCLETDRENRPNIVEIVNQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLASHLNLNETKENHEADHHNSSCSKEKEEDREEDQIIPMEHPDVPIDVHPSEPWILTSNMFGSVDILNYNTLVSYYSWWNNGKKSGLIV >KN539058.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539058.1:61991:63641:1 gene:KN539058.1_FG006 transcript:KN539058.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSGHNKSNVLKWIKAKKVFSRQYVFVPIVIWGHWNLLVLCNFGETDYLGTDKGPRMLLLDSLKTTNPTRLRSNIKRFIADIFKTEEREENEQFINKICLEFPEVPQQNGDECGIYVLYFIYCFLQNKALGEDFSQLFDDPEEWENFRKGVHSFREIQQQITCSSSWWHNLKKFEDCQEFVCHTVHAVKRKMAISQIQSSISVNLALSRSFVDPGY >KN539058.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539058.1:149986:154324:1 gene:KN539058.1_FG007 transcript:KN539058.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVLLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTSGSLENHLSDEFHDLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLGLKPGNILLDKNMVPKLADFELSKLFTEEKTRITQTPIGIVGYLPPEYIDIFSLGVVMLNIIAGPRGRSRSAEMSTQEFTDLVKTCTEIALKCMETDRHKRPNILDIVSKINETESMTGKSKVTPTTCSTECPNGSSLSTTARSIYDDEGTTPTIILELGDGEDKVHDPYIAAKDSLEVTPTMCSMKCSVPDTESDLTMVAEVTYSSTTTISMELVAAQEAIDATYNDTCDHSKLTHTKCLTVVLDAIGDTGQAMVVFQTWTDAFKDDPTSVQFMDFFSSSMMANIKWNTPMPTKCSVQYLEHDNMALMPTNPLDVSPWPPLWFSSCYMQMGVMEPSSAIQWFNFPYCTYDEFKWKLRGLSTNGALCIQSSQYDPPGEFCVNNLVAIQQNIFSDYHEGKWVKCVVALSMWWLLQTHQFREWNGGYFVLTLQCGLENAMEIWSHLDMGLTVIY >KN539058.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539058.1:114103:121015:1 gene:KN539058.1_FG008 transcript:KN539058.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNVPYQLLKEITDGFSEERKLGKGGYGTVYKGEHKNGDKIAVKILHMEGFDDNKFNNEFGNLMMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMSPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGELPIDHALEKLPSHNNESVTLESKLASHLNLNETRENQEPDHHNESCSKEKEEDQEEDQIIPMEHPDFPRDVHPSEPWYKFSLVTAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSVGLQDQIKLWDWNKGWECIKTFDLHGIAYQIKFNPKDTHKFAIASLQDAQVWNIRSSRHEFTLSGHGNIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRVVIGHDAGLVITEIRHEKPGPSNR >KN543908.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543908.1:2160:3797:1 gene:KN543908.1_FG001 transcript:KN543908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKLAAVALALLALLAPAANGIEFFDKEDLDAHYKVRREPGEKLRRFSIFKEKARRVYTSRLQSAAGDTLLGLNVFADRSYDEVRRDYNCLTRAVPGDGGKKKPNDTAIVGLGRRDDGTLPLPATVDWRTNETCVGRARCLTEVKDQGSCGSCWAFAATGALEAHSIIRRNILLRLSEQELVDCVPKSSGCLGGFAVTAFDYGFEWVPAYDEFQLMKAVTYGPVVISIAAPDDEDDADNDFFDYTGGVYQGPCGYNNDHEMLLVGYNDNYWILKNSYGEGWGEKGYTRLLKNVDSIKGMTKAKISIDKLPSFCHQSLTLSL >KN539058.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539058.1:77636:81285:1 gene:KN539058.1_FG009 transcript:KN539058.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVHDFARSILFDAVLDSGKKINIGVSSCRYGMLRDCSKPLELVTPSPAKIRALHFLGCGKIELHGVAFSSASCLRVLDLSGCSILRLPASIGQLKQLRYLNAPGMKNRMIPKCITKLSKLNFLSLCRSRAISALPESIGEIEGLMHLDLSDLSEALDGLAKLQYLNLSYCHHYGNQFRLRGLPEVIGNLTSLRHLHLSGFLDNIFGNQSGVMDKLLEIGYLNLSTFQGNIFQQLPPGQSHSFIECISALSNLEHLNLSNNVSLYSVPESLGNLRKLHTLDLTGCIGLLWLPESISKIQSLKYVLMKDLLRLKSTLSCFNNGPILLPNFVVQAVDKKSSNLGQLLDANPAELDISSLENVKSTKEAKGIKLSGKRNIVKLKFDWTIGTKRYVEDMEVLRELVPPSTVKYFELRGYHSTSFPTWLMGIAHCLPNLVKIKIVDLSKCSILPLGQLPNLKQLVLGRMKSITKIDADFCGGARAFPQLKTFNIYSMERLQEWNTTYSCGEDGVTEFMFPNLQWLSISDCPNLVVKPLPPRVTWWKIEGGESVISSWGGSVHTGTSSSSCSVTNLVVKFCTLPLSQWSLLHHLPALRHLTIHWCTDLTSSPEIIQDLHSLKSLSLDGNEQAELPDWLGDLPSLQELKITMYPALTELQEKIRQLMSLQSLTLSSCQMLTSLGEWFGSLTSLQELHISHCQRLNSFPEGMQYLTSLLSLHLSYCESISALPEWLGNLTSLKTLQIWECRGIKSLPESIEQLTMLEHLEISFCPELKQCVFGSAGRLEGVFTNLKLALQIQEHLGAICAAMSLPASQK >KN539058.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539058.1:2372:4256:-1 gene:KN539058.1_FG010 transcript:KN539058.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MERCFLLLLLLLLLATGIAAGEQPPRRTAVADHPAIVGTTTAAPHEEQHIAEAQSA >KN539058.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539058.1:134551:135837:1 gene:KN539058.1_FG011 transcript:KN539058.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHGSKVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHGKPAPSNR >KN543634.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543634.1:332:2567:-1 gene:KN543634.1_FG001 transcript:KN543634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTGVTRSPKKIQDDRRVRSTTDELYKECAAALDGGAVLSTKNLDAITDSIQRAFATVDANLSTWLEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLVVSCGGRPQAVTNFHRPYGNKKASLEEVKRIRAAGGWDVGKRS >KN539058.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539058.1:85777:89649:1 gene:KN539058.1_FG012 transcript:KN539058.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGGGGGPVVGFRQFAGYVDVDEKAGRSLFYYFAEAAEGAAAKPLTLWLNGGDYDGRRCFLNWKHKKNYICSTPSKKKEIKNNFNLHVDILFTSTPTTLQLAKEEQGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDARTANDMYKFLLGWYKKFPEYRSRALLLSGESYAGHYIPQLTDVLLTHNEKSNGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLSISHSCDFEDYTFSNPHNESKSCNDAIAEANSIVGDYVNNYDVILDVCYPSIVMQELRLRKYVTKMSVGVDVCMTYERYFYFNLPEVQQALHANRTHLPYGWSMCSDVLNYSNKDGNINILPLLQRIVEHKIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLHVTVPYSSWFRRGQVGGWVTEYGNILTFATVRGASHMVPFAQPDRALGLFQSFALGRRLPNTTHPRIN >KN541639.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541639.1:8399:12904:1 gene:KN541639.1_FG001 transcript:KN541639.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAIMNFSSASSFSLHHAIILLVHLIILLSCQAVQQSAARSVNNSQMDRQALLSFKASISSDPLDVPCSWSTDSLDFCNWSGVRCGMAHPLRVTSLDLNSRQLNGSLSPSLANLTSLTWLDLSNNQLLGSIPKEFGTLQHLQLLMLANNKLAALQILDLAYNNLSGSIPPSLGNVSSINRISLSMNNLVGSVPETFSNFKNLTILSLGDNRLTGYVPSMLYNISSLISLGLDHNNLTGSIPSSVGYSLPNLERLSMSNNKIEGFIPASLTNASKLQLIYLDDNKLVGPVPSLGSLSNLYVLDLENNYLESEDWTFLKSLSNCTQLLMVGMGGNTMKGHLPREVGNLSVGLQYINFGKNQLIGPIPVEIGNLVSLVSLQLGQNQFVGTIPVEISNLLNLQRLDMGQNLLSSEIPSVIGKLSLMTILNLSGNKLSGQIPSTVGNLSQLNRLYLDNNKLSGKIPASLGNCRQLVQLNLSSNSLDGSIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLQSLDLKHNMLNGSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSLLNQFDLSNNYFEGPIPTGGIFQNSSAVTLDGNTRLCSSSSYSIFGFPICPTTAFAKRKNNARLLIIVIPPVTIAILSFFFFMLTLLKGKQAHTSSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGLIYIGRFQFETDLIAIKLFHLDEPGAYNSFLTECEVLRNTRHRNHVKAITEDPSLLSYMNCKYGKLWMLEDAGAGYEVTRYIYSSPDAAVPGMEACVH >AMDW01019687.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01019687.1:116:238:-1 gene:AMDW01019687.1_FG001 transcript:AMDW01019687.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALVRVQARIRARRVRMSTEGQAVQKLLEARRTKLDILREAE >AMDW01036449.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01036449.1:3:506:1 gene:AMDW01036449.1_FG001 transcript:AMDW01036449.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PAAAELAAFVERWGQALDRVHCADVLKSIKSFTGAVRSLYGLQSDELRVARRVSQYSRELDRKSRMLRQVEKSYYDSYVPPMGLSLWHRGMRHWGDDGMHARDASNEVAQRRDEIAGCRRMVEDEMQKHAKAIDATRSAVVTGVQGKLPAVFQSMAVFSASLAHALEA >KN538876.1_FGP040 pep scaffold:O_longistaminata_v1.0:KN538876.1:193330:195451:1 gene:KN538876.1_FG040 transcript:KN538876.1_FGT040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/Swiss-Prot;Acc:Q8L9Y2] MEEYGGGDLLSEAMSSGARVVVVEDRVEAPGAFALHLLLKRALAGGGAAALLALAQPFSHYDRVLRKMGCNLSLHRKSGAKGGAISDSFVRLYGDIQRAIDASRTGDNTGRFSLMIDDVSLLEVVAGGSVDDVLDFLHYCVTLTSEMNCSLVFLIHEDIYSSEEGAGLLLHLRYIADLVIRAAPLSTGLAADVHGQANVHEMFSQNVSIED >KN538876.1_FGP042 pep scaffold:O_longistaminata_v1.0:KN538876.1:156640:158327:1 gene:KN538876.1_FG042 transcript:KN538876.1_FGT042 gene_biotype:protein_coding transcript_biotype:protein_coding MICCPNNLFQLLTLILFTRFGTGSSNEKAEEQKRKSRAERFGLASSSADDDAKKKARLERFGQSTNVDKGEEEKRKARALRFAETSSGPSQENGKDGSKPISDMALTFGVKP >KN538876.1_FGP043 pep scaffold:O_longistaminata_v1.0:KN538876.1:180432:187166:1 gene:KN538876.1_FG043 transcript:KN538876.1_FGT043 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAGSADDITASISPSKEDSAVGDVNGGEHLDGAENLENSNRGGIKCNNEEEEGEEDAEKSDTPENSKEIDGNNQSQEANGEADDINDVSANSENLKQESTANSVESDKAPEELKEVSGCSEELLNDENGDSEGLKDSHGALEGLGEANNDNSEKLEELFLDKGLLDELKPIRVESGKRVRASIRIIEKMMSSRVGKIRNTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDGLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMTALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSEKPNQDPNPDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >KN538876.1_FGP045 pep scaffold:O_longistaminata_v1.0:KN538876.1:196279:203941:-1 gene:KN538876.1_FG045 transcript:KN538876.1_FGT045 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACEAGTDEPSRDDVKGTGNGILENGHSHKPEEEEWRNGMGEDLPNGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEDSRRFCASRFPSAVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPRLSGIGLLSKITSHKICKDIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIRTQKCTKPKSSWTKRAVEIDSPRQMSPDQPSDLPDSTCAQVIHPTSEICSNRWLPTANKRSGKKHKENNDDSMGKYLEIGAPRNSSMEYQSSPNEMSVNPTEKQHETLMPQSKTTRETDSRNTQNEPTTQTVDLISSIARSTDDKQVVRINSAPDCSSKVPDGNDKSRDSLIDMTSEELGLKRLKTTGSATEIHDERNILKRSDLSAFTRYHTTVASNQGGAGFGGSCSPQDNSSEALKTDSNCKVKSNSDAAEIKQGSNGSSNNNDMGSSTKNAITKPSSNRGKVISPSAVKATQHTSAFHPVQRQTSPANVVGKDKVDEGIANGVNVGHPGDVQNSFMQHHHHVHYYVHVMTQQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSVNGSISGGHNGNNGQRGPSIAPNVGRPNMENVNGIMDENGAGGGNGSGSGSGNDMYQNGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRIRGQFVRQSGQEDQAGQDEDR >KN538876.1_FGP046 pep scaffold:O_longistaminata_v1.0:KN538876.1:159399:174391:-1 gene:KN538876.1_FG046 transcript:KN538876.1_FGT046 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFCIHSQFASISFIEMTSDVFLLSMIHGISATVRWICTNLSSVETFYMEGPWAATATTGIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEISLLRDGPWLLTVPAIAIIGREAVAVNNLGKWKTATQMTALTILLASRDPSLPAQDVLVTSGVALLYVSAGLAIWSLVKIVTVYEYKTMRKWNQQIGRSAFYMEGPWAATATTGIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEISLLRDGPWLLTVPAIAIIGREAVAVNNLGKWKTATQMTALTILLASRDPSLPAQDALVTSGVALLYVSAGLAIWSLVKIVTVYEYKTMRAYGHLIQLCAESGHLAAGRQLHARLVAASVTPSNFLASKLISLYSRADRLCDARRVFDSIPQPSLFAWNAILIALSLHSPDPSAAVRLFASSAVSPDEITLSTLLRSLAASGPALSPLVTGELHAVAFLRGFGECLDLFQEFVRVRSGDGDGVGPNGVTVTSVLHACAQLKAVDFGIGVHRFAAESGLDMDMAVWNSIVGFYAKCGRLQYARQLLDGMTRKDSISYSAMITGYMNNGHVEEGMQLFRQASARGISMWNSVIAGLVQNGRQSDVLRLLQEMISSKVLPNSATLLIVMPSVPSFSTLLGAKQAHGYAIRNDYDQSISLVSALIDAYAKSGFLDTARKVFELTEHGSTIVWTSIISAVAAHGEAVEALSLFNQMITAGAKPDTVTFTAVLSACAHSGKVAEARKVFNSMQAVFGISPVIEQYACMVSALSRAGMLKEAVKLVNKMPFEPNAKVWGALLNGAAVVGDVEFGRYAFDRLFIIEPKNTGNYIVMANLYSNAGKWEEAETIRSMLWGVGLEKEVPPQVKSQCLMKHQKSSIQKLKVAAISTCTDRPNGAGDSVTSGNIDVNSNNQQENQKYTRRSPLIPHMKLGAVLTSNLTKHQRIPRHSTWQETKCLRADYVTVKRNQDKCKKVRSLKNVGKCKKEEQPSAVCTQAQKQRRMQRQKQPQPSHIVRPSLTPNLGPLDTTTTTTTTRNVDERREAKLGEMAATAMQCRGGERSEDGGAGGMRTVECLRGRLLAERVASKAAKEEADSLAKRLDELEKQLSDEVKIRNKAERRLRKAIKKLESLKILDVELSDSSIGSLSSNGCSCHRAPETEADVNNPGSSAGSCTQVNSSQEGSWCSVVSEQSPSVHCKEEEENGLDPEDAKGVFGTASKIIVKNCGSGEEAGDHDSERTHGTFPCSRDDEPVHVPSEFGSSKSQDNQRDEDDDRLALVLVDPQPNAEAGNEDDMRIQAREAQAEPREGDGEMEEDNELAIVLVDPQPEPRAEPAATARPRNDVQSVLLALRQVKEQLRYTIERRSELVAHQELCGHC >KN538876.1_FGP049 pep scaffold:O_longistaminata_v1.0:KN538876.1:188607:191983:-1 gene:KN538876.1_FG049 transcript:KN538876.1_FGT049 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSEGGNESRKESAERPQITPDETAASEMDVNLNTTNADSISNHGMERLIHGQSFTYGELYAATGGFSDDRFLGEGGFGQVYRGVLDNSQEVAIKILNLQGNQGDREFITEASVLSKLHHTNLVKLIGCCQDGDQRLLVYDYMPLGSLKSHLHDLSPDKKPLDWNTRIKILVGAAKGLQHLHVNVDPPVINRDVKSENILLGDGYHPKLSDFGLAKMGPTGDDTHISTRAIPKINKKDFPKLADPVLNGQYHVRSLFRALTVAALCVDRTANRRPDITAVVDALTQISESQSSRKRWSSRLQSSVGSSASTEPRIEDWNQAKDQGEGS >KN542557.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542557.1:88:465:-1 gene:KN542557.1_FG001 transcript:KN542557.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVLHSTSTFVNPRGLRIFTQRWVPAGGDAPLLGSIAVVHGFTGESSWTVQLTAVHLAKAGFAAAAVDYQGHGFSEGLQDHIPDIAPVLDDCDAAFARFRA >KN542557.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN542557.1:3946:11391:1 gene:KN542557.1_FG002 transcript:KN542557.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLRGWRRGAEMATRGMAQVGQSVTLSRSRLYGASPWRGGHRILVLINGNQARGGLWMRSRGIGGIGHHGSGRRRSSVGACLDRISEDLKEDGGQMSAEREDATGKVKREEAGKRSDKGVEIKFKGYGVNEQGFYSMKIDVPAGEGSKTACRGILSVIRGKGSVPKVMVELSSLFKGLKWDWKVRQINDNDFLVDFPNPEARSKLTLVKSFDFDKFPIKASVTESKMTDAAVDELYVVWVRMYGMPDFARSEASIKAVAELVGELEEVDGFSVTKGEFVRMKIGGGKGAILSLGNPVIKDGKGATLDISSPGTKGDKGVIPSACKPDVGSPKTPENLTMIVWQPEEESQHTEILDPDSQELRECDLQDLMDIDLQKKYAIGGYDDEEEGKCAIPTDSDIEKMREEEDEGDDEGHDQGVCEDVMGQITEEEAFQKVERKNKKKGVIPTKRQGLRVRDKNVPVQLKAEIRKSKVNLNPGTSNPYAIFNSVDRNILKEIASANCITLGDSEEEINVNLEAICAREAAQAALFEAEQNLISMAAVGDSTGREELEGVITDKSVHQEGVASDGLTVVDEEPIGEGLVKIKPGKDGGWRLDFRRNLDADGEAELAQLTQLIQGVVLEEGNDLMIWPHNSKKGFTAKSMYRELTFGGVRDLGMMAVWKNALELAQIPINFRNCLGLMKGQKVLKRWQRNAGNLVARNGRDGFYKGAKRMGTSMAEKRRGGVAAADGRRCEGNRGRGGESIGSEGSGDGVDAKESIPSPDR >KN541442.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541442.1:11:1351:-1 gene:KN541442.1_FG001 transcript:KN541442.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGMDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFVSLFGTATTFLLFQLIISSSRGDGYSREDVVISYVLLVGALVLEIISVCRAVLSTWTCSLMHRRGRAWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCARNTNEIGSRLATRLGLQDWWNMMHFSGTFSDTGSFSIQDLKKLVLQALEEKERALQYKDTDLNSRGSFILKSMKAYDDFARWSVNIDFDESILVWHIATELYIRRSKARHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLPSCEQIEGHCRMGFGGEKDNPVAASPISWNPYCMLKELLHHDGPSCSSIPRREKLAEIVWSFCQFAL >KN541442.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541442.1:21991:24491:1 gene:KN541442.1_FG002 transcript:KN541442.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYVRLSPACRRTWTGFSGEAFRDVLGFLRLAVPSALMVCLNTNSFAFMVPLGLGAAISTRVSNELGAGRPQAARLATRVVMLLAFLVGTSEGLVMVLVRNLWGYAYSNEEEVADYIAKMMPILAVSILFDAIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKEQPS >KN541442.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541442.1:12623:16484:1 gene:KN541442.1_FG003 transcript:KN541442.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSTPSSVPYAMDRRVRMHPSGPKGCHLEHGQDAGDIGCRDKRPPEIIRRDEMAHAVNGGITPHRDKEHCGLQWRLKDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGVMSAALRGLDRLEWVGKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNAALSDDLYKELTVQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHATLFVHRVSELPKGLYFLVRNEEHFDMLRRAMRQDFEWERPEGCPDGLPLYRLMKGDCQQIAMQISCFQDIASHGCFSLGMIARFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >KN542631.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542631.1:2120:5062:-1 gene:KN542631.1_FG001 transcript:KN542631.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEAGSFLDVPKDIPIATTKSLTIRTNGGFSSSSDRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVIVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPPEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANETNWRSCVSKTVTLLRSKLGRKSIAEKESEGPEGMPFPRNRHFVGREKELSEIEGMFFGSTVDIQEVDCPRGSAANDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRNLQKQRSKHRRSRFRCNSKGYGSASVLCITGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVINLEPMQLPQLSYNDAMILIKGKQKNDYPPEEMEVLRKLDERLGRLSFGLWIVGSLLSELMITPSTLFEAVERISLNESLFPLGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSMHLLGESLKTAFLCGTHCFLAPHGRKAEVESAFLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPSVQLKAVDMVHFIRKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRIQDLNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAHTLAAQETLAKLVRYRSKI >KN540893.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540893.1:5394:6035:1 gene:KN540893.1_FG001 transcript:KN540893.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHPVQLYDNTSGRHEVASFSTNFTFAIVPLNNSCRGDGMAFFLAYYPSPEPPKNSNGGNLGLIAGEEVTGQTIATGKDRFVAVEFVTYHNDTSNDTIAIGISKVRKLDYTAISPYRLEGRMTAYISFNCITGMLFASLWFHDHPSADPVVRVSANLLDPIPITSLFPPQVAVGFSSSTGLCRELHQIMSWSFNSTLALVKKGIRSFMQLY >KN540893.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540893.1:17279:17863:-1 gene:KN540893.1_FG002 transcript:KN540893.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIPAISGKGGGLGGLQSGKGSPRVAADEVARGCATGGGLIFGIELNLDQRNYLKGEMHFATN >KN540893.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540893.1:13706:15440:-1 gene:KN540893.1_FG003 transcript:KN540893.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSTPSSPTYAALDTAADAVAQAVCAAFQVLPGMEIDPTMANAVADSAAIRASDAARNALSPVLSTLLSGTPAMTGAPATSGTPTTTVAPGAGIAAPSVVATHGMAPAPTTLLPGIMPADLAALFASARLTMQF >KN540893.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540893.1:11492:12667:1 gene:KN540893.1_FG004 transcript:KN540893.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTGGARRFEYRDLAAATGHFAEGCKLGKGAFGVVYRGNLKLLDCQVAVKKILKESSEDHKDFFAEVSTISEAKHKNLVKFFGWCCREHSRNILRFMCSCWWKKRNMELFLVYELVDNRNLRNHLHNSDAAAVLPWPTREHIWDLYEGGGNFVVESADKRLLATEGGFDNIEMERVIVLGLWCSSSEKDRRPTMWDVMDILNHGAPLPDRDSIVNSTLASTNDVQDTGSNHDEAPLFSLGMQ >KN540893.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540893.1:23595:29526:-1 gene:KN540893.1_FG005 transcript:KN540893.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGVETISGIEIFARTQRSHPLDPLSAAEIAVAVITVKAAATTPEVRDGMRFVEVALLEPEKNVVALADAYFFPPFQPSLLPGNRNALIIPTKLPPRRAKLVVYNRQTNETSIWIVEFSEVHADSDTRGGYERGGKLVSSEVVPDVQPAMDAMEFVECEATVKSHPPFIEAMRKRGIDDMDLVTVDPWCAGYYSDADAPSRRIAKPLVFCRTESDNPIENGYARPVEGIHIIVDMQNNTVIEFEDRKLVPLPPSDHLRNYTSGETRGGVDRTDVRPLVINQPQGPSFHVNGYLVEWQKWNFRIGFTAKEGLVLHSVAYVDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNANSLKKGCDCLGVIKYFDAHFTNFTGGVETIENAVCLHEEDHGILWKHRDWRTGLAEVRRSRRLTVSFICTIANYEYGFYWHFYQDGKIEAEVKLTGILSVGALMPGEQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEAYNQVVEVNVNAECAGPNNMHNNAFYAEEKLLKSELQAMRDCHPSSARYWIVRNTRTVNRTGQPTGYKLVPGSSCLPLALPEAKFLRRAGFLKHNLWVTSYKNDEMYPGGEFPNQNPRINEGLATWVKQDRSLEETNIVIWYVFGVTHVPRLEDWPVMPVEHIGFVLKIVFLSYISPDNVTALNIGTHIVFVLLQPDGFFDCSPAIDVPLGSEVHTKKAERPRRFK >KN540276.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540276.1:381:2594:1 gene:KN540276.1_FG001 transcript:KN540276.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding EWLLVTRTANPDTLRIRLSRRTRIRPHNMSERENPSTISVGTVIDGWLYDGWWEGIVLKLVAMSTEFFPLSEFAGEKKMVLFRRDQLRHSLEWIDNEWKNFAHREDIARRIPSAEDLRIRVITAREVPTREEVMKQLEGLKTNKGGSNSAKPAAEKGSSSSATKKTTPDLIRHATNDLGSSNFKHVGVPASEEIRTDNKGSQVNLENVLKWKRGALDLYS >KN540276.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540276.1:28122:31264:1 gene:KN540276.1_FG002 transcript:KN540276.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGSSHTAHPQRTFKIPDYTGGLGALVIVIGFSSDIGYALGDTTEDCKVYRGPRYHAAAAFILGFWLLDFSNNTVQGPARALMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKSVFLGLSTAVTMVFAREVALDPVAAAKRNEGEASGPLAVFKGMKNLPVGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVAAFQEGVRQGAFGLLLNSSIENTKRLVELTRGQWPQIVLGISSFLIEPMCRRLGARAVWVMSSAVVCVAMAAVSVLSAWSLGDFGGSMVIALGAGPWDELFGHGNIPAFAMASVFAAAAAAAGVVLLPKVSVRSVSMAGGH >KN540276.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540276.1:13216:13597:1 gene:KN540276.1_FG003 transcript:KN540276.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRSRASSAARITDEQIGDLVSKLQALLPEARLRSNDRVPSARVLQETCSYIRSLHREVDDLSERLAELLAAADVSTAQAAVIRSLLM >KN539516.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539516.1:89694:94026:1 gene:KN539516.1_FG001 transcript:KN539516.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKSTLLDALAGRLGSSVSQKGDILINGRRQALAFGTSAYVTQDDVLMNTLTVREAVRYSAQLQLPSGMSAAAKRERAEETLREMGLEGAADTRIGGWAHRGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKKMTTAQAIDTLVNSYKSSVHLEKVTHHIQDIRATGGAVVKKEERPSFLTQTWVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYYNIGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGSFVIANTLSATPYLALISVAPGAIGYYLTGLQSSIDHFAYFAVGFYKNEFLGLTFPNNQAGGAATITGHEILRDYWQVQLGYSKWVDLAILGGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDAPSVHIAENGSAGSL >KN541084.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541084.1:1:3854:1 gene:KN541084.1_FG001 transcript:KN541084.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DLKLGKDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVLYLKKAFEAVQELLGERLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSSLLQALFAEELGLLLEVHLKDLSVVKQKLQAGGISANVIGNVTASPDIELVVDGRLHLKEKTSELRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAILREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEDYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENVLASVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWSPKDWQLENSGPSPWLRMFQNAREWNPVLLLDAQQFAVLLLMNRIVEGVDLHLIVRLFTGTVEMVRAIGLLLLLVVSIEAAAPVRRPRCDAGDRAALLAVKAAFNNASYFQSWTPDIACCHCLAIIRDDNVTGGIPGDAIARLTRLQELMFFKVPGVTGPIPAALATLTALRELTISRTAVSGSIPSFIGDKFTELGILDLSFNSLTGAIPASLAKPPKLNSIDLSRNRLTGSIPRLLLSKAGQQAFLTLSHNNLSGSIPAAFGAVNFVQIDLSRNQLTGDASMLFGSGKKELGSVYLSRNALSFDMSELRLPERLSFLDVSHNAIRGGIPAQVANLSNLQLLNVSYNRMCGEIPAGGNMARFDAYCFQHNKCLCGAPLAACH >KN539516.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539516.1:54664:63911:-1 gene:KN539516.1_FG002 transcript:KN539516.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSACLLICISGAIGLAAAAAGHPGCMTRSCGDVDIPFPFGIGDHCAIHEGFQLECHNATEGTSKPKPFLGNFEVTKISMEDGKVWVKAYMSTQCYDQSTGGMSYNDASMNLSGSSFWLSNTDNRLIIIGCQTLAYVTTNSMQQMQYVIGCSSACDINRTPKNGSCSGAGCCQANVPEGIQYYQCYFNEGYNTTLTWMTSPCNYMAVMETAAFNFSTSYLTSTVFYDTYKGGVPVVYDWAITSKTCTEAKRNKTSYACVSNNSHCFDMNAHGHRCNCSNGYEGNPYVKDGCKGTALCTFYIDECLNNVTYPCVGICTNTLGSFSCSCYPGSYMTNGVCVPNRKLGSVSLQVAIGHIAEKMIFSLEELEKATNQFDDTRRLGGGGHGTVYKGILSDQHVVAIKKSKNTVKREINDFVNEVAILSQINHRNVVRLFGCCLEAEVPLLVYEFIPNGTLSNHLHVEGIQSLSWKNRLRIALETASALSYLHYSASIAIIHRDVKPDNILLNDLLTAKVSDFGASRGIPIDQAGVTTVVQGTFGYLDPEYYQTGRLTEKSDVYSFGVILVELLTRKRPSSFMSSEHVSLIAHFNLLMCEDKLSEILDPQVILEGAEDVDLVAALAGECLRLNGQARPTMKNVEMRLQRLSGEDSNTVQGSKIDLYHDVSAQMSISKGSSSSKQCSIEEDALLSASFPR >KN539516.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539516.1:35070:35795:-1 gene:KN539516.1_FG003 transcript:KN539516.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVSALLICISAIWVVAAADVPAGRRGCQTRCGNLDIPFPFGIGDQCAIHGGFSLDCKNDSGTYRTSIGSFEVTKISVPDAKAWMKTTISWQCYDPATKQLNYSMGWANFTNTPYRFSYQDNKIFVIGCNTMAYMRGVSVACPHARMNQQMVLALALAVVRWMSHQT >KN539516.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539516.1:2415:19346:-1 gene:KN539516.1_FG004 transcript:KN539516.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLDVVEGDADGLALLAVLVAAYVRGPFEVMGIVDALSALVLSAAGNVPAPVAAVSKPGCPTKCGAVDIPFPFGIGEHCGLEAPYTNYPFKFDCKPVDGTSKPFFRGMEVTKISMEDGKAWMKMNISRSCYNQSTGTREDNTNTTSVSFSRSPFWISDRDNKIIVIGCETFSYMQINNVLTGCVPSCGNDPKNGICSGEAGCCKLDFPNGTWYYNTYFSKRNNNSSPCSFITVMETTTFNFNKNYFSSTAFYDTYNGLAKVSLDWIITMDSCDRVKRNTTSYACISGKSGCVDDPKGGYRCKCSHGYEGNPYVKDGCKDINECLDNATYPCPGICKNTLGHFTCSCYPGNYMMNGICIPNQKSGFPKNPVIGASVGAVLLVIIVTYACFIREKRKLQYVKRRYFRQHGGMLLFEEIKSQQGISFKIFSEEELQQATNKFDKQQVLGQGGNATVYKGLLKGNMEIAVKRCITIDMKQKKEFGKEMLILSQINHRNVVKLLGCCLEVEVPMIVYEFIPNGTLFSLIHGNHNQHISLDTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKDYVAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVILELLTRKKAFNLESPEDERSLAMRFLSAMKEKRLSDILDDQIMTGDNLEFLEEIAELAKQCLEMSGENRPLMKEVADKLDRLRKVMQHPWAQQNPEEMESLLGDSSYEINNSTVENTGNFSINSELQCLESGR >KN539516.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539516.1:29655:31528:-1 gene:KN539516.1_FG005 transcript:KN539516.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVFSYSTTYIPSTNFWNVYNGTVPAVMDWIITRDTCEEAKTNMSSYACVSNNSECLNSTNGGGYRCKCSKGFDGNPYVKDGLLGCRDINECLDNTTYPCAGICENTIGSYKCSCPQGQNELARGVCVPDQKIQKSQAWVMPVVVHWGSVGFVILVIMATCSYLIREGRKLQHIKQKYFKLHGGLLLFQEMNSNERKSFTIFSEAELQHATNKFDKNQILGHGGHGTVYKGLLKDHTEIAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLSNLIHGNHGQHISLVTRLRIAHESAEALAYLHSYASPPIIHGDVKSSNILLDVNFMAKVSDFGASILAPIDKSQLVTLVQGTWGYLDPEYMQTCELTDKSDVYSFGVVLLELLTRKNVFNLDAPENEKSLSMRFLSAMKENKLENILDDQISNIENMEFLEEVADLAKQCLAMCGEDRPSMKEVAEKLDRLVKVMQHPWTQQNPEELESLLGESSYIISSGASSTGNFSIEKKVVKDLASGR >KN539516.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539516.1:67154:76194:1 gene:KN539516.1_FG006 transcript:KN539516.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSAQQIIPEGEWDDFMNMLRKPLPATFRINASCQFYQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGMLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSSETCTDESKLQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIGLLKVGGRIVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELVRRPGLSTWKVRDRGSWFGTHEDVPRYRKNVISPSMFPSGKGTMDSHVAIGSVEINTDVIDADMKDSTNMVEGEQETKTASGDVNNGGDPNTEETSKLESNEVPNDSDKKSNSMSIRTEHSNFPLHRCMRIVPHDQNSGAFFIAVLQKISPINENQEAELIKGEHNISKDRAEKLEKGLGSDKVPHKENTVQQQGVDDGNVMDEQQNGDGDNETSNGKSSEEAKVIVNEEENDQAGPRDRRRKPQNQGRWRGVDPVIFFKDEATIRSIVSFYGIKDTFPLEGHLVTRNPDAGHVKRIYYVSKSVQEVLELNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLREGHQNIDSIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLEWICLRYGLKIPKADDVKPSMKIDGSDEQPDLSTEAVDPEAVPESKASDMEIADAKEVE >KN541084.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541084.1:28564:30538:1 gene:KN541084.1_FG002 transcript:KN541084.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFDNISRWLKELRDHADANIRIMLVGNKTDLKHLRAVATDDAHKFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEGGAGAAGGVKEGKTINVAAGDANSEKKQCCST >KN541084.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN541084.1:8105:18818:-1 gene:KN541084.1_FG003 transcript:KN541084.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLYLFPLFSFQFLFLLKNYLCPTYHHMDKSMAYEPGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKALPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKESSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVSAAKFANANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVSAKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLIKTLESCGWDVAGSHGGISMLAKPTAYIGKTIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKASHQINGNGPRSKRIAGELLPLMILWSRLSTSYVSFVCIVQGHYLLGCAMLEKEECALAIKEFNKALDLLNSSNLRDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGATNEYTDQLKLLSEVFTKATIDDTPTDVPDYLCCQITFEIFRDPVITPSGITYEKSIILEHLCKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >AMDW01030775.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01030775.1:61:268:-1 gene:AMDW01030775.1_FG001 transcript:AMDW01030775.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding VSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWK >KN539516.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539516.1:21891:27571:-1 gene:KN539516.1_FG007 transcript:KN539516.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQLPLPGRLLLYLGAIATLAAADVAIPAGGRPPAGCRTRCGDVDIPYPFGIIDPDRPHWAFHSGFQLNCTSVNGTARPMFYNMEVTNISVPNGKAWMKTNISSQCYDPDSNRTLYDIWRYNFRNSPYWLSNEDNKIIVVGCNSLAYMRSSSFITGQSMQYVVGCSSTCDDVDLKNGICSGAGCCQADVPEGIRYYQGYFNVDYNTTRIWRSSPCNYMAVMESAAFSFSTAYVNSTVFSDTYKGMVPTVLDWTVDWKKCEVAKENTTSYACLSSNSDCIDATNGLGYRCKCSYGYKGNPYIKDGCEDIDECNENVPKPCPGFCTNTPGNYTCACQQGKHMIDGICKRSPSSWIMPVVGAIVGIVTLVTIVTCAYLIQERKKLHSIKDKYFQQHGGLELFQKTKGAAFKIFKEEELQKATNNFDEKQILGHGGHGTVYKGFVNGNTEVAVKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIPNGTLFHLIHDGHGRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGEFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVLLELLTRQKPFNFGGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLRHPWALHNLEEAESLLGESSIVNSEVVSTGNFSIEKRSLTDLESGR >KN539516.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539516.1:39979:48717:-1 gene:KN539516.1_FG008 transcript:KN539516.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVSALLICISAIWVVAAADVPAGRRGCQTRCGNLDIPFPFGIGDQCAIHGGFGLDCKNDSGNYRTFIGSLEVTKISVPDAKVWTKTKISWQCYDPATKQMNYWMGWANLRNTPYRFSYKDNKIFVIGCNTMAYMTSVSYVIGCLSTCSDEPTNGLGSGMGLLSLVFGVIFIMQKLKVVRAKKLRAKFFDQNRGFLLQQLVDKDIAEKMIFRLEELEKATNMFDDARKLGGGGHGTVYKGILSDQHVVAIKKSKNTIEREINDFINEVAILSQINHRNVVRLFGCCLETEVPLLVYEFIQNGTLSDHLHVEGTQSLSWKNRLRMAVETASALSYLHYSASTAIIHRDIKSDNILLNDLLTAKVSDFGASRGIPIDQAGVTTVVQGTFGYLDPEYYQTGRLTEKSDIYGFGVILVELLTRKRPSSFMSSEHVSLIAHFNLLMSEDKLSEILDPQVILEGAEDVDVVAALAVQCLHLNGQARPTMKQVEMRLQRLSGEDSNTVQGSKVELNHDISTQMSIDKDINSSKQCSIEEDALLSASFPR >KN541084.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN541084.1:4954:6867:-1 gene:KN541084.1_FG004 transcript:KN541084.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MAAAEGPVLLFPSTSSTSARVEAVVVFNICDSYVRRPDQAERVIGTLLGSVLPDGTVHVRNSYVVPHNESPDQVALDIEYHHNMYASHHKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQSPIHLTVDTGFTRGDASIKAYISSNLSLGDRHLAAQFQEIPLDLRMLEAGKVGFDILKSTIVEKLPNDLEGMESSMEKLYVLIDEIYKYVDDVVEGRVAPDNKIGRFISDAVASMPKLSPAAFDKLFNDRIQDNLALVYLSSITRTQISIAEKLNTAAQIL >KN541084.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN541084.1:31100:34503:-1 gene:KN541084.1_FG005 transcript:KN541084.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD1 [Source:Projected from Arabidopsis thaliana (AT3G11730) UniProtKB/Swiss-Prot;Acc:Q9ZRE2] MSIEYDYLFKLLLIGDSSVGKSCLLLRFAKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSDIKKRMATQPAVERKPTVHIHMRGQPIQQQNSSSSCCSS >KN540878.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540878.1:458:2302:1 gene:KN540878.1_FG001 transcript:KN540878.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNLPDNVLLDILDKLDTREAVRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTSTLSDDARNSYAMVSAVQSLLSHESRHDIRRLDLSFFSREESVGIIHAIDDAMARGRSIQDLRFVVLSEKSFLERPHKDRVKQGRRLLHCFDAYPRVFVGLTRLHLESVTVHGPRFSDLIAACEQLIKLCLVYCDFGKETTLTIRHEHLSTIDLEFCACYTIELEWLPKLAELSIAVWPWTSHEYPLVVGHAPRLRLLDLSHAGMVNSKILRLSKLLDNTTNLQELWLNFETEKVWIQPETPKHLAPFMRNLTLVDVHRIHPNCGINWTLFLLEAAPLLKTLSISVTDHLCVPVEEELIKRFVICKKSNINWEPSDFKHSNLSKLTIHGFQPNNIFMGYIRRVMKAAMNLEEILLHDDWCEDCEFYYPVTRYPQTKIERDLVKKAINGGITSPIKSIQFFHTSEAGTINIID >KN540878.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540878.1:27557:33546:1 gene:KN540878.1_FG002 transcript:KN540878.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLKSTVAAEHATAFPDIGPVAVKSFQVRRKGALYHLSDLMTIRSAFAKIKAGCFLHVKMTVVVTDSHCCRDTSMEDRGKSSEGCPGAEVHVDKCVLKIPALISQIANRRLPGLENSSIAGMEKKRKRSEPEATREVVSAQEMAKPSSGAVEVPGSIGQVLLQKNNQELLGDGAYNVELTSRDNSGCEGTTHVQLMSGAQGATDLASDQGIDDLVHKAYKEPITRYMTNSSGVVAGAEKPTQGRRDEGAVETSKMEKASTSKSILEEIQSAGNPSQGRKRKKAKKVNSVDMASLDIADQCGAEHVQLMSDAQATANLVADQGIDNLVHKEYKDPNIDDMVNSSEVVAGAEKSTKGRHDEGVVETSKMENTSTSKSVAKKRKKAKNVSSVDMASLDIAGEKDQCGTKHVQFVSDAQATTNSVADKGIDDLVHKEYKDPTMGDMVNSSELVGGAGESTKVRHDESGIETSKLEKSSKSILEEIQSVGHTSQQKKRKKAKKISSVDMESLDIAGEKDQCGYGENLVKSDKLATQGKIVNDPVDQHISSNVLSEGANVIENPCGDGRRKKKKKTKHHSESSKDVGPTHDVIKSLITNEISIQNTNVSPLDPKQITPATTGVGTIGHKTKCDVSLDVAAAKVIDEVLADLRCTDNISKDLDQCQLTKQKHQGSDVLGVHGNTVDNGALSAVLPPKYPAAIHSDAPISSPSHNKAEGEKMEVLPTAHDSSHFSGGVPEENASAELRESVSLRPSDNTSVSNNISTENVVVQDDDKNKAAKRQRKKISLKHVPTDNGKTIQSLDEQVNQVAIEDLNGSNATKADLVQGGSVIDGPAGTVENVQKKSRSTKIRTPKVQLANPSAHFEDSKSAKDSQGKCVSYVGESGIYSNETAVGAPTQLCAVQEDATALRTSTPSALKGRKKSSKTGLQSQNSALDHGSDVDLMNYKAEHITASPKKSTVAVEPNEKINFLDHFSPKGTNDQYVSAENKENDREETVREVEDESNKTEVDMQSQLTDNAKPNDLLQSHHIEKTTSTNNSPGDVGVPSSTQNVDTADGNVKKGKQKKRKKKPELLNSVSQKVDPNSDHRDIDNGVQDLSFSVAQEGRMEHDRKENNNNVIWNSSMLTRDPKDGTCDSRVKKLNQSKSGSDNQGKLPIDKDHELMDKEQRKSSSQTKTHAESKNFDSFINGRADPNSKSIRNLVKSFSMSPPASSDSTQGTPQNSRFRLAARKVPRKRYEQTSGKSKKDKGTGTIFNDASSDGSDDELGIGSEKAAIEASSDDASSSADSGISSAAHDSGEPDDDGNASLSQKSRKGGLGSILRGSCSYKKAKQKQAVQLDDTEVPDSQPMDIF >KN540878.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540878.1:13869:25447:1 gene:KN540878.1_FG003 transcript:KN540878.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHVDENVPAPSPRSNCSLTINPLKDTELVLYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLMVYQDQIYLYGGYFKEVVSSDKSASEKGTVHADMWTLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKEPSNNVEDNLGNEEDEIMEDSETTGGQSEVHGVSNHLTKSLTLNKAGSGNSSDILSDSTTQEVLPEAVKPGGRINACLAVGKDTLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPSDEDAEKNVDMSTAVSLIKGERKNLRRKEKRARIEQIRVMLGLSDSQRTPMPGESLKDFYKRTDMYWQMAAYEHTQHTGKVAGSMSLPYLFVLIQCQELRKDGFDLAETRYKELKPILDEAHGATAPVMVTTCGSSDGYYLRPDKLAAVSGEIRGKHPRAEVRTFVLDFAAEGLAAKVAALGDSIRGLDVGVLVNSAGMSYPYARYFHEVDEELMRNLIRLNVEALTRVTHAVLPGMVERKRGAIVNIGSGASSILPSYPLYSVYAATKAYVDQFSRCLYVEYKNKGIDVQCQVPLYAATKMASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHAVLWFLISAFPEPIVDRLLLNMSVGIRKRGMAKDARKKTQ >KN540878.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540878.1:8179:13117:-1 gene:KN540878.1_FG004 transcript:KN540878.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDLPDEALLVILNKLDTREAVRCSVLSRRWRRVPGMLPNIELDVDSFTPDRDDGFTSTLSDAARNNYAMVSAVQSLLSHESRHDIRRLDLSFFSRDESVGIIDAMARGRRILDLRFDVLSENSAHDTVELEWLPKLSELSMSVWFWSPRQYPLVFGHAPRLQRLELTHAGLVHSKVLRLSKLLDNCTSLRELWLDFECEKIWIQPETPTHLAPILRNLTFMDVNRIHPNSGIIWTLFLLEAAPLLKILSIMVTDHHCVPLEEELLERMFICEKNNINWEPSNFKHNNLTKLIIYGFRQESRFMSYITRVMKAAVNLDEISLHDDRPSEDKDTMSLSDLPDEALLVILNKLDTREAVRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTSTLSDDARNNYAMVSAVQSLLSHESRHDIRRLDLSFFSRDESVGIIRAIDDAMARGRRILKMCFDVVSEKCYLECPDRDRVKQGRRLLHCFDAYPHVLAGLTSLHLECVTVQGPCFSNVITACEKLSYLSLVYCDFGEETPLTIHHEHLRVVKLEFCTCDTVELEVPDLLKLMMSVWSWSPRRYPFVFGHAPRLQRLELAHAGLIDSKMLQLSKLLDNCTSLRELWLNFEREKIWILPETPTRLAPLLKNLTFVGVHRIHPNSGSTWTLFLLEAAPLLKMLSVKVTDHQCIPIEGELLKRTLCEKNNIYWEPSDFKHYSLTMLIFYGFQPGKKCMEYIR >KN540878.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540878.1:34270:38198:-1 gene:KN540878.1_FG005 transcript:KN540878.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding NSGGLEESVGSWPLACWLGLKEAEESLPMDPPSGFWASLGSFLKFLPYFCGLLILGVIKVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFVHCFVDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQLLEHLFVECKHQGETLVNEGVITMKDIEETKSGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDRVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGMARMLSQKSMGKAANVRDEDPEAQMTSHDRTP >KN543397.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543397.1:654:2024:-1 gene:KN543397.1_FG001 transcript:KN543397.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDAEKPDFVVYLGDLVTANNLGIPNASIYWDRAISPTRGRGIPWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPPSMSVSDCSFRGTPRLELMTSEVIRNGLSYSSNGPKNLWPAVSNYVLQVLSEKQDDPALLMYFLDSGGGSYPEVISSAQVQWFQSQSQFLNPNGRIPEIIFWHIPSTAYAKVAPKAKSEIRKPCVGSINKEEVAPQEAEWGMMDALVKRASVKAIFVGHNHGLDWCCPHEKLWLCFARHTGYGGYGNWPRGARVIEISEQPFSIQSWIRMEDGTTHSDISLRS >AMDW01043126.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01043126.1:82:378:1 gene:AMDW01043126.1_FG001 transcript:AMDW01043126.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding LHVADFVVLCIGRFSGFPNMPRFPPGKGPEAFAGTAIHSMDYSNMGAAKAAQLVKGKRVAVVGYQKSAVDIAAECADANGAKLSTTKPAGQLSQFLLP >AMDW01046088.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01046088.1:114:848:-1 gene:AMDW01046088.1_FG001 transcript:AMDW01046088.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding DINFKRSVKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNTILLKHITYMLPGLKSRINSQLVAVAKEHAAYGDTAEST >KN543610.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543610.1:556:1914:1 gene:KN543610.1_FG001 transcript:KN543610.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQDSHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRAHRQNLQKIPVPTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRSFTVPLKKYTHEILTLWYRAPEVLLGAAHYSTPVDIWSVGCIFGTDSCLDIVDISCALQLSWPLTNLFSLEIPRLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADALDLLEKMLQYEPSKRISAKKAMEHPYFNDVNKELY >AMDW01007498.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01007498.1:16:207:1 gene:AMDW01007498.1_FG001 transcript:AMDW01007498.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARNLLLRHLRVAVAPSSSSPAASLRPAVALREALCGRRWMSSEEAKGSFLDKAEVTERIVK >AMDW01020952.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01020952.1:56:295:1 gene:AMDW01020952.1_FG001 transcript:AMDW01020952.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding PFRDMIEGMRLDLTKSRYRSFDELYLYCYYVAGTVGLMTVPVMGISPDSRANTETVYKGALALGLANQLTNILRDVGEE >KN540728.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540728.1:454:1178:1 gene:KN540728.1_FG001 transcript:KN540728.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding IFINVPWWYIAANKMVSPFLTQRTKSKIIFCTAAKSAETLFRYVAPEQVPVQFGGLYKEDDTEFSTSDAVIELTIKPSSKETVEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTVDNVASKKKKQLLYRFKVKSSSESA >KN540728.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540728.1:21659:31046:1 gene:KN540728.1_FG002 transcript:KN540728.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MKTSGFESASSSGSGGGGGGEGSGSFNLRNLSKLILPPLGVPAGGHAQPGHAGPNDRRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLVRDRRRIATRYLSTFFIMDVASTIPFQGLAYIVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWIRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKDVYLFKGVSREVLLLMVTKMKPEYIPPKEDVIVQNEAPDDVYIVVSGEVEVIYSDGEAGERVVATLGTRGVFGEVSALSDRPQSFTLRTRTLCQLLRLRQAALKEAMQSKPEDSVVIIKNFLKHQIEMHDMKVEDLLGEDAGGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDIGDSKGRTALVRLVLGPLDQKNVFKLSNLDLNPKFESNLLIWALFLSAYSGIEGVRGVRAGSPQASVQRKHQSLEAYVYNVKSTLGGKMADAMEGEEKEKVEEAVREAHEWLDGNPDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGGGGAPEDGNVDDEDDHDDL >KN540728.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540728.1:18080:18508:1 gene:KN540728.1_FG003 transcript:KN540728.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGSTPSRPSPSSVVYVALGSEVPLRVDKVHELALGLDVAGTRFLWALRKPTGVSDTDLLPAGFEERSCGRGVVATRWVPQMSILAHAEKASRQRFVQSRWRSWRKKAAKRFKPTPRSCRRSSRTWPAMRGTSTDSFSN >KN540728.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540728.1:11909:14862:1 gene:KN540728.1_FG004 transcript:KN540728.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MELERDRQVRGIELIASENFVCRAVLEALGSHLTNKYSEGLPGARYYCGNQHIDAIERLCCDRALAAFGLDPSRWGVNVQPYSCTSANFAVYTGLLLPNDRIMGLDSPSGGHVSHGYYTPSGKKVSGASIFFENLSYRVNPHTGYIDYDKVEEKAVDFHPKILICGASSYPRDWDYARMRLVADKCGAVLMCDMAQISGLVAAKECRNPFDYCDIVTSTTHKSLRGPRGGIIFFRKGKNLRKRVGSLTQVVENDQYDFEDRINFAVFPSMQGGPHNNHIAALAIALKQVAMPEFKAYIQQVKKNAQALAMALLRRKCRLVTGGTDNHLVLWDLRTVGLTGKNFEKVCEACHISLNKTPIYGDNGSISPGGTPAMTTRGCLESDFEIMAEFLLRAAHIASIVLKEHGRLQKDFLKGLENNNDIIELRNQVETFALQFAMPGFDV >KN539123.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539123.1:44372:45357:-1 gene:KN539123.1_FG001 transcript:KN539123.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTILLFLLAGPAAAHGDGDTTIRLPSDGAKASRPRPAKPWDCCDNIEISPLMIYPPLYRCNDEFVCSMCVGVFVSVRLCEP >KN539123.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539123.1:124378:128562:1 gene:KN539123.1_FG002 transcript:KN539123.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) UniProtKB/Swiss-Prot;Acc:Q9ZVX1] MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDTRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENGGADGENVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVSLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQNPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDIPESSDVKTELAQKTDMDETPGRMDGDSSADGSNMVYEDNTSLAKESESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPVADAEEQFPQKESSLEFTSLSWAGNIVGFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDETASDGASWETVDDNETDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFAKSHFSKRGKYILKACEAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLALAKIMPRLITALKDAGANCDQYEHLGKTETAQEVEH >KN539123.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539123.1:26947:27510:-1 gene:KN539123.1_FG003 transcript:KN539123.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSILFFFLAGLAAAHGSTADDTTTTTTNNIRLPIDGAVAARRRTRPWKCCDNIVRLPERIAPPFWQCDDELEPGQCFRQCEACRDPPGRPLPGRPLICDDVFWGDDPGSSCAPSSEWPWGPCCDFAICTKSIPPICHCSDEVESCAAACGQCEMVDSWSWRPLFVCRDSFTGDPGPRCTPEMHN >KN539123.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539123.1:5462:6426:1 gene:KN539123.1_FG004 transcript:KN539123.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDKTDAADCGAPKRPRTTAGGLYAYANQQHDGEDETTETGGFSIERDALECGICFMPFHAEIYMCNNGHAACGSCCAGVNRACPSCRQPIGDIRCRPLEKAVAAISSPCKFRVSGCMKILGYTERRSHEASCPHAPCRCPFDGCYYQGSMLYHHIQEEHATDGVDIAIRRCTAVTLHRSKPFHVLLNRGGTRVFVLLNGGDVPMGRSLSLVSVGPPPLPPPPPQRGTPYAIKLGAVDGLDHLSISGTIPRVRCVQGFEAKSFLFVPDAYWGSSGTIDVAVTIG >KN539123.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539123.1:103057:104923:1 gene:KN539123.1_FG005 transcript:KN539123.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCKHKVKKLLQKIEGVYSVALDVDNHKVTVTGNVDSDTLIRKLTRGEDDDLYDDEDDEFDDDYEDDLRFLGDKMSQLGFLRQQAAAAAAANVKNKNANTATVNNHHNNVNGKKGGGGGGGAGGGNHHQNNHHQNQKNPNVINMAAANAKMANGAQKNTGAINGMMGLNHGLGTAGATPGFQGYTGFNHPSYASAGYGGLQQQHLQQQQQNNNLMASMQGYHHHPAATAAMMNNLRGLNNNMMMMHQPQQQPQMMYHRSPQISPYTAYYNPYSYYYHPGAAGYHPASNGDVETMFSDENTKGCVVM >KN539123.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539123.1:135448:137709:1 gene:KN539123.1_FG006 transcript:KN539123.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDELPQMPKIYGFSMRHGHPSPIRLTIGMYQKKWSDGSAFAWFTAGFGETGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAAEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGIIPSIHPQWQPEYFGEAITVNGKAWPFLAVARRRYRFRIINTSNARYFNLSLTNGLPFTVVGSDTNYLSKPVTAASLLVSVAETFDVVVDFSQSTTSEAELVNTAPYPYPDGDAPNDLNGKVMKFVISPAKAKDTSRVPAKLLDYVAVAEEEAVQRRYIVMYEYEDAATGNPTHLYINGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLATFQAVRVRGLVDEDAFKGCMAKLNDAVRCNVSRHAVGEEVAVPEHEKGWKNVVKIAPGYMTTIVVKFFMVDSGKPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >KN539123.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539123.1:647:3924:-1 gene:KN539123.1_FG007 transcript:KN539123.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEENKRSKTSSKAMMSMKLLVDSKAQRVLYAEEFGKDIVDFLSSLLTLPVATVVKLLSKDSMVASIVKLYATVQELGAATTTYDDVFSAAAAMNIQLEPATKLYRCSTSRFSDCHDYVNFYAGLTSGGASRGADVAATIEAGGWSWSRVQMMGSVEEMERRMAPSVKPVAFLADPPQASSLHMLLPPPASTTLLGIGEISGTHKGIVVVYTNKCYLLYDASDNHLTAIPPVPDSVFVPLGRSAVLVSAAGADDDDYIFADIVTSRSPRGINPALPKATIFARVKNGGEWIRSSIPHLPLPPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDDILSSPQGPRLVFVPLPHCIDAHDKPRHCFSPDGHRSIGRVSGAIKFLALIGYCEASCPANEVKLKTWSLSPDFKHWEEETTLTVGDIWASESFNQMGLPHVLPFSPHQRQRRWHHLVPKANYMIRFDMLQNKVLSSTKISKKPTLRWFTMTFLASDFSAYLQDRQNAEAAGKVPAIAKGQGQA >KN539123.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539123.1:109233:111039:-1 gene:KN539123.1_FG008 transcript:KN539123.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLARHFLPHLRLGLAARCLGAPSSSPSALAIARCRNVAGPSTPPIWPLGGTRFFADDRSRYDLFGKRRPGDEEFRKAWQENVDEEDCLWTGSEDEDEEENDTKMEREIKKVKKQAKENANLIDADDSDELRSICSESDEDDMALWSGSEDDDDDDIPTDAHPNERSDSYIDKVFEFDEAPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPRKKWQKAQARKRKNEKR >KN539123.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539123.1:74386:74946:-1 gene:KN539123.1_FG009 transcript:KN539123.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSILLFLLLGGLTVAVATHGTADDDTNTIRLPSDGAKSPKMPTEKRPWKCCDDIEEQPARIFPPFWRCNDELEPSQCAAQCEVCQDQEASLGRLICGDVYWGADPGPFCTPRPWGDCCDMAICSRSLPPICRCADEVESCAAACKDCQPVESSSSSSSEPPRYVCHDWFRGEPGPSCTPDEHK >KN539123.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539123.1:88488:90344:1 gene:KN539123.1_FG010 transcript:KN539123.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSLNKRKLGEAQHDGDRVVIKKRQSVSMDMEVLHCPVCFQILRPPVFQPHGMGSGFRCSVEFEGHCQASTLESVKISSLSDGLPKDRFFSVPKQQDGNAGVVLGITVDDVEDLEDEDDDSSNSDEECE >KN539123.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539123.1:138561:145641:1 gene:KN539123.1_FG011 transcript:KN539123.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRFLGVCLLVAVLVLRAAVLGRGDGGGGGGRLLDPGKLEMFVDELPDMPRMRGYGVAEGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNYLPPRHFLPWDPTLTAAAPGSGVPAVVHLHGGVQHSGSDGHSLAWFTAGFSATGPRFSSPAAYEYPNQQPPGNLCNARFFRLSLSGGLRFVHVASDSVYLARPVPTRAFLLAPSEIADVVVDFAESGNATAIVLRSDAPAPYPGDPGDKAETVPVMKFVIDDDDAFSTEPDTSSVPARLMSPSRYAKPDAREAVLTRRIAMYEYTKEGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFVALEQRSLRDVDDLKECMMARGSGGGGGGADARASMPDARSAAENASRRHHHHHRAHAIADRSERGLPEEHSRGSVDERFVFPWMGILVNVPTEWKNGRQVGESGNRLKEGFARFCPHKVIPLWNHRGHTGNAIVEFAKDWTGFENALAFEVHFEQQGYGRRAWMGNKYRGSQMFGWVARADDHNSSGPIGVYLRKNGDLKTVADLENEGTRKTDKLVANLASQVEVKKRHVEELESQYNETTASLERVMEQREQQLQAYNEEIRKMQDLALRHSQRIMDENKKLRSDLESKMQLLDSRSKELDKLAVQSNSDRMNLEKEKEKNVNLAIGILGNADVIYVAFYFVRRFANDIKTKHLKMATLEQQKADESVLKLVEEHKREKQAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGKEDSEAKSKIHELSEELKDKYAEMESIESLNQALVIKERQSNDELQHARKVLIEGFQDIIVGRTNIGIKRMGVIDFKAFTNAYKQRSSKEDADVSAAELCSLWENEIKNSDWHPFRVVMVDGKEM >KN539123.1_FGP012 pep scaffold:O_longistaminata_v1.0:KN539123.1:26071:26322:1 gene:KN539123.1_FG012 transcript:KN539123.1_FGT012 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVCIRPDHVAGAEEEFTYEVRTACQRLQMQAAVEGTSLRYGMKDAVQARVTVPDDMLLRQGDAVQVFIRKATSAAGAANNN >KN539123.1_FGP013 pep scaffold:O_longistaminata_v1.0:KN539123.1:11337:12159:1 gene:KN539123.1_FG013 transcript:KN539123.1_FGT013 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSIDSDSLVCGICFLPFEDRVYMCKNGHAACGSCCVVVDRACPSCNEPIGAIRCRPLEKVLAAMSAPCKFRASGCMETVGFTERLSHEASCTHAPCGCPFDGCTYLGLLLYNHILDEHATDAVVAMGSLRGTTVTVHKSKPFNVLLHRGGNRVFLLLNGGDVLSGRSLSLVSVGPTPPANCELLYKIELAADGPGPCTGVLKLSASGTVPCVRRLEGFNAKAFLFVPDSYWGSSDTVSVTVLI >KN539123.1_FGP014 pep scaffold:O_longistaminata_v1.0:KN539123.1:33396:34154:-1 gene:KN539123.1_FG014 transcript:KN539123.1_FGT014 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTILLFLLAVGGLAAAHGDTIRLPSEGDAPPQPAKPWDCCDDIEMSPLKIFPPLYRCNDEAPGPFPGPLICDDVYWGDDPGPLCTPRPWGKCCDKAGCTKSFPPICSCGDEVAACDAACKDCQPVASSPEPPRFVCKDQFIGQPGPKCTPCTQN >KN539123.1_FGP015 pep scaffold:O_longistaminata_v1.0:KN539123.1:47482:56314:-1 gene:KN539123.1_FG015 transcript:KN539123.1_FGT015 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLPWLLLLFFAVGVLQSRAQPDSKGFISIDCGIQPKTSYVDKTTKISYFADDSYTDGGSNHNVSPEYIKPELSQLYYNLRAFPDGARNCYTARSLAPGIKYLIRASFLYGNYDGLSKLPVFHLYIGVNLWTMVNITSVDLGGSHYKEAIVVVPDDFVQVCLINIGAGTPFISSLELRPLENRLYPHVNATQGLLLFSSHRNFGPTDGVIRYPDDPHDRIWGTWKSTTSNQWKEISSATRVDNLRMDKFDAPTALMQTAVTPRNASGNIQFFWKPEPQPNDPTPAYIAIFHFSELELLTNNASRQFDINLNGEPLIAKGYKPKYLTAEAIYTDEPFERTSRYNITINATANSTLPPLINAAEIFSIISTAVISTDSQDASSMMAIKDKYQVKKNWMGDPCMPKTFAWDKLTCSYPNSSRARIISLNLSSSGLSADISSSFGNLKALQYLDLSNNSLTGSIPDVLSQLPSLRVLDLSNNSLTGSIPDVLSQLPSLRVLDLTGNQLSGSIPSGILKRIQDGSLNVRYGNNPNLCINGNSCKAAKKKSKLAIYTVIPAVLVVLIASVTTLFCLLRRKKQGPMNNSLEQQNEMLTSTSHVLRNSGYGDNVSLRNSGYGDNVSLRLENRRFTYKELEKITNKFKRVLGRGGFGYVYHGFLEDGTQVAVKLRSESSSQGAKEFLVEAQILTRIHHKNLVSMIGYCKDGIYMALVYEYMPEGTLEEHIVGDNKKGKYLTWRERLNIALESAQGLEYLHKGCNPPIIHRDVKATNILLNTRLEAKIADFGLSKAFSYDNITHVSTNALVGTLGYVDPEYQMTMQATTKSDVYSFGVVLLELVTGKPAILHEPNPISVIHWTRQRLARGNIEDVVDTCMPSDYDVNGVWKAMDIAFTCTAQASTQRLTMTKVVMQLQECLELEDARCAIGDAHNGFYPDTRSDHNLSYNTYASDRSNDVSQNSGVSMMEHNFGRVPTMASGPAAR >KN539123.1_FGP016 pep scaffold:O_longistaminata_v1.0:KN539123.1:79540:86111:1 gene:KN539123.1_FG016 transcript:KN539123.1_FGT016 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQLPQSLIQMNLVKGFCDSSNSVALAMVSVSMGHNADKKQVVTIGMDVLDCPVCFEPFKPPIFQCSVGHFICSSCCNKLNKCPGCSRTSFERCLGMEHIVESAVVPCTYAEHGCTNKITYFNKKSHEQACSYERCFCPDSGCSFRGSVATLWEHFTTQHKWPSTEFKYYTPFDVRVKPGAHFLRAGDVCRFKPSVALPISIKSMGSSADKKQGVTMGMDVLDCPVCYEPFKPPILQCSVGHFICLSCRMKLKKCPVCSRTNFERCFGMERVVESIVVPCSYAENGCTNKIHYFNKKNHEQTCSHGPCFCPDSTCGFSGPVGTLWKHFTTQHKWPSTEFKYYTPFDLRVKPGGHFLRAGDGQLFVMNMVPVEPVGHGVSLICIQPNTSESSFRCNVVFSSFTGHHQISTLESVRCSSLSDGLPKNYFCIVPKSPGGGAAVLLRITIDTELVLEVDDEQEEEEDDDDYDEDDESDDEDGN >KN539123.1_FGP017 pep scaffold:O_longistaminata_v1.0:KN539123.1:115327:120050:1 gene:KN539123.1_FG017 transcript:KN539123.1_FGT017 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQETYACSPATERGRGILLAGDPKTETIAYCTGRSVIIRRLDAPLDCWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANYYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFISVSSDKKGLIYDGKTGDKIGELSSEGGHTGSIYAVSWSPDSKQVLTVSADKTAKVWDILEDASGKLNRTLACPGTGGVDDMLVGCLWQNDYLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTVSSLAFFPQSNPKTILSTSYDGVIMRWIKGVGYGGRLMRKKNTQIKCFTAAEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALVTTDSGIVLLHKSTVISTTKVNYTITSSAVSPDGTEAIVGAQDGKLRIYSINGDTVTEEALIEKHRGAITCIHYSPDVSMFASADANREAVVWDRATREIKLKNMLFHSARINCLAWSPDNRLVATGSLDTCAIVYEIDKPASSRITVKGAHLGGVHGLTFVDNDSLVTAGEDACIRVWKLVPQ >KN539123.1_FGP018 pep scaffold:O_longistaminata_v1.0:KN539123.1:76511:77054:-1 gene:KN539123.1_FG018 transcript:KN539123.1_FGT018 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLLFLLLAGLAAAAHGTADDDDTTTNTIRLPTDGGSAQQAPTTKRPWNDVYWGADPGPFCTPRPWGDCCTNTTCTRSIPPICRCNDKVKKCAAACKDCKRVNSSKPPRYVCQDQFTGQPGPKCKHSCTEN >KN539123.1_FGP019 pep scaffold:O_longistaminata_v1.0:KN539123.1:30020:30574:-1 gene:KN539123.1_FG019 transcript:KN539123.1_FGT019 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSTMMAASMLLFLLVLAGIVTATTTTTDSNIRLPSNGAAGETDGNKQAKSRPWECCDNIEMSVLKIYPPRWRCNDEVKQCAAACENCLQLVPGAGGEDVVFVCDDWYPTTDPGPVCTPRPWGDCCDKAFCTRSLPPICQCADEVASCAAACKECDMVNSSSEPPRYICRDHFTGEPGPMCA >KN539123.1_FGP020 pep scaffold:O_longistaminata_v1.0:KN539123.1:16626:17613:-1 gene:KN539123.1_FG020 transcript:KN539123.1_FGT020 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKKKGTMDNTGTPKRTRWSENKAQQDGKDETTKLTYSIERDALECPICFVPFEDRVYMCKNGHAACGSCYAKMNTMCPCCIEPIGNIRCRPLEKVLAAMSAPCRFSTSAYMRLIRASGCTEIVAYTERRNHEASCPHAPCVCPFDGCNYQGHLLYSHIQDEHATDAAVVATGCLLGTSTTVTLHKSKPFHVLLHRGGNRVFLLLNGDNVLSGRSLSLVCISPPPPVPNCELLYKIELGAVSRAPGVLRLSMSGTVPCVRRLEGFDAKAFLFVPDSYWGSSGTISVTVHL >KN539123.1_FGP021 pep scaffold:O_longistaminata_v1.0:KN539123.1:37465:38205:-1 gene:KN539123.1_FG021 transcript:KN539123.1_FGT021 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTILLFLLAGLVAAHGDGDTMIRLPSDGAEAPPRPPKPWDCCDNIEMSPLEIFPPLYRCNDEVKQCSAACKECVEAPGDFPRGAFVCRDWYSTVDPGQMCTAPDQPTTKRPWKCCDSIVQLPHMIFPPFWRCDDELEPGKCTAACKSCREAPGPFPGKLICEDIYWGADPGTFCTPRPWGKCCDKAFCNKMNPPTCRCMDEVNKCADACKDCQRVESSEPPRYICKDHFTGQPGPVCKPQEEN >KN539123.1_FGP022 pep scaffold:O_longistaminata_v1.0:KN539123.1:112042:112257:1 gene:KN539123.1_FG022 transcript:KN539123.1_FGT022 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLAVRLLLPGLLIGLAIIAVILPVLMLLLMVLVMVVRVRQLTGGTGAADLVVELAERPGGEHADVAVL >KN539123.1_FGP023 pep scaffold:O_longistaminata_v1.0:KN539123.1:22184:23171:-1 gene:KN539123.1_FG023 transcript:KN539123.1_FGT023 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKKKGTMDNTGTPKRTRWSENKAQQDGKDETTKLTYSIERDALECPICFVPFEDRVYMCKNGHAACGSCYAKMNTMCPCCIEPIGNIRCRPLEKVLAAMSAPCRFSTSAYMRLIRASGCTEIVAYTERRNHEASCPHAPCVCPFDGCNYQGHLLYSHIQDEHATDAAVVATGCLLGTSTTVTLHKSKPFHVLLHRGGNRVFLLLNGDNVLSGRSLSLVCISPPPPVPNCELLYKIELGAVSRAPGVLRLSMSGTVPCVRRLEGFDAKAFLFVPDSYWGSSGTISVTVHL >AMDW01032644.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01032644.1:106:458:1 gene:AMDW01032644.1_FG001 transcript:AMDW01032644.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SSARTQLDLLEQLTTPTSDGIAYVATNHNGSSGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTK >KN544135.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN544135.1:54:2672:-1 gene:KN544135.1_FG001 transcript:KN544135.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKPQSKSKPQPLTNHLLSVFTSANLNSSSDSPLPRSKYLNHLSSLSRFPLQIDQSTVAIKENFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVKQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIIDIEPDVHVKRAMNEINAGKLRVAANEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSTSVFIPHGPGAVKDVAAQIRDGLLQANAERND >AMDW01028273.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01028273.1:73:258:1 gene:AMDW01028273.1_FG001 transcript:AMDW01028273.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAK >KN540137.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN540137.1:11456:12636:-1 gene:KN540137.1_FG001 transcript:KN540137.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLVEGCSAGDSLVLHFSGHGVQKLDVDGDEADGYDEALCPVDFERAGVILDDEINETIVRPLVAGAKLHAIAFSGGAATGAMTYSFIKAVETEPGTTYGRLLSAMRSTIRGGGGEVGIPGPLGAFFRRVITFSCAQEPQLCASEPFDIYRKPFLL >KN540137.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN540137.1:52099:54145:-1 gene:KN540137.1_FG002 transcript:KN540137.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAQKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAATKAAATTA >KN540137.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN540137.1:45074:50683:1 gene:KN540137.1_FG003 transcript:KN540137.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLWTNFALSMMENVHFKKRILVDKSLQFGESAPTLLEDYSVPHLFQEDFFEIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWAMYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPNLAEHEKPLECTQLPGETIFVPSGWWHCVLNLDMTIAVTQNFVNQSNFKHVCLDMAPGYCHKGVCRAGLLAAPDKSIRDIENLPSITSRWNHSDMTRKEKRLKSSEPIRNSTNANQCSAFEFSDVHENLGDQVFSYDIDFLSQFLEKEKDHYSSVWSPTNSIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYSCLEEISACHSLPPPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSIHGLGTELEFYDLLRKLGSPLINHVPEIIASGFLVYQDGVYKTVPWDGKGIPDVLAKYYSLEVSYANGSFPLGLWSKQLFGLSNSTDAPDRPICPYMVTRKCKGDIFARIRDKLTKTDVLSLASSLGVQMRNIHQLPLPHVEHISKSGNEDMKAKENSISDVTHVPPEWKQVVSTLDRRKKNIKKHLSNWGSSIPQVLIEKAEEYLPDDIRFLIKFVKDDDGDSVYVVPSWIHSDIMDDNILIEGTTEPGTSTDCIAVEDLNRMDAIHIIDFSDLSIGDPLCDLIPLHLDVFRGDIDLLRQFLRSYQLPFLRAESNKDIYKSIQNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELGTATSWEDVEHLVWGELNQYQQSCSLGEIN >KN540137.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN540137.1:34728:38635:1 gene:KN540137.1_FG004 transcript:KN540137.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNINGDAFNEKSRIPDPQRMVRAYAQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQHSEQGDSPPHNSILLCVNLCYHLSITVVKMLKAFGSIWYRELAHRVDEALGFMSAAGLTVDHPLMTSTDFWTSHECLLLPYEQSLTRQDSTTGHFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRHAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRRKRIRSSKLNNMLPLPPFGV >KN540137.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN540137.1:58139:62195:-1 gene:KN540137.1_FG005 transcript:KN540137.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVMRDIGSGNFGVAKLVRDVATNHLFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSADCRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKPGDAMKLAGAGQVACLGSMDLDDIDDIDDIDIENSGDFVCAL >AMDW01070040.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01070040.1:62:688:1 gene:AMDW01070040.1_FG001 transcript:AMDW01070040.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGLSELIEVNISATIPMVPGVAEYNYSWNLSSFSIFYASLNITGCEFDTYIINNESYSPTTAICNNSCPNKEITEMVARQSCNGTGCCTISVGIDVANLHLRFVRRGSEGFLGVNSSRSSLWNRINIVSSHASVIWAINNRPTCANAKDQNQTDYACASANSQCRNSFSSMDLGYLCDCNSGYIGNPYVLDGCTRDEGDFRLLLQI >AMDW01025856.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01025856.1:104:295:-1 gene:AMDW01025856.1_FG001 transcript:AMDW01025856.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFI >KN543065.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543065.1:2045:8371:1 gene:KN543065.1_FG001 transcript:KN543065.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGISMGMKNQNGSLKLFVTECGCNMKTLDISLNGGASWFYQGFVDGFSNHIRSSVENAITKKIMEGASKLDSFLGSLPKKIDVDSIAAMNVTFVSDPLFKSSSVEFDIDGLFIPSDKTAVSRHMHFRGVKYVPPLGSSSKMLWISLDEDVFNSVSALYFKAGSLQRMVDKIPDQLFLNTASWRFLIPRLYQKYPNDDMLLNISATSPPSVRINVGRIDAAVDLDVTVNVLDFDEIVPVACISVSVTVSGAAVVSGNNLAGRVELDYFSFTLKWSKVGKLHTFIVQNVMQILLKKLFVPYVNSYLKRGFPLPIIKGFSISDAYILTSQSRIIVSSDVAFIGGVVHMKLLEALSNQESGETFEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGNQIMKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSKLQSKLSSKVVALTEGFKSDYR >KN543065.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN543065.1:9204:9662:-1 gene:KN543065.1_FG002 transcript:KN543065.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSCCHAKALFNRMKPRCLQEEHNMTRTVKAEIRQTTLQDILYSPQSFQGEAIGAPSPRRHSPKVCPINPDCSYENNSPNIRDSISIDRISIRSQNSMRRVSFRLPDESDIFIIPAREDPESCSTDDESVEHVSEEDIDARKIRYAKTRY >KN539459.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539459.1:94478:97478:-1 gene:KN539459.1_FG001 transcript:KN539459.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTMQMCNAGAADKKILVLFNNYELTNEDVLAQFSVEYSTENCTLVDMGDFYVQKNHLTCLLSEDEFVNDDLQGLQYHLNIIGRQQDLPSHKWGDLNVMKWPIIEQLQERIQGDSSSCGLFVLKIMENWTGESLSRSITQINCAEAMKKVWVQSSSPHFISLSLKQLQSVLKKDEPLESECFNMAIHPVHHDNIDLAKTISSWSENHYKLSQCKSIMIFYNVQKIVTCE >KN539957.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539957.1:54706:55389:-1 gene:KN539957.1_FG001 transcript:KN539957.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMLIDAAAPIAAEPIDAVPLQAVAAHVPPAALPQRVDDDDDDVAPPQPAWIRGELLPLLGLRGDLPLHFICRKRVEASDLSAQQSRFLIPRVAASLRLRPLLSAAEREAAKLLEEFLHKKKKSPEPKPREKGEKSPGLAVRVVVYRASPLDVMAMELTRRLSNCHTIIRQKETTRLVDRGVQVKDDVAVWAFRPDPNSALHFVIANTATQQQQPPPAAAPNASPQ >KN539957.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539957.1:30709:31950:-1 gene:KN539957.1_FG002 transcript:KN539957.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MREQIPFANFTKLSFSVADQPEDLLLCGAVEYYDRAFDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIHSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLLRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISIVARCEVHAVNADPGGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLAGADMMKLGYVSRVHPRDHYNHAILTVMGYKPRDFATQINLNTSNMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPPSDDVDATAEEMDAAAEAEANNASAAAGGEGEKSAEAAAA >KN539957.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539957.1:60445:64905:1 gene:KN539957.1_FG003 transcript:KN539957.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIAIGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKSEQYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >KN539459.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539459.1:8910:11776:-1 gene:KN539459.1_FG002 transcript:KN539459.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLITLSSRLVLFLSSAVLWCWTTLAAAGDVVGPVAVRPGCQARLLTGTLEVTKISEPDGKFWMNSFASRQCYSPATGMEYINAWVNFRTSSFPFFFSPVDNKIIVIGCNSLAYMLSNSYTVGCLATCDDSHDNTTAKNGVCAGIGCCQVDVPKGVQNYDSFFNENYNTTQMWRKYPCNYMAVMETAAFSFNTTYLTTTEFFDRYNTSLPVVMDWVISRKTCKEAKEDTTLPYGCISNNSDCIENELGYRCKCSRGYKGNPYLIGGCTDIDECLDNVTYPCGGICTNTLGNYECSCPRGKHMDSGVCMPNQKSTWVMPLVGASVGVVILVIAISCSCLFRERRKLHKIKQKYFQQHGGFLLFEEMKSKQGLAFKIFSEEELQQATNKFDEQQILGHGGHGTVYKGVLKSHDVVAVKRCMTIDEQQKKEFGKEMLILSQINHRNIVKLLGCCLEVEVPILVYEFIPNGTLFHLIHGDHGHHISLSTRLRIAHESAEAVAYLHSCASPPILHGDIKSSNILLDGDYMAKVSDFGASILAPNDESQFVTLVQGTCGYLDPEYMQTCQLTEKSDVYSFSVVLLELLTRKKAFNLEGLEHEKSLSMRFLSLMKEDKLVDILDDQIQNDENIEYLQETAALARQCLDMCGENRPTMKEVAEKLDGLRKAMQHPWARQNPEELDSLLGEWSA >KN539957.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539957.1:70517:72701:-1 gene:KN539957.1_FG004 transcript:KN539957.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEWEEAEEEAEGWQEEEAAVVSTRPRLELIEKPDRSLCLLDEYESEELGTSHCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDTMMMKNVRSAVGSADCVLVVVDACKMPEKIDEMLEEGVGNKDTELPVLLVLNMKDLIKPGEIAKKLEWYQKFTNADDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVISYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIMVKVKENWRQDELLLKRYGYGGEIRAL >KN539459.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539459.1:44276:47547:-1 gene:KN539459.1_FG003 transcript:KN539459.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMWILVVLAWLFLGDLAKIGITRPSRGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAELVDGRRVPADAVILATGYQSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWNHQTATTR >KN539459.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539459.1:32469:33305:1 gene:KN539459.1_FG004 transcript:KN539459.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFTESGTFEDAVDAFRAMWVIGGVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALDFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPGFSWINPT >KN539957.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539957.1:17497:22074:-1 gene:KN539957.1_FG005 transcript:KN539957.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGGRAAAPAPAAEQRSKNTTEMDFFSEYGDSSRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPVPFSEKFPNVDPLALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPISKMEFEFERRKVTKDDIKELIFREILEYHPQLLKDYMNGSENTSFLYPSAVDNFRRQFAILEENGGKSGSLDRKHVSLPRATTVHSTSIPPNESLDATSQVTQRIPTARPGRTVGPVLPFENPGAADPHSARRVVRNPVVPPAAANKSGYSYNLKSDYSDRQHQEELEKDRVPYRPAQHLMDAKVAPDTAPDMRSSQYYFTRSAPRTDLTDRAALQGSMLYGIAPFNGIAAVAGGYSKVGAVQYGVSRMY >KN539459.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539459.1:28157:29622:-1 gene:KN539459.1_FG005 transcript:KN539459.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGRQSFKREEELGWEGVHPSSACHLFDEMPSRYAVSEEEVLLVMSKEKVTREEALHLIHELRDAERRIDEKLDRLLEMFGVKLDGGINGAEKFNTFTEELTPTTVAVASPPPQESPSLAPTKCSTACLNSDIMCTTASSNHINKEHTLKISLELGDSEDKAHTPCIDTTGCFKETHAKCSTVGLDVNGGTNRAVVGFQIRMSVFKVLSAPFASLEFLSSTIIADIKQNTPMATKCSTECVGHDKILMTANPLVVNPWPPHFRSSYKGIRAEQQLEPWPSFWGNQGRRECVQSLPLLFQTSAALVFLVPLLNEVSRLECIEIKLWRPPQESLCTMRNLVATMLVQELESLGMGMLLMLVSATGQFFGYSFTFAEGPWRCLHQLQPCELATVQDCWKEIELGYCILEIEKKYGDNSEHGQCFIEAPHLPWDPAKFMFILCEDQAASYVHENKLYWSNDGSNERTLMVAVVLSTN >KN539459.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539459.1:82709:90396:1 gene:KN539459.1_FG006 transcript:KN539459.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFVADYYKSIEAFPVLSQVQASICNSLSLSERFLPAHIPVQPCTLVTICPLALLIAKWLRTVLCRPGYLKELLPDSAPRQPDTLDSLFDGDDPFRSIFNWITSPAATELEVIVLDWFAKMLQLPSQFLSTALGGGVIQGTASEAVLVALLAARDRALKKHGKHSLEKLVVYASDQTHSALQKACQIAGIFSENVRVVIAHCNTNYAVAPEAVSEALSIDLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKSNDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSFLIQSLSTNPEFLKNKASQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVDNLQSYIRKHIHLAEHFEQLLLSDSRFEVVTPRTFSLVCFRLVPPTSDHENGRKLNYDMMDGVNSSGKIFLSHTVLSGKFVLRFAVGAPLTEERHVDAAWKLLQDEATKVLGKMVV >KN539957.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539957.1:66935:69674:1 gene:KN539957.1_FG006 transcript:KN539957.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKEAGDGYQERRVVVGEMDFFKTAEKRGERKEPPPPLPMGLLVGRRRNSGSEESIVDDGGVSSNDDEHREAKAALAVTKAEIGRLSDENKRLKNMLSNVTTKYNSLQMQFVTLMQQRRSVLATPIHQQEAPHSIGGGGGGGDVCAPSSSNPDAAVPAMMPLPHFDHHHHPIHGGRERGSSPAEADHHRHHQQEQPPPPQQQQQQLPPSWLPADKVPRFLPGKGPEPVPEAATMRKARVSVRARSDAPMLVFFFFAWMFVLRCRHGDPQISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPRPPAAMAMASTTAAAASMLLSGSMPSADGSLMAGARRGVNFVATLPHIELSHALTFLWSSLNREKNNG >KN539957.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539957.1:3909:16542:1 gene:KN539957.1_FG007 transcript:KN539957.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERRADGCVCIIVHIAAAFINMLTLMMKNNCNDDDIGNVYLRTQIAGQDNKSGEGADFMDDGTFTLLKDLVTESRTSSPYYEKDHQLNSLNYVNQDGHGIPTTTNPTWDLTQSCNPLNHSLTEDSVPFNVGTSAGVLTNATSNDFMPIDELAITSGRYGVLPSIEKTEEGSIAIDEANSFGATATMCYNSVHFSHWIDQNLTGPLPDLADLPDIYPDSFLPTPRKNITLVLDLDETLIHSSAVDRDGADFSFPMYHGLKEHTVYVKKRPHVDTFLQKVSEMFKVVIFTASLSSYANRLLDMLDPKNIFFTKRYFRDSCLPVDGSYLKDLTVIVADLAKVVIIDNSPEVFRLQEENGIPIESWTSDPSDKSLVELIPFLEAIAVADDVRPIIAQILSDAQEEEEYDEDEEEEEDELVEVGYVSGAHGVRGDVLVSPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKSWIVTFDGVDSVDEARQIVGSAILVKAGDRPKMEEDEIYSLDLVGMRVIVKDTGKFVGTVGQVFNFGAGDLLQVMVGSTEDTVSQPNSENQDSTPSGEHVWIPFAEDIVPDIDMESREMWITPPKGLLELNARSDKRSKKERRAMEWKEKKRLQRRVIAAKKILSEMDQGHVLEGLLSGDKVQKASLAEQIGSIDFQLFRHAMHSVSRPIGSLSKDVFVKSSSSRKKLMRIPYETLMNHEENANFASELNEGVGILQKSKAATILITNDSDTLDAEFQGLLNSFNKSMKVEETRCSIPFVIVCPAGHVESVQNCLVENDYFGLDTQKVWVLEEMKLPIVSMSSNSKLNSRKILLKSPWEILQKPAGTGVIFSLLSSNKILDTLNEMGVEYVQICSLSNKPNIGHPLLFGAVSSFGADAGLMLRKSSKETEDDFDLILSMNHVNKMCRDVTKLRFSAQQEQHVHVEHVDGQWVNVQPEATNCHRLYAEVTSVLNYCSPDKVCVIEIVQQ >KN539957.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539957.1:34139:35706:-1 gene:KN539957.1_FG008 transcript:KN539957.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPSDDTTGQVRPEVDGSDEKVEIANQNEKEVMPSPQEEEAAIKKKYGGIVPRKPALIAKDHERAYFDSADWALGKPTQQQARSRRFLHASVDNEEGLNSPTEDASQNQESNEVKDEK >KN539957.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539957.1:48097:54317:1 gene:KN539957.1_FG009 transcript:KN539957.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MAICITILVKLGLAVSAGWWLSFVFILGDSSIDTFQEVKSKRDKKKEVKEIPEPRSRAASNAASRGVRGGADRGGRNSSFHSSSIGNRKNRHQYLQDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQLSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSVQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNHQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHLDENRSAAFSSERHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEDSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQETLKPGVFSNAQSTQNLPSTSIATGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >KN543314.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN543314.1:2716:7005:1 gene:KN543314.1_FG001 transcript:KN543314.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVVQPWRIVRFHDIQMDHIHWKWWIVRARVIKKGHLQENYYGDLQIRLILIDELGTKMEAIVYRRQAEHFNQLLRCGSVYDFYNVGFDPTEMIVHLRFKIRSQFCMIINSGTTTRTPHGPVHMLRCPWRFPEYDDIFLARHNSLVDVIGLVVHVGDIEFRSLYLRRTPTRIIALVNPRLQIIFVRVWDQQLTRNLTRWRSARTHFDCFVATLTRVDRRADELSTTYESDIIFNPDSASANEFNVLRQALAVSPSNVQEQGTYLLLYVYRYFMHGCRSVEFDEIKMEHVFRTCWKQNKFGAQYIRFILKDATDTRMEALAYDQQADRFNGTIQSGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKTALRKPRFVDFSAIFTDAISDDMFIDVVGVLIYVGEIHHHQLYGQSLPTRDIALVNRRVVASTCITVLLHVCYMSQEIRYSNRDALVY >AMDW01048493.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01048493.1:85:624:-1 gene:AMDW01048493.1_FG001 transcript:AMDW01048493.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding GLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEEVLKLLEKHAFV >KN541033.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN541033.1:846:1223:1 gene:KN541033.1_FG001 transcript:KN541033.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding SPEEAAKGKWYMATAATNHMTRDEGLISDLKPVTGRVVGRGNGAGLKMHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRGGCTVTRGSDGSVVGKARRSGAIYEVEFLKVPLN >KN541033.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN541033.1:13360:17507:1 gene:KN541033.1_FG002 transcript:KN541033.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSNGKARANDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDESIRTLYIGGLDSRVTEQDLRDQFYAHGEIETIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGMEGQQQPASASYYFNIPAPPAAERTLYPSMDPQRMGALVRSQEGDGKPGPQQAGQGQASSSSGQSYPEPSRPYYHGGQYPPYYPPYGGYMPPPRMPYQQPPQYPAYQPMLAPPAQSQASSSQQEAPATQQLGQGPQQQTAQNGMT >AMDW01026634.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01026634.1:129:314:1 gene:AMDW01026634.1_FG001 transcript:AMDW01026634.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding ALKFARTEGIIPAPEPTHAIAAAIREAMECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDM >KN545021.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN545021.1:264:1001:-1 gene:KN545021.1_FG001 transcript:KN545021.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MKALADRFHRPGGVDLWNDRDGPRVFAAPDTGRASARFFPKGSVHSIQPYGLVNGGPESTLAARGNPADATDRSHRHRLQGVRENAAKKEMRGVGGYREPAVEYIERGGVWEPLSNLDRGGDNNSSDSGWNDDNVISDLEDIADVDFRPEQRAMDGRDRREGGVARWEATTSMAVGSDNIRDQRGNGFSLEPEGTSEYHLGQSWQDRNSGSRGKRPAGRRKAMNTDGSSAIGKDRMVDGSSLSDSE >KN542908.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN542908.1:5324:8092:-1 gene:KN542908.1_FG001 transcript:KN542908.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLLAVKKIGIAVAGETLKFAGPLLANKSRSIAALPNDMKLMKNDLELIHEFLKRIGGKGERSGVTEAWIRQVRQLAYDMEDIVDQFIYVVGQHNQKGSWWSYIFKIVKKPQSLFSLDEIASEVKRINQVLQQAIQNRNAWTLDGGSGSTDFPVARYEAGQDLYLSGHDYSINNEDPVGPDKNKQTLTDSLHSEDPSLQISAVWGMGGIGKSTLVNNVYKKENSNFDCHAWVSISQSYELEDIWKKMLRDLLGKERRNFDFETKNSTELRAELMKILGGENRYLIVLDDVWAAKDLFRIKEVLVDNRLRSRVIVTTRSEEVASIADDGYKIKVQPLDDHYAWLLFCRKAFPRSGSNSFPDDLLQLGRKIVSKCKGLPLAIVAIGSLMSLKPKTVHVWQHFYDQLILELHRNENLNHVEKILNLSYKYLPDYLKNCFLYCAMFPEDDLIHRKKLIRLWTAEGFIERNGRQCSLEEVAEGYMEDLVRRSMLQVVERNSYNRIRCLQMHDLVRSLAIFQSAKESFSTTYDDTHGTAQVEVDLVPRRVSVLRCNRDTRLRIDASRLRTFIKAFDTSMVLSPWPAFIPTESKYLAVLDLSGLPIDNVPDSIGQLFNLKYLCLNDTSVKVLPNSITKLHNLETLSLVNTKCLNFPKGFANLKKLRYLLVWIMLDQSGRAFNSYKAMEPFEGLWNLKELRTLASVRASKMLVAKLGNFSQLGYLCITDVSSSQCAHLCHSLSKMHQLSKLEIRARNKDEMLHLETLTLPNPLKKIILIGRLSEGTFQSPFFSTHGNALLQLLLVCSELGENIMPRLSDLSKLTDLCLKNSYNGQQITFREEWFPNLKEMFLEDLPHVNEICIQDRALASLERLSIVGLKELREVPVGVEFLASIKEAYFGDMHPDFIRNVQSASLDDYIPKVYIY >AMDW01035761.1_FGP001 pep scaffold:O_longistaminata_v1.0:AMDW01035761.1:56:587:1 gene:AMDW01035761.1_FG001 transcript:AMDW01035761.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding TCSVSAVALCIEAKFHKYGFRCTIERPPHKLLQDCLDSSFLEPDEGIPAIRVIEVLSKTGGLTTTTGLILPITGRIPHRIENEGWSMNEVAEFIYEHGPVIAVVWVVRHEFRACIGDVVYYGLPDRSLRDREDKDQYLLNLSISISVSWIVLQMTDQPGGYTTLRLM >KN539910.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN539910.1:38438:39731:1 gene:KN539910.1_FG001 transcript:KN539910.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVPVDEQSSNDSSRRSIIICGIILCPLTLALTVLFGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNLYHHPYHLGVYENLISVVANLNIDLWLPIRLPICASLFLLKHCVLEQYR >KN539910.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN539910.1:66214:68809:-1 gene:KN539910.1_FG002 transcript:KN539910.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSVLRCCLLVAALMTLCAMGAEAITRQYLFDVQTTSVTRLCRTKSIVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWHGIRQLLSGWADGPSYITQCPIQPGGSYVYRFTITGQRGTLWWHAHISWLRATVHGPMVILPPAGVGYPFPAPYEEVPIMFGEWWNNDTEAVISQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDALYVKPFTVDTLIIAPGQTSNVLLTAKPTYPGASYYMLARPYTTTQGTFDNTTVAGVLEYENPCPTAAATKTVPVFSPTLPQINDTNAVSNFTAKLRSLATAEYPAAVPQQVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPATALLQSHFAGKSKGVYASNFPYYPLNPFNYTGTPPNNTNVMNGTKVLVLPYGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPINDPAKFNLYDPVERNTVGVPAGGWVAIRFHADNPGMHSGLTVRTAYCNSKLAGFCIL >KN539910.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN539910.1:14848:16840:1 gene:KN539910.1_FG003 transcript:KN539910.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAADGGDGDGDDEDDLRLPGAGRRSPSLGILAFEAASTMTKLLSLHRSLSEKEVARLRSNTMRAAGVEYLSSTDQAFLLRLACAEAVAALDAAAAAGRYYYYE >KN539910.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN539910.1:40710:42409:-1 gene:KN539910.1_FG004 transcript:KN539910.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLDYQRLVRIFPKLSIFKDLLWSFGSKVMAEVADLTGDGGVLKTMVRKAKDDAIAPTDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKLCLCSATLIFEVELVACRPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >KN539910.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN539910.1:50549:52824:-1 gene:KN539910.1_FG005 transcript:KN539910.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTGRRLLCLASPACLLFAAAVLLAMPGLTAARTRRYSFNSVPTVNGQFPGPKLVVREGDTLVIRVTNNINNNVTFHWHGIRQVRSGWADGPAYITQCPIRPGGSYVYRFTVTRQRGTLWWHAHFSWLRATLYGPLVILPPRGVAYPFPKPHREVPLLLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSSSNDTFKLRVRPGKTYLLRLINAALNDELFFGVANHTLTVVQADASYVKPFAATALVISPGQTMDVLLTAAPSPPSRSFAIAVAPYTNTVGTFDNTTAVAVLEYYGAATSAAALRSLPLPSLPAYNDTGAVANFSASFRSLASAQYPARVPRTVDRHFFFAVGLGADPCQSPVNGTCQGPNNTRFAASMNNVSFVMPRTSLLQAHYQRRYNGVLAANFPAAPRTPFNYTGAPPNNTFVTHGTRVVPLSFNTTVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDASNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGGVTSFYLLVQFVDKD >KN539910.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN539910.1:1971:7223:-1 gene:KN539910.1_FG006 transcript:KN539910.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPCGNRNLGKAPIDIDSEDCDGLNGASDNSSDSESGEDDADFCNQGLEDILLELHSHLKKKYLGSSSHHFQKPKDKKRKVAAKNDSSFSRFSSKYFSEVISSLTPHQRDIIELAGFSNLLKFASNFVPKKFATWIANHVDCKTSEIILRDKVIPIRKDSVRDILGLPCGGLEFGKDYDAGKQFILSKYGLSSLPSVRFFGDQFIKRQAMSDDKVITSFLIVALACFLCPNSSTLPSTKYLTIFEDVNILSSYDWAKFVYDWSMSYMKKFIKTNSLGGCFFFWAVLYLDYVEFGERNVPNTIPRISVWVNNMINTYADFDKIDDDTYGLRPLKDLKSTCYYQHQHCSDTRIPFEERLQSAIGPMLPSYVKDSICSMVADHSSSIHSSENSSCEDLLIAVLAMIAESARNESDEVQDFVVDDDAVVDDIGNSKSVDRVISSAYISAHADVNADNEVDIQNCSPKHNACDEDEDASVLKSDDQVTNIRHCDSDLLLVTPEVGYAKCSSPIVGKRFDGSGTVASAATIQNVIANFRSRLSQVNNHGNRENICSLSRPSFNLLDSKVDASNPVNASGDIISSSAQELISLQSLNATPNDGLAATNNQFCGASGSNNSQNFNKRLFQDLTNSPDVVCLGEKKFSECSKRICVKAEEIYNATNELSSYKHGMSSSGGKVPLYGPRRILVPAKHASDPFVVCTKRRFTVSDQENKFYLAICRIAYSTKWQSHDAVDIDTVRAKFYSFGHSFEKSGMLSPYVMSVFCRVLFHDNHPSKSKRNYFFPSIGAQLTIDINDVDLEKVEKSFKGAASARKLHLCDMLYFPILYRQHWFVFLVDLKDRMLVFLDSIHEEGDDFFEPILPHIVIPFRDLSFNFGTNYQITAYLGVGLTTGNRS >KN539910.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN539910.1:47253:47507:-1 gene:KN539910.1_FG007 transcript:KN539910.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAEDQGVKRREPCKKRIGRTAGAGSEAGNGSRHQASCSPPPPPSSSFPRRCARLVEEQRARLYIVRRCVTMLACWRDVDYL >KN539910.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN539910.1:18000:21931:-1 gene:KN539910.1_FG008 transcript:KN539910.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESENAVLSYGEYLHCVGDFPLATQMYERVLEALTTEDMSGNFLAACNMVPEEVSLGTTCSYGQLLSHSGNSVFPLPFCSSFQLRALAFSFQTRKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >KN539910.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN539910.1:92:626:1 gene:KN539910.1_FG009 transcript:KN539910.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding ENETHLSEDESNTIPTENTQQAEEDMFEEVEIEDPNMANTKGRKPKRYRRIVDKIIESSKKRKEAEDSRAKTRASDKKGKTTRSNNEDVQKCKGRVLKKGKSSTQKSQQTQQQGG >KN539910.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN539910.1:55634:65065:1 gene:KN539910.1_FG010 transcript:KN539910.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTYKPHLRLASMIRNSEAALRDVTGEVAMCVLSWTLYSKCSTAYLLQQKDYENYYPKGKKEAPKGDGSNKSDSKQDSSTDDQWNFQETASKQLQNFLAPLLFLGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQSNSQGQNTDAIITTNDVPSKHTPSRYKYYFNIGSVDSFEEKLEEAQEALGVDPHDFVPVTYVAEVNWFQEVMRFAPTVFLVGLIYLMSKRMQSGFNIGGGPGKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITMQHFESAIDRIIGGLEKKNKITRWLIMHEYLGESAVNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAKIAEMLLEKEVLHQDDLVRVLGERPFKAAEPTNYDLFKQGFQDEEDSKNQEAAKTPQPDDDGTPSLGEVVPT >KN539910.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN539910.1:31318:31794:1 gene:KN539910.1_FG011 transcript:KN539910.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELSRGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEETCAAGKSAVDVANYVQRILAAKLGFECTTFTRKDKYRVLAGNDGIVNVKPPPPADPAPWQRRLKEVLGFLLH >KN538975.1_FGP001 pep scaffold:O_longistaminata_v1.0:KN538975.1:76275:93673:-1 gene:KN538975.1_FG001 transcript:KN538975.1_FGT001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPFLLALHIALLLLLPCSCQVGDSCSSARDCGAGLYCGNCAATGKTRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSHTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGQCYNFTAFQPAIDTLKEVEAFLSENPTEIITIFIEDYVHSPMGLSKLFTAADLTKYWYPISEMPTNGKDWPSVTDMVAKNHRLLVFTSDSSKEASEGIAYQWSYLLENESGDPGITGSCPNRKESQPLNSRSASLFLQNYFPSIPVENEACKENSVGLPQMVQTCYTAAGNRVPNFIAVNYYMRSDGGGVFDVQDRINGVTLCGCNTIAACQHNNGVYTVGDFMTKRPNLHVVTPATSVDEALETLVQHKISGFPVVDDTGKLVGVVSDYDLLALDSISGSGLTGTNTSMFPEVDSTWKTFREIQRLLSKTNGKVIADVMTYSPLAVRESTNLDAATRLLLETKYRRLPVVDSTGKLVGGVVSVRVCRDVNTRRSLGYAYVNYSSPADAARALEMLNFTPINGKPIRIMYSNRDPSLRKSGTANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAQAAIDKLNGMLMNDKKVYVGPFIRKQERDNSPGQVKFNNVYVKNLSENTTEDDLKEIFGKFGTITSAVVMREGDGRSKCFGFVNFESPDDAAQAVQELNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDSVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNSKMVGSKPLYVALAQRKEDRKARLQAQFSQLRPVPLAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQPGFGFQQPLMPGMRPGAGPMPNFIMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGQQQMMARGGRGYRYPTGRGMPDPAMHGVGGGVMPSPYEMGGMPMRDAAASQPVPIGALATALANAAPDQQRMMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTAQQIQTNATPEQQLASLSLNDGVVSS >KN538975.1_FGP002 pep scaffold:O_longistaminata_v1.0:KN538975.1:165215:165838:-1 gene:KN538975.1_FG002 transcript:KN538975.1_FGT002 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVTAAAARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVEFLLDRFLSSSLPATAGREEGSSVSVVAELNRQYGELRAMVDAHKARRERAEKTMEKQRQRQRAAWMDPEAEVGRMAPEELMALGTKLVAVQGGVAARADQMLRDALLLGRRPTTTTTRAPPGFFHLHPHF >KN538975.1_FGP003 pep scaffold:O_longistaminata_v1.0:KN538975.1:29215:33939:-1 gene:KN538975.1_FG003 transcript:KN538975.1_FGT003 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAAAHIRTVVKDLLKVFGDDGWPFLEEGAYRVVQEALFDKEEQLQLQLLQQEEEQEQEDQQPQQLDGAMEDTPIENNMPIVQMHEIPAEAEPTVEEMQEALFQKQKEEQLQLQLFQQEEELEDQQPQQLEGAMHEAPLESNLSIVEVYEVRAEAEPLVEEVDPMLVDEPATVICGTRRPCYGWLIEYVSESDTEEEMHVPSQESLSCKRKLG >KN538975.1_FGP004 pep scaffold:O_longistaminata_v1.0:KN538975.1:119240:119551:-1 gene:KN538975.1_FG004 transcript:KN538975.1_FGT004 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSRQCGQLQAMVDAHKARLEKAEEALEKLRQSGAAAWMMDLEAEVGRMAPEDVLALATKLAALRDSVAERAHEMLREALLAVAAPTPTPTTPTTPPPAGF >KN538975.1_FGP005 pep scaffold:O_longistaminata_v1.0:KN538975.1:145441:157397:1 gene:KN538975.1_FG005 transcript:KN538975.1_FGT005 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKGKPTSTKKGRKAAASAVAADDDIDALKSDVASFASSLGFLGAAAASSGFDDSDFRKSGPINPPKTSKSPQTTDAPPNPKPSTTTTTKKPHPLDIHGPNATTKSVSGAATTNYPLMKATALSGQWYADAGELEASVLGARKQVLPSVGLQEMQRISEGKRQLAEKLMAQYTVEYDMVKRGSGDLKLLEISAKSGTSADKVSAFTCLIEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLKRLLPDRKLKSLIQHPLDILPETKDGYSLLLFWYWEDCLKQRYEKFVIALEDALKDMLPNLKDKAMKTVFILLKEKAEQERRLLTALVNKLGDPERRAASSAAYLLTSLLSTHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQIFLTIKGDGPKIAKRLVDVYIALFKVLMSCSRATEGVKCSKYGKKTNENGKKEKGNDFNSHVKHEDPCAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSVNFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPASVTSSKPELFLGLLVKAMKNDVMLKRVAAFAKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAIVLQNESVDDDIEHFEDIVENTDCPAITSRTTDKCNDILATLEKCNSDAEDACVSPISSGEKDGKGTSAEGLTLHASYNPRHREPSYCNADHVSWWELTALALHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDQSHHLIGEELLELAEKEVPPEDVVFHRFYMNKTGPIKPKAKKKTSVLDEDTGELFADDVDDASDASDDEMQELGIGSMEDGEYDYDNLDATTFEEEGDLLRYDSDVELHDISDDTSSGADSDTEALEGANDSDGNDSDGEEPVQGQKRKHAAKSGASPFAKLEDYEHLMDGEAEKPTFKGRKHRVTRDSKEKRKGLSSKEKSGSRKKRSKRSE >KN538975.1_FGP006 pep scaffold:O_longistaminata_v1.0:KN538975.1:22112:25127:-1 gene:KN538975.1_FG006 transcript:KN538975.1_FGT006 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRPKKGERRIDAAIDHFTPMGYATADVRAVVKKLLQVVSSIPPALSVTTGPGCTGGTTGGRSWRKTRTASCRRRSSRSRSRRITNSSSLTLTLSFLPLASDSSIWRIMLCFFRNVWSHFEKTLRVAQSVASASAAIATTARAEEELVGEAAMGRVLGFELGDGMARVGRKGNRQLEEAPLEDKGMSIIEVHNVMPAETEQQVEDADPMLVDLPAVEATLPLPEAKVTYGTRRPCYGWIEEYESESDNEEQPARLICKRKRPSRWDVKPINW >KN538975.1_FGP007 pep scaffold:O_longistaminata_v1.0:KN538975.1:124856:129329:-1 gene:KN538975.1_FG007 transcript:KN538975.1_FGT007 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPDHDSSNSSPPSKTTPSEKGEGITVVEEPILFDNNQEEDKSSNPLNLLADTSELFRNMDDDESPLWLNVLLRTIFWRKCDVHDQLENAHRAEESIFCINCLKTICPHCTHDEPSHQLLKVRRYIFRSVVRVKDMQNFGIDMSYIQTFKCNGHKVVHLRPIKRSEHHRPKAGTPHCTSCHCWLHNAPSLTCSLSCKKKAGISPDDFSGPEASTRVSRSRNHASNVNQKHPTNTKLRKKPRKQANPERAPFF >KN538975.1_FGP008 pep scaffold:O_longistaminata_v1.0:KN538975.1:61392:61805:-1 gene:KN538975.1_FG008 transcript:KN538975.1_FGT008 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPENGVQTSQAEVPAAASEPAKAVAAVADEMPDSTTSLPLPITAARHTASTRRPCYGWLIESESEDDELDNGVSAVEQSNPPSAANHKMSNGWSYVEQARPSVAMRHEMEPDTHRRGMLSKRRRLSGWDVRPSY >KN538975.1_FGP009 pep scaffold:O_longistaminata_v1.0:KN538975.1:9980:18797:1 gene:KN538975.1_FG009 transcript:KN538975.1_FGT009 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVSVVSGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPATAAVGKLENGNGHATKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVVLDDVQGLRERISMLEQKNEDLCRELYDLRNHGYTDPCEPELQKIGTGYTKGEGLKRSLQSTEPFDVPMTDSVRGSPKDIDDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGSDTVALKQHFGKKLLELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKTLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSGMNGTSPGSHMTEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVTILRHSESRRRETEKQLKQREQAAVTATTSPGNGNGSVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRTRPRPQLLPHRPQRVM >KN538975.1_FGP010 pep scaffold:O_longistaminata_v1.0:KN538975.1:160003:160994:-1 gene:KN538975.1_FG010 transcript:KN538975.1_FGT010 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKVRVQTQPGFARCLTDGFQKIVQSEVHFYLLDTLGTQHAESCHYRNVKSISLYMSVCFDKNFGMHTKRPRMSAQLEAEKRAMEKRENAELRLIVSI >KN538975.1_FGP011 pep scaffold:O_longistaminata_v1.0:KN538975.1:72151:73165:-1 gene:KN538975.1_FG011 transcript:KN538975.1_FGT011 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVMRMGDENEWVKEKEADQMVRPGRGQRRIDAAIDHLSEYGFPRPMIRQTINELLADTLYGRNGWVFLEEGSYRIVVDRLLEKQANQQEQQEEEDAKVFFSFFLRS